or=18 mm) fail after 2 years of implantation due to intimal peel formation at
the distal segment.
PMID- 18036933
TI - Invited commentary. Favorable early and mid-term outcomes after corrective
congenital surgery (including reconstruction of the right ventricular outflow
tract) have led to an increasing demand for right ventricular-pulmonary artery.
PMID- 18036934
TI - Hyperglycemia after infant cardiac surgery does not adversely impact
neurodevelopmental outcome.
AB - BACKGROUND: Hyperglycemia has been associated with worse outcome after traumatic
brain injury and cardiac surgery in adults. It is not known whether postoperative
hyperglycemia results in worse neurodevelopmental outcome after infant cardiac
surgery. METHODS: Secondary analysis of postoperative glucose levels was
performed in infants younger than 6 months of age enrolled in a prospective study
of genetic polymorphisms and neurodevelopmental outcomes who were undergoing
repair of two-ventricle cardiac defects. Neurodevelopmental outcomes at 1 year of
age were assessed with the Bayley Scales of Infant Development-II, yielding two
indices: Mental Developmental Index and Psychomotor Developmental Index. RESULTS:
Surgical repair was performed in 247 infants with 1 in-hospital and 3 late
deaths. Neurodevelopmental evaluation was performed in 188 of 243 (77%)
survivors. Glucose levels at cardiac intensive care unit admission and during the
first 48 postoperative hours were available for 180 of 188 patients. Mean
admission glucose was 328 +/- 106 mg/dL; maximum glucose was 340 +/- 109 mg/dL.
At least one glucose was greater than 200 mg/dL in 160 of 180 patients, and 49 of
180 patients (27%) had a glucose greater than 400 mg/dL. Only 1 patient had a
glucose less than 50 mg/dL. Female sex (p = 0.02), but no other patient or
operative variable, was associated with higher glucose levels. Mean Mental
Developmental Index and Psychomotor Developmental Index were 90.6 +/- 14.9 and
81.6 +/- 17.2, respectively. Hyperglycemia was not associated with lower Mental
Developmental Index and Psychomotor Developmental Index scores for the entire
cohort or for neonates alone. CONCLUSIONS: Hyperglycemia is common early after
infant cardiac surgery, but is not associated with worse neurodevelopmental
outcome at 1 year of age.
PMID- 18036935
TI - Mitral valve repair for infective endocarditis in children.
AB - BACKGROUND: Surgery for mitral valve endocarditis in children is relatively
uncommon. This study assesses its operative outcome and reoperation and long-term
survival rates. METHODS: We reviewed the cases of 8 consecutive children who
underwent mitral valve repair for infective endocarditis between 1989 and 2005 at
our institution. Mean age was 13.8 years (range, 9.4 to 16.9 years). The mitral
valve was affected in all 8 patients, and both aortic and mitral valve in 2.
Congenital cardiac malformation was the predisposing factor in 3 patients. Three
patients had floppy mitral valve owing to leaflet perforation. All 8 patients had
severe mitral regurgitation. Indications for operations were cardiac failure in
2, septic embolization in 2, and severe mitral regurgitation in 4. Four surgical
interventions were elective, two were urgent, and two were emergencies. The
offending microorganism was identified in 7 of the 8 patients. Mitral valve
repair or reconstruction was performed in all 8, and 2 patients had additional
aortic valve replacement. Follow-up was complete (mean, 9.5 years; range, 0.67 to
16 years; total, 76.7 patient-years). RESULTS: There were no operative deaths.
Actuarial freedom from reoperation and actuarial survival rate at 1, 5, 10, and
15 years were 100%. Early echocardiographic follow-up showed 4 patients to have
mild mitral valve regurgitation, 2 had mild to moderate, and 2 had no
regurgitation. Long-term follow-up showed no progression of the lesions.
CONCLUSIONS: Mitral valve repair achieves excellent results and can be performed
without morbidity or mortality. Functional improvement and follow-up
echocardiography evaluating the degree of mitral valve regurgitation and stenosis
are satisfactory.
PMID- 18036936
TI - Invited commentary. Infective endocarditis (IE) in children occurs overwhelmingly
in individuals who have surgically treated and untreated structural heart
disease.
PMID- 18036937
TI - Brain natriuretic peptide levels before and after ventricular septal defect
repair.
AB - BACKGROUND: Brain natriuretic peptide is a relatively recently discovered
circulating mediator that has been correlated with the degree of heart failure in
adults. This study evaluated the preoperative and postoperative brain natriuretic
peptide levels in infants and children undergoing ventricular septal defect
repair. METHODS: The study enrolled 18 infants and children (ages 2 months to
15.6 years) scheduled for surgical repair of their ventricular septal defects.
Brain natriuretic peptide levels were drawn preoperatively and then
postoperatively at 1, 24, 48, and 72 hours. The amount of shunt (the ratio of
pulmonary blood flow [Q(p)]/systemic blood flow [Q(s)]) through the ventricular
septal defect was determined by saturation levels performed in the
catheterization laboratory or intraoperatively. RESULTS: The preoperative brain
natriuretic peptide levels (pg/mL) averaged 78 +/- 57, and the postoperative
levels were 168 +/- 241 at 1 hour, 418 +/- 330 at 24 hours, 405 +/- 364 at 48
hours, and 391 +/- 397 at 72 hours. These differences were significant for each
postoperative time point compared with preoperative values. Preoperative brain
natriuretic peptide and the Q(p)/Q(s) were significantly correlated (age-adjusted
R(2) = 0.33, p < 0.001). CONCLUSIONS: Brain natriuretic peptide levels have a
close correlation with the physiologic volume load caused by ventricular septal
defects. The preoperative brain natriuretic peptide levels were also found to be
predictive for the postoperative time course of brain natriuretic peptide level
changes. These results suggest that brain natriuretic peptide levels may be a
useful clinical marker in infants and children with ventricular septal defects.
PMID- 18036938
TI - Two thousand Blalock-Taussig shunts: a six-decade experience.
AB - BACKGROUND: The Blalock-Taussig shunt (BTS) remains valuable for palliation of
congenital heart disease, but its role has evolved. We reviewed our total
institutional experience with BTS to examine changes in its use and outcomes.
METHODS: A retrospective review was performed of all patients undergoing BTS at
our institution from November 1944 to May 2006. Hospital records and autopsy
records were evaluated to determine patient demographics, diagnoses, operative
data, hospital complications, and long-term outcomes. RESULTS: During the last 62
years, 2,016 BTS were performed by 28 surgeons on 1,880 patients from 35
countries. Classic BTS were performed in 75% (1,503 of 2,016 BTS). Diagnosis was
tetralogy of Fallot in 72% (1,294 of 1,802), although diagnoses were imprecise in
the early part of the series. Overall operative mortality was 14% (227 of 1,574).
On follow-up, 32% of tetralogy of Fallot patients (411 of 1,294 patients)
underwent subsequent total correction at our institution, and an additional 116
patients for whom follow-up was available had total correction of tetralogy of
Fallot at other institutions, a combined total correction of tetralogy of Fallot
rate of 41%. Of patients with complex congenital heart defects, 26% (106 of 404
patients) had subsequent cavopulmonary connection or atrial or arterial switch
procedures. A comparison of the first and second halves of the series revealed
several trends: decreasing mean annual number of BTS (66/year versus 9/year,
respectively), decreasing operative mortality (16% versus 9%), and increasing
proportion of single-ventricle diagnoses (5% versus 34%). CONCLUSIONS: Evolution
of the BTS has seen a decrease in overall use, particularly in tetralogy of
Fallot, but greater application to single-ventricle cardiac lesions and improved
operative survival.
PMID- 18036939
TI - The use of the LigaSure Vessel Sealing System in esophageal cancer surgery.
AB - PURPOSE: In this clinical study we investigated the use and results of the
LigaSure Vessel Sealing Sytem (LVSS) (Valleylab, Boulder, CO) compared with
conventional surgery in esophageal cancer resection. DESCRIPTION: The LVSS
(Valleylab) is a device with a hemostatic design frequently used in abdominal
surgery. Sixty patients (n = 30 in each group) with esophageal cancer from a
single center were evaluated to undergo esophagectomy using either the LVSS or
conventional clamping methods. The main outcome measures (ie, operating time,
intraoperative blood loss, and postoperative course) were then compared.
EVALUATION: In resections performed using the LVSS, operation duration (307 +/-
35 minutes vs 260 +/- 35 minutes; p = 0.000), intraoperative blood loss (average
533 +/- 211 mL vs 390 +/- 256 mL; p = 0.022), and postoperative drainage volumes
(abdomen, 70 +/- 86 mL vs 40 +/- 61 mL; p = 0.122; thorax, 690 +/- 646 mL vs 540
+/- 359 mL; p = 0.271) all decreased. CONCLUSIONS: The LVSS is easy to use and a
reliable method in esophageal cancer surgery. Compared with conventional
hemostatic techniques a reduction in intraoperative and postoperative blood loss,
and a shortening of operation duration were determined. We believe that the use
of the LVSS in esophageal surgery will become even more widespread in the future.
PMID- 18036940
TI - Invited commentary. The use of LigaSure system device in esophagectomy.
PMID- 18036941
TI - A novel adjustable pulmonary artery banding system for hypoplastic left heart
syndrome.
AB - PURPOSE: We describe the first case in which a neonate with hypoplastic left
heart syndrome was initially managed using a mini adjustable banding system.
DESCRIPTION: Through a mid-sternotomy, a 5-day-old neonate underwent bilateral
pulmonary artery banding using this new system, combined with placement of a main
pulmonary artery to the innominate artery shunt. EVALUATION: The patient had an
uneventful postoperative course. Three percutaneous adjustments of the banding
system were necessary to keep the arterial oxygen saturation in the 75% to 85%
range. On day 48 of life, she was submitted to stent placement (6 mm) within the
atrial septum to treat a restrictive atrial septal defect. Afterward, seven
additional percutaneous adjustments of the banding system were necessary. The
Norwood operation and the bidirectional Glenn shunt were carried out on the day
106 of life. The bands were removed with no pulmonary artery distortion.
CONCLUSIONS: The clinical use of this innovative pulmonary artery banding system
was feasible, safe, and effective. This allowed for customization of the
pulmonary blood flow according to the underlying clinical needs, resulting in a
more precise balance between the pulmonary and systemic circulations.
PMID- 18036942
TI - Massive hemoptysis in an immunocompromised pregnant woman with human
immunodeficiency virus disease and active pulmonary tuberculosis.
AB - Massive hemoptysis during pregnancy has been infrequently reported. The
management of massive hemoptysis in an immunocompromised 22-year-old woman
positive for human immunodeficiency virus undergoing treatment for cavitating
pulmonary tuberculosis in the last trimester of pregnancy is reported. The
difficulties encountered in applying our standard protocol for massive hemoptysis
in this instance are described. The patient was managed by emergency cesarean
section and lobectomy.
PMID- 18036943
TI - Unilateral pulmonary edema after pulmonary embolism in a bilateral lung
transplant patient.
AB - We report a case of unilateral pulmonary edema due to the decompensation of an
asymptomatic ipsilateral pulmonary venous stenosis by a contralateral pulmonary
embolism. Emergency surgery included pulmonary embolectomy and refashioning of
the stenotic pulmonary venous anastomosis.
PMID- 18036944
TI - Management of an anterior mediastinal pheochromocytoma causing tracheomalacia.
AB - Thoracic paragangliomas are rare tumors that arise from extra-adrenal chromaffin
cells and have the capacity to secrete catecholamines. Surgical excision is the
optimal treatment of these tumors as they are resistant to chemotherapy and
radiation therapy. Although these tumors are most commonly found in the abdomen,
10% of paraganagliomas are located in the thorax, usually in the posterior
mediastinum. Occasionally these tumors present in the anterior mediastinum, which
can pose a significant surgical challenge due to the proximity of the great
vessels and airway. In this report we describe the treatment of an anterior
mediastinal pheochromocytoma that presented with tracheal obstruction and
required pulmonary artery reconstruction and airway stenting.
PMID- 18036945
TI - Radiofrequency thermoablation in chest wall mesenchymal hamartoma of an infant.
AB - We report on an infant presenting with a chondroid hamartoma managed with a
combined conservative surgical treatment and radiofrequency thermoablation.
PMID- 18036946
TI - Endoscopic management for broncholithiasis with bronchoesophageal fistula.
AB - We report a case of broncholithiasis with bronchoesophageal fistula that was
successfully managed endoscopically using endoscopic laser therapy and a covered
self-expandable metallic stent.
PMID- 18036947
TI - Good syndrome coexisting with leukopenia.
AB - A 61-year-old man was admitted to our hospital for further examinations of a
mediastinal mass. He had underwent an extended thymothymectomy, and had a tumor
that was diagnosed as a type B1 thymoma, according to the World Health
Organization. One year after surgery he was admitted again for recurrent diarrhea
and pneumonia. Laboratory data revealed severe hypogammaglobulinemia with
leukopenia. He was diagnosed with Good syndrome with leukopenia. Regular gamma
globulin and figrastim injections were successful in keeping the patient symptom
free. The prognosis of patients with Good syndrome and leukopenia is very poor;
therefore, immediate diagnosis is important. The development of infectious
diseases in a patient with thymoma or after the resection of thymoma mandates
early and comprehensive immunologic investigation.
PMID- 18036948
TI - Delayed repair of acute type A aortic dissection in a patient with
gastrointestinal bleeding and pulse deficit.
AB - Acute type A aortic dissections are considered surgical emergencies because these
patients are at risk for life-threatening complications. Patients who present
with significant neurologic and other end-organ malperfusion may benefit from a
more conservative approach. We present a patient with type A aortic dissection
and concomitant mesenteric and limb ischemia.
PMID- 18036949
TI - Ileofemoral malperfusion complicating type a dissection: revascularization
prevents renal failure.
AB - We report four cases of lower extremity malperfusion complicating acute type A
dissection. Two patients were treated with acute type A dissection repair,
followed by axillobifemoral bypass grafting when malperfusion persisted after
aortic replacement and required dialysis. Two patients were managed with lower
extremity revascularization procedures before acute type A dissection repair and
had preserved renal function. Lower extremity revascularization before
cardiopulmonary bypass minimizes ischemia and allows for controlled limb
reperfusion under hypothermic conditions compared with delayed normothermic
reperfusion when performed after acute type A dissection repair. This strategy
may increase limb function salvage and decrease the incidence of dialysis after
acute type A dissection repair in patients presenting with lower extremity
malperfusion.
PMID- 18036950
TI - Another pitfall in minimally invasive mitral valve repair.
AB - We report a case of severe systolic anterior motion (SAM) and dynamic left
ventricular outflow obstruction after repair of a flail posterior leaflet of the
mitral valve. The reason for SAM was found to be due to traction on the
pericardial stay sutures placed to expose the surgical field. The SAM and the
outflow obstruction were completely resolved by cutting these sutures. Our case
demonstrates the contribution of geometric factors in the development of SAM and
left ventricular outflow obstruction and emphasizes the need to evaluate the
heart in its natural position within the mediastinum.
PMID- 18036951
TI - Pulmonary thromboembolism with floating thrombus trapped in patent foramen ovale.
AB - A 39-year-old man was hospitalized with symptoms of acute deep vein thrombosis,
and computed tomography showed that he had pulmonary thromboembolism.
Transthoracic echocardiography showed a large, right atrial thrombus and
transesophageal echocardiography showed migrating thrombus trapped in a patent
foramen ovale and extending all the way up to the ascending aorta. He underwent
surgical embolectomy under cardiopulmonary bypass. At the conclusion of the
operation, repeat transesophageal echocardiography examination revealed fresh but
small thrombus in the right atrium. This continued entry of thrombi into the
heart was further managed with fluoroscopy-guided insertion of a retrievable
inferior vena cava filter through the internal jugular vein in the operating room
itself.
PMID- 18036953
TI - Severe chronic pulmonary hypertension caused by pulmonary embolism of hydatid
cysts.
AB - Severe pulmonary hypertension caused by chronic pulmonary thromboembolism may
still present an indication for lung transplantation. We report a rare case in
which severe pulmonary hypertension developed after pulmonary embolism of hydatid
cysts. Successful treatment in this patient was achieved by complete pulmonary
endarterectomy of the hydatid cysts.
PMID- 18036952
TI - Epicardial left ventricular mapping using subxiphoid video pericardioscopy.
AB - We report a novel subxiphoid video pericardioscopy approach for epicardial
mapping that allows direct visualization of the epicardium with minimal use of
fluoroscopy. The FLEXview system (Boston Scientific Cardiac Surgery, Santa Clara,
CA), which is capable of a free navigation around the heart owing to its flexible
neck, was inserted into the pericardial space through a small subxiphoid
incision. A commercially available mapping catheter advanced through the working
port of the device could be navigated around virtually the entire biventricular
epicardial surface. The subxiphoid video pericardioscopy approach using the
FLEXview system provided adequate visualization and access to the epicardium of
both ventricles for electroanatomic mapping while minimizing surgical
invasiveness.
PMID- 18036954
TI - Resolution of protein-losing enteropathy with low-molecular weight heparin in an
adult patient with Fontan palliation.
AB - Protein-losing enteropathy is a well-known complication after the Fontan
procedure, and unfractionated heparin therapy has been tried with some success as
a potential therapy. Low-molecular weight heparin is believed to be ineffective.
We now describe a case in which an adult patient with protein-losing enteropathy
after Fontan palliation was successfully treated with systemic doses of low
molecular weight heparin, with complete resolution in the 24-hour fecal alpha-1
anti-trypsin level and serum albumin. The patient continues to remain in
remission with this therapy. In conclusion, these findings are novel and compel
us to re-evaluate our pathophysiologic understanding of this difficult condition.
PMID- 18036955
TI - Staged hybrid left pulmonary artery rehabilitation in post-fontan left pulmonary
artery hypoplasia.
AB - Left pulmonary artery hypoplasia in the setting of Fontan circulation predisposes
to pulmonary artery discontinuity. We describe a novel approach to correct post
Fontan left pulmonary artery discontinuity by a strategy to produce isolated left
pulmonary artery growth, followed by a catheter-based reincorporation of the left
pulmonary artery into the Fontan circuit.
PMID- 18036956
TI - A child with sickle cell disease and anomalous right coronary artery.
AB - Sickle cell disease in children requiring open heart surgical repair is uncommon
and requires specific perioperative interventions to avoid complications from
intravascular red cell sickling. We present a rare case of a 14-year-old girl
with sickle cell disease and an anomalous right coronary artery from the
pulmonary artery.
PMID- 18036957
TI - Demonstration of an intrathoracic rib with computed tomography and three
dimensional reconstruction.
PMID- 18036958
TI - Giant inflammatory ascending aorta aneurysm.
PMID- 18036959
TI - Sinus of valsalva aneurysm masquerading as coronary artery disease.
PMID- 18036960
TI - Laparoscopic and thoracoscopic Ivor Lewis esophagectomy with colonic
interposition.
AB - Minimally invasive esophagectomy is a feasible and safe alternative to open
esophagectomy. The stomach is the preferred conduit for gastrointestinal
reconstruction after esophagogastrectomy; however, if the stomach is not usable,
the colon can be interposed as an alternative conduit. We describe the technique
of minimally invasive Ivor Lewis esophagogastrectomy in a patient with a gastric
cardia cancer involving the gastric body and distal esophagus. Laparoscopic
colonic interposition using the right colon based on the middle colic vessels was
used to restore gastrointestinal continuity.
PMID- 18036961
TI - A simple method for making photographic records under sterile conditions.
AB - Digital photography has become a practical alternative to conventional film
photography for medical documentation, communication, and education. The use of
digital cameras has become increasingly popular in recent years for documenting
perioperative conditions, intraoperative findings, and imaging studies. However,
taking intraoperative pictures or video clips may be technically demanding for
assistants or nurses. Herein, we describe a simple and effective method for
surgeons to create photographic and video records of operations under sterile
conditions involving the use of a digital camera encased in commercially
available protective housing.
PMID- 18036962
TI - Pre-measured artificial chordae for mitral valve repair.
AB - There is growing interest in the application of artificial chordae to correct
mitral valve regurgitation caused by prolapse. Application of pre-measured
artificial chordae facilitates creation of chordae of appropriate length. Herein
we illustrate the technique for creation of pre-measured artificial chordae,
documenting neo-chordal length and number in 50 patients with anterior leaflet
prolapse, highlighting the need for chordae of more than one length in 14% of
patients.
PMID- 18036963
TI - Papillary muscle approximation for functional ischemic mitral regurgitation.
AB - In patients with ischemic left ventricular dysfunction and functional mitral
regurgitation, surgical treatment of mitral insufficiency remains a challenging
issue. Several procedures have been described to restore a near to natural
alignment between the mitral annulus and the laterally displaced papillary
muscles. We report a new approach to relocate the displaced papillary muscles
toward the mitral annulus and to reduce tethering in 8 patients, providing
satisfactory initial results. Echocardiography showed mild or no mitral
regurgitation at the follow-up (mean, 11.4 +/- 3.6 months; range = 7 to 14
months). This procedure is believed to be technically easy and beneficial in
terms of mitral repair.
PMID- 18036964
TI - An alternative procedure for correction of anomalous origin of left coronary
artery from the pulmonary artery.
AB - Anomalous origin of the left coronary artery from the pulmonary artery is a rare
congenital cardiac malformation that is commonly associated with mitral
insufficiency. Direct implantation of the anomalous origin of the left coronary
artery from the pulmonary artery into the aorta is ideal, but it may not be fit
for some patients whose anomalous left coronary arteries arise remotely from the
ascending aorta. To solve the same technical problem in a boy with anomalous
origin of the left coronary artery from the pulmonary artery in combination with
moderate mitral insufficiency, we successfully elongated the anomalous coronary
artery by creating a tube-shape graft using part of the pulmonary arterial wall.
Simultaneous mitral annuloplasty was performed after a dual-coronary repair.
PMID- 18036965
TI - The unifocal bilateral bidirectional cavopulmonary anastomosis.
AB - We propose a new surgical technique to manage the presence of bilateral superior
venae cavae in single ventricle patients, a recognized risk factor for both
bidirectional Glenn anastomosis and Fontan completion. The idea is to convert two
small, peripheral and competing bilateral bidirectional cavopulmonary anastomoses
into a single, larger, and centrally located cavopulmonary connection. This
technique, used in 2 patients, provides a symmetrical distribution of pulmonary
blood flow and may, in fact, yield growth of the central pulmonary arteries as
well as prevent thrombus formation.
PMID- 18036966
TI - Video-assisted thoracoscopic lung biopsy in patients with interstitial lung
disease.
PMID- 18036967
TI - Pleurodesis using small talc particles results in an unacceptably high rate of
acute lung injury and hypoxia.
PMID- 18036969
TI - Decreasing the expression of LFA-1 and ICAM-1 as well as hindering their
interaction as the major mechanism for statin-induced neutrophil dysfunction.
PMID- 18036970
TI - GATA4 as candidate gene for pericardial defects.
PMID- 18036971
TI - Internal thoracic artery grafts and upper extremity arteriovenous fistula.
PMID- 18036972
TI - Management of tricuspid valve replacement.
PMID- 18036973
TI - Rhythmic movement disorder.
PMID- 18036974
TI - Sleepiness and brief lapses of consciousness.
PMID- 18036975
TI - The NIDRR burn injury rehabilitation model system program: selected findings.
AB - The NIDRR burn injury rehabilitation model system program: selected findings. The
quality of burn care has improved over the past few decades, and consequently
many more survivors with large-area burn injuries have long-term rehabilitation
needs. The National Institute on Disability Rehabilitation Research recognized
that the rehabilitation of people with burn injuries has been underaddressed and
established model systems of care for this population in 1994. This special
supplement to the Archives of Physical Medicine and Rehabilitation reports on
some of the research that has been generated by the Burn Rehabilitation Model
Systems over the past 13 years.
PMID- 18036976
TI - Augmented exercise in the treatment of deconditioning from major burn injury.
AB - OBJECTIVE: To investigate the efficacy of a 12-week exercise program in producing
greater improvement in aerobic capacity in adult burn survivors, relative to
usual care. DESIGN: Randomized, controlled, double-blinded trial. SETTING: Burn
center. PARTICIPANTS: A population-based sample of 35 adult patients admitted to
a burn center for treatment of a serious burn injury. INTERVENTION: A 12-week, 36
session, aerobic treadmill exercise program where work to quota (WTQ)
participants intensified their exercise according to preset quotas and work to
tolerance (WTT) participants continued to their tolerance. Participants completed
a maximal stress test at baseline and 12 weeks to measure physical fitness. MAIN
OUTCOME MEASURE: Maximal aerobic capacity. RESULTS: The WTT and the WTQ exercise
groups both made significant improvements in aerobic capacity from baseline to 12
weeks (t=-3.60, P< or =.01; t=-3.17, P< or =.01, respectively). The control group
did not (t=-1.39, P=.19). WTT and WTQ participants demonstrated significantly
greater improvements in aerobic capacity in comparison to the control group
members (F=4.6, P< or =.05). The WTT and WTQ groups did not differ significantly
from each other with regard to their respective improvements in aerobic capacity
(F=.014, P=.907). CONCLUSIONS: The aerobic capacity of adult burn survivors can
be improved with participation in a structured, 12-week exercise program after
injury.
PMID- 18036977
TI - Effects of cessation of a structured and supervised exercise conditioning program
on lean mass and muscle strength in severely burned children.
AB - OBJECTIVE: To determine whether the benefits of exercise by burned children are
maintained 3 months after the exercise program is concluded. DESIGN: Randomized,
controlled prospective study. SETTING: Pediatric burn hospital. PARTICIPANTS:
Twenty severely burned children with a 40% or greater total body surface area
burn, with main outcome measures completed before exercise training, immediately
after 12 weeks of exercise training (intervention), and 12 weeks after training
ended. INTERVENTION: Randomization into a 12-week standard rehabilitation program
at home (n=9) or a 12-week standard hospital rehabilitation program supplemented
with an exercise-training program beginning 6 months after burn injury (n=11).
MAIN OUTCOME MEASURES: Assessment of lean body mass (LBM) using dual-energy x-ray
absorptiometry and of leg isokinetic muscle strength at a speed of 150 degrees /s
were done before, after the 12-week rehabilitation and exercise training program,
and 3 months after the exercise program was completed (12mo postburn). The
effects of exercise on the dependent variables were analyzed by repeated-measures
analysis of variance. If we found a significant overall effect of time and/or
intervention, we did a post hoc test for multiple comparison (Holm-Sidak).
Results are expressed as mean +/- standard error. RESULTS: The mean percentage
increase in LBM and muscle strength was significantly greater in the exercise
group (6.4%+/-1.9%, 40.7%+/-8.6%, respectively) than in the no-exercise group
(1.9%+/-2.6% vs 3.4%+/-4.5%, respectively). Three months after cessation of the
exercise program, LBM remained relatively unchanged in the no-exercise group
(3.5%+/-1.8%). In contrast, LBM in the exercise group increased significantly
(10.7%+/-4.8%, P=.03). In addition, muscle strength further increased by 17.9%+/
10.1% in the exercise group versus 7.2%+/-3.4% in the no-exercise group, although
neither percentage increase was significant (P=.08 for exercise vs P=.61 for no
exercise). Absolute values in LBM and muscle strength for both groups at 12
months postburn continued to be below historical or concurrent age-matched,
nonburned children. CONCLUSIONS: Participation in an exercise program resulted in
a greater improvement in LBM and muscle strength in the exercise group than in
the no-exercise group. Three months after the exercise training ended, there were
persistent mild-to-moderate increases in LBM and muscle strength. Absolute levels
continued to be below previously reported nonburned, age-matched values, however,
which underscores the need for continued exercise to improve LBM and muscle
strength in severely burned children.
PMID- 18036978
TI - Burn rehabilitation: an overview.
AB - Burn injuries result in significant physical and psychologic complications that
require comprehensive rehabilitation treatment and coordination with the acute
care burn team. This interdisciplinary rehabilitation treatment is focused on
preventing long-term problems with scarring, contractures, and other problems
that limit physical function. Adequate pain management and recognition of
psychologic issues are important components of treatment after burn injuries.
Burn injuries present significant barriers to community integration, but many
people can successfully return to work and other activities.
PMID- 18036979
TI - Outcomes after deep full-thickness hand burns.
AB - OBJECTIVE: To measure hand-specific functional performance after deep full
thickness dorsal hand burns. DESIGN: Descriptive, cross-sectional study. SETTING:
The 2005 Phoenix Society's World Burn Congress, Baltimore, MD. PARTICIPANTS:
Volunteer sample of burn survivors (N=32) with full-thickness dorsal hand burns
with extensor mechanism involvement, who consented to participate. INTERVENTIONS:
Not applicable. MAIN OUTCOME MEASURES: Total active motion of joints, Jebsen
Taylor Hand Function Test (JTHFT), and Michigan Hand Questionnaire (MHQ).
RESULTS: Subjects had large burns (mean percentage total body surface area, 58%).
Digit involvement was severe, with more than 50% having amputations and 22% with
a boutonniere deformity. Forty percent of subjects had poor functional range with
total active motion of less than 180 degrees . Scores on the JTHFT were lower
than normative scores, and subjects reported most difficulty in performing MHQ
activities of daily living (ADLs). CONCLUSIONS: Even with partial amputation or
loss of extensor mechanisms, the intact flexor muscles facilitate function by
allowing for a modified grasp and enable patients to be independent in most ADL
tasks. Training programs can be developed to meet specific goals despite residual
hand deformities caused by deep full-thickness burns.
PMID- 18036980
TI - Acute pain at discharge from hospitalization is a prospective predictor of long
term suicidal ideation after burn injury.
AB - OBJECTIVE: To determine the extent to which pain contributes to risk for suicidal
ideation after burn injury. DESIGN: This longitudinal cohort study evaluated
participants at discharge, 6 months, and 1 year after burn injury. SETTING:
Inpatient rehabilitation units of multiple regional burn centers. PARTICIPANTS:
Survivors of major burns (N=128). INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: Pain severity, assessed using the Medical Outcomes Study 36-Item Short
Form Health Survey bodily pain subscale, and passive and active suicidal
ideation, assessed by self-report. RESULTS: At each time point, approximately one
quarter to one third of the sample reported some form of suicidal ideation. In
logistic regression analyses, pain severity at discharge was the sole consistent
predictor of suicidal ideation at follow-up, with greater pain severity being
associated with enhanced risk for both passive and active suicidal ideation.
These associations were observed even after controlling for discharge mental
health. CONCLUSIONS: These are the first findings to suggest an association
between acute pain severity and the development and maintenance of suicidal
ideation in burn patients. Further research in this area, including the study of
improved pain management programs as a prophylaxis against suicidal ideation, may
benefit those who are at elevated suicide risk as a consequence of burn injuries.
PMID- 18036981
TI - Factors influencing the efficacy of virtual reality distraction analgesia during
postburn physical therapy: preliminary results from 3 ongoing studies.
AB - OBJECTIVE: To assess the efficacy and side effects of immersive virtual reality
(VR) distraction analgesia, as well as patient factors associated with VR
analgesic efficacy in burn patients who require passive range-of-motion (ROM)
physical therapy (PT). DESIGN: Prospective, randomized, controlled, within
subject trials. SETTING: Regional level I burn center in a university-affiliated
urban hospital. PARTICIPANTS: Patients (age range, 6-65y) who required passive
ROM PT in sessions lasting 3 to 15 minutes after cutaneous burn injury.
INTERVENTIONS: Standard analgesic (opioid and/or benzodiazepine) care and
standard analgesic care plus immersive VR distraction. MAIN OUTCOME MEASURE: Self
reported subjective pain ratings (0 to 100 graphic rating scale). RESULTS: A
total of 146 treatment comparisons were made in 88 subjects, 75% of whom were
children ages 6 to 18 years. Compared with standard analgesic treatment alone,
the addition of VR distraction resulted in significant reductions in subjective
pain ratings for worst pain intensity (20% reduction), pain unpleasantness (26%
reduction), and time spent thinking about pain (37% reduction). Subjects' age,
sex, ethnicity, size of initial burn injury, or duration of therapy session did
not affect the analgesic effects of VR distraction. Nausea with the standard care
plus VR distraction condition was infrequent (15%) and mild, with 85% of the
subjects reporting no nausea. Children provided higher subjective reports of
"presence" in the virtual environment and "realness" of the virtual environment
than did adults, but age did not affect the analgesic effects of VR distraction.
CONCLUSIONS: When added to standard analgesic therapy, VR distraction provides a
clinically meaningful degree of pain relief to burn patients undergoing passive
ROM PT. Multiple patient factors do not appear to affect the analgesic effect.
Immersive VR distraction is a safe and effective nonpharmacologic technique with
which to provide adjunctive analgesia to facilitate patient participation in
rehabilitation activities.
PMID- 18036982
TI - Barriers to return to work after burn injuries.
AB - OBJECTIVE: To identify barriers to return to work after burn injury as identified
by the patient. DESIGN: A cohort study with telephone interview up to 1 year.
SETTING: Hospital-based burn centers at 3 national sites. PARTICIPANTS:
Hospitalized patients (N=154) meeting the American Burn Association criteria for
major burn injury, employed at least 20 hours a week at the time of injury, and
with access to a telephone after discharge. INTERVENTION: Patients were contacted
via telephone every 2 weeks up to 4 months, then monthly up to 1 year after
discharge. MAIN OUTCOME MEASURES: A return to work survey was used to identify
barriers that prevented patients from returning to work. A graphic rating scale
determined the impact of each barrier. RESULTS: By 1 year, 79.7% of patients
returned to work. Physical and wound issues were barriers early after discharge.
Although physical abilities continued to be a significant barrier up to 1 year,
working conditions (temperature, humidity, safety) and psychosocial factors
(nightmares, flashbacks, appearance concerns) became important issues in those
with long-term disability. CONCLUSIONS: The majority of patients return to work
after a burn injury. Although physical and work conditions are important
barriers, psychosocial issues need to be evaluated and treated to optimize return
to work.
PMID- 18036983
TI - Physical and psychologic rehabilitation outcomes for young adults burned as
children.
AB - OBJECTIVE: To report physical and psychologic outcomes for young adult survivors
of pediatric burns. DESIGN: Prospective, correlational study. SETTING: Acute and
rehabilitation pediatric burn care facility. PARTICIPANTS: Eighty-three young
adult survivors of pediatric burns, who were 18 to 28 years of age, with total
body surface area (TBSA) burns of 30% or greater, and were at least 2 years
postburn. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Physical outcomes
were assessed by muscle strength tests, grip and pinch measurements, mobility
levels, and self-care (activities of daily living) skills. Psychologic outcomes
included behavioral problems, personality disorder, and incidence of psychiatric
illness. An individually administered Structured Clinical Interview for
Diagnosis, based on the Diagnostic and Statistical Manual of Mental Disorders,
4th Edition, for psychiatric diagnosis, was used to assess mental health, and
behavioral problems were assessed with the Young Adult Self-Report. Participants
reported educational achievement, employment status, state of transition from
family of origin (home) to independent living, and pair bonding. The Short-Form
36-Item Health Survey and the Quality of Life Questionnaire were used to assess
each participant's self-reported general health and quality of life. RESULTS: The
majority of subjects had physical and psychologic outcomes that were within the
normal range when compared with age-mates who had not experienced burns. The
areas that were most likely to be impaired involved peripheral strength (wrist
and grip). These deficits affected some self-care skills and correlated with
TBSA. Standardized diagnostic interviews showed that greater than 50% of subjects
qualified for a psychiatric diagnosis, with anxiety disorders as the most
frequently occurring diagnosis. There were few significant correlations of the
physical measurements or self-care skills with the burn size, psychologic
problems, or social outcomes, and none appeared to be clinically important.
CONCLUSIONS: Most of the people in this sample were functioning physically and
psychosocially within normal limits as they reached adulthood. Although they
appeared to function well as measured by standardized assessments, there were
indications of private suffering that suggested they may not be functioning at an
optimal level. The findings suggest that rehabilitation professionals could
improve outcomes by including programs to develop overall muscle strength in
severely burned children and by addressing concerns related to anxiety and other
symptoms of psychologic distress.
PMID- 18036984
TI - Assets and liabilities of the Burn Model System data model: a comparison with the
National Burn Registry.
AB - OBJECTIVES: To determine whether the Burn Model System (BMS) population is
representative of the larger burn population and to investigate threats to
internal and external validity in a multicenter longitudinal database of severe
burns. DESIGN: Cohort data for the BMS project have been collected since 1994.
Follow-up data have been collected at 6, 12, and 24 months postburn. The
demographic and burn characteristics of the BMS population were compared with
those of patients in the National Burn Registry (NBR). SETTING: The BMS, which
collected data for these analyses from 5 regional burn centers in the United
States, and the NBR dataset, which is a registry of information collected through
the Trauma Registry of the American College of Surgeons and includes data from 70
hospitals in the United States and Canada. PARTICIPANTS: BMS study participants
were severely burned patients treated at 1 of the 5 participating burn centers.
We compared the BMS population with that of the NBR both in total and filtered to
include only patients with comparable injuries. INTERVENTIONS: Not applicable.
MAIN OUTCOME MEASURES: Comparable demographic and burn characteristics contained
in both the NBR and the 5-center BMS longitudinal database and baseline and
follow-up distributions of demographic variables and burn characteristics in the
BMS database. RESULTS: Although minor deviations in demographic distributions
were found between the BMS and NBR and between discharge and follow-up
populations, our results show that the BMS population sample is internally and
externally valid and is adequate for answering research questions. CONCLUSIONS:
Cohort studies examining long-term outcomes have the potential flaw of using a
nonrepresentative study population. The BMS population was found to be
sufficiently representative, but future analyses will require cautious and
purposeful application of statistical adjustment strategies.
PMID- 18036985
TI - Theoretically estimated risk of severe acute respiratory syndrome transmission
through blood transfusion during an epidemic in Shenzhen, Guangdong, China in
2003.
AB - BACKGROUND: Severe acute respiratory syndrome (SARS) is a newly recognized
infectious disease that caused an outbreak in south China in 2003. The cause of
SARS was identified as a novel coronavirus (CoV). The existence of asymptomatic
seroconvertors and the detection of the SARS-CoV RNA in plasma during the course
of infection all suggest that SARS could, as least theoretically, be transmitted
by transfusion. An estimate of the risk of SARS transmission through blood
transfusion will contribute to decisions concerning blood safety monitoring and
may be useful in the design of strategies to decrease the risk of transfusion
transmitted infections. STUDY DESIGN AND METHODS: Case onset dates from the 2003
Shenzhen SARS epidemic and investigational results from Taiwan on viremia in
humans are used to estimate the number of cases that were viremic throughout the
epidemic. Estimates of the asymptomatic-to-clinically confirmed SARS-CoV
infection ratio, the proportion of asymptomatic infections reported in a
seroprevalence survey in Hongkong, and the population size of Shenzhen are used
to infer the SARS-CoV transfusion-transmission risk. Statistical resampling
methods are used. RESULTS: Based on data from Shenzhen, Hongkong and Taiwan, the
maximum and mean risk (per million) of SARS-CoV transmission from donors in
Shenzhen were estimated as 23.57 (95% CI: 6.83-47.69) and 14.11 (95% CI: 11.00
17.22), respectively. The estimated risk peaked on April 02, 2003. CONCLUSIONS:
Although there are currently no confirmed reports of the transmission of SARS-CoV
from asymptomatic individuals, recent research data indicate that transfusion
transmitted SARS-CoV is at least theoretically possible. Although the risk is
low, with its rapid spread of the disease, appearance of alarmingly high
infectivity and high fatality rate, public health authorities need to consider
strategies for blood donor recruitment and virus inactivation during an epidemic
to further ensure blood safety.
PMID- 18036986
TI - In vitro evaluation of platelet concentrates during storage: Platelet counts and
markers of platelet destruction.
AB - BACKGROUND: Differences in platelet counts are observed by use of automated
haematology analyzers making interlaboratory comparison difficult. MATERIALS AND
METHODS: Twenty-eight single-donor platelet concentrates (PCs) were collected.
Platelet concentration and markers of platelet destruction were investigated
during storage for 11/12 days. RESULTS: Increasing impedance-immunoplatelet ratio
was observed during storage, correlating to platelet fragments, large platelets,
platelet density and cell-lysis. High variability was observed for optical
immunoplatelet ratio. CONCLUSION: Immunoplatelet count or correction factor
calculated by impedance-immunoplatelet ratio should be used to confirm that
platelet unit meets platelet count requirements or to compare results from
clinical trials. Optical platelet count is not recommended.
PMID- 18036987
TI - The pathogenesis of transfusion-related acute lung injury and how to avoid this
serious adverse reaction of transfusion.
AB - Transfusion-related acute lung injury (TRALI) is a serious, life-threatening
complication of blood transfusion. Available evidence strongly suggests that
leukocyte antibodies present in donor plasma are the predominant mechanism in
TRALI. These antibodies lead to recipient neutrophil activation, with activated
neutrophils inducing endothelial and alveolar damage in the lungs. These
mechanisms are discussed in detail as are the alternative mechanisms that have
been proposed. Preventive strategies that may help to reduce TRALI are presented.
PMID- 18036988
TI - History and evolution of Hemapheresis in Argentina.
PMID- 18036989
TI - Immunoadsorption in dilated cardiomyopathy.
AB - Dilated cardiomyopathy (DCM) is a common myocardial disease characterized by
ventricular dilatation and progressive depression of myocardial contractile
function. Disturbances in both humoral and cellular immunity have been described
among these patients. A number of antibodies against various cardiac cell
proteins have been identified in DCM. Recent data indicate that cardiac
antibodies play an active role in the pathogenesis of DCM, and may contribute to
cardiac dysfunction of DCM patients. Therefore, removal of cardiac autoantibodies
by immunoadsorption may induce hemodynamic improvement in DCM patients. Various
studies with a limited number of patients indicate that immunoadsorption improves
left ventricular function in DCM.
PMID- 18036992
TI - Optimizing healing of the acute wound by minimizing complications.
PMID- 18036993
TI - SLE serum induces classical caspase-dependent apoptosis independent of death
receptors.
AB - The main source of autoantigens in systemic lupus erythematosus (SLE) is most
likely apoptotic material. We have previously shown that sera from SLE patients
can induce apoptosis in monocytes and lymphocytes, and here we characterized
mechanisms of apoptosis induced by SLE serum. SLE serum seems to induce caspase
dependent classical apoptosis since cells exposed to SLE serum displayed
morphology consistent with classical apoptosis as demonstrated by confocal
microscopy, and pan-caspase inhibitor Z-VAD.fmk significantly reduced SLE serum
induced apoptosis. Death-receptor-independent pathways seemed to be involved
since SLE serum induced apoptosis equally in FADD-mutant and wild-type Jurkat
cell lines, and blocking of Fas and TNFR1 did not reduce apoptosis induction.
Importantly, apoptosis was significantly reduced in a Bcl-2 overexpressing Jurkat
cell line indicating involvement of mitochondrial pathways. Thus, based on
morphology and caspase inhibition experiments, we have demonstrated that SLE
serum induce classical caspase-dependent apoptosis, and this was independent of
death receptor pathways.
PMID- 18036994
TI - Profiles in comparative endocrinology: professor Arnold De Loof.
PMID- 18036995
TI - Endometriosis: current and future medical therapies.
AB - Endometriosis is a chronic inflammatory disease that responds to steroidal
manipulation. Creation of a steady hormonal environment with inhibition of
ovulation temporarily suppresses the ectopic implants and reduces the
inflammatory status as well as the associated pain symptoms. Pharmacological
management of endometriosis must be set within the framework of long-term
therapeutic strategies. As the available drugs are not curative, treatments will
need to be administered for years or until women desire a pregnancy. The various
therapies studied have shown similar efficacy. Consequently, based on a more
favourable profile in terms of safety, tolerability and cost, combined oral
contraceptives and progestins should be considered as the first-line option, both
as an alternative to surgery and as a postoperative adjuvant measure.
Gonadotrophin-releasing hormone analogues, danazol and gestrinone should be used
when progestins and oral contraceptives fail, are not tolerated or are contra
indicated. Future therapies for endometriosis must compare favourably with
existing drugs before hypothesizing their implementation in current practice.
Medical treatment is not indicated in women seeking conception because
reproductive prognosis is not ameliorated.
PMID- 18036996
TI - Transcriptome analysis of differentiating spermatogonia stimulated with kit
ligand.
AB - Kit ligand (KL) is a survival factor and a mitogenic stimulus for differentiating
spermatogonia. However, it is not known whether KL also plays a role in the
differentiative events that lead to meiotic entry of these cells. We performed a
wide genome analysis of difference in gene expression induced by treatment with
KL of spermatogonia from 7-day-old mice, using gene chips spanning the whole
mouse genome. The analysis revealed that the pattern of RNA expression induced by
KL is compatible with the qualitative changes of the cell cycle that occur during
the subsequent cell divisions in type A and B spermatogonia, i.e. the progressive
lengthening of the S phase and the shortening of the G2/M transition. Moreover,
KL up-regulates in differentiating spermatogonia the expression of early meiotic
genes (for instance: Lhx8, Nek1, Rnf141, Xrcc3, Tpo1, Tbca, Xrcc2, Mesp1, Phf7,
Rtel1), whereas it down-regulates typical spermatogonial markers (for instance:
Pole, Ptgs2, Zfpm2, Egr2, Egr3, Gsk3b, Hnrpa1, Fst, Ptch2). Since KL modifies the
expression of several genes known to be up-regulated or down-regulated in
spermatogonia during the transition from the mitotic to the meiotic cell cycle,
these results are consistent with a role of the KL/kit interaction in the
induction of their meiotic differentiation.
PMID- 18036997
TI - Brca1 in immunoglobulin gene conversion and somatic hypermutation.
AB - Defects in Brca1 confer susceptibility to breast cancer and genomic instability
indicative of aberrant repair of DNA breaks. Brca1 was previously implicated in
the homologous recombination pathway via effects on the assembly of recombinase
Rad51. Activation-induced cytidine deaminase (AID) deaminates C to U in B
lymphocyte immunoglobulin (Ig) DNA to initiate programmed DNA breaks. Subsequent
uracil-glycosylase mediated U removal, and perhaps further processing, leads to
four known classes of mutation: Ig class switch recombination that results in a
region-specific genomic deletion, Ig somatic hypermutation that introduces point
mutations in Ig V-regions, Ig gene conversion in vertebrates that possess Ig
pseudo-V genes, and translocations common to B cell lymphomas. We tested the
involvement of Brca1 in AID-dependent Ig diversification in chicken DT40 cells.
The DT40 cell line diversifies IgVlambda mainly by gene conversion, and less so
by point mutation. Brca1-deficiency caused a shift in Vlambda diversification,
significantly reducing the proportion of gene conversions relative to point
mutations. Thus, Brca1 regulates AID-dependent DNA lesion repair. Interestingly,
while Brca1 is required to recruit ubiquitinated FancD2 to DNA damage, the
phenotype of Brca1-deficient DT40 differs from the one of FancD2-deficient DT40,
in which both gene conversion and non-templated mutations are impaired.
PMID- 18036998
TI - Bilateral sequential lung and simultaneous pancreas transplant: a new approach
for the recipient with cystic fibrosis.
AB - Cystic fibrosis (CF) is an inherited disorder that presents in childhood as a
multisystem disease. Pulmonary failure and pancreatic insufficiency, including CF
related diabetes (CFRD) and exocrine insufficiency, are common complications of
this disease. In this report we review the first three simultaneous lung and
pancreas transplantations in CF patients with diabetes. METHODS: All three CF
patients presented for evaluation for lung transplantation and had pancreatic
insufficiency requiring enzyme supplementation and CFRD requiring insulin. All
were severely malnourished and required nutritional supplementation. SURGICAL
TECHNIQUE: In each case, the allografts were procured from a single cadaveric
donor. Bilateral lung transplantation was performed first using two separate
thoracic incisions. The pancreas transplant was performed with systemic venous
drainage and enteric exocrine drainage. RESULTS: The pancreas allografts all
functioned normally with normoglycemia independent of insulin. As a result of the
enteric drainage of the pancreas allograft, supplemental pancreatic enzymes were
no longer required. Despite several complications detailed in the manuscript, all
three remain independent of supplemental oxygen, insulin and pancreatic enzyme
replacement at 4, 6 and 14 months of follow-up. CONCLUSION: Simultaneous lung and
pancreas transplantation in patients with CF can be performed successfully and
provides the advantages of normoglycemia and improves nutrition for patients
requiring lung transplantation.
PMID- 18036999
TI - Airway response to induced muscular contraction in spontaneously breathing
rabbits.
AB - The airways are thought to dilate during exercise in humans but the time course
and mechanisms of the response are not fully described. The aim of the study was
to document changes in airway calibre during electrically induced muscular
contractions (MC) in spontaneously breathing rabbits. Experiments were also
performed after induced bronchoconstriction to assess the effect of change in
breathing pattern on airway calibre during MC. Respiratory resistance (R(RS)) was
measured in 12 rabbits using the forced oscillation technique at 20 Hz before,
during and after 30s MC in control conditions and after methacholine induced
bronchoconstriction (Mch). MC was associated with significant decrease in R(RS)
both at control and Mch. The MC induced increase in V(E) occurred with
significant albeit small change in mean tidal volume (V(T)) at Mch but not
control. An augmented breath (AB) occurred in 29/35 MCs and was usually
associated with an abrupt drop in R(RS). The decrease in R(RS) induced by AB was
significantly larger at Mch compared with control. Passively inflating the lung
after MC induced significantly larger decrease in R(RS) than AB during MC. The
data indicate bronchodilation by MC in spontaneously breathing rabbits. The
mechanisms appear to include AB dependent airway wall stretching as well as
removal of cholinergic input to the airway smooth muscle.
PMID- 18037000
TI - The fall in exhaled nitric oxide with ventilation at low lung volumes in rabbits:
an index of small airway injury.
AB - The mechanisms involved in the fall of exhaled nitric oxide (NOe) concentration
occurring in normal, anesthetized open chest rabbits with prolonged mechanical
ventilation (MV) at low lung volume have been investigated. NOe, pH of exhaled
vapor condensate, serum prostaglandin E(2), and F(2alpha), tumor necrosis factor
(TNF-alpha), PaO(2), PaCO(2), pHa, and lung mechanics were assessed before,
during, and after 3-4h of MV at zero end-expiratory pressure (ZEEP), with fixed
tidal volume (9 ml kg(-1)) and frequency, as well as before and after 3-4h of MV
on PEEP only. Lung histology and wet-to-dry ratio (W/D), and prostaglandin and
TNF-alpha in bronchoalveolar lavage fluid (BALF) were also assessed. While MV on
PEEP had no effect on the parameters above, MV on ZEEP caused a marked fall (45%)
of NOe, with a persistent increase of airway resistance (45%) and lung elastance
(12%). Changes in NOe were independent of prostaglandin and TNF-alpha levels,
systemic hypoxia, hypercapnia and acidosis, bronchiolar and alveolar interstitial
edema, and pH of exhaled vapor condensate. In contrast, there was a significant
relationship between the decrease in NOe and bronchiolar epithelial injury score.
This indicates that the fall in NOe, which occurs in the absence of an
inflammatory response, is due to the epithelial damage caused by the abnormal
stresses related to cyclic opening and closing of small airways with MV on ZEEP,
and suggests its use as a sign of peripheral airway injury.
PMID- 18037003
TI - Stress-induced changes in hippocampal function.
AB - Exposure of an organism to stress leads to activation of the sympatho
adrenomedullary system and the hypothalamo-pituitary-adrenal axis. Consequently,
levels of noradrenaline, peptides like vasopressin and CRH, and corticosteroid
hormones in the brain rise. These hormones affect brain function at those sites
where receptors are enriched, like the hippocampus, lateral septum, amygdala
nuclei, and prefrontal cortex. During the initial phase of the stress response,
when hormone levels are high, these compounds mostly enhance excitability and
promote long-term potentiation. Later on, when hormone levels have subsided but
gene-mediated effects of corticosteroids start to appear, the excitability is
normalized to the pre-stress level, in the CA1 hippocampal area, but possibly
less so in the dentate gyrus and amygdala. A disturbed balance between these
early and late phases of the stress response as well as a shift toward the
relative contribution of the dentate/amygdala pathways may explain why the normal
restorative capacity fails in vulnerable people experiencing a life-threatening
situation, which could contribute to the development of PTSD.
PMID- 18037004
TI - Glucocorticoids, developmental 'programming' and the risk of affective
dysfunction.
AB - Early life environmental events have persisting effects on tissue structure and
function, a phenomenon called 'developmental programming'. Exposure to stress and
its glucocorticoid hormone mediators may underpin many such effects. Indeed,
studies in animal models and observations in humans suggest that prenatal
stress/glucocorticoid overexposure causes permanent cardiometabolic,
neuroendocrine and behavioural effects in offspring. Such effects appear mediated
via tissue-specific changes in gene expression. Underlying epigenetic changes in
target gene promoters may ensure persistence of altered transcription long after
the initial challenge. Posttraumatic stress disorder and other affective diseases
may both act as environmental challenges if present in early life and may
themselves be more likely in individuals made 'vulnerable' by early life stress.
PMID- 18037005
TI - Amygdala modulation of memory-related processes in the hippocampus: potential
relevance to PTSD.
AB - A key assumption in the study of stress-induced cognitive and neurobiological
modifications is that alterations in hippocampal functioning after stress are due
to an excessive activity exerted by the amygdala on the hippocampus. Research so
far focused on stress-induced impairment of hippocampal plasticity and memory but
an exposure to stress may simultaneously also result in strong emotional
memories. In fact, under normal conditions emotionally charged events are better
remembered compared with neutral ones. Results indicate that under these
conditions there is an increase in activity within the amygdala that may lead to
memory of a different quality. Studying the way emotionality activates the
amygdala and the functional impact of this activation we found that the amygdala
modulates memory-related processes in other brain areas, such as the hippocampus.
However, this modulation is complex, involving both enhancing and suppressing
effects, depending on the way the amygdala is activated and the hippocampal
subregion examined. The current review summarizes our findings and attempts to
put them in context with the impact of an exposure to a traumatic experience, in
which there is a mixture of a strong memory of some aspects of the experience but
impaired memory of other aspects of that experience. Toward that end, we have
recently developed an animal model for the induction of predisposition to stress
related disorders, focusing on the consequences of exposure to stressors during
juvenility on the ability to cope with stress in adulthood. Exposing juvenile
stressed rats to an additional stressful challenge in adulthood revealed their
impairment to cope with stress and resulted in significant elevation of the
amygdala. Interestingly, and similar to our electrophysiological findings,
differential effects were observed between the impact of the emotional challenge
on CA1 and dentate gyrus subregions of the hippocampus. Taken together, the
results indicate that long-term alterations within the amygdala contribute to
stress-related mnemonic symptoms and suggest that elucidating further these intra
amygdala alterations and their effects on modulating other brain regions is
likely to be beneficial for the development of novel approaches to treat stress
related disorders.
PMID- 18037006
TI - Commentary: neuroendocrine basis.
PMID- 18037007
TI - Mice that under- or overexpress glucocorticoid receptors as models for depression
or posttraumatic stress disorder.
AB - Modern molecular and pathophysiological concepts suggest that glucocorticoid
receptors (GRs) play a crucial role for the pathogenesis, course and therapy of
affective or emotional disorders. Specifically, an impairment of GR signaling has
been associated with major depression, whereas overactivity or
hyperresponsiveness of GRs have been conceptualized for posttraumatic stress
disorder (PTSD). Recently, several research groups have generated transgenic
mouse strains that under- or overexpress GRs, respectively. These animals seem to
represent valuable tools for studying the foregoing hypotheses. Indeed, first
results indicate that mice with a deficit in GR expression show a depression-like
behavioral phenotype as well as characteristic neuroendocrinological changes
observed in depressive patients. Particularly, GR heterozygous mice with a 50%
reduction of GR expression represent a model for combined effects of both genetic
and environmental manipulations, since their depression-like behavior becomes
only manifest after stress-exposure. Thus, the phenotype of this strain mimics
the human situation in depressive disorders, in which individuals at risk are
predisposed to develop depressive episodes after stress. It is currently less
clear whether, and in which way, mice that overexpress GRs can serve as models
for PTSD, or mimic at least specific aspects of the clinical syndrome. The latter
strains have still to be subjected to specific tests analyzing conditioning and
sensitization processes in fearful situations. So far, mice with compromised GR
expression seem to be a good tool to further study molecular, pathophysiological
and cellular/structural alterations that underlie specific behavioral features
such as despair or helplessness. A major challenge is to decipher which signs and
symptoms in patients correspond to these animal behavioral constructs, and to
elucidate whether it is possible to gain insights from the animals' response to
specific treatments for human therapy.
PMID- 18037008
TI - Adrenal stress hormones, amygdala activation, and memory for emotionally arousing
experiences.
AB - Extensive evidence indicates that stress hormones released from the adrenal
glands are critically involved in memory consolidation of emotionally arousing
experiences. Epinephrine or glucocorticoids administered after exposure to
emotionally arousing experiences enhance the consolidation of long-term memories
of these experiences. Our findings indicate that adrenal stress hormones
influence memory consolidation via interactions with arousal-induced activation
of noradrenergic mechanisms within the amygdala. In turn, the amygdala regulates
memory consolidation via its efferent projections to many other brain regions. In
contrast to the enhancing effects on consolidation, high circulating levels of
stress hormones impair memory retrieval and working memory. Such effects also
require noradrenergic activation of the amygdala and interactions with other
brain regions.
PMID- 18037009
TI - Adult neurogenesis and systemic adaptation: animal experiments and clinical
perspectives for PTSD.
AB - The life-long persistence of neuron production in the adult mammalian central
nervous system was established at the end of the 20th century and since then,
intensive studies have been carried out to determine the biological role of
neuronal turnover in the mature brain. To date, evidence has been found of
involvement in learning/memory function and stress-related mental disorders. With
a discussion of speculative link between impaired amygdala-relevant neurogenesis
and PTSD in an animal model, we here review across species the functional
significance of adult neurogenesis from the point of view of systemic adaptation.
PMID- 18037010
TI - Commentary: behavioral phenotype.
AB - PTSD arises by definition as a direct consequence of the experience of an acute
severe stressor. The formation of traumatic memory and its extinction,
sympathetic and adrenocortical stress systems activity in relation to individual
vulnerability form the core of animal models for PTSD.
PMID- 18037011
TI - Transgenerational transmission of cortisol and PTSD risk.
AB - Parental posttraumatic stress disorder (PTSD) appears to be a relevant risk
factor for the development of PTSD, as evidenced by a greater prevalence of PTSD,
but not trauma exposure, in adult offspring of Holocaust survivors with PTSD,
compared to children of Holocaust-exposed parents without PTSD. This paper
summarizes recent neuroendocrine studies in offspring of parents with PTSD.
Offspring of trauma survivors with PTSD show significantly lower 24-h mean
urinary cortisol excretion and salivary cortisol levels as well as enhanced
plasma cortisol suppression in response to low dose dexamethasone administration
than offspring of survivors without PTSD. In all cases, neuroendocrine measures
were negatively correlated with severity of parental PTSD symptoms, even after
controlling for PTSD and even other symptoms in offspring. Though the majority of
our work has focused on adult offspring of Holocaust survivors, recent
observations in infants born to mothers who were pregnant on 9/11 demonstrate
that low cortisol in relation to parental PTSD appears to be present early in the
course of development and may be influenced by in utero factors such as
glucocorticoid programming. Since low cortisol levels are particularly associated
with the presence of maternal PTSD the findings suggest the involvement of
epigenetic mechanisms.
PMID- 18037013
TI - The functional neuroanatomy of PTSD: a critical review.
AB - Neuroimaging provides an opportunity to understand core processes that mediate
the experience of emotions in healthy individuals as well as dysregulation of
these processes in conditions such as posttraumatic stress disorder (PTSD). The
first decade of neuroimaging research produced symptom provocation, cognitive
activation, and functional connectivity studies that highlighted the role of the
medial prefrontal cortex (mPFC), amygdala, sublenticular extended amygdala
(SLEA), and hippocampus, in mediating symptom formation in PTSD. There is a
growing realization that a number of other psychological processes are relevant
to PTSD, and they are emerging as a new focus of neuroimaging research. These
include fear conditioning, habituation, and extinction; cognitive-emotional
interactions; and self-related and social emotional processing. Neuroimaging
findings are reviewed that suggest that the mPFC is implicated in a number of
these processes. It is proposed that the mPFC plays a role in the
"contextualization" of stimuli, and dysregulation of contextualization processes
might play a key role in the generation of PTSD symptoms.
PMID- 18037012
TI - Early care experiences and HPA axis regulation in children: a mechanism for later
trauma vulnerability.
AB - Post-traumatic stress disorder (PTSD) is associated with functional abnormalities
of the hypothalamic-pituitary-adrenocortical (HPA) axis. Emerging evidence
suggests that failures in social regulation of the HPA axis in young children
manifested as neglectful or abusive care may play a role in shaping cortico
limbic circuits involved in processing experiences threatening experiences
encountered later in life. Low cortisol levels, particularly near the peak of the
diurnal rhythm, have been reported in abused, neglected and deprived children.
Thus early imprinting effects of parenting quality on the HPA system regulation
may be one of the mechanisms causing heightened risk of PTSD in responses to
later trauma. However there is also evidence that the altered patterns of
cortisol production seen in the context of early adverse care are not permanent,
and remit once the care children receive improves. What awaits study is whether
periods of atypical cortisol levels and altered HPA function early in life, even
if transient, impact brain development in ways that heighten vulnerability to
PTSD in response to traumas experienced later.
PMID- 18037015
TI - Commentary: biological findings in PTSD -- too much or too little?
AB - Summarizing the contributions in this section of the book, this chapter addresses
questions regarding the complex etiology of PTSD, and the relative strength of
discernable biological indicators of the disorder. It outlines two major
approaches to exploring the biology of the disorder and discusses the reason for
the many non-replications of findings. It defines the constructs of
multicausality, equifinality, and multifinality, and evaluates their main
implication for studies of PTSD, namely that no biological signal can be properly
appraised without taking into account its context. Such context, in PTSD,
includes both concurring biological systems and regulatory mechanisms, and
environmental-psychosocial input. Studies of gene expression of PTSD exemplify
one way of studying the context of putative biological signals. The role of
biological alterations as templates for responding to psychosocial challenges is
discussed.
PMID- 18037016
TI - Models of PTSD and traumatic stress: the importance of research "from bedside to
bench to bedside".
AB - The epidemiology and psychology of PTSD noted above is not often considered in
neurobiological models of PTSD. Neurobiological models tend to focus on symptoms.
This is an important perspective but it does not capture the brains total
response to traumatic events. Similarly, neurobiologists have rarely used the
extensive knowledge of animal behavioral responses to stress as a means to define
the human stress phenomenology, looking for the human equivalent (rather than the
other way around). The development of animal models for PTSD and other traumatic
stress-related brain changes is an important part of advancing our
neurobiological understanding of the disease process as well as recovery,
resilience, and possible therapeutic targets. Animal models should address
symptoms but also other aspects of PTSD that are seen in clinical care including
the waxing and waning of symptoms, Understanding "forgetting", toxic exposure,
failure to recover and how the neural systems fail rather than function are
important perspectives on developing animal models. The cognitive process of
identification is another important animal model to develop. Using these
perspectives recent work has shown new avenues for understanding the trauma
response in animal models and human brain tissue of individuals with PTSD. The 5
HT2A receptor and p11 protein and associated regulators are avenues of new
investigation that warrant study and consideration in models of PTSD.
PMID- 18037017
TI - What is it that a neurobiological model of PTSD must explain?
AB - PTSD is a complex disorder that involves far more than a fear response, and
cannot be explained by a simple conditioning model. Both individual vulnerability
and specific reactions during and after the trauma are involved in maintaining
the disorder. A consideration of risk factors implicates the experience of being
"overwhelmed" at the time of the trauma, accompanied by possible downregulation
of the prefrontal cortex. Also important are reactions to symptoms post-trauma
and specific strategies adopted to manage symptoms, such that there is a
continuing inability to process trauma memories. An analysis of the
characteristic forms of autobiographical memory in PTSD implicates two memory
systems, one predominantly image-based and one predominantly verbal. These
systems are likely to be differentially impacted by hormonal responses to extreme
stress, leading to an imbalance in the representation of trauma in the two
systems. Exposure to trauma reminders leads to retrieval competition between the
two sets of memories, with retrieval of verbal memories able to inhibit
inappropriate amygdala responses. Evidence to support this analysis is described,
drawing on experimental studies of memory for trauma and a meta-analysis of
memory for emotionally neutral information in PTSD. The implications for
neurobiological studies of PTSD are discussed.
PMID- 18037014
TI - Structural and functional plasticity of the human brain in posttraumatic stress
disorder.
AB - Posttraumatic stress disorder (PTSD) is associated with long-term changes in
neurobiology. Brain areas involved in the stress response include the medial
prefrontal cortex, hippocampus, and amygdala. Neurohormonal systems that act on
the brain areas to modulate PTSD symptoms and memory include glucocorticoids and
norepinephrine. Dysfunction of these brain areas is responsible for the symptoms
of PTSD. Brain imaging studies show that PTSD patients have increased amygdala
reactivity during fear acquisition. Other studies show smaller hippocampal
volume. A failure of medial prefrontal/anterior cingulate activation with re
experiencing of the trauma is hypothesized to represent a neural correlate of the
failure of extinction seen in PTSD. The brain has the capacity for plasticity in
the aftermath of traumatic stress. Antidepressant treatments and changes in
environment can reverse the effects of stress on hippocampal neurogenesis, and
humans with PTSD showed increased hippocampal volume with both paroxetine and
phenytoin.
PMID- 18037018
TI - Post-traumatic stress disorder in somatic disease: lessons from critically ill
patients.
AB - Post-traumatic stress disorder (PTSD) is a well-recognized complication of severe
illness. PTSD has been described in patients after multiple trauma, burns, or
myocardial infarction with a particularly high incidence in survivors of acute
pulmonary failure (Acute Respiratory Distress Syndrome) or septic shock. Many
patients with evidence of PTSD after critical illness have been treated in
intensive care units (ICUs). Studies in long-term survivors of ICU treatment
demonstrated a clear and vivid recall of different categories of traumatic memory
such as nightmares, anxiety, respiratory distress, or pain with little or no
recall of factual events. A high number of these traumatic memories from the ICU
has been shown to be a significant risk factor for the later development of PTSD
in long-term survivors. In addition, patients in the ICU are often treated with
stress hormones like epinephrine, norepinephrine, or cortisol. The number of the
above-mentioned categories of traumatic memory increased with the totally
administered dosages of catecholamines and cortisol, and the evaluation of these
categories at different time points after discharge from the ICU showed better
memory consolidation with higher dosages of stress hormones administered.
Conversely, the prolonged administration of beta-adrenergic antagonists during
the recovery phase after cardiac surgery resulted in a lower number of traumatic
memories and a lower incidence of stress symptoms at 6 months after surgery.
Findings with regard to the administration of the stress hormone cortisol were
more complex, however. Several studies from our group have demonstrated that the
administration of stress doses of cortisol to critically ill patients resulted in
a significant reduction of PTSD symptoms measured after recovery without
influencing the number of categories of traumatic memory. This can possibly be
explained by a cortisol-induced temporary impairment in traumatic memory
retrieval that has previously been demonstrated in both rats and humans. ICU
therapy of critically ill patients can serve as a stress model that allows the
delineation of stress hormone effects on traumatic memory and PTSD development.
This could also result in new approaches for prophylaxis and treatment of stress
related disorders.
PMID- 18037019
TI - Glucocorticoid-induced reduction of traumatic memories: implications for the
treatment of PTSD.
AB - Post-traumatic stress disorder (PTSD) is an anxiety disorder that can occur after
a traumatic event such as military combat, terrorist attacks, or accidents. The
disorder is characterized by traumatic memories that manifest as reexperiencing
symptoms including daytime recollections, traumatic nightmares, or flashbacks in
which components of the event are relived. These symptoms result from excessive
retrieval of traumatic memories that often retain their vividness and power to
evoke distress for decades or even a lifetime. We have reported previously that
elevated glucocorticoid levels inhibit memory retrieval in animals and healthy
human subjects. We therefore hypothesized that the administration of cortisol
might also inhibit the retrieval of traumatic memories in patients with PTSD. In
a recent pilot study we found the first evidence to support this hypothesis.
During a 3-month observation period, low-dose cortisol (10 mg per day) was
administered orally for 1 month to three patients with chronic PTSD using a
double-blind, placebo-controlled, crossover design. In each patient investigated,
there was a significant treatment effect with cortisol-related reductions in one
of the daily-rated symptoms of traumatic memories without causing adverse side
effects. Furthermore, we have reported evidence for a prolonged effect of the
cortisol treatment. Persistent retrieval and reconsolidation of traumatic
memories is a process that keeps these memories vivid and thereby the disorder
alive. By inhibiting memory retrieval, cortisol may weaken the traumatic memory
trace and thus reduce symptoms even beyond the treatment period. Future studies
with more patients and longer treatment periods are required to evaluate the
efficacy of cortisol treatment for PTSD.
PMID- 18037020
TI - Commentary: synthesis and perspectives.
PMID- 18037021
TI - Strain specific fear behaviour and glucocorticoid response to aversive events:
modelling PTSD in mice.
AB - "Pavlovian" fear conditioning in rodents allows studying the formation and
extinction of fear memories. Male C57BL/6J but not BALB/c mice showed
differential fear memory performance expressed as freezing and scanning behaviour
for context and cue. Glucocorticoid stress hormones modulate the processing of
fear-related stimuli. The augmented corticosterone response of BALB/c mice to
conditioning and testing, therefore, might have contributed to the strain
dependent formation of fear memories. We propose that modulation of extinction
processes by glucocorticoids can be relevant in modelling anxiety disorders.
PMID- 18037022
TI - Interaction of endogenous cortisol and noradrenaline in the human amygdala.
AB - Animal studies show that glucocorticoid effects on memory depend on noradrenergic
activation within an intact amygdala. Testing this model in humans is the subject
of the present fMRI study. Healthy subjects watched emotional and neutral stimuli
after having received a betablocker or placebo. Cortisol levels of all subjects
were determined and served as a marker of the subject's (endogenous) cortisol
level during the experiment. Viewing emotional pictures resulted in increased
amygdala activation compared to neutral pictures and this effect was enhanced in
subjects with a high versus low cortisol level under placebo condition.
Betablockade with propranolol, lowering the noradrenergic level in the amygdala,
disrupted this effect and apparently the interaction with cortisol. These data
support the hypothesis that high endogenous cortisol levels at the time of
encoding interact with noradrenergic activation in the amygdala in man.
PMID- 18037023
TI - Corticosteroid hormones, synaptic strength and emotional memories: corticosteroid
modulation of memory -- a cellular and molecular perspective.
AB - Emotionally loaded and stressful events modulate cognitive performance. This
modulation of cognitive performance is at least partially dependent on
corticosteroid hormones that are released in high amounts during emotional or
stressful events. Corticosterone both strengthens and suppresses cognitive
performance and synaptic plasticity. These effects may critically depend on the
timing of the stressful event and corticosteroid exposure with respect to the
learning situation. Based on recent findings we propose a model in which
corticosterone can rapidly enhance synaptic plasticity. Later, corticosterone may
stabilize synaptic efficacy, possibly at the expense of reduced synaptic
plasticity.
PMID- 18037024
TI - Does trauma cause lasting changes in HPA-axis functioning in healthy individuals?
AB - Although the majority of people who are exposed to traumatic events do not
develop psychopathology, trauma has often been associated with increased
vulnerability to psychiatric disorders. In addition, alterations in the HPA-axis
have been demonstrated in patients with trauma-related psychiatric disorders. We
hypothesize that trauma causes dysregulation of the HPA-axis. Therefore, we will
compare HPA-axis functioning of traumatized and non-traumatized healthy
individuals from the same gender and age from two categories: military and
railroad personnel. In addition, a group of women with a history of childhood
trauma was included. We will investigate for the putative role of attachment
style and psychological resilience factors such as coping. In this article, we
present the rationale for this study.
PMID- 18037025
TI - Need for alternative ways of phenotyping of mood, anxiety, and somatoform
disorders in biological research.
AB - Variation in psychiatric symptomatology is continuous and does not coalesce into
fairly well-defined categorical DSM-IV clusters. As a consequence, DSM-IV fails
to meaningfully integrate information generated by neuroendocrine research.
Continuous psychological dimensions selected for their predictiveness with
respect to endophenotypes, as biological intermediate factors, are proposed to be
the best ways in reaching an understanding of the causations in mood, anxiety,
and somatoform disorders.
PMID- 18037026
TI - The HPA-axis and immune function in burnout.
AB - Burnout results from chronic work stress. Its complaints may be related to HPA
axis disturbances or changes in immune function. In our studies the salivary
cortisol awakening response, day-curve, and the suppressed level after
dexamethasone intake were not different in a burned-out group compared to a
control group. Nor was there a change in cortisol after a treatment period.
Higher levels of DHEAS and the monocyte released anti-inflammatory cytokine IL-10
were observed, however T-cell stimulated and dexamethasone inhibited cytokine
release were not affected. The increased IL-10 level may be related to an
increased sensitivity for infections.
PMID- 18037027
TI - Elevated plasma corticotrophin-releasing hormone levels in veterans with
posttraumatic stress disorder.
AB - Posttraumatic stress disorder (PTSD) is associated with alterations in
corticotrophin-releasing hormone (CRH) secretion. Plasma CRH levels, which are
easily acquired, might serve as a predictor of hypothalamic CRH levels.
Assessment of plasma CRH, adrenocorticotrophin hormone (ACTH), and cortisol
levels in 31 veterans with PTSD, 30 traumatized veterans without PTSD matched on
age, year, and region of deployment (traumacontrols), and 28 age-matched healthy
controls (HCs) was carried out. Plasma CRH levels were higher in PTSD patients
compared to both HCs (p=0.005) and traumacontrols (p=0.007). This led to our
conclusion, that elevated plasma CRH levels are specifically related to PTSD and
not to exposure to traumatic stress during deployment.
PMID- 18037028
TI - Precuneal activity during encoding in veterans with posttraumatic stress
disorder.
AB - Impaired attention and memory are symptoms frequently associated with
posttraumatic stress disorder (PTSD). Previous studies have identified fronto
temporal alterations during encoding in patients with PTSD. We examine the role
of the precuneus (located in the posteromedial parietal lobe) that is known to
play a role in memory, but has largely been neglected in PTSD research. Male
veterans with and without PTSD (n=12 per group) were subjected to fMRI during
encoding of 12 neutral, non-trauma related word pairs. The precuneus was less
activated in veterans with PTSD, which correlated significantly with the severity
of PTSD. Like fronto-temporal regions the precuneus is differentially activated
during memory formation in veterans with PTSD.
PMID- 18037029
TI - Posttraumatic stress disorder with secondary psychotic features: neurobiological
findings.
AB - The neurobiological knowledge on the potentially new diagnostic entity
"posttraumatic stress disorder with secondary psychotic features" (PTSD-SP) is
reviewed. Studies published between 1980 and 2006 were traced focussing on adult
patients suffering from this "syndrome". Studies on cortisol, corticotrophin
releasing hormone, dopamine beta-hydroxylase, smooth pursuit eye movements and
psychopharmacology are described and potential pathophysiological mechanisms
briefly discussed. More research is needed to validate the nosological status of
PTSD-SP in order to promote neurobiological research and adequate therapeutic
interventions.
PMID- 18037030
TI - Neuroendocrine dysregulations in sexually abused children and adolescents: a
systematic review.
AB - Several studies provided evidence for neuroendocrine dysregulations in adults
with a history of child sexual abuse. This review focuses on neuroendocrine
studies in sexually abused children and adolescents, dating from January 1, 1990
to January 1, 2007 and obtained from a systematic Medline Indexed literature
search to identify endocrine correlates of child sexual abuse. Results from
studies on hypothalamic-pituitary-adrenal axis (re)activity showed to be
inconclusive. Studies on the sympathetic nervous system provided evidence for a
higher baseline activity of this system in sexually abused children and
adolescents. Factors contributing to divergent outcomes will be discussed and
suggestions for future research will be presented.
PMID- 18037031
TI - Volume of discrete brain structures in complex dissociative disorders:
preliminary findings.
AB - Based on findings in traumatized animals and patients with posttraumatic stress
disorder, and on traumatogenic models of complex dissociative disorders, it was
hypothesized that (1) patients with complex dissociative disorders have smaller
volumes of hippocampus, parahippocampal gyrus, and amygdala than normal controls,
(2) these volumes are associated with severity of psychoform and somatoform
dissociative symptoms, and (3) patients who recovered from dissociative identity
disorder (DID) have more hippocampal volume that patients with florid DID. The
preliminary findings of the study are supportive of these hypotheses.
Psychotherapy for dissociative disorders may affect hippocampal volume, but
longitudinal studies are required to document this potential causal relationship.
PMID- 18037032
TI - Epilogue: neuroendocrinology of PTSD.
PMID- 18037033
TI - Quantitative determination of paraquat in meconium by sodium borohydride-nickel
chloride chemical reduction and gas chromatography/mass spectrometry (GC/MS).
AB - The objective of this study was to develop a procedure for the GC/MS assay of
paraquat in meconium as a biomarker of fetal exposure to paraquat. The method
involved a sodium borohydride-nickel chloride reduction procedure, liquid-liquid
extraction of the perhydrogenated product, concentration, and GC/MS assay. The
method demonstrated good overall recovery (102.56%) with %CV (inter-assay) of
less than 13%, and a limit of detection of 0.0156microg/g. Analysis of meconium
samples from a study population in the Philippines (n=70) showed a 2.8%
prevalence of fetal exposure to paraquat.
PMID- 18037036
TI - Operative treatment of coronal craniosynostosis: 20 years of experience.
AB - BACKGROUND: To investigate the effect on and risk in coronal synostosis surgery
of fronto-orbital advancement. METHODS: One hundred sixty-five cases of coronal
synostosis were retrospectively reviewed, including their clinical
manifestations, computed tomography scans, operations, operative complications,
and follow-up results. RESULTS: Of the 165 cases, 111 were male and 54 were
female, with a mean age of 12.1 months. Unilateral coronal synostosis was
encountered in 38 cases and bilateral in 127, 45 of which were accompanied by
Crouzon syndrome. All cases underwent fronto-orbital advancement. After the
operation, 1 patient died from intracranial hemorrhage and 5 developed
craniospinal fluid leak. No reoperation was necessary for coronal synostosis
relapse in a follow-up study from 3 months to 5 years. CONCLUSION: Fronto-orbital
advancement is an effective and safe technique for coronal synostosis. However,
longer follow-up is required to rectify the final functional and aesthetic
results of craniofacial architecture.
PMID- 18037037
TI - Violent head trauma in China: report of 2254 cases.
AB - BACKGROUND: The occurrence of violent trauma has recently increased, and it has
become both a social and medical problem in China. We are the first to explore
violent head trauma in China. METHODS: Patients with violent head trauma were
taken from all hospitalized patients with head trauma from January 2001 to
December 2006 admitted to 11 hospitals in China. The rate, causes, age, sex,
injury severity (GCS score), CT findings, management, outcome, and complications
of patients with violent head trauma were retrospectively analyzed. RESULTS: Two
thousand two hundred fifty-four (9.46%) patients with violent head trauma were
found among a total of 23816 hospitalized patients with head trauma at 11
hospitals. Violent head trauma was caused by blunt objects (n = 1260, 55.90%),
sharp/cutting instruments (n = 271, 12.02%), gunshots (n = 10, 0.44%), and others
(n = 713, 31.63%). Violent head trauma was more likely to be found men (n = 1890,
83.85%) and in persons aged 21 to 40 years (n = 1216, 53.95%). In 2254 patients
with violent head trauma, scalpel injury was seen in 1277 cases, skull fracture
in 786 cases, cerebral contusion in 285 cases, and intracranial hematomas in 898
cases. Five hundred eighty-nine (26.13%) patients had body violent trauma besides
violent head trauma. A GCS score of 13 to 15 was found in 1869 (82.92%) patients,
9 to 12 in 166 (7.36%), and 8 or less in 219 (9.72%). One thousand forty-two
patients got surgical treatment, and another 1212 received medical management.
One thousand nine hundred thirty-one (85.67%) patients had good recovery, 141
(6.47%) had moderate deficits, 36 (1.65%) had severe deficits, 7 (0.32%) had PVS,
63 (2.89%) died, and for the other 76, records were lost. CONCLUSIONS: Violent
head trauma is certainly both a social and medical problem now, which indicates
that violence should be controlled and that the human right of social safety
needs to be improved in China.
PMID- 18037039
TI - Myxoma of the cranial base.
AB - BACKGROUND: In 1871, Virchow described a type of tumor, which he named myxoma,
which had a similar appearance to mucinous tissue of the umbilical cord. Myxoma
occurs most frequently in the heart and jawbone, less frequently in the temporal
bone mastoideum, and rarely in the cranial base of the brain. From an etiologic
perspective, intracranial myxoma is divided into either primary or secondary
induction. The majority of primary myxomas are found at the skull base, whereas
secondary intracranial myxomas are mainly caused by metastatic tumor emboli from
the cardiac myxomas; the emboli may also transfer to cerebrovascular endothelium
to cause fusiform aneurysm. From October 1983 until November 2005, 23 patients
with cranial base myxoma, as confirmed by pathology, were treated in the
neurosurgery department of Beijing Tiantan Hospital. Few data are available from
published literature on diagnosis and treatment of cranial base myxoma;
therefore, the aim of this study was to describe a large series of patients
undergoing treatment for cranial base myxoma and to analyze and discuss clinical
manifestations, diagnosis, and treatment of cranial base myxoma. METHODS: A
retrospective analysis was undertaken of 23 cases of cranial base myxoma, as
confirmed by pathologic diagnosis. The review included all patients treated
between October 1983 and November 2005. Among the 23, 8 patients received
adjuvant radiotherapy after surgery. Postsurgical outcome data were unavailable
for 12 patients. The mean duration of follow-up in the remaining 11 patients was
64.5 months. RESULTS: Tumors were commonly located at the middle fossa,
parasellar, and jugular regions with characteristic calcification demonstrated
with magnetic resonance imaging. Patients presented with headache and multiple
lesions of the cranial nerves. Surgical approaches were variable and selected
according to tumor locations. Partial resections were achieved in 16 cases and
total resections in 7 cases. Complete relief of clinical symptoms was achieved in
2 cases, unchanged in 11 cases, and aggravated in 9 cases. During the period of
follow-up, remission was gained in 6 cases and tumor recurrence in 4 patients; 1
patient died. CONCLUSIONS: Cranial base tumors are difficult to diagnose. By
clinical features and neuroradiological findings, it is hard to distinguish
myxoma from chondroma and chordoma in this region. Treatment results are seldom
encouraging; the goal of complete surgical resection is rarely achieved, and the
outcome of radiotherapy is not very successful.
PMID- 18037040
TI - An intrasellar rhabdomyosarcoma misdiagnosed as pituitary adenoma.
AB - BACKGROUND: Rhabdomyosarcoma is a malignant tumor of the skeletal muscle cells.
Those tumors mainly affect children and arise in primitive fetal mesenchyme even
at sites that do not contain skeletal muscle. However, very few intracranial
rhabdomyosarcomas have been reported. No previous case of primary intrasellar
rhabdomyosarcoma except for 1 case has been reported in the literature. CASE
DESCRIPTION: A 44-year-old woman presented with fatigue and diplopia associated
with amenorrhea and galactorrhea. Visual field evaluation revealed a superior
temporal deficit in both eyes. A right afferent pupillary defect was present.
Magnetic resonance imaging revealed an intrasellar tumor with suprasellar
extension. The tumor involved the sella turcica and right cavernous sinus. The
patient was preoperatively diagnosed as pituitary adenoma, which was confirmed
pathologically as rhabdomyosarcoma after the operation. CONCLUSIONS: Our findings
suggest that rhabdomyosarcoma should be considered in the differential diagnosis
of a primary intrasellar neoplasm.
PMID- 18037043
TI - Surgical management of anterior clinoidal meningiomas: a 26-case report.
AB - BACKGROUND: Resection of anterior clinoidal meningiomas remains a major
neurosurgical challenge. We determine the surgical technique for removal of tumor
and improvement of patient's outcome. METHODS: A retrospective analysis was
performed on 26 consecutive patients with anterior clinoidal meningiomas who
underwent surgical resection at the Department of Neurosurgery, Renji Hospital,
from January 1999 to August 2006. All patients had surgery through the pterional
or extended pterional approach. Microvascular Doppler probe was used to protect
the internal carotid artery and its branching arteries during dissection of the
tumor. Twenty-two of them had severe visual deficits preoperatively. The follow
up period ranged from 3 to 36 months (22.3 +/- 8.8 months). RESULTS: In this
series, Simpson grade II resection in 16 cases (61.5%), Simpson grade III
resection in 4 cases (15.4%), Simpson grade IV resection in 6 cases (23.1%) were
achieved. Sixteen of the patients with preoperative visual impairment experienced
significant improvement. No patients died. There was no evidence of tumor
recurrence during follow-up. CONCLUSIONS: In the majority of patients, surgery is
still the first choice for total resection of the tumors without major
complications. Protection of nerves and blood vessels must be a priority concern
during removal of tumors.
PMID- 18037044
TI - Evidence on the impact of child labor on child health in Indonesia, 1993--2000.
AB - Despite an abundant literature on child labor in developing countries, few papers
have attempted to investigate the consequences of child labor on health. This
paper explores whether child labor affects child health using data from the
Indonesian Socio-Economic Surveys during the 1990s. For our empirical analysis,
we restrict our attention to children currently enrolled in school and we use
several discrete indicators for health. Our results show that child labor is
associated negatively with health. We obtain this result by introducing labor
participation as an exogenous covariate in the different health equations.
Similar results are found once the work decision is instrumented.
PMID- 18037045
TI - Wanted: a base of evidence.
PMID- 18037046
TI - A six year evaluation of cracked teeth diagnosed with reversible pulpitis:
treatment and prognosis.
AB - The purpose of this investigation was to report on the clinical outcomes of
cracked teeth diagnosed with reversible pulpitis (RP). Eight thousand one hundred
seventy-five patients referred for evaluation and treatment during a 6-year
period had medical and dental histories, radiographs, pulpal and periapical
diagnosis, periodontal probings, direct identification of crack(s) with
transillumination, and biting responses on various cusps recorded. All data were
stored daily in a database. All cases were treatment planned according to the
pulpal and periapical diagnosis. Cases with RP were treatment planned for crowns
only, regardless of periapical diagnosis. All patients were recalled at 1 year
unless root canal treatment was needed before the anniversary. Results indicated
that cracks were identified in 9.7% (796 of 8175) of all teeth evaluated during
this time period. Of 127 patients specifically diagnosed with RP, 27 converted to
irreversible pulpitis (N = 21) in 58 days or to necrotic pulp (N = 6) in 149
days. To date, none of the original remaining 100 cases of RP have required root
canal treatment. The outcomes of this study suggest that if a marginal ridge
crack is identified early enough in teeth with a diagnosis of RP and a crown is
placed, root canal treatment will be necessary in about 20% of these cases within
a 6-month period.
PMID- 18037047
TI - Vascular endothelial growth factor receptor-2 expression in the pulp of human
primary and young permanent teeth.
AB - The purpose of this study was to evaluate the expression of vascular endothelial
growth factor receptor-2 (VEGFR-2) in endothelial cells within the dental pulp of
human primary and young permanent teeth and the spatial distribution of VEGFR-2
positive cells. Nine sound primary teeth and 4 sound young permanent teeth were
evaluated by immunohistochemistry with a human anti-VEGFR-2 antibody. Stained
tissue sections were analyzed qualitatively under light microscopy. Here we
observed that endothelial cells of both primary and permanent teeth showed
positive immunostaining for VEGFR-2. Notably, VEGFR-2-positive cells in the
primary teeth tended to be found close to the subodontoblastic layer, whereas the
spatial distribution of VEGFR-2 immunostaining in the permanent teeth was more
uniform. In conclusion, VEGFR-2 was expressed in the microvascular endothelial
cells of both primary and young permanent teeth, which suggests that these cells
are capable of responding to the morphogenetic and survival signals mediated by
VEGF.
PMID- 18037048
TI - The correlation between serum immunoglobulin A and immunoglobulin G levels and
the presence of Treponema denticola in human periapical lesions.
AB - The aim of this study was to compare the serum immunoglobulin A (IgA) and
immunoglobulin G (IgG) levels and the presence of Treponema denticola in the root
canals in a group of teeth with/without periapical lesion. A total of 66 children
aged 8 to 13 years old were involved in this study. Five milliliters of blood
samples were taken to detect the serum IgA and IgG levels. Sixty-six endodontic
samplings were also obtained to determine the presence of T. denticola by
polymerase chain reaction. The presence of T. denticola between the groups
with/without periapical lesion was statistically significant (p = 0.026). A
significant negative correlation was found between serum IgG and IgA levels and
the presence of T. denticola (p = 0.023 and 0.038, respectively). This study may
support the hypothesis that the presence of T. denticola in the root canals is
mainly related to the periapical lesions, and the higher levels of serum IgG and
IgA levels may protect against T. denticola.
PMID- 18037049
TI - Bacterial DNA persists for extended periods after cell death.
AB - The fate of DNA from bacteria that infect the root canal but cannot survive is
currently unknown, yet such information is essential in establishing the validity
of polymerase chain reaction (PCR)-based identification methods for root canal
samples. This in vitro study tested the hypothesis that PCR-detectable DNA from
dead bacteria might persist after cell death and investigated the efficiency of
sodium hypochlorite (NaOCl) as a field decontamination agent. Using heat-killed
Enterococcus faecalis, the persistence of DNA encoding the 16S rRNA gene was
monitored by PCR. While most probable number analysis showed an approximate 1000
fold decay in amplifiable template, E. faecalis DNA was still PCR-detectable 1
year after cell death. NaOCl (1%) eliminated amplifiable DNA within 60 seconds of
exposure. Our findings also disclosed a previously overlooked problem of
concentration-dependent inhibition of the PCR reaction by thiosulfate-inactivated
NaOCl. These results highlight the challenges of reliably identifying the
authentic living root canal flora with PCR techniques.
PMID- 18037050
TI - Effect of tissue fluids on hydrophobicity and adherence of Enterococcus faecalis
to dentin.
AB - This in vitro study was carried out to determine (1) the hydrophobicity of
selected oral bacteria, (2) the influence of growth media (saliva and serum) and
mode of growth (planktonic or biofilm) on the hydrophobicity of Enterococcus
faecalis, and (3) the influence of growth media and conditioning fluids on the
adherence of E. faecalis to dentin. The ability to bind to a hydrocarbon phase
(xylene) was used as an index of relative hydrophobicity of cells. Fluorescent
microscopy-based technique was used to assay the bacterial adherence to dentin.
Results showed that bacteria involved in the primary stage of oral biofilm
formation such as Streptococcus mutans, Fusobacterium nucleatum, and
Porphyromonas gingivalis are relatively more hydrophobic than E. faecalis. The
hydrophobicity of E. faecalis was significantly increased during starvation and
biofilm mode of growth (p < .05). The adherence of E. faecalis to dentin was
appreciably increased after starvation and when dentin was conditioned with
saliva. It was observed that surface conditioning of dentin with saliva and
starvation can enhance the adherence of E. faecalis to dentin. The findings from
this study indicated that the coronal leakage of saliva and the physiologic state
of microbes might play an important role in the adherence and biofilm formation
of bacteria to root canal dentin.
PMID- 18037051
TI - In vitro evaluation of the cleansing working time and analysis of the amount of
gutta-percha or Resilon remnants in the root canal walls after instrumentation
for endodontic retreatment.
AB - The present study assessed the obturation removal and reinstrumentation working
time of canals filled with Resilon/Real Seal in comparison with canals filled
with gutta-percha/AH Plus. Thirty human extracted canines were instrumented. In
group 1 (n = 15) root canals were filled with Resilon/Real Seal and in group 2 (n
= 15) with gutta-percha/AH Plus sealer. The obturations were removed from both by
using chloroform and irrigation with 2.5% NaOCl, and the canals were manually
reinstrumented. The teeth were radiographically analyzed. Specimens without
obturation material remnants visible in the radiographic examination were
selected for analysis under scanning electron microscopy. The Resilon/Real Seal
system was removed in greater quantities from the canal walls compared with the
gutta-percha cones and the AH Plus cement. Time was not a significant factor.
Under scanning electron microscopy analysis, the teeth presented material
remnants in the 3 analyzed thirds. Resilon was better removed from the canal than
the gutta-percha cones and the AH Plus.
PMID- 18037052
TI - Sealing ability of white and gray mineral trioxide aggregate mixed with distilled
water and 0.12% chlorhexidine gluconate when used as root-end filling materials.
AB - This in vitro study used dye penetration to compare the sealing ability of white
and gray mineral trioxide aggregate mixed with distilled water and 0.12%
chlorhexidine gluconate when used as root-end filling materials. Ninety-six
single-rooted human teeth were cleaned, shaped, and obturated with gutta-percha
and AH26 root canal sealer. The apical 3 mm of each root was resected, and 3-mm
deep root-end cavity preparations were made. The teeth were randomly divided into
4 experimental groups, each containing 20 teeth, and 2 negative and positive
control groups, each containing 8 teeth. Root-end cavities in the experimental
groups were filled with the experimental materials. After decoronation of the
teeth and application of nail polish, the teeth were exposed to India ink for 72
hours and longitudinally sectioned, and the extent of dye penetration was
measured with a stereomicroscope. Statistical analysis showed that there were no
significant differences among the 4 experimental groups.
PMID- 18037053
TI - Effect of environment on low-cycle fatigue of a nickel-titanium instrument.
AB - This study examined the low-cycle fatigue (LCF) behavior of a nickel-titanium
(NiTi) engine-file under various environmental conditions. One brand of NiTi
instrument was subjected to rotational-bending fatigue in air, deionized water,
sodium hypochlorite, or silicone oil. The curvature of each instrument, diameter
of the fracture cross-section, and the number of rotations to failure were
determined. The strain-life relationship in the LCF region was examined by using
one-way analysis of variance, and the number of crack origins with chi2, for
differences between groups. The results showed a linear relationship, on
logarithmic scales, between the LCF life and the surface strain amplitude;
regression line slopes were significantly different between noncorrosive (air,
silicone oil) and corrosive (water, hypochlorite) environments (P < .05), as well
as number of crack origins (P < .05). Hypochlorite was more detrimental to
fatigue life than water. In conclusion, environmental conditions significantly
affect the LCF behavior of NiTi rotary instruments. Fatigue testing of NiTi
engine-files should be in a service-like environment.
PMID- 18037054
TI - In vitro evaluation of a Ceramicrete-based root-end filling material.
AB - Ceramicrete is an impervious inorganic binder widely used for encapsulating
radioactive and hazardous wastes. This study evaluated the feasibility of using a
radiopaque Ceramicrete-based material for root-end fillings. Apical seals of root
end preparations filled with Super EBA (Harry J. Bosworth Co, Skokie, IL), White
ProRoot MTA (Dentsply Tulsa Dental Specialties, Tulsa, OK), or Ceramicrete-D were
evaluated using a computerized fluid filtration approach after the fillings were
immersed in phosphate-containing fluid (PCF). The Ceramicrete-D fillings
exhibited significantly (P < 0.05) better seals than the other two commercially
available, frequently advocated root-end-filling materials. Scanning electron
microscopy and x-ray diffraction of Ceramicrete-D after setting revealed a
relatively nonporous KMgPO4.6H2O matrix that binds other incompletely reacted and
new reaction phases such as CaHPO4.2H2O. Polished dentin slabs filled with
Ceramicrete-D and immersed in PCF for 72 hours revealed depositions of acicular
shaped, apatite-like crystallite clusters on the material surface as the pH of
the PCF increased with immersion time. The experimental Ceramicrete-based
material is potentially bioactive in the presence of PCF.
PMID- 18037055
TI - Apical accuracy of two apex-locating handpieces in root canal retreatments of
root-end resected teeth.
AB - We aimed to evaluate the accuracy of 2 apex locator integrated endodontic motors
during retreatment of root-end resected teeth by using 40 extracted incisor
teeth. The apical 3 mm of the roots were resected, and the root lengths were
recorded as resected length of the roots. Forty roots were randomly divided into
2 groups of 20 to evaluate the 2 handpieces, Tri Auto ZX and TCM Endo V. The
working lengths measured during the auto reverse function and during the
electronic apex locator function with Tri Auto ZX and TCM Endo V were recorded
and compared with resected length of the roots. The repeated measures analysis of
variance was used for statistical analyses. Electronic apex locator functions of
both devices might be used for apical accuracy, but the auto reverse functions
might not be useful to 0.0-mm accuracy in retreatment procedures of root-end
resected teeth.
PMID- 18037056
TI - An in vitro comparison of microleakage between Resilon and gutta-percha with a
fluid filtration model.
AB - This investigation compared microleakage of teeth obturated with gutta-percha and
teeth obturated with Resilon by using a fluid filtration model. Forty-six human,
single-rooted, mandibular premolars were studied. Teeth were randomly assigned to
2 experimental groups of 21 teeth each, designated as group G (gutta-percha) and
group R (Resilon). Two control groups, both containing 2 teeth, served as
positive and negative controls. Group G, gutta-percha and AH 26 sealer, and group
R, Resilon and Epiphany sealer, were obturated by using warm vertical
condensation. The specimens were tested for microleakage with an in vitro fluid
filtration apparatus at 10 psi at 4 intervals: 1, 7, 30, and 90 days. A two-way
repeated measures analysis of variance model with fixed effects for group, time,
and group by time interaction was used to analyze microleakage data. This study
demonstrated that canals obturated with Resilon and Epiphany sealer leaked
statistically less than canals obturated with gutta-percha and AH 26 sealer at
day 1 (P < .0014), day 7 (P < .0002), day 30 (P < .0015), and day 90 (P < .0170).
The mean fluid microleakage for both group G and group R increased from day 1 to
day 90. The results showed that Resilon is a suitable replacement for gutta
percha as a root canal filling material on the basis of its increased resistance
to fluid microleakage.
PMID- 18037057
TI - Cytotoxic effects of four different endodontic materials in human periodontal
ligament fibroblasts.
AB - The purpose of this study was to compare the cytotoxicity, induced apoptosis
and/or necrosis, and apoptotic mechanisms in human periodontal ligament (PDL)
fibroblasts treated with four different endodontic materials: White ProRoot
mineral trioxide aggregate (MTA) (MTA/Dentsply; Tulsa Dental, Memphis, TN),
Diaket (ESPE, Seefeld, Germany), Endion (VOCO, Cuxhaven, Germany), and CYMED 8410
(NANO, Kaohsiung, Taiwan). The effects of these four materials on the viability
of PDL fibroblasts were determined by MTT (3-(4,5-dimethyl-thiazoyl)-2,5-diphenyl
SH-tetrazolium bromide) assay. Apoptotic pathways were evaluated via several
mechanisms. Exposure to MTA for 24, 48, and 72 hours resulted in no significant
differences in MTT reduction and viable cell number compared with controls.
However, treatment of PDL fibroblasts with Diaket, Endion, and CYMED 8410 for 24,
48, and 72 hours resulted in cytotoxicity with MTT and a reduction of viable cell
number with trypan blue dye exclusion test compared with controls (from p < 0.05
to p < 0.001). Annexin V-FITC/PI staining showed that Diaket, Endion, and CYMED
8410 induced higher percentages of apoptosis and/or necrosis than in controls
(45.6%, 25.5%, and 6.3%, respectively). Results of cell-cycle analyses were
concordant with annexin V-FITC/PI staining findings. These results suggest that
MTA is a very biocompatible filling material. However, Diaket, Endion, and CYMED
8410 are toxic to PDL fibroblasts in vitro. The main form of cell death induced
by these filling materials was determined to be apoptosis and/or necrosis.
PMID- 18037058
TI - A comparative study of the effects of two nickel-titanium preparation techniques
on root canal geometry assessed by microcomputed tomography.
AB - The present study was conducted with the aim of evaluating and comparing the
effects of a new Hero group (Endoflare, Hero-shaper, and Hero-apical; Micro-Mega,
Besancon, France) and Protaper Ni-Ti rotary instruments (Dentsply/Maillefer,
Ballaigues, Switzerland) on root canal geometry in extracted human teeth using
microcomputed tomography (muCT). Twenty-two mesial root canals of mandibular
first molars were randomly divided into two groups. Canals were scanned before
and after preparation with both systems. Cross-sectional images of each canal
were obtained at 2-mm intervals. Differences in canal roundness, cross-sectional
area, perimeter of each canal before and after instrumentation, and the extent of
root canal transportation were calculated using image analysis software. There
was no difference between the two rotary systems in regards to working time. Both
systems create a similar round shape after instrumentation regardless of the
initial root canal's shape. The Hero instruments showed a greater cutting
efficiency compared with the ProTaper at the levels of 8 mm, 6 mm, and 4 mm but
not at the level of 2 mm. On the other hand, the ProTaper seems to have a similar
cutting efficiency at all levels. However, the ProTaper showed a tendency toward
apical transportation. Under the conditions of the present study, both
preparation techniques prepared the canals rapidly with a sufficient round shape.
Despite that, it seems that there is a great potential for the improvement of
shaping ability of rotary instruments. Hybrid instrumentation techniques should
be evaluated by future studies in order to provide better results regarding the
shaping ability of rotary instruments.
PMID- 18037059
TI - Assessment of the deviation after biomechanical preparation of the coronal,
middle, and apical thirds of root canals instrumented with three HERO rotary
systems.
AB - The aim of the present study was to assess the risk of deviation of the root
canals prepared by 3 HERO rotary systems, used solely or in association, by means
of preoperative and postoperative imaging of a cross-section of their coronal,
middle, and apical thirds. Fifty mesiobuccal canals of human first molars were
randomly divided into 5 groups of 10 specimens each: group A, HERO 642; group B,
HERO 642 + HERO Apical; group C, HERO Shaper; group D, HERO Shaper + HERO Apical;
group E (control), NitiFlex files. Fisher exact test showed that the differences
between the percentages of the presence of deviation and the differences observed
between the proportions of instrumented and noninstrumented walls were not
statistically significant. No system presented absolute effectiveness, because
each of them produced morphologic changes and failed to instrument all the walls
of the root canals. A level of significance of .05 was adopted.
PMID- 18037060
TI - Regional push-out bond strength and coronal microleakage of Resilon after
different light-curing methods.
AB - The purpose of this study was to assess the push-out bond strength and coronal
microleakage of the Epiphany (Pentron Clinical Technologies, Wallingford, CT) +
Resilon (Resilon Research LLC, Madison, CT) obturation system with respect to
different photoactivation methods used. Roots of human maxillary central incisors
(n = 60) were prepared with 0.06 taper nickel-titanum rotary files to size 30.
After application of the Epiphany sealer, the roots were obturated with Resilon
cones. The specimens were randomly assigned into three groups (n = 10/group)
according to the light-curing unit (LCU) used from the coronal aspect: (1) quartz
tungsten-halogen/40 seconds, (2) light-emitting diode/20 seconds, and (3) plasma
arc/6 seconds. Thereafter, 2-mm thick horizontal sections (n = 3) were obtained
from each specimen from the coronal to apical direction and subjected to push-out
test at a crosshead-speed of 1mm/min. Failure modes were assessed quantitatively
under a stereomicroscope and morphologically under a scanning electron
microscope. The remaining 30 roots were used for the dye-leakage assessments.
Both the type of LCU and the level of sectioning had significant effects on bond
strength. The following statistical ranking was obtained for bond strength
values: quartz-tungsten-halogen > light-emitting diode > plasma arc. Coronal
microleakage of specimens cured with the plasma arc was significantly greater
than those of other groups (p < 0.05).
PMID- 18037061
TI - Cyclic fatigue of EndoSequence and K3 rotary files in a dynamic model.
AB - The cyclic fatigue resistance of K3 and EndoSequence files was compared by
rotating files against a highly polished surface inclined at 15 degrees to the
horizontal. For each brand, files with a 25 tip in .04 and .06 taper and files
with a 40 tip in .04 and .06 taper were tested at both 300 and 600 rpm. A 3-mm
axial movement simulated a clinical pecking motion at 1 cycle per second. The
number of rotations to failure was calculated and analyzed by using analysis of
variance and Independent Student's t tests, with results confirmed by
nonparametric Mann-Whitney U tests with a Bonferroni correction. At both 300 and
600 rpm, K3 files exhibited statistically significantly more cycles to fracture
than their EndoSequence counterparts with the same tip size and taper. Scanning
electron microscopy images demonstrated surface features consistent with fracture
due to cyclic fatigue. In this model, file design appeared to be the most
important determinant of cyclic fatigue resistance.
PMID- 18037062
TI - Disinfection of dentinal tubules with 2% chlorhexidine, 2% metronidazole,
bioactive glass when compared with calcium hydroxide as intracanal medicaments.
AB - Thorough disinfection of the root canal system is essential for the success of
root canal therapy. This requires the use of an intra-canal medicament.
Enterococcus faecalis is the most frequently found species in
persistent/secondary intracanal infection associated endodontic treatment
failure. This study evaluates the disinfection of dentinal tubules using 2%
chlorhexidine gel, 2% metronidazole gel, bioactive glass (S53P4) in comparison
with calcium hydroxide. The antibacterial efficacy of the four medicaments
against Enterococcus faecalis was assessed in vitro using extracted premolar
teeth at the depths of 200 microm and 400 microm. The overall percentage
inhibition of bacterial growth (at 200 microm and 400 microm depth) was 100% with
2% chlorhexidine gel. The inhibition of growth was moderate with 2% metronidazole
gel (86.5%), followed by bioactive glass (62.8%) and calcium hydroxide (58.5%).
It can be concluded from the present study that 2% chlorhexidine gel alone was
most effective against E. faecalis when compared to other medicaments tested.
PMID- 18037063
TI - Influence of previous angular deformation on flexural fatigue resistance of K3
nickel-titanium rotary instruments.
AB - Several studies have evaluated the influence of torsion and bending loads on the
mechanical resistance of nickel-titanium instruments. The aim of the present
study was to analyze the influence of previous angular deformation on K3 files
resistance to flexural fatigue. New files and files previously submitted to
different angular deformation were tested for flexural fatigue, and the number of
cycles to fracture was compared. The results indicated that as the angular
deformation increases, the number of cycles attained under flexural fatigue
condition decreases. A reduction of fatigue resistance was registered even when a
significant plastic deformation was not imposed. From the obtained results, it
may be suggested that the sequential loading, including torsion and flexural
fatigue, can be responsible for instrument separation during clinical practice.
Successive torsion overloads, occurring together with flexural fatigue, reduce
the mechanical resistance of nickel-titanium files.
PMID- 18037064
TI - Mandibular first molar with four distal canals.
AB - A mandibular first molar with more than four canals is an interesting example of
anatomic variations, especially when four of these canals are located in distal
roots. This report describes a case of a mandibular first molar with six canals
(two mesial and four distal canals in two distal roots). The canals were equipped
with a K-file and irrigated with NaOCl (5.25%) and normal saline as the final
irrigant. The canals were filled laterally with gutta percha and AH26 sealer (De
Trey, Dentsply, Switzerland). This case shows a rare anatomic configuration and
points out the importance of looking for additional canals.
PMID- 18037065
TI - Inferior alveolar nerve damage because of overextended endodontic material: a
problem of sealer cement biocompatibility?
AB - Damage to the inferior alveolar nerve is a relatively infrequent complication in
dental practice. When root canal treatment of a lower molar or premolar surpasses
and/or overextends beyond the apical foramen and invades the periapical zone, the
foreign material introduced within such a sensitive anatomical space may
mechanically or even chemically affect the inferior alveolar nerve. We describe a
case of endodontic treatment of a permanent right lower first molar in which the
sealer cement overextended in large amounts and damaged the right inferior
alveolar nerve. The condition reverted a few months after the surgical removal of
the material. Evaluation of the removed material, using powder x-ray diffraction
and scanning electron microscopy with coupled dispersive energy spectroscopy,
showed it to consist of calcium tungstate (scheelite [CaWO4]) and zirconium oxide
(baddeleyite [ZrO2]), which were chemical components of the sealer cement.
PMID- 18037066
TI - Menetrier's disease coexisting with ulcerative colitis and sclerosing
cholangitis.
PMID- 18037067
TI - Early prediction of anastomotic leakage after colorectal surgery by measuring
peritoneal cytokines: prospective study.
AB - BACKGROUND: Anastomotic leakage (AL) is a major cause of postoperative mortality
and morbidity in colorectal surgery. We investigated the early prediction of
peritoneal cytokine levels in developing AL after colorectal surgery. METHODS:
Thirty-four patients with colorectal carcinoma, who underwent elective surgery,
were included prospectively. Peritoneal samples were collected on the fifth
postoperative day and interleukin (IL)-6, IL-10 and tumor necrosis factor-alpha
were measured. Patients were divided into two groups: those with clinical
evidence of AL (group 1) and those without any evidence of AL (group 2). RESULTS:
Of the 34 patients undergoing anastomoses, clinically evident AL occurred in 4
patients (11.7%). There was a positive correlation between AL and peritoneal
cytokine levels and blood loss and operation time and hospital stay. Peritoneal
cytokine levels were significantly higher in group 1 as compared to group 2. The
significant increase in patients with AL was observed between peritoneal cytokine
levels and the postoperative days. However, a significant decrease in patients
without AL was observed. CONCLUSION: The peritoneal cytokine levels can be an
additional diagnostic tool that can support the early prediction of AL in
colorectal surgery.
PMID- 18037068
TI - Australians' health at crossroads.
PMID- 18037069
TI - What next for the UK's research assessment exercise?
PMID- 18037070
TI - Calling for research into deafness.
PMID- 18037071
TI - Rotavirus vaccines must perform in low-income countries too.
PMID- 18037072
TI - Is venous thrombosis a risk factor for arterial thrombosis?
PMID- 18037073
TI - Can antidepressants prevent psychosis?
PMID- 18037076
TI - Foresight report on obesity.
PMID- 18037078
TI - Foresight report on obesity.
PMID- 18037079
TI - UN Declaration on the Rights of Indigenous Peoples.
PMID- 18037080
TI - Efficacy of human rotavirus vaccine against rotavirus gastroenteritis during the
first 2 years of life in European infants: randomised, double-blind controlled
study.
AB - BACKGROUND: We aimed to assess the efficacy of the oral live attenuated human
rotavirus vaccine Rotarix (RIX4414) for prevention of rotavirus gastroenteritis
in European infants during their first 2 years of life. METHODS: 3994 study
participants were enrolled from six countries and were randomly assigned two oral
doses of either RIX4414 (n=2646) or placebo (n=1348), which were coadministered
with the first two doses of specific childhood vaccinations. Follow-up for
gastroenteritis episodes was undertaken from 2 weeks post-dose two through the
two consecutive rotavirus seasons following vaccinations (combined efficacy
follow-up period; mean duration 17 months [SD 1.6]). Our primary endpoint was
vaccine efficacy against rotavirus gastroenteritis of any severity during the
first efficacy follow-up period (2 weeks post-dose two to the end of the first
rotavirus season). Stool specimens obtained during gastroenteritis episodes were
tested for rotavirus by ELISA and typed by RT-PCR. Episodes scoring 11 or greater
on the 20-point Vesikari scale were classified as severe. Analysis was according
to protocol. This study is registered with ClinicalTrials.gov, number NCT00140686
(eTrack102247). FINDINGS: 120 infants were excluded from the according-to
protocol analysis. During the first efficacy follow-up period (mean duration 5.7
months [SD 1.2]), 24 of 2572 infants allocated RIX4414 versus 94 of 1302 given
placebo had rotavirus gastroenteritis episodes of any severity, resulting in a
vaccine efficacy of 87.1% (95% CI 79.6-92.1; p<0.0001). For the combined efficacy
follow-up period, vaccine efficacy against severe rotavirus gastroenteritis was
90.4% (85.1-94.1; p<0.0001), for admission owing to rotavirus gastroenteritis
96.0% (83.8-99.5; p<0.0001), and for rotavirus-related medical attention 83.8%
(76.8-88.9; p<0.0001), and significant protection against severe rotavirus
gastroenteritis by circulating G1, G2, G3, G4, and G9 rotavirus types was shown.
INTERPRETATION: In a European setting, two doses of RIX4414 coadministered with
childhood vaccines provided high protection against any and severe rotavirus
gastroenteritis, with an overall reduction of admissions for gastroenteritis over
two consecutive rotavirus epidemic seasons.
PMID- 18037081
TI - Venous thromboembolism and subsequent hospitalisation due to acute arterial
cardiovascular events: a 20-year cohort study.
AB - BACKGROUND: In some studies, venous thromboembolism has been associated with
atherosclerosis and with the risk of arterial cardiovascular events such as
myocardial infarction and stroke. Other studies, however, do not show this
association. To help clarify these discrepant findings, we aimed to investigate
the risk of arterial cardiovascular events in patients who were diagnosed with
venous thromboembolism. METHODS: We undertook a 20-year population-based cohort
study using data from nationwide Danish medical databases. After excluding those
with known cardiovascular disease, we assessed the risk of myocardial infarction
and stroke in 25,199 patients with deep venous thrombosis, 16,925 patients with
pulmonary embolism, and 163,566 population controls. FINDINGS: For patients with
deep venous thrombosis, the relative risks varied from 1.60 for myocardial
infarction (95% CI 1.35-1.91) to 2.19 (1.85-2.60) for stroke in the first year
after the thrombotic event. For patients with pulmonary embolism, the relative
risks in that year were 2.60 (2.14-3.14) for myocardial infarction and 2.93 (2.34
3.66) for stroke. The relative risks were also raised, though less markedly,
during the subsequent 20 years of follow-up, with 20-40% increases in risk for
arterial cardiovascular events. Relative risks were similar for those with
provoked and unprovoked deep venous thrombosis and pulmonary embolism.
INTERPRETATION: Patients with venous thromboembolism have a substantially
increased long-term risk of subsequent arterial cardiovascular events.
PMID- 18037082
TI - Gastrobronchial fistula.
PMID- 18037083
TI - Where is the primary tumour?
PMID- 18037084
TI - Prenatally diagnosed urinary tract abnormalities: long-term outcome.
AB - The long-term outcomes of prenatally detected uropathies are poorly documented.
Limited data on fetal intervention show a possible reduction in early mortality
from pulmonary hypoplasia, but no beneficial effect on long-term prognosis for
renal function. Prenatally detected vesicoureteric reflux (VUR) is characterised
by males with high-grade primary reflux, who are at long-term risk of renal
impairment. Prenatal diagnosis and surgical intervention have contributed to a
reduction in long-term morbidity in children with pelviureteric junction (PUJ)
obstruction. By the same token, many children have almost certainly undergone
unnecessary early pyeloplasty for an obstruction that would have resolved
spontaneously. Multicystic dysplastic kidney (MCDK) carries a low (1%) risk of
hypertension in childhood. The limited evidence on the long-term outcome of mild
dilatation (pelvicaliectasis) indicates this is a largely innocent finding, which
carries no increased risk of morbidity.
PMID- 18037085
TI - Use of ultrasonic dissection in the early surgical management of periorbital
haemangiomas.
AB - PURPOSE: To evaluate the efficacy and safety of the early surgical excision of
periorbital haemangiomas with an ultrasonic scalpel in infants at risk of visual
impairment. STUDY: A retrospective analysis of 67 infants diagnosed to be at risk
of amblyopia from periorbital haemangiomas, treated consecutively with the
Dissectron between 1994 and 2005. Ophthalmic outcome parameters included the pre-
and postoperative measurement of visual axis occlusion, strabismus, astigmatism,
and degree of amblyopia. RESULTS: Visual performance showed an overall
improvement of 30% following treatment. Seventy-six patients were found to have
abnormal ophthalmic examinations preoperatively, compared to 46 following
surgery. After surgery, visual axis occlusion decreased from 73 to 6%; amblyopia
decreased from 67 to 22%, strabismus decreased from 26 to 18% and astigmatism
(>onedioptre) decreased from 66 to 31%. Mean astigmatism values decreased from
3.5 to 1.9 dioptres. No new cases of astigmatism, strabismus or amblyopia were
diagnosed postoperatively. Three minor complications resolved with conservative
treatment. All patients were satisfied with the outcome of their surgery.
CONCLUSION: Early surgical excision of periorbital haemangiomas using the
Dissectron in infants with an established risk of visual impairment is a safe and
effective alternative to pharmacological therapy. The use of the Dissectron is
associated with reduced operative times and a shorter hospital stay.
PMID- 18037087
TI - Mapping techniques for atrial fibrillation ablation.
AB - Atrial fibrillation (AF) is a common arrhythmia. Although significant work still
needs to be done, recent advances in understanding the mechanism of AF have led
to the development of elegant catheter mapping techniques for ablation of AF.
These improved mapping techniques are complemented by an evolution in various
imaging and navigational technologies, several of which can now be combined in a
process called registration, so that the physician no longer needs to rely solely
on a mental image of the anatomy of the left atrium and the pulmonary vein while
attempting to ablate the region. Ongoing advances in mapping technique will
increase safety and efficacy and it is likely that AF ablation will become the
first-line therapy in most patients with this complicated arrhythmia.
PMID- 18037088
TI - Quiz page December 2007: diarrhea and anuria in a recipient of an en bloc infant
kidney transplant.
PMID- 18037089
TI - Should reducing nocturnal blood pressure be a therapeutic target in CKD? The time
is ripe for a clinical outcomes trial.
PMID- 18037090
TI - Tolvaptan, an oral vasopressin V2 receptor antagonist for heart failure?
PMID- 18037091
TI - Changing the timing of antihypertensive therapy to reduce nocturnal blood
pressure in CKD: an 8-week uncontrolled trial.
AB - BACKGROUND: Nondipping status is associated with greater cardiovascular morbidity
and mortality and faster progression of chronic kidney disease (CKD). We examined
whether shifting 1 antihypertensive drug from morning to evening restores the
circadian rhythm of blood pressure in nondipper patients with CKD. STUDY DESIGN:
8-week clinical trial without a control group. SETTING & PARTICIPANTS: We
selected from our outpatient renal clinic 32 patients with CKD with estimated
glomerular filtration rate less than 90 mL/min/1.73 m(2) and night-day ratio of
mean ambulatory blood pressure (ABP) greater than 0.9, but with normal daytime
ABP (<135/85 mm Hg) to avoid the required therapy intensification. INTERVENTION:
Shifting 1 antihypertensive drug from morning to evening. OUTCOMES: Percentage of
patients changing the night-day ratio of mean ABP from greater than 0.9 to 0.9 or
less 8 weeks after the shift. MEASUREMENTS: Office blood pressure/ABP and
proteinuria at baseline and after the shift. RESULTS: There were 55% men with a
mean age of 67.4 +/- 11.3 years and estimated glomerular filtration rate of 46 +/
12 mL/min/1.73 m(2). They were treated with 2.4 +/- 1.4 antihypertensive drugs.
After the drug shift, the night-day ratio of mean ABP decreased in 93.7% of
patients, with normal circadian rhythm restored in 87.5%. The nocturnal systolic
and diastolic ABP decrease was not associated with an increase in diurnal ABP and
was independent from number and class of shifted drug. Office blood pressure in
the morning also decreased (from 136 +/- 16/77 +/- 10 to 131 +/- 13/75 +/- 8 mm
Hg; P = 0.02). Urinary protein excretion decreased from 235 +/- 259 to 167 +/-
206 mg/d (P < 0.001). LIMITATIONS: Absence of a control group and patients with
severe proteinuria or uncontrolled daytime ABP. CONCLUSIONS: In nondipper
patients with CKD, changing the timing of antihypertensive therapy decreases
nocturnal blood pressure and proteinuria.
PMID- 18037092
TI - Calibration of serum creatinine in the National Health and Nutrition Examination
Surveys (NHANES) 1988-1994, 1999-2004.
AB - BACKGROUND: The calibration of serum creatinine values to standardized creatinine
and the commutability of serum creatinine across surveys are essential to the
correct use of National Health and Nutrition Examination Survey (NHANES) data for
kidney function and for generating estimates of the burden of kidney disease in
the United States. STUDY DESIGN: Calibration study of serum creatinine in NHANES
III (1988-1994) and NHANES 1999-2000, 2001-2002, and 2003-2004 to directly
compare creatinine measurements from the original surveys with standard
creatinine measured using an assay traceable to known gold-standard methods. We
also assessed predictors of differences between methods (potential interferences)
in this general population. SETTING & PARTICIPANTS: The NHANES are ongoing cross
sectional surveys of the civilian noninstitutionalized population of the United
States. We selected random samples of approximately 200 stored specimens from
persons aged 60 years or older from each survey (NHANES III, 1999-2000, 2001
2002, and 2003-2004). MEASUREMENTS: Stored serum specimens from the 4 NHANES
surveys were analyzed for serum creatinine by using a Roche enzymatic assay
implemented at the Cleveland Clinic Research Laboratory (CCRL). The Roche assay
is traceable to gold-standard reference methods. The original NHANES serum
creatinine values were obtained using the Jaffe method (kinetic alkaline picrate)
implemented in several different laboratories. RESULTS: Overall agreement between
the original NHANES values (Jaffe method) and CCRL measurements (Roche enzymatic)
was high, but substantial biases were observed in NHANES III and 1999-2000. No
bias was observed in NHANES 2001-2002 and 2003-2004. Final calibration equations
to correct serum creatinine values in the relevant surveys are provided. Assay
differences were independent of sex, race/ethnicity, and bilirubin and
triglyceride levels, but weakly related to age and glucose concentration.
LIMITATIONS: We were not able to examine drift in measurements over time within
each survey or directly evaluate freeze-thaw effects. CONCLUSIONS: The magnitude
of differences in serum creatinine measurements in NHANES III and 1999-2000 from
standard creatinine would result in large differences in estimates of kidney
function (10% to 20%). Thus, correction of original creatinine values in NHANES
III and 1999-2000 is essential, but no correction is needed for NHANES 2001-2002
or 2003-2004.
PMID- 18037093
TI - Modification of the Modification of Diet in Renal Disease (MDRD) Study equation
for Japan.
AB - BACKGROUND: Glomerular filtration rate (GFR)-estimating equations based on serum
creatinine level may not be accurate across racial groups because of differences
among races in creatinine generation. The Modification of Diet in Renal Disease
(MDRD) Study equation was developed in whites and African Americans, but
performance was not evaluated in Japanese. STUDY DESIGN: Diagnostic test
accuracy. Cross-sectional retrospective study of 3 patient groups. Equation
development in 2 groups (n = 247 in 2002 to 2004; n = 214 in 2003 to 2004 with
measured GFR <90 mL/min/1.73 m(2)); external validation in a separate group (n =
153 from 1988 to 1994). SETTING & PARTICIPANTS: Hospitalized Japanese patients
with chronic kidney disease in 3 medical centers. REFERENCE TEST: Measured GFR
(mGFR) computed from renal clearance of inulin. INDEX TEST: Estimated GFR (eGFR)
using the isotope dilution mass spectrometry (IDMS)-traceable 4-variable MDRD
Study equation, a modified IDMS MDRD Study equation with a Japanese Society of
Nephrology-Chronic Kidney Disease Initiatives (JSN-CKDI) coefficient derived in
the development data set, and a new equation derived by refitting coefficients in
the MDRD Study equation in the development data set. MEASUREMENTS: Current
creatinine assays were calibrated to standardized creatinine. Performance of
equations was assessed as bias, accuracy, root-mean-squared error, and
correlation coefficient of eGFR versus mGFR. RESULTS: In the development data
set, eGFR using the IDMS MDRD Study equation overestimated mGFR throughout the
entire range. In the validation data set, the IDMS MDRD Study equation with the
JSN-CKDI coefficient 0.741 and the new equation (JSN-CKDI) performed with
significantly less bias and greater accuracy than the IDMS MDRD Study equation,
but were similar to each other in accuracy and bias in patients with eGFR less
than 60 mL/min/1.73 m(2). In the combined development and validation data sets,
the JSN-CKDI coefficient was 0.763 (95% confidence interval, 0.743 to 0.783).
LIMITATIONS: Possible drift in creatinine assays over time, possible lower
creatinine generation in hospitalized patients, exclusion of patients with higher
GFR from the development data set. CONCLUSION: GFR estimates using the IDMS MDRD
Study equation with the JSN-CKDI coefficient or the new JSN-CKDI equation are
more accurate than the IDMS MDRD Study equation in hospitalized Japanese patients
with eGFR less than 60 mL/min/1.73 m(2). More studies are necessary to verify the
accuracy of the JSN-CKDI coefficient and JSN-CKDI equation in other settings in
Japan and elsewhere in Asia.
PMID- 18037094
TI - The effect of age, sex, and race on urinary markers of kidney damage in children.
AB - BACKGROUND: The aim of this study is to examine the effects of age, sex, and race
on the excretion and concentrations of albumin, gamma-glutamyl transpeptidase
(gamma-GT), N-acetyl-beta-d-glucosaminidase (NAG), alpha(1)-microglobulin
(alpha1M), and creatinine in children. STUDY DESIGN: Secondary analysis of a
clinical trial, The New England Children's Amalgam Trial, which examined effects
of amalgam dental fillings. SETTING & PARTICIPANTS: 534 children aged 6 to 10
years at baseline were recruited from Boston, MA, and rural Maine. PREDICTORS:
Age, sex, and race. OUTCOMES & MEASUREMENTS: Urine samples were collected
annually for 5 years and analyzed for creatinine, albumin, gamma-GT, NAG, and
alpha1M concentrations. Repeated-measures analysis of covariance was used to
model effects of age, sex, and race on these values, as well as calculated
excretion rates. RESULTS: All measures of creatinine and gamma-GT increased
significantly with age. Albumin and gamma-GT concentration and excretion
(milligrams per gram of creatinine or units per gram creatinine) were
significantly greater for girls compared with boys. alpha1M concentration and
creatinine excretion were greater for boys compared with girls. Creatinine
concentration was significantly greater for blacks than for whites and Hispanics.
Creatinine excretion and all gamma-GT levels were significantly greater for
blacks and Hispanics compared with non-Hispanic whites. LIMITATIONS: The study
population, recruited for a clinical trial, was of lower socioeconomic status
than the general population. The high limit of detection for alpha1M resulted in
a majority of samples less than the detection limit. CONCLUSIONS: We recommend
considering age, sex, and race in the interpretation of urinary markers. It also
is recommended that epidemiological studies and clinical trials account for age,
sex, and race in statistical models comparing urinary markers of kidney damage.
PMID- 18037095
TI - A randomized trial of a 6-week course of celecoxib on proteinuria in diabetic
kidney disease.
AB - BACKGROUND: Preclinical data suggest that cyclooxygenase 2 inhibitors decrease
proteinuria and preserve glomerular structure in animal models of diabetic
nephropathy. The objective of this study is to compare the efficacy and safety of
celecoxib with placebo for decreasing proteinuria in patients with diabetic
nephropathy. STUDY DESIGN: Placebo-controlled double-blinded crossover design.
SETTING & PARTICIPANTS: 24 patients with type 1 or 2 diabetes mellitus,
proteinuria with protein of 500 mg/d or greater, and serum creatinine level of
3.0 mg/dL or less. INTERVENTION: Patients were randomly assigned to: (1) 6 weeks
of celecoxib followed by a 3-week washout period, followed by 6 weeks of placebo
followed by another 3-week washout; or (2) 6 weeks of placebo followed by a 3
week washout, followed by 6 weeks of celecoxib followed by another 3-week washout
period. All patients were administered quinapril, 20 to 40 mg/d, or irbesartan,
150 to 300 mg/d. All patients were administered aspirin, 81 mg/d. OUTCOMES &
MEASUREMENTS: Proteinuria was assessed by means of protein-creatinine ratio. Data
were analyzed using the mixed-effect statistical model. RESULTS: There was no
significant difference in urinary proteinuria after 6 weeks of treatment with
placebo or celecoxib (proteinuria ratio, celecoxib versus placebo, 1.041; 95%
confidence interval, 0.846 to 1.282). Celecoxib had no significant effect on
potassium or estimated glomerular filtration rate. Frequencies of adverse events
were similar between the placebo and celecoxib treatments. LIMITATIONS: This
pilot study was not designed to evaluate the safety or long-term clinical effects
of celecoxib. CONCLUSIONS: Celecoxib, 200 mg/d, for 6 weeks did not alter
proteinuria. Few adverse events were noted in this high-risk population.
PMID- 18037096
TI - Hypernatremia in the critically ill is an independent risk factor for mortality.
AB - BACKGROUND: Hypernatremia is common in the intensive care unit (ICU). We assessed
the prevalence of hypernatremia and its impact on mortality and ICU length of
stay (LOS). STUDY DESIGN: Retrospective analysis. SETTING & PARTICIPANTS: All
patients admitted to a medical ICU of a university hospital during a 35-month
observation period. PREDICTOR: Hypernatremia (serum sodium > 149 mmol/L) after
admission to the ICU. OUTCOMES & MEASUREMENTS: Main outcomes were 28-day hospital
mortality and ICU LOS. Demographic factors, main diagnosis, and severity of
illness. Cox proportional hazards regression models were used for data analysis.
RESULTS: Of 981 patients, 90 (9%) had hypernatremia, on admission to the ICU in
21 (2%) and developed during the ICU stay in 69 patients (7%). Of these 981
patients, 235 (24%) died; LOS was 8 +/- 9 (SD) days. Mortality rates were 39% and
43% in patients with hypernatremia on admission or that developed after admission
compared with 24% in patients without hypernatremia (P < 0.01). LOS was 20 +/- 16
days in patients with hypernatremia compared with 8 +/- 10 days in patients
without hypernatremia (P < 0.001). In multivariable analysis, hypernatremia was
an independent risk factor for mortality (relative risk, 2.1; 95% confidence
interval, 1.4 to 3.3). LIMITATIONS: Retrospective design, absence of data for
long-term mortality. CONCLUSIONS: Most cases of hypernatremia in the ICU
developed after admission, suggesting an iatrogenic component in its evolution.
Hypernatremia is associated with increased mortality. Strategies for preventing
hypernatremia in the ICU should be encouraged.
PMID- 18037097
TI - Children on long-term dialysis in the United States: findings from the 2005 ESRD
clinical performance measures project.
AB - BACKGROUND: The Centers for Medicare & Medicaid Services End-Stage Renal Disease
Clinical Performance Measures (CPM) Project contains one of the largest databases
of prevalent pediatric dialysis patients in the United States. Since 2005, the
CPM Project has included not only children on long-term hemodialysis (HD)
therapy, but also those on long-term peritoneal dialysis (PD) therapy. This study
describes demographic and clinical characteristics and compares them between
patients on HD and PD therapy. STUDY DESIGN: Cross-sectional. SETTING &
PARTICIPANTS: Children aged 0 to younger than 18 years included within the 2005
End-Stage Renal Disease CPM Project. PREDICTOR: Demographic and clinical
characteristics, with emphasis on dialysis modality. OUTCOMES & MEASUREMENTS:
Achievement of values for hemoglobin, dialysis adequacy, and serum albumin as
recommended by recent National Kidney Foundation-Kidney Disease Outcomes Quality
Initiative Clinical Practice Guidelines and Recommendations. RESULTS: Of 1,453
patients examined, 692 received HD and 761 received PD. There was no significant
difference by dialysis modality in the likelihood of having a mean hemoglobin
level of 11 g/dL or greater; however, HD patients were significantly more likely
to have a mean hemoglobin level less than 10 g/dL (19% versus 14% of PD patients;
P = 0.02). Although statistically significant, the absolute difference in mean
hemoglobin levels between patients receiving HD versus PD was small (11.4 versus
11.6 g/dL). Eighty-nine percent of patients receiving HD and 87% of patients
receiving PD achieved the recommended modality-specific Kt/V (P = 0.4). Children
receiving HD were more likely than those receiving PD to have a mean serum
albumin level of 4.0/3.7 g/dL or greater (bromcresol green/bromcresol purple
laboratory method): 46% versus 33% (P < 0.001). LIMITATIONS: Because of study
design, only associations can be described. CONCLUSIONS: A significant number of
children had hemoglobin, serum albumin, and/or Kt/V values outside the
recommended targets. Future research is needed to better define the risk
relationships of these predictors with morbidity and mortality in children on
dialysis therapy, evaluate the benefit of treating to certain treatment targets,
and understand reasons for failing to reach treatment targets in individual
patients or patient groups.
PMID- 18037098
TI - Treatment of peritoneal dialysis-associated peritonitis: a systematic review of
randomized controlled trials.
AB - BACKGROUND: Peritonitis frequently complicates peritoneal dialysis. Appropriate
treatment is essential to reduce adverse outcomes. Available trial evidence about
peritoneal dialysis peritonitis treatment was evaluated. SELECTION CRITERIA FOR
STUDIES: The Cochrane CENTRAL Registry (2005 issue), MEDLINE (1966 to February
2006), EMBASE (1985 to February 2006), and reference lists were searched to
identify randomized trials of treatments for patients with peritoneal dialysis
peritonitis. INTERVENTIONS: Trials of antibiotics (comparisons of routes, agents,
and dosing regimens), fibrinolytic agents, peritoneal lavage, and intraperitoneal
immunoglobulin. OUTCOMES: Treatment failure, relapse, catheter removal,
microbiological eradication, hospitalization, all-cause mortality, and adverse
reactions. RESULTS: 36 eligible trials were identified: 30 trials (1,800
patients) of antibiotics; 4 trials (229 patients) of urokinase; 1 trial of
peritoneal lavage (36 patients); and 1 trial of intraperitoneal immunoglobulin
(24 patients). No superior antimicrobial class was identified. In particular,
glycopeptides and first-generation cephalosporins were equivalent (3 trials, 387
patients; relative risk [RR], 1.84; 95% confidence interval [CI], 0.95 to 3.58).
Simultaneous catheter removal/replacement was superior to urokinase at decreasing
treatment failures (1 trial, 37 patients; RR, 2.35; 95% CI, 1.13 to 4.91).
Continuous and intermittent intraperitoneal antibiotic dosing were equivalent
regarding treatment failure (4 trials, 338 patients; RR, 0.69; 95% CI, 0.37 to
1.30) and relapse (4 trials, 324 patients; RR, 0.93; 95% CI, 0.63 to 1.39). One
trial showed superiority of intraperitoneal antibiotics over intravenous therapy.
LIMITATIONS: The method quality of trials generally was suboptimal and outcome
definitions were inconsistent. Small patient numbers led to inadequate power to
show an effect. Interventions, such as optimal duration of antibiotic therapy,
were not evaluated. CONCLUSIONS: Trials did not identify superior antibiotic
regimens. Intermittent and continuous antibiotic dosing are equivalent treatment
strategies.
PMID- 18037099
TI - Efficacy of intravenous methoxy polyethylene glycol-epoetin beta administered
every 2 weeks compared with epoetin administered 3 times weekly in patients
treated by hemodialysis or peritoneal dialysis: a randomized trial.
AB - BACKGROUND: C.E.R.A. (methoxy polyethylene glycol-epoetin beta), a continuous
erythropoietin receptor activator, was developed to provide stable control of
hemoglobin (Hb) levels at extended administration intervals in patients with
chronic kidney disease. We examined its efficacy for Hb level correction when
administered once every 2 weeks in erythropoiesis-stimulating agent-naive
dialysis patients. STUDY DESIGN: Open-label, multicenter, randomized, parallel
group, phase 3 study. SETTING & PARTICIPANTS: Dialysis patients (age >or= 18
years). INTERVENTION: Patients (n = 181) were randomly assigned (3:1) to receive
intravenous C.E.R.A. once every 2 weeks or epoetin 3 times weekly. OUTCOMES &
MEASUREMENTS: The primary end point was Hb level response rate (increase in Hb
level >or= 1 g/dL [10 g/L] versus baseline and Hb level >or= 11 g/dL [110 g/L]
without blood transfusion during the 24-week correction period) in the intent-to
treat population. RESULTS: Hb response rates (intent-to-treat population) were
93.3% with C.E.R.A. and 91.3% with epoetin. Similar results were found in the per
protocol population. Peak mean Hb levels were 12.28 +/- 1.13 (SD) g/dL (122.8 +/-
11.3 g/L) with C.E.R.A. and 12.19 +/- 1.24 g/dL (121.9 +/- 12.4 g/L) with
epoetin. Mean change in Hb levels from baseline to the end of the correction
period were 2.70 +/- 1.45 g/dL (27 +/- 14.5 g/L) with C.E.R.A. and 2.56 +/- 1.31
g/dL (25.6 +/- 13.1 g/L) with epoetin. Both treatments were generally well
tolerated. LIMITATIONS: Open-label study design, 3:1 randomization, limited
peritoneal dialysis population, descriptive statistics, and lack of formal
prespecified comparison to epoetin. CONCLUSIONS: Intravenous C.E.R.A. once every
2 weeks may be as safe and effective as 3-times-weekly epoetin for correcting
anemia in dialysis patients. These results show the utility of intravenous
C.E.R.A. administered once every 2 weeks in erythropoiesis-stimulating agent
naive dialysis patients.
PMID- 18037100
TI - Neuropeptide Y and markers of osteoblast activity in dialysis patients: a cross
sectional study.
AB - BACKGROUND: In mice, neuropeptide Y (NPY) decreases bone turnover by means of a
parathyroid hormone-independent effect on osteoblast activity. STUDY DESIGN:
Cross-sectional study. SETTING & PARTICIPANTS: We studied the relationship
between levels of NPY and biomarkers of osteoblast activity in 161 nondiabetic
patients with end-stage renal disease (131 patients, hemodialysis; 30 patients,
continuous ambulatory peritoneal dialysis). PREDICTORS & OUTCOMES: We performed
an analysis of demographic and clinical variables associated with NPY as a
dependent variable and a second analysis testing the association of NPY (as an
independent variable) with markers of osteoblast activity. RESULTS: Peritoneal
dialysis as treatment modality (beta = 0.37; P < 0.001) and longer duration of
dialysis therapy (beta = 0.24; P < 0.01) were independently related to plasma
NPY. NPY level was related inversely (P < 0.001) to serum alkaline phosphatase
and bone alkaline phosphatase levels (P = 0.01). The NPY-alkaline phosphatase
link was confirmed in a multiple regression analysis adjusting for a series of
potential confounders, including parathyroid hormone. In a categorical analysis
in which the study population was divided according to NPY quartiles, the
proportion of patients with low alkaline phosphatase levels was lowest in the
first 2 NPY quartiles (26%) and highest in NPY quartile 4 (80%; P < 0.001), and
this association held true in a multiple logistic regression analysis, indicating
that the risk of low alkaline phosphatase level increases in parallel with NPY
level. LIMITATIONS: The hypothesis generated by this cross-sectional study needs
to be confirmed in cohort studies. CONCLUSIONS: The inverse relationships between
levels of NPY and biomarkers of bone turnover support the hypothesis that NPY may
be implicated in low bone turnover in dialysis patients by a central parathyroid
independent mechanism.
PMID- 18037101
TI - Relationships of N-terminal pro-B-natriuretic peptide and cardiac troponin T to
left ventricular mass and function and mortality in asymptomatic hemodialysis
patients.
AB - BACKGROUND: Although the cardiac biomarker troponin T (cTnT) is related strongly
to mortality in patients with end-stage renal disease, the independent
association of N-terminal pro-B-type natriuretic peptide (NT-pro-BNP) and cTnT
levels in predicting outcomes is unknown. The objective of this study is to
determine factors associated with NT-pro-BNP and cTnT and determine whether these
levels are associated with mortality. STUDY DESIGN: Cohort study. SETTING &
PARTICIPANTS: Asymptomatic hemodialysis patients (n = 150) in 4 university
affiliated hemodialysis units. EXPOSURE & OUTCOMES: For cross-sectional analysis,
echocardiographic variables as exposures and NT-pro-BNP and cTnT levels as
outcomes; for longitudinal analysis, association of NT-pro-BNP and cTnT levels as
exposures to all-cause and cardiovascular disease mortality as outcomes. RESULTS:
In a multivariate regression analysis, low midwall fractional shortening, a
measure of poor systolic function, was an independent correlate of log NT-pro-BNP
level (P < 0.01), whereas left ventricular mass index was an independent
correlate of cTnT level (P < 0.01). During a median follow-up of 24 months, 46
patients died, 26 of cardiovascular causes. NT-pro-BNP levels had a strong graded
relationship with all-cause (hazard ratios [HRs], 1.54, 4.78, and 4.03 for
increasing quartiles; P < 0.001) and cardiovascular mortality (HRs, 2.99, 10.95,
and 8.54; P < 0.01), whereas cTnT level had a weaker relationship with all-cause
(HRs, 1.57, 2.32, and 3.39; P < 0.01) and cardiovascular mortality (HRs, 0.81,
2.12, and 2.14; P = 0.1). The combination of the 2 biomarker levels did not
improve the association with all-cause or cardiovascular mortality compared with
NT-pro-BNP level alone. NT-pro-BNP level was a marker of mortality even after
adjusting for left ventricular mass index and midwall fractional shortening.
LIMITATIONS: Our cohort was predominantly black and of limited sample size.
CONCLUSION: NT-pro-BNP level strongly correlates with left ventricular systolic
dysfunction and is associated more strongly with mortality than cTnT level in
asymptomatic hemodialysis patients.
PMID- 18037102
TI - Fenestration of the Gerota's fascia as symptomatic treatment of floating kidneys.
AB - A 16-year-old man presented with severe nephrotic syndrome complicated by massive
perirenal fluid. Percutaneous drainage of fluid was performed 3 times, followed
by improvement in renal function and hypertension, but perirenal fluid recurred
within days. Nephrotic syndrome was unresponsive to steroid therapy. A
laparoscopic bilateral fenestration of Gerota's fascia and peritoneum allowed
permanent drainage of fluid into the peritoneal cavity. During the same
procedure, a renal wedge biopsy was performed. Histological examination showed
advanced focal glomerular sclerosis of the tip lesion variant. The glomerular
disease was refractory to further treatment with cyclophosphamide, mycophenolate,
and rituximab. However, perirenal fluid did not recur despite persistent
nephrotic syndrome, showing that fenestration of Gerota's fascia is a successful
treatment of floating kidneys in such patients.
PMID- 18037103
TI - Massively enlarged polycystic kidneys in monozygotic twins with TCF2/HNF-1beta
(hepatocyte nuclear factor-1beta) heterozygous whole-gene deletion.
AB - TCF2, the gene encoding for hepatocyte nuclear factor 1beta, is involved in early
renal development. Mutations in TCF2 lead to heterogeneous renal phenotypes.
Antenatal ultrasonography may show unilateral/bilateral hyperechogenic or
enlarged cystic kidneys. In children or adults, cystic renal hypoplasia/dysplasia
is a common feature, occasionally associated with maturity-onset diabetes of the
young type 5 and genital tract abnormalities. We report an unusual presentation
characterized by massively enlarged polycystic kidneys mimicking autosomal
dominant polycystic kidney disease in monozygotic twins. Bilateral enlarged
cystic kidneys were discovered in week 13 of a gemellic pregnancy. Postnatally,
kidney size increased in both children, reaching 16 cm at 20 years. Nephromegaly
was associated with bilateral cysts and a slowly decreasing glomerular filtration
rate (40 mL/min/1.73 m(2) at 20 years). There was neither pancreatic nor genital
malformation. Non-type 1 diabetes mellitus was diagnosed incidentally in both
twins at 20 years. Knowledge of early-onset diabetes (at age 19 years) in their
father prompted us to search for the TCF2 mutation. Genetic analysis showed
complete TCF2 heterozygous whole-gene deletion in both twins. Genetic testing
could not be performed in the father. Bilateral massively enlarged polycystic
kidneys mimicking autosomal dominant polycystic kidney disease in young adults
may be related to TCF2 mutation. Although uncommon, this new phenotype enlarges
the clinical spectrum of kidney involvement associated with TCF2 mutation. In
this case, maturity-onset diabetes of the young-type diabetes paved the way to
accurate diagnosis.
PMID- 18037104
TI - Treatment of idiopathic membranous nephropathy with the herb Astragalus
membranaceus.
AB - A 77-year-old woman with nephrotic syndrome secondary to idiopathic membranous
nephropathy was treated with angiotensin-converting enzyme inhibitors,
angiotensin receptor blockers, cyclosporine A, and mycophenolate mofetil, without
response. After more than 2 years of unremitting nephrosis, she began therapy
with the herb Astragalus membranaceus, used by traditional Chinese physicians to
treat various immune disorders, including glomerulonephritis. After institution
of Astragalus at a dose of 15 g/d, there was a marked decrease in proteinuria.
Nephrotic syndrome recurred after temporary cessation of Astragalus therapy, with
complete remission of nephrosis observed after its reintroduction. The clinical
course of this patient suggests that Astragalus may have beneficial effects in
patients with idiopathic membranous nephropathy.
PMID- 18037105
TI - Elevated fibroblast growth factor 23 in a patient with metastatic prostate cancer
and hypophosphatemia.
PMID- 18037106
TI - Two brothers in one Chinese family with collagen type III glomerulopathy.
PMID- 18037107
TI - Evaluation of the potential living kidney donor.
PMID- 18037108
TI - Managing dialysis catheter-related Staphylococcus aureus bacteremia.
PMID- 18037110
TI - Nephrocalcinosis in phosphate nephropathy following oral phosphate purgative: a
role for underlying subclinical primary hyperparathyroidism?
PMID- 18037113
TI - Vasculitis: a collection of pearls and myths.
AB - Since Kussmaul and Maier described the index case of vasculitis in 1866, the
field has seen many changes. What was considered for decades to be only a single
disorder is now known to consist of at least 15 to 20 distinct conditions.
Important strides have been made in unraveling the pathophysiology of some
individual forms of vasculitis, but many mysteries remain. Over time, numerous
myths and occasional pearls have arisen from the care of patients with these
disorders. This collection of pearls and myths gathers lessons about the status
of clinical care of vasculitis patients in the year 2008.
PMID- 18037114
TI - Targeting B lymphocytes as therapy for ANCA-associated vasculitis.
AB - This article focuses on the initial results achieved with the more selective
immunosuppressive approach of B-lymphocyte depletion in patients who fail
cyclophosphamide or have contraindications for its use in the treatment of
antineutrophil cytoplasmic antibody (ANCA)-associated vasculitis (AAV). This
novel approach has sparked hope for patients and physicians in their search for
effective, well-tolerated therapy for AAV. B-cell depletion is now undergoing
rigorous investigation in randomized clinical trials.
PMID- 18037115
TI - Airway involvement in Wegener's granulomatosis.
AB - Wegener's granulomatosis is characterized by necrotizing granulomatous
inflammation and necrotizing vasculitis affecting predominantly small arteries,
arterioles, capillaries, and venules. In contrast to the well-described pulmonary
parenchymal involvement of Wegener's granulomatosis, the lower airway
(tracheobronchial) disease manifestations are less well recognized by clinicians.
Consequently, mild disease of the airways is easily missed. There is a relative
paucity of published information on various tracheobronchial manifestations of
Wegener's granulomatosis. This article provides a comprehensive review of the
diagnosis and management of the infraglottic tracheobronchial disease
manifestations.
PMID- 18037116
TI - Takayasu arteritis: what is the long-term prognosis?
AB - Takayasu arteritis (TA) is a form of idiopathic large vessel vasculitis that
predominantly affects women of reproductive age. Although TA is a rare disease,
the interpretation of longitudinal data from several countries provides new
insights into the clinical course and outcomes in TA across different racial and
ethnic groups. Contemporary studies belie prior perceptions of TA as a disease
with a self-limited, benign course. We now recognize this disease as one that
often relapses, leaves the patient chronically dependent on glucocorticoids for
disease control, and frequently leads to disability. Limited data suggest that
the targeted inhibition of tumor necrosis factor (TNF) might be an effective
therapy for TA.
PMID- 18037117
TI - Pyoderma gangrenosum: an update.
AB - Pyoderma gangrenosum (PG) can be differentiated into classic and atypical forms.
The classic form is characterized by ulcers and the atypical form by deep
erosions with bullous blue-gray margins. Pathergy, the development of cutaneous
lesions at sites of trauma, is a common feature of both forms of PG.
Approximately 50% of patients who have PG have underlying systemic diseases, most
commonly inflammatory bowel disease, myeloproliferative disorders, and various
forms of inflammatory arthritis. The diagnosis of PG is one of exclusion. The
management of this disorder begins with treatment of any underlying disease and
local or systemic glucocorticoids or immunomodulating therapies.
PMID- 18037118
TI - Retroperitoneal fibrosis: evolving concepts.
AB - Retroperitoneal fibrosis (RPF) is a rare fibro-inflammatory condition that is
idiopathic in most cases, but may be secondary to various causes. Although the
cause and pathogenesis of the idiopathic form are unknown, immunogenetic factors
and immunopathologic/autoimmune mechanisms are probably involved. Idiopathic RPF
usually develops around the abdominal aorta and iliac arteries but in some cases
may also involve the thoracic aorta and the origin of its major branches, with a
pattern similar to that of other forms of large-vessel vasculitis. In addition,
the disease is frequently associated with autoimmune conditions affecting other
organs. Glucocorticoids alone or in combination with immunosuppressive agents are
usually effective treatment options, but the disease frequently has a chronic
relapsing course.
PMID- 18037119
TI - Five clinical conundrums in the management of giant cell arteritis.
AB - Clinicians who treat patients with giant cell arteritis (GCA) face many
unresolved challenges. Visual loss still occurs in 15% to 20% of patients despite
the availability of therapy for the disease that is generally effective. Aneurysm
formation and large vessel stenosis are increasingly recognized complications.
Substantial iatrogenic morbidity stems from glucocorticoid therapy, and recent
trials have failed to identify an efficient steroid sparing agent. In this
review, the authors address five major clinical conundrums in the management of
GCA.
PMID- 18037120
TI - Scleritis and peripheral ulcerative keratitis.
AB - Scleritis and peripheral ulcerative keratitis (PUK) can present as isolated
conditions or as part of a systemic inflammatory or infectious disorder. Both are
serious ocular conditions that can result in vision loss and require early
diagnosis and treatment. Nearly two thirds of patients with non-infectious
scleritis require systemic glucocorticoid therapy and one fourth need a
glucocorticoid-sparing agent as well. Essentially all patients with non
infectious PUK require systemic glucocorticoids. A detailed clinical history,
thorough physical examination, and thoughtful laboratory evaluations are
important in the exclusion of underlying disorders and extraocular involvement.
PMID- 18037121
TI - Cogan's syndrome: an audiovestibular, ocular, and systemic autoimmune disease.
AB - Cogan's syndrome is an immune-mediated systemic disorder characterized by ocular
and audiovestibular inflammation. Although interstitial keratitis in the absence
of an infectious cause is the classic form of eye involvement, other nonspecific
inflammatory eye diseases can also occur, often making prompt diagnosis of the
underlying disease challenging. The audiovestibular disease is difficult to treat
and can lead to profound hearing loss. A poor outcome, especially complete
hearing loss, can sometimes be prevented through a timely recognition and
initiation of glucocorticoid therapy at the onset of the disease.
PMID- 18037122
TI - Insights into amyloid-beta-induced mitochondrial dysfunction in Alzheimer
disease.
AB - Amyloid-beta has long been implicated in the pathogenesis of Alzheimer disease.
The focus was initially on the extracellular fibrillar deposits of amyloid-beta
but more recently has shifted to intracellular oligomeric forms of amyloid-beta.
Unfortunately, the mechanism(s) by which either extracellular or intracellular
amyloid-beta induces neuronal toxicity remains unclear. That said, a number of
recent studies indicate that mitochondria might be an important target of amyloid
beta. Neurons rely heavily on mitochondria for energy and it is well established
that mitochondrial dysfunction might be an important target of amyloid-beta.
Mechanistically, amyloid-beta aggregates in mitochondria to impair function,
leading to energy hypometabolism and elevated reactive oxygen species production.
Additionally, amyloid-beta affects the balance of mitochondrial fission/fusion
and mitochondrial transport, negatively impacting a host of cellular functions of
neurons. Here, we review the role that amyloid-beta plays in mitochondrial
structure and function of neurons and the importance of this in the pathogenesis
of Alzheimer disease.
PMID- 18037123
TI - Ifosfamide induces acute renal failure via inhibition of the thioredoxin
reductase activity.
AB - The present study investigated the impact of ifosfamide (IFO) on renal
thioredoxin reductase (TrxR) activity. In mice treated with IFO for 6 h, TrxR
activity significantly decreased in a dose-dependent manner. Subsequently, acute
renal failure (ARF) occurred dose-dependently. Like IFO, the well-established
TrxR-specific inhibitor auranofin suppresfssed renal TrxR activity and generated
ARF too. TrxR was inactivated by IFO preferentially over other antioxidant
parameters at 6 h; however, it recovered nearly to normal levels within 12 h.
When auranofin was administered at 6 h after IFO treatment, the recovery at 12 h
was sharply attenuated. Consequently, ARF was pronouncedly exacerbated. IFO
within its maximum tolerated dose did not considerably deplete renal glutathione.
However, escalating IFO dose strikingly attacked both the thioredoxin and the
glutathione systems, resulting in lethality, which implies that glutathione
depletion sensitizes IFO-induced nephrotoxicity and cosuppression of both systems
causes more severe toxicological consequences than suppressing the thioredoxin
system alone. Indeed, combining IFO with buthionine sulfoximine, an inhibitor of
glutathione synthesis, induced much more severe ARF than IFO alone did. Taken
together, inhibition of renal TrxR activity can be considered as a pivotal
mechanism of IFO-induced ARF, and individuals with lower levels of renal
glutathione are at high risk of incurring ARF after IFO treatment.
PMID- 18037124
TI - Oxidation and structural perturbation of redox-sensitive enzymes in injured
skeletal muscle.
AB - Molecular events that control skeletal muscle injury and regeneration are poorly
understood. However, inflammation associated with oxidative stress is considered
a key player in modulating this process. To understand the consequences of
oxidative stress associated with muscle injury, inflammation, and regeneration,
hind-limb muscles of C57Bl/6J mice were studied after injection of cardiotoxin
(CT). Within 1 day post-CT injection, polymorphonuclear neutrophilic leukocyte
accumulation was extensive. Compared to baseline, tissue myeloperoxidase (MPO)
activity was elevated eight- and fivefold at 1 and 7 days post-CT, respectively.
Ubiquitinylated protein was elevated 1 day postinjury and returned to baseline by
21 days. Cysteine residues of creatine kinase (CK) and glyceraldehyde-3-phosphate
dehydrogenase (GAPDH) were irreversibly oxidized within 1 day post-CT injection
and were associated with protein conformational changes that fully recovered
after 21 days. Importantly, protein structural alterations occurred in
conjunction with significant decreases in CK activity at 1, 3, and 7 days post-CT
injury. Interestingly, elevations in tissue MPO activity paralleled the time
course of conformational changes in CK and GAPDH. In combination, these results
demonstrate that muscle proteins in vivo are structurally and functionally
altered via the generation of reactive oxygen species produced during
inflammatory events after muscle injury and preceding muscle regeneration.
PMID- 18037125
TI - Anti-inflammatory effects of 8-hydroxy-2'-deoxyguanosine on lipopolysaccharide
induced inflammation via Rac suppression in Balb/c mice.
AB - Recently, we observed that 8-hydroxyguanosine triphosphate and 8-hydroxy-2'
deoxyguanosine (oh(8)dG) inactivate Rac and consequently down-regulate the Rac
linked NADPH oxidase, iNOS, and Cox2. Based on these observations, we tested
whether oh(8)dG has anti-inflammatory activity in vivo in lipopolysaccharide
(LPS)-treated mice. LPS (1 mg/kg, ip)-treated mice exhibit marked inflammatory
responses, including increases in proinflammatory cytokines (TNF-alpha, IL-6, IL
18, and IL-12p70) in serum and infiltration of neutrophils, increased
translocation of NF-kappaB p50 from the cytosol to the nucleus, and
phosphorylation of c-Jun in lung tissues. Mice were pretreated with oh(8)dG (up
to 60 mg/kg, ip) 4 h before LPS injection, and this pretreatment dose-dependently
inhibited the inflammatory responses; the inhibitions observed with 60 mg/kg
oh(8)dG were statistically significant. At the same time, oh(8)dG pretreatment
inactivated Rac in lung tissues. Oh(8)dG pretreatment (50 mg/kg, ip) also
significantly protected against LPS-induced septic death. Furthermore, oh(8)dG
was more effective than acetyl salicylic acid in inhibiting these inflammatory
responses. 8-Hydroxyguanosine also had some effect but was much weaker than
oh(8)dG. The effects of normal nucleosides (dG, G, and A) were negligible or not
significant. These results support an anti-inflammatory activity for oh(8)dG,
which could be ascribed to its Rac-inactivating action.
PMID- 18037126
TI - 4-Hydroxy-2-nonenal-modified glyceraldehyde-3-phosphate dehydrogenase is degraded
by cathepsin G.
AB - Degradation of oxidized or oxidatively modified proteins is an essential part of
the antioxidant defenses of cells. 4-Hydroxy-2-nonenal (HNE), a major reactive
aldehyde formed by lipid peroxidation, causes many types of cellular damage. It
has been reported that HNE-modified proteins are degraded by the ubiquitin
proteasome pathway or, in some cases, by the lysosomal pathway. However, our
previous studies using U937 cells showed that HNE-modified glyceraldehyde-3
phosphate dehydrogenase (GAPDH) is degraded by an enzyme that is sensitive to a
serine protease inhibitor, diisopropyl fluorophosphate (DFP), but not a
proteasome inhibitor, MG-132, and that its degradation is not catalyzed in the
acidic pH range where lysosomal enzymes are active. In the present study, we
purified an HNE-modified GAPDH-degrading enzyme from a U937 cell extract to a
final active fraction containing two proteins of 28 kDa (P28) and 27 kDa (P27)
that became labeled with [(3)H]DFP. Using peptide mass fingerprinting and a
specific antibody, P28 and P27 were both identified as cathepsin G. The
degradation activity was inhibited by cathepsin G inhibitors. Furthermore, a cell
extract from U937 cells transfected with a cathepsin G-specific siRNA hardly
degraded HNE-modified GAPDH. These results suggest that cathepsin G plays a role
in the degradation of HNE-modified GAPDH.
PMID- 18037127
TI - Sequence-specific oxidative base modifications in hypoxia-inducible genes.
AB - Reactive oxygen species associated with hypoxic signaling in pulmonary arterial
endothelial cells (PAECs) oxidatively modify specific nucleotides in the hypoxic
response element (HRE) of the VEGF gene (FASEB J.19:387-394; 2005). In this
study, we determined in PAECs if hypoxia caused genome-wide oxidative
modifications or if they were restricted to the promoters of genes differentially
regulated by hypoxia. Comet assays indicated that there were no differences
between normoxic and hypoxic PAECs in terms of global DNA damage. However, a
simple PCR-based method involving DNA amplification before and after treatment
with formamidopyrimidine DNA glycosylase (Fpg), a bacterial DNA repair enzyme
that cleaves at sites of purine base oxidation, revealed that hypoxia caused
modifications in the HREs of the hypoxia-inducible VEGF, HO-1, and ET-1 genes
which coincided with accumulation of their respective mRNA transcripts. Promoter
sequences not involved with hypoxic induction and coding regions of these genes
failed to display Fpg-sensitive sites. Oxidative modifications also were not
detected in sequences of the hypoxia down-regulated ornithine decarboxylase and
TFAM genes or the constitutively expressed beta-actin gene. These findings show
that hypoxia-mediated oxidative DNA modifications cluster in functionally
relevant promoter sequences in hypoxia-inducible genes and suggest that such
oxidative modifications may be biologically significant.
PMID- 18037128
TI - Evidence for cancer-associated expression of NADPH oxidase 1 (Nox1)-based oxidase
system in the human stomach.
AB - Helicobacter pylori infection has been suggested to stimulate expression of the
NADPH oxidase 1 (Nox1)-based oxidase system in guinea pig gastric epithelium,
whereas Nox1 mRNA expression has not yet been documented in the human stomach.
PCR of human stomach cDNA libraries showed that Nox1 and Nox organizer 1 (NOXO1)
messages were absent from normal stomachs, while they were specifically
coexpressed in intestinal- and diffuse-type adenocarcinomas including signet-ring
cell carcinoma. Immunohistochemistry showed that Nox1 and NOXO1 proteins were
absent from chronic atrophic gastritis (15 cases), adenomas (4 cases), or
surrounding tissues of adenocarcinomas (45 cases). In contrast, Nox1 and its
partner proteins were expressed in intestinal-type adenocarcinomas (19/21 cases),
diffuse-type adenocarcinomas (15/15 cases), and signet-ring cell carcinomas (9/9
cases). Confocal microscopy revealed that Nox1, NOXO1, Nox activator 1, and
p22(phox) were predominantly associated with Golgi apparatus in these cancer
cells, while diffuse-type adenocarcinomas also contained cancer cells having Nox1
and its partner proteins in their nuclei. Nox1-expressing cancer cells exhibited
both gastric and intestinal phenotypes, as assessed by expression of mucin core
polypeptides. Thus, the Nox1-base oxidase may be a potential marker of neoplastic
transformation and play an important role in oxygen radical- and inflammation
dependent carcinogenesis in the human stomach.
PMID- 18037130
TI - Elevated F2-isoprostanes in thalassemic patients.
AB - This study was aimed at investigating oxidative stress in thalassemic patients by
measurement of the oxidative damage biomarker, F(2)-isoprostanes (F(2)-IsoPs),
using gas chromatography-mass spectrometry. The results showed that the mean
value of urinary F(2)-IsoPs, normalized with creatinine, in the thalassemic group
was significantly higher than that from healthy subjects (3.38+/-2.15 ng/mg
creatinine vs 0.86+/-0.55 ng/mg creatinine, respectively), and the mean value of
plasma total F(2)-IsoPs in the thalassemic group was also significantly higher
than that from healthy subjects (0.39+/-0.15 ng/ml vs 0.18+/-0.03 ng/ml,
respectively). Serum ferritin, erythrocyte superoxide dismutase (SOD),
glutathione peroxidase, glutathione, and TBARS levels after treatment of
erythrocytes with H(2)O(2) were also investigated, and serum ferritin and
erythrocyte SOD levels were significantly higher in thalassemic patients. Our
findings are consistent with oxidative stress in thalassemia patients.
PMID- 18037129
TI - Low nitric oxide: a key factor underlying copper-deficiency teratogenicity.
AB - Copper (Cu)-deficiency-induced teratogenicity is characterized by major cardiac,
brain, and vascular anomalies; however, the underlying mechanisms are poorly
understood. Cu deficiency decreases superoxide dismutase activity and increases
superoxide anions, which can interact with nitric oxide (NO), reducing the NO
pool size. Given the role of NO as a developmental signaling molecule, we tested
the hypothesis that low NO levels, secondary to Cu deficiency, represent a
developmental challenge. Gestation day 8.5 embryos from Cu-adequate (Cu+) or Cu
deficient (Cu-) dams were cultured for 48 h in Cu+ or Cu- medium, respectively.
We report that NO levels were low in conditioned medium from Cu-/Cu- embryos and
yolk sacs, compared to Cu+/Cu+ controls under basal conditions and with NO
synthase (NOS) agonists. The low NO production was associated with low
endothelial NOS phosphorylation at serine 1177 and cyclic guanosine-3',5'
monophosphate (cGMP) concentrations in the Cu-/Cu- group. The altered NO levels
in Cu-deficient embryos are functionally significant, as the administration of
the NO donor DETA/NONOate increased cGMP and ameliorated embryo and yolk sac
abnormalities. These data support the concept that Cu deficiency limits NO
availability and alters NO-dependent signaling, which contributes to abnormal
embryo and yolk sac development.
PMID- 18037131
TI - Age-dependent upregulation of p53 and cytochrome c release and susceptibility to
apoptosis in skeletal muscle fiber of aged rats: role of carnitine and lipoic
acid.
AB - Mitochondrial dysfunction has been implicated in the regulation of myofiber loss
during aging, possibly by apoptotic pathways. However, the mitochondrial-mediated
pathway of apoptosis by cytochrome c in skeletal muscle remains ambiguous. To
understand this, we have studied the upstream and downstream events of cytochrome
c release, and assessed the efficacy of carnitine and lipoic acid
cosupplementation. The results show that elevated levels of cytosolic cytochrome
c activate apoptosis in aged rats, and was confirmed further by in vitro caspase
3 assay. Interestingly, the exogenous addition of cytochrome c results in a much
higher increase of caspase-3 activity in aged treated rats than age-matched
control rats, strongly suggesting that cytochrome c is a limiting factor for
caspase-3 activation in the cytosol. Carnitine and lipoic acid supplement
decreased apoptosis in aged rats by maintaining mitochondrial membrane integrity
and thereby preventing further loss of cytochrome c in vivo. Furthermore, the
upregulation of p53 observed in aged rats is attributed to the loss of outer
mitochondrial membrane integrity and subsequent release of cytochrome c through
BH3-only proteins. In conclusion, the p53-dependent activation of the
mitochondrial-cytochrome c pathway of apoptosis in the present study suggests the
existence of cross talk between mitochondria and nucleus. However, the exact
molecular mechanism remains to be explored. Oral supplements of carnitine and
lipoic acid play an antiapoptotic role in aged rat skeletal muscle by protecting
mitochondrial membrane integrity.
PMID- 18037132
TI - The JNK pathway and neuronal migration.
AB - The c-Jun N-terminal kinases (JNKs) are important regulators of a variety of
physiological and pathological processes both in the central and in the
peripheral nervous systems. JNKs are considered as crucial mediators of neuronal
cell death in response to stress and injury. However, recent studies have
provided substantial evidence that the JNK pathway plays an important role in
neuronal migration. Here, we will give a brief introduction of the JNK signaling
pathway and put more emphasis on its role in neuronal migration.
PMID- 18037133
TI - Identification of differentially expressed microRNAs during the development of
Chinese murine mammary gland.
AB - MicroRNAs (miRNAs) are endogenous approximately 22 nucleotide-long noncoding
RNAs. In this study, to investigate miRNA expression profiles and their functions
in mammary gland development, we have used microarray as well as qRT-PCR, to
analyze the miRNA expression changes along the murine mammary cycle during
pregnancy, particularly on transition from pregnancy to lactation. It shows that
every developmental stage of the mammary gland has its own miRNA expression
pattern. Compared with virgin and involution, some miRNAs such as miR-138 and miR
431 are downregulated, whereas, some miRNAs such as miR-133 and miR-133a-133b are
upregulated during pregnancy and lactation. These results indicate that miRNAs
are functionally involved in mammary gland development.
PMID- 18037134
TI - Effect of menopause on gene expression profiles of circulating monocytes: a pilot
in vivo microarray study.
AB - Menopause is one of the key physiological events in the female life and can
increase the risk for a number of complex autoimmune, neurodegenerative,
metabolic, and cardiovascular disorders. Circulating monocytes can differentiate
into various cell types and play an important role in tissue morphogenesis and
immune response. We studied gene expression profiles of peripheral blood
monocytes in healthy pre- and postmenopausal women using Affymetrix Human U133A
GeneChip array that contains probes for approximately 14,500 genes. Comparative
analyses between the samples showed that 20 genes were up- and 20 were down
regulated. Of these genes, 28 were classified into six major GO categories
relevant to such biological processes as the cell proliferation, immune response,
cellular metabolism, and the others. The remaining 12 genes have yet unidentified
biological functions. Our results support the hypothesis that functional state of
circulating monocytes is indeed affected by menopause, and resulting changes may
be determined through the genomewide gene expression profiling. Several
differentially expressed genes identified in this study may be candidates for
further studies of menopause-associated systemic autoimmune, neurodegenerative,
and cardiovascular disorders. Our study is only the first attempt in this
direction, but it lays a basis for further research.
PMID- 18037135
TI - Microsatellite DNA marker analysis of genetic diversity in wild common carp
(Cyprinus carpio L.) populations.
AB - Thirty microsatellite loci were used for analyzing six wild populations of common
carp (Cyprinus carpio L.). Observed (H(o)) and expected (H(e)) heterozygosity
values, polymorphic information content (PIC), and number of effective alleles
(A(e)) were all detected. Genetic similarity index and genetic distance were
computed based on the allele frequency. The Hardy-Weinberg Equilibrium was
checked according to the test of chi2. Genetic differentiation and hierarchical
partition of genetic diversity were evaluated by F(ST) and N(m). A clustering
dendrogram was made based on the results of UPGMA methods using the PHYLIP
software package (version 3.63). There were totally 8,136 fragments ranging from
125 bp to 414 bp in length. Three to thirteen alleles were amplified in 30 loci
and 210 alleles in all six populations. The average number of alleles in each
locus was seven. The result showed that 1) the level of genetic variability was
moderate in the six populations. Polymorphic information contents of the six wild
common carp populations were 0.44, 0.52, 0.53, 0.57, 0.63, and 0.64 respectively.
Effective alleles were from 1.04 to 4.72, the average numbers in each population
were 2.19, 2.60, 2.42, 2.43, 2.45, and 2.33. The average expected heterozygosity
values were 0.50, 0.59, 0.56, 0.56, 0.57, and 0.54 respectively; 2) the highest
genetic similarity index that came from the populations of BR and ZL was 0.8511
and the lowest index was 0.6688, and it came from the populations of BR and HN.
There was a correlation between the clustering result and the geographical
distribution.
PMID- 18037137
TI - Analysis on genetic diversity and isolation mechanism by distance of different
ecological type sheep breeds in Mongolia sheep group.
AB - In the present study with Tan sheep, small-tailed Han sheep, Hu sheep, Tong
sheep, and Wadi sheep, we detected the distribution of gene frequency of several
microsatellite sites in different chromosomes, the result showed that: 1) Hu
sheep was in the status of Hardy-Weinberg extreme disequilibrium (P < 0.01),
while populations including Tong sheep, small-tailed Han sheep, Tan sheep, and
Wadi sheep were in Hardy-Weinberg equilibrium (P > 0.05). 2) Variance analysis of
the heterozygosity and polymorphic information content at microsatellite makers
showed that there were not significant differences among populations as to
heterozygosity and PIC (P > 0.05), as to effective number of alleles there were
not significant differences both among Tan sheep, Hu sheep, Tong sheep, and Wadi
sheep, and between Wadi sheep and small-tailed sheep (P > 0.05), but between the
former three populations and the latter two populations, there were significant
differences (0.01116.2 vs. 21.6 months, log-rank test, p<0.001). From Cox's proportional hazard
model, ART (HR 0.6, p<0.001) and previous opportunistic infections (HR 3.5,
p=0.025) were negatively and positively associated with death, respectively. At
median time of 69 months (interquartile range 34-101) in patients who received
ART, 80.8% had HIV-1 RNA <50 copies/mL and median CD4 was 421 cells/mm(3). One
patient had immune reconstitution syndrome at 3 months after initiation of ART
and resulted in permanent vision loss. CONCLUSIONS: ART significantly improves
long-term survival of AIDS patients with CMV disease. Long-term virological and
immunological outcomes are durable.
PMID- 18037167
TI - Separation anxiety in first-time mothers: infant behavioral reactivity and
maternal parenting self-efficacy as contributors.
AB - This short-term longitudinal study examined the contribution of infant behavioral
reactivity and maternal parenting self-efficacy to first-time mothers' (total
n=32) separation anxiety. Infants' behavioral reactivity indexed by gaze and
facial affect were observed in the conditions of routine play and maternal still
face at 3 months. Mothers reported their self-perceived parenting efficacy at 3
months and separation anxiety at 6 months. Results revealed that infants'
frequent gazing at their mother, greater negative affect, and less positive
affect observed during the still face, but not during the routine play,
contributed to heightened maternal separation anxiety. In addition to a direct
link between low maternal parenting self-efficacy and high maternal separation
anxiety, maternal parenting self-efficacy buffered against the impact of infant
negative reactivity on maternal separation anxiety. The role of infant reactivity
and maternal self-efficacy in parenting was discussed.
PMID- 18037168
TI - Delivering growth factors for therapeutics.
AB - The method by which a drug is released can have a significant effect on
therapeutic efficacy. The mode of drug delivery is especially relevant when the
therapeutic agent is a growth factor because the dose and spatiotemporal release
of such agents at the site of injury is crucial to achieving a successful
outcome. Here, we highlight delivery technologies designed to facilitate the
local and controlled spatiotemporal release of growth factors through the use of
biomaterials, 3D micro- or nano-particles, microspheres, gene therapy and PRGF
technology. We present some of the most interesting therapeutic applications
based on these approaches and, on PRGF technology in particular, in addition to
the limitations, future challenges and directions of the field.
PMID- 18037169
TI - FDA perspective on antivirals against biothreats: communicate early and often.
AB - Development of antiviral products for certain highly pathogenic viruses with
limited available treatments, such as viruses that may have biothreat potential,
is critically important and challenging. The mission of the FDA is to protect the
public health by assuring the safety, efficacy and quality of such products.
Human clinical trials are critically important whenever relevant naturally
occurring diseases can appropriately be studied. In selected situations when
clinical studies are not ethical and field efficacy studies are not feasible, the
Animal Rule (67 FR 37988, 2002) introduces the possibility of drug/biologic
approval/licensure based on efficacy studies in animals, and appropriate human
safety and pharmacokinetic information. This approach necessitates the
development of well-delineated animal models predictive of human disease and
treatment responses, and plans for adding human information if suitable
circumstances arise. Efficient development of therapeutics against these agents
requires collaborative efforts among industry, academia and federal agencies.
PMID- 18037170
TI - D2 receptor density and prepulse inhibition in humans: negative findings from a
molecular genetic approach.
AB - There is plenty of evidence from schizophrenia research and psychopharmacological
experiments showing the influence of the dopaminergic neurotransmission on the
prepulse inhibition (PPI). A lot of insights into the underlying neural
mechanisms of the PPI have been gained from animal models, which are in need to
be validated in humans. Due to new technological advances, findings from
psychopharmacological challenge tests can now be verified with techniques from
molecular genetics which provide an elegant non-invasive approach. To close the
gap between animal research and research in humans in this field a molecular
genetic approach was applied to investigate the neural mechanisms of the PPI in
healthy subjects. In N=96 female participants recruited out of a sample of N=800
subjects according to their genotypes we tested the association between the DRD2
Taq Ia and the COMT Val158Met polymorphisms, and the magnitude of the eye-blink
reflex in an acoustic PPI paradigm. Neither significant influences of both
dopaminergic single nucleotide polymorphisms nor an epistasis effect could be
detected. Although findings do not support the hypothesis that two of the most
prominent dopaminergic candidate loci (DRD2 Taq Ia and COMT Val158Met) effect PPI
the study does not exclude the relevance of the dopaminergic system in general.
Further molecular genetic studies investigating other variants on dopaminergic
genes have to be conducted.
PMID- 18037171
TI - Efficacy of antipsychotics to reverse phencyclidine-induced social interaction
deficits in female rats--a preliminary investigation.
AB - Sub-chronic phencyclidine (PCP) treatment mimics certain aspects of schizophrenia
symptomology in rats. However, there is a marked lack of attempts to model
negative symptomology such as social behaviour deficits in female rats. This
study was conducted to assess whether sub-chronic PCP treatment produces social
interaction deficits in female rats and to ascertain if these deficits can be
reversed by either typical (haloperidol) or atypical (clozapine and ziprasidone)
antipsychotics.
PMID- 18037172
TI - Time pressure leads to inhibitory control deficits in impulsive violent
offenders.
AB - Impulsive violent criminal behavior is often ascribed to problems with behavioral
control. Such behavior could be a consequence of stronger pre-potent responses or
a failure of inhibitory control. A countermanding task which allows dissociation
of these two processes was used to examine whether impulsive violent offenders
were found to exhibit impaired inhibitory control. An experiment with a time
restriction on responding was performed to effectively limit the ability of the
subjects to strategically control their error rate. The results showed that the
performance of the impulsive violent offenders was only impaired in the time
pressure condition. These findings suggest that impulsive violent behavior may be
linked to abnormal modulation of the frontal cortical areas, areas thought to be
involved in response inhibition by negative feedback or emotional responses,
rather than frontal dysfunction per se.
PMID- 18037173
TI - The differential effects of cervical and thoracic dorsal funiculus lesions in
rats.
AB - The purpose of this research was to compare the locomotor abilities of rats with
cervical dorsal spinal funicular (DF) lesions to those of rats with the same
lesion at the mid-thoracic level. The dorsal funiculus, consisting of ascending
sensory fibers and the main component of the corticospinal tract, was transected
either at spinal level C2 or at T8. We examined limb force generation and limb
timing and coordination during overground locomotion, as well as foot placement
errors during locomotion over a horizontal ladder. At 6 weeks post-surgery,
bilateral lesions of the cervical DF caused subtle but persistent changes in the
generation of ground reaction forces and limb timing during overground
locomotion, and caused persistent forelimb, but not hindlimb, errors during
ladder crossing. In contrast, the same lesion at the mid-thoracic level did not
affect overground locomotion and caused only minor forelimb and hindlimb errors
during ladder walking at 2 weeks post-lesion which recovered to pre-surgical
levels by 6 weeks post-lesion. DF lesions at cervical vs. thoracic levels thus
have differential effects on locomotor abilities in rats. We compare these
results with previous work and suggest that the differential response to DF
transection might be related to both functional distinctions between the fore-
and hindlimbs and to anatomical differences in the dorsal funiculi at different
spinal levels. These findings have implications for the mechanisms of recovery as
well as the types of behavioural tests which can be practically used to measure
functional changes in different lesion models.
PMID- 18037174
TI - Steroid treatment deteriorated ventricular tachycardia in a patient with right
ventricle-dominant cardiac sarcoidosis.
AB - We report a case of a patient with cardiac sarcoidosis presenting with a non
sustained ventricular tachycardia (VT), mimicking arrhythmogenic right
ventricular cardiomyopathy. After the pathological diagnosis and confirmation of
gallium-67 uptake by the myocardium, corticosteroid therapy was initiated. The
myocardial gallium accumulation disappeared shortly after the treatment, but the
VTs deteriorated into multifocal and sustained VTs almost all day. Those drug
refractory VTs were finally controlled with 3 catheter ablation sessions.
PMID- 18037175
TI - Potential of sponges and microalgae for marine biotechnology.
AB - Marine organisms can be used to produce several novel products that have
applications in new medical technologies, in food and feed ingredients and as
biofuels. In this paper two examples are described: the development of marine
drugs from sponges and the use of microalgae to produce bulk chemicals and
biofuels. Many sponges produce bioactive compounds with important potential
applications as medical drugs. Recent developments in metagenomics, in the
culturing of associated microorganisms from sponges and in the development of
sponge cell-lines have the potential to solve the issue of supply, which is the
main limitation for sponge exploitation. For the production of microalgal
products at larger scales and the production of biofuels, major technological
breakthroughs need to be realized to increase the product yield.
PMID- 18037176
TI - Enzymes go big: surface hydrolysis and functionalization of synthetic polymers.
AB - Enzyme technology has progressed from the biotransformation of small substrates
to biotransformation of synthetic polymers. Important breakthroughs have been the
isolation and design of novel enzymes with enhanced activity on synthetic polymer
substrates. These were made possible by efficient screening procedures and
genetic engineering approaches based on an in-depth understanding of the
mechanisms of enzymes on synthetic polymers. Enhancement of the hydrophilicity of
synthetic polymers is a key requirement for many applications, ranging from
electronics to functional textile production. This review focuses on enzymes that
hydrolyse polyalkyleneterephthalates, polyamides or polyacrylonitriles,
specifically on the polymer surface thereby replacing harsh chemical processes
currently used for hydrophilisation.
PMID- 18037177
TI - A better fluorescent protein for whole-body imaging.
AB - Whole-body imaging with fluorescent proteins is a powerful technology with many
applications in small animals. Brighter, red-shifted proteins can make whole-body
imaging more sensitive owing to reduced absorption by tissues and less scatter. A
new protein called Katushka has been isolated. It is the brightest known protein
with emission at wavelengths longer than 620 nm. This new protein offers the
potential for noninvasive whole-body imaging of numerous cellular and molecular
processes in live animals.
PMID- 18037178
TI - Novel tomato flavours introduced by plastidial terpenoid pathway engineering.
AB - Until recently breeding efforts centred on high-yield production while
sacrificing flavour and taste quality traits of mass produced food products, such
as tomatoes. The recent publication of Davidovich-Rikanati et al. demonstrates
the technical feasibility of the genetical engineering of pathways in tomato
plants to modify their fruit flavour profile in a proof-of-concept approach. The
reported work ranks among an increasing number of reported successful
modifications of edible plants with a focus on the benefits to end-consumers.
PMID- 18037179
TI - Artificial cells: building bioinspired systems using small-scale biology.
AB - Artificial cells have generated much interest since the concept was introduced by
Aleksandr Oparin in the 1920s, and they have had an impact on the pharmaceutical
and biotechnology industry in various areas, including potential therapeutic
applications. Here, we discuss the development of small-scale, bio-inspired
artificial cell components that recreate the function of key cellular and
physiological systems. We describe artificial cells, selected current
applications and how small-scale biology could be used to provide what might be a
next-generation approach in this area. We believe that this type of work is in
its infancy and that exploiting small-scale biological inspiration in the field
of artificial cells has great potential for successes in the future.
PMID- 18037180
TI - Computed tomography for excision cavity localization and 3D-treatment planning in
partial breast irradiation with high-dose-rate interstitial brachytherapy.
AB - BACKGROUND AND PURPOSE: When high-dose-rate brachytherapy is used for partial
breast irradiation (PBI) precise pre-implant definition of planning target volume
(PTV) and implant geometry is required. After implantation, accurate PTV
localization, catheter reconstruction and optimization of dose distribution are
needed for good PTV coverage and dose conformity. We applied image-guidance using
computed tomography (CT) for pre-implant PTV definition and post-implant
dosimetry. MATERIALS AND METHODS: In 54 patients implant geometry was designed by
external beam virtual simulation. A template was placed over dummy beam digitally
reconstructed radiographs displaying PTV. Needle entrance and exit points were
defined and marked on the patient's skin to serve as landmarks during
implantation. After implantation, in 46/54 patients PTV was defined, catheters
were reconstructed and active lengths in the catheters were specified using CT
based-3D planning system. Dosimetry was performed with a Plato-Nucletron
treatment planning system. RESULTS: Post-implantation CT visualized precise
catheter placement with respect to the PTV in all patients. CT-based treatment
planning provided good coverage of PTV and homogeneous dose distribution.
CONCLUSIONS: In post-operative PBI with high-dose-rate brachytherapy CT-based pre
implant definition of implant geometry ensures adequate PTV coverage. After
implantation, CT-based 3D-treatment planning software ensures exact PTV
localization and catheter reconstruction, and dose distribution optimization.
PMID- 18037181
TI - Dosimetric experience with accelerated partial breast irradiation using image
guided interstitial brachytherapy.
AB - BACKGROUND AND PURPOSE: To present our dosimetric findings with image-guided high
dose-rate interstitial brachytherapy of breast cancer. MATERIALS AND METHODS:
Treatment plans of 28 patients with early-stage breast cancer treated with
interstitial partial-breast irradiation were selected for the study. The total
dose was 30.1Gy in 4.3-Gy fractions given twice daily. Before implantation all
patients underwent CT imaging with the purpose of target volume definition and
catheter placement planning. Postimplant CT scanning was done for dose planning.
Geometrical and graphical optimizations were performed. Quantitative dosimetry on
the target volume and critical structures was performed. Retrospectively,
conformal dose plans using dose point optimization were made and compared with
the treatment plans. RESULTS: Three-, four-, and five-plane implants were used in
10, 13 and 5 patients, respectively. The median number of catheters was 14 (range
8-22). The mean volume of the PTV was 63.1cm(3) (range 17.2-124cm(3)) and on
average, 91% (range 90-96%) of the PTV received the reference dose. The mean
minimum dose received by at least 90% of the PTV was 102% (range 99-107%) and the
conformality index 0.68 (range 0.51-0.82). The dose non-uniformity ratio and dose
homogeneity index for target were 0.33 (range 0.25-0.41) and 0.64 (range 0.50
0.76), respectively. The mean maximum dose to skin, lung and heart was 53%, 42%
and 21%, respectively. Volumes of the lung and heart receiving 5Gy were 42.6cm(3)
and 8cm(3), respectively. CONCLUSIONS: Dosimetric results using our implant
technique based on two sets of CT scanning seem to be acceptable with respect to
target coverage, dose homogeneity and conformality.
PMID- 18037182
TI - Heart and coronary artery protection in patients with mediastinal Hodgkin
lymphoma treated with intensity-modulated radiotherapy: dose constraints to
virtual volumes or to organs at risk?
AB - BACKGROUND AND PURPOSE: To increase heart and coronary artery protection in
patients with mediastinal Hodgkin lymphoma treated with intensity-modulated
radiotherapy (IMRT). MATERIALS AND METHODS: Twenty patients with early-stage
mediastinal Hodgkin lymphoma entered the study. IMRT was delivered to the
initially involved lymph node volumes. Various virtual volumes (VVs) were
designed to improve the protection of the heart and the origin of the coronary
arteries, which were the organs at risk (OARs), while preserving adequate PTV
coverage. The results obtained with VVs were then compared with those obtained
with dose constraints assigned to OARs. RESULTS: The most satisfactory VV was
obtained using the PTV expansion concept. The best compromise between adequate
PTV coverage and OAR protection was obtained with dose constraints assigned to
the PTV expansion VV and to the origin of the coronary arteries. CONCLUSIONS:
IMRT can be improved by using dose constraints assigned to the PTV expansion VV
and/or to the origin of the coronary arteries.
PMID- 18037183
TI - A wide range of NS3/4A protease catalytic efficiencies in HCV-infected
individuals.
AB - The hepatitis C virus (HCV) NS3/4A protease acts as an antagonist of virus
induced interferon (IFN) regulatory factor (IRF)-3 activation and IFN-beta
expression. The NS3/4A protease performs this function by cleaving Cardif and
TRIF proteins to block retinoic-acid-inducible gen I (RIG-I) and toll-like
receptor (TLR)-3 signaling, respectively. NS3/4A protease inhibition can prevent
Cardif and/or TRIF inactivation during HCV infection, thereby maintaining the
innate immune response. Thus, differences in NS3/4A protease catalytic efficiency
could be related to viral pathogenicity. In this study, we analyzed the catalytic
efficiency of the most abundant NS3/4A protease isolated from each of 12
individuals infected with HCV genotypes 1b, 1a, 3a, 4a or 4d. A diversity of
NS3/4A protease catalytic efficiencies (up to a six-fold difference) was found in
the analyzed samples. The genotype 1b NS3/4A proteases displayed the highest
catalytic efficiencies. However, within this genotype up to three-fold
differences were observed. Cross-genotypic interactions between the NS3 protease
domain and the NS4A cofactor peptide were also investigated. Overall, catalytic
efficiencies of NS3 proteases cross-interacting with NS4A cofactors from
heterologous genotypes were as efficient as the homologous NS3/4A interactions.
Of the 28 heterologous interactions tested, only 6 resulted in deleterious or
nonfunctional enzymes. Nonfunctional interactions were not genotype-specific,
suggesting that enhancement of NS3 catalytic efficiency by the NS4A cofactor
depends on a few specific amino acid residues. Characterization of the
proteolytic activities of individual NS3/4A proteases should provide clues for
understanding HCV-host interactions, as well as assisting in the development of
new classes of NS3/4A protease inhibitors.
PMID- 18037184
TI - HA2-specific monoclonal antibodies as tools for differential recognition of
influenza A virus antigenic subtypes.
AB - Antigenic reactivity of a set of monoclonal antibodies (MAb) raised against the
HA2 subunit of hemagglutinin of H3 subtype was characterized in a rapid culture
assay. MAbs FC12 and FE1, known to recognize the same antigenic site (IV), cross
reacted with influenza viruses of H3 and H4 subtypes, regardless of their host
origin. No cross-reactivity was detected with other antigenic subtypes tested (H1
H13). The involvement of conserved residues D160, N168, and F171 in the
differential recognition of H3 and H4 subtypes is proposed. In contrast, MAb IIF4
that recognizes antigenic site II exhibited a broader inter-subtype reactivity
including subtypes H3, H4, H5, H8 and some viruses of H2, H6 and H13 subtypes.
The ability of HA2-specific antibodies to differentially react with distinct
antigenic subtypes can be utilized in development of diagnostics and in the
influenza virus surveillance.
PMID- 18037185
TI - Efficient targeting to alveolar macrophages by intratracheal administration of
mannosylated liposomes in rats.
AB - The success of targeting systems to alveolar macrophages critically depends on
internalization into these cells for pharmacological intervention. Direct
respiratory delivery via inhalation of mannose modified liposomal carriers to
alveolar macrophages is of great interest. To evaluate the targeting efficiency
to alveolar macrophages by intratracheal administration of mannosylated liposomes
(Man-liposomes), Man-liposomes with various ratio of mannosylated cholesterol
derivatives, cholesten-5-yloxy-N-(4-((1-imino-2-D
thiomannosylethyl)amino)alkyl)formamide (Man-C4-Chol) as mannose receptor ligand
were investigated with regard to their in vitro uptake in primary cultured
alveolar macrophages and in vivo intratracheal administration in rats. The in
vitro uptake of Man-liposomes took place in a concentration-dependent manner. The
internalization of Man-liposomes with 7.5% (Man-7.5-liposomes) and 5.0% (Man-5.0
liposomes) Man-C4-Chol was considerably higher than that of Man-liposomes with
2.5% of Man-C4-Chol (Man-2.5-liposomes) and Bare-liposomes and significantly
inhibited by an excess of mannan, suggesting mannose receptor-mediated
endocytosis. After intratracheal administration of Man-7.5 and Man-5.0-liposomes
in rats, a significantly high internalization and selective targeting to alveolar
macrophages was observed. The enhanced cellular uptake in alveolar macrophages
related to the mannose density of Man-liposomes was also confirmed both in vitro
and in vivo confocal microscopy studies. These results demonstrate the efficient
targeting to alveolar macrophages by the intratracheally administered Man
liposomes via mannose receptor-mediated endocytosis.
PMID- 18037188
TI - Computation of the quasi-independence model for the analysis of triangular
contingency tables.
AB - Triangular contingency tables are a special class of incomplete contingency
tables. Association and independence models are used to analyze such tables. This
paper presents and compares some methods including the uniform association model
and the quasi-independence model. These models can be described in terms of the
association parameters for the analysis of triangular contingency tables having
ordered categories. A computer program is developed for the analysis of quasi
independence model for positive (negative) likelihood dependence. The sign test,
which is a nonparametric test of the independence against the likelihood ratio
dependence, is also examined. These methods are applied to the disability ratings
of stroke patients data. Effects of the structural zeros on the results are also
discussed.
PMID- 18037187
TI - Expression of hepatitis B virus proteins in transgenic mice alters lipid
metabolism and induces oxidative stress in the liver.
AB - BACKGROUND/AIMS: Hepatitis B virus transgenic mice (HBV-Tg mice) have been widely
used as animal models in the study of pathogenesis and control of hepatitis B. It
is important for the evaluation of such animal models to define the physiological
differences between HBV-Tg and wild-type mice. The aim of this research was to
investigate whether the integrated system biology approach that combines
proteomics and metabonomics describes the physiological changes and provides new
insights into the pathogenesis of the early stages of HBV infection. METHODS: In
this study the protein and metabolite profiles of the liver were established
based on two-dimensional electrophoresis and HPLC/MS analysis. RESULTS: Several
protein molecules, whose expression was altered in HBV-Tg mouse liver, were
identified including protective enzymes against oxidative stress and regulatory
proteins related to lipid metabolism. Metabonomics confirmed the potential
derangement of lipid metabolism by discovering the intermediate and the final
products of lipid metabolism that were markedly changed in transgenic mice.
CONCLUSIONS: This study demonstrated that HBV antigens could impair host cell
lipid metabolism and induce modest oxidative stress in vivo.
PMID- 18037189
TI - A SAS program for calculating cumulative incidence of events (with confidence
limits) and number at risk at specified time intervals with partially censored
data.
AB - Correct analysis and interpretation of longitudinal (cohort) studies with
partially censored time-to-event data requires that the cumulative count of
events and censored observations as well as the number at risk be calculated at
appropriate time points (for example, every year), by baseline group or stratum.
We present here a simple SAS program, for use in situations in which competing
risks do not need to be accounted for, that calculates, by baseline group or
stratum, the cumulative event count, cumulative event probability (with upper and
lower 95% confidence limits), and number at risk at selected time points that can
be chosen by the user. We demonstrate the use of the program in the analysis of
longitudinal time-to-event data from a prospective study, the Atherosclerosis
Risk In Communities (ARIC) Study, for four groups and a 10-year follow-up. The
SAS code presented here is easy to follow and modify and can be incorporated
quickly by the user for immediate use. It provides an especially valuable tool
for less experienced SAS users.
PMID- 18037190
TI - Lung cancer mortality in France. Trend analysis and projection between 1975 and
2012, using a Bayesian age-period-cohort model.
AB - INTRODUCTION: Lung cancer is currently the most common cancer in the world and as
such is an important public health concern. One of the main challenges is to
foresee the evolution of trends in lung cancer mortality rates in order to
anticipate the future burden of this disease as well as to plan the supply of
adequate health care. The aim of this study is to propose a quantification of
future lung cancer mortality rates by gender in France until the year 2012.
METHODS: Lung cancer mortality data in France (1978-2002) were extracted from the
National Statistics of Death and analyzed by 5-year age-groups and periods, using
a Bayesian age-period-cohort model. DISCUSSION: Between 1978 and 2002, female
lung cancer mortality rate rises by 3.3%year(-1). For men, a slow increase is
observed until 1988-1992 followed by a declining trend. In 1998-2002, age
standardized mortality rates were, respectively, 45.5 and 7.6 per 100000 for
males and for females. By 2008-2012 these figures would reach 40.8 (95%
credibility interval (CI): 32.7, 50.0) and 12.1 (CI: 11.7, 12.6) per 100000,
respectively, which represents among women a 4.7% annual increase (CI: 4.5, 5.0).
RESULTS: Our results highlight the relevance of pursuing public health measures
in order to cope more actively with tobacco smoking in the prevention strategy
against lung cancer specifically among women.
PMID- 18037192
TI - Gut-specific expression of cathepsin L and B in amphioxus Branchiostoma belcheri
tsingtauense larvae.
AB - Cathepsin L and B are involved in yolk processing during oocyte maturation and
embryogenesis in most invertebrates and vertebrates. Here we examine the
developmental expression of cephalochordate amphioxus cathepsin L (AmphiCL) and
cathepsin B (AmphiCB) in the adult and developing embryos and larvae. In situ
hybridization of adult amphioxus demonstrated that both AmphiCL and AmphiCB
transcripts were strongly expressed in the hepatic caecum and hind-gut besides
expression at various levels in other tissues examined. Whole mount in situ
hybridization and RT-PCR techniques revealed that AmphiCL and AmphiCB transcripts
appeared in the primitive gut in 2-day and 1-day larvae, respectively. However,
no signals were detected in the early embryos or larvae. Parallel experiments
were performed measuring AmphiCL and AmphiCB activities in the mature oocytes and
developing embryos and larvae. Albeit both enzymes were present in the mature
oocytes, their roles in yolk protein processing remain to be clarified. In
accordance with gene expression patterns, AmphiCL and AmphiCB activities were
only detectable in the 2-day larvae, rather than in younger stages, suggesting
that both AmphiCL and AmphiCB are implicated in the development of gut, and
inferring no roles for yolk processing during embryogenesis in amphioxus
Branchiostoma belcheri tsingtauense.
PMID- 18037191
TI - Promoting effect of 5-azacytidine on the myogenic differentiation of bone marrow
stromal cells.
AB - Bone marrow stromal cells (BMSC) can differentiate into various cell types
including myocytes, which may be valuable in cellular therapy of myocardial
infarction. In an attempt to increase the myogenic commitment of BMSC, we
investigated the extent of conversion induced by the demethylation agent 5
azacytidine. BMSC isolated from the adult rat tibia were exposed in culture to
5microM 5-azacytidine for 24h, 1 day after seeding. The treatment was repeated at
weekly intervals and the expression of muscle-specific proteins and genes was
assessed. The results revealed that cultured cells lost the native expression of
osteocalcin and alkaline phosphatase as a function of time and began to express
connexin 43. Exposure to 5-azacytidine of BMSC induced, at 14 days, a myocyte
resembling phenotype that included the expression of muscle-specific proteins
(sarcomeric alpha-actin, troponin T, desmin, alpha-actinin, and GATA-4) and genes
(GATA-4, myoD, desmin, and alpha-actinin), numerous mitochondria and
myofilaments; however, the latter did not form sarcomeres. Although some of these
myogenic markers also appeared in untreated cells, exposure to 5-azacytidine
induced an enhanced response of calcium channels, as well as a threefold increase
in desmin and myoD gene expression and a twofold increase in alpha-actinin gene
and protein expression above the control values. In conclusion, the results
demonstrate a promoting effect of 5-azacytidine on the expression of muscle
specific proteins and genes in BMSC in culture. Notably, the myogenic
differentiation takes place over a short period of time. Priming of mesenchymal
cells to cardiomyogenic differentiation may have significant applications in
cellular approaches to ameliorate muscle loss after myocardial ischemia.
PMID- 18037193
TI - 2007 update to the ACC/AHA guidelines for the management of patients with
unstable angina and non-ST-segment elevation myocardial infarction: implications
for emergency department practice.
AB - The American College of Cardiology and American Heart Association have updated
their guidelines for the management of non-ST-segment-elevation acute coronary
syndrome for the first time since 2002. In the interim, several important studies
affecting choices of therapy potentially begun in the emergency department have
been completed, and care patterns have changed and matured significantly. In this
review, we present the new recommendations that are pertinent to emergency
medicine practice and comment on their potential implementation into an evidence
based, multidisciplinary approach to the evaluation and management of this
challenging patient population.
PMID- 18037194
TI - Synthesis, characterization, antioxidant activity and DNA-binding studies of two
rare earth(III) complexes with naringenin-2-hydroxy benzoyl hydrazone ligand.
AB - Two novel rare earth complexes, Y(III) complex (1) and Eu(III) complex (2), with
naringenin-2-hydroxy benzoyl hydrazone ligand were synthesized and characterized.
The interaction of the two metal complexes and the free ligand with calf thymus
DNA (CT DNA) was investigated by electronic absorption spectroscopy, fluorescence
spectroscopy and viscosity measurement. All the experimental evidences indicate
that these three compounds can strongly bind to CT DNA via an intercalation
mechanism. The intrinsic binding constants of the Y(III) complex (1), Eu(III)
complex (2) and the free ligand with CT DNA were 2.1 x 10(4), 8.5 x 10(4) and 1.6
x 10(4) M(-1), respectively. Furthermore, the antioxidant activity of the metal
complexes was determined by hydroxyl radical scavenging method in vitro.
PMID- 18037195
TI - Synthesis and molecular modelling of unsaturated exomethylene pyranonucleoside
analogues with antitumor and antiviral activities.
AB - This report describes the total and facile synthesis of the unsaturated keto and
exomethylene pyranonucleoside analogues, 1-(2,3,4-trideoxy-4-methylene-6-O-trityl
alpha-D-glycero-hex-2-enopyranosyl)uracil (10), 1-(2,3-dideoxy-alpha-D-glycero
hex-2-enopyranosyl-4-ulose)uracil (17) and 1-(2,3,4-trideoxy-4-methylene-alpha-D
glycero-hex-2-enopyranosyl)uracil (18). Commercially available 1,2,3,4,6-penta-O
acetyl-alpha-D-mannopyranose (1) was condensed with silylated uracil,
deacetylated and acetalated to afford 1-(2,3-O-isopropylidene-alpha-D
mannopyranosyl)uracil (4). Two different synthetic routes were investigated for
the conversion of 4 into the olefinic derivative 1-(2,3,4-trideoxy-4-methylene-6
O-trityl-alpha-D-glycero-hex-2-enopyranosyl)uracil (10). Although the two
procedures are quite similar with respect to yields and final products, the
second also leads to the keto-2',3'-unsaturated analogue (17). The new analogues
were evaluated for their anticancer and antiviral activities using several tumor
cell lines and gastrointestinal rotavirus. All of the compounds showed direct
antiviral effect against rotavirus infectivity in Caco-2 cell line. Moreover, 1
(2,3,4-trideoxy-4-methylene-6-O-trityl-alpha-D-glycero-hex-2-enopyranosyl)uracil
(10) was found to be potent in MCF-7 breast carcinoma cell line.
PMID- 18037197
TI - Windscale and Kyshtym: a double anniversary.
AB - The autumn of 2007 has seen the fiftieth anniversary of two separate events that
were of great importance both for the worldwide nuclear industry and for the
science of radioecology. This short article marks this anniversary by briefly
reviewing the causes, releases, impacts and legacies of both the Kyshtym accident
of September 1957 and the Windscale accident of October 1957.
PMID- 18037198
TI - Trunk and upper extremity kinematics during sitting pivot transfers performed by
individuals with spinal cord injury.
AB - BACKGROUND: Although reaching an optimal level of independence during sitting
pivot transfer is crucial for individuals with spinal cord injury, little is
known regarding the kinematic requirements of this functional task. METHODS: Ten
males with spinal cord injury performed independent sitting pivot transfers
between an initial seat and a target one placed at same (50 cm), lower (40 cm),
and higher heights (60 cm) than the initial one, using their usual movement
strategies. Three-dimensional trunk, shoulder, elbow and wrist kinematics were
collected bilaterally during sitting pivot transfers. Each sitting pivot transfer
was divided into three phases for analysis: pre-lift, lift-pivot and post-lift.
Temporal parameters were also documented. FINDINGS: Peak shoulder extension
displacement and velocities were always higher at the leading shoulder compared
to the trailing one (P<0.004), and almost coincided with peak trunk velocities
early during the lift phase. With increasing target seat height, shoulder flexion
(P<0.011) and elbow extension (P<0.013) displacements and velocities of the
trailing upper extremity augmented and reached higher values at this upper
extremity compared to the leading upper extremity, with one exception at the
shoulder. Elbows generally remained flexed (min=28-56 degrees ) during the lift
phase of all transfers whereas extreme wrist extension positions (max=84-88
degrees ) were documented across all transfers. Total durations of transfers (2.6
2.8s) and lift-pivot phases (1.0-1.3s) were not affected by target seat heights.
INTERPRETATION: Sitting pivot transfers are characterized by substantial angular
displacements and velocities at the trunk and upper extremities. Some kinematic
parameters documented during sitting pivot transfers may increase the risk of
developing secondary musculoskeletal impairments in this population.
PMID- 18037196
TI - Chitosan solution enhances the immunoadjuvant properties of GM-CSF.
AB - Sustained, local delivery of immunomodulatory cytokines is under investigation
for its ability to enhance vaccine and anti-tumor responses both clinically and
preclinically. This study evaluates the ability of chitosan, a biocompatible
polysaccharide, to (1) control the dissemination of a cytokine, GM-CSF, and (2)
enhance the immunoadjuvant properties of GM-CSF. While cytokines have previously
been delivered in lipid-based adjuvants and other vehicles, these do not have the
clinical safety profile or unique properties of chitosan. We found that chitosan
solution maintained a measurable depot of recombinant GM-CSF (rGM-CSF) at a
subcutaneous injection site for up to 9 days. In contrast, when delivered in a
saline vehicle, rGM-CSF was undetectable in 12-24h. Furthermore, a single s.c.
injection of 20 microg rGM-CSF in chitosan solution (chitosan/rGM-CSF(20 microg))
transiently expanded lymph nodes up to 4.6-fold and increased the number of MHC
class II expressing cells and dendritic cells by 7.4-fold and 6.8-fold,
respectively. These increases were significantly greater than those measured when
rGM-CSF was administered in saline at the standard preclinical dose and schedule,
i.e. 4 daily s.c. injections of 20 microg. Furthermore, lymph node cells from
mice injected with chitosan/rGM-CSF(20 microg) induced greater allogeneic T cell
proliferation, indicating enhanced antigen presenting capability, than lymph node
cells from mice injected with rGM-CSF alone. Finally, in vaccination experiments,
chitosan/rGM-CSF was superior to either chitosan or rGM-CSF alone in enhancing
the induction of antigen-specific CD4(+) proliferation, peptide-specific CD8(+)
pentamer staining and cytotoxic T cell lysis. Altogether, chitosan/rGM-CSF
outperformed standard rGM-CSF administrations in dendritic cell recruitment,
antigen presentation and vaccine enhancement. We conclude that chitosan solution
is a promising delivery platform for the sustained, local delivery of rGM-CSF.
PMID- 18037199
TI - Determination of Hg and diet identification in otter (Lontra longicaudis) feces.
AB - An analytical procedure for the determination of Hg in otter (Lontra longicaudis)
feces was developed, to separate fish scales for the identification of the animal
diet. Samples were washed with ultra-pure water and the suspension was sampled
and transferred for digestion. The solubilization was performed with nitric
perchloric acid mixture, and detection carried out by the atomic fluorescence
spectrometry (AFS). The quality of the analytical procedure was assessed by
analyzing in-house standard solutions and certified reference materials. Total Hg
concentrations were in the range of 7.6-156 ng g(-1) (July 2004), 25.6-277 ng g(
1) (January 2005) and 14.6-744 ng g(-1) (May 2005) that is approximately the same
order of magnitude for all samples collected in two reservoirs at the Tiete
River, Brazil. Although Hg concentrations varied with sampling periods and diet,
high levels were correlated to the percentage of carnivorous fish scales present
in the otter feces.
PMID- 18037200
TI - Monitoring aromatic hydrocarbon biodegradation by functional marker genes.
AB - The development of biological treatment technologies for contaminated
environments requires tools for obtaining direct information about the
biodegradation of specific contaminants. The potential of functional gene array
analysis to monitor changes in the amount of functional marker genes as
indicators of contaminant biodegradation was investigated. A prototype functional
gene array was developed for targeting key functions in the biodegradation of
naphthalene, toluene and xylenes. Internal standard probe based normalization was
introduced to facilitate comparison across multiple samples. Coupled with one
colour hybridization, the signal normalization improved the consistency among
replicate hybridizations resulting in better discrimination for the differences
in the amount of target DNA. During the naphthalene biodegradation in a PAH
contaminated soil slurry microcosm, the normalized hybridization signals in
naphthalene catabolic gene probes were in good agreement with the amount of
naphthalene-degradation genes and the production of 14CO2. Gene arrays provide
efficient means for monitoring of contaminant biodegradation in the environment.
PMID- 18037201
TI - Field monitoring of volatile organic compounds using passive air samplers in an
industrial city in Japan.
AB - Highly portable, sensitive, and selective passive air samplers were used to
investigate ambient volatile organic compound (VOC) levels at multiple sampling
sites in an industrial city, Fuji, Japan. We determined the spatial distributions
of 27 species of VOCs in three campaigns: Mar (cold season), May (warm season),
and Nov (mild season) of 2004. In all campaigns, toluene (geometric mean
concentration, 14.0microg/m3) was the most abundant VOC, followed by acetaldehyde
(4.76microg/m3), and formaldehyde (2.58microg/m3). The spatial distributions for
certain VOCs showed characteristic patterns: high concentrations of benzene and
formaldehyde were typically found along major roads, whereas high concentrations
of toluene and tetrachloroethylene (PCE) were usually found near factories. The
spatial distribution of PCE observed was extremely consistent with the diffusion
pattern calculated from Pollutant Release and Transfer Register data and
meteorological data, indicated that passive air samplers are useful for
determining the sources and distributions of ambient VOCs.
PMID- 18037202
TI - Perceived social position and health in older adults in Taiwan.
AB - We examined whether perceived social position predicted mental and physical
health outcomes (depressive symptoms, cognitive impairment, mobility
restrictions, and self-assessed health) in a prospective study based on a
nationally representative sample of older persons in Taiwan. Cross-sectional and
longitudinal models were used to demonstrate the relationship between perceived
social position and health, as reported by participants in the Social Environment
and Biomarkers of Aging Study in Taiwan (SEBAS). Lower perceived social position
predicted declining health beyond what was accounted for by objective indicators
of socioeconomic position. As predicted, the effect was substantially reduced for
all health outcomes in the presence of controls for baseline health. After
including these controls, perceived social position was significantly related
only to depressive symptoms. The findings suggest that the strength of the
association between perceived social position and health may have been overstated
in cross-sectional studies.
PMID- 18037204
TI - Understanding the role of cancer worry in creating a "teachable moment" for
multiple risk factor reduction.
AB - The manuscript examines the influence of contextual factors on whether and for
whom a colon polyp diagnosis might be a teachable moment, as indicated by
engagement with a proactively delivered intervention. Baseline and 8-month follow
up data were analyzed from a two-site behavioral intervention trial with patients
in Massachusetts and North Carolina, USA who had recently undergone polypectomy
for pre-cancerous colon polyps and were randomized to a behavior change
intervention condition (N=591). Intervention "buy-in" was used as an indicator of
response consistent with the polyp identification serving as a teachable moment.
Cancer worry, personal risk, health-related self-identity and other
sociodemographic factors were tested to predict intervention buy-in. As
predicted, those who were most worried about colon cancer were most likely to
engage in the intervention. One indicator of personal risk, number of risk
behaviors, was significantly and negatively associated with buy-in. Predictors of
intervention buy-in and cancer worry were not consistent. We recommend that
expanded measures of affect and health-related self-identity should be considered
in future research to understand the motivational potential of health events for
increasing engagement in effective behavior change interventions.
PMID- 18037205
TI - Fragrance material review on alpha-amylcinnamyl alcohol.
AB - A toxicologic and dermatologic review of alpha-amylcinnamyl alcohol when used as
a fragrance ingredient is presented.
PMID- 18037206
TI - Fragrance material review on cinnamyl isovalerate.
AB - A toxicologic and dermatologic review of cinnamyl isovalerate when used as a
fragrance ingredient is presented.
PMID- 18037207
TI - Fragrance material review on allyl cinnamate.
AB - A toxicologic and dermatologic review of allyl cinnamate when used as a fragrance
ingredient is presented.
PMID- 18037208
TI - Fragrance material review on pentyl cinnamate.
AB - A toxicologic and dermatologic review of pentyl cinnamate when used as a
fragrance ingredient is presented.
PMID- 18037209
TI - Fragrance material review on cinnamyl formate.
AB - A toxicologic and dermatologic review of cinnamyl formate when used as a
fragrance ingredient is presented.
PMID- 18037210
TI - Fragrance material review on alpha-iso-methylionone.
AB - A toxicologic and dermatologic review of alpha-iso-methylionone when used as a
fragrance ingredient is presented.
PMID- 18037211
TI - Fragrance material review on methyl cinnamate.
AB - A toxicologic and dermatologic review of methyl cinnamate when used as a
fragrance ingredient is presented.
PMID- 18037212
TI - Fragrance material review on alpha-ionone.
AB - A toxicologic and dermatologic review of alpha-ionone when used as a fragrance
ingredient is presented.
PMID- 18037213
TI - Fragrance material review on cis-beta-damascone.
AB - A toxicologic and dermatologic review of cis-beta-damascone when used as a
fragrance ingredient is presented.
PMID- 18037214
TI - Fragrance material review on benzyl cinnamate.
AB - A toxicologic and dermatologic review of benzyl cinnamate when used as a
fragrance ingredient is presented.
PMID- 18037215
TI - Fragrance material review on cinnamyl cinnamate.
AB - A toxicologic and dermatologic review of Cinnamyl cinnamate when used as a
fragrance ingredient is presented.
PMID- 18037216
TI - Fragrance material review on ethyl cinnamate.
AB - A toxicologic and dermatologic review of ethyl cinnamate when used as a fragrance
ingredient is presented.
PMID- 18037217
TI - Fragrance material review on cinnamyl propionate.
AB - A toxicologic and dermatologic review of cinnamyl propionate when used as a
fragrance ingredient is presented.
PMID- 18037218
TI - Fragrance material review on cinnamyl butyrate.
AB - A toxicologic and dermatologic review of cinnamyl butyrate when used as a
fragrance ingredient is presented.
PMID- 18037219
TI - Fragrance material review on butyl cinnamate.
AB - A toxicologic and dermatologic review of butyl cinnamate when used as a fragrance
ingredient is presented.
PMID- 18037220
TI - [Coverage of dorsal distal skin defects of the digits. Techniques and
indications].
AB - Distal dorsal skin defects of the digits could be considered as a surgical
entity. The coverage of this area is challenging according to the following
points: the proximity of the distal interphalangeal joint, the thinness of the
extensor apparatus and the vicinity of the nail. Among the numerous flaps
described, the homodigital turnover pedicled flaps appear the most effective
option rather than cross-finger flaps.
PMID- 18037221
TI - A cell-permeable peptide inhibitor TAT-JBD reduces the MPP+-induced caspase-9
activation but does not prevent the dopaminergic degeneration in substantia nigra
of rats.
AB - Many studies showed that 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)
which was widely used to produce Parkinson's disease (PD)-like models in animals
can elicit apoptosis with increase of caspase activity via its neurotoxic
metabolite 1-methyl-4-phenylpyridinium ion (MPP(+)). Another pathway shown in
MPTP-mediated nigrostriatal dopaminergic cell death involved the c-Jun-N-terminal
kinases (JNKs) which are stress-activated protein kinases (SAPKs). Activation of
the JNKs leads to the activation of transcription factors such as c-Jun that
regulates its own expression. However, it is not known whether the activation of
c-Jun is crucial in the stimulation of caspases leading to apoptosis observed in
PD-like models. The aim of this study was to investigate the cellular expression
and phosphorylation of c-Jun and the caspase-9 activity in rat injured with an
intranigral injection of MPP(+). Furthermore, we determined the effects of a cell
permeable peptide TAT-JBD, inhibiting selectively JNKs, on apoptosis markers and
on the expression of tyrosine hydroxylase (TH). Our results showed that MPP(+)
induced not only an activation of c-Jun but also an early and robust stimulation
of caspase-9 in midbrain of rats. Furthermore, a preliminary intravenous
injection of TAT-JBD reduced the caspase-9 activation specifically induced by
MPP(+) suggesting a control of the JNKs pathway on the intrinsic way of apoptosis
in MPP(+)-toxicity. However, the inhibition of the JNK pathway did not prevent TH
inhibition, DNA fragmentation and Bad expression in MPP(+)-lesioned substantia
nigra of rats. Therefore, the possibility of intervention on the JNK pathway as a
therapeutic strategy in Parkinson's disease is questionable.
PMID- 18037222
TI - Magnesium in cardiopulmonary resuscitation: critical review.
AB - Although many different drugs and interventions have been studied in cardiac
arrest to improve survival rates and neurological outcome, the results are still
very poor. Magnesium (Mg) has important electrophysiological effects and normal
concentrations are required to maintain regular cardiac conduction, rhythm and
vascular tone, but its role in improving survival rates and neurological outcome
in victims of cardiac arrest is not completely understood. We conducted a
systematic review to identify evidence regarding the role of Mg in cardiac
arrest. Specifically, we looked for data to answer if survival rates and
neurological outcome are related to the administration of Mg either during CPR or
following return of spontaneous circulation. We found that there are very few
data available about the role of Mg in the treatment of cardiac arrest. Although
two non-randomised and one animal study reported promising results, the lack of
high quality studies makes it impossible to recommend for or against the
administration of Mg during or early after resuscitation to improve outcome.
PMID- 18037223
TI - Early high-dose erythropoietin therapy and hypothermia after out-of-hospital
cardiac arrest: a matched control study.
AB - AIM: To test the possible neuroprotective effect of early high-dose
erythropoietin-alpha (Epo-alpha) after out-of-hospital cardiac arrest (OHCA).
METHODS: A matched control study. Following resuscitation with mild hypothermia
after OHCA, participants received a first dose of Epo-alpha followed by four
additional injections within 48 h (40,000 IU intravenously each injection).
Plasma Epo-alpha levels were measured at different time points. Outcome and
adverse events were assessed up to day 28 and were compared with those of matched
paired controls. RESULTS: In all 18 participants received Epo-alpha and were
compared with 40 matched controls. Pharmacokinetic variables were similar to
those previously reported for healthy people or for persons treated with usual
dosages of Epo. At day 28, survival rates among the Epo-treated group and the
controls (55% versus 47.5%, p=0.17) and rates of full neurological recovery (55%
versus 37.5%) did not differ significantly. Incidences of thrombocytosis in the
Epo-treated group and controls were 15% and 5%, respectively; an arterial
vascular thrombosis was observed in one case (5%) from the Epo-treated cohort.
CONCLUSIONS: Among victims of OHCA treated with Epo-alpha and hypothermia, we
observed a high survival rate, with no minor cerebral sequels but potential
haematological side effects. Future studies of Epo should pay particular
attention to these findings.
PMID- 18037224
TI - Accidental shock to rescuer during successful defibrillation of ventricular
fibrillation--a case of human involuntary automaticity.
PMID- 18037225
TI - Disease-modifying drugs and Parkinson's disease.
AB - Symptomatic medications, l-Dopa and dopaminergic agents, remain the only
clinically pertinent pharmacological treatment proven effective and available for
the large population of patients with Parkinson's disease. The challenge for the
pharmaceutical industry is to develop disease-modifying drugs which could arrest,
delay or at least oppose the progression of the specific pathogenic processes
underlying Parkinson's disease. The purpose of this review, based on recent
biological and genetic data to be validated with appropriate animal models, was
to re-examine the putative neuroprotective agents in Parkinson's disease and
discuss the development of new strategies with the ultimate goal of demonstrating
neurocytoprotective activity in this neurodegenerative disease. Since guidelines
for research on neurocytoprotective drugs remain to be written, innovation will
be the key to success of future clinical trials. It is reasonable to expect that
future advances in our understanding of the pathogenic processes of Parkinson's
disease will open the way to new perspectives for the treatment of other
neurodegenerative diseases.
PMID- 18037226
TI - Mutated D4-guanine diphosphate-dissociation inhibitor is found in human leukemic
cells and promotes leukemic cell invasion.
AB - OBJECTIVE: Rho GTPase may be involved in human cancer invasion via the
augmentation of cell motility and adhesion. We report on two point mutations of
the D4-guanine diphosphate (GDP)-dissociation inhibitor (GDI) gene, one of the
Rho-GDIs, which were found in a human leukemic cell line, Reh, and the mutated D4
GDI functions as an accelerator of leukemic cell invasion. MATERIAL AND METHODS:
We investigated the altered activity of GDP dissociation by mutated (mt) D4-GDI
and the functions of this mt and wild-type (wt) D4-GDI in invasion. The mice
inoculated with wt or mt D4-GDI vector-transfected Raji cells were observed and
examined pathologically. Adhesiveness and cell motility of wt or mt D4-GDI vector
transfected Raji cells were examined. Finally, it was examined whether Rho
activation was changed by mutation of D4-GDI under the condition of Rho-GDI
knockdown. RESULTS: Two point mutations of the D4-GDI gene were found in Reh
cells. The region of mutations is conserved among members of the Rho-GDI family
at the amino acid level. D4-GDI with two mutations (V68L and V69A) functioned in
a dominant negative manner in the inhibition of GDP dissociation from Rho. Severe
combined immune-deficient mice inoculated with Raji cells developed
hemiparalysis. The Raji cells were present in bone marrow and peripheral blood,
and hepatic invasion was observed in 20% of the mice. Mice inoculated with wt D4
GDI vector-transfected Raji cells (wt D4) showed later paralysis and none
developed hepatic invasion. Mice inoculated with mt D4-GDI-transfected Raji cells
(mt D4) showed a 5-day reduction in the time to paraplegia and death. In
addition, hepatic invasion was evident in 80% of mice transplanted with mt D4
cells. There were no differences in growth rates and amounts of guanine
triphosphate (GTP)-bound Rho, cdc42, or Rac among all clones, however, GTP-bound
Rho in mt D4 clone with short hairpin RNA (shRNA) vector for Rho-GDI knockdown
was increased compared with wt D4 clone with shRNA vector for Rho-GDI knockdown.
The mt D4 cells showed an augmentation of adhesiveness and cell motility. On the
other hand, wt D4 cells showed a decreased ability of cell motility. CONCLUSION:
These results suggest the mutated D4-GDI functions as a dominant negative
molecule against the wt D4-GDI and accelerates invasion via regulation of
cytoskeletal machinery.
PMID- 18037228
TI - Sickness and survival in bladder cancer: is comorbidity the crystal ball?
PMID- 18037227
TI - A novel mechanism of erythrocyte capture from circulation in humans.
AB - OBJECTIVE: The capture of blood cells from the circulation is mediated by highly
specialized adhesion molecules. These molecules contribute to the specificity of
recruitment for various subsets. Here, we used a simple substrate of hyaluronic
acid to investigate the specificity of CD44-mediated recruitment from human whole
blood under shear conditions. MATERIALS AND METHODS: Human whole blood was
perfused through a parallel-plate flow chamber, which mimics intravascular
conditions. Microscopy was used to directly observe blood-cell interactions with
adhesion molecule substrates. RESULTS: Erythrocytes, but not leukocytes,
efficiently tethered to and rolled on the hyaluronic acid substrate. These
interactions were demonstrated to be mediated by CD44 and regulated by the sialic
acid content of the cells. Inflammatory stimuli did not result in enhanced
erythrocyte rolling. Rather, interactions were restricted to aged erythrocytes
approaching senescence. This mechanism of erythrocyte capture from the blood flow
was found to be restricted to primates and not conserved across mammalian
species. CONCLUSION: This is the first report of erythrocyte tethering and
rolling under shear conditions, a behavior, until now, thought to be exclusive to
leukocytes. It may represent an important mechanism to identify, capture, and
clear old erythrocytes during normal homeostasis or clot formation.
PMID- 18037230
TI - Expression of the full-length telomerase reverse transcriptase (hTERT) transcript
in both malignant and normal gastric tissues.
AB - Activation of telomerase by the induction of a full-length telomerase reverse
transcriptase (hTERT) transcript is a critical step during cellular
immortalization and malignant transformation. Telomerase activity or hTERT
expression has thus served as diagnostic and/or prognostic markers in different
types of human malignancies. In the present study, we investigated the expression
of the telomerase components hTERT and telomerase RNA template (hTER) in normal
and malignant gastric tissues derived from 37 patients with gastric cancers.
Overall hTERT mRNA was detectable in 33/37 (90%) of tumour specimens and 23/37
(62%) of the corresponding normal gastric tissues. Twenty-five of thirty-seven
tumours (71%) expressed the full-length hTERT mRNA, and unexpectedly, this full
length transcript was found in 16 of 37 (43%) normal gastric tissues.
Immunohistochemical analyses demonstrated a positive hTERT staining in small
fractions of normal epithelial cells and in most gastric cancer cells. A close
correlation between the presence of a full-length hTERT transcript and the c-MYC
oncogene expression was observed in both normal and cancerous gastric specimens.
Moreover, the full-length hTERT expression was positively associated with the
tumour size in these patients. Similar levels of hTER expression were expressed
in tumour and their corresponding normal tissues. The finding that the full
length hTERT transcript was present in both normal and malignant gastric tissues
will preclude its use as a gastric cancer marker. Nevertheless, full-length hTERT
mRNA expression may indicate a progressive gastric cancer, and its presence in
normal gastric mucosa may have an impact on the anti-telomerase strategy for
cancer therapeutic purpose.
PMID- 18037229
TI - sHA 14-1, a stable and ROS-free antagonist against anti-apoptotic Bcl-2 proteins,
bypasses drug resistances and synergizes cancer therapies in human leukemia cell.
AB - HA 14-1, a small-molecule antagonist against anti-apoptotic Bcl-2 proteins, was
demonstrated to induce selective cytotoxicity toward malignant cells and to
overcome drug resistance. Due to its poor stability and the reactive oxygen
species (ROS) generated by its decomposition, chemical modification of HA 14-1 is
needed for its future development. We have synthesized a stabilized analog of HA
14-1--sHA 14-1, which did not induce the formation of ROS. As expected from a
putative antagonist against anti-apoptotic Bcl-2 proteins like HA 14-1, sHA 14-1
disrupted the binding interaction of a Bak BH3 peptide with Bcl-2 or Bcl-X(L)
protein, inhibited the growth of tumor cells through the induction of apoptosis,
and circumvented the drug resistance induced by the over-expression of anti
apoptotic Bcl-2 and Bcl-X(L) proteins. Interestingly, the impairment of extrinsic
apoptotic pathway induced moderate resistance to sHA 14-1. The moderate
resistance suggested that sHA 14-1 generated part of its apoptotic stress through
the intrinsic pathway, possibly through its antagonism against anti-apoptotic Bcl
2 proteins. The resistance indicated that sHA 14-1 generated apoptotic stress
through the extrinsic apoptotic pathway as well. The ability of sHA 14-1 to
induce apoptotic stress through both pathways was further supported by the
synergism of sHA 14-1 towards the cytotoxicities of Fas ligand and dexamethasone
in Jurkat cells. Taken together, these findings suggest that sHA 14-1 may
represent a promising candidate for the treatment of drug-resistant cancers
either as a monotherapy or in combination with current cancer therapies.
PMID- 18037231
TI - Repair of 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanone-induced DNA
pyridyloxobutylation by nucleotide excision repair.
AB - The tobacco-specific lung carcinogen, 4-(methylnitrosamino)-1-(3-pyridyl)-1
butanone (NNK) forms DNA methylating and pyridyloxobutylating species. In this
study, the involvement of nucleotide excision repair (NER) in the repair of
pyridyloxobutyl adducts was assessed using an in vitro NER assay with
pyridyloxobutylated plasmid DNA. Nuclear extracts from NER-deficient xeroderma
pigmentosum (XP) cells, XPA and XPC, were less active at repairing
pyridyloxobutyl adducts than were extracts from normal cells, while combining NER
deficient extracts reconstituted activity. Also, NER-deficient cells were more
susceptible to NNKOAc-induced cytotoxicity than were normal cells. Results
demonstrate a role for NER in the repair of NNK-induced pyridyloxobutylation.
PMID- 18037232
TI - The 3' UTR IGF2R-A2/B2 variant is associated with increased tumor growth and
advanced stages in non-small cell lung cancer.
AB - Normal function of insulin-like growth factor II receptor (IGF2R) gene has been
associated with negative control of tumor growth in vivo and in vitro. Rare
alleles at a 3' UTR short tandem repeat polymorphism of IGF2R are known to
decrease transcript stability. One such allele (A2/B2) increases significantly
the risk of oral squamous cell carcinoma and non-small cell lung carcinoma
(NSCLC) in Caucasians. To determine potential association(s) between A2/B2
presence and development and/or progression of disease, we examined in 103 NSCLC
patients, free of IGF2R allelic imbalance aberrations, the 3' UTR allelic status
in relation to tumor kinetic parameters (proliferation index-PI and apoptotic
index-AI) and clinicopathological data. PCR and automated sequence analyses were
employed to genotype the IGF2R 3' UTR polymorphism. Given that, oncogenic
mitogens, which escape degradation by IGF2R, can also activate p53 through a DNA
damage response, the patterns between p53 status and IGF2R genetic constitution
were also evaluated in relation to the above parameters. The A2/B2 variant was
significantly more common (p=0.005, chi2-test) in lung cancer patients (25% vs
15%). Its presence was accompanied by high cellular proliferation (p=0.028, t
test) along with increased tumor cell growth (GI=PI/AI) (p=0.022, t-test) and it
was significantly found in advanced stages. Also, patients carrying the A2/B2 in
their genetic constitution that exhibit aberrant p53 expression have faster
growing tumors and progress more rapidly to advanced stages. In conclusion, the
IGF2R-A2/B2 variant probably provides a selective advantage for NSCLC progression
through increased tumor growth.
PMID- 18037233
TI - Bioassay of methyl tertiary-butyl ether (MTBE) toxicity on rainbow trout fish.
AB - Methyl tertiary-butyl ether (MTBE) has been widely used as a gasoline additive.
Water resource contamination due to spillage and accidental leakage of gasoline
during fuel transportation may cause an important threat to aquatic life. In this
work, the bioassay of MTBE toxicity on rainbow trout was performed. MTBE
solutions of 250, 500, 750, 1000, and 1250 mg L(-1) were prepared in five
aquariums and aerated for 96 h. LC50 values of each experiment were measured
according to probit analysis. A mathematical relationship between time exposure
and LC50 of MTBE for rainbow trout was developed. The relative toxicity of MTBE
to rainbow trout and to other organisms was also reviewed. It was shown that the
toxicity of MTBE does not change significantly in the time exposure between 24
and 72 h varying from 878 to 831 mg L(-1), respectively. After 72 h of rainbow
trout exposure to MTBE, LC50 value gradually decreased and reached 773 mg L(-1)
in 96 h of contact time. In conclusion, the result of this work showed that the
toxicity of MTBE to rainbow trout was relatively low.
PMID- 18037234
TI - Critical rotational speed model of the rotating roll electrode in corona
electrostatic separation for recycling waste printed circuit boards.
AB - Waste printed circuit board (PCB) is increasing worldwide. The corona
electrostatic separation (CES) was an effective and environmental protection way
to recycle resource from waste PCBs. The aim of this paper is to analyze the main
factor (rotational speed) that affects the efficiency of CES from the point of
view of electrostatics and mechanics. A quantitative method for analyzing the
affection of rotational speed was studied and the model for separating flat
nonmetal particles in waste PCBs was established. The conception of "charging
critical rotational speed" and "detaching critical rotational speed" were
presented. Experiments with the waste PCBs verified the theoretical model, and
the experimental results were in good agreement with the theoretical model. The
results indicated that the purity and recycle percentage of materials got a good
level when the rotational speed was about 70 rpm and the critical rotational
speed of small particles was higher than big particles. The model can guide the
definition of operator parameter and the design of CES, which are needed for the
development of any new application of the electrostatic separation method.
PMID- 18037235
TI - Distribution of phthalic acid esters in Wuhan section of the Yangtze River,
China.
AB - Samples of water and sediment were collected in Wuhan section of the Yangtze
River in high and low water periods. Concentrations, distribution and transitions
of Phthalic Acid Esters (PAEs) between water and sediment phases in Wuhan section
were assessed. Results demonstrated that di-n-butyl phthalate (DBP) and di-(2
ethylhexyl) phthalate (DEHP) were the main pollutants among five studied PAEs,
exceeding the Surface Water Quality Criteria of China in 82.4% of the studied
water samples. For water phase, PAE concentrations in the main stream were 0.034
0.456 and 35.73-91.22 microg/L in high and low water periods, respectively; for
sediment phase, PAE concentrations in the main stream were 151.7-450.0 and 76.3
275.9 microg/g in high and low water periods, respectively. The calculated Koc
(organic carbon content normalized sorption coefficients) values in each sampling
site indicated that DBP and DEHP had similar potentials to transfer between water
and sediment phases in two samplings. PAEs in water and sediment phases of Wuhan
section were similar to Velino River of Italy and the middle and lower Yellow
River of China in comparison with kinds of water bodies all around the world.
PMID- 18037236
TI - A method for decomposition of hexachlorobenzene by gamma-alumina.
AB - A method of decomposing hexachlorobenzene (HCB) by gamma-alumina was investigated
at low temperature of 300 degrees C. It was found that HCB was rather quickly
decomposed under such a condition. Decomposition efficiency (DE) increases with
increasing the surface area of gamma-alumina. Pretreated gamma-alumina has a
better performance for the decomposition reaction. A high decomposition
efficiency within the short reactive time of 60 min was achieved to be 94.2%,
which was obtained by preheating gamma-alumina with the surface area of 220
m(2)g(-1) at 450 degrees C for 2 h. High surface area and appropriate
pretreatment temperature probably provide more reactive sites such as the
isolated OH groups and Al(3+) sites surrounded by O(2-) sites. These sites may
induce the decomposition of HCB via a main ring-cracking process. The present
study, hopefully, holds the promise for the eliminating of HCB contained
hazardous materials in industrial application.
PMID- 18037237
TI - Mineral phases of weathered and recent electric arc furnace dust.
AB - A weathered and a recent sample of electric arc furnace dust (EAFD), generated in
a southern Brazilian steel industry, were characterized by X-ray fluorescence
spectroscopy (XFA), powder X-ray diffraction (XRD), thermogravimetric analysis
(TG), scanning electron microscopy (SEM) with energy dispersive spectroscopy
(EDS) probe and Fourier transform infrared spectroscopy (FTIR). A quantitative
phase composition model, that accounts for the observed data and for the physico
chemical conditions of formation, was postulated for each material. One sample,
in the form of a wet paste, was collected from the lowest part of a landfill and
corresponds to a weathered material whereas the other sample was collected from
the top portion of the landfill and corresponds to a recently produced material.
The dominant cations present in both samples are iron, zinc and lead with minor
amounts of manganese, calcium and silicon. The dominant mineralogical phases
identified in both materials are Magnetite, Franklinite and Zincite. The recent
sample has Laurionite whereas the weathered sample has Hydrocerussite and
Hydrozincite.
PMID- 18037238
TI - Biodegradation dynamics of high catechol concentrations by Aspergillus awamori.
AB - The biodegradation process of high catechol concentrations by Aspergillus awamori
was investigated. The values of the kinetic constants for a model of specific
growth rate at different initial conditions were determined. At 1.0 g/L catechol
concentration, the biodegradation process proceeded in the conditions of
substrate limitation. At higher catechol concentrations (2.0 and 3.0 g/L) a
presence of substrate inhibition was established. The dynamics of the specific
catechol degradation rate was studied and the values of catechol and biomass
concentrations, maximizing the specific catechol degradation rate, were estimated
analytically. The specified ratio catechol/biomass could serve as a starting base
for determination of the initial conditions for a batch process, for specifying
the moment of feeding for a fed-batch process, and for monitoring and control of
a continuous process by the aim of time-optimal control.
PMID- 18037239
TI - Application of flat-sheet membrane to thickening and digestion of waste activated
sludge (WAS).
AB - The feasibility of using flat-sheet membrane for waste activated sludge (WAS)
thickening and digestion was studied in this paper. The characteristics of the
processes including the thickening efficiency, effluent water quality and
filtration properties of the membrane for sludge thickening process (MST),
digestion efficiency, effluent water quality and membrane permeability of the
membrane for sludge simultaneous thickening and digestion process (MSTD) were
investigated. Test results showed that good sludge thickening efficiency and
superior effluent water quality were obtained in the MST process under hydraulic
retention time (HRT) 0.26 and 2 d operation of each cycle, and the membrane
fouling was mainly due to the increase of apparent viscosity of mixed liquors and
the decrease of the cross-flow velocity (CFV) along membrane surfaces during one
thickening cycle. Membranes were also selected for the processes and M1 membrane
of polyvinylidene fluoride (PVDF) material with pore size 0.2 microm demonstrated
better permeability compared with other three membranes. About 80% MLSS
destruction rate and 73% MLVSS destruction rate were achieved under HRT 1 d,
dissolved oxygen (DO) concentration 0.5-1.5 mg/L, temperature 20-28 degrees C and
15 d operation for one cycle in MSTD process, and membrane fouling of MSTD
process was attributed to not only the reason of MST process mentioned above, but
also the change of sludge properties such as the increase of soluble COD and
microbial polymeric substances including EPS, carbohydrate and nucleic acids,
etc. of the mixed liquors.
PMID- 18037240
TI - Regeneration of granular activated carbon saturated with acetone and isopropyl
alcohol via a recirculation process under H2O2/UV oxidation.
AB - This study examines a water-based system, coupling an adsorber and a
photoreactor, for regeneration of granular activated carbon (GAC) saturated with
acetone and isopropyl alcohol (IPA). Through water recirculation the regeneration
reaction was operated in both intermittent and continuous ultraviolet
illumination modes. With a periodic dosage of hydrogen peroxide not only was
regeneration efficient but it was also catalyzed by GAC in the adsorber. The
concentrations of acetone, solution chemical oxygen demand (COD), pH and organic
residues on GAC surfaces were measured during regenerations. Both pH and solution
COD were found to correlate with regeneration completion as measured by organic
residue on GAC surfaces in four regeneration cycles with acetone. Solution pH
decreased to the acidic values and then returned to near its original value when
organic residues were 0.085-0.255 mg/g GAC, that is, destruction efficiency of
adsorbed acetone on the GAC surface was more than 99%. Likewise, solution COD
became low (<100 mg/l) at regeneration completion. The pH variation pattern was
then applied to another four cycles of regeneration with IPA, and successfully
reflected the timing of complete regeneration. The final levels of organic
residue on GAC surfaces were between 0.135 and 0.310 mg/g GAC in each of four
regeneration cycles, each of which had been stopped based on the measurements of
pH and solution COD. Furthermore, nearly the same batch of GAC could be
repeatedly used with little changes in physicochemical properties in each of
eight cycles: adsorptive capacities were 95+/-7 mg acetone/g GAC and 87+/-3 mg
IPA/g GAC, and breakthrough time was 0.86+/-0.05 for acetone and 0.78+/-0.03 h
for IPA. An economic assessment of the system showed that the operating cost was
about 0.04 USD for treating every gram of acetone in the air.
PMID- 18037241
TI - Effects of arterial and cardiopulmonary baroreceptor activation on the upper limb
nociceptive flexion reflex and electrocutaneous pain in humans.
AB - Attenuation of the lower limb nociceptive flexion reflex (NFR) during the cardiac
cycle has been attributed to inhibition of sensorimotor function by arterial
baroreceptor activation. It has been proposed that cardiopulmonary baroreceptors
might have similar inhibitory effects. This study examined the effects of
arterial and cardiopulmonary baroreceptor stimulation on nociceptive responding
in the upper limb by delivering electrocutaneous stimuli to the ulnar nerve at 0,
150, 300, 450, or 600 ms after the R-wave of the electrocardiogram while
participants lay supine with their legs raised or lowered. Nociceptive responding
varied in a quadratic manner with phase of the cardiac cycle; responses were
lowest at R+450 ms. Nociceptive responding and pain ratings did not differ
between postures suggesting no cardiopulmonary effects. This phasic modulation of
the upper limb withdrawal response provides further support for arterial
baroreceptor-mediated inhibition of nociceptive transmission.
PMID- 18037242
TI - Tetrodotoxin inhibits the development and expression of neuropathic pain induced
by paclitaxel in mice.
AB - We evaluated the effect of low doses of systemically administered tetrodotoxin
(TTX) on the development and expression of neuropathic pain induced by paclitaxel
in mice. Treatment with paclitaxel (2mg/kg, i.p., once daily during 5 days)
produced long-lasting (2-4 weeks) heat hyperalgesia (plantar test), mechanical
allodynia (electronic Von Frey test) and cold allodynia (acetone drop method),
with maximum effects observed on days 7, 10 and 10-14, respectively. Acute
subcutaneous treatment with 1 or 3 microg/kg of TTX reduced the expression of
mechanical allodynia, whereas higher doses (3 or 6 microg/kg) were required to
reduce the expression of cold allodynia and heat hyperalgesia. In contrast, TTX
(3 or 6 microg/kg, s.c.) did not affect the response to the same thermal and
mechanical stimuli in control animals, which indicates that the antihyperalgesic
and antiallodynic effects of TTX were not due to unspecific inhibition of the
perception of these stimuli. Administration of TTX (6 microg/kg, s.c.) 30 min
before each of the 5 doses of paclitaxel did not modify the development of heat
hyperalgesia produced by the antineoplastic, but abolished the development of
mechanical and cold allodynia. Coadministration of a lower dose of TTX (3
microg/kg) also prevented the development of mechanical allodynia. No signs of
TTX-induced toxicity or motor incoordination were observed. These data suggest
that low doses of TTX can be useful to prevent and treat paclitaxel-induced
neuropathic pain, and that TTX-sensitive subtypes of sodium channels play a role
in the pathogenesis of chemotherapy-induced neuropathic pain.
PMID- 18037243
TI - Hypnosis and the analgesic effect of suggestions.
PMID- 18037244
TI - The use of age-clustered pooled faecal samples for monitoring worm control in
horses.
AB - A study was performed on two horse farms to evaluate the use of age-clustered
pooled faecal samples for monitoring worm control in horses. In total 109 horses,
57 on farm A and 52 on farm B, were monitored at weekly intervals between 6 and
14 weeks after ivermectin treatment. This was performed through pooled faecal
samples of pools of up to 10 horses of the groups 'yearlings' (both farms), '2
year-old' (two pools in farm A), '3-year-old' (farm A) and adult horses (four
pools on farm A and five pools on farm B), which were compared with the mean
individual faecal egg counts of the same pools. A very high correlation between
the faecal egg counts in pooled samples and the mean faecal egg counts was seen
and also between the faecal egg counts in pooled samples and larval counts from
pooled faecal larval cultures. Faecal egg counts increased more rapidly in
yearlings and 2-year-old horses than in older horses. This implied that in these
groups of young animals faecal egg counts of more than 200 EPG were reached at or
just after the egg reappearance period (ERP) of 8 weeks that is usually indicated
for ivermectin. This probably means that, certainly under intensive conditions,
repeated treatment at this ERP is warranted in these young animals, with or
without monitoring through faecal examination. A different situation is seen in
adult animals. Based on the mean faecal egg counts on both farms and on the
results of pooled samples in farm A, using 100 EPG as threshold, no justification
for treatment was seen throughout the experimental period. However, on farm B
values of 100 EPG were seen at 9 and 11, 13 and 14 and 14 weeks after ivermectin
treatment in pools 10, 12 and 13, respectively. This coincided with the presence
of one or two horses with egg counts above 200 EPG. The conclusion is that random
pooled faecal samples of 10 adult horses from a larger herd, starting at the ERP
and repeating it at, for instance, 4-week intervals, could be used for decisions
on worm control. However, there would be a certain risk for underestimating
pasture contamination through missing high-egg excreters. An alternative use of
pooled samples would be as a cheap first screening to detect which adult horses
really contribute to pasture contamination with worm eggs on a farm. All horses
should be sampled and subsequently animals from 'positive' pools can be
reexamined individually.
PMID- 18037246
TI - Glutamate transporter blockade affects Ca(2+) responses in astrocytes.
AB - Brief pretreatment of astrocytes in culture with glutamate (500 microM for 20
min), was earlier shown to significantly enhance the Ca(2+) responses to a
depolarizing pulse. It is known that malfunction of glutamate transporters
increases extracellular glutamate concentration. We hypothesized that
pretreatment of astrocytes with glutamate in conditions where the glutamate
transporter activity is blocked should cause further elevation of the Ca(2+)
responses to a depolarizing pulse. To test the hypothesis we pretreated
astrocytes in culture (primary rat astrocyte cultures) with glutamate (500
microM) and glutamate transport inhibitor, threo-beta-hydroxy-aspartate (200
microM, TBHA) or glutamate (500 microM) in Na(+) free extracellular solution for
20 min. The Ca(2+) responses were elicited by depolarization of the astrocyte to
evoke voltage-gated Ca(2+) currents. Paradoxical attenuation of the Ca(2+)
transients was observed when the glutamate pretreatment was done in conditions
that blocked glutamate transport, accompanied by faster rise and decay times.
When the experiments were done on astrocyte pairs that were pretreated with
glutamate and TBHA, we observed attenuated Ca(2+) responses in the adjoining cell
when compared with the depolarized cell. The results were contrary to our earlier
observation of heightened responses in the adjoining cell of the astrocyte pair,
in cells pretreated with glutamate alone. The attenuated Ca(2+) responses in
astrocytes would imply decrease in the vesicular release of glutamate and ATP.
Extracellular glutamate concentration dependent regulation of the Ca(2+)
signaling mechanism thus seems to operate in astrocytes, which may be important
in regulating the neurotoxic accumulation of glutamate in the extracellular space
and the synapse.
PMID- 18037245
TI - Suppression of the inducible form of nitric oxide synthase prior to traumatic
brain injury improves cytochrome c oxidase activity and normalizes cellular
energy levels.
AB - We have previously shown that the observed immediate increase in nitric oxide
(NO) plays a significant role in the control of the cerebral microcirculation
following traumatic brain injury (TBI). However, a second consequence of
increased NO production after TBI may be impaired mitochondrial function, due to
the fact that NO is a well-known inhibitor of cytochrome c oxidase (CcO). CcO is
a key enzyme of the mitochondrial oxidative phosphorylation (OxPhos) machinery,
which creates cellular energy in the form of ATP. NO competes with oxygen at the
heme a(3)-Cu(B) reaction center of CcO. We thus hypothesized that TBI triggers
inhibition of CcO, which would in turn lead to a decreased energy production by
OxPhos at a time of an elevated energy demand for tissue remodeling. Here we show
that TBI as induced by an acceleration weight drop model of diffuse brain injury
in rats leads to CcO inhibition and dramatically decreased ATP levels in brain
cortex. CcO inhibition can be partially restored by application of iNOS antisense
oligonucleotides prior to TBI, which leads to a normalization of ATP levels
similar to the controls. We propose that a lack of energy after TBI caused by
inhibition of CcO is an important aspect of trauma pathology.
PMID- 18037247
TI - Lower levels of prepulse inhibition of startle response in pregnant women
compared to postpartum women.
AB - OBJECTIVE: During the postpartum period, estradiol and progesterone levels
decline from very high levels during late pregnancy to low levels within 48h of
parturition. This period is associated with dysphoric states such as the
postpartum blues. Animal studies have suggested an enhanced acoustic startle
response and deficient prepulse inhibition (PPI) of startle response following
progesterone withdrawal and during the postpartum period. The aim of the current
study was to compare acoustic startle response and PPI in healthy third trimester
pregnant women and healthy postpartum women. METHODS: Twenty-eight healthy
pregnant and 21 healthy postpartum women (examined between 48h and 1 week after
delivery) were recruited for the study. In addition, to evaluate the time-course
of postpartum changes 11 early postpartum women (examined within 48h following
delivery) were included in the study. The eyeblink component of the acoustic
startle reflex was assessed using electromyographic measurements of m.
Orbicularis Oculi. Twenty pulse-alone trials (115dB 40ms broad-band white noise)
and 40 prepulse-pulse trials were presented. The prepulse stimuli consisted of a
115dB 40ms noise burst preceded at a 100ms interval by 20ms prepulses that were
72, 74, 78 or 86dB. RESULTS: Pregnant women exhibited lower levels of PPI
compared to late postpartum women, p<0.05. There was no difference between
pregnant women and postpartum women examined within 48h of delivery. There was no
difference in startle response or habituation to startle response between
pregnant women and either of the two groups of postpartum women. CONCLUSION:
Healthy women display lower levels of PPI during late pregnancy when estradiol
and progesterone levels are high compared to the late postpartum period when
ovarian steroid levels have declined.
PMID- 18037248
TI - Pulmonary artery sarcoma and chronic thromboembolism.
AB - Pulmonary artery sarcoma is a rare and highly lethal disease whose clinical
findings are often indistinguishable from those of chronic thromboembolic
pulmonary hypertension. Partial improvement after thrombolytic therapy has
suggested that thromboembolic phenomena may be superimposed on the tumor, but, to
date, a well-documented statement of these events has not been provided.
PMID- 18037249
TI - Breast cancer risk assessment and management in primary care: provider attitudes,
practices, and barriers.
AB - BACKGROUND: We surveyed primary care providers to evaluate breast cancer risk
assessment and management practices. METHODS: Primary care providers included
staff (attendees, fellows, nurse practitioners) and residents practicing >or=1
session/week in an outpatient general medicine practice or community practices.
Of 201 eligible providers, 107 (53%) completed a self-administered questionnaire
ascertaining attitudes, perceived barriers, and clinical practices related to
assessing and managing breast cancer risk. RESULTS: Of providers, 96% mostly or
definitely agreed that assessing breast cancer risk was a primary care provider's
responsibility. In assessing risk, most providers reported usually or always
asking about family history (71%), but fewer usually or always ask about parity
(48%), biopsies (40%), or menarche (35%), and most never calculate Gail scores
(76%). In managing women at high risk for breast cancer, many providers reported
usually or always communicating increased risk to patients (58%) and tailoring
screening based on risk (53%); however fewer providers usually or always discuss
chemoprevention (13%) or genetic testing (16%) or refer to specialists (35%).
Addressing more immediate issues (25%) and lacking confidence in knowledge of
risk and risk assessment (20%) were the most commonly reported barriers to
assessing risk (n=83). CONCLUSION: Primary care providers generally assess breast
cancer risk primarily using family history, potentially missing women at
increased risk based on other criteria. In addition, although providers tailor
screening and refer women at high risk to specialists, they infrequently discuss
chemoprevention or genetic testing. Addressing perceived barriers to assessing
risk may improve care.
PMID- 18037250
TI - Parathyroid hormone secretion in women in late menopause submitted to EDTA
induced hypocalcemia.
AB - OBJECTIVE: The physiological role of parathormone (PTH) in the maintenance of
bone mass in humans has not been fully defined. The main objective of the present
study was to evaluate basal and EDTA-stimulated PTH levels in young women (Group
Y=30.9 years, N=7) and in women in late menopause (Group M=64.7 years, N=7) and
their relationship to bone mineral density. METHODS: The PTH secretion test was
performed by induction of hypocalcemia through intravenous administration of EDTA
for 2h. Blood samples were collected every 10 min and used for ionic calcium and
PTH measurements. During the basal period, an additional sample was collected for
the determination of osteocalcin, FSH, and estradiol. A sample of early morning
second voided urine was collected for analysis of deoxypiridinoline and
creatinine as well as bone mass density (BMD) was determined by dual X-ray energy
absorptiometry (DEXA). RESULTS: The aged patients presented lower femoral BMD
(Y=0.860 g/cm(2) vs. M=0.690 g/cm(2), p<0.01), with four of them having a T score
lower than -2.5 S.D. Basal, and during the EDTA infusion, PTH values were similar
in both groups. However, among aged volunteers, the rise in PTH levels was higher
for subjects with normal bone mass (NM: peak=236 pg/ml) than for subjects with
osteoporosis (OM: peak=134.4 pg/ml). CONCLUSIONS: The present results suggest
that PTH can have a modulating effect on the rate of bone loss during late
menopause.
PMID- 18037251
TI - Evaluation of in vivo dissolution behavior and GI transit of griseofulvin, a BCS
class II drug.
AB - Mean plasma concentration-time profile of griseofulvin, a BCS class II drug,
orally administered as powders into rats, was predicted based on GITA model.
However, it was very difficult to predict the individual plasma profile because
of large inter-individual difference. As the absorption of griseofulvin would be
rate-limited by the dissolution process, we tried to analyze the in vivo
dissolution kinetics of griseofulvin by focusing on gastric emptying and
intestinal transit as physiological factors influencing the in vivo dissolution
kinetics. After oral administration of griseofulvin, theophylline and
sulfasalazine into rats, gastric emptying and intestinal transit were
simultaneously estimated by analyzing the absorption kinetics of theophylline and
observing the appearance of sulfapyridine in plasma, respectively. Gastric
emptying kinetics was not significantly correlated with absorption or dissolution
behavior of griseofulvin. On the other hand, the cecum-arriving time reflecting
the intestinal transit was significantly correlated with both AUC and total
dissolved amount of griseofulvin. T(max) of griseofulvin also increased with the
increase of cecum-arriving time. These results clearly indicate that the longer
residence time could lead to the higher dissolution and absorption of
griseofulvin and that the variance of intestinal transit could be responsible for
the inter-individual difference of the in vivo absorption behavior.
PMID- 18037252
TI - Cochlear implant electrode configuration effects on activation threshold and
tonotopic selectivity.
AB - The multichannel design of contemporary cochlear implants (CIs) is predicated on
the assumption that each channel activates a relatively restricted and
independent sector of the deaf auditory nerve array, just as a sound within a
restricted frequency band activates a restricted region of the normal cochlea The
independence of CI channels, however, is limited; and the factors that determine
their independence, the relative overlap of the activity patterns that they
evoke, are poorly understood. In this study, we evaluate the spread of activity
evoked by cochlear implant channels by monitoring activity at 16 sites along the
tonotopic axis of the guinea pig inferior colliculus (IC). "Spatial tuning
curves" (STCs) measured in this way serve as an estimate of activation spread
within the cochlea and the ascending auditory pathways. We contrast natural
stimulation using acoustic tones with two kinds of electrical stimulation either
(1) a loose fitting banded array consisting of a cylindrical silicone elastomer
carrier with a linear series of ring contacts; or (2) a space-filling array
consisting of a tapered silicone elastomer carrier that is designed to fit snugly
into the guinea pig scala tympani with a linear series of ball contacts
positioned along it Spatial tuning curves evoked by individual acoustic tones,
and by activation of each contact of each array as a monopole, bipole or tripole
were recorded. Several channel configurations and a wide range of electrode
separations were tested for each array, and their thresholds and selectivity were
estimated. The results indicate that the tapered space-filling arrays evoked more
restricted activity patterns at lower thresholds than did the banded arrays.
Monopolar stimulation (one intracochlear contact activated with an extracochlear
return) using either array evoked broad activation patterns that involved the
entire recording array at current levels <6dBSL, but at relatively low
thresholds. Bi- and tri-polar configurations of both array types evoked more
restricted activity patterns, but their thresholds were higher than those of
monopolar configurations. Bipolar and tripolar configurations with closely spaced
contacts evoked activity patterns that were comparable to those evoked by pure
tones. As the spacing of bipolar electrodes was increased (separations >1mm), the
activity patterns became broader and evoked patterns with two distinct threshold
minima, one associated with each contact.
PMID- 18037253
TI - Evaluation of antimicrobial, antioxidant and wound-healing potentials of
Holoptelea integrifolia.
AB - The methanolic extracts of Holoptelea integrifolia (Roxb.) (Urticaceae) leaves
(MLE) and stem bark (MSBE) were studied for the wound-healing potential. Since
wound healing is severely hampered by microbial infection and reactive oxygen
species (ROS), this study was undertaken to evaluate antimicrobial and
antioxidant activity apart from wound-healing activity. The antimicrobial
property of the Holoptelea was studied against the six bacterial and five fungal
strains using the agar well diffusion method and minimum microbicidal
concentration and minimum inhibitory concentration were determined for each
strain, in which methanolic extract of stem bark (MSBE) has shown bigger zone of
inhibition (11.3-20.4 mm) than methanolic extract of leaves (MLE) (9.6-14.9 mm).
The anti-oxidant activity was evaluated by DPPH free radical scavenging activity
using HPLC method. The IC(50) values obtained for MSBE (TPC: 78.53+/-1.26 mg/g)
and MLE (TPC: 57.71+/-1.45 mg/g) were 37.66+/-0.48 and 50.36+/-0.59 microg/well,
respectively. In excision wound model, more than 90% wound healing was recorded
in treated groups by 14 days of post surgery, where as only 62.99% was observed
in the control group. In incision model, higher breaking strengths and higher
hydroxyproline content in treated groups suggested higher collagen re-deposition
than the control group. Finally, histopathology studies conformed wound-healing
activity of Holoptelea integrifolia.
PMID- 18037254
TI - Objective and non-invasive evaluation of dry mouth.
AB - OBJECTIVE: This study was designed to evaluate the moisture checker (MucusIII), a
new device for measuring moisture of the oral submucosa. METHODS: Defective
salivary secretion was induced by sialoadenectomy (n=8), while the remaining five
guinea pigs underwent sham surgery (control group). We measured the unstimulated
salivary flow rate, wetness of the oral mucosa using the oral tester (L-SALIVO)
and moisture of the submucosa of the tongue using MucusIII. All tests were
performed before (baseline) and 1 month after surgery. RESULTS: Sialoadenectomy
significantly reduced both the salivary flow rate and the tester reading at 10
and at 30s (p<0.01). The vale due to the MucusIII as significantly reduced in
animals with sialoadenectomy (p<0.01). CONCLUSION: The MucusIII is a device for
objective evaluation of the moisture of the oral cavity.
PMID- 18037255
TI - Visceral leishmaniasis with portal hypertension mimicking auto immune hepatitis.
PMID- 18037256
TI - Vascular endothelial growth factor and its soluble receptor in benign and
malignant ovarian tumors.
AB - An imbalance between pro-angiogenic and anti-angiogenic factors is hypothesized
in the pathogenesis of ovarian cystic disease. The aim of the following study was
to explore the possible role of free vascular endothelial growth factor receptor
1 (sVEGFR-1), a soluble regulator of vascular endothelial growth factor (VEGF)
action, in ovarian cystoadenoma, endometriomata and cystoadenocarcinoma. Forty
eight women, of whom fourteen had ovarian serous cysts, twenty-eight had stage
III-IV ovarian endometriomata, and six had stage IIIB-IIIC ovarian carcinoma,
were included. Sampling of serum, peritoneal and ovarian cystic fluids and of
tumor tissue was performed before, during and following surgery, respectively.
Levels of VEGF and sVEGFR-1 were measured in serum, peritoneal and cystic fluid.
VEGF and sVEGFR-1 expression was evaluated in tumor tissue. There were no
differences in serum VEGF and sVEGFR-1 levels nor in VEGF/VEGFR-1 ratio between
study groups. Peritoneal fluid VEGF levels were higher in cystoadenocarcinoma
patients than in endometriosis and in cystoadenoma patients, while sVEGFR-1
peritoneal fluid concentrations were significantly higher only in endometriosis
affected women. VEGF/VEGFR-1 ratio was highest in the peritoneal fluid of cancer
patients with respect to the other two groups of women. Cystic fluid VEGF and
VEGFR-1 concentrations were higher in endometriomata and in cystoadenocarcinomas
than in cystadenomas but the VEGF/VEGFR-1 ratio was highest in cancer patients.
Western blot evidenced a marked expression of VEGF and soluble VEGFR-1 in
endometriosis tissue with respect to benign cyst tissue but a lower expression of
both molecules, contrary to that expected, in cancer tissue. In conclusion, all
in all, our data indicate that an excess of local VEGF with respect to its
soluble receptor VEGFR-1 may be a key factor in the onset and maintenance of
pathological neo-angiogenesis in ovarian cyst formation.
PMID- 18037257
TI - [Prevention of heel pressure sores with a foam body-support device. A randomized
controlled trial in a medical intensive care unit].
AB - BACKGROUND: To assess in a prospective controlled study the efficacy and safety
of a specific foam body-support device designed as to prevent heel pressure
ulcers. METHODS: A randomization table was used to allocate 70 patients into 2
groups. The control group was treated with our standard pressure sore prevention
protocol (half-seated position, water-mattress and preventive massages 6 times a
day); the experimental group was treated with the same standard protocol as well
as with the foam body-support device being evaluated. Patients were included if
their Waterlow score was >10, indicating a high risk of developing pressure
ulcers and if they had no skin lesion on the heels. Foam devices, covered with
jersey, were constructed for the legs and allowed the heels to be free of any
contact with the bed; another foam block was arranged perpendicularly to the
first, in contact with the soles, to prevent ankles from assuming an equinus
position (to prevent a dropfoot condition). The principal criterion for efficacy
was the number of irreversible skin lesions on the heel (that is, beyond the
stage of blanching hyperemia, reversible after finger pressure); these lesions
were assessed every day until the end of the study (up to 30 days). FINDINGS: The
number of irreversible heel pressure ulcers was lower in the experimental (3
patients, 8.6%) than in the control group (19 patients, 55.4%) (p<0.0001). Mean
time without any pressure ulcer was higher in the experimental group (5.6 days,
compared with 2.8 days, p=0.01). The groups did not differ in the number of
pressure sores on the sacrum and leg. CONCLUSION: An anatomical foam body-support
is effective in preventing heel pressure ulcers in patients on a medical
intensive care unit and is well tolerated.
PMID- 18037258
TI - [Vitamin D deficiency in elderly men living in urban areas, at home or in
institutions].
AB - OBJECTIVES: Many studies report severe vitamin D deficiency in the elderly and
its involvement in various health problems (not limited to bone metabolism
disorders). Most papers concern elderly women, however, and little is known about
this problem among elderly men. This study focused on vitamin D deficiency in
elderly men living in urban areas, whether at home or in an institution. METHODS:
Between December 2005 and June 2006, we randomly recruited from general practices
336 men aged 65 years or more, living either at home or in institutions for the
elderly. The variables studied were: age, residence (home or institution), fall
and fracture history, independence (Katz), mobility (TUG), balance, outdoor
walking, blood 25-OH-D level, glomerular filtration rate (MDRD) and PINI index.
RESULTS: Of the 336 men, 45 (13%) were excluded because they were already
receiving vitamin D treatment. The study thus included 291 patients, 174
community-dwelling and 117 institutionalized. The latter were significantly older
and had less independence. Their 25-OH-D levels were also significantly lower
than for community-dwelling subjects. The prevalence of severe vitamin D
deficiency (25-OH-D < 12 ng/mL) was 79% among men in institutions and 57% among
those living in the community (p<0.0001), and for mild and moderate vitamin D
deficiency (12-32 ng/mL), 21% and 42%, respectively. Overall, only 2 men, both
living at home, had normal vitamin D levels (25-OH-D > or = 32 ng/mL). In
institutions, factors predictive of severe deficiency were TUG > or = 30s,
walking distance < or = 100 m and lack of outdoor walking. Among the men living
at home, none of the variables studied was associated with severe vitamin D
deficiency. DISCUSSION: Potential biases of the study are discussed. Seasonal
variations of vitamin D levels were small and comparable in both groups. The
study confirms the high prevalence of vitamin D deficiency in elderly men. These
results could probably be extended to other European cities with similar
characteristics. The problem of interpreting 25-OH-D results with respect to
current laboratory norms for defining severe or moderate vitamin D deficiency (12
and 32 ng/mL, respectively) is also discussed. CONCLUSION: Vitamin D deficiency
is widespread and severe in elderly men, especially those living in institutions.
Moderate to severe deficiency is also frequent among men living at home. Vitamin
D supplementation should be routinely prescribed in institutions for the elderly
and probably also to any individual over 65 years. Laboratory norms should be
harmonized and consistent with recent medical evidence.
PMID- 18037259
TI - [Economic aspects of severe asthma].
AB - Severe asthma and difficult-to-treat asthma are major issues in public health,
given the mortality and morbidity they induce and their detrimental effects on
patients' quality of life. The economic consequences should not be overlooked
either. Published studies suggest that asthma-related medical resource use
increases with the degree of asthma severity. Medical resource use incurred by
severe (and/or difficult-to-treat) asthma could be reduced in part by more
appropriate treatment, better patient education, improved coordination of disease
management and treatment of comorbid diagnoses. The specific difficulties in the
management of severe asthma and/or difficult-to-treat asthma must nonetheless be
kept in mind. Recent new treatments appear promising, but their costs and
indications in actual medical practice require better definition.
PMID- 18037260
TI - [New frontiers in multiple sclerosis].
PMID- 18037261
TI - Trypanosoma cruzi heparin-binding proteins and the nature of the host cell
heparan sulfate-binding domain.
AB - Trypanosoma cruzi invasion is mediated by receptor-ligand recognition between the
surfaces of both parasite and target cell. We have previously demonstrated the
role of heparan sulfate proteoglycan in the attachment and invasion of T. cruzi
in cardiomyocytes. Herein, we have isolated the T. cruzi heparin-binding proteins
(HBP-Tc) and investigated the nature of cardiomyocyte heparan sulfate (HS)
binding site to the parasite surface ligand. Two major heparin-binding proteins
with molecular masses of 65.8 and 59 kDa were observed in total extract of
amastigote and trypomastigote forms of T. cruzi. Hydrophobic [S(35)]methionine
labeled proteins eluted from heparin-sepharose affinity chromatography also
revealed both proteins in trypomastigotes but only the 59 kDa is strongly
recognized by biotin-conjugated glycosaminoglycans. Competition assays were
performed to analyze the role of sulfated proteoglycans, including heparin,
keratan sulfate and both acetylated and highly sulfated domains of heparan
sulfate, in the recognition and invasion process of T. cruzi. Significant
inhibitions of 84% and 35% in the percentage of infection were revealed after
treatment of the parasites with heparin and the N-acetylated/ N-sulfated heparan
sulfate domain, respectively, suggesting the important role of the glycuronic
acid and NS glucosamine domain of the HS chain in the recognition of the HBP-Tc
during the T. cruzi-cardiomyocyte interaction.
PMID- 18037262
TI - Mutations in the periplasmic chaperone leading to loss of surface expression of
the colonization factor CS6 in enterotoxigenic Escherichia coli (ETEC) clinical
isolates.
AB - Enterotoxigenic Escherichia coli (ETEC) cause diarrhoea by adhesion to human
enterocytes by one or more colonization factors (CFs) and secretion of heat
labile (LT) and/or heat-stable (ST) enterotoxins. Expression of coli surface
antigen 6 (CS6) on the bacterial surface, usually associated with ETEC strains
that produce ST alone or in combination with LT, is rarely found in strains
expressing only LT. However, a number of LT-only strains which are genotypically
positive but phenotypically negative for CS6 have been identified. In this study,
eight such strains from India and Guinea-Bissau belonging to different clones
were analysed. The CS6 operon cssABCD was transcribed but protein analyses
suggested that the structural subunits CssA and CssB of CS6 were absent in the
periplasm. Most strains contained truncating mutations within the periplasmic
chaperone-encoding gene cssC and protein modelling indicated that this severely
affected the substrate-binding capacity of the chaperone. A single-nucleotide
polymorphism (SNP) (A-->T) in the 5'-untranslated region of cssC distinguished
the eight strains from ETEC strains that do express CS6 on the surface and may be
a potential marker for ETEC strains containing phenotypically silent cssABCD. The
study emphasizes the importance of using both genotypic and phenotypic methods in
epidemiological studies of ETEC, e.g. for vaccine development.
PMID- 18037265
TI - Case study for identification of potentially indel-caused alternative expression
isoforms in the rice subspecies japonica and indica by integrative genome
analysis.
AB - Alternative splicing (AS) is one of the most significant components of the
functional complexity of the eukaryote genome, increasing protein diversity,
creating isoforms, and affecting mRNA stability. Recently, whole genome sequences
and large microarray data sets have become available, making data integration
feasible and allowing the study of the possible regulatory mechanism of AS in
rice (Oryza sativa) by erecting and testing hypotheses before doing bench
studies. We have developed a new strategy and have identified 215 rice genes with
alternative expression isoforms related to insertion and deletion (indel) between
subspecies indica and subspecies japonica. We did a case study for alternative
expression isoforms of the rice peroxidase gene LOC_Os06g48030 to investigate
possible mechanisms by which indels caused alternative splicing between the
indica and the japonica varieties by mining of array data together with
validation by RT-PCR and genome sequencing analysis. Multiple poly(A) signals
were detected in the specific indel region for LOC_Os06g48030. We present a new
methodology to promote more discoveries of potentially indel-caused AS genes in
rice, which may serve as the foundation for research into the regulatory
mechanism of alternative expression isoforms between subspecies.
PMID- 18037263
TI - Molecular characterization of a functional type VI secretion system from a
clinical isolate of Aeromonas hydrophila.
AB - Our laboratory recently molecularly characterized the type II secretion system
(T2SS)-associated cytotoxic enterotoxin (Act) and the T3SS-secreted AexU effector
from a diarrheal isolate SSU of Aeromonas hydrophila. The role of these toxin
proteins in the pathogenesis of A. hydrophila infections was subsequently
delineated in in vitro and in vivo models. In this study, we characterized the
new type VI secretion system (T6SS) from isolate SSU of A. hydrophila and
demonstrated its role in bacterial virulence. Study of the role of T6SS in
bacterial virulence is in its infancy, and there are, accordingly, only limited,
recent reports directed toward a better understanding its role in bacterial
pathogenesis. We have provided evidence that the virulence-associated secretion
(vas) genes vasH (Sigma 54-dependent transcriptional regulator) and vasK
(encoding protein of unknown function) are essential for expression of the genes
encoding the T6SS and/or they constituted important components of the T6SS.
Deletion of the vasH gene prevented expression of the potential translocon
hemolysin coregulated protein (Hcp) encoding gene from bacteria, while the vasK
gene deletion prevented secretion but not translocation of Hcp into host cells.
The secretion of Hcp was independent of the T3SS and the flagellar system. We
demonstrated that secreted Hcp could bind to the murine RAW 264.7 macrophages
from outside, in addition to its ability to be translocated into host cells.
Further, the vasH and vasK mutants were less toxic to murine macrophages and
human epithelial HeLa cells, and these mutants were more efficiently phagocytosed
by macrophages. We also provided evidence that the expression of the hcp gene in
the HeLa cell resulted in apoptosis of the host cells. Finally, the vasH and vasK
mutants of A. hydrophila were less virulent in a septicemic mouse model of
infection, and animals immunized with recombinant Hcp were protected from
subsequent challenge with the wild-type (WT) bacterium. In addition, mice
infected with the WT A. hydrophila had circulating antibodies to Hcp, indicating
an important role of T6SS in the pathogenesis of A. hydrophila infections. Taken
together, we have characterized the T6SS from Aeromonas for the first time and
provided new features of this secretion system not yet known for other pathogens.
PMID- 18037264
TI - Transcriptional profiling of murine organ genes in response to infection with
Bacillus anthracis Ames spores.
AB - Bacillus anthracis is the Gram-positive, spore-forming etiological agent of
anthrax, an affliction studied because of its importance as a potential
bioweapon. Although in vitro transcriptional responses of macrophages to either
spore or anthrax toxins have been previously reported, little is known regarding
the impact of infection on gene expression in host tissues. We infected Swiss
Webster mice intranasally with 5 LD(50) of B. anthracis-virulent Ames spores and
observed the global transcriptional profiles of various tissues over a 48 h time
period. RNA was extracted from spleen, lung, and heart tissues of infected and
control mice and examined by Affymetrix GeneChip analysis. Approximately 580 host
genes were significantly over or under expressed among the lung, spleen, and
heart tissues at 8 and 48 h time points. Expression of genes encoding for
surfactant and major histocompatibility complex (MHC) presentation was diminished
during the early phase of infection in lungs. By 48 h, a significant number of
genes were modulated in the heart, including up-regulation of calcium-binding
related gene expression, and down-regulation of multiple genes related to cell
adhesion, formation of the extracellular matrix, and the cell cytoskeleton.
Interestingly, the spleen 8h post-infection showed striking increases in the
expression of genes that encode hydrolytic enzymes, and these levels remained
elevated throughout infection. Further, genes involving antigen presentation and
interferon responses were down-regulated in the spleen at 8 h. In late stages of
infection, splenic genes related to the inflammatory response were up-regulated.
This study is the first to describe the in vivo global transcriptional response
of multiple organs during inhalational anthrax. Although numerous genes related
to the host immunological response and certain protection mechanisms were up
regulated in these organs, a vast list of genes important for fully developing
and maintaining this response were decreased. Additionally, the lung, spleen, and
heart showed differential responses to the infection, further validating the
demand for a better understanding of anthrax pathogenesis in order to design
therapies against novel targets.
PMID- 18037266
TI - Social status modulates basal IL-1 concentrations in the hypothalamus of pair
housed rats and influences certain features of stress reactivity.
AB - Recent findings from our laboratory and others indicate that exposure to stress
can increase expression of the pro-inflammatory cytokine interleukin-1 (IL-1). In
a series of studies examining this response, we observed pronounced differences
in baseline levels of hypothalamic IL-1 of pair-housed rats. We hypothesized that
these pair-wise differences might be a result of prolonged social stress
associated with dominance/submissiveness, and that the submissive animal would
show heightened baseline levels of IL-1. In order to test this hypothesis, we
utilized a food competition paradigm (access to cheerios) to assess dominance
within a dyad prior to the assessment of hypothalamic IL-1 levels. Based on the
results of this test, clear dominance hierarchies were observed in approximately
50% of the dyads, a ratio comparable to what has been reported previously. More
importantly, this dominant/submissive categorization could be used to predict
pair-wise differences in hypothalamic IL-1 with greater than 90% accuracy.
Specifically, the submissive rat in each dyad (determined a priori) consistently
evinced hypothalamic IL-1 levels that were nearly double that of its dominant
cage mate. Further studies demonstrated that submissive rats showed a more rapid
and pronounced hyperthermic response to novel environment stress relative to
dominant rats. Interestingly, social status had no effect on corticosterone
reactivity, even when the nature and intensity of the stressor was varied.
Finally, maintenance of a clear dominance hierarchy obfuscated hypothalamic IL-1
responses to footshock exposure, with the most robust increases in hypothalamic
IL-1 provoked by footshock being observed in pairs where there was no clear
dominance hierarchy. Together, these findings suggest that social status can have
a significant impact on stress reactivity and neuroimmune consequences of
stressor exposure even in the unperturbed home cage environment.
PMID- 18037267
TI - GPR26: a marker for primary glioblastoma?
AB - Glioblastomas are highly malignant brain tumours; they have been described as one
of the most deadly human cancers. Two conceptual classifications of the condition
exist: primary (de novo), which does not exhibit prior disease and secondary
glioblastoma, which develops from a pre-existing glioma. This study investigates
whether GPR26 is differentially transcribed in glioblastoma tissue from patients
of different ages, in order to define a candidate genetic marker. The
transcriptional profile of GPR26 was compared in nine samples: seven glioblastoma
tissues and two normal brain tissues using PCR. Despite GPR26 being present in
the glioblastoma tissues, it was not transcribed in any of the four cell lines
tested. GPR26 transcription ratios were compared between normal and cancerous
samples, also age categories <50 and >60 years were compared. Results suggested
differential transcription of GPR26, which is significantly less transcribed in
tissues from older patients, implied by a p-value of 0.03. This study has
identified GPR26 to be a genetic indicator of primary glioblastoma, suggesting
that it could be a suppressor of primary glioblastoma development.
PMID- 18037268
TI - A systematic review of challenging behaviors in children exposed prenatally to
substances of abuse.
AB - A review of the existing literature on the occurrence of challenging behavior
among children with prenatal drug exposure was conducted. While a large number of
studies were identified that evaluated various outcomes of prenatal drug
exposure, only 37 were found that directly evaluated challenging behaviors. Of
the 37 studies, 23 focused on prenatal cocaine exposure, and 14 focused on
prenatal alcohol exposure; most studies relied on broadband measures such as the
CBCL for the assessment of challenging behavior. Among the 37 studies, a clear
role for the postnatal environment on developing challenging behaviors was
evident; however, prenatal alcohol exposure showed a much clearer independent
effect upon challenging behaviors than was noted in the prenatal cocaine studies.
Additionally, only 3 of the 37 studies addressed interventions for challenging
behaviors, each of which showed an improvement in child behavior or parent-child
interactions. As researchers have continued to show the importance of the
postnatal environment, it is likely that interventions addressing specific
environmental risk factors will be helpful to reduce or prevent challenging
behaviors among this population.
PMID- 18037269
TI - Treatment of challenging behavior exhibited by children with prenatal drug
exposure.
AB - A large body of literature exists describing the harmful effects of prenatal drug
exposure on infant and child development. However, there is a paucity of research
examining strategies to ameliorate sequelae such as externalizing behavior
problems. In the present study, functional analysis procedures were used to
assess challenging behavior exhibited by two children who were prenatally exposed
to drugs of abuse. Results for both children indicated that challenging behavior
was maintained by access to positive reinforcement (adult attention and tangible
items). For one child, challenging behavior was also maintained by negative
reinforcement (escape from activities of daily living). Function-based
interventions were effective in reducing challenging behavior for both children.
Implications for utilizing methods of applied behavior analysis in research with
children with prenatal drug exposure are discussed.
PMID- 18037270
TI - Performer's attitudes toward seeking health care for voice issues: understanding
the barriers.
AB - Contemporary commercial music (CCM) performers rely heavily on their voice, yet
may not be aware of the importance of proactive voice care. This investigation
intends to identify perceptions and barriers to seeking voice care among CCM
artists. This cross-sectional observational study used a 10-item Likert-based
response questionnaire to assess current perceptions regarding voice care in a
population of randomly selected participants of professional CCM conference.
Subjects (n=78) were queried regarding their likelihood to seek medical care for
minor medical problems and specifically problems with their voice. Additional
questions investigated anxiety about seeking voice care from a physician
specialist, speech language pathologist, or voice coach; apprehension regarding
findings of laryngeal examination, laryngeal imaging procedures; and the effect
of medical insurance on the likelihood of seeking medical care. Eighty-two
percent of subjects reported that their voice was a critical part of their
profession; 41% stated that they were not likely to seek medical care for
problems with their voice; and only 19% were reluctant to seek care for general
medical problems (P<0.001). Anxiety about seeking a clinician regarding their
voice was not a deterrent. Most importantly, 39% of subjects do not seek medical
attention for their voice problems due to medical insurance coverage. The CCM
artists are less likely to seek medical care for voice problems compared with
general medical problems. Availability of medical insurance may be a factor.
Availability of affordable voice care and education about the importance of voice
care is needed in this population of vocal performers.
PMID- 18037271
TI - Medical image retrieval with probabilistic multi-class support vector machine
classifiers and adaptive similarity fusion.
AB - We present a content-based image retrieval framework for diverse collections of
medical images of different modalities, anatomical regions, acquisition views,
and biological systems. For the image representation, the probabilistic output
from multi-class support vector machines (SVMs) with low-level features as inputs
are represented as a vector of confidence or membership scores of pre-defined
image categories. The outputs are combined for feature-level fusion and retrieval
based on the combination rules that are derived by following Bayes' theorem. We
also propose an adaptive similarity fusion approach based on a linear combination
of individual feature level similarities. The feature weights are calculated by
considering both the precision and the rank order information of top retrieved
relevant images as predicted by SVMs. The weights are dynamically updated by the
system for each individual search to produce effective results. The experiments
and analysis of the results are based on a diverse medical image collection of
11,000 images of 116 categories. The performances of the classification and
retrieval algorithms are evaluated both in terms of error rate and precision
recall. Our results demonstrate the effectiveness of the proposed framework as
compared to the commonly used approaches based on low-level feature descriptors.
PMID- 18037272
TI - Macrophage migration inhibitory factor (MIF) in bullous pemphigoid.
PMID- 18037273
TI - Association of PTPN22 1858C/T polymorphism with vitiligo susceptibility in
Gujarat population.
PMID- 18037274
TI - Ivermectin: 25 years and still going strong.
AB - Ivermectin is a drug that many people will never have heard of. Yet thousands of
villagers of all ages in communities scattered throughout the remotest parts of
Africa and Latin America know its name, and some experts regard it as one of the
greatest health interventions of the past 50 years. Ivermectin was brought to the
commercial market place for multi-purpose use in animal health in 1981. Six years
later it was registered for human use. This remarkable compound has improved the
lives and productivity of billions of humans, livestock and pets around the
globe, and promises to help consign to the history books two devastating and
disfiguring diseases that have plagued people throughout the tropics for
generations--while new uses for it are continually being found.
PMID- 18037275
TI - Olfactomedin-2 mediates development of the anterior central nervous system and
head structures in zebrafish.
AB - Olfactomedins comprise a diverse family of secreted glycoproteins, which includes
noelin, tiarin, pancortin and gliomedin, implicated in development of the nervous
system, and the glaucoma-associated protein myocilin. Here we show in zebrafish
that olfactomedin-2 (OM2) is a developmentally regulated gene, and that knockdown
of protein expression by morpholino antisense oligonucleotides leads to
perturbations of nervous system development. Interference with OM2 expression
results in impaired development of branchiomotor neurons, specific disruption of
the late phase branchiomotor axon guidance, and affects development of the caudal
pharyngeal arches, olfactory pits, eyes and optic tectum. Effects of OM2
knockdown on eye development are likely associated with Pax6 signaling in
developing eyes, as Pax6.1 and Pax6.2 mRNA expression patterns are altered in the
eyes of OM2 morphants. The specific absence of most cartilaginous structures in
the pharyngeal arches indicates that the observed craniofacial phenotypes may be
due to perturbed differentiation of cranial neural crest cells. Our studies show
that this member of the olfactomedin protein family is an important regulator of
development of the anterior nervous system.
PMID- 18037277
TI - The immune system--is it relevant to cancer development, progression and
treatment?
AB - The ability of the immune system to effectively respond to human tumours is a
matter of long-term controversy. There is an increasing body of recent evidence
to support a role for the immune system in eliminating pre-clinical cancers, an
old concept termed 'immunosurveillance'. 'Immunoediting' is an updated
hypothesis, in which selection pressures applied by the immune response to
tumours modulate tumour immunogenicity and growth. Tumour infiltration by immune
cells has been shown to have powerful prognostic significance in a host of cancer
types. Paradoxically, in some circumstances the immune system can promote tumour
development. Cytotoxic therapies, including radiotherapy and chemotherapy, induce
potentially immunogenic cell death, releasing tumour-associated antigens in the
context of a 'danger' signal to the immune system. An understanding of the
interaction between immune cells, tumour cells and treatment modalities will
therefore guide the future combination of immunotherapy with conventional therapy
to achieve optimal anti-tumour effects.
PMID- 18037276
TI - Transformation of eye to antenna by misexpression of a single gene.
AB - In Drosophila, the eye and antenna originate from a single epithelium termed the
eye-antennal imaginal disc. Illumination of the mechanisms that subdivide this
epithelium into eye and antenna would enhance our understanding of the mechanisms
that restrict stem cell fate. We show here that Dip3, a transcription factor
required for eye development, alters fate determination when misexpressed in the
early eye-antennal disc, and have taken advantage of this observation to gain new
insight into the mechanisms controlling the eye-antennal switch. Dip3
misexpression yields extra antennae by two distinct mechanisms: the splitting of
the antennal field into multiple antennal domains (antennal duplication), and the
transformation of the eye disc to an antennal fate. Antennal duplication requires
Dip3-induced under proliferation of the eye disc and concurrent over
proliferation of the antennal disc. While previous studies have shown that
overgrowth of the antennal disc can lead to antennal duplication, our results
show that overgrowth is not sufficient for antennal duplication, which may
require additional signals perhaps from the eye disc. Eye-to-antennal
transformation appears to result from the combination of antennal selector gene
activation, eye determination gene repression, and cell cycle perturbation in the
eye disc. Both antennal duplication and eye-to-antennal transformation are
suppressed by the expression of genes that drive the cell cycle providing support
for tight coupling of cell fate determination and cell cycle control. The finding
that this transformation occurs only in the eye disc, and not in other imaginal
discs, suggests a close developmental and therefore evolutionary relationship
between eyes and antennae.
PMID- 18037278
TI - In vitro and in vivo evaluation of tegaserod maleate pH-dependent tablets.
AB - The purpose of this study was to prepare tegaserod maleate (TM) pH-dependent
tablets and evaluate their advantages as a sustained release delivery system. TM,
insoluble in water and unstable in gastric milieu, was formulated into pH
dependent tablets coated with combinations of two methacrylic acid copolymers -
Eudragit L100 and Eudragit S100. The influence of core tablet compositions,
polymer combination ratios and coating levels on the in vitro release rate of TM
from coated tablets was investigated. The optimum formulation was evaluated for
in vitro release rate and in vivo bioavailability study on beagle dogs. In
addition, physico-chemical properties of the drug, including solubility at
different pH and temperatures, and dissociation constant were determined. The
results showed that no drug was released in 0.1 mol/L hydrochloric acid within
2h, and about 90% of the drug was released in the pH 6.8 phosphate buffer within
12h in a sustained manner. The pharmacokinetic investigation showed that TM pH
dependent tablets exhibited a sustained plasma concentration, a lag time of
approximately 2.3h and a relative bioavailability of 159% compared to plain
tablets. A close correlation existed between the in vitro release rate of the pH
dependent system and its in vivo absorption percentage. The results of the
present study have demonstrated that the pH-dependent tablet system is a
promising vehicle for preventing rapid hydrolysis in gastric milieu and improving
oral bioavailability of TM for the treatment of irritable bowel syndrome.
PMID- 18037279
TI - Antifungal photodynamic therapy.
AB - In photodynamic antimicrobial chemotherapy (PACT), a combination of a sensitising
drug and visible light causes selective destruction of microbial cells. The
ability of light-drug combinations to kill microorganisms has been known for over
100 years. However, it is only recently with the beginning of the search for
alternative treatments for antibiotic-resistant pathogens that the phenomenon has
been investigated in detail. Numerous studies have shown PACT to be highly
effective in the in vitro destruction of viruses and protozoa, as well as Gram
positive and Gram-negative bacteria and fungi. Results of experimental
investigations have demonstrated conclusively that both dermatomycetes and yeasts
can be effectively killed by photodynamic action employing phenothiazinium,
porphyrin and phthalocyanine photosensitisers. Importantly, considerable
selectivity for fungi over human cells has been demonstrated, no reports of
fungal resistance exist and the treatment is not associated with genotoxic or
mutagenic effects to fungi or human cells. In spite of the success of cell
culture investigations, only a very small number of in vivo animal and human
trials have been published. The present paper reviews the studies published to
date on antifungal applications of PACT and aims to raise awareness of this area
of research, which has the potential to make a significant impact in future
treatment of fungal infections.
PMID- 18037280
TI - An n-3 fatty acid deficient diet affects mouse spatial learning in the Barnes
circular maze.
AB - Deficiency in n-3 fatty acids has been accomplished through the use of an
artificial rearing method in which ICR mouse pups were hand fed a deficient diet
starting from the 2nd day of life. There was a 51% loss of total brain DHA in
mice with an n-3 fatty acid-deficient diet relative to those with a diet
sufficient in n-3 fatty acids. n-3 fatty acid adequate and deficient mice did not
differ in terms of locomotor activity in the open field test or in anxiety
related behavior in the elevated plus maze. The n-3 fatty acid-deficient mice
demonstrated impaired learning in the reference-memory version of the Barnes
circular maze as they spent more time and made more errors in search of an escape
tunnel. No difference in performance between all dietary groups in the cued and
working memory version of the Barnes maze was observed. This indicated that
motivational, motor and sensory factors did not contribute to the reference
memory impairment.
PMID- 18037281
TI - Neuroprotective action of omega-3 polyunsaturated fatty acids against
neurodegenerative diseases: evidence from animal studies.
AB - Studies in animals clearly show that oral intake of docosahexaenoic acid (DHA)
can alter brain DHA concentrations and thereby modify brain functions. This
provides us with an opportunity to use DHA as a nutraceutical or pharmaceutical
tool in brain disorders such as Alzheimer disease (AD) and Parkinson disease
(PD). Most of the published epidemiological studies are consistent with a
positive association between high reported DHA consumption or high DHA blood
levels and a lower risk of developing AD later in life. Such observations have
prompted the investigation of DHA in three different transgenic models of AD.
These analyses show that animal models of AD are more vulnerable to DHA depletion
than controls and that DHA exerts a beneficial effect against pathological signs
of AD, including A beta accumulation, cognitive impairment, synaptic marker loss,
and hyperphosphorylation of tau. Multiple mechanisms of action can be associated
with the neuroprotective effects of DHA and include antioxidant properties and
activation of distinct cell signaling pathways. Although the first randomized
clinical assays have yet failed to demonstrate convincing beneficial effects of
DHA for AD patients, the knowledge gathered in recent years holds out a hope for
prevention and suggests that the elderly and people bearing a genetic risk for AD
should at least avoid DHA deficiency.
PMID- 18037282
TI - Ostreolysin enhances fruiting initiation in the oyster mushroom (Pleurotus
ostreatus).
AB - Fruiting initiation in mushrooms can be triggered by a variety of environmental
and biochemical stimuli, including substances of natural or synthetic origin. In
this work ostreolysin, a cytolytic protein specifically expressed during the
formation of primordia and fruit bodies of Pleurotus ostreatus, was applied to
nutrient media inoculated with mycelium of P. ostreatus, and its effects on
mycelial growth and fructification of the mushroom studied. The addition of
ostreolysin slightly inhibited the growth of mycelium, but strongly induced the
formation of primordia, which appeared 10 d earlier than in control plates
supplemented with bovine serum albumin or with the dissolving buffer alone.
Moreover, ostreolysin stimulated the subsequent development of primordia into
fruit bodies. However, direct involvement of this protein in the sporulation of
the mushroom is unlikely, as it was also detected in large amounts in the non
sporulating strain of P. ostreatus.
PMID- 18037283
TI - Endurance or resistance training in primary care after in-patient rehabilitation
for COPD?
AB - Resistance (RT) and endurance training (ET) prescribed by a rehabilitation centre
and carried out under the supervision of primary care physiotherapists after the
completion of 4 weeks of multidisciplinary in-patient pulmonary rehabilitation
(IPR) were compared regarding capacity to induce further health effects. After
IPR, 40 chronic obstructive pulmonary disease (COPD) patients were allocated to
RT or ET twice weekly for 12 weeks. Primary outcome variables were walking
capacity (treadmill endurance time, TET; 6-min walking distance, 6MWD),
functional status (Glittre ADL-test; Hyrim Physical Activity Questionnaire) and
health-related quality of life (HRQOL) (St. George's Respiratory Questionnaire,
SGRQ). Body functions variables were included as secondary outcome measures.
HRQOL and physical activity were reinvestigated after 1 year. Median attendance
rates were not different between RT (21, interquartile range [17;23]) and ET (22
[18;24]). Both groups improved in TET (RT 7.7 min 95% CI {3.6;12}, ET 5.7 min
{1.7;9.8}). 6MWD increased significantly after ET (46 m {20;72}). Functional
status was unchanged. SGRQ tended to further improve after RT (-3.2{-7.4;1.2}),
while ET maintained the improvement gained during IPR. Body functions measures
changed according to training modality. After 1 year, a majority of patients in
both groups were exercising regularly, but SGRQ was significantly better than pre
IPR only in the RT group (-7.9{-14.3;-1.5}). We conclude that supervised RT or ET
twice weekly sustains and improves the effects of IPR. With no large differences
detected between the two training modalities, the choice of training may be
guided by individual needs, patient preferences and the availability of
equipment.
PMID- 18037284
TI - Treatment and use of air pollution control residues from MSW incineration: an
overview.
AB - This work reviews strategies for the management of municipal solid waste
incineration (MSWI) residues, particularly solid particles collected from flue
gases. These tiny particles may be retained by different equipment, with or
without additives (lime, activated carbon, etc.), and depending on the different
possible combinations, their properties may vary. In industrial plants, the most
commonly used equipment for heat recovery and the cleaning of gas emissions are:
heat recovery devices (boiler, superheater and economiser); dry, semidry or wet
scrubbers; electrostatic precipitators; bag filters; fabric filters, and
cyclones. In accordance with the stringent regulations in force in developed
countries, these residues are considered hazardous, and therefore must be treated
before being disposed of in landfills. Nowadays, research is being conducted into
specific applications for these residues in order to prevent landfill practices.
There are basically two possible ways of handling these residues: landfill after
adequate treatment or recycling as a secondary material. The different types of
treatment may be grouped into three categories: separation processes,
solidification/stabilization, and thermal methods. These residues generally have
limited applications, mainly due to the fact that they tend to contain large
quantities of soluble salts (NaCl, KCl, calcium compounds), significant amounts
of toxic heavy metals (Pb, Zn, Cr, Cu, Ni, Cd) in forms that may easily leach
out, and trace quantities of very toxic organic compounds (dioxin, furans). The
most promising materials for recycling this residue are ceramics and glass
ceramic materials. The main purpose of the present paper is to review the
published literature in this field. A range of studies have been summarized in a
series of tables focusing upon management strategies used in various countries,
waste composition, treatment processes and possible applications.
PMID- 18037285
TI - Carbon mineralization dynamics in soils amended with meat meals under laboratory
conditions.
AB - Meat and bone meal (MBM) is obtained from the wastes produced during slaughtering
operations. Its high concentration of N and P makes it interesting as an organic
fertiliser but its use in soil has been barely studied previously. In this work
four laboratory experiments were performed to study the influence of different
variables (MBM composition, rate of application, temperature of incubation and
the type of soil) on C mineralization dynamics of MBM in agricultural soils. The
total CO2-C evolved (as % of added C) after 2 weeks ranged between 10% and 20%.
The kinetics of mineralization were rapid, with C evolved as CO2 within the first
4 days representing more than 50% of total C mineralized. A linear correlation
was found between the rate of application (added-C) and CO2-C evolved (r2: 0.997;
P<0.001). A temperature coefficient (Q10) was used to assess the difference in
biological activity at 5 degrees C intervals. Q10, which ranged from 1.0 to 2.7
(250h), was higher for the lower temperature range (Q10 (15-20 degrees C)>Q10 (20
25 degrees C)) and it was found to be related to the soil properties. Finally,
the mineralization process was found to be highly dependent upon the different
soil factors, although no simple linear correlation was found between
mineralization and soil properties.
PMID- 18037286
TI - Imaging of colorectal cancer: introduction.
PMID- 18037287
TI - Pulmonary resections of single metastases from colorectal cancer.
AB - BACKGROUND: Surgical resection is the treatment of choice of pulmonary metastases
from colorectal cancer. We retrospectively reviewed our experience of pulmonary
resections of single metastases from colorectal cancer, in order to document
postoperative clinical outcome and survival. MATERIALS AND METHODS: In the years
1997-2007, in 23 patients we performed 26 curative resections of pulmonary
metastases from colorectal cancer (19 rectal and 7 colon; 12 males and 11
females; mean age 64.5 years). All patients had single lung metastasis. Three of
the 23 patients underwent re-resection of the lung for treatment of a subsequent
lung metastasis. Interval between resection of primary tumor and diagnosis of
lung metastasis (disease-free interval (DFI)) was >36 months in 19 patients (73%)
and was <36 months in 7 patients (27%). In 21 patients the metastases were
metachronous; in 2 patients metastases were synchronous with primary colorectal
cancer. The type of lung resection was wedge resection in 18 cases (70%);
lobectomy in 6 cases (23%); pneumonectomy in 2 cases (7%). Of the 18 wedge
resections, 12 (66%) were done thoracoscopically. After lung metastasectomy
patients were followed up for 5-121 months (median: 61 months). RESULTS: We had 1
early postoperative mortality (after re-resection) from cardiac complication
(3.8%). Postoperative morbidity (within 30 days) was observed in 7 cases (27%): 1
pneumonia, 1 empyema, 1 arrhythmia and 4 prolonged air leaks requiring chest
drainage >7 days. Median survival was 74 months (Kaplan-Meier). CONCLUSIONS:
Resection of single metachronous lung metastases from colorectal cancer has low
mortality and morbidity and in our experience it correlated with prolonged
postoperative survival. Re-resection of the lung for treatment of subsequent
metachronous metastases carries higher risk.
PMID- 18037288
TI - Purification and characterization of three novel keratinolytic metalloproteases
produced by Chryseobacterium indologenes TKU014 in a shrimp shell powder medium.
AB - A protease-producing bacterium was isolated and identified as Chryseobacterium
indologenes TKU014. The optimized condition for protease production was found
when the culture was shaken at 30 degrees C for one day in 50 mL of medium
containing 0.5% shrimp shell powder (w/v), 0.1% K(2)HPO(4), and 0.05%
MgSO(4).7H(2)O. Three extracellular proteases (P1, P2, and P3) were purified from
culture by DEAE-Sepharose and Phenyl Sepharose chromatography. Three enzymes all
showed activities of keratinase and elastase with molecular weights of 56, 40, 40
kDa, respectively. The inhibitory effect of metal chelator EDTA and Zn-specific
chelator 1,10-phenanthroline characterized three enzymes as Zn-metalloproteases.
Peptide mass fingerprints of P1, P2, and P3 were determined by using liquid
chromatography-tandem mass spectrometry (LC-MS/MS). Similarity search in the NCBI
non-redundant protein sequence database revealed that three enzymes exhibited no
significant homology to any other reported microbial peptides. Therefore, P1, P2,
and P3 are most likely novel proteins.
PMID- 18037289
TI - Determination of methanol in biodiesel by headspace solid phase microextraction.
AB - A new direct gas chromatography procedure (headspace solid phase microextraction)
was developed for the quantitative determination of methanol in biodiesel. The
analysis was performed by exposing a carboxen-polydimethylsiloxane SPME fiber
assembly to the headspace of the biodiesel sample. The gas chromatography used a
HP-5 capillary column and flame ionization detection. A polynomial relationship
was observed between the methanol concentration and its peak area. This method
showed good reproducibility (average relative standard deviation 7.06%) and
recovery (average recovery 100.2%).
PMID- 18037290
TI - Isothiazolidinone inhibitors of PTP1B containing imidazoles and imidazolines.
AB - The structure-based design and synthesis of isothiazolidinone (IZD) inhibitors of
PTP1B containing imidazoles and imidazolines and their modification to interact
with the B site of PTP1B are described here. The X-ray crystal structures of 3I
and 4I complexed with PTP1B were solved and revealed the inhibitors are
interacting extensively with the B site of the enzyme.
PMID- 18037291
TI - Functionalization of the 4,4-difluoro-4-bora-3a,4a-diaza-s-indacene (BODIPY)
core.
AB - The new BODIPY systems 1 and 2 were prepared and then used as substrates to
explore S(N)Ar and F-B displacement reactions. Chloride was easily displaced from
1 by a piperidine/ester, methylmagnesium bromide selectively displaced fluoride,
and cyanide could attack both sites. System 2 readily added soft nucleophiles to
the electrophilic carbon atoms, providing a new method for bioconjugation of
BODIPYs to proteins while also introducing a (19)F probe.
PMID- 18037292
TI - Role of gemcitabine in metastatic breast cancer patients: a short review.
AB - Many active cytotoxic drugs, given according to a number of different regimens
are approved for the treatment of metastatic breast cancer patients. However,
these therapies have not changed the outcome of patients affected by this
malignancy. As a consequence, the balance between chemotherapy-induced side
effects and relief of cancer-related symptoms must be carefully considered in
this setting. Gemcitabine is an antimetabolite that is incorporated as a
triphosphate into DNA. As a single agent, it yields responses rates ranging from
14% to 37% in chemotherapy-naive patients and from 12% to 30% in patients
previously treated with anthracyclines and/or taxanes. In combination with
paclitaxel, it produces a significantly higher response rate (41.4% vs. 26.2%),
longer time to progression (6.1 vs. 4 months) and significantly higher overall
survival (18.6 vs. 15.8 months) than paclitaxel alone. In addition, a phase III
study revealed that gemcitabine plus docetaxel is as effective as capecitabine
plus docetaxel, but causes significantly less non-haematologic toxicity. Lastly,
in another phase III trial, progression free survival was significantly longer
with the combination of gemcitabine plus vinorelbine than with vinorelbine alone
(6 vs. 4 months), but without a significant difference in overall survival; the
incidence of haematologic toxicity was higher in the group treated with combined
therapy. Novel gemcitabine combinations are being investigated in phase II
studies.
PMID- 18037293
TI - Vitamin D supplementation and response to aromatase inhibitors in postmenopausal
women with hormone-receptor positive breast cancer.
PMID- 18037294
TI - Biomechanical investigation of ambulatory training in patients with acetabular
dysplasia.
AB - The purpose of this study was to investigate the effectiveness and safety of
ambulatory training in patients with acetabular dysplasia. To achieve this, we
studied the hip joint moment in subjects walking with laterally and horizontally
elevated arms and changing speeds as a form of training to strengthen hip joint
abductor muscles. We studied eight women with pre- or early stage hip disease
(center-edge angle of Wieberg 18.5 degrees to -3.0 degrees ) and six healthy
women. In exercise task 1 the subjects walked at a rate of 90 steps/min, with
abduction of 90 degrees in the shoulder joint ipsilateral or contralateral to the
affected hip joint, and either no load or a 1 kg weight in either hand. In
exercise task 2, walking speed was changed in three stages from 60 steps/min (s
gait), 90 steps/min (n-gait), and 120 steps/min (f-gait), with both hands
swinging freely. Using results from a three-dimensional motion analysis system,
the hip joint moments were calculated. In both the healthy and the acetabular
dysplasia groups, the abduction moment of the hip joint decreased significantly
with ipsilateral elevation and increased significantly with contralateral
elevation. There was no significant change in hip flexion moment in either group.
The hip extension moment decreased significantly with contralateral elevation,
but no significant changes were seen in ipsilateral elevation. In the walking
rate variation, the extension hip moment in fast gait was higher than in slow
gait. It was concluded that ambulatory training with contralateral horizontal arm
elevation may be an effective way of increasing hip joint abductor muscle
strength. Ipsilateral arm elevation decreases gluteus medius muscle tension and
is an effective way of ambulatory training for people with compensated
trendelenburg gait. Variable speed walking is an effective exercise method that
can strengthen extensor muscles. Therefore, these ambulatory training methods are
useful for acetabular dysplasia patients.
PMID- 18037295
TI - Partial spondylectomy: modification for lateralized malignant spinal column
tumors of the cervical or lumbosacral spine.
AB - Total en bloc spondylectomy is a useful technique in treating primary and
secondary spinal malignancies, but requires extensive instrumentation to achieve
difficult fusions, and requires extensive exposure of neurovascular structures
that poses additional risk of nerve root and vascular injury. More limited
resections may reduce these risks, especially in the cervical or lumbosacral
spine. We report a technique used in two patients with lateralized primary
vertebral tumors of the cervical or lumbosacral spine where tumor removal was
achieved through a partial spondylectomy. The advantages of a partial
spondylectomy included: (i) avoidance of injuring contralateral neurovascular
structures during exposure; and (ii) supplementation of instrumentation by
additional fixation at the level of spondylectomy. Partial spondylectomy can be
an alternative to total en bloc spondylectomy in properly selected patients with
lateralized encapsulated malignant spinal tumors and may be performed in the
cervical or lumbosacral spinal regions.
PMID- 18037296
TI - Contribution of DNA repair mechanisms to determining chemotherapy response in
high-grade glioma.
AB - Despite the existence of a well described, succinct pathological grading system
for gliomas, tumour behaviour between individual patients varies widely. In
addition, predictors of response to treatment in glioblastoma multiforme are
lacking. The majority of chemotherapeutic agents currently employed exert their
effect on DNA. As our understanding of DNA repair mechanisms improves and
predictive markers are elucidated, this may allow treating clinicians to
individualise treatment based on molecular markers. This review examines
important DNA repair mechanisms and their application to glioblastoma multiforme.
By improving understanding of these mechanisms, and particularly the variations
that occur between tumours and individuals, it may be possible to adapt treatment
to maximise effectiveness and minimise toxicity.
PMID- 18037297
TI - Properties of flaky affinity resin with co-continuous structure.
AB - A new type of flaky affinity resin for capture of the target proteins was
prepared to discuss its properties compared with those of a particulate affinity
resin. The resin prepared had totally co-continuous structure (monolith) and was
utilized in the shape of flake. The concentration of surface amino groups for
immobilization of ligand was determined to be 22.3 micromol/ml. Immobilizations
of ligand such as Sulfonamide, Ketoprofen, Captopril, or Methotrexate (MTX) on
the affinity resin were quantitatively proceeded to afford fully covered (100%)
affinity resins. Control of the immobilization rate of affinity resin using
Sulfonamide or Ketoprofen was successfully achieved with the calculated
immobilization rate. The flaked shape of affinity resin (100-400 microm)
presumably simplified affinity experimental procedures and the affinity resin
immobilizing Sulfonamide effectively captured one of the target proteins, CAII,
without non-specifically bound proteins. The observed properties of the flaky
affinity resin as well as ease in handling are really useful for capture of the
target proteins of possible rare ligands.
PMID- 18037298
TI - Corrugoside, a new immunostimulatory alpha-galactoglycosphingolipid from the
marine sponge Axinella corrugata.
AB - Corrugoside (1a), a new immunostimulatory triglycosilated alpha
galactoglycosphingolipid, was isolated from the marine sponge Axinella corrugata,
and its structure determined by spectral analysis and chemical degradation.
Compound 1a activated murine NKT cells in vitro, with a potency of about 2 logs
lower than that of alphaGalCer. Four stereoisomeric glycosphingolipids (2a-2d)
were also obtained, beta-glucosylceramides bearing unusual endoperoxide and
allylic hydroperoxide functionalities on the sphinganine chain. They were shown
to be photooxidation artifacts of the known glycosphingolipids 3, also present in
the sponge. A possible role of compound 3 as a singlet oxygen scavenger to
protect the organism from oxidative damage is proposed.
PMID- 18037300
TI - Toward the development of chemoprevention agents (III): synthesis and anti
inflammatory activities of a new class of 5-glycylamino-2-substituted-phenyl-1,3
dioxacycloalkanes.
AB - A new series of 5-glycylamino-2-substituted-phenyl-1,3-dioxacycloalkanes were
designed and synthesized. The anti-inflammatory activities of these compounds
were tested using the xylene-induced mouse ear edema model. Sixteen of these new
compounds exhibited comparable or better anti-inflammatory activities than
aspirin suggesting that they can be further developed as potential anti
inflammatory drug leads. In addition, treatment with these anti-inflammatory
agents did not prolong tail bleeding time in mice. The structure/activity
relationships were also analyzed among these compounds. Considering their good
efficacy and safety profiles, some 5-glycylamino-2-substituted-phenyl-1,3
dioxacycloalkanes are worthy to be explored further in assessing the possible
link between anti-inflammation and cancer prevention.
PMID- 18037299
TI - Influence of heterocyclic and oxime-containing farnesol analogs on quorum sensing
and pathogenicity in Candida albicans.
AB - A series of synthetic molecules combining a geranyl backbone with a heterocyclic
or oxime head group are quorum-sensing molecules that block the yeast to mycelium
transition in the dimorphic fungus Candida albicans. A number of the analogs have
an IC50 10 microM, a level of potency essentially identical to the natural quorum
sensing signal, the sesquiterpene farnesol. Two of the most potent analogs,
neither toxic toward healthy mice, display remarkably different effects when co
administered with C. albicans. While neither offers protection from candidiasis,
one analog mimics farnesol in acting as a virulence factor, whereas the other has
no effect. The results offer the first example of highly potent synthetic fungal
quorum-sensing molecules, and provide the first evidence for the ability to
decouple quorum sensing and virulence.
PMID- 18037301
TI - Synthesis, metal ion binding, and biological evaluation of new anticancer 2-(2'
hydroxyphenyl)benzoxazole analogs of UK-1.
AB - UK-1 is a bis(benzoxazole) natural product displaying activity against a wide
range of human cancer cell lines. A simplified analog of UK-1, 4-carbomethoxy-2
(2'-hydroxyphenyl)benzoxazole, was previously found to be almost as active as UK
1 against cancer cell lines, and similar to the natural product, formed complexes
with a variety of metal ions such as Mg2+ and Zn2+. A series of 4-substituted-2
(2'-hydroxyphenyl)benzoxazole analogs of this 'minimal pharmacophore' of UK-1
were prepared. The anti-cancer activity of these analogs was examined in breast
and lung cancer cell lines. Spectrophotometric titrations in methanol were
carried out in order to assess the ability of UK-1 and these analogs to
coordinate with Mg2+ and Cu2+ ions. Although none of the new analogs were more
cytotoxic than 4-carbomethoxy-2-(2'-hydroxyphenyl)benzoxazole, some analogs were
identified that display similar cytotoxicity to this simplified UK-1 analog with
improved water solubility. UK-1 and all of these new analogs bind Cu2+ ions
better than Mg2+ ions, and the nature of the 4-substituent is important for the
Mg2+ ion binding ability of these 2-(2'-hydroxyphenyl)benzoxazoles. Previous
studies of a limited number of UK-1 analogs demonstrated a correlation between
Mg2+ ion binding ability and cytotoxicity; however, within this series of 4
substituted-2-(2'-hydroxyphenyl)benzoxazoles the variations in cytotoxicity do
not correlate with either Mg2+ or Cu2+ ion binding ability. These results,
together with recent ESI-MS studies of Cu2+-mediated DNA binding by UK-1 and
analogs, indicate that UK-1 and analogs may exert their cytotoxic effects by
interaction with Cu2+ or other transition metal ions, rather than Mg2+, and that
metal ion-mediated DNA binding, rather than metal ion binding affinity, is
important for the cytotoxic effect of these compounds. The potential role of Cu2+
ions in the cytotoxic action of UK-1 is further supported by the observation that
UK-1 in the presence of Cu2+ displays enhanced cytotoxicity to MCF-7 and A549
cells when compared to UK-1 alone.
PMID- 18037302
TI - Design of azidoproline containing gluten peptides to suppress CD4+ T-cell
responses associated with celiac disease.
AB - Celiac disease is an intestinal disease caused by intolerance for gluten, a
common protein in food. A life-long gluten-free diet is the only available
treatment. As it is well established that the interaction between proline-rich
gluten derived peptides and the human HLA-DQ2 molecules induces immune responses
that lead to disease development, we have now designed a series of gluten
peptides in which proline residues were replaced by azidoprolines. These peptides
were found to bind to HLA-DQ2 with an affinity similar to that of the natural
gluten peptide. Moreover, some of these peptides were found to be non-immunogenic
and block gluten induced immune responses. These can thus serve as lead compounds
for the development of HLA-DQ2 blocker peptides.
PMID- 18037303
TI - Serial sectioning and three-dimensional reconstruction of mouse Peyer's patch.
AB - Peyer's patches (PPs) are typical gut-associated lymphoid tissues that are
located along the wall of the small intestine and that serve as the major sites
for generation of immunity to intestinal antigens. Their unique micro
organization is crucial for the generation of the immune response. Although many
studies have been reported on the functional anatomy of PP, most investigations
have relied on the random sampling of these organs, a procedure that is
insufficient for the systemic scanning of the whole tissue or organ. By combining
a variety of methods, we have accomplished 3D reconstructions of Peyer's patch.
The complex reconstruction procedure includes several steps. First, the PP are
serially sectioned at a thickness of 10 microm with a cryostat; (b) the serial
sections are stained with haematoxylin-eosin; (c) multiple images from the PP are
acquired with an automatic microscope and stitched together with Image Pro Plus
to generate a composite image for the whole organ; (d) the serial images are
reconstructed with Image J, Reconstruct and 3D Studio Max. The combinational
approaches that we present here should be of value when extrapolated to the
reconstruction of other tissues or organs. Moreover, the 3D model that we have
created and our stereological analysis should be extremely helpful for further in
vivo microscopic studies of PP with respect to the immune response.
PMID- 18037304
TI - Visualization of micromorphology of leaf epicuticular waxes of the rubber tree
Ficus elastica by electron microscopy.
AB - Ultrastructural aspects of leaf epicuticular waxes were investigated in Ficus
elastica by scanning and transmission electron microscopy. Glossy leaves of the
rubber tree were collected and subjected to different regimes of specimen
preparation for surface observations. F. elastica leaves were hypostomatic and
stomata were surrounded with a cuticular thickening that formed a rim. The most
prominent epicuticular wax structures of F. elastica leaves included granules and
platelets. Without fixation and metal coating, epicuticular wax structures could
be discerned on the leaf surface by low-vacuum (ca. 7 Pa) scanning electron
microscopy. In terms of delineation and retention of the structures, the
combination of vapor fixation by glutaraldehyde and osmium tetroxide with
subsequent gold coating provided the most satisfactory results, as evidenced by
high resolution and sharp protrusions of epicuticular waxes. However, erosion of
epicuticular wax edges was noted in the immersion fixed leaves, showing less
elongated platelets, less distinct wax edges, and granule cracking. These results
suggest that the vapor fixation procedure for demonstrating three-dimensional
epicuticular wax structures would facilitate characterization of diverse types of
waxes. Instances were noted where epicuticular waxes grew over neighboring
epidermal ridges and occluded stomata. In cross sections, epicuticular waxes were
observed above the cuticle proper and ranged approximately from 100 nm to 500 nm
in thickness. The native leaf epicuticular waxes had many layers of different
electron density that were oriented parallel to each other and parallel or
perpendicular to the cuticle surface, implying strata of crystal growth. Such
retention of native epicuticular wax structures could be achieved through the use
of acrylic resin treated with less harsh dehydrants and mild heat polymerization,
alleviating wax extraction during specimen preparations.
PMID- 18037305
TI - Quantitative electron energy-loss spectroscopy (EELS) analyses of lead zirconate
titanate.
AB - Electron energy-loss spectroscopy (EELS) analyses have been performed on a sol
gel deposited lead zirconate titanate film, showing that EELS can be used for
heavy as well as light element analysis. The elemental distributions within the
sol-gel layers are profiled using the Pb N(6,7)-edges, Zr M-edges, Ti L-edges and
O K-edge. A multiple linear least squares fitting procedure was used to extract
the Zr signal which overlaps with the Pb signal. Excellent qualitative
information has been obtained on the distribution of the four elements. The non
uniform and complementary distributions of Ti and Zr within each sol-gel
deposited layer are observed. The metal:oxygen elemental ratios are quantified
using experimental standards of PbTiO(3), PbZrO(3), ZrO(2) and TiO(2) to provide
relevant cross-section ratios. The quantitative results obtained for Ti/O and
Pb/O are very good but the Zr/O results are less accurate. Methods of further
improving the results are discussed.
PMID- 18037306
TI - Isolation and characterization of multiple abundant lipid transfer protein
isoforms in developing sesame (Sesamum indicum L.) seeds.
AB - Sesame (Sesamum indicum) is an important oilseed crop; approximately 50% of the
seed dry weight is storage oil. In a previous report, developing sesame seed
expressed sequence tags (ESTs) revealed that ESTs encoding lipid transfer protein
(LTPs) were one of the most abundant groups of sesame ESTs. LTP functions in the
transfer of wax or cutin monomers and in the defense response against pathogen
attack. To study the biological role of the abundant LTP isoforms in developing
seeds, 122 ESTs out of 3328 sesame ESTs were analyzed against Arabidopsis and
rice proteome databases. LTP fraction, which was partially purified from
developing sesame seeds, actively transferred fluorescent phospholipids and bound
to fatty acids. Full-length cDNAs of five out of 21 LTP isoforms were isolated
and named SiLTP1-SiLTP5. The predicted amino acid sequences of the five SiLTPs
harbor typical characteristics of LTPs, including conserved arrangement of
cysteine residues. Northern blot analysis revealed that the five SiLTP isoforms
were most abundantly expressed in developing seeds, but were also detected in
flower tissues. Also, SiLTP3 and SiLTP4 transcripts were expressed in leaves and
seed-pot walls, respectively. In addition, SiLTP2 and SiLTP4 transcripts were
significantly induced in 6-day-old sesame seedlings by application of NaCl,
mannitol, and abscisic acid (ABA). Transient expression of green fluorescent
protein (GFP)-fusion constructs in Arabidopsis protoplasts revealed that SiLTP1
and SiLTP2 were secreted by different pathways. Taken together, the abundant LTPs
in developing sesame seeds are involved in lipid transfer into the extracellular
matrix. Possible biological roles of SiLTPs related to organ-specific expression
and abiotic stresses are discussed.
PMID- 18037308
TI - Differences among lower leg muscles in long-term activity during ambulatory
condition without any moderate to high intensity exercise.
AB - The present study aimed to investigate differences among the soleus (Sol), medial
gastrocnemius (MG) and tibialis anterior (TA) in electromyogram (EMG) activities
during ambulatory condition without any moderate to high intensity exercise. From
10:00 to 17:00, seven healthy graduate students participated in EMG recordings,
which included the measurements during maximal voluntary efforts. During the long
term EMG recoding, the subjects were instructed to perform normal daily routines,
including desk work and the attendance of lectures. EMG signals from the three
muscles were averaged every 0.1s and expressed as a percentage (%MVE) of those
obtained with maximal voluntary efforts, averaged over 1s. An EMG burst which had
an amplitude >2%MVE and a duration >0.1s was defined as muscular activity.
Regardless of muscles examined, the amplitude of the greater part of all bursts
observed over the recording time was less than 30%MVE. The summed duration of all
bursts over the recording time was significantly greater in Sol than in MG and
TA, without a significant difference in the summed number of all bursts among the
three muscles. The percentage of the summed duration of bursts at less than
10%MVE to that over the recording time was significantly higher in Sol and TA
than in MG, but the corresponding value at 20< or =%MVE<30 was lower. Thus, EMG
responses during ambulatory condition without any moderate to high intensity
exercise differed among the three muscles, even between synergists: Sol was
predominantly activated with low burst amplitudes as compared to MG.
PMID- 18037309
TI - Immediate effects of co-contraction training on motor control of the trunk
muscles in people with recurrent low back pain.
AB - Although deficits in the activation of abdominal muscles are present in people
with low back pain (LBP), this can be modified with motor training. Training of
deep abdominal muscles in isolation from the other trunk muscles, as an initial
phase of training, has been shown to improve the timing of activation of the
trained muscles, and reduce symptoms and recurrence of LBP. The aim of this study
was to determine if training of the trunk muscles in a non-isolated manner can
restore motor control of these muscles in people with LBP. Ten subjects with non
specific LBP performed a single session of training that involved three tasks:
"abdominal curl up", "side bridge" and "birdog". Electromyographic activity (EMG)
of trunk and deltoid muscles was recorded with fine-wire and surface electrodes
during rapid arm movements and walking, before and immediately following the
intervention. Onset of trunk muscle EMG relative to that of the prime mover
(deltoid) during arm movements and the mean, standard deviation (SD) and
coefficient of variation of abdominal muscle EMG during walking were calculated.
There was no significant change in the times of onset of trunk muscle EMG during
arm movements nor was there any change in the variability of EMG of the abdominal
muscles during walking. However, the mean amplitude and SD of abdominal EMG was
reduced during walking after training. The results of this study suggest that
unlike isolated voluntary training, co-contraction training of the trunk muscles
does not restore the motor control of the deep abdominal muscles in people with
LBP after a single session of training.
PMID- 18037310
TI - Construction of a 3D probabilistic atlas of human cortical structures.
AB - We describe the construction of a digital brain atlas composed of data from
manually delineated MRI data. A total of 56 structures were labeled in MRI of 40
healthy, normal volunteers. This labeling was performed according to a set of
protocols developed for this project. Pairs of raters were assigned to each
structure and trained on the protocol for that structure. Each rater pair was
tested for concordance on 6 of the 40 brains; once they had achieved reliability
standards, they divided the task of delineating the remaining 34 brains. The data
were then spatially normalized to well-known templates using 3 popular
algorithms: AIR5.2.5's nonlinear warp (Woods et al., 1998) paired with the
ICBM452 Warp 5 atlas (Rex et al., 2003), FSL's FLIRT (Smith et al., 2004) was
paired with its own template, a skull-stripped version of the ICBM152 T1 average;
and SPM5's unified segmentation method (Ashburner and Friston, 2005) was paired
with its canonical brain, the whole head ICBM152 T1 average. We thus produced 3
variants of our atlas, where each was constructed from 40 representative samples
of a data processing stream that one might use for analysis. For each
normalization algorithm, the individual structure delineations were then
resampled according to the computed transformations. We next computed averages at
each voxel location to estimate the probability of that voxel belonging to each
of the 56 structures. Each version of the atlas contains, for every voxel,
probability densities for each region, thus providing a resource for automated
probabilistic labeling of external data types registered into standard spaces; we
also computed average intensity images and tissue density maps based on the three
methods and target spaces. These atlases will serve as a resource for diverse
applications including meta-analysis of functional and structural imaging data
and other bioinformatics applications where display of arbitrary labels in
probabilistically defined anatomic space will facilitate both knowledge-based
development and visualization of findings from multiple disciplines.
PMID- 18037311
TI - Who was the first to use the term Pickwickian in connection with sleepy patients?
History of sleep apnoea syndrome.
AB - The symptoms and characteristics of sleep apnoea syndrome--excessive daytime
sleepiness, loud snoring, restless and non-restorative sleep--are so impressive
that it is difficult to understand why its recognition was delayed until the
1970s. The Centennial book of the American Thoracic Society credited Sidney
Burwell for the discovery of Obstructive Sleep Apnoea Syndrome. This is only one
of the many mistakes and misattributions regarding the history of sleep apnoea
syndrome. The earliest descriptions of patients who presumably suffered from
sleep apnoea were made in the 19th century. The term "Pickwickian" in connection
with sleepy patients was introduced in 1889. The first electrophysiological sleep
recordings of Pickwickian patients and the understanding of the syndrome as
disordered breathing in sleep, were made during the late 1950s and 1960s. Its
recognition as a public health problem was facilitated by Young et al.'s [Young
T, Palta M, Dempsey J, et al. The occurrence of sleep-disordered breathing among
middle-aged adults. N Engl J Med 1993;328:1230-5] seminal paper documenting the
prevalence of the syndrome in the general population, and by the accumulated
evidence that the syndrome is a major cardiovascular risk factor. Bibliometric
analysis of the literature on sleep apnoea reveals that future research will
focus on the long-term outcomes of the syndrome, on the effects of treatment, and
on the underlying mechanisms linking it with cardiovascular morbidity.
PMID- 18037312
TI - Characterisation of the acute and reversible airway inflammation induced by
cadmium chloride inhalation in healthy dogs and evaluation of the effects of
salbutamol and prednisolone.
AB - The aims of this study were firstly to characterise a model of subclinical and
reversible bronchial inflammation induced by cadmium chloride inhalation in
healthy dogs and then to examine the effect of prednisolone or salbutamol
treatment on the resulting bronchitis. The model characterisation and the effects
of treatment were studied using clinical symptoms, haematology, thoracic
radiography, bronchoscopy and bronchoalveolar lavage, barometric whole-body
plethysmography and histamine broncho-provocation tests. In addition, the
activity of matrix metalloproteinases (MMP)-2 and -9 were determined in serum and
bronchoalveolar lavage fluid (BALF). Cadmium inhalation induced: (1) a transient
bronchial inflammation, dominated by neutrophils; (2) a neutrophilia of the blood
that persisted for up to 4 weeks; (3) a transient increased bronchial reactivity,
and (4) a significant increase in MMP-9 activity in the BALF. Prednisolone
treatment reduced the influx of inflammatory cells into the BALF, but not
significantly, had no effect on pulmonary function, and did not reduce of airway
hypersensitivity. Salbutamol had almost no effect on any of the parameters
investigated.
PMID- 18037313
TI - In vivo lung morphometry with hyperpolarized 3He diffusion MRI: theoretical
background.
AB - MRI-based study of (3)He gas diffusion in lungs may provide important information
on lung microstructure. Lung acinar airways can be described in terms of
cylinders covered with alveolar sleeve [Haefeli-Bleuer, Weibel, Anat. Rec. 220
(1988) 401]. For relatively short diffusion times (on the order of a few ms) this
geometry allows description of the (3)He diffusion attenuated MR signal in lungs
in terms of two diffusion coefficients-longitudinal (D(L)) and transverse (D(T))
with respect to the individual acinar airway axis [Yablonskiy et al., PNAS 99
(2002) 3111]. In this paper, empirical relationships between D(L) and D(T) and
the geometrical parameters of airways and alveoli are found by means of computer
Monte Carlo simulations. The effects of non-Gaussian signal behavior (dependence
of D(L) and D(T) on b-value) are also taken into account. The results obtained
are quantitatively valid in the physiologically important range of airway
parameters characteristic of healthy lungs and lungs with mild emphysema. In
lungs with advanced emphysema, the results provide only "apparent"
characteristics but still could potentially be used to evaluate emphysema
progression. This creates a basis for in vivo lung morphometry-evaluation of the
geometrical parameters of acinar airways from hyperpolarized (3)He diffusion MRI,
despite the airways being too small to be resolved by direct imaging. These
results also predict a rather substantial dependence of (3)He ADC on the
experimentally-controllable diffusion time, Delta. If Delta is decreased from 3
ms to 1 ms, the ADC in normal human lungs may increase by almost 50%. This effect
should be taken into account when comparing experimental data obtained with
different pulse sequences.
PMID- 18037314
TI - Electron spin relaxation enhancement measurements of interspin distances in
human, porcine, and Rhodobacter electron transfer flavoprotein-ubiquinone
oxidoreductase (ETF-QO).
AB - Electron transfer flavoprotein-ubiquinone oxidoreductase (ETF-QO) is a membrane
bound electron transfer protein that links primary flavoprotein dehydrogenases
with the main respiratory chain. Human, porcine, and Rhodobacter sphaeroides ETF
QO each contain a single [4Fe-4S](2+,1+) cluster and one equivalent of FAD, which
are diamagnetic in the isolated enzyme and become paramagnetic on reduction with
the enzymatic electron donor or with dithionite. The anionic flavin semiquinone
can be reduced further to diamagnetic hydroquinone. The redox potentials for the
three redox couples are so similar that it is not possible to poise the proteins
in a state where both the [4Fe-4S](+) cluster and the flavoquinone are fully in
the paramagnetic form. Inversion recovery was used to measure the electron spin
lattice relaxation rates for the [4Fe-4S](+) between 8 and 18K and for
semiquinone between 25 and 65K. At higher temperatures the spin-lattice
relaxation rates for the [4Fe-4S](+) were calculated from the temperature
dependent contributions to the continuous wave linewidths. Although mixtures of
the redox states are present, it was possible to analyze the enhancement of the
electron spin relaxation of the FAD semiquinone signal due to dipolar interaction
with the more rapidly relaxing [4Fe-4S](+) and obtain point-dipole interspin
distances of 18.6+/-1A for the three proteins. The point-dipole distances are
within experimental uncertainty of the value calculated based on the crystal
structure of porcine ETF-QO when spin delocalization is taken into account. The
results demonstrate that electron spin relaxation enhancement can be used to
measure distances in redox poised proteins even when several redox states are
present.
PMID- 18037315
TI - In silico screening against wild-type and mutant Plasmodium falciparum
dihydrofolate reductase.
AB - Modeling studies were performed on known inhibitors of wild-type as well as
quadruple mutant Plasmodium falciparum dihydrofolate reductase (DHFR). GOLD was
used to dock 31 pyrimethamine derivatives into the active site of DHFR obtained
from the X-ray crystal structures 1J3I.pdb and 1J3K.pdb. Predicted binding
affinities from a scoring function were analyzed and evaluated in order to
develop criteria for selecting compounds having a greater chance of activity
versus wild-type and resistant strains of P. falciparum for future high
throughput screening experiments.
PMID- 18037316
TI - Influence of oral contraceptive use on growth hormone in vivo bioactivity
following resistance exercise: responses of molecular mass variants.
AB - The purpose was to examine effects of oral contraceptive (OC) use on plasma
growth hormone (GH) responses to heavy resistance exercise. Sixty untrained women
were placed into one of two groups: currently using OC (Ortho Tri-Cyclen) (n=25;
mean+/-SD: 24.5+/-4.2y, 160.4+/-7.1cm, 64.1+/-11.3kg) or not currently using OC
(NOC) (n=35; 23.6+/-4.6y, 165.9+/-6.0cm, 65.7+/-10.3kg). Participants performed
an acute heavy resistance exercise test (AHRET; six sets of 10 repetition squats;
2min rest between sets) during days 2-4 of the follicular phase (NOC group) or of
inactive oral contraceptive intake (OC group). Plasma was obtained before and
immediately after AHRET and subsequently fractionated based on apparent molecular
weight (>60kD, 30-60kD, and <30kD). GH was determined in unfractionated plasma
and each plasma fraction using 4 methods: (1) Nichols Institute Diagnostics
immunoradiometric assay (Nichols), (2) National Institute of Diabetes and
Digestive Kidney Diseases (NIDDK) competitive radioimmunoassay, (3) DSL
immunofunctional enzyme-linked immunoabsorbent assay (IFA) and (4) rat tibial
line bioassay. GH increased (P<0.05) in all fractions post-AHRET for the Nichols,
NIDDK, and IFA. The OC group displayed higher resting GH for the NIDDK, and
higher exercise-induced GH for the IFA, Nichols, and NIDDK in unfractionated
plasma and >60kD subfraction compared to NOC group. No differences were observed
for the tibial line bioassay. OC use augmented immunological GH response to AHRET
in unfractionated plasma and >60kD molecular weight subfraction. However, OC use
only increased biological activity of GH in one of two bioassays. These data
demonstrated that GH concentrations at rest and following exercise are assay
dependent.
PMID- 18037317
TI - Females with Fabry disease frequently have major organ involvement: lessons from
the Fabry Registry.
AB - Fabry disease (FD) is an X-linked lysosomal storage disease caused by alpha
galactosidase A deficiency. The Fabry Registry is a global clinical effort to
collect longitudinal data on FD. In the past, most "carrier" females were usually
thought to be clinically unaffected. A systematic effort has been made to enroll
all FD females, regardless of symptomology. Of the 1077 enrolled females in the
Registry, 69.4% had symptoms and signs of FD. The median age at symptom onset
among females was 13 years, and even though 84.1% had a positive family history,
the diagnosis was not made until a median age of 31 years. Twenty percent
experienced major cerebrovascular, cardiac, or renal events, at a median age of
46 years. Among adult females with estimated glomerular filtration rate (eGFR)
data (N=638), 62.5% had an eGFR <90 ml/min/1.73 m2 and 19.0% had eGFR <60
ml/min/1.73 m2. Proteinuria 300 mg/day was present in 39.0% of females, and 22.2%
had >1 gram/day. Quality of life (QoL), as measured by the SF-36((R)) survey, was
impaired at a later age than in males, but both genders experience significantly
impaired QoL from the third decade of life onward. Thus, females with FD have a
significant risk for major organ involvement and decreased QoL. Females should be
regularly monitored for signs and symptoms of FD, and considered for enzyme
replacement therapy.
PMID- 18037318
TI - [Microtitration of anti-RH1 antibodies: interest in the follow-up of pregnant
women].
AB - The proposal for a 300 microg anti-RH1 injection at 28 GW by RH:-1 pregnant women
complicates the interpretation of the screening for alloantibodies during
pregnancy; to distinguish an alloantibody from a passive one is nevertheless
important for the care of the patients. Testing a technique allowing this
distinction seems thus necessary. MATERIAL AND METHODS: The technique of
microtitration of anti- RH1 antibodies is an indirect antiglobulin test. Two
hundred specimens were tested in comparison with a standard prepared from a
national anti- RH1 standard. If the anti- RH1 concentration measured is lower or
equal to the expected concentration, there is a passive antibody. If the
concentration is largely higher, we can suspect an allo-immunization. RESULTS:
With this technique, 38 alloanti- RH1 and 112 passive anti- RH1 antibodies were
confirmed. Twenty-five diagnosis were modified: seven alloanti- RH1 initially
labeled passive and 18 passive anti- RH1 previously considered as alloantibodies.
15 cases can not be concluded, because the blood sample was taking away too early
after the injection, and 10 cases are on standby, waiting for a control.
DISCUSSION: The microtitration is an important exam in the follow-up of the RH:-1
pregnant women when an anti-RH1 antibody is found. This exam should be offered
each time we have no information about the anti-D injection, or when an
incoherent reaction compared to the presumed date of injection is observed.
PMID- 18037319
TI - Recommendations of the French Society for Rheumatology regarding TNFalpha
antagonist therapy in patients with rheumatoid arthritis.
AB - OBJECTIVES: To update French Society for Rheumatology guidelines regarding the
use of TNFalpha antagonists for treating patients with rheumatoid arthritis (RA).
METHODS: Existing guidelines were updated using the AGREE instrument. Items that
required updating were selected by a task force, the relevant literature was
critically appraised, and new wording was suggested by a limited committee of
experts then validated by the task force and subsequently by a panel of external
reviewers. The three-topic structure of the recommendations (indication,
initiation, and adjustment) and the final algorithm format were maintained.
RESULTS: Of the 12 items, five were selected for updating; one pertained to the
indication for treatment with TNFalpha antagonists, two to treatment initiation,
and two to treatment adjustment. Of the four initially recommended criteria for
determining that TNFalpha antagonist therapy is indicated, the first three were
left unchanged (confirmed diagnosis of RA; active disease for more than 1month
with objective evidence of inflammation or progressive structural damage, or
dependency on glucocorticoid therapy, or progressive radiographic damage; and
failure to respond adequately to methotrexate - or another agent when
methotrexate is contraindicated - in the optimal tolerated dosage). The fourth
and last criterion was modified as follows: co-morbidities should be evaluated in
order to distinguish absolute contraindications from relative contraindications
that require referral to a specialist. Of the four initial recommendations about
TNFalpha antagonist initiation, the first and fourth were left unchanged (a
workup should be performed prior to treatment initiation, and the patient should
receive regular standardized follow-up); the second and third recommendations
were modified as follows: there is no evidence that one TNFalpha antagonist is
more effective than the others, and concomitant methotrexate therapy is generally
advisable, regardless of the TNFalpha antagonist used. Of the four
recommendations about treatment adjustment, the first two were modified as
follows: the goal of treatment is to achieve the EULAR response criteria or
better; and in non-responders, the dosage or dosing interval can be modified when
infliximab is used, methotrexate should be added when the TNFalpha antagonist is
used alone, and in all other situations the patient should be switched to a
different TNFalpha antagonist. The other two recommendations about treatment
adjustment were left unchanged (patients who fail to tolerate one TNFalpha
antagonist can be switched to another TNFalpha antagonist if allowed by the
nature of the adverse event; and when a remission is achieved, reduction or
discontinuation of symptomatic drugs - most notably glucocorticoids - is
appropriate, followed in the event of a prolonged remission by changes in the
dosage and/or dosing interval of the TNFalpha antagonist or concomitant disease
modifying drug). CONCLUSION: These recommendations are designed to help
practitioners optimize the use of TNFalpha antagonists in patients with RA seen
in everyday practice. They do not constitute regulations.
PMID- 18037320
TI - [How I perform...uterine artery ligation for postpartum haemorrhage].
PMID- 18037321
TI - [How I perform...hypogastric artery ligation for postpartum haemorrhage].
PMID- 18037322
TI - [Surgical management of peripartum hemorrhage: a retrospective study].
AB - OBJECTIVE: Peripartum haemorrhage is an obstetrical emergency and requests a life
saving procedure. The purpose of this study is to describe our experience with
the surgical management of peripartum haemorrhage. PATIENTS AND METHODS: We
performed a retrospective study including 16 patients who necessitated a surgical
management of peripartum haemorrhage (artery ligations, uterine compression
and/or emergency peripartum hysterectomy) between 1985 and 2007. RESULTS: The
incidence of surgical management of peripartum haemorrhage was 0.047%.
Conservative surgical management consisted in uterine compression sutures in
three cases. Artery ligations were performed without success in seven patients;
only one case of isolated utero-ovarian artery ligations was effective. An
emergency peripartum hysterectomy was necessary in 12 cases. Uterine atony was
the principal etiologic factor (43.8% of cases). There were no significant
perioperative complications. No maternal death was reported. DISCUSSION AND
CONCLUSION: In cases of non life-saving procedure, medical treatment and uterine
arteries embolisation are often sufficient. Uterine compression suturing
techniques are interesting alternatives and uterine arteries ligations can always
be performed before hysterectomy. In cases of failure of conservative treatment,
the emergency peripartum hysterectomy must be performed. Then, the choice
concerning the surgical technique for the management of peripartum haemorrhage
may be adapted to the patient, the centre and the obstetrical team.
PMID- 18037324
TI - [Prolegomena before any psychoanalytical approach to endometriosis. Reply to the
association EndoFrance about the article by J.-M. Louka].
PMID- 18037325
TI - [Fifteen practical questions concerning gestational diabetes. Reply to O. Picone
about the article by J.-C. Clay et al].
PMID- 18037326
TI - [Prolegomena before any psychoanalytical approach to endometriosis. Reply to Ph.
Collinet about the article by J.-M. Louka].
PMID- 18037327
TI - [Screening for aneuploidies in twins].
AB - The incidence of twins has dramatically increased in the last two decades. The
theorical risk of aneuploidy is higher than in singletons. Screening for
aneuploidies should be offered in all twin pregnancies. This review examines the
current methods and evidence regarding screening for fetal aneuploidies in twin
pregnancies.
PMID- 18037328
TI - Allele frequencies of a SNP and a 27-bp deletion that are the determinant of
earwax type in the ABCC11 gene.
AB - Allele frequencies for a SNP (rs17822931) and a 27-bp deletion that are the
determinant of earwax type in the ABCC11 gene were investigated in seven
Japanese, one Korean, and one German populations. The SNP will be useful as one
of ancestry information markers, because it showed marked difference in
frequencies between Asian and European populations.
PMID- 18037329
TI - Pulmonary thromboembolism: overview and update from medicolegal aspects.
AB - Pulmonary thromboembolism (PTE) has recently been the focus of research, with
special attention to its clinical and medicolegal aspects. Deep vein thrombosis
(DVT) is an important embolic source of PTE. We review the epidemiology, autopsy
findings, pathophysiology, and clinical issues related to PTE and DVT in Japan
based on our autopsy cases at the Tokyo Medical Examiner's Office.
PMID- 18037330
TI - Scuba-diving related deaths in Okinawa, Japan, from 1982 to 2007.
AB - We reviewed the autopsies of scuba-diving related deaths (SDRDs) that were
collected from April 1982 until March 2007. In the period under consideration, a
total of 40 SDRDs were registered, out of which 34 were males and 6 females. Ages
ranged from 19 to 65 years, with the average of 41.5 years (SD=12.9). Divers over
the age of 40 accounted for 60% of all fatalities. The major cause of death was
drowning (62.5%), followed by disease (28.5%). The average age for drowning and
disease-related deaths was 38.6 (SD=12.8) and 48.7 years (SD=10.1), respectively.
Of the 40 fatalities, 24 were beginners who had little or no experience. In this
study, we compared SDRDs in the first term, from April 1982 to March 1995, and in
the second term, from April 1995 to March 2007. The average age in the first and
second terms was 35.4 and 45.2 years, respectively; the average age for the
second term was 10 years older than the first. Of those in the first term, 13.3%,
and of those in the second term, 40.0%, died from complications arising from
already existing conditions. This study revealed that the onset of diseases
during diving frequently causes fatal accidents, especially for older divers.
PMID- 18037331
TI - Alteration of load sharing of anterior cervical implants with change in cervical
sagittal alignment.
AB - Anterior cervical discectomy and fusion (ACDF) is often supplemented with the
application of an anterior plate to improve the stability of the fusion segment.
While plate design has been shown to influence stress shielding of the graft,
little is known about how the kyphotic alignment of a fused cervical segment
affects the load sharing between the anterior plate and the osteoligamentous
structures of the spine. The aim of this study was to characterize load sharing
between an anterior plate and the osteoligamentous structures of the cervical
motion segments in kyphotic versus normal lordotic alignment following single
level ACDF using fresh ovine cervical spines (C3-C6). The loading protocol
involved preloading the spine with a 20 N compressive force and applying quasi
static moments (up to 2.1 Nm) in the sagittal plane to simulate flexion and
extension. Stiffness of the fusion segment was measured from the moment-rotation
plot. Normal lordotic alignment was replicated by insertion of a fibular
allograft 2 mm taller than the interbody space. Kyphotic alignment was simulated
by removing the graft and reapplying a shorter anterior cervical plate. The
average segmental sagittal angulation at C4-C5 was 5.2+/-1.6 degrees of lordosis
for the normal lordotic group and 6.8+/-2.3 degrees of kyphosis for the kyphotic
group. With flexion, the plate shared 52.8% of the applied load in the normal
lordotic group, and 70.1% in the kyphotic group (p<0.03). In extension, the
amount of load-share by the plate in the normal lordotic group was comparable to
that of the kyphotic group (52.7% vs. 40.7%, p=0.16). This study shows that
kyphotic alignment of the cervical fusion segment increases the load sharing of
the anterior plate under flexion loading.
PMID- 18037332
TI - Determinants of restorative experiences in everyday favorite places.
AB - The study was based on the answers to a mailed questionnaire of a simple random
sample of respondents from two cities (Helsinki, Tampere) in Finland. Ten
determinants of restorative experiences in favorite places (A.T
transitions and three A.T-->G.C transitions with no transversion. The findings
indicate a limited repertoire of amino acid substitutions by classical NTG
mutagenesis and thus raise a new possibility of further improving industrial
strains by optimizing key mutations through PCR-mediated site-directed
mutagenesis.
PMID- 18037339
TI - Genetic polymorphisms and micronucleus formation: a review of the literature.
AB - The formation of micronuclei (MN) is extensively used in molecular epidemiology
as a biomarker of chromosomal damage, genome instability, and eventually of
cancer risk. The occurrence of MN represents an integrated response to chromosome
instability phenotypes and altered cellular viabilities caused by genetic defects
and/or exogenous exposures to genotoxic agents. The present article reviews human
population studies addressing the relationship between genetic polymorphisms and
MN formation, and provides insight into how genetic variants could modulate the
effect of environmental exposures to genotoxic agents, host factors (gender,
age), lifestyle characteristics (smoking, alcohol, folate), and diseases
(coronary artery disease, cancer). Seventy-two studies measuring MN frequency
either in peripheral blood lymphocytes or exfoliated cells were retrieved after
an extensive search of the MedLine/PubMed database. The effect of genetic
polymorphisms on MN formation is complex, influenced to a different extent by
several polymorphisms of proteins or enzymes involved in xenobiotic metabolism,
DNA repair proteins, and folate-metabolism enzymes. This heterogeneity reflects
the presence of multiple external and internal exposures, and the large number of
chromosomal alterations eventually resulting in MN formation. Polymorphisms of
EPHX, GSTT1, and GSTM1 are of special importance in modulating the frequency of
chromosomal damage in individuals exposed to genotoxic agents and in unexposed
populations. Variants of ALDH2 genes are consistently associated with MN
formation induced by alcohol drinking. Carriers of BRCA1 and BRCA2 mutations
(with or without breast cancer) show enhanced sensitivity to clastogens. Some
evidence further suggests that DNA repair (XRCC1 and XRCC3) and folate-metabolism
genes (MTHFR) also influence MN formation. As some of the findings are based on
relatively small numbers of subjects, larger scale studies are required that
include scoring of additional endpoints (e.g., MN in combination with fluorescent
in situ hybridization, analysis of nucleoplasmic bridges and nuclear buds), and
address gene-gene interactions.
PMID- 18037340
TI - Real-time PCR for type-specific identification of herpes simplex in clinical
samples: evaluation of type-specific results in the context of CNS diseases.
AB - BACKGROUND: HSV-1 and HSV-2 cause CNS infections of dissimilar clinico
pathological characteristics with prognostic and therapeutic implications.
OBJECTIVES: To validate a type-specific real-time PCR that uses MGB/LNA Taqman
probes and to review the virologico-clinical data of 25 eligible patients with
non-neonatal CNS infections. RESULTS: This real-time PCR was evaluated against
conventional PCR (26 CSF and 20 quality controls), and LightCycler assay (51
mucocutaneous, 8 CSF and 32 quality controls) and culture/immunofluorescence (75
mucocutaneous) to assess typing with independent methods. Taqman real-time PCR
detected 240 HSV genomes per ml CSF, a level appropriate for the management of
patients, and provided unambiguous typing for the 104 positive (62 HSV-1 and 42
HSV-2) out the 160 independent clinical samples tested. HSV type diagnosed by
Taqman real-time PCR predicted final diagnosis (meningitis versus
encephalitis/meningoencephalitis, p<0.001) in 24/25 patients at time of
presentation, in contrast to clinical evaluation. CONCLUSIONS: Our real-time PCR,
as a sensitive and specific means for type-specific HSV diagnosis, provided rapid
prognostic information for patient management.
PMID- 18037341
TI - Application of a multivariate seizure detection and prediction method to non
invasive and intracranial long-term EEG recordings.
AB - OBJECTIVE: Retrospective evaluation and comparison of performances of a
multivariate method for seizure detection and prediction on simultaneous long
term EEG recordings from scalp and intracranial electrodes. METHODS: Two
multivariate techniques based on simulated leaky integrate-and-fire neurons were
investigated in order to detect and predict seizures. Both methods were applied
and assessed on 423h of EEG and 26 seizures in total, recorded simultaneously
from the scalp and intracranially continuously over several days from six
patients with pharmacorefractory epilepsy. RESULTS: Features generated from
simultaneous scalp and intracranial EEG data showed a similar dynamical behavior.
Significant performances with sensitivities of up to 73%/62% for scalp/invasive
EEG recordings given an upper limit of 0.15 false detections per hour were
obtained. Up to 59%/50% of all seizures could be predicted from scalp/invasive
EEG, given a maximum number of 0.15 false predictions per hour. A tendency to
better performances for scalp EEG was obtained for the detection algorithm.
CONCLUSIONS: The investigated methods originally developed for non-invasive EEG
were successfully applied to intracranial EEG. Especially, concerning seizure
detection the method shows a promising performance which is appropriate for
practical applications in EEG monitoring. Concerning seizure prediction a
significant prediction performance is indicated and a modification of the method
is suggested. SIGNIFICANCE: This study evaluates simultaneously recorded non
invasive and intracranial continuous long-term EEG data with respect to seizure
detection and seizure prediction for the first time.
PMID- 18037342
TI - Motor unit number estimation using high-density surface electromyography.
AB - OBJECTIVE: To present a motor unit number estimation (MUNE) technique that
resolves alternation by means of high-density surface EMG. METHODS: High-density
surface EMG, using 120 EMG channels simultaneously, is combined with elements of
the increment counting technique (ICT) and the multiple-point stimulation
technique. Alternation is a major drawback in the ICT. The spatial and temporal
information provided by high-density surface EMG support identification and
elimination of the effects of alternation. We determined the MUNE and its
reproducibility in 14 healthy subjects, using a grid of 8 x 15 small electrodes
on the thenar muscles. RESULTS: Mean MUNE was 271+/-103 (retest: 290+/-109), with
a coefficient of variation of 22% and an intra-class correlation of 0.88. On
average, 22 motor unit potentials (MUPs) were collected per subject. The
representativity of this MUP sample was quantitatively assessed using the
spatiotemporal information provided by high-density recordings. CONCLUSIONS: MUNE
values are relatively high, because we were able to detect many small MUPs.
Reproducibility was similar to that of other MUNE techniques. SIGNIFICANCE: Our
technique allows collection of a large MUP sample non-invasively by resolving
alternation to a large extent and provides insight into the representativity of
this sample. The large sample size is expected to increase MUNE accuracy.
PMID- 18037343
TI - High-frequency gamma activity (80-150Hz) is increased in human cortex during
selective attention.
AB - OBJECTIVE: To study the role of gamma oscillations (>30Hz) in selective attention
using subdural electrocorticography (ECoG) in humans. METHODS: We recorded ECoG
in human subjects implanted with subdural electrodes for epilepsy surgery.
Sequences of auditory tones and tactile vibrations of 800 ms duration were
presented asynchronously, and subjects were asked to selectively attend to one of
the two stimulus modalities in order to detect an amplitude increase at 400 ms in
some of the stimuli. RESULTS: Event-related ECoG gamma activity was greater over
auditory cortex when subjects attended auditory stimuli and was greater over
somatosensory cortex when subjects attended vibrotactile stimuli. Furthermore,
gamma activity was also observed over prefrontal cortex when stimuli appeared in
either modality, but only when they were attended. Attentional modulation of
gamma power began approximately 400 ms after stimulus onset, consistent with the
temporal demands on attention. The increase in gamma activity was greatest at
frequencies between 80 and 150 Hz, in the so-called high-gamma frequency range.
CONCLUSIONS: There appears to be a strong link between activity in the high-gamma
range (80-150 Hz) and selective attention. SIGNIFICANCE: Selective attention is
correlated with increased activity in a frequency range that is significantly
higher than what has been reported previously using EEG recordings.
PMID- 18037345
TI - Phage display: a useful tool for malaria research?
AB - Defining the molecular intricacies of malaria pathogenesis is a vital area of
medical and scientific research. Sophisticated methods have been developed to
identify and characterise host-parasite interactions that are important in
infection. Phage display involves the combinatorial display of proteins or
peptides on the surface of bacteriophage. The technology provides an invaluable
tool for screening diverse libraries for polypeptides that have a high affinity
for a given target. Phage display in malaria research has proven successful, not
only in mapping the protein-protein interactions that are important in Plasmodium
biology, but also in the identification of molecules that might be exploited in
the design of therapeutic agents or vaccines.
PMID- 18037346
TI - Spuriously high phosphate level which is promptly resolved after plasmapheresis
in a patient with multiple myeloma.
AB - We present a 57 year old female patient with IgG multiple myeloma and marked
hyperphosphatemia. The patient had no clinical symptoms related to
hyperphosphatemia. Serum inorganic phosphate measurements were repeated on
sulfosalicylic acid deproteinized serum samples, yielding normal phosphate
levels. We realized that this hyperphosphatemia was spurious because of high
paraprotein levels. Afterwards, therapeutic plasma exchange (TPE) was
administered due to hyperviscosity and bleeding tendency. After the
administration of TPE, serum phosphate was reduced to normal level. Therapeutic
plasma exchange resulted in steady-state serum phosphate levels during the three
months follow up period. We concluded that high phosphate levels must be
confirmed by measuring in deproteinized serum samples in multiple myeloma
patients in order to distinguish pseudohyperphosphatemia from the true ones. In
addition, TPE effectively reduces the spuriously elevated phosphate levels
possibly by removing paraproteins.
PMID- 18037344
TI - Eating for pleasure or calories.
AB - A changing environment and lifestyle on the background of evolutionary engraved
or perinatally imprinted physiological response patterns is the foremost
explanation for the current obesity epidemic. However, it is not clear what the
mechanisms are by which the modern environment overrides the physiological
controls of appetite and homeostatic body weight regulation. Major advances have
been made regarding crosstalk between metabolic signals and the
cognitive/emotional brain that primarily deals with the environment. On one hand,
metabolic signals such as leptin and ghrelin have previously unexpected direct
effects on learning and memory, as well as liking and wanting. On the other hand,
brain areas involved in reward, cognition, and executive control can override
metabolic regulation by talking to the hypothalamus.
PMID- 18037347
TI - Clinical features, long-term follow-up and outcome of a large cohort of patients
with Chronic Granulomatous Disease: an Italian multicenter study.
AB - A retrospective clinical and immunological survey was conducted in 60 patients
with Chronic Granulomatous Disease. A prospective controlled non-randomized study
of the efficacy of long-term IFNgamma treatment was carried out. The mean age at
the time of diagnosis was 4.4 years; mean duration of follow-up was 10.4 years.
Lung and skin infections were the most frequent manifestations both prior to
diagnosis and during follow-up. Aspergillus species was the first cause of
infection and of death in our cohort. The mortality rate was 13%. Long term
prophylaxis with IFNgamma did not significantly change the rate of total
infection per patient-year compared to controls (p=0.07). Our data provide clear
evidence that protocols of continuing intensive surveillance and monitoring of
compliance with anti-infective regimens may significantly improve the quality of
life and long-term survival in patients with CGD. No evidence justifying long
term prophylaxis with IFNgamma was obtained.
PMID- 18037348
TI - Catastrophic intramedullary hematoma following Kummell's disease with large
intravertebral cleft.
AB - BACKGROUND CONTEXT: Kummell's disease is defined as avascular osteonecrosis and
occurs after delayed posttraumatic vertebral collapse. Devastating cord injury
with Kummell's disease is rare except in advanced cases with kyphosis and
posterior cortex breakage. PURPOSE: The authors report unique experience with
patients who presented with a catastrophic intramedullary hematoma after early
stage Kummell's disease without kyphosis. STUDY DESIGN: Case report with analysis
of the literature. METHODS: A 72-year-old woman with osteoporotic vertebral
fractures of T12 visited the emergency room complaining of persistent back pain
and paraparesis. The plain radiographic examination revealed mild osteoporotic
wedge compression fractures. The magnetic resonance images revealed the fracture
cavity as a discrete area of abnormal low and high signal, which is consistent
with vertebral osteonecrosis with evidence of Kummell's disease on T12, and conus
showing an extensive hematoma with mixed signal changes. RESULTS: The authors
performed laminectomy and the removal of the intramedullary hematoma, followed by
vertebroplasty on T12. The spinal column was reduced and fixed posteriorly with a
pedicle screw system using a one-stage procedure. CONCLUSIONS: An increasing
awareness of the delayed vertebral collapse with cord injury attached to an
osteoporotic spine fracture, as well as a periodic follow-up and treatment are
essential for preventing catastrophic neurological impairment.
PMID- 18037349
TI - Metastasis of carcinoid to the arch of the axis in a multiple endocrine neoplasia
patient: a case report.
AB - BACKGROUND CONTEXT: Carcinoid tumors eventually metastasize to the spine, and
epidural spinal cord compression is a relatively frequent neurologic complication
of carcinoid. However, a case of multiple endocrine neoplasia type 1 (MEN1)
presenting with spinal cord compression as a result of a metastatic carcinoid
tumor has not been reported previously. PURPOSE: To report an extremely rare case
of MEN1 presenting with spinal cord compression by metastatic carcinoid tumor.
STUDY DESIGN: Case report. METHODS: A 51-year-old man, with a past history of
thymoma, insulinoma, and gastric carcinoid presented with neck pain.
Neuroradiological examination revealed that a tumor around the arch of the axis
compressed the spinal cord with osteoblastic changes. RESULTS: After
hemilaminectomy of the axis and removal of the tumor followed by irradiation, the
patient returned to his previous job. Histological examination confirmed
metastatic carcinoid tumor. CONCLUSIONS: Spinal metastasis of carcinoid tumor
occurred in a multiple endocrine neoplasia patient, and it is significant to note
that carcinoid metastasis is one of differential diagnoses for osteoblastic
lesions.
PMID- 18037350
TI - Use of instrumented pedicle screws to evaluate load sharing in posterior dynamic
stabilization systems.
AB - BACKGROUND CONTEXT: Dynamic stabilization is an alternative to fusion intended to
eliminate or at least minimize the potential for adjacent level degeneration.
Different design approaches are used in pedicle screw-based systems that should
have very different effects on the loading of the posterior column and
intervertebral disc. If the implant system distributes these loads more evenly,
loads in the pedicle screws will be reduced, and screw loosening will be
prevented. PURPOSE: The purpose of this study was to determine how two different
design approaches to dynamic stabilization systems, Dynesys System and the Total
Posterior Spine (TOPS) System, affect the load carried by the pedicle screws.
STUDY DESIGN/SETTING: A controlled laboratory study in which the magnitude of the
moments on pedicle screws during flexion-extension and lateral bending were
measured after implantation of two posterior dynamic stabilization devices into
cadaveric spines. METHODS: Five lumbar spines were tested in flexion-extension
and lateral bending. Specimens were tested sequentially: first intact, then with
the Dynesys system implanted, and finally with the TOPS system implanted. Range
of motion (ROM) for each construct was measured with a 210N and 630N compressive
load. The pedicle screws were instrumented with strain gages, which were
calibrated so that the moments on the screws could be determined from the strain
measurements. RESULTS: Compared with intact values, ROM decreased in flexion
extension and lateral bending when the Dynesys System was implanted. With
implantation of the TOPS System, ROM returned to values that were not
significantly different from the intact values. The moments in the screws with
the Dynesys System were significantly higher than with the TOPS System with
increases of as much as 56% in flexion-extension and 86% in lateral bending.
CONCLUSIONS: The design of the posterior stabilization device influences the
amount of load seen by the pedicle screws and therefore the load sharing between
spinal implant and bone.
PMID- 18037352
TI - Neurologic impairment from ectopic bone in the lumbar canal: a potential
complication of off-label PLIF/TLIF use of bone morphogenetic protein-2 (BMP-2).
AB - BACKGROUND CONTEXT: Bone morphogenetic protein-2 (BMP-2) (Infuse) has been
approved for use in anterior lumbar fusion in conjunction with an LT cage.
However, off-label use is seen with anterior cervical fusion, posterior lumbar
interbody fusion (PLIF), and transforaminal lumbar interbody fusion (TLIF). The
Federal Food and Drug Administration trial of BMP-2 in a PLIF application was
halted because of a high incidence of ectopic bone forming in the neural canal
(75%). The authors did not find a correlation between ectopic bone and increased
leg pain. They concluded that the ectopic bone was a radiographic phenomenon and
not associated with clinical findings. Complications using BMP in the cervical
spine have been reported. Heretofore, there has not been a similar warning voiced
for use of BMP in a lumbar PLIF or TLIF. PURPOSE: The purpose was to report five
cases of ectopic bone in the canal associated with PLIF/TLIF off-label use of BMP
2 potentially contributing to abnormal neurologic findings. STUDY DESIGN/SETTING:
This is an observational cohort study of patients referred to a tertiary care
private medical center. METHODS: This was a retrospective chart review of
patients referred to a tertiary spine institute with complications after surgery
where BMP-2 had been used in an off-label PLIF or TLIF application. Patient
demographics, operating room (OR) notes from the index BMP surgery, imaging
studies, and current clinical status were reviewed. RESULTS: Five cases of
ectopic bone in the spinal canal with potential neurologic compromise were
identified. CONCLUSIONS: It does appear that ectopic bone in the spinal canal
associated with BMP-2 use in PLIF or TLIF may contribute to symptomatic
neurologic findings in rare cases. Revision surgeries are difficult. This article
challenges a previous publication, which concluded that the high incidence of
ectopic bone was of no clinical significance. Isolating BMP anteriorly in the
disc space using layered barriers of bone graft between the BMP and the annular
defect may reduce the incidence of ectopic bone in the spinal canal. Surgeons
need to weigh the benefits versus risks of any technology used off label when
making treatment decisions with their patients.
PMID- 18037351
TI - Mature runt cow lumbar intradiscal pressures and motion segment biomechanics.
AB - BACKGROUND CONTEXT: The optimal animal model for in vivo testing of spinal
implants, particularly total or partial disc replacement devices, has not yet
been determined. Mechanical and morphological similarities of calf and human
spines have been reported; however, limitations of the calf model include open
growth plates and oversized vertebrae with growth. Mature runt cows (Corrientes
breed) may avoid these limitations. PURPOSE: This study compared vertebral
morphology and biomechanical properties of human and runt cow lumbar motion
segments. STUDY DESIGN: In vivo disc pressure measurements were obtained in six
mature runt cows at L4-L5. In vitro evaluation was performed on these same
segments and repeated on 12 human motion segments. METHODS: Disc pressures were
measured in vivo in runt cow (Corrientes breed) L45 discs using a percutaneous
transducer with the animal performing various activities. These motion segments
were then harvested and morphologic and biomechanical evaluations (disc pressure
in compression, flexibility tests to 7.5Nm) were performed on both cow and male
human L23 and L45 segments. RESULTS: The transverse lumbar disc dimensions were
slightly smaller for (mixed gender) cow versus (male) humans, but were within the
range of reported (mixed gender) human values. The mean+/-SD disc height was
smaller for runt cow (7+/-1mm) versus human discs (13+/-2mm, p<.001). The
vertebral bodies of the cow were approximately twice as tall as the human. In
vitro testing revealed significantly greater disc pressure response to applied
axial loading in the runt cow versus humans (1.27+/-0.18 vs. 0.84+/-0.15kPa/N,
respectively) but similar overall stiffness (2.15+/-0.71 vs. 1.91+/-0.94kN/mm,
respectively). Runt cow and human segment flexibility curves were similar with
the following exceptions: runt cow stiffness was approximately 40% greater in
torsion (p<.05), runt cow segment lateral bending motion was greater versus
humans (range of motion by 30%, neutral zone by 100%; both p<.05), and flexion
range of motion tended to be smaller in runt cow versus human specimens (by
approximately 40%, p=NS). In vivo, the standing disc pressure in the runt cow was
0.80+/-0.24MPa. CONCLUSIONS: Although no animal replicates the human motion
segment, the runt cow lumbar spine had a number of biomechanical and
morphological measurements within the range of human values. The closed physes
and temporally stable morphology of the mature runt cow may make this model more
suitable versus standard calf models for human intradiscal implant studies.
PMID- 18037353
TI - Factors associated with lumbar intervertebral disc degeneration in the elderly.
AB - BACKGROUND CONTEXT: Lumbar intervertebral disc degeneration (DD) precedes
degenerative diseases of the lumbar spine. Various factors in addition to normal
aging are reported to be associated with DD, and recently atherosclerosis and
risk factors for cardiovascular diseases (cardiovascular risk factors) have
received much attention; however, the links between these risk factors and DD are
unclear. PURPOSE: By correlating magnetic resonance images (MRI) with suspected
degenerative disc risk factors such as obesity, cardiovascular risk factors, and
atherosclerosis, we hope to clarify the factors associated with DD. STUDY
DESIGN/SETTING: An observational study. PATIENT SAMPLE: Two hundred seventy
adults (51-86 years old) who participated in a health promotion program. OUTCOME
MEASURES: DD evaluated based on the signal intensity of MR T2-weighted mid
sagittal images of the lumbar spine. METHODS: Age, gender, body mass index (BMI),
low-density lipoprotein cholesterol (LDLc), triglyceride (TG), glycosylated
hemoglobin (HbA(1c)), brachial-ankle pulse wave velocity (baPWV) as an index of
atherosclerosis, osteo-sono-assessment index (OSI) calculated from quantitative
ultrasound assessment of the calcaneus as an index of bone mineral density (BMD),
history of low back pain (LBP), smoking and drinking habits, and physical loading
related to occupations and sports were assessed. The univariate relationships
between DD and the variables were evaluated, and finally, odds ratios (OR) and
95% confidence intervals (CI) for the associations of each factor with DD were
calculated using logistic regression at each disc level. RESULTS: Aging
correlated significantly with DD of L1/2 (OR, 2.14), L2/3 (OR, 3.56), L3/4 (OR,
2.84), and L4/5 (OR, 3.05); high BMI, with L2/3 (OR, 2.98), L3/4 (OR, 3.58), L4/5
(OR, 2.32), and L5/S1 (OR, 3.34); high LDLc, with L4/5 (OR, 2.65); occupational
lifting, with L1/2 (OR, 4.25); and sports activities, with L5/S1 (OR, 3.36).
CONCLUSIONS: Aging, high BMI, high LDLc, occupational lifting, and sports
activities are associated with DD. The results of this study raise our index of
suspicion that cardiovascular risk factors and particular physical loading may
contribute to DD; however additional studies are required to further investigate
associations between DD and these factors.
PMID- 18037354
TI - Fusion and nonsurgical treatment for symptomatic lumbar degenerative disease: a
systematic review of Oswestry Disability Index and MOS Short Form-36 outcomes.
AB - BACKGROUND CONTEXT: Although numerous studies have been published, controversy
still exists regarding fusion and nonsurgical treatment for symptomatic
degenerative lumbar spine conditions. Definite conclusions are difficult to draw
because of differences in patient inclusion criteria, fusion technique,
nonoperative treatment regimen, and clinical outcome measures used to determine
success. PURPOSE: The objective of this study was to evaluate lumbar fusion and
nonsurgical interventions for various degenerative spine disorders using the
Oswestry Disability Index (ODI) as a primary outcome measure in a systematic
review. A secondary objective was to determine whether there is a difference in
clinical outcomes based on the specific diagnosis. STUDY DESIGN/SETTING:
Systematic review. PATIENT SAMPLE: Patients with low back pain of at least 12
weeks duration and older than 18 years, with prospectively collected ODI scores
and at least a 12-month follow-up. OUTCOME MEASURES: ODI and Short Form-36 (SF
36). METHODS: A MEDLINE, HealthSTAR, CINAHL, and Cochrane database search was
done using the search strategy recommended by the Cochrane Back Review Group.
Proceedings from annual meetings of various spine societies and reference lists
from review articles and retrieved articles were evaluated for possible
inclusion. Criteria for inclusion were prospective randomized clinical trials in
patients with low back pain of at least 12 weeks duration and older than 18
years; with prospectively collected ODI scores and at least a 12-month follow-up.
The methodological quality of the studies was assessed using the van Tulder
criteria. Data extracted from each study included demographics, study design,
diagnosis, baseline and change in ODI, and baseline and change in SF-36 Physical
Composite Score (PCS). The data were pooled and analyzed based on the primary
reported inclusion diagnosis: degenerative disc disease (DDD), chronic low back
pain (CLBP), and spondylolisthesis; and treatment: fusion (unspecified,
posterior, anterior, combined) and nonsurgical. RESULTS: Twenty-five studies met
the inclusion criteria. The distribution of sex and smokers was similar across
diagnoses and treatments. Patients with spondylolisthesis were older than
patients with DDD and CLBP. Patients with spondylolisthesis had the greatest ODI
improvement followed by patients with DDD and CLBP. The three fusion types
produced similar amounts of improvement in ODI. Nonsurgical patients did not
improve as much but had a lower baseline ODI. Improvements in the SF-36 PCS were
fairly consistent across diagnostic groups and treatment types. CONCLUSIONS:
Substantial improvement can be expected in patients treated with fusion,
regardless of technique, when an established indication such as spondylolisthesis
or DDD exists. CLBP patients are less disabled and experience less improvement.
PMID- 18037355
TI - Is a videotape to change beliefs and behaviors superior to a standard videotape
in acute low back pain? A randomized controlled trial.
AB - BACKGROUND CONTEXT: Cognitive behavioral therapy has been used successfully in
acute low back pain (LBP) treatment, but the use of a cognitive behavioral
videotape as an adjunct to treatment has not been studied. PURPOSE: To determine
outcomes for patients with acute LBP receiving a videotape designed to change
beliefs and behaviors compared with a standard instructional videotape. STUDY
DESIGN/SETTING: Randomized controlled trial; multidisciplinary clinic in an
academic setting. PATIENT SAMPLE: Consecutive subjects with less than 3 months of
LBP. Of 224 eligible subjects, 138 participated and completed the initial
questionnaires. OUTCOME MEASURES: Oswestry Disability Index, Pain and Impairment
Relationship Scale, Fear-Avoidance Beliefs Questionnaire; medical costs related
to LBP and total medical costs incurred by participants during 1 year of follow
up. METHODS: Subjects were randomly assigned to receive a behavioral videotape or
a control videotape. Other than the videotape, usual care was provided to each
patient. RESULTS: No significant differences in any outcome measures or medical
costs between the two groups at 12 months. However, baseline Vermont Disability
Prediction Questionnaire was significantly lower in those who completed the
entire study compared with those who did not complete the study. CONCLUSIONS:
Compared with a standard instructional videotape, a behavioral videotape did not
change beliefs, outcomes, or costs over 1 year. Cost-effective behavioral
interventions with high patient retention rates are needed, especially for those
at greatest risk of high utilization of resources.
PMID- 18037356
TI - A comparison of anatomy-based inverse planning with simulated annealing and
graphical optimization for high-dose-rate prostate brachytherapy.
AB - BACKGROUND AND PURPOSE: Dose distribution in a high-dose-rate (HDR) brachytherapy
implant is optimized by adjusting source dwell positions and dwell times along
the implanted catheters. Inverse planning with fast simulated annealing (IPSA) is
a recently developed algorithm for anatomy-based inverse planning, capable of
generating an optimized plan in less than 1min. The purpose of this study is to
compare dose distributions achieved using IPSA to those obtained with a graphical
optimization (GrO) algorithm for prostate HDR brachytherapy. METHODS AND
MATERIALS: This is a retrospective study of 63 consecutive prostate HDR
brachytherapy implants planned and treated using on-screen GrO to a dose of 10Gy
per implant. All plans were then recalculated using IPSA, without changing any
parameters (contours, catheters, number, or location of dwell positions). The
IPSA and GrO plans were compared with respect to target coverage, conformality,
dose homogeneity, and normal tissue dose. RESULTS: The mean volume of target
treated to 100% of prescription dose (V(100)) was 97.1% and 96.7%, and mean
Conformal Index 0.71 and 0.68 with GrO and IPSA, respectively. IPSA plans had a
higher mean homogeneity index (0.69 vs. 0.63, p<0.001) and lower volume of target
receiving 150% (30.2% vs. 35.6%, p<0.001) and 200% (10.7% vs. 12.7%, p<0.001) of
the prescription dose. Mean dose to urethra, rectum, and bladder were all
significantly lower with IPSA (p<0.001). IPSA plans tended to be more
reproducible, with smaller standard deviations for all measured parameters.
CONCLUSIONS: Plans generated using IPSA provide similar target coverage to those
obtained using GrO but with lower dose to normal structures and greater dose
homogeneity.
PMID- 18037357
TI - Endogenous urea as an autacoid: extrarenal and renal focuses.
AB - The present position article complies with selected own and literature data
concerning the characterization of endogenous urea at extrarenal level in animal
and human organism in functional aspect. With key pharmacological experiments,
both under in vivo and in vitro conditions, we apply urea in concentrations
corresponding to physiological and pathological ones. We established that
endogenous urea (without use as an exogenous applied drug) possesses important
properties. It is assumed that urea is an endogenous non-specific beta-adrenergic
receptor antagonist (ENBARA), non-selective, non-competitive, reversible and non
toxic. Based on these data we develop a concept for endogenous beta-adrenergic
receptor antagonists (EBARA). In agreement with proofs of RJ Lefkowitz' group in
the 90-ies that "beta arrestines 1 and 2 antagonize three of four agonist
activated beta-adrenergic receptors" we accept that they act as relatively
endogenous specific beta-adrenergic receptor antagonists (RESBARA). As regards
for the last four beta-agonist-activated adrenergic receptor we propose that is
controlled via ENBARA. That is why a new role of urea is to be in the list of
endocoids (autacoids).
PMID- 18037358
TI - Experience of plastic surgery as an undergraduate--vital for the future of the
specialty!
PMID- 18037359
TI - Periareolar reduction mammoplasty using an inferior dermal pedicle or a central
pedicle.
AB - The goals of reduction mammoplasty are to reduce the volume of a breast, to
create an aesthetic shape that is stable over time, to maintain blood supply and
innervation to the areolar complex, and to make fine limited scars. The present
authors used periareolar reduction mammoplasty using an inferior dermal pedicle
or a central pedicle. To minimise the scar, periareolar incision was performed.
To reduce the volume of breast and to preserve blood supply and innervation to
the nipple-areolar complex, a central or an inferior pedicle technique was used.
To prevent areolar widening, a purse-string suture was used. A total of 82
breasts in 41 patients with very large breasts were operated on between July 1998
and June 2004. The mean age was 39, and the mean resection amount was 389 g per
breast (right 413 g, left 364 g) with an average follow up of 28 months. Most of
the patients were satisfied with the fine periareolar scar, the size of the
breasts and the sensation of the nipple-areolar complex. The present authors have
applied this procedure to all kinds of macromastia. Although the advantages of
the periareolar reduction mammoplasty are an inconspicuous limited scar, a
preservation of sensation to the nipple-areolar complex and a short operation
time, 24 breasts (29%) showed areolar widening. There were persistent periareolar
wrinkles in eight breasts (10%) and poor sensation to the nipple-areolar complex
in 12 breasts (15%), in which more than 500 g of breast tissue was removed per
breast. In conclusion, the periareolar reduction mammoplasty is optimal for
patients who require a reduction of less than 500 g per breast. In severe
macromastia with or without ptosis, the inverted T-incision is more preferable to
periareolar incision, and periareolar incision can be modified by adding a wedge
resection of the outer excess in skin flap inferiorly, which results in
periareolar and vertical scars below the nipple-areolar complex.
PMID- 18037360
TI - A study of perfusion of the distal free-TRAM flap using laser Doppler flowmetry.
AB - The aim of this study was to characterise microcirculatory changes in the distal
part of a flap and to evaluate whether measurement of the microcirculation may
predict flap complications (FC). In this prospective study, 30 patients
undergoing a delayed breast reconstruction were included. Perioperative data were
recorded and with the laser Doppler flowmetry (LDF; Perimed) blood flow was
recorded in the central part (zone I) and the distal part (zone IV) of the flap.
A lower blood flow was observed in zone IV of patients with flap complications
compared to patients without flap complications (P=0.013). In addition, LDF
demonstrated different flow trends in zone I compared to zone IV indicating a
delayed opening of the choke vessels connecting the angiosomes in the distal part
of the flap. The LDF has proven to be a useful investigative tool to monitor
microcirculatory changes. In future studies it will be used to evaluate
interventions aimed at decreasing distal ischaemia and reducing flap
complications.
PMID- 18037361
TI - Aesthetic outcome after nasal reconstruction: patient versus panel perception.
AB - SUMMARY BACKGROUND: Nasal reconstruction after extensive ablative therapy poses a
reconstructive challenge. The aim of this study was to assess subjective
aesthetic outcome after nasal reconstruction scored by patients and a panel. In
addition, inter-rater variability as well as important parameters of good
aesthetic outcome were analysed. METHODS: A total of 39 consecutive patients
treated between November 2001 and May 2005 for (sub) total nasal defects were
included. All patients were photographed in a standardised setting. Subjective
aesthetic outcome (eight different nasal characteristics on a five-point Likert
scale) was assessed by reconstructed patients individually as well as an
independent professional panel consisting of five plastic surgeons. RESULTS:
Thirty-three patients (87%) participated in the follow-up study. Questionnaire
results demonstrated statistically significant lower panel satisfaction scores
(3.5+/-0.9) with total nasal appearance than patients (4.2+/-1.3; P=0.03). There
was a high agreement among panel members as judged by a low inter-rater
variability. No relationship between severity of nasal defects and aesthetic
outcome was found by patients or professionals. CONCLUSION: Patient subjective
aesthetic outcome was significantly higher than that of a professional panel.
Severity of nasal deformity was not an indicator for patient satisfaction.
PMID- 18037362
TI - Methods and normal values for echocardiography in adult dairy cattle.
AB - OBJECTIVE: The objective of the study was to report normal ultrasonographic
appearance and intra-cardiac dimensions in two dairy breeds and to calculate
cardiac output (CO) using echocardiography. BACKGROUND: Intra-cardiac dimensions,
time indices and CO estimation have not previously been reported in adult cattle.
ANIMALS, MATERIALS AND METHODS: Echocardiograms were obtained from healthy adult
dairy cows (10 Jersey (J) and 12 Holstein Friesians (HF)) in the body weight
range of 400 to 700 kg. Standard echocardiographic images were obtained from the
left and right hemithoraces. Velocity time integrals were obtained in order to
calculate CO using pulsed wave Doppler of aortic flow in the J cows. Measurements
obtained included pulmonary artery and aortic diameters, left and right
ventricular diameters (and calculated fractional shortening and left ventricular
ejection fraction), left atrial size and time indices assessing valve function.
RESULTS: HF cows had significantly (p<0.05) larger pulmonary artery and aortic
diameters, larger left atrial diameters and left ventricular internal diameters
during diastole, but these were not different when corrected for body weight.
Left and right ventricular dimensions, adjusted for body weight, were
significantly larger (p=0.02 and p=0.035 respectively) in J cows when compared to
HF cows. No differences were noted in the time indices between the two groups. No
significant differences were noted in intra-operator variability and the only
significant difference in inter-operator variability was in measurement of the
pulmonary artery (p=0.03; ICC=0.63). CONCLUSIONS: It is possible to obtain
repeatable, reliable echocardiograms in order that meaningful intra-cardiac
dimensions can be obtained in adult dairy cattle.
PMID- 18037363
TI - Radial strain and strain rate by two-dimensional speckle tracking
echocardiography and the tissue velocity based technique in the dog.
AB - OBJECTIVES: Two-dimensional (2D) speckle tracking echocardiography (STE) is a new
angle-independent ultrasound technique based on tracking of speckles within the
myocardium on 2D grayscale images. The aims of this prospective study were as
follows: (1) to assess the variability of left ventricular peak systolic radial
strain (St) and strain rate (SR) in awake dogs using STE (Protocol 1); and (2) to
quantify these variables in a healthy canine population and compare them with
tissue Doppler imaging (TDI)-based St and SR values (Protocol 2). BACKGROUND: St
and SR may be assessed using TDI, which is limited by angle dependency. ANIMALS,
MATERIALS AND METHODS: Thirty-six STE examinations were performed on 6 healthy
dogs for Protocol 1 and 37 healthy dogs were recruited for Protocol 2. In both
studies, STE measurements were obtained offline from the right parasternal short
axis view by the same trained observer using automatic frame-to-frame tracking of
grayscale speckle patterns. RESULTS: All within- and between-day coefficients of
variation were <10% (Protocol 1). In Protocol 2, St (46.7+/-12.2%) and SR (2.7+/
0.6s(-1)) measured by STE were correlated with heart rate (p<0.01), but not with
the ratio of early mitral inflow velocity to early mitral annular velocity. There
was a good correlation between STE and TDI for both St and SR values (p<0.001).
CONCLUSIONS: STE is a repeatable and reproducible non-Doppler method for
assessing radial St and SR. The combination of these indices with conventional
echo-Doppler variables could provide a new approach for accurately quantifying
canine systolic function.
PMID- 18037364
TI - Bone formation in spontaneously diabetic Torii-newly established model of non
obese type 2 diabetes rats.
AB - It is well known that patients with type 1 diabetes mellitus exhibit bone
abnormalities as one of the complications of the disease. Whether this occurs in
type 2 diabetes is controversial. This uncertainty could be because type 2
diabetes includes several pathological types such as obese and non-obese. To
examine the bone abnormalities in non-obese type 2 diabetes, we used
Spontaneously Diabetic Torii (SDT) rats, which is a newly established model of
non-obese type 2 diabetes. Sprague-Dawley (SD) rats were used as a control group
(n=17). SDT rats were divided into two groups: the diabetic (DM) group (n=18) and
the DM+insulin (INS) group (n=18) at 20 weeks of age. The DM+INS group received
subcutaneously implanted insulin pellets every 2 weeks. At 36 weeks of age, the
rats were killed, and we evaluated bone formation and the effect of insulin on
bone formation, blood and urine analyses, bone mineral density (BMD),
histomorphometry, and mRNA expression of alkaline phosphatase (ALP) and
osteocalcin (OCN). Despite renal function not being impaired, BMD and bone
strength were significantly lower in the DM group than in the control group.
Osteoid volume per bone volume, osteoblast surface per bone surface, eroded
surface per bone surface, osteoclast surface per bone surface, the mineral
apposition rate, and the bone formation rate per bone surface were significantly
lower in the DM group than in the control and DM+INS groups. The mRNA expression
of ALP and OCN was significantly lower in the DM group than in the control group.
Furthermore, 8-hydroxydeoxyguanosine, which is an oxidative stress marker, was
remarkably elevated in the DM group. These abnormalities were recovered by
insulin therapy. Our data support the notion that non-obese type 2 diabetes is
associated with a low turnover of bone and that the abnormalities are ameliorated
by insulin. The SDT rat may be a useful animal model for examining the mechanisms
of bone abnormalities in non-obese type 2 diabetes.
PMID- 18037365
TI - The RIZ Pro704 insertion-deletion polymorphism, bone mineral density and fracture
risk: the Rotterdam study.
AB - Estrogens play a major role in the maintenance of bone and bone strength, and
they exert their effects via estrogen receptors. Recently, an estrogen receptor
alpha (ESR1) specific co-activator, retinoblastoma-interacting zinc-finger
protein (RIZ1, 1p36), was shown to strongly enhance ESR1 function in vitro. The
same study showed that a Proline insertion-deletion polymorphism at amino acid
position 704 (Pro704 ins/del) in the RIZ1 gene was associated with heel BMD in
young Swedish women. We tested the relation between the RIZ1 Pro704 ins/del
polymorphism and BMD and fracture risk in Caucasian elderly men and women of the
Rotterdam study. We also examined whether estradiol levels (measured in a subset)
or genetic variation in ESR1 influenced this relation. In 2424 men and 3517 women
from the Rotterdam study, RIZ1 genotypes were determined and associations with
BMD (lumbar spine and femoral neck) and fracture risk were analysed. We recorded
374 vertebral fractures at baseline and during 6.4+/-0.4 (SD) years of follow-up,
and 1219 incident non-vertebral fractures during 7.4+/-3.3 (SD) years of follow
up. The allele frequency of the Pro704 insertion was 41%, the genotype
distribution was in Hardy-Weinberg Equilibrium (P=0.94). We found no association
of this polymorphism with BMD or fracture risk. Stratification for gender,
estradiol levels or interaction with ESR1 risk haplotype did not change these
results. In conclusion, in this large study we observed no association of the
RIZ1 Pro704 insertion-deletion polymorphism with BMD or fracture risk. This
suggests this polymorphism to play a minor role, if any, as a genetic determinant
of osteoporosis in elderly subjects.
PMID- 18037366
TI - Epidemiology of hip fracture in the elderly in Spain.
AB - OBJECTIVE: To describe the incidence and epidemiological characteristics of hip
fracture (HF) in patients aged 65 years or over in the various autonomous regions
(AR) of Spain from the year 2000 to 2002 and to determine which factors affect in
hospital mortality. METHODS: Retrospective, observational study including all
patients aged >65 years with acute hip fracture in the 19 AR of Spain from 2000
to 2002. Data were obtained from the National Record of the Minimum Basic Data
Set of the Ministry of Health. We analyzed the following: incidence rates (crude
and age- and gender-adjusted rates) and incidence of hospital admission by
season, length of hospital stay and in-hospital mortality. We used regression
analysis to identify the factors that influenced in-hospital mortality. RESULTS:
There were 107,718 cases of HF in patients aged >65 years; of these, 74% were
women, with a mean age of 79 years (SD 14). The crude incidence rate for HF was
511 cases per 100,000 >65-year-old patients per year (265 cases per 100,000 men
and 688 per 100,000 women and year). Incidence adjusted for age and gender was
503 cases per 100,000 inhabitants per year. Catalonia had the highest age
adjusted incidence and Galicia the lowest (623 and 317 cases per 100,000
inhabitants per year, respectively). Incidence rates increased from spring
(24.1%) to winter (25.8%). The mean length of hospital stay was 15 days (SD 13).
Seasonal influence and length of stay varied greatly between autonomous regions.
While the overall in-hospital mortality rate was 5.3%, the rate for males was
double that of females (8.9% and 4.8%, respectively), and in-hospital mortality
increased with comorbidity (each point on the Charlson index increased mortality
by 34.5%) was higher in winter (11% more risk compared to warmer seasons) and in
cold climate regions (15% more risk compared to regions with a warm climate,
i.e.: Catalonia, Valencia, Murcia, Andalusia, Balearic Islands and Canary
Islands). CONCLUSIONS: Hip fracture mainly affects elderly women and presents
great variability in incidence, seasonality, length of hospital stay and
mortality between the different autonomous regions in Spain. Elderly male
patients with severe comorbid conditions, who are admitted in winter and in cold
climate regions are more at risk of in-hospital mortality.
PMID- 18037367
TI - Decreased bone turnover with balanced resorption and formation prevent cortical
bone loss during disuse (hibernation) in grizzly bears (Ursus arctos horribilis).
AB - Disuse uncouples bone formation from resorption, leading to increased porosity,
decreased bone geometrical properties, and decreased bone mineral content which
compromises bone mechanical properties and increases fracture risk. However,
black bear bone properties are not adversely affected by aging despite annual
periods of disuse (i.e., hibernation), which suggests that bears either prevent
bone loss during disuse or lose bone and subsequently recover it at a faster rate
than other animals. Here we show decreased cortical bone turnover during
hibernation with balanced formation and resorption in grizzly bear femurs.
Hibernating grizzly bear femurs were less porous and more mineralized, and did
not demonstrate any changes in cortical bone geometry or whole bone mechanical
properties compared to active grizzly bear femurs. The activation frequency of
intracortical remodeling was 75% lower during hibernation than during periods of
physical activity, but the normalized mineral apposition rate was unchanged.
These data indicate that bone turnover decreases during hibernation, but osteons
continue to refill at normal rates. There were no changes in regional variation
of porosity, geometry, or remodeling indices in femurs from hibernating bears,
indicating that hibernation did not preferentially affect one region of the
cortex. Thus, grizzly bears prevent bone loss during disuse by decreasing bone
turnover and maintaining balanced formation and resorption, which preserves bone
structure and strength. These results support the idea that bears possess a
biological mechanism to prevent disuse osteoporosis.
PMID- 18037368
TI - Site-directed mutagenesis by combination of homologous recombination and DpnI
digestion of the plasmid template in Escherichia coli.
AB - A rapid site-directed mutagenesis strategy using homologous recombination and
DpnI digestion of the template in Escherichia coli is described. Briefly, inverse
polymerase chain reaction amplification of the entire circular plasmid was
performed by mutagenic primers with overlapping sequences ( approximately 15 bp)
for generating PCR products with approximately 15 bp of homology on the terminal
ends. On direct transformation of the amplified PCR products into restriction
endonuclease DpnI-expressing E. coli BUNDpnI, homologous recombination occurs in
E. coli while the original templates are removed via DpnI digestion in vivo, thus
yielding clones harboring mutated circular plasmids. Nearly 100% efficiency was
attained when this strategy was used to modify DNA sequences.
PMID- 18037369
TI - The N-terminus of PrP is responsible for interacting with tubulin and fCJD
related PrP mutants possess stronger inhibitive effect on microtubule assembly in
vitro.
AB - Microtubule dynamics is essential for many vital cellular processes such as in
intracellular transport, metabolism, and cell division. Some evidences
demonstrate that PrP may associate with microtubular cytoskeleton and its major
component, tubulin. In the present study, the molecular interaction between PrP
and tubulin was confirmed using pull-down assays, immunoprecipitation and ELISA.
The interacting regions within PrP with tubulin were mapped in the N-terminus of
PrP spanning residues 23-50 and 51-91. PrP octapeptide repeats are critical for
the binding activity with tubulin, that the binding activity of PrP with tubulin
became stronger along with the number of the octapeptide repeats increased.
Microtubule assembly assays, sedimental tests and transmission electron
microscopy demonstrated that the full-length PrP (aa 23-231) obviously inhibited
the microtubule polymerization processes in vitro, whereas the N- (aa 23-91) and
C- (aa 91-231) terminal peptides of PrP did not affect microtubule
polymerization. Moreover, the familial Cruetzfeldt Jacob disease (fCJD) related
PrP mutants with inserted or deleted octapeptide repeats showed much stronger
inhibitive capacities on the microtubule dynamics in vitro than wild-type PrP.
Our data highlight a potential role of PrP in regulating the microtubule dynamics
in neurons.
PMID- 18037370
TI - Over-expression of angiotensin II type 2 receptor (agtr2) decreases collagen
accumulation in atherosclerotic plaque.
AB - Angiotensin (Ang) II, via type 1 receptor activation, exerts a significant role
in atherogenesis and collagen synthesis. To test the hypothesis that Ang II type
2 receptor (AT2R) upregulation delivered with adeno-associated virus type 2
(AAV/AT2R) would inhibit collagen synthesis in atherosclerotic arteries, LDLR
knockout mice were injected with AAV/AT2R and fed 4% cholesterol diet for 18
weeks. LDLR knockout mice treated with saline or AAV/Neo exhibited extensive
vessel wall collagen accumulation, which was reduced by about 50% with AT2R over
expression. AT2R upregulation completely blocked the alterations in the
expression of procollagen-I, osteopontin, fibronectin, CD68, and matrix
metalloproteinases (MMP-2 and MMP-9), as well as phosphorylation of p38 and
p44/42 MAPKs. Activity of superoxide dismutase was reduced in the LDLR KO mice
and it increased with AT2R upregulation. This study demonstrates that AT2R over
expression reduces enhanced collagen accumulation, MMP expression and activity in
atherosclerotic regions via inhibition of pro-oxidant signals.
PMID- 18037371
TI - Synergistic induction of MUC5AC mucin by nontypeable Haemophilus influenzae and
Streptococcus pneumoniae.
AB - Mucin overproduction is a hallmark of chronic respiratory diseases (CRD) such as
chronic obstructive pulmonary disease and asthma, and otitis media. Despite the
fact that nontypeable Haemophilus influenzae (NTHi) and Streptococcus pneumoniae
are co-existing under these disease conditions, little is known about how NTHi
and S. pneumoniae induce mucin overproduction. Here we show that NTHi and S.
pneumoniae, when present together, synergistically induce MUC5AC mucin
transcription. TLR2/4-MyD88-TAK1 signaling cascade transmits signal to regulate
the synergistic induction of MUC5AC. The activation of MKK3/6-p38 and ERK MAPK
pathways are required for the synergistic induction of MUC5AC. Moreover, S.
pneumoniae synergizes with NTHi to induce MUC5AC expression via AP-1-dependent
mechanism. Thus, our studies provide direct evidence for the synergistic
induction of MUC5AC in mixed infections and bring novel insights into our
understanding of molecular mechanisms underlying polymicrobial infections in CRD
and OM.
PMID- 18037372
TI - Cd2+ versus Zn2+ uptake by the ZIP8 HCO3--dependent symporter: kinetics,
electrogenicity and trafficking.
AB - The mouse Slc39a8 gene encodes the ZIP8 transporter, which has been shown to be a
divalent cation/HCO3- symporter. Using ZIP8 cRNA-injected Xenopus oocyte
cultures, we show herein that: [a] ZIP8-mediated cadmium (Cd(2+)) and zinc
(Zn(2+)) uptake have V(max) values of 1.8+/-0.08 and 1.0+/-0.08 pmol/oocyte/h,
and K(m) values of 0.48+/-0.08 and 0.26+/-0.09 microM, respectively; [b] ZIP8
mediated Cd(2+) uptake is most inhibited by Zn(2+), second-best inhibited by
Cu(2+), Pb(2+) and Hg(2+), and not inhibited by Mn(2+) or Fe(2+); and [c]
electrogenicity studies demonstrate an influx of two HCO3- anions per one Cd(2+)
(or one Zn(2+)) cation, i.e. electroneutral complexes. Using Madin-Darby canine
kidney (MDCK) polarized epithelial cells retrovirally infected with ZIP8 cDNA and
tagged with hemagglutinin at the C-terminus, we show that-similar to ZIP4-the
ZIP8 eight-transmembrane protein is largely internalized during Zn(2+)
homeostasis, but moves predominantly to the cell surface membrane (trafficking)
under conditions of Zn(2+) depletion.
PMID- 18037373
TI - Bitter peptides activate hTAS2Rs, the human bitter receptors.
AB - Fermented food contains numerous peptides derived from material proteins. Bitter
peptides formed during the fermentation process are responsible for the bitter
taste of fermented food. We investigated whether human bitter receptors (hTAS2Rs)
recognize bitterness of peptides with a heterologous expression system. HEK293
cells expressing hTAS2R1, hTAS2R4, hTAS2R14, and hTAS2R16 responded to bitter
casein digests. Among those cells, the hTAS2R1-expressing cell was most strongly
activated by the synthesized bitter peptides Gly-Phe and Gly-Leu, and none of the
cells was activated by the non-bitter dipeptide Gly-Gly. The results showed that
these bitter peptides, as well as many other bitter compounds, activate hTAS2Rs,
suggesting that humans utilize these hTAS2Rs to recognize and perceive the
structure and bitterness of peptides.
PMID- 18037374
TI - Simvastatin enhances endothelial differentiation of peripheral blood mononuclear
cells in hypercholesterolemic patients and induces pro-angiogenic cytokine IL-8
secretion from monocytes.
AB - BACKGROUND: Statins are known to have pleiotropic effects. We examined the effect
and mechanism of simvastatin therapy on EPC differentiation and pro-angiogenic
cytokines in patients with hypercholesterolemia. METHODS: Twenty-two
hypercholesterolemia patients without any other modifiable cardiovascular risk
factors or history of previous lipid-lowering therapy were given simvastatin 20
mg/day for 4 weeks. Blood were drawn pre- and post-therapy. The in vitro effects
of simvastatin were studied in a separate set of experiments. RESULTS:
Simvastatin treatment significantly increased the number of DiI-acLDL, UEA-1
lectin double-positive EPCs and facilitated its appearance. By FACS analysis of
freshly isolated PBMNCs, KDR (+) cells increased after simvastatin treatment
while there were no differences in CD34, AC133, and VE-cadherin. Also, serum
concentration of IL-8 was markedly increased, while VEGF was only slightly
increased. In vitro, PBMNCs co-cultured with simvastatin showed increased cluster
formation at day 7, and simvastatin facilitated the appearance and networking of
EPCs compared with vehicle. Simvastatin-co-cultured PBMNCs showed significantly
increased KDR (+) cells, in contrast to CD34, CD31, and VE-Cadherin (+) cells. In
response to simvastatin, IL-8 was mainly increased in monocyte culture
supernatants while VEGF increased in smooth muscle cell culture supernatants.
These cytokines were associated with increased EPC migratory function. The
increase in IL-8 secretion from monocytes by statin treatment was associated with
phosphorylation and inactivation of GSK3beta, which was reversed by constitutive
activation of GSK-3beta. CONCLUSION: Simvastatin enhances endothelial
differentiation of peripheral blood mononuclear cells in patients with
hypercholesterolemia and increases pro-angiogenic cytokine IL-8 secretion from
monocytes. Our results may explain the pro-angiogenic effects associated with
statin therapy and offer further evidence of statin pleiotropism.
PMID- 18037375
TI - Developmental silencing and independency from E2F of apoptotic gene expression in
postmitotic tissues.
AB - The involvement of caspases in postmitotic cell death is controversial. Here we
report that adult brain and heart are devoid of many key pro-apoptotic proteins
due to a progressive postnatal silencing event involving a reduction of their
transcript levels. E2F has been shown to control cell cycle progression and to be
transcriptional activator of apoptotic genes. However, our data demonstrate that
apoptotic gene expression in heart, brain and liver, as well as cardiac and
neuronal apoptotic gene silencing during development, are E2F-independent events.
Therefore, the genes regulating caspase-dependent cell death are expressed in
embryonic organs in an E2F-independent manner and a developmental-related
silencing event represses these genes in postmitotic adult tissues.
PMID- 18037377
TI - Reversible dissociation of flavin mononucleotide from the mammalian membrane
bound NADH: ubiquinone oxidoreductase (complex I).
AB - Conditions for the reversible dissociation of flavin mononucleotide (FMN) from
the membrane-bound mitochondrial NADH:ubiquinone oxidoreductase (complex I) are
described. The catalytic activities of the enzyme, i.e. rotenone-insensitive
NADH:hexaammineruthenium III reductase and rotenone-sensitive NADH:quinone
reductase decline when bovine heart submitochondrial particles are incubated with
NADH in the presence of rotenone or cyanide at alkaline pH. FMN protects and
fully restores the NADH-induced inactivation whereas riboflavin and flavin
adenine dinucleotide do not. The data show that the reduction of complex I
significantly weakens the binding of FMN to protein thus resulting in its
dissociation when the concentration of holoenzyme is comparable with K(d (
approximately 10(-8)M at pH 10.0).
PMID- 18037378
TI - A novel ferritin gene, SferH-5, reveals heterogeneity of the 26.5-kDa subunit of
soybean (Glycine max) seed ferritin.
AB - A novel ferritin cDNA, SferH-5, has been cloned from 7-day-old soybean seedlings.
Putative SferH-5 has 96% identity with SferH-1 reported previously. All the five
amino acid variants distributed in the mature region are not involved in highly
conserved residues associated with ferroxidase activity center. We speculate that
SferH-5 encodes a novel 26.5-kDa subunit of soybean seed ferritin, which is
designated H-5 in this study. Recombinant H-5 was able to assemble, together with
co-expressed H-2, as a functional soybean seed ferritin-like complex, H-5/H-2.
Our data reveal the potential heterogeneity of the 26.5-kDa subunit of soybean
seed ferritin.
PMID- 18037379
TI - The heterotrimeric [corrected] G protein subunit G alpha i is present on
mitochondria.
AB - Receptors that signal through heterotrimeric [corrected] GTP binding (G) proteins
mediate the majority of intercellular communication. Recent evidence suggests
that receptors acting through G proteins also transfer signals across the nuclear
membrane. Here we present cell fractionation and immunolabeling data showing that
the heterotrimeric [corrected] G protein subunit Galphai is associated with
mitochondria. This finding suggests that G protein receptor signaling may be a
feature common to all membranes.
PMID- 18037380
TI - A soluble and active form of Wnt-3a protein is involved in myogenic
differentiation after cholesterol depletion.
AB - Cholesterol is one of the major lipids of plasma membranes. Recently, we have
shown that cholesterol depletion by methyl-beta-cyclodextrin (M beta CD) induces
the activation of the Wnt/beta-catenin pathway and enhances myogenic
differentiation. Here, we show that M beta CD-conditioned media accelerates
myogenesis in a similar way as M beta CD does, suggesting that the effects
induced by M beta CD could be caused by soluble factors present in the culture
medium. Soluble Wnt-3 protein is significantly enhanced in M beta CD-conditioned
medium. Wnt-3a-enriched media induces myogenesis as much as M beta CD does,
whereas Wnt-5a-enriched media inhibits. We suggest that Wnt-3a is involved in the
myogenic induction observed after cholesterol depletion.
PMID- 18037376
TI - TNF-alpha and adipocyte biology.
AB - Dyslipidemia and insulin resistance are commonly associated with catabolic or
lipodystrophic conditions (such as cancer and sepsis) and with pathological
states of nutritional overload (such as obesity-related type 2 diabetes). Two
common features of these metabolic disorders are adipose tissue dysfunction and
elevated levels of tumour necrosis factor-alpha (TNF-alpha). Herein, we review
the multiple actions of this pro-inflammatory adipokine on adipose tissue
biology. These include inhibition of carbohydrate metabolism, lipogenesis,
adipogenesis and thermogenesis and stimulation of lipolysis. TNF-alpha can also
impact the endocrine functions of adipose tissue. Taken together, TNF-alpha
contributes to metabolic dysregulation by impairing both adipose tissue function
and its ability to store excess fuel. The molecular mechanisms that underlie
these actions are discussed.
PMID- 18037382
TI - Different functional classes of genes are characterized by different
compositional properties.
AB - A compositional analysis on a set of human genes classified in several functional
classes was performed. We found out that the GC3, i.e. the GC level at the third
codon positions, of the genes involved in cellular metabolism was significantly
higher than those involved in information storage and processing. Analyses of
human/Xenopus ortologous genes showed that: (i) the GC3 increment of the genes
involved in cellular metabolism was significantly higher than those involved in
information storage and processing; and (ii) a strong correlation between the GC3
and the corresponding GCi, i.e. the GC level of introns, was found in each
functional class. The non-randomness of the GC increments favours the selective
hypothesis of gene/genome evolution.
PMID- 18037381
TI - Long and short isoforms of Neurospora clock protein FRQ support temperature
compensated circadian rhythms.
AB - The large (l) and small (s) isoforms of FREQUENCY (FRQ) are elements of
interconnected feedback loops of the Neurospora circadian clock. The expression
ratio of l-FRQ vs. s-FRQ is regulated by thermosensitive splicing of an intron
containing the initiation codon for l-FRQ. We show that this splicing is
dependent on light and temperature and displays a circadian rhythm. Strains
expressing only l-FRQ or s-FRQ support short and long temperature-compensated
circadian rhythms, respectively. The thermosensitive expression ratio of FRQ
isoforms influences period length in wt. Our data indicate that differential
expression of FRQ isoforms is not required for temperature compensation but
rather provides a means to fine-tune period length in response to ambient
temperature.
PMID- 18037383
TI - Histidine residues in the IS3-IS4 loop are critical for nickel-sensitive
inhibition of the Cav2.3 calcium channel.
AB - We recently reported that a histidine (H191) in the S3-S4 loop of domain I is
critical for nickel inhibition of the Cav3.2 T-type Ca2+ channel. As in Cav3.2,
two histidine residues are commonly found in the IS3-IS4 loops of mammalian
Cav2.3 Ca2+ channels, which are also blocked by low micromolar concentrations of
nickel. We show here by site-directed mutagenesis and electrophysiology that both
residues contribute to the nickel sensitivity of Cav2.3, with H183 being more
critical than H179. These findings strongly suggest that both H179 and H183 in
the IS3-IS4 loop are essential structural determinants required for nickel
sensitive inhibition of the Cav2.3.
PMID- 18037384
TI - Heparin enhances the furin cleavage of HIV-1 gp160 peptides.
AB - Infectious HIV-1 requires gp160 cleavage by furin at the REKR511 downward arrow
motif (site1) into the gp120/gp41 complex, whereas the KAKR503 (site2) sequence
remains uncleaved. We synthesized 41mer and 51mer peptides, comprising site1 and
site2, to study their conformation and in vitro furin processing. We found that,
while the previously reported 19mer and 13mer analogues represent excellent in
vitro furin substrates, the present extended sequences require heparin for
optimal processing. Our data support the hypothesis of a direct binding of
heparin with site1 and site2, allowing selective exposure/accessibility of the
REKR sequence, which is only then optimally cleaved by furin.
PMID- 18037385
TI - Comparative codon and amino acid composition analysis of Tritryps-conspicuous
features of Leishmania major.
AB - Comparative analyses of codon/amino acid usage in Leishmania major, Trypanosoma
brucei and Trypanosoma cruzi reveal that gene expressivity and GC-bias play key
roles in shaping the gene composition of all three parasites, and protein
composition of L. major only. In T. brucei and T. cruzi, the major contributors
to the variation in protein composition are hydropathy and/or aromaticity.
Principle of Cost Minimization is followed by T. brucei, disregarded by T. cruzi
and opposed by L. major. Slowly evolving highly expressed gene-products of L.
major bear signatures of relatively AT-rich ancestor, while faster evolution
under GC-bias has characterized the lowly expressed genes of the species by
higher GC12-content.
PMID- 18037386
TI - Saccharomyces cerevisiae phospholipid:diacylglycerol acyl transferase (PDAT)
devoid of its membrane anchor region is a soluble and active enzyme retaining its
substrate specificities.
AB - A N-terminal deleted version of the Saccharomyces cerevisiae
phospholipid:diacylglycerol acyltransferase (ScPDAT), lacking the predicted
membrane-spanning region, was fused in frame with alpha-factor secretion signal
and expressed in Pichia pastoris under the control of the methanol inducible
alcohol oxidase promoter. This resulted in a truncated, soluble and highly active
PDAT protein secreted into the culture medium of the recombinant cells. The
soluble as well as native membrane bound enzymes was shown to be glycosylated and
extensive deglycosylation severely lowered the activity. The production of a
soluble and extracellular PDAT allowed us to investigate substrate preferences of
the enzyme without interference of endogenous lipids and enzymes. Similar to the
membrane bound counterpart, the highest activity was achieved with acyl groups at
sn-2 position of phosphatidylethanolamine as acyl donor and 1,2-diacylglycerols
as acyl acceptor. The soluble enzyme was also able to catalyze, at a low rate, a
number of transacylation reactions between various neutral lipids and between
polar lipids and neutral lipids others than diacylglycerols, including acylation
of long chain alcohols.
PMID- 18037387
TI - Special issue: inhibitors of protein kinases.
PMID- 18037388
TI - Thermal stimulation causes tooth deformation: a possible alternative to the
hydrodynamic theory?
AB - OBJECTIVES: To investigate the relationship between temperature distribution and
tooth structure deformation during and after localised application of thermal
stimuli used during pulp vitality testing. METHODS: Strains and temperature
changes within tooth structures were recorded when three different thermal
stimuli, namely heated gutta percha (120-140 degrees C), carbon dioxide dry ice (
72 degrees C) and refrigerant spray (-50 degrees C), were applied to extracted
bovine incisors. Each stimulus was applied for 5s on the labial enamel surface in
a random order, with a 30-min interval between tests. Finite element analysis was
performed on basic geometrical shapes to investigate structural deformation in
relation to temperature change. RESULTS: Application of thermal stimuli to the
labial enamel surface resulted in rapid development of strain at the pulpal
dentine surface before any temperature change was detected at the dentino-enamel
junction. The strain pattern was biphasic; heat produced an initial contraction
of the pulpal surface, followed by an expansion, and the reverse pattern was
found with cold stimulation. Finite element analysis confirmed that the initially
pronounced thermal gradient across the enamel and dentine caused rapid flexural
deformation before temperature changes reached the dentino-enamel junction. When
the temperature changes reached the pulpal dentine and thus reduced the thermal
gradient, the direction of the strain was reversed. CONCLUSION: These results
indicate possible alternatives to the hydrodynamic theory for thermal stimuli
applied to intact teeth. Mechanically induced dentine deformation may trigger
nerve impulses directly, or may exert mechanically induced dentinal fluid flow
that triggers nerve activity.
PMID- 18037390
TI - Imagery about suicide in depression--"Flash-forwards"?
AB - Suicide is a significant world health problem, with more deaths by suicide
globally than by war. We need to better understand the cognitive processes
underlying suicidal thinking for improved treatment development. Cognitive
psychology indicates that mental imagery can be causal in determining future
behavior, yet the occurrence of suicide-related imagery has not previously been
investigated. Interviews with 15 depressed and formerly suicidal patients in
remission found that all patients reported experiencing detailed mental imagery
in addition to verbal thoughts when at their most despairing, for example images
of making a future suicide attempt. A clinical measure of the severity of
suicidal ideation was associated with both preoccupation with suicide-related
imagery and perceived imagery realness. Echoing flashbacks in posttraumatic
stress disorder, the current images appeared like "flash-forwards" to suicide.
These results provide the first data to our knowledge on the existence of mental
imagery in suicidality, opening a promising new avenue for research.
PMID- 18037391
TI - Imagery rescripting and reprocessing therapy after failed prolonged exposure for
post-traumatic stress disorder following industrial injury.
AB - Prolonged exposure (PE) has been reported to be effective for improving post
traumatic stress symptoms in 60-65% of trauma victims suffering from post
traumatic stress disorder (PTSD). This study examined the results of adding an
imagery-based, cognitive restructuring component (imagery rescripting and
reprocessing therapy, IRRT) to the treatment of 23 Type I trauma victims
suffering from PTSD, all of whom failed to improve with PE alone. With the added
treatment component, 18 of 23 clients showed a full recovery from their PTSD
symptoms, and no longer met criteria for PTSD after 1-3 sessions of IRRT. It was
noteworthy that non-FEAR emotions (e.g., guilt, shame, anger) were found to be
predominant for all 23 PE failures examined in this study, suggesting that a
simple habituation model (on which PE is based) is not sufficient to address non
FEAR emotions in PTSD. By contrast, IRRT, a cognitive restructuring treatment,
was much more effective in PTSD symptom reduction for these clients. It was
proposed that more detailed, individualized trauma assessments be conducted for
each patient that focus on (1) identifying the predominant trauma-related
emotions and cognitions that maintain the PTSD response, and (2) finding the best
CBT "treatment fit" for the specific trauma characteristics of each patient.
PMID- 18037392
TI - Perceptual and conceptual processing as predictors of treatment outcome in PTSD.
AB - Cognitive behavioural treatment (CBT) is highly effective in treating post
traumatic stress disorder (PTSD). However, the mechanisms of change are still
poorly understood. The aim of the present study was to investigate trauma
processing during and after CBT for PTSD. Treatment consisted of imaginal
exposure combined with rescripting. The rationale of this treatment is that
dysfunctional interpretations may best be corrected by inducing new perspectives
on what happened during trauma by experiencing new views and new emotions. In
twenty-five chronic patients with PTSD, we tested whether an initial increase of
perceptual processing and a subsequent increase of conceptual processing
predicted treatment outcome. Possible changes in perceptual/conceptual processing
during and after treatment were inferred from changes in trauma memories from pre
to post-treatment and from post- to 1-month follow-up. These memory parameters
were assessed by analysing trauma narratives that were produced before the first
treatment session, after the last treatment session and at follow-up. Consistent
with predictions, a relative increase of conceptual processing after treatment
predicted treatment outcome levels for both PTSD symptoms and general
psychopathology at 1-month follow-up. Although a relative increase of perceptual
processing during treatment also predicted treatment outcome, this effect was
explained by the beneficial effect of a subsequent increase of conceptual
processing. But an increase of perceptual processing during treatment was
strongly related to an increase of conceptual processing after treatment. The
results suggest that imaginal reliving during CBT is not crucial for symptom
reduction, but it may promote conceptual processing, which in itself predicts a
better treatment outcome.
PMID- 18037393
TI - Na+/Ca2+ exchanger subtype (NCX1, NCX2, NCX3) protein expression in the rat
hippocampus following 3 min and 8 min durations of global cerebral ischemia.
AB - There is increasing evidence that the sodium-calcium exchanger (NCX) subtypes,
NCX1, NCX2 and NCX3 play an important role in intracellular calcium
homeostasis/dysregulation following cerebral ischemia. In the present study we
examined NCX1, NCX2 and NCX3 protein levels in the rat hippocampus at 3, 6, 12,
18, 24 and 48 h following a 3 min and 8 min duration of global cerebral ischemia.
We observed that NCX1 protein levels were significantly increased by 22.3% and
20.6% at the 6 and 12 h respective time points following a 3 min duration of
global ischemia, while NCX2 and NCX3 protein levels remained relatively constant.
Following a 8 min duration of global ischemia, NCX1 protein levels remained
relatively constant, while NCX2 protein levels were down-regulated by 6.9%,
10.8%, 14.4% and 10.3% at the 6, 18, 24 and 48 h respective time points, and NCX3
protein levels were up-regulated by 22.1% at the 18 h time point. Taken together,
our results show that NCX subtype protein expression is sensitive to cerebral
ischemia, and indicates that changes in NCX activity may be playing an important
role in calcium maintenance and neuronal outcome following ischemia.
PMID- 18037394
TI - Activation of ERK in the rostral ventromedial medulla is involved in hyperalgesia
during peripheral inflammation.
AB - We have previously shown that the extracellular signal-regulated kinase (ERK) is
activated in the rostral ventromedial medulla (RVM) during peripheral
inflammation. In the present study, the relationship between ERK signaling in the
RVM and pain hypersensitivity was investigated in the rat. Microinjection of
U0126, a mitogen-activated protein kinase kinase inhibitor, into the RVM
decreased phosphorylated ERK at 7 h after complete Freund's adjuvant (CFA)
injection into the hindpaw. The U0126 microinjection also attenuated thermal
hyperalgesia in the ipsilateral hindpaw at 24 h after CFA injection. The
ipsilateral paw withdrawal latency in the U0126 group (67.9%+/-5.3% vs. baseline,
n=7) was significantly longer than that in the control group (52.0%+/-3.6% vs.
baseline, n=8). These findings suggest that activation of ERK in the RVM
contributes to thermal hyperalgesia during peripheral inflammation.
PMID- 18037395
TI - Computerized heart sounds analysis.
AB - This paper is concerned with a synthesis study of the fast Fourier transform
(FFT), the short-time Fourier transform (STFT), the Wigner distribution (WD) and
the wavelet transform (WT) in analysing the phonocardiogram signal (PCG). It is
shown that these transforms provide enough features of the PCG signals that will
help clinics to obtain qualitative and quantitative measurements of the time
frequency (TF) PCG signal characteristics and consequently aid diagnosis.
Similarly, it is shown that the frequency content of such a signal can be
determined by the FFT without difficulties. The studied techniques (FT, STFT, WD,
CWT, DWT and PWT) of analysis can thus be regarded as complementary in the TF
analysis of the PCG signal; each will relate to a part distinct from the analysis
in question.
PMID- 18037396
TI - Comments on "optimization and parallelization strategies for Monte Carlo
simulation of HIV infection" by D. Hecquet, H.J. Ruskin and M. Crane. Computers
in Biology and Medicine, vol. 37, 691, 2007.
PMID- 18037397
TI - Overexpression of PPK-1, the Caenorhabditis elegans Type I PIP kinase, inhibits
growth cone collapse in the developing nervous system and causes axonal
degeneration in adults.
AB - Growth cones are dynamic membrane structures that migrate to target tissue by
rearranging their cytoskeleton in response to environmental cues. The lipid
phosphatidylinositol (4,5) bisphosphate (PIP(2)) resides on the plasma membrane
of all eukaryotic cells and is thought to be required for actin cytoskeleton
rearrangements. Thus PIP(2) is likely to play a role during neuron development,
but this has never been tested in vivo. In this study, we have characterized the
PIP(2) synthesizing enzyme Type I PIP kinase (ppk-1) in Caenorhabditis elegans.
PPK-1 is strongly expressed in the nervous system, and can localize to the plasma
membrane. We show that PPK-1 purified from C. elegans can generate PIP(2)in vitro
and that overexpression of the kinase causes an increase in PIP(2) levels in
vivo. In developing neurons, PPK-1 overexpression leads to growth cones that
become stalled, produce ectopic membrane projections, and branched axons. Once
neurons are established, PPK-1 overexpression results in progressive membrane
overgrowth and degeneration during adulthood. These data suggest that
overexpression of the Type I PIP kinase inhibits growth cone collapse, and that
regulation of PIP(2) levels in established neurons may be important to maintain
structural integrity and prevent neuronal degeneration.
PMID- 18037399
TI - The zebrafish cerebellar rhombic lip is spatially patterned in producing granule
cell populations of different functional compartments.
AB - The upper rhombic lip, a prominent germinal zone of the cerebellum, was recently
demonstrated to generate different neuronal cell types over time from spatial
subdomains. We have characterized the differentiation of the upper rhombic lip
derived granule cell population in stable GFP-transgenic zebrafish in the context
of zebrafish cerebellar morphogenesis. Time-lapse analysis followed by individual
granule cell tracing demonstrates that the zebrafish upper rhombic lip is
spatially patterned along its mediolateral axis producing different granule cell
populations simultaneously. Time-lapse recordings of parallel fiber projections
and retrograde labeling reveal that spatial patterning within the rhombic lip
corresponds to granule cells of two different functional compartments of the
mature cerebellum: the eminentia granularis and the corpus cerebelli. These
cerebellar compartments in teleosts correspond to the mammalian
vestibulocerebellar and non-vestibulocerebellar system serving balance and
locomotion control, respectively. Given the high conservation of cerebellar
development in vertebrates, spatial partitioning of the mammalian granule cell
population and their corresponding earlier-produced deep nuclei by patterning
within the rhombic lip may also delineate distinct functional compartments of the
cerebellum. Thus, our findings offer an explanation for how specific functional
cerebellar circuitries are laid down by spatio-temporal patterning of cerebellar
germinal zones during early brain development.
PMID- 18037400
TI - Involvement of serotonin receptors 5-HT1 and 5-HT2 in 12(S)-HPETE-induced
scratching in mice.
AB - The mechanisms of 12(S)-hydroperoxyeicosa-5Z,8Z,10E,14Z-tetraenoic acid (12(S)
HPETE)-induced scratching were studied in ICR mice. In a recent paper, we
demonstrated that the 12(S)-HPETE-induced scratching was reduced not by U75302
(BLT(1) receptor antagonist), but by LY255283 (BLT(2) receptor antagonist). In
the present study, we tested various compounds to elucidate the mechanism of
12(S)-HPETE-induced scratching relating to transient receptor potential vanilloid
type-1 (TRPV1), histamine receptor (H(1)) and several serotonin receptors (5
HT(1), 5-HT(2), and 5-HT(3)). As a result, 12(S)-HPETE-induced scratching was
suppressed by capsaicin (TRPV1 receptor agonist), but not by capsazepine (TRPV1
receptor antagonist). Additionally, chlopheniramine (H(1) receptor antagonist)
did not suppress 12(S)-HPETE-induced scratching, but cyproheptadine (H(1)
receptor and serotonin 5-HT(2) receptor antagonist) potently suppressed the same
response. Therefore, we tested several serotonin receptor antagonists to explain
the detailed mechanisms relating to serotonin receptors. The scratching was
reduced by WAY100635 (5-HT(1) receptor antagonist), or ketanserin (5-HT(2)
receptor antagonist), but not by ondansetron (5-HT(3) receptor antagonist), after
intradermal injection of 12(S)-HPETE. These results suggest that serotonin 5
HT(1/2) receptors are implicated in 12(S)-HPETE-induced scratching in ICR mice
and that the TRPV1 receptor might not be directly related to 12(S)-HPETE-induced
scratching.
PMID- 18037398
TI - Mechanisms underlying pituitary hypoplasia and failed cell specification in Lhx3
deficient mice.
AB - The LIM homeodomain transcription factor, LHX3, is essential for pituitary
development in mouse and man. Lhx3 engineered null mice have profound pituitary
hypoplasia that we find is attributable to an increase in cell death early in
pituitary development. Dying cells are localized to regions of TPIT expression
indicating that cell death may contribute to the severe reduction in
differentiated corticotrope cells and lower expression of the corticotrope
transcription factors, TPIT and NEUROD1. Lhx3 deficiency also results in dorsal
ectopic expression of transcription factors characteristic of gonadotropes, SF1
and ISL1, but no gonadotropin expression. This apparent disturbance of cell
differentiation may be due, in part, to loss of NOTCH2. NOTCH2 is normally
expressed in the pituitary at the boundary between dorsal, proliferating cells
and ventral, differentiating cells and is important for maintaining dorsal
ventral patterning in other organs. Thus, Lhx3 contributes significantly to
pituitary development by maintaining normal dorsal-ventral patterning, cell
survival, and normal expression of corticotrope-specific transcription factors,
which are necessary for repressing ectopic gonadotrope differentiation.
PMID- 18037402
TI - The effects on hTERT gene expression is an additional mechanism of amino
bisphosphonates in prostatic cancer cells.
AB - Many studies have demonstrated various effects of bisphosphonates on several
cancer cells and it is accepted that their anti-tumor activity is related to
interference with the mevalonate pathway. In addition, it is well known that gene
expression of hTERT, the catalytic subunit of the telomerase, is elevated in
prostatic cancer. In the prostate cancer cell lines we investigated the effects
on hTERT gene expression of several bisphosphonates. We also evaluated whether
the observed levels of expression were affected by the exposure to an analogue of
the geranylgeranylpyrophosphate, the geranylgeraniol used to recover the
mevalonate pathway. Our results showed that the amino-bisphosphonates down
regulate hTERT gene expression and that combined treatment with geranylgeraniol
and zoledronate was able to revert only partially the effects on viability; on
the contrary, hTERT gene down-regulation was not affected by the restoration of
the mevalonate pathway. These results support the hypothesis that prostatic
cancer cells are targeted by amino-bisphosphonates also through a different
mechanism from the mevalonate pathway.
PMID- 18037401
TI - Comparison of the induction of P-glycoprotein activity by nucleotide, nucleoside,
and non-nucleoside reverse transcriptase inhibitors.
AB - Combination therapy against human immunodeficiency virus (HIV)-infection is
complicated by drug-drug interactions between antiretrovirals and also between
anti-HIV drugs and drugs used to treat co-morbidity. P-glycoprotein represents
one important site for drug interactions and induction of its function could
reduce the effectiveness of drugs that are P-glycoprotein substrates. We
therefore investigated induction of P-glycoprotein function in LS180 cells by non
nucleoside and nucleoside reverse transcriptase inhibitors (NNRTIs and NRTIs) and
tenofovir as essential components of antiretroviral combination therapy. P
glycoprotein activity was increased by all NNRTIs and some NRTIs with delavirdine
(5.3-fold at 100 muM) having the largest effect.
PMID- 18037403
TI - Role of Ca2+-sensitization in attenuated carbachol-induced contraction of the
colon in a rat model of colitis.
AB - Inflammatory bowel disease is associated with reduced colonic smooth muscle
contractility. However the underlying mechanism responsible for the decrease in
contractility is not fully understood. In this study we investigated the role of
Ca(2+)-sensitization in reduced carbachol-induced contraction of colonic segments
from rats treated with trinitrobenzenesulphonic acid (TNBS). Functional
alterations in RhoA/Rho-kinase and protein kinase C (PKC) pathways were examined
using specific antagonists, Y-27632 and GF-109203X respectively. In this study,
TNBS-induced colitis was associated with a decrease in the maximum response but
not sensitivity to carbachol. Permeabilized inflamed colonic segments showed
greater sensitivity to Ca(2+) as compared to controls, indicating greater Ca(2+)
sensitivity of the myofilaments. In contrast, carbachol-induced increase in
Ca(2+)-sensitization was reduced in these tissues suggesting that the reduced
carbachol-induced contraction could be due to decreased Ca(2+)-sensitization. Y
27632, a Rho-kinase inhibitor, induced significantly greater relaxation in colon
strips from TNBS-treated rats indicating higher basal tone in these tissues. This
is consistent with increased expression of Rho-kinase in the inflamed colon. Y
27632 concentration-dependently inhibited carbachol-induced contractions in
control and TNBS-treated rats. However its effect was not significantly different
between the two groups. GF-109203X, a PKC antagonist, produced concentration
dependent reduction in carbachol-induced contractions in control and TNBS-treated
rats. GF-109203X was less effective in reducing carbachol-induced contractions of
colonic segments from TNBS-treated rats suggesting a defect in PKC activation.
Western blotting analysis showed reduced expression of total PKC in inflamed
colonic smooth muscle. Carbachol-induced phosphorylation of CPI-17 was also
reduced in colonic segments from TNBS-treated rats. These findings suggest that
Ca(2+)-sensitization in rat colon involves both the PKC and the Rho-kinase
pathways and that the reduced carbachol-induced contraction in colitis was due to
inflammation-induced changes in Ca(2+)-sensitization involving a defect in the
PKC pathway.
PMID- 18037404
TI - Distinctive role of central histamine H3 receptor in various orexigenic pathways.
AB - Despite the well-established role of histamine as an anorexigenic
neurotransmitter, the role of histamine H(3) receptors in feeding behavior is
controversial. Herein we investigated the role of histamine H(3) receptor on
several orexigenic agents in mice. Thioperamide (histamine H(3) receptor inverse
agonist) inhibited neuropeptide Y- and nociceptin-induced hyperphagia but had no
effect on U-50488 (opioid kappa-receptor agonist)-induced hyperphagia. In
contrast, imetit (histamine H(3) receptor agonist) inhibited U-50488-induced
hyperphagia but augmented neuropeptide Y-induced hyperphagia while it did not
alter nociceptin-induced hyperphagia. These results indicate distinctive roles of
histamine H(3) receptors in various orexigenic pathways.
PMID- 18037405
TI - Foundations in cancer research. The turns of life and science.
AB - This chapter provides a personal insight into the scientific and social
atmosphere in former Czechoslovakia. It covers the period of the rise of Hasek's
immunologic school and application of immunologic tolerance to Rous sarcoma virus
(RSV) heterotransmission. These approaches permitted establishment of a new model
of mammalian cells transformed by RSV (virogenic XC cells), where the
noninfectious viral genome was kept indefinitely as new genetic information
(provirus). RSV was rescued from nonpermissive mammalian cells by fusion
(complementation) with permissive chicken fibroblasts; this opened the way to
understanding virus nonpermissiveness. Mammalian cells transformed by the reverse
transcript of v-src mRNA were characterized, and the resulting provirus was shown
to be highly oncogenic for chickens and to carry tumor-specific transplantation
antigen. Other areas covering epigenetic reversion of RSV-transformed cells and
long-term persistence of chicken leucosis viruses in foreign avian species are
discussed.
PMID- 18037406
TI - RUNX genes in development and cancer: regulation of viral gene expression and the
discovery of RUNX family genes.
AB - Mouse embryonal carcinoma (EC) cells, also called teratocarcinoma stem cells, are
nonpermissive for polyomavirus growth, whereas differentiated derivatives of the
cells are permissive. Mutant viruses capable of growing in EC cells can be
isolated. They have genomic alterations within the viral enhancer, which is
required for viral gene expression and DNA replication. This viral regulatory
region was considered as a potential probe for mouse cell differentiation. The 24
bp-long A element within the enhancer was identified as a minimum element, which
also shows a lower activity in EC cells compared with the differentiated cells.
Transcription factors PEA1/AP1, PEA2/PEBP2, and PEA3/ETS were identified as A
element-binding proteins. All of them are absent in EC cells and induced to be
expressed when the cells are differentiated. Although PEBP2 has a weaker
transactivation activity compared with other two, it is essential for the
enhancer function of the A element. Purification and cDNA cloning revealed that
PEBP2 has two subunits, DNA-binding alpha (PEBP2alpha) and non-DNA-binding beta
(PEBP2beta). PEBP2alpha was found to be highly homologous to a Drosophila
segmentation gene, runt, and a human gene AML1 that was identified as a part of
the fusion gene, AML1/ETO (MTG8) generated by t(8;21) chromosome translocation
associated with acute myelogenous leukemia (AML). Core-binding factor (CBF),
which interacts with a murine retrovirus enhancer, was found to be identical to
PEBP2. runt, PEBP2alpha and AML1 are now termed RUNX family, which are involved
in cell specification during development. There are three mammalian RUNX genes,
RUNX1, RUNX2, and RUNX3. RUNX1 is essential for generation of hematopoietic stem
cells and is involved in human leukemia. RUNX2 is essential for skeletal
development and has an oncogenic potential. RUNX3 is expressed in wider ranges of
tissues and has multiple roles. Among others, RUNX3 is a major tumor suppressor
of gastric and many other solid tumors.
PMID- 18037407
TI - The RNA continent.
AB - Recent progress in the analyses of the mouse transcriptome leads to unexpected
discoveries. The mouse genomic sequences read by RNA polymerase II may be six
times more than previously expected for human chromosomes. The transcript
abundant regions (named "transcription forests") occupy more than half of the
genomic sequence and are divided by transcript-scarce regions (transcription
deserts). Many of the coding mRNAs may have partially overlapping antisense RNAs.
There are transcripts bridging several adjacent genes that were previously
regarded as distinct ones. The transcription start sites appearing as cap
analysis of gene expression (CAGE) tags are mapped on the mouse genomic
sequences. Distributions of CAGE tags show that the shapes of mammalian gene
promoters can be classified into four major categories. These shapes were
conserved between mouse and human. Most of the gene has exonic transcription
start sites, especially in the 3' untranslated region (3' UTR) sequences. The
term "RNA continent" has been invented to express this unexpectedly complex and
prodigious mouse transcriptome. More than a half of the RNA polymerase II
transcripts are regarded as noncoding RNAs (ncRNAs). The great variety of ncRNAs
in mammalian transcriptome implies that there are many functional ncRNAs in the
cells. Especially, the evolutionarily conserved microRNAs play critical roles in
mammalian development and other biological functions. Moreover, many other ncRNAs
have also been shown to have biological significant functions, mainly in the
regulation of gene expression. The functional survey of the RNA continent has
just started. We will describe the state of the art of the RNA continent and its
impact on the modern molecular biology, especially on the cancer research.
PMID- 18037408
TI - The c-myc promoter: still MysterY and challenge.
AB - The transcription factor c-Myc is a key regulator of cell proliferation, cell
growth, differentiation, and apoptosis. Deregulated c-myc expression possesses a
high transformation potential and the proto-oncogene c-myc represents a promising
target in anticancer therapy. This review on the c-myc promoter describes its
organization, the different levels of its normal regulation (including initiation
and elongation of transcription, the dual P1/P2 promoters, chromatin structure, c
Myc autosuppression) as well as its deregulation in Burkitt's lymphoma.
Furthermore, it summarizes the many different transcription factors, signal
transduction pathways, and feedback loops that activate or repress c-myc
transcription. Finally, a concept for regulation of the c-myc promoter in
different biological settings, for example, immediate-early induction, constant
expression throughout the cell cycle in continuously cycling cells, repression
during terminal differentiation and deregulation in cancer, is formulated.
PMID- 18037409
TI - Designer self-assembling Peptide nanofiber scaffolds for study of 3-d cell
biology and beyond.
AB - Biomedical researchers have become increasingly aware of the limitations of the
conventional 2-D tissue cell cultures where most tissue cell studies including
cancer and tumor cells have been carried out. They are now searching and testing
3-D cell culture systems, something between a petri dish and a mouse. The
important implications of 3-D tissue cell cultures for basic cell biology, tumor
biology, high-content drug screening, and regenerative medicine and beyond are
far-reaching. How can nanobiotechnology truly advance the traditional cell,
tumor, and cancer biology? Why nano is important in biomedical research and
medical science? A nanometer is 1000 times smaller than a micrometer, but why it
matters in biology? This chapter addresses these questions. It has become more
and more apparent that 3-D cell culture offers a more realistic local environment
through the nanofiber scaffolds where the functional properties of cells can be
observed and manipulated. A new class of designer self-assembling peptide
nanofiber scaffolds now provides an ideal alternative system. Time has come to
address the 3-D questions because quantitative biology requires in vitro culture
systems that more authentically represent the cellular microenvironment in a
living organism. In doing so, in vitro experimentation can become truly more
predictive of in vivo systems.
PMID- 18037410
TI - Dendritic cells in cancer immunotherapy.
AB - Since their discovery, there has been significant progress in the understanding
of dendritic cell (DC) biology. Their capacity for priming an immune response
against pathogens and cancers has been exploited clinically. However, the
objective responses obtained to date using DC cancer vaccines have been modest.
Suboptimal DC preparations, limited tumor target antigens, and the essential need
to initiate trials in immunocompromised patients with advanced disease, have all
contributed to limited outcomes. The use of fully activated DCs, loaded with
multiple, immunogenic, cancer-specific antigens, administered to patients with
minimal residual disease and the manipulation of regulatory mechanisms underlying
peripheral tolerance, may be the ingredients for future success.
PMID- 18037411
TI - Distinct protein domains regulate ciliary targeting and function of C. elegans
PKD-2.
AB - TRPP2 (transient receptor potential polycystin-2) channels function in a range of
cells where they are localized to specific subcellular regions including the
endoplasmic reticulum (ER) and primary cilium. In humans, TRPP2/PC-2 mutations
severely compromise kidney function and cause autosomal dominant polycystic
kidney disease (ADPKD). The Caenorhabditis elegans TRPP2 homolog, PKD-2, is
restricted to the somatodendritic (cell body and dendrite) and ciliary
compartments of male specific sensory neurons. Within these neurons PKD-2
function is required for sensation. To understand the mechanisms regulating TRPP2
subcellular distribution and activity, we performed in vivo structure-function
localization studies using C. elegans as a model system. Our data demonstrate
that somatodendritic and ciliary targeting requires the transmembrane (TM) region
of PKD-2 and that the PKD-2 cytosolic termini regulate subcellular distribution
and function. Within neuronal cell bodies, PKD-2 colocalizes with the OSM-9 TRP
vanilloid (TRPV) channel, suggesting that these TRPP and TRPV channels may
function in a common process. When human TRPP2/PC-2 is heterologously expressed
in transgenic C. elegans animals, PC-2 does not visibly localize to cilia but
does partially rescue pkd-2 null mutant defects, suggesting that human PC-2 and
PKD-2 are functional homologs.
PMID- 18037413
TI - Guidelines on iron chelation therapy in patients with myelodysplastic syndromes
and transfusional iron overload.
AB - Experts believe that iron overload is an important problem which could be avoided
with suitable treatment. Guidelines on treating myelodysplastic syndromes (MDS)
include sections on using iron chelation therapy to prevent or ameliorate
transfusional iron overload. The proportion of MDS patients who may benefit from
iron chelation therapy is 35-55%, depending on the length of survival necessary
for iron to accumulate to a detrimental level. Candidates for iron chelation are
mainly patients with dyserythropoietic and cytopenic subtypes of disease, which
fall into the International Prognostic Scoring System (IPSS) Low-risk or
Intermediate-1-risk categories, with median survival of 3-6 years.
PMID- 18037414
TI - Novel treatment options for transfusional iron overload in patients with
myelodysplastic syndromes.
AB - Red blood cell transfusion dependency is common in myelodysplastic syndromes and
is associated with inferior survival. The use of parenteral deferoxamine therapy
for transfusional iron overload has been sparse, in part due to cumbersome
administration schedules. Deferasirox is an oral iron-chelating agent with
favorable pharmacokinetics, including a long half-life allowing continuous 24
hour chelation with once-daily dosing. Deferasirox produces dose-dependent
reductions in liver iron content and reduces cardiac iron levels. In-vitro
studies with deferasirox suggest improved cardiomyocyte contractility potentially
important in reducing excess cardiac mortality noted in transfusion-dependent
MDS. Deferasirox has a manageable safety profile with favorable patient
satisfaction reports.
PMID- 18037415
TI - Impact of transfusion dependency and secondary iron overload on the survival of
patients with myelodysplastic syndromes.
AB - Transfusion dependency is an independent prognostic factor in myelodysplastic
syndromes (MDS). The detrimental effect on survival is related to the severity of
transfusion requirement and is more noticeable in low-risk patients. Elevated
serum ferritin levels have a poor prognostic impact on the survival of
transfusion-dependent patients with refractory anemias, and can adversely affect
the outcome of patients with MDS receiving allogeneic stem-cell transplantation.
Sequential measurements of serum ferritin are useful for measuring secondary iron
overload in MDS patients. The implementation of non-invasive methods for
assessing tissue iron and organ function should clarify the impact of iron
mediated organ damage on patients with MDS.
PMID- 18037416
TI - Improving clinical outcome in patients with myelodysplastic syndrome and iron
overload using iron chelation therapy.
AB - Until recently, little information on the benefits of iron chelation therapy
(ICT) in patients with myelodysplastic syndrome (MDS) and iron overload was
known. A recent retrospective study showed improved survival in transfusion
dependent patients with MDS (Low or Intermediate-1 risk IPSS) receiving ICT,
compared with those not receiving ICT; median overall survival was not reached at
160 months versus 40 months, respectively. Significantly more patients receiving
ICT survived to 4 years (80% versus 44%; p < 0.03), suggesting that MDS patients
with iron overload might benefit from ICT. Prospective studies to confirm the
benefit of ICT in MDS are warranted.
PMID- 18037417
TI - A flow sensitive alternating inversion recovery (FAIR)-MRI protocol to measure
hemispheric cerebral blood flow in a mouse stroke model.
AB - Blood flow imaging is an important tool in cerebrovascular research. Mice are of
special interest because of the potential of genetic engineering. Magnetic
resonance imaging (MRI) provides three-dimensional noninvasive quantitative
methods of cerebral blood flow (CBF) imaging, but these MRI techniques have not
yet been validated for mice. The authors compared CBF imaging using flow
sensitive alternating inversion recovery (FAIR)-MRI and (14)C-Iodoantipyrine
(IAP)-autoradiography in a mouse model of acute stroke. Twenty-nine male
129S6/SvEv mice were subjected to filamentous left middle cerebral artery
occlusion (MCAo). CBF imaging was performed with (14)C-IAP autoradiography and
FAIR-MRI using two different anesthesia protocols, namely intravenous infusion of
etomidate or inhalation of isoflurane, which differentially affect perfusion.
Using (14)C-IAP autoradiography, the average CBF in ml/(100 g*min) was 160+/-34
(isoflurane, n=5) vs. and 59+/-21 (etomidate, n=7) in the intact hemisphere and
43+/-12 (isoflurane, n=5) vs. 36+/-12 (etomidate, n=7) in the MCAo hemisphere.
Using FAIR-MRI, the corresponding average CBFs were 208+/-56 (isoflurane, intact
hemisphere, n=7), 84+/-9 (etomidate, intact hemisphere, n=7), 72+/-22
(isoflurane, MCAo hemisphere, n=7) and 48+/-13 (etomidate, MCAo hemisphere, n=7).
Regression analysis showed a strong linear correlation between CBF measured with
FAIR-MRI and (14)C-IAP autoradiography, and FAIR-MRI overestimated CBF compared
to autoradiography. FAIR-MRI provides repetitive quantitative measurements of
hemispheric CBF in a mouse model of stroke.
PMID- 18037418
TI - Comparison of scarred and unscarred uterine ruptures.
PMID- 18037419
TI - Characterization of the local immune response to cyst antigens during the acute
and elimination phases of primary murine giardiasis.
AB - During the course of a giardial infection, the host's immune system is presented
with a variety of Giardia antigens as trophozoites differentiate, through
encysting cells, to form the infective cysts. Previous studies examining the
host's immune response during giardial infections have focused on trophozoite
derived antigens (Ags). In this study, we were interested to determine if the
host's immune system reacts to cyst Ags during the acute and elimination phases,
when there is cyst shedding. For this purpose, we used antigenic extracts from
trophozoites (Troph), encysting cells (ENC), and purified giardial cyst walls
(PCW), as well as purified recombinant cyst wall protein 2 (rCWP2). Comparative
analysis of the parasite extracts using SDS-PAGE analysis and surface-enhanced
laser desorption/ionization time of flight mass spectrometry resulted in the
detection of 175 protein entities, of which 26 were Troph-specific proteins, 17
ENC-specific proteins, and 31 were PCW-specific proteins. On the other hand, we
detected 34 proteins shared between Troph and ENC, 19 proteins that were shared
between ENC and PCW, and 29 proteins that were common to Troph and PCW. Finally,
we detected 19 proteins that were shared by all three extract samples. BALB/c
mice were infected with 10(5)Giardia muris cysts and sacrificed either at the
acute or elimination phases of infection (days 12 and 40, respectively), and
lymphocytes were isolated from the Peyer's patches (PP). Using flow cytometry, we
detected significant increases in the number of PP-derived CD4(+) and CD19(+),
but not CD8(+) lymphocytes. Quantification of the number of mucosal IL-4 and IFN
gamma secreting T-lymphocytes by enzyme-linked immunosorbent spot assay showed
that these cells reacted by secreting similar levels of IL-4 and IFN-gamma,
regardless of the Ag or the phase of infection. Analysis of intestinal humoral
immune responses by ELISA resulted in the detection of Ag-specific IgA and IgG
intestinal antibodies. Regardless of the Ag tested, a trend was consistently
observed where the concentration of local antibodies was found to be slightly
increased by the acute phase, where we detected approximately 200microg/mg of
specific IgA and approximately 300ng/ml of specific IgG in intestinal lavage of
infected mice. By the elimination phase, the amount of specific antibodies was
found to increase to approximately 600microg/mg of specific IgA and approximately
1300ng/ml of specific IgG antibodies. Finally, we tested the biological activity
of these antibodies and found that they were able to reduce the ability of
trophozoites to differentiate into cysts in vitro. Collectively, we believe these
results demonstrate for the first time the existence of significant cellular and
humoral immune responses against Giardia cyst Ags that may contribute to the
reduction of cyst shedding in infected animals.
PMID- 18037420
TI - Serum gamma-glutamyltransferase level and peripheral arterial disease.
AB - OBJECTIVE: We examined the association between increasing serum GGT levels and
PAD in the US general population. METHODS: Cross-sectional study among 3941
National Health and Nutrition Examination Survey 1999-2002 participants aged
>or=40 years. Main outcome-of-interest was PAD defined as ankle-brachial index
<0.9 (n=219). RESULTS: Overall, serum GGT levels were positively associated with
PAD among men but not women (p-interaction=0.0421). Among men, the multivariable
odds ratio (OR) [95% confidence intervals (CI)] comparing the highest quartile of
serum GGT (>35U/L) to the lowest quartile (<16U/L) was 4.25 (1.65-10.94); p
trend=0.0008. Also the observed positive association between GGT quartiles and
PAD among men was predominantly present among non-Hispanic whites and current
nondrinkers (multivariable OR [95% CI] comparing the highest quartile of serum
GGT to the lowest quartile was 10.59 [2.31-48.55]; p-trend=0.0104). In contrast
among women, the multivariable OR (95% CI) comparing the highest quartile of
serum GGT to the lowest quartile was 0.76 (0.41-1.41); p-trend=0.8308.
CONCLUSIONS: There was a positive association between serum GGT level and PAD
among men, particularly non-Hispanic white and nondrinker men, but not among
women. Future prospective studies are required to clarify the temporal nature of
this relationship and to confirm the observed gender-specific nature of this
association.
PMID- 18037421
TI - Rapid identification of fatty acid methyl esters using a multidimensional gas
chromatography-mass spectrometry database.
AB - A multidimensional approach for the identification of fatty acid methyl esters
(FAME) based on GC/MS analysis is described. Mass spectra and retention data of
more than 130 FAME from various sources (chain lengths in the range from 4 to 24
carbon atoms) were collected in a database. Hints for the interpretation of FAME
mass spectra are given and relevant diagnostic marker ions are deduced indicating
specific groups of fatty acids. To verify the identity of single species and to
ensure an optimized chromatographic resolution, the database was compiled with
retention data libraries acquired on columns of different polarity (HP-5, DB-23,
and HP-88). For a combined use of mass spectra and retention data standardized
methods of measurement for each of these columns are required. Such master
methods were developed and always applied under the conditions of retention time
locking (RTL) which allowed an excellent reproducibility and comparability of
absolute retention times. Moreover, as a relative retention index system,
equivalent chain lengths (ECL) of FAME were determined by linear interpolation.
To compare and to predict ECL values by means of structural features, fractional
chain lengths (FCL) were calculated and fitted as well. As shown in an example,
the use of retention data and mass spectral information together in a database
search leads to an improved and reliable identification of FAME (including
positional and geometrical isomers) without further derivatizations.
PMID- 18037422
TI - Novel method to prepare polystyrene-based monolithic columns for chromatographic
and electrophoretic separations by microwave irradiation.
AB - Microwave irradiation can provide a viable alternative to the traditional means
such as ultraviolet light and thermal initiation for the preparation of
monolithic capillary columns. Polystyrene-based monolithic stationary phases were
prepared in situ in fused-silica capillaries and simultaneously in vials. The
column permeability, electrophoretic and chromatographic behavior were evaluated
using pressure-assisted capillary electrochromatography (pCEC), capillary
electrochromatography (CEC) and low pressure liquid chromatography (LPLC). With
an optimal monolithic material, the largest theoretical plates for preparing the
column could be close to 18,000 plates/m for thiourea in the mode of pCEC.
Furthermore, the influence of the composition of the porogenic solvents
(toluene/isooctane) on the morphology of organic-based monoliths [poly(styrene
divinylbenzene-methacrylic acid)] was systematically studied with mercury
intrusion porosimetry and scanning electron microscopy. The monoliths which were
prepared with a high content of isooctane had a bigger pore size and better
permeability, and hence resulted in a faster separation.
PMID- 18037423
TI - Investigation of salt properties with electro-acoustic measurements and their
effect on dynamic binding capacity in hydrophobic interaction chromatography.
AB - The pH dependence in hydrophobic interaction chromatography (HIC) is usually
discussed exclusively in terms of protein dependence and there are no clear
defined trends. Many of the deviations from an ideal solution are caused solely
by the high salt concentration, as protein concentration is usually negligible.
So pH dependency in hydrophobic interaction chromatography could also be the
result of pH dependent changes of ion properties from the salt solution. The
possibility that pH dependent ion hydration or ion association in highly
concentrated salt solutions may influence the dynamic protein binding capacity
onto HIC resins was investigated. In buffer solutions commonly used in HIC e.g.
sodium chloride, ammonium sulphate and sodium citrate pH dependent maxima in the
electro-acoustic signals were found. These maxima are related to an increase of
the ion sizes by hydration or ion association. At low ionic strength the maxima
are in the range between 4.5 and 6 and they increased in concentrated electrolyte
solutions to values between 6 and 8. The range of these maxima is in the same
region as dynamic protein binding capacity maxima often observed in HIC. For a
qualitative interpretation of this phenomenon of increased protein stabilization
by volume exclusion effect extended scaling theory can be used. This theory
predicts a maximum of protein stabilization if the ratio of salt ion diameter to
water is 1.8. According to the hypothesis raised here, if the pH dependent ratio
of salt ion diameter to water approaches this value the transport of the protein
in the pore system is less restricted and an increase in binding capacity can be
produced.
PMID- 18037424
TI - Isolation of three sesquiterpene lactones from the roots of Cichorium glandulosum
Boiss. et Huet. by high-speed counter-current chromatography.
AB - Because of the skeletal complexity and similarity of the polarity, little
research was reported on the isolation of sesquiterpene lactones by high-speed
counter-current chromatography (HSCCC). Herein, three sesquiterpene lactones were
successfully purified from the ethyl acetate extract of the roots of the
traditional Uyghur medicinal plant Cichorium glandulosum Boiss. et Huet. by
HSCCC. The separation was performed in two steps with two solvent systems: n
hexane-ethyl acetate-methanol-water (1.5:5:2.75:5, v/v/v/v) and ethyl acetate
methanol-water (20:1:20, v/v/v). From 166 mg of the ethyl acetate extract, 19 mg
of lactucopicrin was isolated with the first solvent system and 10 mg of
11beta,13-dihydrolactucin and 16 mg of lactucin were obtained with the second
solvent system. All purified compounds were over 94% purity as determined by HPLC
analysis, and these chemical structures were confirmed by (1)H NMR and (13)C NMR.
PMID- 18037425
TI - Preparation of two new liquid chromatographic chiral stationary phases based on
diastereomeric chiral crown ethers incorporating two different chiral units and
their applications.
AB - Two new liquid chromatographic chiral stationary phases based on diastereomeric
chiral crown ethers incorporating two different chiral units such as optically
active 3,3'-diphenyl-1,1'-binaphthyl and tartaric acid unit were prepared.
Between the two CSPs, one was much superior to the other especially in the
resolution of tocainide and its analogues (for example, in the resolution of
tocainide the separation factor, alpha, was 4.26 vs. 1.00 on the two CSPs). From
these results, the two chiral units composing the two diastereomeric chiral crown
ether moieties of the stationary phases were expected to show "matched" or
"mismatched" effect on the chiral recognition according to their stereochemistry.
The different chiral recognition abilities of the two CSPs were rationalized by
the different three-dimensional structures of the two diastereomeric chiral crown
ethers.
PMID- 18037426
TI - Comparative study on the separation behavior of monolithic columns prepared via
ring-opening metathesis polymerization and via electron beam irradiation
triggered free radical polymerization for proteins.
AB - Monolithic columns have been prepared via ring-opening metathesis polymerization
using different monomers and crosslinkers, i.e. norborn-2-ene, 1,4,4a,5,8,8a
hexahydro-1,4,5,8-exo,endo-dimethanonaphthalene, cyclooctene and tris(cyclooct-4
en-1-yloxy)methylsilane. 2-Propanol and toluene were used as macro- and
microporogens. Alternatively, monolithic supports were realized via electron beam
triggered free radical polymerization using trimethylolpropane triacrylate and
ethylmethacrylate. Here, 2-propanol, 1-dodecanol and toluene were used as
porogens. The three monolithic supports were structurally characterized by
inverse size exclusion chromatography and investigated for their separation
capabilities for a series of proteins. Separation efficiencies are discussed
within the context of the different structural features of the monolithic
supports and are compared to the separation data obtained on a commercial silica
based Chromolith RP-18e column.
PMID- 18037427
TI - Use of 120-nm deep channels for liquid chromatographic separations.
AB - The present study reports on the exploration of the separation speed limits of
RPLC chromatography in open-tubular channels. Applying the shear-driven
chromatography principle in a 120-nm deep open channel, and using an improved
detection set-up, the separation of three coumarin dyes was detectable 8mm
downstream of the injection point. At this distance, separation efficiencies of N
= 17,900 - 24,100 plates were obtained at a velocity of 10 mm s(-1),
corresponding to a plate generation velocity of 21,100 to 28,300 plates per
second for the most and least retained component, respectively.
PMID- 18037428
TI - Prediction of the influence of the heat generated by viscous friction on the
efficiency of chromatography columns.
AB - The combination of the heat balance in a chromatographic column percolated by a
stream of mobile phase and of the model of band migration under linear conditions
along such a column permits the calculation of the axial and radial temperature
distributions in the column, of the elution band profiles, and of the column
efficiency under different sets of experimental conditions. The calculated
results are always consistent with the experimental results published by
different groups and often in good quantitative agreement. Minor discrepancies
arise from difficulties in deriving precise estimates of the heat transfers from
the column due to the massive endfittings of the column and to uncontrolled heat
transfer from the column tube to ambient air.
PMID- 18037429
TI - Solid-phase microextraction-gas chromatographic-tandem mass spectrometric
analysis of polycyclic aromatic hydrocarbons. Towards the European Union water
directive 2006/0129 EC.
AB - This article presents a solid-phase microextraction (SPME) procedure to determine
27 parent and alkylated polycyclic aromatic hydrocarbons (PAHs) with diverging
polarities and molecular masses in various types of water samples (tap, well,
superficial, and seawater). A 65-microm polydimethylsiloxane-divinylbenzene
(PDMS/DVB) fiber was used, and parameters affecting the extraction procedures
such as extraction temperature and time, desorption temperature and time,
splitless time, effect of an organic modifier or ionic adjustment were studied.
The linearity and precision of the proposed method were satisfactory. The use of
GC-MS determination in the full scan mode, in the selected ion monitoring (SIM)
mode, and in the GC-MS-MS mode provided the unequivocal identification and
quantification of the target analytes. Moreover, the proposed SPME-GC-MS-MS
method, extracting only 18 ml of sample, reached the very restrictive limits
fixed by the 2006/0129 EC proposal for a new water directive to be achieved by
2015. The matrix effects were evaluated through the analysis of tap, well,
superficial, and seawater. A discussion on different behaviors, a result of the
matrix effects, was included. Analytical recoveries were satisfactory in all
cases. The novelty of this work consisted in the wide range of analyzed PAHs, the
very low detection limits that were reached, the coupling of SPME-GC-MS-MS, and
the study of the matrix effect on water samples. With this developed procedure,
detection limits between 0.07 and 0.76 ng l(-1) and quantification limits between
0.10 and 0.98 ng l(-1) were obtained with MS-MS detection. Moreover, the
analytical recoveries for different aqueous matrices were near 100% in all cases.
PMID- 18037430
TI - Poly(phthalazine ether sulfone ketone) as novel stationary phase for stir bar
sorptive extraction of organochlorine compounds and organophosphorus pesticides.
AB - A novel poly(phthalazine ether sulfone ketone) (PPESK) film prepared by immersion
precipitation technique was coated on stir bars for sorptive extraction. Scanning
electron micrographs showed that the coating has a denser porous surface (about 1
microm in thickness) with a sponge-like sublayer, and the thickness of the
coating was 250 microm. The PPESK coated stir bar has high thermostability (290
degrees C) and long lifetime (50 times). The extraction properties of this stir
bar were evaluated for the extraction of both polar and semi-polar analytes,
including organochlorine compounds and organophosphorus pesticides. The PPESK
stir bar was proved to show higher affinity towards polar compounds than that of
PDMS coated stir bar and higher sample load compared with corresponding PPESK
fiber. It was applied to the determination of organochlorine compounds in
seawater samples and organophosphorus pesticides in juices by gas chromatographic
analysis. The effect of sample matrix was evaluated at optimized condition of
extraction temperature, extraction time and salt concentration. Limits of
detection were in the range of 0.05-2.53 ng L(-1) for organochlorine compounds in
seawater samples using electron capture detector (ECD), with precisions of less
than 11% RSD. Limits of detection for organophosphorus pesticides were in the
range of 0.17-2.25 ng L(-1) and 2.47-10.3 ng L(-1) in grape and peach juice,
respectively, using thermionic specified detector (TSD), with precisions of less
than 12% RSD and 20% RSD, respectively.
PMID- 18037431
TI - Isotope dilution for quantitation of steroid estrogens and nonylphenols by gas
chromatography with tandem mass spectrometry in septic, soil, and groundwater
matrices.
AB - A simplified, in-line solid-phase extraction and clean-up process is presented
for rapid extraction and analysis of steroid estrogens and nonylphenols by gas
chromatography with tandem mass spectrometry. Isotope dilution with multiple
deuterated standards has been developed, evaluated, and verified using this
method against standard addition for the analysis of estrone, estradiol, estriol,
ethinylestradiol, and 4-nonylphenols in septic, soil, and groundwater matrices.
The accuracy and precision of isotope dilution was comparable to standard
addition yet was able to quantify analytes with the use of fewer samples by
including [(2)H(4)]estradiol, [(2)H(4)]ethinylestradiol, [(2)H(4)]nonylphenol,
and estradiol-17-acetate as internal standards. Specific details and validation
of the method are presented as are data showing the occurrence of estrogens and
nonylphenols in an on-site wastewater treatment (septic) system with advanced
stages of pretreatment and recirculation to an office building for non-potable
reuse.
PMID- 18037432
TI - [Survey of mishaps related to the use of cardiopulmonary bypass: time for
creation of a French national center?].
PMID- 18037433
TI - Differential expression of cardiac neurotrophic factors and sympathetic nerve
ending abnormalities within the failing heart.
AB - In congestive heart failure (CHF), cardiac sympathetic nerve endings
transdifferentiate from a balanced norepinephrine (NE) storage/release/uptake
apparatus to a nerve that predominantly releases NE. Little is known about the
neurotrophic factors that may trigger this process. In the present study, we
evaluated the cardiac expression pattern of nerve growth factor (NGF),
neurotrophin-3 (NT-3), brain-derived neurotrophic factor (BDNF) and ciliary
neurotrophic factor (CNTF) in salt-sensitive Dahl rats (DS), which are
characterized by profound alterations of the cardiac sympathetic nervous system.
Experiments were performed in male DS and salt-resistant Dahl rats (DR) 30, 40
and 50 days after onset of high-salt intake. The sympathetic nerve density was
measured by glyoxylic acid-induced histofluorescence. Cardiac NE re-uptake was
assessed by isolated heart perfusion with [(3)H]-NE and norepinephrine
transporter (NET) mRNA by real-time PCR. Cardiac expression of neurotrophic
factors was determined by ribonuclease protection assay and Western blot
analysis. DS rats displayed reduced left ventricular sympathetic nerve endings 40
days after onset of high-salt intake, which was preceded by an impaired cardiac
[(3)H]-NE uptake. NGF, a positive regulator of NE re-uptake, and NT-3 were down
regulated already 30 days after onset of high-salt intake, whereas BDNF and CNTF
protein expression were increased not before 40 days after onset of high-salt
intake. In conclusion, during the development of CHF, a dysregulated NE
storage/release/uptake apparatus within the sympathetic nerve endings might be
triggered by differential expression of cardiac neurotrophic factors.
PMID- 18037435
TI - A competition mechanism regulates the translation of the Escherichia coli operon
encoding ribosomal proteins L35 and L20.
AB - Escherichia coli ribosomal protein (r-protein) L20 is essential for the assembly
of the 50S ribosomal subunit and is also a translational regulator of its own
rpmI-rplT operon, encoding r-proteins L35 and L20 in that order. L20 directly
represses the translation of the first cistron and, through translational
coupling, that of its own gene. The translational operator of the operon is 450
nt in length and includes a long-range pseudoknot interaction between two RNA
sequences separated by 280 nt. L20 has the potential to bind both to this
pseudoknot and to an irregular hairpin, although only one site is occupied at a
time during regulation. This work shows that the rpmI-rplT operon is regulated by
competition between L20 and the ribosome for binding to mRNA in vitro and in
vivo. Detailed studies on the regulatory mechanisms of r-protein synthesis have
only been performed on the rpsO gene, regulated by r-protein S15, and on the
alpha operon, regulated by S4. Both are thought to be controlled by a trapping
mechanism, whereby the 30S ribosomal subunit, the mRNA, and the initiator tRNA
are blocked as a nonfunctional preternary complex. This alternative mode of
regulation of the rpmI-rplT operon raises the possibility that control is
kinetically and not thermodynamically limited in this case. We show that the
pseudoknot, which is known to be essential for L20 binding and regulation, also
enhances 30S binding to mRNA as if this structure is specifically recognised by
the ribosome.
PMID- 18037434
TI - Prevention of cardiac hypertrophy and heart failure by silencing of NF-kappaB.
AB - Activation of the nuclear factor (NF)-kappaB signaling pathway may be associated
with the development of cardiac hypertrophy and its transition to heart failure
(HF). The transgenic Myo-Tg mouse develops hypertrophy and HF as a result of
overexpression of myotrophin in the heart associated with an elevated level of NF
kappaB activity. Using this mouse model and an NF-kappaB-targeted gene array, we
first determined the components of NF-kappaB signaling cascade and the NF-kappaB
linked genes that are expressed during the progression to cardiac hypertrophy and
HF. Second, we explored the effects of inhibition of NF-kappaB signaling events
by using a gene knockdown approach: RNA interference through delivery of a short
hairpin RNA against NF-kappaB p65 using a lentiviral vector (L-sh-p65). When the
short hairpin RNA was delivered directly into the hearts of 10-week-old Myo-Tg
mice, there was a significant regression of cardiac hypertrophy, associated with
a significant reduction in NF-kappaB activation and atrial natriuretic factor
expression. Our data suggest, for the first time, that inhibition of NF-kappaB
using direct gene delivery of sh-p65 RNA results in regression of cardiac
hypertrophy. These data validate NF-kappaB as a therapeutic target to prevent
hypertrophy/HF.
PMID- 18037436
TI - Spectral characteristics of the photocycle of channelrhodopsin-2 and its
implication for channel function.
AB - In 2003, channelrhodopsin-2 (ChR2) from Chlamydomonas reinhardtii was discovered
to be a light-gated cation channel, and since that time the channel became an
excellent tool to control by light neuronal cells in culture as well as in living
animals with high temporal and spatial resolution in a noninvasive manner.
However, little is known about the spectral properties and their relation to the
channel function. We have expressed ChR2 in the yeast Pichia pastoris and
purified the protein. Flash-photolysis data were combined with patch-clamp
studies to elucidate the photocycle. The protein absorbs maximally at
approximately 480 nm before light excitation and shows flash-induced absorbance
changes with at least two different photointermediates. Four relaxation processes
can be extracted from the time course that we have analysed in a linear model for
the photocycle leading to the kinetic intermediates P(1) to P(4). A short-lived
photointermediate at 400 nm, suggesting a deprotonation of the retinal Schiff
base, is followed by a red-shifted (520 nm) species with a millisecond lifetime.
The first three kinetic intermediates in the photocycle, P(1) to P(3), are
described mainly by the red-shifted 520-nm species. The 400-nm species
contributes to a smaller extent to P(1) and P(2). The fourth one, P(4), is
spectroscopically almost identical with the ground state and lasts into the
seconds time region. We compared the spectroscopic data to current measurements
under whole-cell patch-clamp conditions on HEK 293 cells. The lifetimes of the
spectroscopically and electrophysiologically determined intermediates are in
excellent agreement. The intermediates P(2) and P(3) (absorbing at 520 nm) are
identified as the cation permeating states of the channel. Under stationary
light, a modulation of the photocurrent by green light (540 nm) was observed. We
conclude that the red-shifted spectral species represents the open channel state,
and the thermal relaxation of this intermediate, the transition from P(3) to
P(4), is coupled to channel closing.
PMID- 18037439
TI - "Our most vulnerable clients...".
PMID- 18037437
TI - Actin hydrophobic loop 262-274 and filament nucleation and elongation.
AB - The importance of actin hydrophobic loop 262-274 dynamics to actin polymerization
and filament stability has been shown recently with the use of the yeast mutant
actin L180C/L269C/C374A, in which the hydrophobic loop could be locked in a
"parked" conformation by a disulfide bond between C180 and C269. Such a cross
linked globular actin monomer does not form filaments, suggesting nucleation
and/or elongation inhibition. To determine the role of loop dynamics in filament
nucleation and/or elongation, we studied the polymerization of the cross-linked
actin in the presence of cofilin, to assist with actin nucleation, and with
phalloidin, to stabilize the elongating filament segments. We demonstrate here
that together, but not individually, phalloidin and cofilin co-rescue the
polymerization of cross-linked actin. The polymerization was also rescued by
filament seeds added together with phalloidin but not with cofilin. Thus, loop
immobilization via cross-linking inhibits both filament nucleation and
elongation. Nevertheless, the conformational changes needed to catalyze ATP
hydrolysis by actin occur in the cross-linked actin. When actin filaments are
fully decorated by cofilin, the helical twist of filamentous actin (F-actin)
changes by approximately 5 degrees per subunit. Electron microscopic analysis of
filaments rescued by cofilin and phalloidin revealed a dense contact between
opposite strands in F-actin and a change of twist by approximately 1 degrees per
subunit, indicating either partial or disordered attachment of cofilin to F-actin
and/or competition between cofilin and phalloidin to alter F-actin symmetry. Our
findings show an importance of the hydrophobic loop conformational dynamics in
both actin nucleation and elongation and reveal that the inhibition of these two
steps in the cross-linked actin can be relieved by appropriate factors.
PMID- 18037438
TI - Restriction endonuclease inhibitor IPI* of bacteriophage T4: a novel structure
for a dedicated target.
AB - Phage T4 protects its DNA from the two-gene-encoded gmrS/gmrD (glucose-modified
hydroxymethylcytosine restriction endonuclease) CT of pathogenic Escherichia
coli, CT596, by injecting several hundred copies of the 76-amino-acid-residue
nuclease inhibitor, IPI*, into the infected host. Here, the three-dimensional
solution structure of mature IPI* is reported as determined by nuclear magnetic
resonance techniques using 1290 experimental nuclear Overhauser effect and
dipolar coupling constraints ( approximately 17 constraints per residue). Close
examination of this oblate-shaped protein structure reveals a novel fold
consisting of two small beta-sheets (beta1: B1 and B2; beta2: B3-B5) flanked at
the N- and C-termini by alpha-helices (H1 and H2). Such a fold is very compact in
shape and allows ejection of IPI* through the narrow 30-A portal and tail tube
apertures of the virion without unfolding. Structural and dynamic measurements
identify an exposed hydrophobic knob that is a putative gmrS/gmrD-binding site. A
single gene from the uropathogenic E. coli UT189, which codes for a gmrS/gmrD
like UT fusion enzyme (with approximately 90% identity to the heterodimeric CT
enzyme), has evolved IPI* inhibitor immunity. Analysis of the gmrS/gmrD
restriction endonuclease enzyme family and its IPI* family phage antagonists
reveals an evolutionary pathway that has elaborated a surprisingly diverse and
specifically fitted set of coevolving attack and defense structures.
PMID- 18037440
TI - Transitional care for seriously mentally ill persons: a pilot study.
AB - This article reports the results of a pilot study of a nurse-based in-home
transitional care intervention for seriously mentally ill persons. The goals of
the intervention were to address the lack of continuity of care in existing
programs and to meet the immediate postdischarge needs of severely mentally ill
persons. This article focuses primarily on the applicability and feasibility of
the intervention for this population, given the challenges of engaging seriously
mentally ill patients in a community-based protocol and the complexity of their
illnesses. Factors that are important to community adjustment postdischarge were
identified: caregiver concerns and health status impeding illness management,
lack of structure/involvement in daily activities, structural and functional
factors affecting adherence, and presence of symptoms after discharge. Use of an
advanced practice nurse to provide transitional care can offer an alternative to
patients who might otherwise be left poorly treated or untreated in the community
setting.
PMID- 18037441
TI - Psychometric properties of the 7-Day Physical Activity Recall questionnaire in
individuals with severe mental illness.
AB - Few self-report measures of physical activity have been validated in individuals
with severe mental illness. The purpose of this study was to examine the validity
and reliability of a 7-day recall measure (7DR: [Blair, S. N. (1984). How to
assess exercise habits and physical fitness. In J. D. Matarazzo, N. E. Miller, &
S. M. Weiss, (Eds.), Behavioural health: A handbook of health enhancement and
disease prevention (pp. 424-447). New York: Wiley.]) through comparison with RT3
triaxial accelerometry data. Fourteen individuals took part in the study.
Validity was considered by Kendall's tau correlation and (Bland, J. M., & Altman,
D. G. (1986). Statistical-methods for assessing agreement between 2 methods of
clinical measurement. Lancet, 1(8476), 307-310) limits of agreement and test
retest reliability was measured by ICC. The only significant correlation between
measures was total energy expenditure (tau = 0.43). The 7-DR over reported
moderate physical activity by 16.9 +/- 52.3 min/day, but under reported vigorous
physical activity by -10.4 +/- 24.3 min/day. Test retest ICC was significant for
all outcome measures. Overall, the 7-DR was reliable but exhibited questionable
validity. The use of self-report questionnaires such as the 7-DR may inaccurately
estimate the levels of physical activity in this population, and may not be
sensitive to monitoring intervention-related changes in physical activity.
PMID- 18037442
TI - Social skills training as nursing intervention to improve the social skills and
self-esteem of inpatients with chronic schizophrenia.
AB - The effects of social skills training on the social skills and self-esteem of 66
patients with chronic schizophrenia were evaluated using the basic training and
problem-solving training models. The experimental group received 16 group
training sessions, and the control group received routine nursing care. The
training program consisted of two parts: conversational skills and assertiveness
skills. Data were collected at pretreatment and posttreatment. The
conversational, interpersonal relationship, and assertiveness skills, and self
esteem of the experimental group showed significant improvement, whereas problem
solving skills did not improve. The results indicate that training in social
skills is effective for improving the social skills and self-esteem of inpatients
with chronic schizophrenia.
PMID- 18037443
TI - The role of treatment setting and high acuity in the overdiagnosis of
schizophrenia in African Americans.
AB - Multiple studies have shown that significant disparities exist in the diagnosis
of schizophrenia between African Americans (AAs) and Whites with severe mental
illness. This phenomenon has been a topic in the literature for nearly three
decades, yet it remains unclear what factors contribute most conclusively to the
overdiagnosis of schizophrenia in AAs. The purpose of this article was to
collectively examine the contributing factors identified in the literature and to
discuss the role of acuity and treatment setting in overdiagnosis as well. A
variety of client-level (higher rates of use of psychotomimetic substances in
AAs) and care process-level (misinterpretation of cultural mistrust as paranoia,
under detection of depression, similarities in diagnostic criteria between mood
and psychotic disorders, provider bias, miscommunication between patient and
provider, changes in diagnostic criteria, differences in diagnostic practice
between providers, and a lack of sufficient data obtained) factors emerged as
influential in overdiagnosis. However, in this review, it also emerged that AAs
tendency to use emergency and acute care services, a systems level factor, could
be related as well. It is possible that assessment at a time when symptom acuity
is severe might increase the likelihood of a schizophrenia diagnosis in AAs.
PMID- 18037444
TI - Transition to Community: a program to help clients with schizophrenia move from
inpatient to community care; a pilot study.
AB - A pilot study of the Transition to Community program tested the feasibility of
conducting a larger trial using the same intervention. An advanced practice
psychiatric nurse interviewed hospitalized clients, contacted outpatient
clinicians, and communicated with clients after discharge via prepaid cellular
phones. Client compliance with medications and outpatient appointments, and the
number of hospital readmission days during the 50-day period after discharge were
examined. The sample size (N = 13) precluded statistically significant data;
however, collective results indicated a probable difference on at least one of
the variables. Modifications in methods for a multisite trial, based on this
pilot project, are identified in the summary.
PMID- 18037445
TI - A neomodernist perspective for researching chronicity.
PMID- 18037447
TI - From individual to collective displacements in heterogeneous environments.
AB - Animal displacement plays a central role in many ecological questions. It can be
interpreted as a combination of components that only depend on the animal (for
example a random walk) and external influences given by the heterogeneity of the
environment. Here we treat the case where animals switch between random walks in
a homogeneous 2D environment and its 1D boundary, combined with a tendency for
wall-following behaviour (thigmotactism) that is treated as a Markovian process.
In the first part we use mesoscopic techniques to derive from these assumptions a
set of partial differential equations (PDE) with specific boundary conditions and
parameters that are directly given by the individual displacement parameters. All
assumptions and approximations made during this derivation are rigorously
validated for the case of exploratory behaviour of the ant Messor sanctus. These
PDE predict that the stationary density ratio between the 2D (centre) and 1D
(border) environment only depends on the thigmotactic component, not on the size
of the centre or border areas. In the second part we test this prediction with
the same exploratory behaviour of M. sanctus, in particular when many ants move
around simultaneously and may interact directly or indirectly. The prediction
holds when there is a low degree of heterogeneity (simple square arena with
straight borders), the collective behaviour is "simply" the sum of the individual
behaviours. But this prediction breaks down when heterogeneity increases
(obstacles inside the arena) due to the emergence of pheromone trails. Our
approach may be applied to study the effects of animal displacement in any
environment where the animals are confronted with an alternation of 2D space and
1D borders as for example in fragmented landscapes.
PMID- 18037448
TI - Effects of an anti-oxidative ACAT inhibitor on apoptosis/necrosis and cholesterol
accumulation under oxidative stress in THP-1 cell-derived foam cells.
AB - THP-1 cell-derived foam cells were exposed to oxidative stress through combined
treatment with acetylated LDL (acLDL) and copper ions (Cu2+). The foam cells
showed caspase-dependent apoptotic changes on exposure to oxidative stress for 6
h, and necrotic changes with the leakage of LDH after 24 h. KY-455, an anti
oxidative ACAT inhibitor, and ascorbic acid (VC) but not YM-750, an ACAT
inhibitor, prevented apoptotic and necrotic changes. These preventive effects of
KY-455 and VC were accompanied by the inhibition of lipid peroxidation in culture
medium containing acLDL and Cu2+, suggesting the involvement of oxidized acLDL in
apoptosis and necrosis. Foam cells accumulated esterified cholesterol (EC) for 24
h in the presence of acLDL without Cu2+, which was suppressed by KY-455 and YM
750. Foam cells showed necrotic changes and died in the presence of acLDL and
Cu2+. KY-455 but not YM-750 prevented cell death and reduced the amount of EC
accumulated. The foam cells treated with VC further accumulated EC without
necrotic changes for 24 h even in the presence of acLDL and Cu2+. YM-750 as well
as KY-455 inhibited lipid accumulation when co-incubated with VC in foam cells
exposed to oxidative stress. It is concluded that an anti-oxidative ACAT
inhibitor or the combination of an antioxidant and an ACAT inhibitor protects
foam cells from oxidative stress and effectively reduces cholesterol levels,
which would be a promising approach in anti-atherosclerotic therapy.
PMID- 18037449
TI - Activity and expression of ecto-5'-nucleotidase/CD73 are increased during
phenotype conversion of a hepatic stellate cell line.
AB - Hepatic stellate cells (HSC) play a crucial role in the development of liver
fibrosis and are important targets in liver disease therapy. Adenosine acts as an
extracellular signaling molecule in various tissues and in liver this nucleoside
exerts protective effects. Ecto-5'-nucleotidase/CD73 is a marker for the plasma
membrane and is considered to be a key enzyme in the generation of adenosine in
the extracellular medium, by transforming AMP into adenosine. In addition,
adenosine production from AMP is also catalyzed by alkaline phosphatase. We
compared the extracellular metabolism of AMP and transcriptional levels of the
ecto-5'-nucleotidase/CD73 and tissue non-specific alkaline phosphatase (TNALP) in
activated and quiescent HSC of the mouse hepatic stellate cell line GRX. This
cell line expresses a myofibroblast phenotype in basal medium and both retinol
and indomethacin treatment induced a phenotypic change of GRX cells to quiescent
HSC. Ecto-5'-nucleotidase activity and its mRNA expression were found to be
higher in quiescent HSC than in activated HSC. During phenotype conversion,
mediated by retinol, the AMP decay was accelerated with adenosine accumulation in
extracellular medium, likely due to the decrease in adenosine deaminase activity
also observed in quiescent HSC. The treatment with retinol also involves
transcriptional activation of TNALP. Taken together, these data suggest that ecto
5'-nucleotidase-dependent adenosine generation may play a role in the regulation
of quiescent HSC functions.
PMID- 18037450
TI - Co-inoculation of Capitella sp. I with its synergistic bacteria enhances
degradation of organic matter in organically enriched sediment below fish farms.
AB - A polychaete, Capitella sp. I has been shown to degrade organics actively in
organically enriched sediment below fish farms. Our aim of the present study is
to enhance the biological treatment of sediment by co-inoculation of Capitella
sp. I with bacterial isolates that possess high degrading potential for organic
matter. We isolated a total of 200 bacterial strains from fecal pellets, burrow
lining, worm body, and sediment, and selected six of them for the degradation
experiments in the sediment microcosms. With two out of the six isolates,
tentatively identified as Vibrio sp. and Vibrio cyclitrophicus by 16SrDNA
sequence, we found the TOC reduction rate was stimulated in sediment co
inoculated with the worms and each of the bacteria. In contrast, this was not
observed in sediments inoculated only with the worms or the bacterium. These
results strongly suggest that co-inoculation of Capitella sp. I with bacteria
improves biodegradation.
PMID- 18037451
TI - The novel compound (+/-)-1-[10-((E)-3-Phenyl-allyl)-3,10-diaza-bicyclo[4.3.1]dec
3-yl]-propan-1-one (NS7051) attenuates nociceptive transmission in animal models
of experimental pain; a pharmacological comparison with the combined mu-opioid
receptor agonist and monoamine reuptake inhibitor tramadol.
AB - Tramadol is an atypical analgesic with a unique dual mechanism of action. It acts
on monoamine transporters to inhibit reuptake of noradrenaline (NA) and serotonin
(5-HT), and consequent upon metabolism, displays potent agonist activity at micro
opioid receptors. Here, we present data for the novel compound NS7051, which was
shown to have sub-micromolar affinity (Ki=0.034microM) for micro-opioid receptors
and inhibited reuptake of 5-HT, NA and DA (IC(50)=4.2, 3.3 and 3.5microM in
cortex, hippocampus and striatum respectively). NS7051 (1-30mg/kg, s.c.) produced
a dose-dependent naloxone-reversible increase in the hot plate withdrawal
latency, and was also analgesic in the tail flick test. In models of persistent
and chronic inflammatory nociception, NS7051 reversed flinching behaviours during
interphase and second phase of the formalin test (ED(50)=1.7 and 1.8mg/kg, s.c.),
and hindpaw weight-bearing deficits induced by complete Freund's adjuvant
injection (ED50=1.2mg/kg, s.c.). In the chronic constriction injury model of
neuropathic pain, mechanical allodynia and hyperalgesia were both reversed by
NS7051 (ED50=6.7 and 4.9mg/kg, s.c.). Tramadol was also active in all pain models
although at considerably higher doses (20-160mg/kg, s.c.). No ataxia was observed
at antiallodynic doses giving therapeutic indices of 19 and 3 for NS7051 and
tramadol. The combined opioid receptor agonism and monoamine reuptake inhibitory
properties of NS7051 inferred from behavioural studies appear to contribute to
its well tolerated antinociceptive profile in rats. However, unlike tramadol this
did not correlate with the ability to increase hippocampal monoamine levels
measured by microdialysis in anesthetised rats.
PMID- 18037452
TI - Does angiotensin interact with dopaminergic mechanisms in the brain to modulate
prepulse inhibition in mice?
AB - Changes in the levels of angiotensin-converting enzyme (ACE) have been found in
brains of schizophrenia patients, suggesting a possible involvement of
angiotensin in the illness. Prepulse inhibition (PPI) is a measure of
sensorimotor gating and is disrupted in patients with schizophrenia. In a
previous study, a reduction of ACE activity, either in ACE knockout mice or after
ACE inhibitor treatment, markedly inhibited the disruption of PPI caused by the
dopamine receptor agonist, apomorphine. ACE is not specific for the angiotensin
system and, therefore, in the present study we assessed pharmacological
regulation of PPI in two other, more specific genetic mouse models of altered
angiotensin activity. We used renin-enhancer knockout (REKO) mice, which display
reduced renin activity, and neuron-specific enolase (NSE)-AT1A mice, which
selectively over-express angiotensin AT1A receptors in the brain. Treatment of
these mice with apomorphine, the dopamine releaser, amphetamine or the NMDA
receptor antagonist, MK-801, significantly disrupted PPI. There was, however, no
difference in these effects between the genotypes. These data suggest that
genetically induced changes in the activity of the angiotensin system do not
alter regulation of PPI in mice. Our previous results on the effects of reduced
ACE activity could be explained by mechanisms other than angiotensin, such as
effects on enkephalin or bradykinin metabolism.
PMID- 18037454
TI - Planning, decision-making and the COMT rs4818 polymorphism in healthy males.
AB - Recent evidence suggests that a synonymous polymorphism within the COMT gene
(rs4818 C/G) accounts for a greater variation of COMT activity compared to the
functional Val158Met polymorphism. This is the first study on the effects of the
rs4818 C/G polymorphism on cognition. One hundred and seven healthy males were
tested with the Stockings of Cambridge (SoC) and the Iowa Gambling Task (IGT) and
then grouped according to their COMT rs4818 C/G status into three groups (G/G,
C/G, C/C). ANOVAs showed that C/C individuals had the best performance in the
SoC, G/G the worse, while C/G were intermediate. G/G individuals had strikingly
better performance in the IGT compared to the other two groups and their
performances in the two tasks were inversely related. These results show that the
rs4818 C/G polymorphism imparts strong and differential effects on PFC functions.
Low prefrontal dopamine levels are disadvantageous for planning in non-emotional
problem solving but lead to optimal effects in emotionally informed decision
making. While high prefrontal dopamine levels may be advantageous for non
emotional problem solving, they lead to disadvantageous choices when decision
making depends on processing of emotional feedback.
PMID- 18037453
TI - Data-driven clustering reveals a fundamental subdivision of the human cortex into
two global systems.
AB - Global organizational principles are critical for understanding cortical
functionality. Recently, we proposed a global sub-division of the posterior
cortex into two large-scale systems. One system, labeled extrinsic, comprises the
sensory-motor cortex, and is associated with the external environment. The second
system, labeled intrinsic, overlaps substantially with the previously described
"default-mode" network, and is likely associated with inner-oriented processing.
This global partition of the cerebral cortex emerged from hemodynamic imaging
data the analysis of which was constrained by pre-determined hypotheses. Here we
applied a hypothesis-free, unsupervised two-class clustering algorithm (k-means)
to a large set of fMRI data. The two clusters delineated by this unsupervised
hypothesis-free procedure showed high anatomical consistency across individuals,
and their cortical topography coincided largely with the previously determined
extrinsic and intrinsic systems. These new clustering-based results confirm that
the intrinsic-extrinsic subdivision constitutes a fundamental cortical divide.
PMID- 18037455
TI - Is there continuity between categorical and coordinate spatial relations coding?
Evidence from a grid/no-grid working memory paradigm.
AB - We ask the question whether the coding of categorical versus coordinate spatial
relations depends on different neural networks showing hemispheric specialization
or whether there is continuity between these two coding types. The 'continuous
spatial coding' hypothesis would mean that the two coding types rely essentially
on the same neural network consisting of more general-purpose processes, such as
visuo-spatial attention, but with a different weighting of these general
processes depending on exact task requirements. With event-related fMRI, we have
studied right-handed male subjects performing a grid/no-grid visuo-spatial
working memory task inducing categorical and coordinate spatial relations coding.
Our data support the 'continuous spatial coding' hypothesis, indicating that,
while based on the same fronto-parieto-occipital neural network than categorical
spatial relations coding, the coding of coordinate spatial relations relies more
heavily on attentional and executive processes, which could induce hemispheric
differences similar to those described in the literature. The results also show
that visuo-spatial working memory consists of a short-term posterior store with a
capacity of up to three elements in the parietal and extrastriate cortices. This
store depends on the presence of a visible space categorization and thus can be
used for the coding of categorical spatial relations. When no visible space
categorization is given or when more than three elements have to be coded,
additional attentional and executive processes are recruited, mainly located in
the dorso-lateral prefrontal cortex.
PMID- 18037456
TI - Two visual systems re-viewed.
AB - The model proposed by the authors of two cortical systems providing 'vision for
action' and 'vision for perception', respectively, owed much to the inspiration
of Larry Weiskrantz. In the present article some essential concepts inherent in
the model are summarized, and certain clarifications and refinements are offered.
Some illustrations are given of recent experiments by ourselves and others that
have prompted us to sharpen these concepts. Our explicit hope in writing our book
in 1995 was to provide a theoretical framework that would stimulate research in
the field. Conversely, well-designed empirical contributions conceived within the
framework of the model are the only way for us to progress along the route
towards a fully fleshed-out specification of its workings.
PMID- 18037457
TI - The role of adipose tissue dysfunction in the pathogenesis of obesity-related
insulin resistance.
AB - Research of the past decade has increased our understanding of the role adipose
tissue plays in health and disease. Adipose tissue is now recognized as a highly
active metabolic and endocrine organ. Adipocytes are of importance in buffering
the daily influx of dietary fat and exert autocrine, paracrine and/or endocrine
effects by secreting a variety of adipokines. The normal function of adipose
tissue is disturbed in obesity, and there is accumulating evidence to suggest
that adipose tissue dysfunction plays a prominent role in the development and/or
progression of insulin resistance. Obese individuals often have enlarged
adipocytes with a reduced buffering capacity for lipid storage, thereby exposing
other tissues to an excessive influx of lipids, leading to ectopic fat deposition
and insulin resistance in situations where energy intake exceeds energy
expenditure. In addition, adipose tissue blood flow is decreased in obesity. This
impairment may affect lipid handling in adipose tissue and, thereby, further
contribute to excessive fat storage in non-adipose tissues. On the other hand,
adipose tissue hypoperfusion may induce hypoxia in this tissue. Adipose tissue
hypoxia may result in disturbances in adipokine secretion and increased
macrophage infiltration in adipose tissue, events that are frequently observed in
obesity. In this review, it is discussed how enlarged adipocytes, an impaired
blood flow through adipose tissue, adipose tissue hypoxia, adipose tissue
inflammation and macrophage infiltration are interrelated and may induce insulin
resistance.
PMID- 18037458
TI - Onset of sexual maturation in female mice as measured in behavior and fertility:
Interactions of exposure to males, phytoestrogen content of diet, and ano-genital
distance.
AB - Age of puberty was examined in female mice through non-invasive behavioral and
fertility measures, in relationship to ano-genital distance, phytoestrogen
content of diet, and exposure to males post-weaning. Throughout gestation and
post-natal development, females were exposed to a regular diet or one that was
nutritionally similar but deficient in phytoestrogens. After segregation at
weaning on the basis of a short or long ano-genital distance index (AGDI), an
indirect measure of in utero androgen exposure, females were housed alone or
underneath two outbred adult males for two weeks. Subsequently, an outbred male
was placed in the cage of each developing female, and mating behavior, escape
attempts, biting gestures, and boxing postures were recorded. Next, females were
monitored for the occurrence of a copulatory plug and allowed to bear young, with
pregnancy and litters monitored up to weaning. Male-exposed females fed a regular
diet were immediately sexually receptive when housed directly with males, and
their conceptions occurred earlier than did those of other females. Subjects fed
a diet deficient in phytoestrogens were least likely to show sexual receptivity.
Male-exposed females with longer AGDI displayed more escape attempts in the
presence of males, regardless of diet. Once inseminated, most females carried to
term and the majority of pups survived until weaning. These data suggest that
phytoestrogens and AGDI interact with exposure to males in determining age at
onset of puberty.
PMID- 18037459
TI - Evidence of bovine immunodeficiency virus (BIV) infection: serological survey in
Argentina.
AB - This is the first report of serological evidence for bovine immunodeficiency
virus (BIV) infection in Argentina. The analysis was performed in 589 dairy
bovine sera samples, applying indirect enzyme-linked immunosorbent assay (I
ELISA) using a synthetic antigen (transmembrane peptide, TM) and
Immunofluorescent assay (IFA). In this study, 9 dairy herds from 4 Argentinian
provinces were evaluated and 12% of the animals tested positive for BIV. Seven of
the 9 herds tested were BIV seropositive and the percentage of BIV seropositive
animals in the herds ranged from 2% to 42%. Direct detection of BIV provirus
applying nested PCR was not conclusive. Antibody detection against bovine
leukemia virus (BLV) in all sera was also performed applying immunodiffusion (ID)
assay and 59% resulted seropositive. Statistical analysis of the results was
carried out and possible evidence of association between BIV and BLV infection
was considered. Future studies should be performed including local field isolates
strains of BIV.
PMID- 18037460
TI - Expression profile of myostatin mRNA during the embryonic organogenesis of
domestic chicken (Gallus gallus domesticus).
AB - Myostatin is a potent growth and differentiation factor involved in skeletal
muscle tissue formation in vertebrates. However, recent studies in chicken embryo
suggested that the myostatin was expressed even before the establishment of
myogenic lineage. No studies have thus far been reported in birds to define the
role of myostatin during the embryonic organogenesis. The present experiment was
designed for studying the expression profiles of myostatin mRNA in the chicken
liver, heart, brain, and intestine during their morphogenesis, using real-time
PCR. The myostatin mRNA expression was significantly upregulated in liver during
E15-E18. Similar results were observed during the development of chicken heart.
In brain, the expression of myostatin was upregulated from E4 onwards. In
intestine, the expression of myostatin was significantly increased many folds on
E9-E18. Therefore, the increase in myostatin expression might be related to the
growth of liver and heart on days E12-E18; morphogenesis and growth of brain
during E15-E18; and morphogenesis and differentiation of intestine during E9-E18.
In the present study, the tissue-specific expression of myostatin gene in chicken
is similar to fishes, but different from that in mammals. Further, the inspection
of chicken genome also suggested that there is no differentiation of GDF-8 and
11. A recent finding suggests that the chicken myostatin gene is closely related
to mammals than fishes. Therefore, we propose that the chicken myostatin gene
might have diverged in its function between teleosts and mammals. Indeed it is
possible that its function might have only become fully differentiated to serve
as a control of muscle mass in mammals.
PMID- 18037461
TI - Strategy for the detection and differentiation of Mycobacterium avium species in
isolates and heavily infected tissues.
AB - The members of Mycobacterium avium species, comprising M. avium subsp.
paratuberculosis, M. a. hominissuis, M. a. avium, M. a. silvaticum, are currently
the most prevalent opportunistic pathogenic mycobacteria causing mycobacterial
infection in animals and humans. The ability to distinguish between these
subspecies is of relevance for proper diagnosis and control programmes of the
diseases. The aim of this study was to design a fast and specific PCR strategy
for the detection and differentiation of M. avium subspecies from the solid plate
cultures for use in routine veterinary diagnosis. We have developed a multiplex
PCR based on IS900, IS901, IS1245 and the dnaJ gene. This method allows the
detection of M. a. paratuberculosis, M. a. hominissuis and M. a. avium/M. a.
silvaticum in one PCR reaction and theoretically enables mixed infections of M.
a. paratuberculosis and M. a. avium or M. a. paratuberculosis and M. a.
hominissuis to be revealed. The sensitivity of this multiplex PCR is 10(3)CFU for
each bacterial strain in one PCR reaction, which also enabled the use of this
test directly for DNA isolated from the tissue of the heavily infected sheep.
PMID- 18037462
TI - B chain is a functional subunit of beta-bungarotoxin for inducing apoptotic death
of human neuroblastoma SK-N-SH cells.
AB - beta-Bungarotoxin (beta-Bgt), a presynaptic phospholipase A(2) (PLA(2))
neurotoxin isolated from the venom of Bungarus multicinctus, consists of A chain
and B chain. The goal of the present study is to explore the functional
contribution of the two subunits to the toxicity of beta-Bgt. beta-Bgt was found
to induce apoptotic death of SK-N-SH cells via elevating intracellular Ca(2+) and
intracellular ROS production. Moreover, an activation of p38 MAPK was associated
with the cytotoxicity of beta-Bgt. SB202190 (p38 MAPK inhibitor), N
acetylcysteine (antioxidant reagent), 1,2-bis(2-aminophenoxy)ethane-N,N,N,N
tetraacetic acid (BAPTA) (Ca(2+) chelator) and the inhibitors of Ca(2+) release
from intracellular depots (ruthenium red and 2-aminoethoxydiphenyl borate)
effectively attenuated the cytotoxicity of beta-Bgt. In sharp contrast to the
inability of A chain, B chain was able to induce cytotoxic effects on SK-N-SH
cells as beta-Bgt did. Abolishment of PLA(2) activity did not significantly alter
the cytotoxic activity of beta-Bgt. MK801 (an NMDA receptor antagonist),
antibodies against NMDA receptor and 4-aminopyridine (a potassium channel
blocker) markedly reduced the cytotoxic effects of beta-Bgt, B chain and
catalytically inactivated beta-Bgt. Moreover, antibodies against NMDA receptor
blocked the binding of rhodamine-labeled beta-Bgt to SK-N-SH cells. Taken
together, our data indicate that B chain is a functional subunit responsible for
the cytotoxicity of beta-Bgt, and suggest that the cytotoxicity of beta-Bgt is
mediated by NMDA receptor and potassium conductance.
PMID- 18037463
TI - Inhibitory effect of cyclic terpenes (limonene, menthol, menthone and thymol) on
Fusarium verticillioides MRC 826 growth and fumonisin B1 biosynthesis.
AB - The minimum inhibitory concentration (MIC) of cyclic terpenes (limonene, menthol,
menthone and thymol) against Fusarium verticillioides MRC 826 was assessed by
using the semisolid agar antifungal susceptibility (SAAS) technique. Limonene,
menthol, menthone and thymol were evaluated at final concentrations of 25, 50,
75, 150, 200, 250, 500 and 1000 microL/L of culture medium. Limonene and thymol
showed the highest inhibitory effects on F. verticillioides development. Thus,
the effects of monoterpenes on fumonisin B1 (FB1) biosynthesis were evaluated by
using corn grain (Zea mays) as substrate. The monoterpenes were inserted on maize
1 day before inoculation with a conidial suspension of F. verticillioides to give
final concentrations of 75 ppm. At this concentration, thymol was the most active
inhibitor on FB1 biosynthesis.
PMID- 18037464
TI - Conservation of inner domain modules in the surface envelope glycoproteins of an
ancient rabbit lentivirus and extant lentiviruses and betaretroviruses.
AB - The consensus sequence of endogenous lentiviral elements in the genome of
European rabbits (RELIK) was used to extend a model of conserved lentiviral and
betaretroviral surface envelope glycoprotein (SU) inner domain structures. Here
it is shown that nearly all the inner domain elements of human and simian
immunodeficiency virus gp120 mediating conformational changes upon CD4 binding
were conserved in the SU of RELIK. Many of these inner domain elements and a
carboxy-terminal region outside the gp120 core are also conserved in the SU of
other lentiviruses and betaretroviruses, suggesting conserved mechanisms of SU
conformational changes induced by receptor binding.
PMID- 18037465
TI - Photocatalytic inactivation of Cryptosporidium parvum with TiO(2) and low
pressure ultraviolet irradiation.
AB - This study investigated the efficacy of low-pressure ultraviolet (UV) irradiation
and the synergistic effect of UV/titanium dioxide (TiO(2)) photocatalysis on
Cryptosporidium parvum oocyst inactivation. At UV doses of 2.7, 8.0, and
40mJ/cm(2), oocyst inactivation was 1.3, 2.6, and 3.3log(10), respectively.
Reactive oxygen species (ROS) generated by longwave UV radiation (>315nm) and
TiO(2) achieved less than 0.28-log inactivation. However, the synergistic effect
of germicidal (254nm) UV and TiO(2) resulted in 2-log and 3-log oocyst
inactivation with 4.0 and 11.0mJ/cm(2), respectively. Therefore, using TiO(2) in
combination with UV reduced the dose requirement for 3-log inactivation by 56%.
An approximate 1-log decrease in inactivation of oocysts was observed with
nanopure water in comparison to buffered water, whereas changes in pH from 6 to 8
had little effect on the photocatalytic inactivation of oocysts in either matrix
(P>0.1).
PMID- 18037466
TI - Oxidation of MC-LR and -RR with chlorine and potassium permanganate: toxicity of
the reaction products.
AB - Toxin-producing cyanobacteria are abundant in surface waters used as drinking
water resources. Microcystins (MC) produced by certain cyanobacteria present
acute and chronic toxicity, and their removal in drinking water treatment
processes is of increasing concern. Previous studies have demonstrated that
chlorine and potassium permanganate are feasible oxidants for the removal of MCs
present in drinking water resources, although the oxidation might lead to toxic
oxidation products. In this paper, the toxicity of the oxidation products of MC
LR and -RR has been studied using protein phosphatase 1 inhibition assay (PPIA).
The HPLC and ELISA analyses correlated with the PPIA results for both toxins. The
samples containing the oxidation products were fractionated by HPLC and the
toxicity of the fractions was tested with PPIA. The results revealed that protein
phosphatase 1 inhibition emerged only from intact MC, while the oxidation
products were non-toxic. Similar results were obtained in experiments performed
in natural waters: no reaction products or interactions exhibiting protein
phosphatase 1 inhibition were detected.
PMID- 18037467
TI - The role of starvation on Escherichia coli adhesion and transport in saturated
porous media.
AB - The influence of bacterial starvation on cell transport has been examined using
two Escherichia coli isolates: one from human (HU) and one from dairy cattle
(DC). To better understand the fate of starved bacteria, experiments were
conducted in a packed bed column using cells that had been incubating at room
temperature without nutrients for 6, 12, and 18h, as well as cells, which had not
been starved (referred to as time zero). Complimentary cell characterization
techniques were conducted to evaluate the hydrophobicity, mobility, size, and
surface charge density of the cells at the conditions considered. It was observed
that non-starved HU cells were more adhesive than starved HU cells. This behavior
is attributed to the relatively high hydrophobicity of the starved cells, which
resulted from greater extracellular polymeric substance (EPS) presence. Non
starved DC cells were also the most adhesive whereas 18h starved cells were the
least adhesive, although cell characterization results did not correlate to
transport trends like HU cells. For both isolates, the cells after 6h of
starvation showed high levels of sugar relative to protein in the EPS.
Additionally, following 6h of starvation, the cells did not follow expected
transport trends as anticipated from the cellular characterization. Our results
suggest transport behavior of environmental E. coli isolates differs in terms of
isolate host and starvation conditions. Possible mechanisms responsible for this
are changes in key cell surface characteristics and synthesis of starvation
induced proteins. This study highlights the importance of consistency in
bacterial preparation for experimental studies and has considerable implications
for future evaluation and prediction of E. coli fate in subsurface environments.
PMID- 18037468
TI - Removal of heavy metals and arsenic from contaminated soils using bioremediation
and chelant extraction techniques.
AB - A combined chemical and biological treatment scheme was evaluated in this study
aiming at obtaining the simultaneous removal of metalloid arsenic and cationic
heavy metals from contaminated soils. The treatment involved the use of the iron
reducing microorganism Desulfuromonas palmitatis, whose activity was combined
with the chelating strength of EDTA. Taking into consideration that soil iron
oxides are the main scavengers of As, treatment with iron reducing microorganisms
aimed at inducing the reductive dissolution of soil oxides and thus obtaining the
release of the retained As. The main objective of using EDTA was the removal of
metal contaminants, such as Pb and Zn, through the formation of soluble metal
chelates. Experimental results however indicated that EDTA was also indispensable
for the biological reduction of Fe(III) oxides. The bacterial activity was found
to have a pronounced positive effect on the removal of arsenic, which increased
from the value of 35% obtained during the pure chemical treatment up to 90% in
the presence of D. palmitatis. In the case of Pb, the major part, i.e.
approximately 85%, was removed from soil with purely chemical mechanisms, whereas
the biological activity slightly improved the extraction, increasing the final
removal up to 90%. Co-treatment had negative effect only for Zn, whose removal
was reduced from 80% under abiotic condition to approximately 50% in the presence
of bacteria.
PMID- 18037469
TI - The effects of exogenous plant growth regulators in the phytoextraction of heavy
metals.
AB - The term "assisted phytoextraction" usually refers to the process of applying a
chemical additive to contaminated soil in order to increase the metal uptake by
crop plants. In this study three commercially available plant growth regulators
(PGRs) based on cytokinins (CKs) were used to boost the assisted phytoextraction
of Pb and Zn in contaminated soil collected from a former manufactured gas-plant
site. The effects of EDTA treatment in soil and PGR treatment in leaves of
Helianthus annuus were investigated in terms of dry weight biomass, Pb and Zn
accumulation in the upper parts of the plants, Pb and Zn phytoextraction
efficiency and transpiration rate. Metal solubility in soil and its subsequent
accumulation in shoots were markedly enhanced by EDTA. The combined effects of
EDTA and cytokine resulted in an increase in the Pb and Zn phytoextraction
efficiency (up to 890% and 330%, respectively, compared to untreated plants) and
up to a 50% increase in foliar transpiration. Our results indicate that exogenous
PGRs based on CKs can positively assist the phytoextraction increasing the
biomass production, the metal accumulation in shoots and the plant transpiration.
The observed increase in biomass could be related to its action in stimulation of
cell division and shoot initiation. On the other hand, the increase in metal
accumulation in upper parts of plant could be related to both the role of PGRs in
the enhancement of plant resistance to stress (as toxic metals) and the increase
in transpiration rate, i.e. flux of water-soluble soil components and
contaminants by the regulation of stomatal opening.
PMID- 18037470
TI - Levels and spatial distribution of chlorophenols - 2,4-dichlorophenol, 2,4,6
trichlorophenol, and pentachlorophenol in surface water of China.
AB - The chlorophenol pollutants (CPs) have been reported to occur at relatively high
concentrations in some Chinese waters. To map the distribution of CPs in the
surface water throughout China, samples were collected from over 600 sites in the
seven major watersheds and three drainage areas. The samples were analyzed for
the representative CPs including 2,4-dichlorophenol, 2,4,6-trichlorophenol, and
pentachlorophenol. In general, it was observed that 2,4-dichlorophenol and 2,4,6
trichlorophenol were more frequently detected at higher concentrations in the
rivers of North China compared with those of South China. High concentration
sites of 2,4-dichlorophenol and 2,4,6-trichlorophenol mainly occurred in the
Yellow River, Huaihe River, and Haihe River watersheds, while pentachlorophenol
contamination mainly occurred in the Yangtze River watershed. The
pentachlorophenol was the most ubiquitous CPs being detected in 85.4% of samples
(median=50.0ngl(-1); range <1.1-594.0ngl(-1)), 2,4-dichlorophenol was detected in
51.3% (median=5.0ngl(-1); range <1.1-19960.0ngl(-1)) and the 2,4,6
trichlorophenol was detected in 54.4% of water samples (median=2.0ngl(-1), range
<1.4-28650.0ngl(-1)). The results of this investigation indicated that 2,4
dichlorophenol and 2,4,6-trichlorophenol contaminations of Yellow River, Huaihe
River, and Haihe River watersheds were of particular concern, while the
pentachlorophenol contamination mainly occurred in the Yangtze River watershed.
These results showed that CPs contamination in the surface water of China was
similar to other places of the world.
PMID- 18037471
TI - Comparison of arsenic resistance in Mediterranean woody shrubs used in
restoration activities.
AB - Myrtus communis, Arbutus unedo and Retama sphaerocarpa are Mediterranean shrubs
widely used in revegetation of semiarid degraded soils. The aim of this work is
to study the resistance of these plants to arsenic under controlled conditions,
in order to evaluate their potential use in revegetation and/or phytoremediation
of As-polluted soils. R. sphaerocarpa showed higher resistance to As than M.
communis or A. unedo according to its higher EC50, P status and P/As molar ratio
in both, roots and shoots, and the lower increases in lipid peroxidation and
decrease of chlorophyll levels in response to arsenic, while the highest arsenate
sensitivity was obtained for A. unedo. Arsenic was mainly retained in roots, and,
although M. communis accumulated higher arsenic amounts than the other two
species, R. sphaerocarpa showed the highest root to shoot transfer. Most of the
studied parameters (chlorophylls, MDA and total thiols) showed significant
correlation with arsenic concentration in roots and leaves of plants, so they can
be useful indexes in the diagnosis of arsenic toxicity in these species.
According to our results, both M. communis and R. sphaerocarpa could be used in
the revegetation of moderately arsenic contaminated sites.
PMID- 18037472
TI - Age at first molar emergence in Lufengpithecus lufengensis and its implications
for life-history evolution.
AB - The late Miocene hominoid Lufengpithecus from Yunnan Province, China, is crucial
for understanding hominoid evolution in Asia. Given that age at first permanent
molar emergence is a key life-history trait in primates, the present study
determined the age at death of the Lufengpithecus lufengensis juvenile PA868,
which was in the process of erupting its first molar. Using a perikymata
periodicity of 7-11 days, along with estimation of cusp formation time and the
postnatal delay of crown mineralization, perikymata counts obtained from the
permanent central incisor and canine germs indicate that the age at death of
PA868 was 2.4-4.5 years based on the central incisor germ, and 2.5-4.7 years
based on the canine germ. The age at the first molar emergence was actually
slightly younger (by about 0.3 years), as demonstrated by tiny wear facets on
this tooth, which indicate that gingival emergence had occurred sometime before
death. The average age at first molar emergence of Lufengpithecus lufengensis
PA868 is estimated to be 3.2-3.3 years, with a range of 2.1-4.4 years. In
comparison to extant primates and other fossil hominoids, the life history of
Lufengpithecus lufengensis is similar to that of extant great apes and the
Miocene hominoids Afropithecus turkanensis and Sivapithecus parvada, as well as
Plio-Pleistocene Australopithecus, and different from monkeys, gibbons, and
modern humans.
PMID- 18037473
TI - Radiocarbon observations in atmospheric CO2: determining fossil fuel CO2 over
Europe using Jungfraujoch observations as background.
AB - Monthly mean 14CO2 observations at two regional stations in Germany (Schauinsland
observatory, Black Forest, and Heidelberg, upper Rhine valley) are compared with
free tropospheric background measurements at the High Alpine Research Station
Jungfraujoch (Swiss Alps) to estimate the regional fossil fuel CO2 surplus at the
regional stations. The long-term mean fossil fuel CO2 surplus at Schauinsland is
1.31+/-0.09 ppm while it is 10.96+/-0.20 ppm in Heidelberg. No significant trend
is observed at both sites over the last 20 years. Strong seasonal variations of
the fossil fuel CO2 offsets indicate a strong seasonality of emissions but also
of atmospheric dilution of ground level emissions by vertical mixing.
PMID- 18037474
TI - Comparison between real time and flask measurements of atmospheric O2 and CO2
performed at the High Altitude Research Station Jungfraujoch, Switzerland.
AB - First real time CO2 and O2 measurements on the High Altitude Research Station
Jungfraujoch (Switzerland) are compared with corresponding flasks samples.
Whereas CO2 measurements show a good agreement, O2 compares only moderately. Real
time O2 measurements are performed using two different methods, i.e. by
paramagnetic and fuel cells. Fuel cell values exhibit significantly higher
scatter, but they compare acceptably well with the paramagnetic values when
averaging over 228 min (20 point running mean). Continuous measurements are
powerful in many respects in contrast to spot-like flask sampling. They help to
set up data selection criteria and to improve the robustness of trend
calculations. Further, real time measurements help to partition the anthropogenic
CO2 increase into ocean and terrestrial biosphere for short-time variations of
minutes, hours, days, which are not covered by flask sampling.
PMID- 18037475
TI - Microbial and "de novo" transformation of dicarboxylic acids by three airborne
fungi.
AB - Micro-organisms and organic compounds of biogenic or anthropogenic origins are
important constituents of atmospheric aerosols, which are involved in atmospheric
processes and climate change. In order to investigate the role of fungi and their
metabolisation activity, we collected airborne fungi using a biosampler in an
urban location of Montreal, Quebec, Canada (45 degrees 28' N, 73 degrees 45' E).
After isolation on Sabouraud dextrose agar, we exposed isolated colonies to
dicarboxylic acids (C(2)-C(7)), a major group of organic aerosols and monitored
their growth. Depending on the acid, total fungi numbers varied from 35 (oxalic
acid) to 180 CFU/mL (glutaric acid). Transformation kinetics of malonic acid,
presumably the most abundant dicarboxylic acid, at concentrations of 0.25 and
1.00 mM for isolated airborne fungi belonging to the genera Aspergillus,
Penicillium, Eupenicillium, and Thysanophora with the fastest transformation rate
are presented. The initial concentration was halved within 4.5 and 11.4 days.
Other collected fungi did not show a significant degradation and the malonic acid
concentration remained unchanged (0.25 and 1.00 mM) within 20 days. Degradation
of acid with formation of metabolites was followed using high performance liquid
chromatography-ultraviolet detection (HPLC/UV) and gas chromatography-mass
spectrometry (GC/MS), as well as monitoring of (13)C labelled malonic acid
degradation with solid-state nuclear magnetic resonance spectroscopy (NMR). Using
GC/MS we identified two processes driving chemical modifications of organic
aerosol solutions: (I) formation of metabolites within several days, and (II)
rapid release (< or =2 min) of organic molecules from fungal species upon the
insertion of taxa in organic aerosol solutions. Metabolites included aromatic
compounds and alcohols (e.g. trimethylbenzene and butanol). Potential atmospheric
implications of our results are discussed.
PMID- 18037476
TI - Vertical distributions of SO(2) and NO(2) in the lower atmosphere in Beijing
urban areas, China.
AB - Measurements of SO(2) and NO(2) were conducted from January to March 2001 and
August 2003 at a 325 m meteorological tower in Beijing. The concentrations of
SO(2) and NO(2) observed by passive samplers at ten heights showed complex
vertical distributions in winter and summer. The vertical profiles of pollutants
were influenced by source emissions, meteorological conditions, urban canopy and
other factors. The comparison analysis of winter and summer pollutant
concentrations suggests that the decrease in SO(2) concentration was significant
in summer, and the reduction of NO(2) was relatively not distinctive. Domestic
heating and industrial sources were major sources of SO(2) in urban areas of
Beijing. Differing from SO(2,) urban NO(2) was closely related to traffic
emission. Meteorological evidence suggests that strong temperature inversions
influence vertical distribution of air pollutants over urban Beijing. The high
levels of SO(2) and NO(2) concentrations were due to the accumulation of
pollutants resulting from the stable atmosphere prevalent during the measurement
period. The vertical distributions of air pollutants were controlled and affected
by atmospheric dynamical characteristics.
PMID- 18037477
TI - Plasminogen activator inhibitor-1 levels in severe and morbid obesity. Effect of
weight loss and influence of 4G/5G polymorphism.
AB - INTRODUCTION: An association between an increase in plasminogen activator
inhibitor type 1 and obesity has been described. It has also been shown that a
decrease in adiposity has beneficial effects. However, less information is
available regarding morbid obesity and hypofibrinolysis. The aim of the present
study was to evaluate the effect of weight loss and the influence of the
plasminogen activator inhibitor type 1 promoter 4G/5G genotype on plasminogen
activator inhibitor type 1 levels in severe and morbid obesity. MATERIALS AND
METHODS: Sixty-seven obese patients were studied before and three months after a
weight reduction program, and compared with 67 controls. We determined
plasminogen activator inhibitor type 1 antigen and activity levels, tissue type
plasminogen activator antigen levels, 4G/5G genotype and biochemical parameters
in both groups. RESULTS: A significant increase in plasminogen activator
inhibitor type 1 antigen and activity was observed in obese patients in
comparison with the control group (P<0.001). No significant differences in
plasminogen activator inhibitor type 1 levels among 4G/5G genotypes were
obtained. After weight loss, a significant decrease in plasminogen activator
inhibitor type 1 antigen and activity was observed (P<0.001). A significant and
positive correlation was observed in percentage changes in plasminogen activator
inhibitor type 1 and body mass index (P=0.02). CONCLUSIONS: A decrease in body
mass index in severe and morbid obesity shows a favourable effect on the
fibrinolytic system due to a decrease in plasminogen activator inhibitor type 1
levels. However, no influence of 4G/5G polymorphism has been observed in this
setting.
PMID- 18037478
TI - Phase III trial to evaluate the efficacy of maintaining hemoglobin levels above
12.0 g/dL with erythropoietin vs above 10.0 g/dL without erythropoietin in anemic
patients receiving concurrent radiation and cisplatin for cervical cancer.
AB - PURPOSE: To determine whether maintaining HGB levels > or = 12.0 g/dL with
recombinant human erythropoietin (R-HUEPO) compared to "standard" treatment
(transfusion for HGB < or = 10.0 g/dL) improves progression-free survival (PFS),
overall survival (OS) and local control (LC) in women receiving concurrent weekly
cisplatin and radiation (CT/RT) for carcinoma of the cervix. In addition, to
determine whether platinum-DNA adducts were associated with clinical
characteristics or outcome. METHODS: Patients with stage IIB-IVA cervical cancer
and HGB < 14.0 g/dL were randomly assigned to CT/RT+/-R-HUEPO (40,000 units s.c.
weekly). R-HUEPO was stopped if HGB > 14.0 g/dL. Endpoints were PFS, OS and LC.
Platinum-DNA adducts were quantified using immunocytochemistry assay in buccal
cells. RESULTS: Between 08/01 and 09/03, 109 of 114 patients accrued were
eligible. Fifty-two received CT/RT and 57 CT/RT+R-HUEPO. The study closed
prematurely, with less than 25% of the planned accrual, due to potential concerns
for thromboembolic event (TE) with R-HUEPO. Median follow-up was 37 months (range
9.8-50.4 months). PFS and OS at 3 years should be 65% and 75% for CT/RT and 58%
and 61% for CT/RT+R-HUEPO, respectively. TE occurred in 4/52 receiving CT/RT and
11/57 with CT/RT+R-HUEPO, not all considered treatment related. No deaths
occurred from TE. High-platinum adducts were associated with inferior PFS and LC.
CONCLUSION: TE is common in cervical cancer patients receiving CT/RT. Difference
in TE rate between the two treatments was not statistically significant. The
impact of maintaining HGB level > 12.0 g/dL on PFS, OS and LC remains
undetermined.
PMID- 18037479
TI - Identification of antidepressant drug leads through the evaluation of marine
natural products with neuropsychiatric pharmacophores.
AB - The marine environment is a valuable resource for drug discovery due to its
diversity of life and associated secondary metabolites. However, there is very
little published data on the potential application of marine natural products to
treat neuropsychiatric disorders. Many natural products derived from chemically
defended organisms in the marine environment have pharmacophores related to
serotonin or clinically utilized antidepressant drugs. Therefore, in the present
study, compounds selected for their structural similarity to serotonin or
established antidepressants were evaluated for antidepressant-like activity using
the forced swim and tail suspension tests in mice. The antidepressant positive
controls, citalopram (selective serotonin reuptake inhibitor) and despiramine
(tricyclic antidepressant) both dose-dependently reduced immobility time in the
forced swim and tail suspension tests. Two marine natural product compounds
tested, aaptamine and 5,6-dibromo-N,N-dimethyltryptamine, also produced
significant antidepressant-like activity in the forced swim test. In the tail
suspension test, the antidepressant-like effects of 5,6-dibromo-N,N
dimethyltryptamine were confirmed, whereas aaptamine failed to produce
significant results. None of the tested compounds induced hyperlocomotion,
indicating that nonspecific stimulant effects could not account for the observed
antidepressant-like actions of the compounds. These studies highlight the
potential to rationally select marine derived compounds for treating depression
and other neuropsychiatric disorders.
PMID- 18037480
TI - Public transit, obesity, and medical costs: assessing the magnitudes.
AB - OBJECTIVE: This paper assesses the potential benefits of increased walking and
reduced obesity associated with taking public transit in terms of dollars of
medical costs saved and disability avoided. METHODS: I conduct a new analysis of
a nationally representative U.S. transportation survey to gauge the net increase
in walking associated with public transit usage. I translate minutes spent
walking into energy expenditures and reductions in obesity prevalence, estimating
the present value of costs and disability that may be avoided. RESULTS: Taking
public transit is associated with walking 8.3 more minutes per day on average, or
an additional 25.7-39.0 kcal. Hill et al. [Hill, J.O., Wyatt, H.R., Reed, G.W.,
Peters, J.C., 2003. Obesity and the environment: Where do we go from here?
Science 299 (5608), 853-855] estimate that an increase in net expenditure of 100
kcal/day can stop the increase in obesity in 90% of the population. Additional
walking associated with public transit could save $5500 per person in present
value by reducing obesity-related medical costs. Savings in quality-adjusted life
years could be even higher. CONCLUSIONS: While no silver bullet, walking
associated with public transit can have a substantial impact on obesity, costs,
and well-being. Further research is warranted on the net impact of transit usage
on all behaviors, including caloric intake and other types of exercise, and on
whether policies can promote transit usage at acceptable cost.
PMID- 18037481
TI - Expression of progesterone and oestrogen receptors by early intrauterine equine
conceptuses.
AB - Progesterone and oestrogen play essential roles in the maintenance of pregnancy
in eutherian mammals and are thought to exert their effects on the developing
conceptus indirectly, via the endometrium. In some species, early embryos have
themselves been shown to express steroid receptors, thereby suggesting that
reproductive steroids may also influence embryonic development directly. The aim
of this study was to determine whether early intrauterine equine conceptuses
express either the classical intracellular progesterone (PR) and oestrogen
receptors (ERalpha and ERbeta) or the more recently characterised membrane-bound
progesterone receptors (PGRMC1 and mPR). Horse conceptuses recovered on days 7,
10 and 14 after ovulation (n=8 at each stage) were examined for steroid receptor
mRNA expression using quantitative rtPCR. Where commercial antibodies were
available (PR, ERbeta), receptor localisation was examined immunohistochemically
in day 10, 12, 14, 15 and 16 conceptuses (n=2 at each stage). mRNA for PR, PGRMC1
and mPR was detected at all stages examined, but while PGRMC1 and mPR expression
increased during the day 7-14 period, PR expression decreased. ERalpha mRNA was
not detected at any stage examined, whereas ERbeta mRNA was detected in all day
14, some day 10 and no day 7 conceptuses. Immunoreactive ERbeta receptors were
localised to the trophectoderm of day 14-16 conceptuses; PR were not detected
immunohistochemically in conceptus tissue. In summary, this study demonstrates
that equine conceptuses express mRNA and, in the case of ERbeta, protein for
steroid hormone receptors during the period encompassing rapid conceptus growth,
differentiation and maternal pregnancy recognition.
PMID- 18037482
TI - Presence of pro-lentiviral DNA in male sexual organs and ejaculates of small
ruminants.
AB - To be able to predict sexual transmissibility of small ruminant lenti viruses
(SRLV), it is necessary to know whether or not the virus is excreted in the
semen, and under what circumstances. Thus, this research focussed on establishing
the presence of proviral DNA of SRLV in semen and in the male genital tract of
small ruminants. After initial results established the presence of SRLV in serum,
the emergence of proviral DNA of SRLV in semen and presence in blood in a group
of naturally SRLV-infected individuals (13 rams and 4 bucks), was followed
temporally using real-time polymerase chain reaction (PCR). The same animals were
also systematically serologically monitored by enzyme-linked immuno sorbent assay
(ELISA) during the breeding season (August-February). A triple monocyte
macrophage count was performed on both blood and semen using a specific
monoclonal antibody in conjunction with flow cytometry. The finding that
epididymal semen and tissue samples of the testes, epididymides, ampullary,
vesicular, prostate and bulbo-urethral glands all tested positive for the
presence of proviral DNA indicates that various male sexual organs may contribute
directly to shedding of proviral SRLV DNA in ejaculated semen. Our results
suggest that small ruminants show intermittent shedding of proviral SRLV DNA into
epididymal as well as ejaculated semen. They also demonstrate that a single PCR
negative semen sample cannot be used as a diagnostic tool to predict that
subsequent ejaculates will be SRLV-free. No significant relationship was found
between numbers of monocytes and/or macrophages in blood or semen and the
detection of proviral SRLV in ejaculates.
PMID- 18037483
TI - Lipid peroxidation: control of cell proliferation, cell differentiation and cell
death.
AB - In recent years, it has become evident that lipid peroxidation is not only a
mechanism for deterioration of alimentary oils and fats, but can occur even in
living cells, both in pathological and physiological conditions. Through its
aldehydic products, it can regulate several cellular processes, as proliferation,
differentiation and apoptosis of normal and neoplastic cells. In this review we
describe some recent findings obtained in these fields.
PMID- 18037484
TI - Measurements of mitochondrial pH in cultured cortical neurons clarify
contribution of mitochondrial pore to the mechanism of glutamate-induced delayed
Ca2+ deregulation.
AB - To clarify the role of the mitochondrial permeability transition pore (MPT) in
the mechanism of the glutamate-induced delayed calcium deregulation (DCD) and
mitochondrial depolarization (MD), we studied changes in cytosolic (pH(c)) and
mitochondrial pH (pH(m)) induced by glutamate in cultured cortical neurons
expressing pH-sensitive fluorescent proteins. We found that DCD and MD were
associated with a prominent pH(m) decrease which presumably resulted from MPT
opening. This pH(m) decrease occurred with some delay after the onset of DCD and
MD. This argued against the hypothesis that MPT opening plays a dominant role in
triggering of DCD. This conclusion was also supported by experiments in which
Ca(2+) was replaced with antagonist of MPT opening Sr(2+). We found that in
Sr(2+)-containing medium glutamate-induced delayed strontium deregulation (DSD),
similar to DCD, which was accompanied by a profound MD. Analysis of the changes
in pH(c) and pH(m) associated with DSD led us to conclude that MD in Sr(2+)
containing medium occurred without involvement of the pore. In contrast, in
Ca(2+)-containing medium such "non-pore mechanism" was responsible only for MD
initiation while in the final stages of MD development the MPT played a major
role.
PMID- 18037486
TI - A not so Pacific voyage: the 'floating laboratory' of Nicolas Baudin.
AB - Nicolas Baudin's voyage to Australia in 1800 was particularly fractious. Many
officers and scientists deserted. When stories of mission mismanagement leaked
back to France, Baudin's reputation--and the public reputation of the expedition
as a whole--was left in tatters. All was not Baudin's fault, however. Scientific
rivalries--disputes over credit and quarrels over mission priorities--undermined
his mission from the start, and explain why his attempt to use a 'public' journal
to foster teamwork backfired. Unable to control his floating laboratory's
paperwork, Baudin became an 'invisible commander'. After the expedition returned,
naturalist Francois Peron assumed credit for its work.
PMID- 18037485
TI - Roles of p15Ink4b and p16Ink4a in myeloid differentiation and RUNX1-ETO
associated acute myeloid leukemia.
AB - Inactivation of p15(Ink4b) expression by promoter hypermethylation occurs in up
to 80% of acute myeloid leukemia (AML) cases and is particularly common in the
FAB-M2 subtype of AML, which is characterized by the presence of the RUNX1-ETO
translocation in 40% of cases. To establish whether the loss of p15(Ink4b)
contributes to AML progression in association with RUNX1-ETO, we have expressed
the RUNX1-ETO fusion protein from a retroviral vector in hematopoietic progenitor
cells isolated from wild-type, p15(Ink4b) or p16(Ink4a) knockout bone marrow.
Analysis of lethally irradiated recipient mice reconstituted with RUNX1-ETO
expressing cells showed that neither p15(Ink4b) or p16(Ink4a) loss significantly
accelerated disease progression over the time period of one year post
transplantation. Loss of p15(Ink4b) alone resulted in increased myeloid
progenitor cell frequencies in bone marrow by 10-month post-transplant and a 19
fold increase in the frequency of Lin(-)c-Kit(+)Sca-1(+) (LKS) cells that was not
associated with expansion of long-term reconstituting HSC. These results strongly
suggest that p15(Ink4b) loss must be accompanied by additional oncogenic changes
for RUNX1-ETO-associated AML to develop.
PMID- 18037487
TI - College Chemistry: how a textbook can reveal the values embedded in chemistry.
AB - This paper explores the norms, values and ethical attitudes that Linus Pauling
wanted to convey to his students in his famous textbook College Chemistry. In
this classic textbook, Pauling aimed to introduce beginners into the world of
chemistry by presenting chemistry as a systematic science based on a collection
of empirical data and a recent theoretical framework. In doing so, he expressed
his epistemic and didactic choices clearly. College Chemistry therefore offers an
ideal opportunity to examine some of the norms at the core of chemistry's 'moral
economy'.
PMID- 18037488
TI - Blind ambition: Geoffroy St-Hilaire's theory of everything.
AB - When Napoleon Bonaparte invaded Egypt in 1798, he took with him a group of
savants to study the natural history, architecture, ancient history and society
of Egypt. One young naturalist, Etienne Geoffroy Saint-Hilaire, went along and
made his mark in the study of living and mummified animals, setting the course
for his future successes and fame when he returned to Paris in 1801. But during
his time in Egypt, when he was free to roam physically and intellectually, he
formulated a radical, all-encompassing theory, which he claimed could explain all
natural forces and processes in the world.
PMID- 18037489
TI - Synthesis, characterization and antiproliferative activity of metal complexes
with the Schiff base derived from the condensation 1:2 of 2,6-diformyl-4
methylphenol and 5,6-diamino-1,3-dimethyluracil.
AB - A series of mononuclear complexes with Co(II), Ni(II), Cu(II), Zn(II), Hg(II),
Mo(VI) and Pd(II) containing the ligand derived from the 1:2 condensation of 2,6
diformyl-4-methylphenol and 5,6-diamino-1,3-dimethyluracil (hereafter denoted as
BDFDAAU) were synthesized. The complexes were characterized by elemental
analysis, thermogravimetry (TG) and differential scanning calorimetry (DSC), IR,
(1)H, (13)C and (15)N NMR, UV-visible-near IR (UV-VIS-NIR), EPR and magnetic
measurements. The deprotonated ligand in the phenolic oxygen shows a symmetric
tridentate coordination mode through the two azomethine nitrogen atoms and the
phenolic oxygen atom whereas the coordination of the neutral ligand takes place
through the phenolic oxygen atom and one azomethine nitrogen atom. In the Mo(VI)
complex, the ligand is bideprotonated in the phenolic oxygen and an amino group
from one uracil unit; so, the coordination mode changes again into an asymmetric
way: phenolic oxygen atom, one azomethine nitrogen atom and the nitrogen atom
from the deprotonated amino group. The antiproliferative behaviour against the
five human tumor cell lines (human neuroblastoma NB69, human breast cancer MCF-7
and EVSA-T, human glioma H4 and human bladder carcinoma cell line ECV) suggested
a modulator behaviour, according to the concentration, of cell growth due to
their estrogen-like characteristics.
PMID- 18037490
TI - The influence of temperature on antiproliferative effects, cellular uptake and
DNA platination of the clinically employed Pt(II)-drugs.
AB - Cellular uptake of a drug is one of the most important factors influencing its
pharmacodynamics and pharmacokinetics. Our laboratory has previously studied
platinum uptake following cisplatin, carboplatin and oxaliplatin treatment at sub
lethal doses of selected tumour cell lines. Here we report on the influence of
temperature on dose-dependent antiproliferative effects, cellular uptake and DNA
platination of these platinum-based drugs tested on MCF-7 human mammary carcinoma
cell line. Inductively coupled plasma-mass spectrometry (ICP-MS) technique has
been chosen to perform Pt determinations on cells treated with drug
concentrations similar with those usually found in vivo in human plasma. The high
sensitivity and analytical rapidity of this technique made possible to carry out
a very large amount of Pt determinations (about 300) necessary for this study.
Hyperthermia (43 degrees C) proved a synergistic effect with cisplatin on cell
growth inhibition, while only an additive effect was demonstrated for carboplatin
and oxaliplatin. This behaviour might be explained by the higher DNA platination
ratio between data at 43 and 37 degrees C of cisplatin with respect to those of
carboplatin and oxaliplatin.
PMID- 18037491
TI - Synthesis, structural characterization, antiradical and antidiabetic activities
of copper(II) and zinc(II) Schiff base complexes derived from salicylaldehyde and
beta-alanine.
AB - A series of copper(II) and zinc(II) complexes involving a tridentate O,N,O'-donor
Schiff base derived from salicylaldehyde and beta-alanine {i.e. N-salicylidene
beta-alanine(2-), (L)}, having the composition [Cu(2)(L)(2)(H(2)O)].H(2)O (1),
[Cu(L)(H(2)O)](n) (2), and [Zn(L)(H(2)O)](n) (3), have been prepared and
characterized by elemental analyses, UV-visible (UV-VIS), FT-IR and ESI-MS
spectra, and thermal analyses. Complexes 1 and 2 have been investigated by single
crystal X-ray analysis and also by temperature dependent magnetic susceptibility
measurements (294-80K). All prepared complexes have been evaluated by the
antiperoxynitrite activity assay and alloxan-induced diabetes model. The
significant antioxidant and antidiabetic activities have been found in the case
of both copper(II) complexes 1 and 2. In spite of first two complexes, the
zinc(II) complex 3, as well as the potassium salt of the ligand (KHL) showed only
insignificant protective effect against the tyrosine nitration in vitro.
PMID- 18037492
TI - Kinetics and thermodynamics of complex formation with iron of a new series of
dicatecholspermidine siderophore-like ligands.
AB - This article deals with the kinetics and thermodynamics of complex formation
between Fe(3+) and a series of four synthetic chelators of the 1,2
dicatecholspermidine family (LA5, LA3, LE5 and LE3). LA5 and LA3 bear a
carboxylic moiety linked to the central nitrogen by either a C(5) or a C(3)
chain, whereas LE5 and LE3 bear an ethyl ester moiety. The following data concern
LE5, LE3, LA5 and LA3, respectively. Each species undergoes four acid-base
dissociations of the hydroxyls of the catechols with, for the two hydroxyls in
position 1; average pK(2a)=7.30, 7.25, 7.45, 7.34 and, for the two hydroxyl in
position 2; average pK(3a)=12.35, 12.65, 12.10, 12.60. The LA5 and LA3 species
also undergo proton-dissociations of their carboxylic moieties; pK(1a)=5.20 and
5.10. The four species form one-to-one iron complexes with, for the 1-hydroxyl;
an average pK(22a)=2.65, 2.25, 2.95, 2.80, for the 2-hydroxyl; pK(33a)=5.20,
5.40, 6.10, 5.40 and, for the carboxylic moieties; pK(11a)=3.90 and 4.45. In the
vicinity of pH 5, Fe(3+) is rapidly exchanged between FeNta and the four ligands.
This occurs with direct rate constants: k(1)=(1.3+/-0.1)x10(4), (1.4+/
0.2)x10(4), (3.3+/-0.2)x10(4), (1.4+/-0.1)x10(4)M(-1)s(-1), and reverse rate
constants: k(-1)=(7+/-0.5)x10(4), (9+/-1)x10(4), (1.15+/-0.15)x10(5), (7+/
0.5)x10(4)M(-1)s(-1). The kinetic data, the pK(a) values of the free ligands,
those of the iron complexes and the beta value of FeNta allow us to determine the
affinity constants of the four ligands for iron: logbeta(1)=33, 34, 33, 34, and
pFe=23.3, 24.6, 22.2, 24.3. This implies that these ligands of the
dicatecholspermidine family may act as siderophores. They may also be used as
drug carriers which can utilize the bacterial iron-acquisition paths.
PMID- 18037493
TI - The incidence and microbial spectrum of ventilator-associated pneumonia after
tracheotomy in a selective decontamination of the digestive tract-setting.
AB - OBJECTIVES: Tracheotomy is considered to be an independent risk factor for
ventilator-associated pneumonia (VAP). Antimicrobial prophylaxis, in particular
with coverage of Pseudomonas aeruginosa, is presently advocated. Selective
decontamination of the digestive tract (SDD) aims to prevent VAP in critically
ill patients, including those after tracheotomy. We determined the incidence and
microbial spectrum of VAP after tracheotomy in a SDD-setting. METHODS:
Retrospective analysis of 231 tracheotomized patients during a 2-year period.
RESULTS: Thirteen patients (5.6%) developed VAP. The median [IQR] day of onset
was 8.0 [3.0-10.5] days after tracheotomy. The most predominant causative
pathogen was Methicillin-sensitive Staphylococcus aureus (MSSA). Timing of
tracheotomy was not different between patients developing VAP and those who did
not. The type of tracheotomy (percutaneous or surgical, 84.6% versus 15.4%) had
no significant influence on the incidence of VAP. CONCLUSIONS: The incidence of
VAP after tracheotomy in a SDD-setting is low, with MSSA as the predominant
causative pathogen. Accordingly, if antimicrobial prophylaxis is considered, it
may be advisable to cover MSSA in an SDD-setting.
PMID- 18037494
TI - Prenatal dysthymia versus major depression effects on the neonate.
AB - Depressed pregnant women were classified as dysthymic or major depression
disorder based on the Structured Clinical Interview for Depression and followed
to the newborn period. The newborns of dysthymic versus major depression disorder
mothers had a significantly shorter gestational age, a lower birthweight, shorter
birth length and less optimal obstetric complications scores. The neonates of
dysthymic mothers also had lower orientation and motor scores and more depressive
symptoms on the Brazelton Neonatal Behavioral Assessment Scale. These findings
were not surprising given the elevated cortisol levels and the inferior fetal
measures including lower fetal weight, fetal length, femur length and abdominal
circumference noted in our earlier study on fetuses of dysthymic pregnant women.
PMID- 18037495
TI - Subgenual cingulate volumes in affected and unaffected offspring of bipolar
parents.
AB - BACKGROUND: Bipolar disorders (BD) have a strong genetic underpinning, yet no
biological vulnerability markers for BD have been identified. Decreased volumes
of subgenual cingulate (SGC) were replicated in familial bipolar patients.
Presence of abnormality in unaffected subjects at genetic risk for an illness
needs to be established before SGC volumes can be used as an endophenotype. This
is the first study of SGC volumes in affected and unaffected subjects at familial
risk for mood disorders. METHOD: High-risk participants were recruited from
families multiply affected with BD. The high-risk sample included 13 affected and
13 unaffected offspring of bipolar I parents, who were matched by age and sex
with 31 controls without a personal or family history of psychiatric disorders.
The expanded sample consisted of 24 unaffected, 19 affected subjects all with a
first or second degree relative suffering from BD I or II. The age range for all
subjects was 15-30 years. Subgenual cingulate volumes were measured on 1.5 T 3D
anatomical MRI images using standard methods. RESULTS: We found comparable SGC
volumes among unaffected, affected offspring of BD I parents and controls.
Likewise no SGC abnormalities were found in the expanded sample of subjects with
BD I or II relatives. Left SGC volumes in all groups were smaller than right SGC
volumes without laterality by group interaction. The exclusion of 5 medicated
subjects did not change the results. LIMITATIONS: Cross sectional design,
inclusion of both bipolar I and bipolar II probands. CONCLUSIONS: Subgenual
cingulate volume abnormalities were absent in unaffected or affected relatives of
bipolar patients and thus did not meet criteria for endophenotype.
PMID- 18037496
TI - Abnormal corpus callosum myelination in pediatric bipolar patients.
AB - BACKGROUND: Decreased signal intensity in the corpus callosum, reported in adult
bipolar disorder patients, has been regarded as an indicator of abnormalities in
myelination. Here we compared the callosal signal intensity of children and
adolescents with bipolar disorder to that of matched healthy subjects, to
investigate the hypothesis that callosal myelination is abnormal in pediatric
bipolar patients. METHODS: Children and adolescents with DSM-IV bipolar disorder
(n=16, mean age+/-S.D.=15.5+/-3.4 y) and matched healthy comparison subjects
(n=21, mean age+/-S.D.=16.9+/-3.8 y) underwent a 1.5 T MRI brain scan. Corpus
callosum signal intensity was measured using an Apple Power Mac G4 running NIH
Image1.62 software. RESULTS: Bipolar children and adolescents had significantly
lower corpus callosum signal intensity for all callosal sub-regions (genu,
anterior body, posterior body, isthmus and splenium) compared to healthy subjects
(ANCOVA, all p<0.05, age and gender as covariates). LIMITATIONS: Relatively small
sample size. CONCLUSIONS: Abnormalities in corpus callosum, probably due to
altered myelination during neurodevelopment, may play a role in the
pathophysiology of bipolar disorder among children and adolescents.
PMID- 18037498
TI - Treatment of bipolar depression: an update.
AB - This article attempts to summarize the current status of our knowledge and
practice in the acute treatment and prophylaxis of bipolar depression. For
prophylactic treatment, our knowledge about lithium firmly supports its
usefulness against bipolar depression and its specific effectiveness for suicidal
prevention. Valproic acid and carbamazepine could be effective, too, while
lamotrigine which seems to be preferably effective against depression but not
mania. The FDA has approved the olanzapine-fluoxetine combination and quetiapine
monotherapy for the treatment of acute bipolar depression. The usefulness of
antidepressants in bipolar depression is controversial both for acute and
prophylactic treatment; guidelines suggest their cautious use and always in
combination with an antimanic and mood stabilizer agent, because in some patients
they may induce switching to mania or hypomania, mixed episodes and rapid
cycling. Data on psychosocial intervention are restricted to the maintenance
phase. Electroconvulsive therapy and transcranial magnetic stimulation are
additional options for refractory patients. Bipolar depression seems to be a more
difficult condition to treat than mania. Most patients need complex combination
treatment although the published evidence on this type of treatment is limited.
PMID- 18037497
TI - A comparison of baseline sociodemographic and clinical characteristics between
major depressive disorder patients with and without diabetes: a STAR*D report.
AB - BACKGROUND: Patients with major depressive disorder (MDD) have high rates of
medical comorbidities which can impair MDD treatment. Yet little is known
regarding associations between the presence of a serious comorbidity and MDD
treatment. The purpose of this study was to examine the baseline sociodemographic
and clinical characteristics of MDD outpatients with and without diabetes
mellitus to evaluate possible associations between these characteristics and the
presence of comorbid diabetes. METHODS: We gathered baseline sociodemographic and
clinical data for 4041 participants with non-psychotic MDD who enrolled in the
STAR*D, a large-scale depression treatment protocol, and made comparisons between
participants with and without diabetes. RESULTS: Participants with diabetes were
more likely to be male, older, black, Hispanic, unemployed, and have less
education, a lower income, higher mental functioning, lower physical functioning,
atypical features, increased appetite, psychomotor slowing and leaden paralysis,
and were less likely to have concurrent alcohol abuse/dependence, mood reactivity
or problems with concentration. We found no significant differences between
groups regarding depression severity. LIMITATIONS: The primary limitation is the
lack of a clinical diagnosis of diabetes. CONCLUSIONS: We found no difference in
depression severity between participants with and without diabetes. Diabetes was
associated with physical symptoms of depression. Thus treatments for these
participants should be directed toward these symptoms.
PMID- 18037499
TI - Evaluation and comparison of different versions of the Body Shape Questionnaire.
AB - The Body Shape Questionnaire (BSQ) is a widely used scale to assess body
dissatisfaction. Several short forms of the BSQ have been introduced. In the
present study, the full-length 34-item version of the BSQ and seven derivations
were evaluated. Factorial validity was analyzed by confirmatory factor analyses
in a representative sample of German females (n=1080). Treatment sensitivity was
evaluated in a sample of 43 women with bulimia nervosa who completed the BSQ
before and after cognitive-behavioral therapy. While fit indices of the full
length version of the BSQ were poor, three of the derivations had reasonable fit.
If treatment sensitivity is considered, one of the short forms performed best.
This derivation is one of the eight-item versions of the BSQ (suggested
abbreviation: BSQ-8C). In conclusion, the present study presents the empirical
background for choosing between eight different versions of the BSQ. Thus, it is
no longer necessary for researchers and clinicians to make their choice based on
subjective criteria. Nevertheless, research on the derivations of the BSQ as
stand-alone questionnaires is needed.
PMID- 18037500
TI - Programmed Death-1: from gene to protein in autoimmune human myasthenia gravis.
AB - The key role of an inhibitory receptor, Programmed Death-1, has been evaluated in
273 patients with autoimmune myasthenia gravis. At the genetic level, SNP's
genotyping showed no significant association to the disease. Gene expressions in
patients were not different from that in controls. Interestingly, at the cell
surface protein level, there were significant elevated levels of PD-1 on T cells
and its ligand PD-L1 on monocytes in the patients compared to controls. However,
we could not demonstrate any secreted soluble forms of PD-1 among the patients
and controls. Thus, our study shows PD-1 might have a natural regulatory property
behind MG.
PMID- 18037502
TI - Systemic immune aberrations in Alzheimer's disease patients.
AB - The role of chronic inflammation in the pathogenesis of Alzheimer's disease (AD)
has been implied in a plethora of studies. The objective of the present study was
to evaluate the immune alterations and the immunological markers in patients
suffering from AD. IL-1alpha, IL-2, IL-6, IL-8, IL-10, TNF-alpha cytokine and
helper/inducer (CD4), suppressor/cytotoxic (CD8) T lymphocyte levels were
investigated in patients with various degrees of cognitive impairment (mild
moderate and severe stage), as well as in age-matched non demented controls.
Cytokines were measured using the ELISA immunoassay method and lymphocytes using
flow cytometry. Results showed a significant TNF-alpha increase in patients of
severe stage serum compared to controls as well as a significant decrease of CD4
lymphocyte subpopulation levels in patients of severe stage compared to those of
mild-moderate stage patients and controls. No significant differences were
observed on IL-1alpha, IL-2, IL-6, IL-8, IL-10 cytokine levels and on CD8,
CD4/CD8 lymphocyte subpopulations levels between patients and controls neither
between mild moderate and severe stage patients. CD4 lymphocyte subpopulation and
cytokine IL-2 were revealed as having a significant relationship (positive and
negative respectively) with the MMSE score of patients. Data suggest the
existence of detectable changes of peripheral immune system in AD.
PMID- 18037501
TI - Induction of experimental ataxic sensory neuronopathy in cats by immunization
with purified SGPG.
AB - IgM paraproteins in about 50% of the patients with neuropathy associated with IgM
gammopathy react with carbohydrate moieties in myelin-associated glycoprotein
(MAG) and in sulfated glucuronic glycolipids (SGGLs) in human peripheral nerves.
However, the role of anti-MAG/SGGL antibodies in the pathogenesis of neuropathy
remains unclear. In order to induce an animal model of neuropathy associated with
anti-MAG/SGGL antibodies, cats were immunized with sulfoglucuronyl paragloboside
(SGPG). All four cats immunized with SGPG developed clinical signs of sensory
neuronopathy within 11 months after initial immunization, characterized by
unsteadiness, falling, hind limb weakness and ataxia. In two cats the ataxia and
hind limb paralysis were so severe that the animals had to be euthanized.
Pathological examination revealed sensory ganglionitis with inflammatory
infiltrates in the dorsal root ganglia. No overt signs of pathology were noted in
the examined roots or nerves. High titer anti-SGPG/MAG antibodies were detected
in all 4 cats immunized with SGPG but not in 3 control cats. Our data demonstrate
that immunization of cats with SGPG induced anti-SGPG antibodies and sensory
neuronopathy clinically resembling the sensory ataxia of patients with monoclonal
IgM anti-MAG/SGPG antibodies. This study suggests that these anti-MAG/SGPG
antibodies play a role in the pathogenesis of this neuropathy.
PMID- 18037503
TI - Cannabinoid-mediated neuroprotection, not immunosuppression, may be more relevant
to multiple sclerosis.
AB - Cannabinoids may exhibit symptom control in multiple sclerosis (MS). We show here
that cannabinoid receptor (CBR) agonists can also be immunosuppressive and
neuroprotective in models of MS. Immunosuppression was associated with reduced:
myelin-specific T cell responses; central nervous system infiltration and reduced
clinical disease. This was found to be largely CB(1)R-dependent and only occurred
at doses that induced significant cannabimimetic effects that would not be
achieved clinically. Lower, non-immunosuppressive doses of cannabinoids however,
slowed the accumulation of nerve loss and disability, despite failing to inhibit
relapses. This further highlights the neuroprotective potential of cannabinoids
to slow the progression of MS.
PMID- 18037504
TI - Ingested (oral) alpha-MSH inhibits acute EAE.
AB - Ingested type I IFN and SIRS peptide administered orally inhibit EAE. We examined
whether another immunoactive protein, tridecapeptide alpha-MSH, would have
similar anti-inflammatory effects in EAE after oral administration. B6 mice were
immunized with MOG peptide 35-55 and gavaged with 0.1 ml of control saline or
alpha-MSH peptide starting on day -7 preceding active immunization, and
continuing through day +14 post-immunization. Alpha-MSH peptide delayed disease
onset and decreased inflammatory foci. CNS lymphocytes showed decreases in Th1
like encephalitogenic cytokines IL-2 and IL12p70 in the alpha-MSH fed group
compared to the mock fed group. For Th2-like counter-regulatory cytokines, there
were increases in peripheral SDF-1 levels comparing alpha-MSH fed vs mock fed
groups. There were decreases of chemokines MIP-1-alpha and MIP-1-gamma in the CNS
comparing alpha-MSH fed mice vs mock fed mice. Ingested (orally administered)
alpha-MSH peptide can reduce clinical disease and inhibit CNS inflammation by
decreasing migration of antigen driven CNS Th1 cells into the target organ.
PMID- 18037506
TI - Strain-specific susceptibility for neurodegeneration in a rat model of autoimmune
optic neuritis.
AB - Heterogeneity in clinical disease course and histopathology complicates the
treatment of multiple sclerosis. We detected important differences in
neurodegeneration in various subtypes of myelin oligodendrocyte glycoprotein
(MOG)-induced optic neuritis. Dark Agouti (DA) rats showed a significantly higher
survival of retinal ganglion cells in comparison to Brown Norway rats. After
surgical transection of the optic nerve neuronal loss was similar in both rat
strains. We identified an increased expression of interleukin 1beta and glial
cell line-derived neurotrophic factor in DA rats as the possible mechanism of the
observed endogenous neuroprotection in MOG-induced optic neuritis.
PMID- 18037505
TI - Experimental coronavirus retinopathy (ECOR): retinal degeneration susceptible
mice have an augmented interferon and chemokine (CXCL9, CXCL10) response early
after virus infection.
AB - Mouse hepatitis virus induces a biphasic disease in BALB/c mice that consists of
an acute retinitis followed by progression to a chronic retinal degeneration with
autoimmune reactivity. Retinal degeneration resistant CD-1 mice do not develop
the late phase. What host factors contribute to the distinct responses to the
virus are unknown. Herein, we show that IFN-alpha, IFN-beta and IFN-gamma act in
concert as part of the innate immune response to the retinal infection. At day 2,
high serum levels of IFN-gamma, CXCL9 and CXCL10, were detected in BALB/c mice.
Moreover, elevated levels of CXCL9 and CXCL10 gene expression were detected in
retinal tissue. Although IFN-gamma and the chemokines were detected in CD-1 mice,
they were at significantly lower levels compared to BALB/c mice. These augmented
innate responses observed correlated with the development of autoimmune
reactivity and retinal degeneration and thus may contribute to the pathogenic
processes.
PMID- 18037507
TI - Male--female differences in the impact of beta-adrenoceptor stimulation on
resistance to experimental metastasis: exploring the effects of age and gonadal
hormone involvement.
AB - We studied the development of sexual dimorphism in resistance to NK-sensitive
experimental metastasis under baseline conditions and following adrenoceptor
stimulation. With increasing age, baseline resistance to MADB106 lung tumor
retention (LTR) increased in both sexes, but also the susceptibility to the tumor
enhancing effects of a beta-adrenergic agonist, metaproterenol. Beginning at 13
weeks, males exhibited a 2- to 3-fold greater increase in LTR than females
following adrenoceptor stimulation. This adult dimorphism was robust to
ovariectomy, and questionably related to androgens. The findings are consistent
with reduced female responsiveness to sympathetic activation, and substantiate
the importance of including both sexes when studying neuroimmunomodulation.
PMID- 18037509
TI - Inhibition of red seabream iridovirus (RSIV) replication by small interfering RNA
(siRNA) in a cell culture system.
AB - Small interfering RNAs (siRNAs), mediators of a process of sequence-specific gene
silencing called RNA interference, have been shown to have activity against a
wide range of viruses and are considered to be potential antiviral tools. Here,
we describe an antiviral activity of a siRNA that targets the major capsid
protein (MCP) gene of red seabream iridovirus (RSIV), a marine fish-pathogenic
virus, in a cell culture system. Inhibition of RSIV replication was demonstrated
by reduced MCP expression level and reduced RSIV titer. MCP-targeted siRNA (siR
MCP) dose-dependently inhibited the expression of MCP gene in cells that either
transiently expressed or stably expressed the MCP gene. At 84 and 96h after viral
infection, siR-MCP reduced the expression of MCP gene by 55.2% and 97.1%,
respectively. Transfection with siR-MCP reduced the production of RSIV particles
in supernatants of samples infected with RSIV, while the corresponding mismatched
siR-MCP (MsiR-MCP) and nsRNA controls did not exhibit this effect. These results
show that MCP-targeted siRNA can effectively and specifically inhibit the
expression of the target gene and hinder RSIV replication during an in vitro
infection, providing a potential approach for the control of viral diseases in
aquaculture.
PMID- 18037508
TI - Cysteine cathepsin proteases as pharmacological targets in cancer.
AB - Proteolytic activity is required for several key pro-tumorigenic processes:
angiogenesis, invasion and metastasis. Consequently, increases in protease
expression and activity are frequently reported in human cancers, and correlate
with malignant progression and poor patient prognosis. Cysteine cathepsin
proteases have recently emerged as an important class of proteolytic enzymes in
cancer development, and cysteine cathepsin inhibitors have been proposed as
anticancer agents. In this review, we highlight recent studies that now allow us
to evaluate critically whether cysteine cathepsin inhibition represents a viable
therapeutic strategy for the treatment of cancer.
PMID- 18037510
TI - Poor elemental food quality reduces the toxicity of fluoxetine on Daphnia magna.
AB - Most chemical contaminants released into the aquatic environment have been tested
for their toxicity to aquatic organisms using standardized test protocols. The
extent that results from such toxicity tests vary with the quality of food
provided to the test organism remains largely unknown. Here, we determined
whether the elemental food quality consumed by a common test organism, Daphnia
magna, affects the toxicity of a pharmaceutical, fluoxetine. We found strong
interactive effects of food quality and fluoxetine on daphnid survival, growth,
and reproduction. Specifically, we found that D. magna fed phosphorus (P)- and
nitrogen (N)-rich algal food experienced greater toxicity due to fluoxetine. For
example, the 6-day LC(50) values for fluoxetine decreased from 0.33 to 0.15 mg L(
1) when food C:P ratios were increased from 100 to 800. One explanation for this
result is that fluoxetine, as a selective serotonin reuptake inhibitor, increases
the activity of serotonin, whose production is linked to growth metabolism in D.
magna. A regulatory role of serotonin for D. magna's growth and reproduction
would be consistent with its mitogenic roles in other organisms, although this
possibility would require further study. Nevertheless, these results demonstrate
the need to consider elemental food quality in ecotoxicological testing protocols
that are aimed at evaluating the risks of exposure to chemicals in the aquatic
environment.
PMID- 18037511
TI - Characteristics of clock drawing test (CDT) errors by the dementia type:
quantitative and qualitative analyses.
AB - We wanted to define the characteristics of errors on the clock drawing test (CDT)
and we also wanted to determine their value for making the early diagnosis of
dementia, so the performance of patients with three types of dementia on the four
CDT was evaluated. The patients with subcortical vascular dementia (VaD) and
patients with Parkinson's disease with dementia (PDD) had more stimulus bound
responses. Patients with Alzheimer disease (AD) made significantly more
conceptual deficit (CD) errors. The CD correlated with the severity of dementia
and it could be detected in the early and mild stage of dementia. Qualitative and
quantitative analyses of the errors on the CDT might be useful for making the
early differential diagnosis of dementia types.
PMID- 18037512
TI - Safety and feasibility of day case patent foramen ovale (PFO) closure facilitated
by intracardiac echocardiography.
AB - Ultrasound guided patent foramen ovale (PFO) closure has traditionally utilized
transoesophageal echocardiography (TOE) under general anaesthesia. Some centres
use fluoroscopic guidance alone to facilitate day case PFO closure. Intracardiac
echocardiography (ICE) is performed via femoral vein access using an 11 Fr sheath
providing accurate guidance without the necessity for general anaesthesia. The
safety and feasibility of PFO closure using ICE guidance as a day case procedure
have not been documented. We present a consecutive series of patients undergoing
planned day case PFO closure under ICE guidance with transthoracic echocardiogram
(TTE) follow up. Patients excluded from day case PFO closure were those with
early pregnancy or unfavourable social circumstances. 53 consecutive adult
patients (44.2+/-11.0 years; 24 males) were planned for day case PFO closure
facilitated by ICE. Referral indications were stroke or TIA (n=39), peripheral
embolism (n=6), decompression sickness (n=7) and severe migraine (n=1). All 53
patients underwent ICE, with 9/53 (17%) having an atrial septal aneurysm. In 5
patients no PFO was found. In the remaining 48 patients, PFO closure was achieved
using the HELEX occluder (n=47) or the Amplatzer device (n=1). Mean procedure and
fluoroscopy times were 31.0+/-12.4 and 5.3+/-3.9 min respectively. One patient
failed same day discharge due to groin haematoma. There were no other
complications. At 3 month follow up, 45/48 (94%) had no residual shunt, with 3
patients having small residual shunts on colour flow Doppler. In conclusion,
percutaneous PFO closure as a day case procedure is safe and feasible when
facilitated by ICE.
PMID- 18037513
TI - Cardiogenic shock: basics and clinical considerations.
AB - Cardiogenic shock is a state of tissue hypoperfusion induced by heart failure
after correction of preload. There are no clear numerical cutoffs to define of
the hemodynamic parameters of cardiogenic shock but it is usually characterized
by low blood pressure (systolic blood pressure <90 mmHg or a drop in mean blood
pressure >30 mmHg, both with a heart rate >60 bpm) with low urine output (<0.5
ml/kg/h), with or without evidence of organ congestion. Acute myocardial
infarction is the most common cause. Mortality is high but intra-aortic balloon
counterpulsation and early coronary interventions have improved the outcome.
Activation of inflammatory response resulting in expression of inducible nitric
oxide synthase, activation of inflammatory cytokines, and activation of
complement system appear to play an important role in the pathogenesis and
outcome of cardiogenic shock. Nitric oxide synthase inhibitors and C5 complement
inhibitors are being investigated as potentially useful agents for the treatment
of cardiogenic shock. Historical perspective, epidemiology, etiology,
pathophysiology, risk predictors, and management of cardiogenic shock are
described in this review.
PMID- 18037515
TI - Ginger (Zingiber officinale Roscoe): a hot remedy for cardiovascular disease?
AB - Ginger is now exciting considerable interest for its potential to treat many
aspects of cardiovascular disease. This letter reviews the more recent trials,
which suggest that ginger shows considerable anti-inflammatory, antioxidant, anti
platelet, hypotensive and hypolipidemic effect in in vitro and animal studies.
Human trials have been few and generally used a low dose with inconclusive
results, however dosages of 5 g or more demonstrated significant anti-platelet
activity. More human trials are needed using an appropriate dosage of a
standardised extract. Should these prove positive, ginger has the potential to
offer not only a cheaper natural alternative to conventional agents but one with
significantly lower side effects.
PMID- 18037514
TI - Cardiac rhabdomyoma in tuberous sclerosis: hyperactive Erk signaling.
AB - Tuberous sclerosis (TS) is a neurological disorder associated with the formation
of tumors in several organs. Cardiac rhabdomyomas are possibly the earliest
symptom of TS. Although rhabdomyomas are present in about half of TS patients,
little is known of their molecular background since these tumors are rarely
resected. Here we present a patient diagnosed with TS, in whom rhabdomyoma has
been excised due to deterioration of hemodynamics. We found, that the tumor
remained heterozygous for the affected TSC2 gene. To analyze molecular mechanisms
implicated in rhabdomyoma growth, we determined the status of mTOR, Akt and Erk
pathways. We found that Akt was not upregulated, while mTOR, Erk and its
substrates were hyperactive. Classic activator of Erk, MEK, was only modestly
active. We hypothesize that rhabdomyoma arising in TS may progress due to Erk
potentiation.
PMID- 18037517
TI - Fish oil curtails the human action potential dome in a heterogeneous manner:
implication for arrhythmogenesis.
AB - Omega-3 polyunsaturated fatty acids (omega 3-PUFAs) from fish oil modulate
various ion channels, including the L-type calcium current (I(Ca,L)). As a
result, fish oil shortens the cardiac action potential and may cause a loss of
the dome of the action potential (AP). Under conditions of increased preexisting
heterogeneity in repolarization this may aggravate dispersion in action potential
duration. We isolated ventricular myocytes of explanted hearts from patients with
cardiomyopathy at the time of cardiac transplantation, and characterized spike
and-dome morphology in the presence of acutely administered fish oil. Fish oil
omega 3-PUFA eicosapentaenoic acid (EPA), but not the control omega 9-PUFA oleic
acid (OA), curtails the AP-dome in a heterogeneous manner and may even result in
loss of the AP-dome in some but not all myocytes.
PMID- 18037518
TI - Enzyme activation for organic solvents made easy.
AB - Enzymes are highly selective catalysts that perform intricate chemistries at
ambient temperatures and pressures. Although water is the solvent of life, it is
a poor solvent for most synthetic organic reactions and, therefore, most chemists
avoid aqueous solutions for synthetic applications. However, when removed from
the aqueous environment and placed in an organic solvent, enzyme activity is
reduced greatly. Here, we present a general overview of recent efforts to
activate enzymes for use in nonaqueous media, giving particular focus to the use
of simple salts as additives that result in significant biocatalytic
improvements.
PMID- 18037519
TI - MOSFET detectors in quality assurance of tomotherapy treatments.
AB - BACKGROUND AND PURPOSE: The purpose of this work was to characterize metal oxide
semiconductor field-effect transistors (MOSFETs) in a 6 MV conventional linac and
investigate their use for quality assurance of radiotherapy treatments with a
tomotherapy Hi-Art unit. MATERIALS AND METHODS: High sensitivity and standard
sensitivity MOSFETs were first calibrated and then tested for reproducibility,
field size dependence, and accuracy of measuring surface dose in a 6 MV beam as
well as in a tomotherapy Hi-Art unit. In vivo measurements were performed on both
a RANDO phantom and several head and neck cancer patients treated with
tomotherapy and compared to TLD measurements and treatment plan doses to evaluate
the performance of MOSFETs in a high gradient radiation field. RESULTS: The
average calibration factor found was 0.345+/-2.5%cGy/mV for the high sensitivity
MOSFETs tested and 0.901+/-2.4%cGy/mV for the standard sensitivity MOSFETs.
MOSFET measured surface doses had an average agreement with ion chamber
measurements of 1.55% for the high sensitivity MOSFET and 5.23% for the standard
sensitivity MOSFET when averaged over all trials and field sizes tested. No
significant dependence on field size was found for the standard sensitivity
MOSFETs, however a maximum difference of 5.34% was found for the high sensitivity
MOSFET calibration factors in the field sizes tested. Measurements made with
MOSFETS on head and neck patients treated on a tomotherapy Hi-Art unit had an
average agreement of (3.26+/-0.03)% with TLD measurements, however the average of
the absolute difference between the MOSFET measurements and the treatment plan
skin doses was (12.2+/-7.5)%. The MOSFET measured patient skin doses also had
good reproducibility, with inter-fraction deviations ranging from 1.4% to 6.6%.
Similar results were found from trials using a RANDO phantom. CONCLUSIONS: The
MOSFETs performed well when used in the tomotherapy Hi-Art unit and did not
increase the overall treatment set-up time when used for patient measurements. It
was found that MOSFETs are suitable detectors for surface dose measurements in
both conventional beam and tomotherapy treatments and they can provide valuable
skin dose information in areas where the treatment planning system may not be
accurate.
PMID- 18037520
TI - Feasibility of adequate dose coverage in permanent prostate brachytherapy using
divergent needle insertion methods.
AB - BACKGROUND AND PURPOSE: The purpose of this study is to investigate the
feasibility of adequate dose coverage in permanent prostate brachytherapy using
divergent needle insertion methods. These methods can be useful in magnetic
resonance imaging (MRI) guided needle insertion techniques to avoid pubic arch
interference. METHODS AND MATERIALS: MRI data were collected from 10 patients
with T1-T2 prostate cancer. An inverse planning algorithm based on simulated
annealing was used to optimize the dose distribution for three needle insertion
methods: divergent needles with a single rotation point, divergent needles with a
double rotation point, and current parallel needle insertion method. The dose
constraints were based on our clinical criteria and the recent ESTRO/EAU/EORTC
recommendations. RESULTS: If the planning target volume (PTV) surrounded the
prostate and only intraprostatic seeds were allowed, the mean PTV volume that
received 100% of the prescribed dose (V(100)) was 99% for all needle insertion
methods. If the PTV was increased to the prostate with a 3mm margin, the mean PTV
V(100) equalled 94%, 95%, and 94% for the single rotation point, double rotation
point and current parallel needle insertion method, respectively. If in the
latter case the tips of the seeds were placed 3mm outside the apex and base of
the prostate, the mean PTV V(100) was 96% for all needle insertion methods.
CONCLUSION: This planning study shows that it is feasible to generate an adequate
dose coverage using divergent needle insertion methods.
PMID- 18037521
TI - Activation of protein kinase Cepsilon stimulates DNA-repair via epidermal growth
factor receptor nuclear accumulation.
AB - PURPOSE: To elucidate the interaction between radioprotector O-phospho-l-tyrosine
(P-Tyr) with epidermal growth factor receptor (EGFR). METHODS: Molecular effects
of P-Tyr at the level of EGFR responses were investigated in vitro with TP53
wildtype bronchial carcinoma cell line A549, which is radio-protected by P-Tyr
treatment. Nuclear EGFR accumulation was followed by confocal microscopy and
Western blotting. PKCepsilon protein expression was impaired by specific siRNA.
Residual DNA-damage was quantified with gammaH(2)AX foci analysis. RESULTS: P-Tyr
mediated radio-protection was associated with nuclear EGFR accumulation.
Radiation-induced nuclear EGFR presented increased phosphorylation at residue No.
T654. We identified PKCepsilon as responsible for T654-phosphorylation. Knockdown
of PKCepsilon by siRNA blocked both radiation- and P-Tyr-triggered nuclear EGFR
accumulation. Furthermore, nuclear accumulation of EGFR was associated with
increased phosphorylation of DNA-dependent protein kinase (DNA-PK) at residue No.
T2609, essential for DNA-repair. Consequently P-Tyr mediated effects upon DNA-PK
resulted in a significant reduction of radiation-induced residual gammaH(2)AX
foci. Knockdown of PKCepsilon increased radiation-induced residual damage and
abolished the P-Tyr associated radioprotection. In addition, P-Tyr mediated
radioprotection was completely absent in colony formation assay. CONCLUSION: The
data presented herein suggest that P-Tyr-treatment mediates activation of
PKCepsilon, which triggers nuclear EGFR accumulation. Nuclear EGFR is involved in
phosphorylation of DNA-PK at Thr2609, which has a significant impact upon DNA-DSB
repair.
PMID- 18037522
TI - TomoTherapy: implications on daily workload and scheduling patients.
AB - PURPOSE: The aim of the study was to measure the mean duration of treatments and
to investigate the occasional events, with extreme influence on treatment time.
PATIENTS AND METHODS: Time measurements were performed from the start of patient
treatments (n=72) and one year later (n=27) on TomoTherapy. The time interval of
the different procedures during treatment was measured. The cause of extra long
treatment time was examined. All patients received a MV-CT scan prior to
treatment. RESULTS: The mean overall total treatment time per localization ranged
from 21.3 to 27.4 min. In 4.1% of the total population extreme long time
measurements have been observed, interruptions due to equipment malfunction being
the main cause (57.5%). Comparison between time measurements performed after
clinical implementation and time measurements performed one year later to examine
the learning curve, showed no differences. CONCLUSION: Treating a patient on
TomoTherapy takes approximately 25 min, yielding 19 patients to be treated within
8 h. However, occasional treatment interruptions and variations in time of
irradiation have a certain impact on daily patient scheduling for treatment, and
influences the workload from day-to-day.
PMID- 18037523
TI - FDG-PET, CT, MRI for diagnosis of local residual or recurrent nasopharyngeal
carcinoma, which one is the best? A systematic review.
AB - PURPOSE: To perform a systematic review to compare FDG-PET, CT, and MRI imaging
for diagnosis of local residual or recurrent nasopharyngeal carcinoma. MATERIALS
AND METHODS: MEDLINE, EMBASE, the CBMdisc databases and some other databases were
searched for relevant original articles published from January 1990 to June 2007.
Inclusion criteria were as follows: Articles were reported in English or Chinese;
FDG-PET, CT, or MRI was used to detect local residual or recurrent nasopharyngeal
carcinoma; histopathologic analysis and/or close clinical and imaging follow-up
for at least 6 months were the reference standard. Two reviewers independently
extracted data. A software called "Meta-DiSc" was used to obtain pooled estimates
of sensitivity, specificity, diagnostic odds ratio (DOR), summary receiver
operating characteristic (SROC) curves, and the Q* index. RESULTS: Twenty-one
articles fulfilled all inclusion criteria. The pooled sensitivity estimates for
PET (95%) were significantly higher than CT (76%) (P<0.001) and MRI (78%)
(P<0.001). The pooled specificity estimates for PET (90%) were significantly
higher than CT (59%) (P<0.001) and MRI (76%) (P<0.001). The pooled DOR estimates
for PET (96.51) were significantly higher than CT (7.01) (P<0.001) and MRI (8.68)
(P<0.001). SROC curve for FDG-PET showed better diagnostic accuracy than CT and
MRI. The Q* index for PET (0.92) was significantly higher than CT (0.72)
(P<0.001) and MRI (0.76) (P<0.01). For PET, the sensitivity and diagnostic OR for
using qualitative analysis were significantly higher than using both qualitative
and quantitative analyses (P<0.01). For CT, the sensitivity, specificity,
diagnostic OR, and the Q* index for dual-section helical and multi-section
helical were all significantly higher than nonhelical and single-section helical
(P<0.01). And the sensitivity for 'section thickness <5 mm' was significantly
lower than ' =5 mm' (P<0.01), while the specificity was significantly higher
(P<0.01). For MRI, there were no significant differences found between magnetic
field strength <1.5 and > or =1.5 T (P>0.05). CONCLUSION: FDG-PET was the best
modality for diagnosis of local residual or recurrent nasopharyngeal carcinoma.
The type of analysis for PET imaging and the section thickness for CT would
affect the diagnostic results. Dual-section helical and multi-section helical CT
were better than nonhelical and single-section helical CT.
PMID- 18037524
TI - Potential of dose optimisation in MRI-based PDR brachytherapy of cervix
carcinoma.
AB - BACKGROUND AND PURPOSE: In this study on PDR treatment planning of utero-vaginal
carcinoma, we analysed the dosimetry of traditional X-ray based plans as it
presents on MR images. The potential gain of MRI-based dose optimisation was
assessed. PATIENTS AND METHODS: Sixteen patients boosted with PDR brachytherapy
after external beam therapy were included. The clinical X-ray based plans were
projected on MR images. The GTV, HR-CTV and IR-CTV were retrospectively
contoured, as well as the bladder, rectum and sigmoid colon. The dose in the
critical organs and target coverage was investigated. In a second phase, the
plans were manually optimised using the MR information. The objectives were to
lower the dose in the critical organs (or= 85 Gy(alphabeta10). RESULTS: In the X-ray based plans, D(2cc) in
bladder and sigmoid colon exceeded the tolerance doses in 10/16 and 7/16
patients, respectively. Coverage of the IR-CTV with the 60 Gy(alphabeta10) was
acceptable. D90 of the HR-CTV was below 85 Gy(alphabeta10) in 13 out of 16
patients. After optimisation, the dose constraints in the OAR were not exceeded
anymore in any patient. The average D(2cc) dose reduction was 7+/-6
Gy(alphabeta3) in the bladder and 7+/-4 Gy(alphabeta3) in the sigmoid colon for
those patients in which the dose constraint was initially exceeded. In addition,
an average dose increase of 3 Gy(alphabeta10) was accomplished in the HR-CTV.
CONCLUSIONS: MRI-based dose optimisation can play an important role to reduce the
dose delivered to the critical organs and to improve target coverage.
PMID- 18037525
TI - Quantitative risk assessment of thermophilic Campylobacter spp. and cross
contamination during handling of raw broiler chickens evaluating strategies at
the producer level to reduce human campylobacteriosis in Sweden.
AB - Campylobacter is a major bacterial cause of infectious diarrheal illness in
Sweden and in many other countries. Handling and consumption of chicken has been
identified as important risk factors. The purpose of the present study was to use
data from a national baseline study of thermophilic Campylobacter spp. in raw
Swedish broiler chickens in order to evaluate some risk management strategies and
the frequency of consumer mishandling, i.e., handling leading to possible cross
contamination. A probabilistic model describing variability but not uncertainty
was developed in Excel and @Risk. The output of the model was the probability of
illness per handling if the chicken was mishandled. Uncertainty was evaluated by
performing repeated simulations and substituting model parameters, distributions
and software (Analytica). The effect of uncertainty was within a factor of 3.2
compared to the baseline scenario. For Campylobacter spp. prevalence but not
concentration, there was a one-to-one relation with risk. The effect of a 100
fold reduction in the levels of Campylobacter spp. on raw chicken reduced the
risk by a factor of 12 (fresh chicken) to 30 (frozen chicken). Highly
contaminated carcasses contributed most to risk and it was estimated that by
limiting the contamination to less than 4 log CFU per carcass, the risk would be
reduced to less than 17% of the baseline scenario. Diverting all positive flocks
to freezing was estimated to result in 43% as many cases as the baseline. The
second best diversion option (54% of baseline cases) was to direct all chickens
from the two worst groups of producers, in terms of percentages of positive
flocks delivered, to freezing. The improvement of using diverting was estimated
to correspond to between 5 to 767 fewer reported cases for the different
strategies depending on the assumptions of the proportion of reported cases (1 to
50%) caused by Campylobacter spp. from Swedish chicken. The estimated proportion
of consumer mishandlings sufficient to explain 1 to 50% of the reported
campylobacteriosis cases was 0.005-0.25%, or taking estimated underreporting into
consideration, was 0.05-2.6%. The strategy of using a diversion treatment, e.g.,
freezing, based on the past performance of producers was promising, but needs to
be evaluated further. Although challenging, consumer education has a great
potential given the direct relation between behaviour and risk. However, any
improvements following implementation of these strategies may be hard to detect
if less than 50% of reported cases are exposed via chicken and the cross
contamination route.
PMID- 18037526
TI - Delivery of proteins and nucleic acids using a non-covalent peptide-based
strategy.
AB - The recent discovery of new potent therapeutic molecules which do not reach the
clinic due to poor delivery and low bioavailability have made of delivery a key
stone in therapeutic development. Several technologies have been designed to
improve cellular uptake of therapeutic molecules, including cell-penetrating
peptides (CPPs), which have been successfully applied for in vivo delivery of
biomolecules and constitute very promising tools. Distinct families of CPPs have
been described; some require chemical linkage between the drug and the carrier
for cellular drug internalization while others like Pep-and MPG-families, form
stable complexes with drugs depending on their chemical nature. Pep and MPG are
short amphipathic peptides, which form stable nanoparticles with proteins and
nucleic acids respectively. MPG and Pep based nanoparticles enter cells
independently of the endosomal pathway and efficiently deliver cargoes in a fully
biologically active form into a large variety of cell lines as well as in animal
models. This review will focus on the mechanisms of non-covalent MPG and Pep-1
strategies and their applications in cultured cells and animal models.
PMID- 18037527
TI - Cell penetrating peptide conjugates of steric block oligonucleotides.
AB - Charge neutral steric block oligonucleotide analogues, such as peptide nucleic
acids (PNA) or phosphorodiamidate morpholino oligomers (PMO), have promising
biological and pharmacological properties for antisense applications, such as for
example in mRNA splicing redirection. However, cellular uptake of free oligomers
is poor and the utility of conjugates of PNA or PMO to cell penetrating peptides
(CPP), such as Tat or Penetratin, is limited by endosomal sequestration. Two new
families of arginine-rich CPPs named (R-Ahx-R)(4) AhxB and R(6)Pen allow
efficient nuclear delivery of splice correcting PNA and PMO at micromolar
concentrations in the absence of endosomolytic agents. The in vivo efficacy of (R
Ahx-R)(4) AhxB PMO conjugates has been demonstrated in mouse models of Duchenne
muscular dystrophy and in various viral infections.
PMID- 18037528
TI - Homeoproteins as natural Penetratin cargoes with signaling properties.
AB - Most of this volume is dedicated to a very important and pragmatic issue which is
to design ways of internalizing active pharmacological compounds into cells. In
fact, many vectors have now been developed and the improvement in the technology
can be seen on two main fronts. A first one is the identification of extremely
efficient cargoes, for example siRNAs, which can enter the cells once attached to
the vectors. A second one is the development of chemical vectors designed after
the properties of the peptides and of tags allowing in vivo addressing to
specific organs, for example the brain, cell types or sub-cellular compartments.
This chapter is of a different nature, as it is devoted to the physiological
significance of protein transduction and to the comparative analysis of the
Penetratin PTD with its parental proteins, the homeoproteins. Although very
academic, these two issues are of practical interest for the rational design of
new vectors and the identification of unforeseen pathological mechanisms and
pharmacological targets.
PMID- 18037529
TI - Multifunctional envelope-type nano device (MEND) as a non-viral gene delivery
system.
AB - In this review, we describe a key role of octaarginine (R8) in developing our new
concept of "Programmed Packaging", by which we succeeded in creating a
multifunctional envelope-type nano device (MEND) as a non-viral gene-delivery
system. This concept can be applied not only to nuclear targeting of plasmid DNA
(pDNA) but also to cytosolic delivery of functional nucleic acids such as
oligonucleotides or siRNA. This concept has been extended to other organelles
such as mitochondria as a foundation for innovative nanomedicine. Finally, we
discuss the rate-limiting step in gene delivery by comparing non-viral and viral
gene delivery systems, which clearly indicates the importance of nuclear
disposition of pDNA for efficient transfection.
PMID- 18037530
TI - Genetic modification of cells for transplantation.
AB - Progress in gene therapy has produced promising results that translate
experimental research into clinical treatment. Gene modification has been
extensively employed in cell transplantation. The main barrier is an effective
gene delivery system. Several viral vectors were utilized in end-stage
differentiated cells. Recently, successful applications were described with
adenovirus-associated vectors. As an alternative, embryonic stem cell- and stem
cell-like systems were established for generation of tissue-specified gene
modified cells. Owing to the feasibility for genetic manipulations and the self
renewing potency of these cells they can be used in a way enabling large-scale in
vitro production. This approach offers the establishment of in vitro cell culture
systems that will deliver sufficient amounts of highly purified, immunoautologous
cells suitable for application in regenerative medicine. In this review, the
current technology of gene delivery systems to cells is recapitulated and the
latest developments for cell transplantation are discussed.
PMID- 18037531
TI - Commonness, population depletion and conservation biology.
AB - Species conservation practice, as opposed to principle, generally emphasizes
species at risk of imminent extinction. This results in priority lists
principally of those with small populations and/or geographical ranges. However,
recent work emphasizes the importance of common species to ecosystems. Even
relatively small proportional declines in their abundance can result in large
absolute losses of individuals and biomass, occurrences significantly disrupting
ecosystem structure, function and services. Here, we argue that combined with
evidence of dramatic declines in once common species, this suggests the need to
pay more attention to such depletions. Complementing the focus on extinction
risk, we highlight important implications for conservation, including the need to
identify, monitor and alleviate significant depletion events.
PMID- 18037532
TI - Applicability of grid-net detection system for landfill leachate and diesel fuel
release in the subsurface.
AB - The grid-net system estimating the electrical conductivity changes was evaluated
as a potential detection system for the leakage of diesel fuel and landfill
leachate. Aspects of electrical conductivity changes were varied upon the type of
contaminant. The electrical conductivity in the homogeneous mixtures of soil and
landfill leachate linearly increased with the ionic concentration of pore fluid,
which became more significant at higher volumetric water contents. However, the
electrical conductivity in soil/diesel fuel mixture decreased with diesel fuel
content and it was more significant at lower water contents. The electrode
spacing should be determined by considering the type of contaminant to enhance
the electrode sensitivity especially when two-electrode sensors are to be used.
The electrode sensitivity for landfill leachate was constantly maintained
regardless of the electrode spacings while that for the diesel fuel significantly
increased at smaller electrode spacings. This is possibly due to the fact that
the insulating barrier effect of the diesel fuel in non-aqueous phase was less
predominant at large electrode spacing because electrical current can form the
round-about paths over the volume with relatively small diesel fuel content. The
model test results showed that the grid-net detection system can be used to
monitor the leakage from waste landfill and underground storage tank sites.
However, for a successful application of the detection system in the field, data
under various field conditions should be accumulated.
PMID- 18037533
TI - Two modelling approaches to water-quality simulation in a flooded iron-ore mine
(Saizerais, Lorraine, France): a semi-distributed chemical reactor model and a
physically based distributed reactive transport pipe network model.
AB - The flooding of abandoned mines in the Lorraine Iron Basin (LIB) over the past 25
years has degraded the quality of the groundwater tapped for drinking water. High
concentrations of dissolved sulphate have made the water unsuitable for human
consumption. This problematic issue has led to the development of numerical tools
to support water-resource management in mining contexts. Here we examine two
modelling approaches using different numerical tools that we tested on the
Saizerais flooded iron-ore mine (Lorraine, France). A first approach considers
the Saizerais Mine as a network of two chemical reactors (NCR). The second
approach is based on a physically distributed pipe network model (PNM) built with
EPANET 2 software. This approach considers the mine as a network of pipes defined
by their geometric and chemical parameters. Each reactor in the NCR model
includes a detailed chemical model built to simulate quality evolution in the
flooded mine water. However, in order to obtain a robust PNM, we simplified the
detailed chemical model into a specific sulphate dissolution-precipitation model
that is included as sulphate source/sink in both a NCR model and a pipe network
model. Both the NCR model and the PNM, based on different numerical techniques,
give good post-calibration agreement between the simulated and measured sulphate
concentrations in the drinking-water well and overflow drift. The NCR model
incorporating the detailed chemical model is useful when a detailed chemical
behaviour at the overflow is needed. The PNM incorporating the simplified
sulphate dissolution-precipitation model provides better information of the
physics controlling the effect of flow and low flow zones, and the time of solid
sulphate removal whereas the NCR model will underestimate clean-up time due to
the complete mixing assumption. In conclusion, the detailed NCR model will give a
first assessment of chemical processes at overflow, and in a second time, the PNM
model will provide more detailed information on flow and chemical behaviour
(dissolved sulphate concentrations, remaining mass of solid sulphate) in the
network. Nevertheless, both modelling methods require hydrological and chemical
parameters (recharge flow rate, outflows, volume of mine voids, mass of solids,
kinetic constants of the dissolution-precipitation reactions), which are commonly
not available for a mine and therefore call for calibration data.
PMID- 18037534
TI - Prospective survey of indoor fungal contamination in hospital during a period of
building construction.
AB - An 18-month survey of indoor fungal contamination was conducted in one
haematology unit during a period of construction work. Air was sampled with a
portable Air System Impactor and surfaces with contact Sabouraud plates. During
this survey the mean concentration of viable fungi in air was 4.2 cfu/m(3) and
that for surfaces was 1.7 cfu/plate. At the beginning of construction work, there
were increases in airborne fungal spores (from 3.0 to 9.8 cfu/m(3)) in the unit,
but concentrations did not exceed 10 cfu/m(3) during the 18-month period. The
most frequently recovered airborne fungi were Penicillium spp. (27-38%),
Aspergillus spp. (25%) and Bjerkandera adusta, a basidiomycete identified with
molecular tools (7-12%). Blastomycetes accounted for more than 50% of the fungal
flora on surfaces. Investigating the impact of a new air-treatment system (mobile
Plasmair units), there were significant reductions in fungal contamination for
the Plasmer -treated rooms, and in these rooms we observed the same level of
fungal load whether construction work was in progress or not.
PMID- 18037535
TI - Apigenin inhibits the production of NO and PGE2 in microglia and inhibits
neuronal cell death in a middle cerebral artery occlusion-induced focal ischemia
mice model.
AB - Flavonoids have been intensively studied on their pharmacological activities such
as anti-cancer, anti-oxidant and anti-inflammation. However, little is known
about their neuroprotective effects. Recent studies suggest that inflammation
mediated by microglia may play a role in neurodegenerative diseases. In this
study, we evaluated the anti-inflammatory effect of various flavonoid compounds
by using BV-2, a murine microglia cell line. Of the compounds that were
evaluated, apigenin inhibited the production of nitric oxide and prostaglandin
E(2) by suppressing the expression of inducible nitric oxide synthase and
cyclooxygenase-2 protein, respectively. Moreover, apigenin suppressed p38 mitogen
activated protein kinase (MAPK), c-Jun N-terminal kinase (JNK) phosphorylation
without affecting the activity of extracellular signal-regulated kinase (ERK).
Apigenin was also found to protect neuronal cells from injury in middle cerebral
artery occlusion.
PMID- 18037536
TI - Facilitatory effect of glutamate exocytosis from rat cerebrocortical nerve
terminals by alpha-tocopherol, a major vitamin E component.
AB - The effect of alpha-tocopherol, the major vitamin E component, on the release of
endogenous glutamate has been investigated using rat cerebrocortical nerve
terminals. Results showed that alpha-tocopherol facilitated the Ca2+-dependent
but not the Ca2+-independent glutamate release evoked by 4-aminopyridine (4AP).
This release facilitation was insensitive to glutamate transporter inhibitor L
trans-PDC or DL-TBOA, and blocked by the exocytotic neurotransmitter release
inhibitor tetanus neurotoxin, indicating that alpha-tocopherol affects
specifically the physiological exocytotic vesicular release without affecting the
non-vesicular release. Facilitation of glutamate exocytosis by alpha-tocopherol
was not due to its increasing synaptosomal excitability, because alpha-tocopherol
did not alter the 4AP-evoked depolarization of the synaptosomal plasma membrane
potential. Rather, examination of the effect of alpha-tocopherol on cytoplasmic
free Ca2+ concentration revealed that the facilitation of glutamate release could
be attributed to an increase in voltage-dependent Ca2+ influx. Consistent with
this, the alpha-tocopherol-mediated facilitation of glutamate release was
significantly reduced in synaptosomes pretreated with omega-CgTX MVIIC, a wide
spectrum blocker of N- and P/Q-type Ca2+ channels. In addition, alpha-tocopherol
modulation of glutamate release appeared to involve a protein kinase C (PKC)
signalling cascade, insofar as pretreatment of synaptosomes with the PKC
inhibitor GF109203X effectively suppressed the facilitatory effect of alpha
tocopherol on 4AP- or ionomycin-evoked glutamate release. Furthermore, alpha
tocopherol increased the phosphorylation of MARCKS, the major presynapic
substrate for PKC, and this effect was also significantly attenuated by PKC
inhibition. Together, these results suggest that alpha-tocopherol exerts an
increase in PKC activation, which subsequently enhances voltage-dependent Ca2+
influx and vesicular release machinery to cause an increase in evoked glutamate
release from rat cerebrocortical glutamatergic terminals. This finding might
provide important information regarding to the action of vitamin E in the central
nervous system.
PMID- 18037537
TI - An efficient tool for identifying inhibitors based on 3D-QSAR and docking using
feature-shape pharmacophore of biologically active conformation--a case study
with CDK2/cyclinA.
AB - This study proposes a fast and efficient approach for identifying novel
inhibitors when the biologically active conformation of an inhibitor is known.
The present study was carried out with CDK2/CyclinA inhibitors. The co-crystal
structure of the most active ligand with CDK2/CyclinA was converted into a
feature-shape query. This query served three purposes (i) alignment of molecules
to generate 3D-QSAR model, (ii) rigid docking to the active site using GOLD,
(iii) extracting hits from databases. A statistically valid 3D-QSAR (r(2)=0.867,
q(2)=0.887) with good external set prediction (r(pred)(2)=0.890) was obtained.
The docked poses were analyzed based on their interaction with hinge region
(Glu81-Leu83) of CDK2. A reasonably good consensus score was generated using 11
scoring functions. The developed model was then successfully used to identify
potential leads for CDK2/CyclinA inhibitors.
PMID- 18037538
TI - DHA deficiency and Alzheimer's disease.
PMID- 18037539
TI - Presence and functioning of scales and stadiometers in paediatric units.
PMID- 18037540
TI - Acute inflammatory response does not affect erythrocyte concentrations of copper,
zinc and selenium.
AB - BACKGROUND & AIMS: Measuring the nutritional status of trace elements in plasma
is invalidated in the presence of a systemic inflammatory response. We examined
the potential of erythrocytes to assess copper, zinc and selenium status in such
situations. METHODS: Venous blood samples were withdrawn pre-operatively and at
12, 24, 48, 72 and 168 h post-operatively from 11 patients (6 males and 5
females) who were admitted for elective knee arthroplasty. C-reactive protein,
albumin, copper, zinc, selenium and iron were measured in plasma and
erythrocytes. RESULTS: Plasma zinc and selenium concentrations fell
significantly: 95% confidence intervals (CI)=-32% to -44% and -22% to -36%,
respectively. Copper concentrations fell transiently and then increased
significantly: CI=12-43%. No significant changes were seen in trace element
concentrations in erythrocytes expressed either as a ratio of haemoglobin or iron
concentration. Erythrocyte iron levels correlated significantly with haemoglobin
(r=0.93). CONCLUSIONS: Plasma concentrations of copper, zinc and selenium are
unreliable markers of status in patients with an acute inflammatory response.
Erythrocyte concentrations of these trace elements may provide a more reliable
measure in long-term studies of patients with a chronic systemic inflammatory
response. Iron can be used instead of haemoglobin as the denominator when
expressing erythrocyte concentrations of trace elements.
PMID- 18037541
TI - An important date in rabies history.
AB - Rabies is estimated to cause 31,000 human deaths in Asia annually. Several recent
events, including World Rabies Day have brought this neglected disease to the
attention of the scientific community, governmental authorities, the media and
the public. It is hoped that this will result in an increased collaboration
between veterinary and human health authorities, and an involvement at all levels
necessary for the control and elimination of rabies in dogs, the main reservoir
and vector of rabies in Asia. Dog rabies elimination is considered as the most
cost-effective solution to prevent rabies deaths in humans. Asian countries such
as India and the Philippines have recently adopted the objective of eliminating
rabies by 2020. To support World Rabies Day, the Asian Rabies Expert Bureau
(AREB) had its 4th annual meeting from 5 to 7 September 2007, with the objective
of debating strategies for lowering the human rabies toll. Human rabies deaths
can already be prevented by improving the compliance to WHO post-exposure
prophylaxis recommendations. In addition, in regions with a high incidence of
canine rabies and where rabies control in dogs is not yet achieved or not
effective, systematic pre-exposure vaccination of children who are the main
victims of rabies, may prevent their premature deaths.
PMID- 18037542
TI - All that palsies is not Bell's -the need to define Bell's palsy as an adverse
event following immunization.
AB - Bell's palsy has been reported as an adverse event following immunization (AEFI).
Review of the published literature reveals that several characteristics have been
used to describe Bell's palsy, which differ significantly from author to author.
Evidently, the definition of "Bell's palsy" remains controversial, and consensus
between different medical subspecialties is urgently needed. The Brighton
Collaboration has formed an international working group with representatives of
neurology, otorhinolaryngology, pediatrics, electrophysiology, pharmacology,
pharmaceutical and biotech industry as well as regulatory agencies to create a
case definition of Bell's palsy as an AEFI.
PMID- 18037544
TI - Low dose revaccination induces robust protective anti-HBs antibody response in
the majority of healthy non-responder neonates.
AB - A sizeable proportion (1-10%) of healthy adults and to lesser extent neonates
vaccinated with triple 10 microg hepatitis B (HB) vaccine fail to mount a
protective antibody response. Revaccination with the same vaccine dose has proved
to be effective in a significant number of primary non-responders. The influence
of revaccination with lower vaccine doses however has not been studied adequately
in non-responder neonates. This study was conducted to evaluate the influence of
supplementary vaccination with a single low and standard dose of a recombinant
hepatitis B (HB) vaccine in healthy Iranian non-responder neonates to primary
vaccination. Iranian neonates unable to respond to primary vaccination with 10, 5
or 2.5 microg doses of recombinant HB vaccine were revaccinated with a single
additional dose of the same concentration. Serum anti-HBs antibody titer was
measured by sandwich ELISA. Administration of a single additional dose induced
seroprotection (anti-HBs> or =10IU/L) in 10/12 (83%), 10/12 (83%) and 21/24
(87.5%) of non-responder neonates in 10, 5 and 2.5 microg vaccine recipients with
geometric mean titers (and 95% confidence limits) of 1358 (258-7142), 401 (79
2038) and 164 (62-433) IU/L, respectively. The log-transformed antibody titer
obtained for the 10 microg dose recipients was significantly higher than that of
the 2.5 microg dose vaccinees (p=0.028). No significant differences in anti-HBs
titer were observed between other groups of vaccinees. However, the total
seroprotection rates obtained after administration of four low doses of 2.5 and 5
microg were significantly higher than that obtained after administration of the
classical three 10 microg doses (p=0.029 and p=0.006, respectively). The total
seroprotection rates were similar between all groups of vaccines receiving four
doses of 2.5, 5 and 10 microg vaccine doses. These results indicate that a
significant proportion of non-responder neonates can be induced to develop a
protective anti-HBs response following revaccination with a single low dose
vaccine. Thus adaptation of four low dose (2.5 or 5 microg) vaccination is
expected to induce higher seroprotection rate and lower or comparable anti-HBs
antibody titer in healthy neonates.
PMID- 18037543
TI - Respiratory syncytial virus (RSV) fusion protein expressed by recombinant Sendai
virus elicits B-cell and T-cell responses in cotton rats and confers protection
against RSV subtypes A and B.
AB - The respiratory syncytial virus (RSV) is a serious pediatric pathogen for which
there is currently no clinically approved vaccine. This report describes the
design and testing of a new RSV vaccine construct (rSV-RSV-F), created by the
recombination of an RSV F sequence with the murine parainfluenza virus-type 1
(Sendai virus, SV) genome. SV was selected as the vaccine backbone for this
study, because it has previously been shown to elicit high-magnitude, durable
immune activities in animal studies and has advanced to human safety trials as a
xenogenic vaccine for human parainfluenza virus-type 1 (hPIV-1). Cells infected
with the recombinant SV expressed RSV F protein, but F was not incorporated into
progeny SV virions. When cotton rats were inoculated with the vaccine, high-titer
RSV-binding and neutralizing antibodies as well as interferon-gamma-producing T
cells were induced. Most striking was the protection against intra-nasal RSV
challenge conferred by the vaccine. The rSV-RSV-F construct was also tested as a
mixture with a second SV construct expressing the RSV G protein, but no clear
advantage was demonstrated by combining the two vaccines. As a final analysis,
the efficacy of the rSV-RSV-F vaccine was tested against an array of RSV
isolates. Results showed that neutralizing and protective responses were
effective against RSV isolates of both A and B subtypes. Together, experimental
results encourage promotion of this recombinant SV construct as a vaccine
candidate for the prevention of RSV in humans.
PMID- 18037545
TI - Genomic differences of Vaccinia virus clones from Dryvax smallpox vaccine: the
Dryvax-like ACAM2000 and the mouse neurovirulent Clone-3.
AB - Conventional vaccines used for smallpox eradication were often denoted one or
another strain of Vaccinia virus (VACV), even though seed virus was sub-cultured
multifariously, which rendered the virion population genetically heterogeneous.
ACAM2000 cell culture vaccine, recently licensed in the U.S., consists of a
biologically vaccine-like VACV homogeneous-sequence clone from the conventional
smallpox vaccine Dryvax, which we verified from Dryvax sequence chromatograms is
genetically heterogeneous. ACAM2000 VACV and CL3, a mouse-neurovirulent clone
from Dryvax, differ by 572 single nucleotide polymorphisms and 53 insertions
deletions of varied size, including a 4.5-kbp deletion in ACAM2000 and a 6.2-kbp
deletion in CL3. The sequence diversity between the two clones precludes
precisely defining why CL3 is more pathogenic; however, four genes appear
significantly dissimilar to account for virulence differences. CL3 encodes intact
immunomodulators interferon-alpha/beta and tumor necrosis factor receptors, which
are truncated in ACAM2000. CL3 specifies a Cowpox and Variola virus-like ankyrin
repeat protein that might be associated with proteolysis via ubiquitination. And,
CL3 shows an elongated thymidylate kinase, similar to the enzyme of the mouse
neurovirulent VACV-WR, a derivative of the New York City Board of Health vaccine,
the origin vaccine of Dryvax. Although ACAM2000 encodes most proteins associated
with immunization protection, the cloning probably delimited the variant epitopes
and other motifs produced by Dryvax due to its VACV genetic heterogeneity. The
sequence information for ACAM2000 and CL3 could be significant for resolving the
dynamics of their different proteomes and thereby aid development of safer, more
effective vaccines.
PMID- 18037546
TI - Influence of trunk flexion on hip and knee joint kinematics during a controlled
drop landing.
AB - BACKGROUND: An erect posture and greater knee valgus during landing have been
implicated as anterior cruciate ligament injury risk factors. While previous
research suggests coupling of knee and hip kinematics, the influence of trunk
positioning on lower extremity kinematics has yet to be determined. We
hypothesized that greater trunk flexion during landing would result in greater
knee and hip flexion and lesser knee valgus. Identification of a modifiable
factor (e.g. trunk flexion) which positively influences kinematics of multiple
lower extremity joints would be invaluable for anterior cruciate ligament injury
prevention efforts. METHODS: Forty healthy individuals completed two drop landing
tasks while knee, hip, and trunk kinematics were sampled. The first task
constituted the natural/preferred landing strategy (Preferred), while in the
second task, subjects actively flexed the trunk upon landing (Flexed). FINDINGS:
Peak trunk flexion angle was 47 degrees greater for Flexed compared to Preferred
(P<0.001), and was associated with increases in peak hip flexion angle of 31
degrees (P<0.001) and peak knee flexion angle of 22 degrees (P<0.001).
INTERPRETATION: Active trunk flexion during landing produces concomitant
increases in knee and hip flexion angles. A more flexed/less erect posture during
landing is associated with a reduced anterior cruciate ligament injury risk. As
such, incorporating greater trunk flexion as an integral component of anterior
cruciate ligament injury prevention programs may be warranted.
PMID- 18037547
TI - Phytoextraction by arsenic hyperaccumulator Pteris vittata L. from six arsenic
contaminated soils: Repeated harvests and arsenic redistribution.
AB - This greenhouse experiment evaluated arsenic removal by Pteris vittata and its
effects on arsenic redistribution in soils. P. vittata grew in six arsenic
contaminated soils and its fronds were harvested and analyzed for arsenic in
October, 2003, April, 2004, and October, 2004. The soil arsenic was separated
into five fractions via sequential extraction. The ferns grew well and took up
arsenic from all soils. Fern biomass ranged from 24.8 to 33.5 g plant(-1) after 4
months of growth but was reduced in the subsequent harvests. The frond arsenic
concentrations ranged from 66 to 6,151 mg kg(-1), 110 to 3,056 mg kg(-1), and 162
to 2,139 mg kg(-1) from the first, second and third harvest, respectively. P.
vittata reduced soil arsenic by 6.4-13% after three harvests. Arsenic in the
soils was primarily associated with amorphous hydrous oxides (40-59%), which
contributed the most to arsenic taken up by P. vittata (45-72%). It is possible
to use P. vittata to remediate arsenic-contaminated soils by repeatedly
harvesting its fronds.
PMID- 18037548
TI - Effectiveness of aripiprazole in treating obsessive compulsive symptoms.
PMID- 18037549
TI - Hallucinatory disorder, an original clinical picture? Clinical and imaging data.
AB - OBJECTIVE: The aim of this study was to verify the existence of areas of clinical
and neurofunctional homogeneity in a group of patients with auditory verbal
hallucinations (AVHs) as an isolated symptom, attributable to what we have called
"Hallucinatory Disorder" (HD) in an attempt to propose a clinical picture that is
distinct from Schizophrenia. METHOD: Nine patients clinically characterised by
chronic AVHs were compared with nine schizophrenic patients using the Structured
Clinical Interview for DSM-III-R, BPRS, PANSS, SAPS, SANS, HRS-A, HRS-D, CDSS,
MMSE, CGI and PSYRATS. Both groups of patients and nine healthy subjects
underwent EEG and SPECT examinations. RESULTS: Considering the psychopathological
dimensions of Schizophrenia, in the HD patients clinical evaluations revealed a
mono-dimensional clinical profile, whereas all these dimensions contributed to
the clinical picture of the schizophrenic patients. The SPECT data showed that
the schizophrenic patients had a reduced rCBF in some areas of the right frontal
lobe, while the HD patients did not show any area of hypoperfusion. The SPECT
hyperperfusion data showed an activation pattern in the HD patients that was
characterised by the involvement of various cortical and subcortical cerebral
areas, similar to those found in studies of inner speech and auditory verbal
imagery. CONCLUSIONS: The two groups of patients present significant differences
that seem capable of supporting the proposed hypothesis that HD may be an
independent nosographical entity.
PMID- 18037550
TI - A successful outcome with valproic acid in a case of mania secondary to stroke of
the right frontal lobe.
PMID- 18037551
TI - Twenty eight-day dietary toxicity study of Luo Han fruit concentrate in Hsd:SD
rats.
AB - A 28-day dietary study was conducted in Hsd:SD rats to evaluate the safety of
PureLo, a non-caloric powdered concentrate of the Chinese fruit Luo Han Guo,
which derives its sweetening properties from triterpene glycosides called
mogrosides. Groups of 20 rats (10/sex/group) were fed diets containing 0, 10,000,
30,000, or 100,000 ppm PureLo for 28 days (OECD, Redbook 2000). PureLo was well
tolerated and produced no significant adverse effects. Reduced body weight and
body weight gain in high-dose animals of both sexes were related to sporadic
reductions in food consumption; there were no overall differences in feed
efficiency. Statistically significant changes in clinical chemistry (decreased
bilirubin, increased total protein) and relative organ weights of liver,
adrenals, ovaries and/or testes, and epididymides were not correlated with any
histopathological findings and were not considered adverse. Although a few
clinical and pathological findings suggest possible treatment-related effects,
particularly in the high-dose group, these findings were transient, not dose
dependent, non-adverse, inconsistent, occurred only in one sex, and/or not
supported by histopathological findings. Under the conditions of this study and
based on the toxicological endpoints evaluated, the NOAEL for PureLo was 100,000
ppm in the diet, the highest level tested, equivalent to 7.07 and 7.48 g/kg
bw/day for male and female rats, respectively.
PMID- 18037552
TI - On the occurrence of aflatoxin M1 in milk and dairy products.
AB - Aflatoxins are toxic fungal metabolites found in foods and feeds. When ruminants
eat AFB(1)-feedstuffs, they metabolise the toxin and excrete AFM(1) in milk. To
control AFM(1) in foods it is necessary to reduce AFB(1) contamination of feeds
for dairy cattle by preventing fungal growth and AFB(1) formation in agricultural
commodities intended for animal use. Corn and corn-based products are one of the
most contaminated feedstuffs; therefore risk factor analysis of AFB(1)
contamination in corn is necessary to evaluate risk of AFM(1) contamination in
milk and milk products. During the corn silage production, the aflatoxins
production is mostly influenced by: harvest time; fertilization; irrigation; pest
control; silage moisture; and storage practices. Due to the lower moisture at
harvest and to the conservation methods, the corn grain is mostly exposed to the
contamination by Aspergillus species. Therefore, it is necessary to reduce the
probability of this contaminant through choice of: hybrids; seeding time and
density; suitable ploughing and fertirrigation; and chemical or biological
control. Grains harvested with the lowest possible moisture and conservation
moisture close to or less than 14% are necessary to reduce contamination risks,
as is maintaining mass to homogeneous moisture. Kernel mechanical damage, grain
cleaning practices and conservation temperature are also factors which need to be
carefully controlled.
PMID- 18037554
TI - Levels of ochratoxin A in serum from urban and rural Portuguese populations and
estimation of exposure degree.
AB - Urban and rural population exposure to ochratoxin A (OTA) in central zone of
Portugal was investigated in three places: Coimbra, Verride and Ereira. The
analytical method proposed for the determination of ochratoxin A involved
extraction with chloroform-orthophosphoric acid, cleanup through an
immunoaffinity column (IAC), high performance liquid chromatography (HPLC) with
spectrofluorimetric detection (FD) for separation and identification of
ochratoxin A, and confirmation with HPLC-FD after OTA methylation in serum. The
limit of quantification of the proposed method was 0.1 microg/L for serum and
0.05 microg/L for blood. OTA recoveries in serum ranged from 70.3% to 115.3% for
levels at 0.25 microg/L and 0.5 microg/L, respectively, with a within-day RSD
between 8.0% and 16.2%. Ochratoxin A serum levels were evaluated in an hundred
and four donors from Coimbra city, Verride, and Ereira. The study revealed a
frequency of detection of 100%. The ratio of ochratoxin A level in serum to whole
blood was 2.0+/-0.7. The overall concentrations range from 0.25 to 2.49 microg/L,
0.14 to 1.91 microg/L, and 0.19 to 0.96 microg/L, for samples of Verride, Ereira,
and Coimbra, respectively. The mean concentration and standard deviation were
0.78+/-0.53 microg/L, 0.44+/-0.31 microg/L, and 0.42+/-0.18 microg/L for the same
samples. A significant difference was found in Verride population (P
value=0.000). Levels of OTA are clearly higher in males from rural areas than in
females. For all samples, a significant difference was found in Verride male
population (P-value=0.014).
PMID- 18037553
TI - Effects of antioxidants on cancer prevention and neuromotor performance in Atm
deficient mice.
AB - Ataxia telangiectasia (AT) is an autosomal recessive disorder characterized by
immunodeficiency, neurodegeneration and cancer. The disease results from bi
allelic mutations in the AT mutated (ATM) gene involved in cell cycle checkpoint
control and repair of DNA double-strand breaks. Evidence has been accumulating
that oxidative stress is associated with AT and may be involved in the
pathogenesis of the disease. This led to a hypothesis that antioxidants may
alleviate the symptoms of AT. Consequently, several studies were conducted in Atm
deficient mice to examine the role of antioxidants in cancer prevention and/or
correction of neuromotor performance. N-acetyl-l-cysteine (NAC), EUK-189, tempol,
and 5-carboxy-1,1,3,3-tetramethylisoindolin-2-yloxyl (CTMIO) have been tested in
Atm deficient mice. In contrast to other antioxidants, NAC has been used in the
clinical practice for many decades and is available as a dietary supplement. In
this article, we review chemoprevention studies in Atm deficient mice and, in
more detail, our findings on the effect of NAC. Our short-term study showed that
NAC suppressed genome rearrangements linked to cancer. The long-term study
demonstrated that NAC reduced the incidence and multiplicity of lymphoma and
improved some aspects of motor performance.
PMID- 18037555
TI - The influence of two home-applied bleaching agents on enamel microhardness: an in
situ study.
AB - OBJECTIVE: This in situ study evaluated the influence of two home-applied
bleaching agents (10% carbamide peroxide and 7.5% hydrogen peroxide) on enamel
microhardness. METHODS: Ninety enamel slabs were obtained from human third molars
and baseline Knoop hardness measurements were recorded under a 50 g load for 5s.
The specimens were attached to intra-oral devices delivered to 10 volunteers
(donor of the teeth) who used the devices 24h/day throughout the experiment. The
specimens were divided in three groups, two experimental and one control; in the
last group, enamel slabs were not submitted to bleaching agents, but exposed only
to the action of saliva. Two custom-made trays were made for each volunteer to
recover the teeth and the appliances containing the enamel slabs. The bleaching
regimen was 1h/day for 21 consecutive days. Subsequently, additional hardness
measurements were recorded. RESULTS: The mean values of the baseline and final
microhardness were: 348 and 352.2; 346.6 and 354.5; 342 and 340.8, for control,
10% carbamide peroxide and 7.5% hydrogen peroxide groups, respectively. One-way
ANOVA of the microhardness mean values revealed no statistically significant
differences among groups, the specimens treated with 7.5% hydrogen peroxide has
shown a tendency (p=0.056) to microhardness decrease. CONCLUSIONS: Data suggested
that the tested home bleaching agents did not change the superficial enamel
microhardness.
PMID- 18037556
TI - Investigating the binding of curcumin derivatives to bovine serum albumin.
AB - The interaction of bovine serum albumin (BSA) with isoxazolcurcumin (IOC) and
diacetylcurcumin (DAC) has been investigated. Binding constants obtained were
found to be in the 10(5) M(-1) range. Minor conformational changes of BSA were
observed from circular dichroism (CD) and Fourier transformed infrared (FT-IR)
studies on binding. Based on Forster's theory of non-radiation energy transfer,
the average binding distance, r between the donor (BSA) and acceptors IOC and DAC
was found to be 3.79 and 4.27 nm respectively. Molecular docking of
isoxazolcurcumin and diacetylcurcumin with bovine serum albumin indicated that
they docked close to Trp 213, which is within the hydrophobic subdomain.
PMID- 18037557
TI - Study on the molecular mechanism of inhibiting HIV-1 integrase by EBR28 peptide
via molecular modeling approach.
AB - Human immunodeficiency virus type 1 (HIV-1) integrase (IN) is an essential enzyme
in the HIV-1 lifecycle which aids the integration of viral DNA into the host
chromosome. Recently synthesized 12-mer peptide EBR28, which can strongly bind to
IN, is one of the most potential small peptide leading compounds inhibiting IN
binding with viral DNA. However, the binding mode between EBR28 peptide with HIV
1 IN and the inhibition mechanism remain uncertain. In this paper, the binding
modes of EBR28 with HIV-1 IN monomer core domain (IN(1)) and dimmer core domain
(IN(2)) were investigated by using molecular docking and molecular dynamics (MD)
simulation methods. The results indicated that EBR28 bound to the interfaces of
the IN(1) and IN(2) systems mainly through the hydrophobic interactions with the
beta3, alpha1 and alpha5 regions of the proteins. The binding free energies for
IN(1) with a series of EBR28 mutated peptides were calculated with the MM/GBSA
model, and the correlation between the calculated and experimental binding free
energies is very good (r=0.88). Thus, the validity of the binding mode of IN(1)
with EBR28 was confirmed. Based on the binding modes, the inhibition mechanism of
EBR28 was explored by analyzing the essential dynamics (ED), energy decomposition
and the mobility of EBR28 in the two docked complexes. The proposed inhibition
mechanism is represented that EBR28 binds to the interface of IN(1) to form the
IN(1)_EBR28 complex and preventes the formation of IN dimmer, finally leads to
the partial loss of binding potency for IN with viral DNA. All of the above
simulation results agree well with experimental data, which provide us with some
helpful information for designing anti-HIV small peptide drugs.
PMID- 18037558
TI - Comparison of contrast-enhanced color Doppler imaging (CDI), computed tomography
(CT), and magnetic resonance imaging (MRI) for the detection of crossing vessels
in patients with ureteropelvic junction obstruction (UPJO).
AB - OBJECTIVE: To assess the use of contrast-enhanced color Doppler imaging (CDI),
computed tomography (CT), and magnetic resonance imaging (MRI) for the detection
of crossing vessels at the ureteropelvic junction (UPJ) in patients with
ureteropelvic junction obstruction (UPJO). MATERIALS AND METHODS: Forty-eight
patients aged 18-69 yr (mean age, 52) who had been diagnosed with an UPJO on
intravenous pyelography and diuretic renography were included. Contrast-enhanced
CDI was performed by using both color Doppler frequency and color Doppler
amplitude ("Power") modes. Spiral CT was performed by using a three-phase CT
(arterial, venous, and excretory phases) allowing for CT angiography. MRI
technique included dual-phase magnetic resonance angiography (arterial, venous)
for the assessment of respective arteries and veins. The type (ie, arterial or
venous) and position of the vessel relative to the UPJ (ie, anterior or
posterior) were assessed. The CDI, CT, and MRI findings were correlated with the
surgical findings at laparoscopic pyeloplasty. RESULTS: Forty-four of 48 patients
(92%) demonstrated crossing vessels at subsequent laparoscopy. Altogether a total
of 60 vessels were detected. Contrast-enhanced CDI and MRI correctly detected all
crossing vessels (accuracy, 100%), whereas CT missed four posterior crossing
veins (accuracy, 93%). CONCLUSION: Contrast-enhanced CDI is recommended as first
line imaging modality for the detection of crossing vessels in patients with
UPJO.
PMID- 18037559
TI - Editorial comment on: comparison of contrast-enhanced color Doppler imaging
(CDI), computerized tomography (CT), and magnetic resonance imaging (MRI) for the
detection of crossing vessels in patients with ureteropelvic junction obstruction
(UPJO).
PMID- 18037560
TI - Editorial comment on: comparison of contrast-enhanced color doppler imaging
(CDI), computerized tomography (CT), and magnetic resonance imaging (MRI) for the
detection of crossing vessels in patients with ureteropelvic junction obstruction
(UPJO).
PMID- 18037561
TI - The role of somatostatin analogues in the treatment of neuroendocrine tumours.
AB - Neuroendocrine tumours belong to a heterogeneous family of neoplasms, originating
in endocrine glands (such as the pituitary, parathyroid or the neuroendocrine
adrenal glands), in endocrine islets (within the thyroid or pancreas) as well as
in endocrine cells dispersed between exocrine cells throughout the digestive or
respiratory tracts. The clinical behaviour of neuroendocrine tumours is variable;
they may be functioning or not functioning, ranging from well-differentiated slow
growing neuroendocrine tumours to poorly differentiated neuroendocrine tumours,
which are highly aggressive malignant tumours. The development of somatostatin
analogues as important diagnostic and treatment tools have revolutionised the
clinical management of patients with neuroendocrine tumours. However, although
symptomatic relief and stabilisation of tumour growth for various periods of time
are observed in many patients treated with somatostatin analogues, tumour
regression is rare. Development of new somatostatin analogues and new drug
combination therapies should further improve the clinical management of these
patients.
PMID- 18037562
TI - LIBS-an efficient approach for the determination of Cr in industrial wastewater.
AB - In the present paper, LIB spectra of different water samples having varying
concentration of Cr (certified reference material, CRM) have been recorded by
using liquid jet (fabricated in our laboratory) configuration. Calibration curves
for different atomic lines of Cr are compared and it is found that calibration
curve for Cr II (283.5 nm) atomic line is the best in terms of the Limit of
detection (LOD) which is found to be 30 ppm. This calibration curve has been used
for quantification of Cr in wastewater collected from Cr-electroplating industry
where the concentration of Cr is found to be 1500 ppm. Its removal can be planned
by biological system, which is in progress.
PMID- 18037563
TI - Study of the sensitivity of the first four flexural modes of an AFM cantilever
with a sidewall probe.
AB - The resonant frequency and sensitivity of flexural vibration for an atomic force
microscope (AFM) cantilever with a sidewall probe have been analyzed. A closed
form expression for the sensitivity of vibration modes has been obtained using
the relationship between the resonant frequency and contact stiffness of
cantilever and sample. The results show that a sidewall scanning AFM is more
sensitive when the contact stiffness is lower and that the first mode is the most
sensitive. However, the high-order modes become more sensitive than the low-order
modes as the contact stiffness increases. The resonance frequency of an AFM
cantilever is low when contact stiffness is small. However, the frequency rapidly
increases as contact stiffness increases. In addition, it can be found that the
effects of the vertical extension on the sensitivity and the resonant frequency
of an AFM cantilever are significant. Decreasing the length of vertical extension
can increase the resonance frequency and sensitivity of mode 1 when the contact
stiffness is small. However, the situation is reverse when the contact stiffness
becomes large.
PMID- 18037564
TI - Chemical mapping of the distribution of viruses into infected bacteria with a
photothermal method.
AB - We show that an infrared spectromicroscopy method based on a photo-thermal
effect, is able to localize single viruses as well when they are isolated and
when they are located inside the bacteria they have infected. In this latter
case, although the topography performed by an AFM cannot image the viruses, the
AFMIR is able to do so. In addition, we are able to determine different stages of
the bacteria infection.
PMID- 18037565
TI - TEM characterization of Ge precipitates in an Al-1.6at% Ge alloy.
AB - The growth mechanism and morphology of Ge precipitates in an Al-Ge alloy was
characterized by a combination of in-situ transmission electron microscopy, high
resolution transmission electron microscopy and three-dimensional electron
tomography. Anisotropic growth of rod-shaped Ge precipitates was observed by in
situ transmission electron microscopy over different time periods, and faceting
of the precipitates was clearly seen using high-resolution transmission electron
microscopy and three-dimensional electron tomography. This anisotropic growth of
rod-shaped Ge precipitates was enhanced by vacancy concentration as proposed
previously, but also by surface diffusion as observed during the in-situ
experiment. Furthermore, a variety of precipitate morphologies was identified by
three-dimensional electron tomography.
PMID- 18037566
TI - A simple method of cutting meshed split thickness skin grafts to desired size.
PMID- 18037567
TI - Substances used as first-aid home treatment of burns among young children at
Milas, Turkey.
PMID- 18037568
TI - Short- and long-term bacterial inhibiting effect of high concentrations of
glycerol used in the preservation of skin allografts.
AB - Human skin allografts are important in the treatment of severe burns.
Transplantation of skin allografts can cause bacterial transmission. Glycerol in
higher concentrations is an appropriate storage medium for allograft cadaver skin
and has been attributed an antimicrobial effect. We investigated this effect in
more detail. First, the minimal inhibitory concentration of glycerol was
determined for 13 bacteria and 1 yeast. This gives an indication about an
immediate (20h of incubation) antibacterial effect of glycerol. Second, effect of
glycerol in the long-term was studied. Therefore, the survival time was
determined for 11 different bacteria suspended in different concentrations of
glycerol (50% and 85%) and incubated at three temperatures (4, 24, and 36 degrees
C). The minimal inhibitory concentration exceeded 256microg/mL, thus glycerol had
no direct inhibitory effect. In contrast, a long-term antimicrobial effect was
present and more pronounced at higher concentrations of glycerol and higher
temperatures of incubation. The mean survival time of Pseudomonas aeruginosa
strains in glycerol 85% at 24 degrees C was 2.6 days, 14.7 days for the tested
staphylococci and 29.6 days for three vegetative Bacillus species. In conclusion,
microbial safety of glycerol-preserved skin can be increased by preserving skin
allografts for some weeks at room temperature.
PMID- 18037569
TI - Lego as a customisable pressure garment insert.
PMID- 18037571
TI - Childhood attention deficit hyperactivity disorder and the development of
substance use disorders: valid concern or exaggeration?
AB - Attention deficit hyperactivity disorder (ADHD) is a common childhood disorder
associated with many behavioral problems in adolescence and adulthood. In
particular, researchers have identified comorbid substance use disorders in many
adolescents and young adults who were diagnosed with ADHD as children.
Conflicting reports exist regarding the developmental risk for substance use
problems and disorders in these individuals. This paper reviews the recent
literature evaluating the relationship between childhood ADHD and substance use.
Research suggests that in the absence of conduct disorder, ADHD carries only a
moderate risk for subsequent substance use. Degree of risk appears to be related
to specific drugs of abuse and particular ADHD symptoms. Additionally, whether
stimulant treatment of ADHD symptoms predisposes children to later substance use
is an important concern. Currently, little evidence exists to support this notion
and most research suggests that stimulant treatment serves as a protective factor
for substance use. ADHD is an important precursor to subsequent disorders in
children and further research is necessary to diminish the risk for substance use
in this population.
PMID- 18037570
TI - Interleukin-1 receptor type 1-deficient mice fail to develop social stress
associated glucocorticoid resistance in the spleen.
AB - Frequent or chronic stress as a result of repeated or persistent exposure to
social challenges has been shown to affect the glucocorticoid (GC) responsiveness
of immune cells in mice. Lipopolysaccharide-stimulated splenocytes of mice that
were repeatedly subjected to social disruption were less sensitive to the anti
inflammatory actions of GC as evident from an increased production of pro
inflammatory cytokines and enhanced cell survival. The development of functional
GC resistance was accompanied by the accumulation of GC-insensitive CD11b(+)
cells in the spleen. These cells were shown to exhibit impaired nuclear
translocation of the GC receptor and lack of GC-induced suppression of NF-kappaB.
Similar impairments in GC receptor function have been reported after in vitro
treatment of various cell lines with interleukin (IL)-1. The aim of this study
was to elucidate whether IL-1 is a critical factor for the development of GC
resistance in socially stressed mice. In the first experiment, we investigated if
repeated social stress alters plasma levels and tissue gene expression of IL
1alpha and IL-1beta. It revealed that recurrent stressor exposure significantly
increased splenic and hepatic mRNA expression and the plasma protein level of IL
1beta, and hepatic mRNA expression of IL-1alpha. In the second experiment, IL-1
receptor type 1 (IL1R1)-deficient mice were subjected to the stressor and both
the tissue distribution of CD11b(+) cells and the GC sensitivity of the
splenocytes were compared to wildtype mice. Mice lacking the IL1R1 exhibited
adrenal hypertrophy, thymic involution, and elevated serum corticosterone levels
in response to the stressor but did not show splenic accumulation of CD11b(+)
cells and failed to develop GC resistance. These findings suggest that IL-1 plays
a critical role in the development of the social stress-associated GC resistance
in the murine spleen.
PMID- 18037572
TI - The lack of non-steroid isoprenoids causes oxidative stress in patients with
mevalonic aciduria.
AB - Mevalonic aciduria belongs to a group of rare inherited metabolic disorders
related to cholesterol biosynthesis. The pathogenesis of mevalonic aciduria is
not clear, although the cause is known - a genetic defect leading to a deficiency
in mevalonate kinase activity. The lack of non-steroid isoprenoids in patients
with mevalonic aciduria might cause oxidative stress due to a decreased
production of endogenous antioxidants including ubiquinone 10, selenoproteins and
glutathione peroxidase. The Moosmann-Behl hypothesis of statin-induced muscular
and neuronal damage mediated by oxidative stress might explain at least partially
the pathogenesis of mevalonic aciduria. Studies focusing on the role of oxidative
stress in patients suffering from disorders in cholesterol biosynthesis are
needed to support adjuvant antioxidative treatment.
PMID- 18037573
TI - Basilar artery angulation and vertigo due to the hemodynamic effect of dominant
vertebral artery.
AB - Vertebral arteries form the basilar artery at the pontobulbar junction. The
vertebral artery may have dominancy in one of them. The branches of basilar
arteries supply blood for the vestibular nuclei and its connections. Vertigo is
seen generally in the upper middle aged patients. Vertigo can be observed in
dolichoectasia of basilar artery such as angulation and elongation, because of
the diminished blood supply and changed hemodynamic factors of vestibular nuclei
and its connections. We hypothesized that angulation or elongation of basilar
artery can be estimated according to the unilateral vertebral artery dominant
hypertensive patients. The basilar artery can angulate from the dominant side of
vertebral artery to the recessive side. These angulation and elongation can
effect the hemodynamic factors in absence of growing collateral arteries. So, the
vertigo attacks may occur in these patients.
PMID- 18037574
TI - The telescope hypothesis for the etiology of intussusception: surgical narrowing
of the ileocecal angle as a treatment strategy.
PMID- 18037575
TI - Thyroid adenomatous nodule with bizarre nuclei: a case report and mutation
analysis of the p53 gene.
AB - We present a rare case of adenomatous nodule with bizarre nuclei. The patient was
incidentally found to have a nodule in the left lobe of the thyroid gland by
ultrasonographic examination. Papillary thyroid carcinoma was suspected by fine
needle aspiration cytology, and hemithyroidectomy was performed. The demarcated
1.5-cm nodule had a multinodular appearance with various features, including
micro- and macrofollicular components, cystic degeneration, a hyalinized area,
and a papillary structure. Hyperchromatic bizarre nuclei with cytoplasmic
inclusions were restrictively observed in the microfollicular area. The bizarre
nuclei demonstrated diffuse p53 protein immmunoreactivity, but no mutation in
exons 5-9 of the p53 gene was detected. The bizarre nuclei were reactive for anti
5-methyl-2'-deoxycytidine antibody, indicating the enclosure of presumably
inactive methylated DNA. The intranuclear cytoplasmic inclusions (ICIs) were
proven to contain vimentin and beta-catenin by immunohistochemistry. In this
case, a degenerative process is involved in the formation of bizarre nuclei
because of the compression by surrounding micronodules, unidentifiable mitotic
figures, and a quite low proliferative activity. This case suggests that bizarre
nuclei and ICIs, which might be identical to those of papillary carcinomas, can
be seen in benign thyroid lesions, and overdiagnosis should be avoided regardless
of immunohistochemical overexpression of p53.
PMID- 18037576
TI - Automated data mining of a proprietary database system for physician quality
improvement.
AB - PURPOSE: Physician practice quality improvement is a subject of intense national
debate. This report describes using a software data acquisition program to mine
an existing, commonly used proprietary radiation oncology database to assess
physician performance. METHODS AND MATERIALS: Between 2003 and 2004, a manual
analysis was performed of electronic portal image (EPI) review records. Custom
software was recently developed to mine the record-and-verify database and the
review process of EPI at our institution. In late 2006, a report was developed
that allowed for immediate review of physician completeness and speed of EPI
review for any prescribed period. RESULTS: The software extracted >46,000 EPIs
between 2003 and 2007, providing EPI review status and time to review by each
physician. Between 2003 and 2007, the department EPI review improved from 77% to
97% (range, 85.4-100%), with a decrease in the mean time to review from 4.2 days
to 2.4 days. The initial intervention in 2003 to 2004 was moderately successful
in changing the EPI review patterns; it was not repeated because of the time
required to perform it. However, the implementation in 2006 of the automated
review tool yielded a profound change in practice. Using the software, the
automated chart review required approximately 1.5 h for mining and extracting the
data for the 4-year period. CONCLUSION: This study quantified the EPI review
process as it evolved during a 4-year period at our institution and found that
automation of data retrieval and review simplified and facilitated physician
quality improvement.
PMID- 18037577
TI - Treatment of primary cutaneous CD30+ anaplastic large-cell lymphoma with
radiation therapy.
AB - PURPOSE: Primary cutaneous CD30+ anaplastic large-cell lymphoma (CALCL) is a
relatively rare and indolent variant of cutaneous T-cell lymphoma (CTCL). This
report examines the response of localized disease to radiation alone. METHODS:
The Yale Cancer Center records were examined, and all patients with CTCL from
January 1, 2001, to September 1, 2006, evaluated in the Department of Therapeutic
Radiology were identified. Only those patients with localized or single CALCL
lesions, no clinical evidence or history of lymphomatoid papulosis, no history of
other CTCLs, no history of other skin disorders, lack of lymph node involvement,
unambiguous pathology reports, and treatment with radiation alone were included.
RESULTS: Eight patients were identified. Median age was 67 years, and gender was
split evenly. Patients received radiation ranging from 34 to 44 Gy in 2-Gy
fractions. Most patients (5 of 8) received 40 Gy, using 6 to 9 MeV electrons with
0.5 to 2 cm of bolus. All patients had a complete response. All patients were
without evidence of disease at the most recent follow-up (median follow-up, 12
months). Radiation therapy was well tolerated, and the only recorded toxicity was
Grade I to II dermatitis. CONCLUSIONS: Radiation therapy alone for localized
CALCL is very well tolerated and clinical response is excellent. A dose of 40 Gy
in 2-Gy fractions seems to be well tolerated and effective in inducing a complete
response. Lower doses may be effective in achieving the same result, but data are
not available. Longer follow-up is necessary before conclusions regarding durable
disease-free survival can be made.
PMID- 18037578
TI - Prognostic value of baseline lymphocyte count in cervical carcinoma treated with
concurrent chemoradiation.
AB - PURPOSE: This study examined factors predicting tumor response and progression
free survival in patients with locally advanced cervical carcinoma treated with
concurrent chemoradiation (CCRT). METHODS AND MATERIALS: Medical records of 143
patients with locally advanced cervical carcinoma (International Federation of
Gynecology and Obstetrics Stage IB2 to IVA) treated with CCRT were reviewed.
Univariate and multivariate analyses were used to retrospectively evaluate
prognostic factors, including baseline lymphocyte count, that affect tumor
response and progression-free survival. RESULTS: Of the variables evaluated,
greater baseline lymphocyte count was the factor most predictive of a complete
clinical response, followed by smaller tumor size (p = 0.003 and p = 0.007,
respectively). Multivariate analysis showed baseline lymphocyte count, which was
treated as a continuous variable with every 1 x 10(9) lymphocytes/L, to remain a
prognostic factor with an odds ratio of 3.08 (95% confidence interval, 1.31
7.23). In addition, a statistically significant association (p = 0.023) was found
between baseline lymphocyte count and progression-free survival, with a hazard
ratio of 0.42 (95% confidence interval, 0.20-0.89) in the Cox proportional
hazards model. CONCLUSIONS: Despite the small number of patients and possible
biologic variation existing in lymphocyte subset number and activity, these
findings highlight the strong prognostic value of baseline lymphocyte count in
patients with locally advanced cervical carcinoma treated with CCRT. Therefore, a
larger number of patients and analysis of lymphocyte subsets are needed.
PMID- 18037579
TI - Variability of four-dimensional computed tomography patient models.
AB - PURPOSE: To quantify the interfractional variability in lung tumor trajectory and
mean position during the course of radiation therapy. METHODS AND MATERIALS:
Repeat four-dimensional (4D) cone-beam computed tomography (CBCT) scans (median,
nine scans/patient) routinely acquired during the course of treatment were
analyzed for 56 patients with lung cancer. Tumor motion was assessed by using
local rigid registration of a region of interest in the 3D planning CT to each
phase in the 4D CBCT. Displacements of the mean tumor position relative to the
planned position (baseline variations) were obtained by using time-weighted
averaging of the motion curve. RESULTS: The tumor trajectory shape was found to
be stable interfractionally, with mean variability not exceeding 1 mm (1 SD) in
each direction for the inhale and exhale phases. Interfractional baseline
variations, however, were large, with 1.6- (left-right), 3.9- (cranial-caudal),
and 2.8-mm (anterior-posterior) systematic variations (1 SD) and 1.2- (left
right), 2.4- (cranial-caudal) and 2.2-mm (anterior-posterior) random variations.
Eliminating baseline variations by using soft-tissue guidance decreases planning
target volume margins by approximately 50% compared with bony anatomy-driven
protocols for conventional fractionation schemes. CONCLUSIONS: Systematic and
random baseline variations constitute a substantial portion of the geometric
variability present in the treatment of patients with lung cancer and require
generous safety margins when relying on accurate setup/immobilization or bony
anatomy-driven correction strategies. The 4D-CBCT has the ability to accurately
monitor tumor trajectory shape and baseline variations and drive image-guided
correction strategies that allows safe margin reduction.
PMID- 18037580
TI - Recurrence in region of spared parotid gland after definitive intensity-modulated
radiotherapy for head and neck cancer.
AB - PURPOSE: To discuss the implications of three examples of periparotid recurrence
after definitive intensity-modulated radiotherapy (IMRT) for head and neck cancer
(HNC). METHODS AND MATERIALS: We present 3 patients with HNC who underwent
definitive IMRT with concurrent chemotherapy and later had treatment failure in
or near a spared parotid gland. Two patients had bilateral multilevel nodal
disease, and all had Level II nodal disease ipsilateral to the site of
recurrence. The patients were treated using dose-painting IMRT with a dose of 70
Gy to the gross tumor volume and 59.4 Gy or 54 Gy to the high-risk or low-risk
clinical tumor volume, respectively. The parotid glands were spared bilaterally.
The patients had not undergone any surgical treatment for HNC before
radiotherapy. RESULTS: All patients had treatment failure in the region of a
spared parotid gland. Failure in the 2 patients with bilateral multilevel nodal
involvement occurred in the periparotid lymph nodes. The third patient developed
a dermal metastasis near the tail of a spared parotid gland. On pretreatment
imaging, the 2 patients with nodal failure had small nonspecific periparotid
nodules that showed no hypermetabolic activity on positron emission tomography.
CONCLUSION: For HNC patients receiving definitive IMRT, nonspecific positron
emission tomography-negative periparotid nodules on pretreatment imaging should
raise the index of suspicion for subclinical disease in the presence of
multilevel or Level II nodal metastases. Additional evaluation of such nodules
might be indicated before sparing the ipsilateral parotid gland.
PMID- 18037581
TI - HI-CHART: a phase I/II study on the feasibility of high-dose continuous
hyperfractionated accelerated radiotherapy in patients with inoperable non-small
cell lung cancer.
AB - PURPOSE: To determine the feasibility of high-dose continuous hyperfractionated
accelerated radiotherapy in patients with inoperable non-small-cell lung cancer
(NSCLC). PATIENTS AND METHODS: In a prospective, Phase I/II study, according to
the risk for radiation pneumonitis, three risk groups were defined: V(20) <25%,
V(20) 25-37%, and V(20) >37%. The dose was administered in three steps from 61.2
Gy/34 fractions/23 days to 64.8 Gy/36 fractions/24 days to 68.40 Gy/38
fractions/25 days (1.8 Gy b.i.d. with 8-h interval), using a three-dimensional
conformal technique. Only the mediastinal lymph node areas that were positive on
the pretreatment (18)F-deoxy-D-glucose positron emission tomography scan were
included in the target volume. The primary endpoint was toxicity. RESULTS: A
total of 48 Stage I-IIIB patients were included. In all risk groups, 68.40 Gy/38
fractions/25 days could be administered. Maximal toxicity according to the risk
groups was as follows: V(20) <25% (n = 35): 1 Grade 4 (G4) lung and 1 G3
reversible esophageal toxicity; V(20) 35-37% (n = 12): 1 G5 lung and 1 G3
reversible esophageal toxicity. For the whole group, local tumor recurrence
occurred in 25% (95% confidence interval 14%-40%) of the patients, with 1 of 48
(2.1%; upper one-sided 95% confidence limit 9.5%) having an isolated nodal
recurrence. The median actuarial overall survival was 20 months, with a 2-year
survival rate of 36%. CONCLUSIONS: High-dose continuous hyperfractionated
accelerated radiotherapy up to a dose of 68.40 Gy/38 fractions/25 days (a
biologic equivalent of approximately 80 Gy when delivered in conventional
fractionation) in patients with inoperable NSCLC and a V(20) up to 37% is
feasible.
PMID- 18037582
TI - Tumor volume changes on 1.5 tesla endorectal MRI during neoadjuvant androgen
suppression therapy for higher-risk prostate cancer and recurrence in men treated
using radiation therapy results of the phase II CALGB 9682 study.
AB - PURPOSE: We prospectively determined whether the change in tumor volume (TV)
during 2 months of neoadjuvant androgen suppression therapy (nAST) measured using
conventional 1.5 Tesla endorectal magnetic resonance imaging (eMRI) was
associated with the risk of recurrence after radiation (RT) and 6 months of AST.
PATIENTS AND METHODS: Between 1997 and 2001, 180 men with clinical stage T1c
T3cN0M0 adenocarcinoma of the prostate were registered. Fifteen were found to be
ineligible and the institutional MR radiologist could not assess the TV in 32,
leaving 133 for analysis. Multivariable Cox regression analysis was used to
assess whether a significant association existed between eMRI-defined TV
progression during nAST and time to recurrence adjusting for prostate-specific
antigen (PSA) level, Gleason score (8 to 10 or 7 vs. 6 or less) and stage (T3 vs.
T1-2). RESULTS: After a median follow up of 6.7 years and adjusting for known
prognostic factors, there was a significant increase in the risk of PSA failure
(HR, 2.3 [95% CI, 1.1-4.5; p = 0.025) in men with eMRI-defined TV progression
during nAST. Specifically, adjusted estimates of PSA failure were significantly
higher (p = 0.032) in men with, compared with men without, eMRI-defined TV
progression reaching 38% vs. 19%, respectively, by 5 years. CONCLUSION:
Eradicating intraprostatic hormone refractory prostate cancer (HRPC) by
maximizing local control and randomized trials assessing whether survival is
improved when agents active against HRPC are combined with maximal local therapy
are needed in men who progress based on eMRI during nAST.
PMID- 18037583
TI - Evaluation of sixth edition of AJCC staging system for nasopharyngeal carcinoma
and proposed improvement.
AB - PURPOSE: To evaluate the 6th edition of the International Union Against
Cancer/American Joint Committee on Cancer staging system for nasopharyngeal
carcinoma and to search for ways to improve the system. METHODS AND MATERIALS: We
performed a retrospective review of data from 749 biopsy-proven nonmetastatic
nasopharyngeal carcinoma patients. All patients had undergone contrast-enhanced
computed tomography and had received radiotherapy as their primary treatment.
RESULTS: The T stage, N stage, and stage group were significant, independent
predictors for disease-specific death. No significant differences were found
between Stage T2a and T1 in local failure-free survival or between Stage N3a and
N2 in distant failure-free survival. Survival curves of the different T/N subsets
showed a better segregation when T2a and N3a were downstaged to T1 and N2,
respectively. The hazard ratio of disease-specific deaths for patients with T2N0
disease was similar to that of patients with T1N0 disease; the same result was
found for the T3N0 and T4N0 subsets. Downstaging the T2N0 subset to Stage I, T3N0
to Stage II, and T4N0 to Stage III resulted in a more balanced patient
distribution, better hazard consistency among subgroups, and improved hazard
discrimination between overall stages. CONCLUSION: Using the 6th edition of the
American Joint Committee on Cancer staging system produced an acceptable
distribution of patient numbers and segregation of survival curves among the
different stage groups. The prognostic accuracy of the staging system could be
improved by recategorization of the T, N, and group stage criteria.
PMID- 18037584
TI - Consensus guidelines for delineation of clinical target volume for intensity
modulated pelvic radiotherapy in postoperative treatment of endometrial and
cervical cancer.
AB - PURPOSE: To develop an atlas of the clinical target volume (CTV) definitions for
postoperative radiotherapy of endometrial and cervical cancer to be used for
planning pelvic intensity-modulated radiotherapy. METHODS AND MATERIALS: The
Radiation Therapy Oncology Group led an international collaboration of
cooperative groups in the development of the atlas. The groups included the
Radiation Therapy Oncology Group, Gynecologic Oncology Group, National Cancer
Institute of Canada, European Society of Therapeutic Radiology and Oncology, and
American College of Radiology Imaging Network. The members of the group were
asked by questionnaire to define the areas that were to be included in the CTV
and to outline theses areas on individual computed tomography images. The initial
formulation of the group began in late 2004 and culminated with a formal
consensus conference in June 2005. RESULTS: The committee achieved a consensus
CTV definition for postoperative therapy for endometrial and cervical cancer. The
CTV should include the common, external, and internal iliac lymph node regions.
The upper 3.0 cm of the vagina and paravaginal soft tissue lateral to the vagina
should also be included. For patients with cervical cancer, or endometrial cancer
with cervical stromal invasion, it is also recommended that the CTV include the
presacral lymph node region. CONCLUSION: This report serves as an international
template for the definition of the CTV for postoperative intensity-modulated
radiotherapy for endometrial and cervical cancer.
PMID- 18037585
TI - Multi-institutional experience using the MammoSite radiation therapy system in
the treatment of early-stage breast cancer: 2-year results.
AB - PURPOSE: To present a retrospective multi-institutional experience of patients
treated with the MammoSite radiation therapy system (RTS). METHODS AND MATERIALS:
Nine institutions participated in a pooled analysis of data evaluating the
clinical experience of the MammoSite RTS for delivering accelerated partial
breast irradiation. Between 2000 and 2004, 483 patients were treated with the
MammoSite RTS to 34 Gy delivered in 10 fractions. Treatment parameters were
analyzed to identify factors affecting outcome. RESULTS: Median follow-up was 24
months (minimum of 1 year). Overall, infection was documented in 9% of patients,
but the rate was only 4.8% if the catheter was placed after lumpectomy. Six
patients (1.2%) experienced an in-breast failure; four failures occurred remote
from the lumpectomy site (elsewhere failure). Cosmetic results were
good/excellent in 91% of patients. Treatment parameters identified as significant
on univariate analysis were tested in multivariate regression analysis. The
closed-cavity placement technique significantly reduced the risk of infection (p
= 0.0267). A skin spacing of <6 mm increased the risk of severe acute skin
reaction (p = 0.0178) and telangiectasia (p = 0.0280). The use of prophylactic
antibiotics reduced the risk of severe acute skin reaction (p < 0.0001). The use
of multiple dwell positions reduced the risk of severe hyperpigmentation (p =
0.0278). Infection was associated with an increased risk of fair or poor overall
cosmesis (p = 0.0009). CONCLUSIONS: In this series of patients, the MammoSite RTS
seems to have acceptable toxicity rates and cosmetic outcomes, comparable to
those with whole-breast radiotherapy. On the basis of these data, the closed
cavity placement technique, use of prophylactic antibiotics, use of multiple
dwell positions, and a minimum skin spacing of 6 mm seem to improve patient
outcome.
PMID- 18037586
TI - Chemoradiation for advanced head and neck cancer: potential for improving results
to match those of current treatment modalities for early-stage tumors--long-term
results of hyperfractionated chemoradiation with carbogen breathing and anemia
correction with erythropoietin.
AB - PURPOSE: To attempt to improve results of chemoradiation for head and neck
cancer. METHODS AND MATERIALS: From March 1996 to April 2007, 98 patients with
head and neck cancer (15 Stage III and 83 Stage IV) were treated with a twice
daily hyperfractionated schedule. Eleven patients presented with N0, 11 with N1,
13 with N2A, 17 with N2B, 24 with N2C, and 22 with N3. Each fraction of treatment
consisted of 5 mg/m(2) of carboplatin plus 115 cGy with carbogen breathing.
Treatment was given 5 days per week up to total doses of 350 mg/m(2) of
carboplatin plus 8050 cGy in 7 weeks. Anemia was corrected with erythropoietin.
RESULTS: Ninety-six patients tolerated the treatment as scheduled. All patients
tolerated the planned radiation dose. Local toxicity remained at the level
expected with irradiation alone. Chemotherapy toxicity was moderate. Ninety-seven
complete responses were achieved. After 11 years of follow-up (median, 81
months), actuarial locoregional control, cause-specific survival, overall
survival, and nodal control rates at 5 and 10 years were, respectively, 83% and
83%, 68% and 68%, 57% and 55%, and 100% and 100%. Median follow-up of disease
free survivors was 80 months. No significant differences in survival were
observed between the different subsites or between the pretreatment node status
groups (N0 vs. N+, N0 vs. N1, N0 vs. N2A, N0 vs. N2B, N0 vs. N2C, and N0 vs. N3).
CONCLUSIONS: Improving results of chemoradiation for advanced head and neck
cancer up to the level obtained with current treatments for early-stage tumors is
a potentially reachable goal.
PMID- 18037587
TI - Reirradiation tolerance of the human brain.
AB - PURPOSE: To give an overview of current available clinical data on reirradiation
of glioma with respect to the tolerance dose of normal brain tissue. METHODS AND
MATERIALS: Clinical brain reirradiation studies from January 1996 to December
2006 were considered on radiation-induced late adverse effects-i.e., brain tissue
necrosis. The studies were analyzed by using the linear quadratic model to derive
information on the cumulative biologic effective tolerance dose (BED(cumulative))
and equivalent doses in 2-Gy fractions (normalized total doses, NTD(cumulative))
for the healthy human brain. RESULTS: The NTD(cumulative) in conventional
reirradiation series (NTD(cumulative) of 81.6-101.9 Gy) were generally lower than
in fractionated stereotactic radiotherapy (FSRT) (NTD(cumulative) of 90-133.9
Gy.) or LINAC-based stereotactic radiosurgery series (NTD(cumulative) of 111.6
137.2 Gy). No correlation between the time interval between the initial and
reirradiation course and the incidence of radionecrosis was noted. The analysis
showed the prescribed NTD(cumulative) to increase with decreasing treatment
volume, which is allowed by modern conformal radiation techniques. CONCLUSION:
Radiation-induced normal brain tissue necrosis is found to occur at
NTD(cumulative) >100 Gy. The applied reirradiation dose and NTD(cumulative)
increases with a change in irradiation technique from conventional to
radiosurgery re-treatment, without increasing the probability of normal brain
necrosis. Taken together, modern conformal treatment options, because of their
limited volume of normal brain tissue exposure, allow brain reirradiation for
palliative treatment of recurrent high grade glioma with an acceptable
probability of radionecrosis.
PMID- 18037588
TI - Postimplantation analysis enables improvement of dose-volume histograms and
reduction of toxicity for permanent seed implantation.
AB - PURPOSE: To demonstrate how postimplantation analysis is useful for improving
permanent seed implantation and reducing toxicity. PATIENTS AND METHODS: We
evaluated 197 questionnaires completed by patients after permanent seed
implantation (monotherapy between 1999 and 2003). For 70% of these patients, a
computed tomography was available to perform postimplantation analysis. The index
doses and volumes of the dose-volume histograms (DVHs) were determined and
categorized with respect to the date of implantation. Differences in symptom
scores relative to pretherapeutic status were analyzed with regard to follow-up
times and DVH descriptors. Acute and subacute toxicities in a control group of
117 patients from an earlier study (June 1999 to September 2001) by Wust et al.
(2004) were compared with a matched subgroup from this study equaling 110
patients treated between October 2001 and August 2003. RESULTS: Improved
performance, identifying a characteristic time dependency of DVH parameters
(after implantation) and toxicity scores, was demonstrated. Although coverage
(volume covered by 100% of the prescription dose of the prostate) increased
slightly, high-dose regions decreased with the growing experience of the users.
Improvement in the DVH and a reduction of toxicities were found in the patient
group implanted in the later period. A decline in symptoms with follow-up time
counteracts this gain of experience and must be considered. Urinary and sexual
discomfort was enhanced by dose heterogeneities (e.g., dose covering 10% of the
prostate volume, volume covered by 200% of prescription dose). In contrast,
rectal toxicities correlated with exposed rectal volumes, especially the rectal
volume covered by 100% of the prescription dose. CONCLUSION: The typical side
effects occurring after permanent seed implantation can be reduced by improving
the dose distributions. An improvement in dose distributions and a reduction of
toxicities were identified with elapsed time between 1999 and 2003.
PMID- 18037589
TI - Clofarabine acts as radiosensitizer in vitro and in vivo by interfering with DNA
damage response.
AB - PURPOSE: Combination treatment with radiotherapy and chemotherapy has emerged as
the dominant form of cancer adjuvant regimens in recent years. Clofarabine, a
newly approved drug for pediatric leukemia, is a second-generation purine
nucleoside analogue that can block DNA synthesis and inhibit DNA repair.
Therefore, we hypothesized that clofarabine could work synergistically with
radiotherapy to increase the tumor cell response. METHODS AND MATERIALS: The
effects of clofarabine on radiosensitivity have been established in several tumor
cell lines in vitro and in vivo using colony-forming assays and tumor xenografts.
The effect of clofarabine on the DNA damage response was also studied in vitro by
measuring gamma-H2AX focus formation. RESULTS: Clonogenic survival was
significantly reduced in irradiated cells treated with clofarabine, demonstrating
the strong radiosensitizing effect of clofarabine. Furthermore, clofarabine
displayed a radiosensitizing effect that was greater than gemcitabine or 5
fluorouracil. We also found that low doses of clofarabine can prolong the
presence of radiation-induced gamma-H2AX nuclear focus formation, and high doses
of clofarabine can induce DNA double-strand breaks, suggesting that clofarabine
can interfere with DNA damage response pathways. In addition, clofarabine-induced
radiosensitization was also established in vivo using a colorectal cancer model,
DLD-1, in athymic nude mice. When combined with fractionated radiotherapy, a
moderate dose of clofarabine led to a significant increase in tumor growth
inhibition. CONCLUSION: Clofarabine acts as a powerful radiosensitizer both in
vitro and in vivo by interfering with the DNA damage response.
PMID- 18037592
TI - [Reversal of Ballantyne's syndrome by selective fetal termination in a twin
pregnancy].
AB - OBJECTIVES: We describe Ballantyne's syndrome, a severe clinical materno-fetal
entity, a pre-eclampsia-like disease. MATERIALS AND METHODS: We report the case
of a woman with twin pregnancy presenting a Ballantyne's syndrome. Ultrasound
examination at 22 weeks of gestation (wg), found heart abnormalities for one of
the fetus. The ultrasonographic supervision revealed a fetal hydrops and at 28 wg
a generalized maternal edema picture occurred. At this time, a Ballantyne's
syndrome was suspected. RESULTS: A selective fetal termination of the affected
twin was performed leading to a complete reversal of clinical and biochemical
maternal picture, allowing the continuance of the pregnancy until 32 wg.
CONCLUSION: Our article illustrates that when the diagnosis of Ballantyne's
syndrome is quickly suspected and a treatable cause can be found, it allows
sometimes a prenatal management and improves the materno-fetal prognosis.
PMID- 18037590
TI - Effect of novel amplitude/phase binning algorithm on commercial four-dimensional
computed tomography quality.
AB - PURPOSE: Respiratory motion is a significant source of anatomic uncertainty in
radiotherapy planning and can result in errors of portal size and the subsequent
radiation dose. Although four-dimensional computed tomography allows for more
accurate analysis of the respiratory cycle, breathing irregularities during data
acquisition can cause considerable image distortions. The aim of this study was
to examine the effect of respiratory irregularities on four-dimensional computed
tomography, and to evaluate a novel image reconstruction algorithm using
percentile-based tagging of the respiratory cycle. METHODS AND MATERIALS:
Respiratory-correlated helical computed tomography scans were acquired for 11
consecutive patients. The inspiration and expiration data sets were reconstructed
using the default phase-based method, as well as a novel respiration percentile
based method with patient-specific metrics to define the ranges of the
reconstruction. The image output was analyzed in a blinded fashion for the phase-
and percentile-based reconstructions to determine the prevalence and severity of
the image artifacts. RESULTS: The percentile-based algorithm resulted in a
significant reduction in artifact severity compared with the phase-based
algorithm, although the overall artifact prevalence did not differ between the
two algorithms. The magnitude of differences in respiratory tag placement between
the phase- and percentile-based algorithms correlated with the presence of image
artifacts. CONCLUSION: The results of our study have indicated that our novel
four-dimensional computed tomography reconstruction method could be useful in
detecting clinically relevant image distortions that might otherwise go unnoticed
and to reduce the image distortion associated with some respiratory
irregularities. Additional work is necessary to assess the clinical impact on
areas of possible irregular breathing.
PMID- 18037591
TI - Candidate tumor suppressor gene SLC5A8 is frequently down-regulated by promoter
hypermethylation in prostate tumor.
AB - BACKGROUND: The prostate gland is the most common site of cancer and the third
leading cause of cancer mortality in men. Solute carrier family 5 (iodide
transporter), member 8 (SLC5A8) was proposed as a potential tumor suppressor gene
which is silenced by epigenetic changes in various tumors. The aim of this study
was to investigate the significance of DNA methylation in SLC5A8 expression in
prostate tumors. METHODS: DNA methylation status of the promoter region and
expression of SLC5A8 were evaluated in prostate cancer cell lines, tumor and
adjacent non-tumor prostate tissues from same prostate cancer patients, by using
bisulphite-modified sequencing, RT-PCR and quantitative methylation-specific PCR
(QMSP) analysis. RESULTS: The reduced or lost expression of SLC5A8 was observed
in 70% of the tumor tissues. The bisulphite-modified sequencing analysis on the
prostate cancer cell lines which do not express SLC5A8 detected the densely
methylated SLC5A8 promoter region. SLC5A8 was reactivated by treatment with DNA
methyl transferase inhibitor, 5-azacytidine but not by trichostatin A (TSA).
Higher methylation at the promoter region of SLC5A8 in primary prostate tumor
tissues was detected as compared with those in adjacent non-tumor tissues (7/10,
70%). CONCLUSIONS: These data suggested that DNA methylation in the SLC5A8
promoter region suppressed the expression of SLC5A8 in prostate tumor.
PMID- 18037593
TI - Development of a heat shock inducible gfp transgenic zebrafish line by using the
zebrafish hsp27 promoter.
AB - In the present study, a zebrafish hsp27 promoter was isolated and used to develop
heat shock inducible gfp transgenic zebrafish. The endogenous hsp27 mRNAs were
constitutively expressed from 4 hpf and increased in several regions of brain,
heart and somites in early embryogenesis until 24 hpf. Subsequently, the
expression was reduced significantly but maintained in the heart and ears. Heat
shock induced hsp27 mRNAs in the blastoderm from 6 hpf and later in somites,
branchial arches and several regions of brain. Similarly in hsp27-gfp transgenic
zebrafish, constitutive GFP expression was observed from 11 hpf. GFP expression
was mainly in the skin cells and increased to the peak level at 7 dpf, followed
by a reduction. The constitutive GFP expression in the heart was initiated from
50 hpf and maintained even in the adult fish. After heat shock, GFP expression
was mainly induced in the muscle in addition to a mild increase in the skin and
heart. The early stages of the embryos were more sensitive than late stages as
the time required for induced GFP expression in the muscle is shorter. Thus, the
hsp27-gfp transgenic line generally recapitulates the expression pattern and heat
shock inducibility of endogenous hsp27 RNAs. We also tested the potential of
using the hsp27-gfp transgenic zebrafish embryos for heavy metal induction and
demonstrated the inducibility of GFP expression by arsenic; this pattern of
induction was also supported by examination of endogenous hsp27 mRNA.
PMID- 18037594
TI - Strain-dependence of age-related cochlear hearing loss in wild and domesticated
Mongolian gerbils.
AB - The Mongolian gerbil (Meriones unguiculatus) is one of the animal models in
auditory research that has been used in several studies on age-related hearing
loss. The standard laboratory strain is domesticated as it was bred in captivity
for more than 70 years. We compared properties of distortion product otoacoustic
emissions (DPOAEs) in domesticated gerbils with wild-type gerbils from F6-F7
generations of a strain originating from animals trapped in Central Asia in 1995.
Up to an age of 9months, DPOAE thresholds were comparable between both strains
and were below 10dB SPL for f2 frequencies between 4 and 44kHz. In older
domesticated animals, the thresholds were increased by up to 12dB. Significant
increases were found at stimulus frequencies of 2kHz, 12-20kHz, and 56-60kHz. The
best frequency ratio f2/f1 to evoke maximum DPOAE amplitude was larger in
domesticated animals at the age of 9 months or older. While these data show that
there is a deterioration of cochlear sensitivity due to domestication, the
magnitude of the described changes is small. Thus, the general suitability of
domesticated gerbils for auditory research seems not to be affected.
PMID- 18037595
TI - First spike latency and spike count as functions of tone amplitude and frequency
in the inferior colliculus of mice.
AB - Spike counts (SC) or, spike rate and first spike latency (FSL), are both used to
evaluate the responses of neurons to amplitudes and frequencies of acoustic
stimuli. However, it is unclear which one is more suitable as a parameter for
evaluating the responses of neurons to acoustic amplitudes and frequencies, since
systematic comparisons between SC and FSL tuned to different amplitudes and
frequencies, are scarce. This study systematically compared the precision and
stability (i.e., the resolution and the coefficient variation, CV) of SC- and FSL
function as frequencies and amplitudes in the inferior colliculus of mice. The
results showed that: (1) the SC-amplitude functions were of diverse shape
(monotonic, nonmonotonic and saturated) whereas the FSL-amplitude functions were
in close registration, in which FSL decreased with the increase of amplitude and
no paradoxical (an increase in FSL with increasing amplitude) or constant (an
independence of FSL on amplitude) neuron was observed; (2) the discriminability
(resolution) of differences in amplitude and frequency based on FSL are higher
than those based on SC; (3) the CVs of FSL for low amplitude stimuli were smaller
than those of SC; (4) the fraction of neurons for which BF=CF (within +/-500Hz)
obtained from FSL was higher than that from SC at any amplitude of sound.
Therefore, SC and FSL may vary, independent from each other and represent
different parameters of an acoustic stimulus, but FSL with its precision and
stability appears to be a better parameter than SC in evaluation of the response
of a neuron to frequency and amplitude in mouse inferior colliculus.
PMID- 18037597
TI - [Analysis of the ethical issues raised by a ten-year epidemiology program in
French Guiana: limitations of the current legal framework and solutions adopted].
AB - BACKGROUND: This paper discusses the ethical aspects of a large research program
in virology, conducted since 1994 and which has evolved in parallel with the
elaboration of bioethics laws in France. This research, which involved the
collection of a considerable amount of epidemiological data in the field, focused
on epidemiological determinants (mother to child transmission, genetic
susceptibility/resistance) of the human oncogenic retrovirus human T cell
lymphotropic virus type 1 (HTLV-1). Data were collected from a specific
population (Noirs Marrons) living in remote areas in French Guiana (South
America). This ethnic group of African descent is highly endemic for HTLV-1 and
associated adult T cell leukemia/lymphoma. The population has lived for two
centuries on either side of the Maroni river, which constitutes the frontier
between French Guiana and Surinam. The low socioeconomic and education levels of
a large part of this population are mainly explained by a recent
housing/residence fixation on the French side of the Maroni river. It is also
linked to significant immigration from Surinam due to the civil war, which lasted
for five years in the late 1990s, in this country. Conducting epidemiological
surveys in this peculiar context illustrates the limitations of the available
current legal framework in France for such studies. Indeed, several important
ethical issues arose concerning not only individual and population benefits, but
also specificities of the given information and of the informed consent. Another
question concerns individual information feed-back in such a context of
persistent viral infection, with a very low disease incidence, in a population
with a relatively low education level. The goal of this work was mainly to report
several of the ethical issues encountered and to discuss possible ways of
achieving better information deliver and consent procedures in such a context.
Indeed, these procedures should include new ideas and regulations promoting a
real partnership, in order to conduct long-term epidemiological studies in
populations with a low education level.
PMID- 18037596
TI - Gut modulatory, blood pressure lowering, diuretic and sedative activities of
cardamom.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Cardamom (Elettaria cardamomum) is traditionally
used in various gastrointestinal, cardiovascular and neuronal disorders. AIM OF
THE STUDY: To rationalize cardamom use in constipation, colic, diarrhea,
hypertension and as diuretic. MATERIALS AND METHODS: Cardamom crude extract
(Ec.Cr) was studied using in vitro and in vivo techniques. RESULTS: Ec.Cr caused
atropine-sensitive stimulatory effect in isolated guinea-pig ileum at 3-10mg/ml.
In rabbit jejunum preparations, Ec.Cr relaxed spontaneous and K+ (80 mM)-induced
contractions as well as shifted Ca++ curves to right, like verapamil. Ec.Cr (3
100mg/kg) induced fall in the arterial blood pressure (BP) of anaesthetized rats,
partially blocked in atropinized animals. In endothelium-intact rat aorta, Ec.Cr
relaxed phenylephrine (1 microM)-induced contractions, partially antagonized by
atropine and also inhibited K+ (80 mM) contractions. In guinea-pig atria, Ec.Cr
exhibited a cardio-depressant effect. Ec.Cr (1-10mg/kg) produced diuresis in
rats, accompanied by a saluretic effect. It enhanced pentobarbital-induced
sleeping time in mice. Bio-assay directed fractionation revealed the separation
of spasmogenic and spasmolytic components in the aqueous and organic fractions
respectively. CONCLUSION: These results indicate that cardamom exhibits gut
excitatory and inhibitory effects mediated through cholinergic and Ca++
antagonist mechanisms respectively and lowers BP via combination of both
pathways. The diuretic and sedative effects may offer added value in its use in
hypertension and epilepsy.
PMID- 18037598
TI - Optimizing high-performance liquid chromatography method with fluorescence
detection for quantification of tamoxifen and two metabolites in human plasma:
application to a clinical study.
AB - We set an improved high-performance liquid chromatography method with
fluorescence detection HPLC-FLU assay with more sensitivity and precision for the
quantification of tamoxifen and two metabolites: 4-hydroxytamoxifen and N
desmethyltamoxifen. The compounds and internal standard, mexiletine, were
separated with an Agilent Extend C18 column set at 65 degrees C and a mobile
phase of methanol-1% triethylamine aqueous solution (pH 11; 82:18, v/v). The
detection system utilized offline ultraviolet irradiation to convert the analytes
to their respective photocyclisation products, followed by fluorescence detection
(lambda ex=260 nm and lambda em=375 nm). The limits of quantification for
tamoxifen, N-desmethyltamoxifen and 4-hydroxytamoxifen in plasma were improved to
0.5, 0.5 and 0.1 ng/ml, respectively. And the retention times for tamoxifen, N
desmethyltamoxifen and 4-hydroxytamoxifen were minimized to 11, 10 and 3.9 min,
respectively. A single stage liquid-liquid extraction method for determination of
these triphenylethylene drugs in plasma was developed, with high extraction
efficiency and rapid sample treatment for target compounds. The method has been
validated for use in a clinical bioavailability research of tamoxifen.
PMID- 18037599
TI - Guidelines on good clinical laboratory practice: bridging operations between
research and clinical research laboratories.
AB - A set of Good Clinical Laboratory Practice (GCLP) standards that embraces both
the research and clinical aspects of GLP were developed utilizing a variety of
collected regulatory and guidance material. We describe eleven core elements that
constitute the GCLP standards with the objective of filling a gap for laboratory
guidance, based on IND sponsor requirements, for conducting laboratory testing
using specimens from human clinical trials. These GCLP standards provide guidance
on implementing GLP requirements that are critical for laboratory operations,
such as performance of protocol-mandated safety assays, peripheral blood
mononuclear cell processing and immunological or endpoint assays from biological
interventions on IND-registered clinical trials. The expectation is that
compliance with the GCLP standards, monitored annually by external audits, will
allow research and development laboratories to maintain data integrity and to
provide immunogenicity, safety, and product efficacy data that is repeatable,
reliable, auditable and that can be easily reconstructed in a research setting.
PMID- 18037600
TI - Informational needs in families after their child's mild head injury.
AB - OBJECTIVE: When a child is hospitalized due to an illness or injury, the entire
family may experience stress and/or anxiety. According to parents who have been
in such a situation, providing adequate information is one of the most valuable
ways to help the family deal with such feelings. Most mild head injuries suffered
by children do not require hospitalisation and in such cases, their families
should be provided with appropriate information in connection with their visit to
the emergency ward. In the present study, family informational needs are
characterized. METHODS: The families of 57 children who had suffered a mild head
injury at 0-15 years of age answered one open-ended question. The analysis was
carried out using content analysis. RESULTS: This analysis revealed two types of
needs, i.e., a need for information concerning the head injury itself and how to
provide care, as well as a need for reassurance and support in sharing and coping
with the emotional burden. CONCLUSION: Despite differences in the severity of the
child's head injury and requirement for hospitalisation, all the families
expressed the same informational needs but also the need for emotional support.
PRACTICE IMPLICATIONS: In connection with the treatment of children with head
injuries, health-care personnel should provide the parents both with information
concerning the injury and its treatment and with emotional support.
PMID- 18037601
TI - Campus physicians' tobacco interventions with university students: a descriptive
study of 16 Ontario university clinics.
AB - OBJECTIVE: About one-quarter of Canadian post-secondary students smoke
cigarettes. We examined how physicians from Ontario university health clinics
intervene with these young adult smokers. METHOD: A convenience sample of 16
universities was identified and surveys were hand-delivered to all 228 physicians
from these schools. A total of 125 doctors (54.82%) responded; 70 were from
universities that were involved in a government-sponsored, coordinated, multi
campus, tobacco control initiative. RESULTS: Twenty percent of doctors reported
asking all or almost all patients about tobacco use; 25.22% asked fewer than
half. Describing how they respond to patients identified as smokers, 96.00% of
physicians advised cessation, 72.00% offered assistance, and 64.00% arranged for
follow-up. Doctors discussed patients' tobacco use with 78.59% of smokers.
Nicotine replacement therapies were rarely offered to patients wanting to quit.
Doctors from universities involved in the tobacco control initiative were more
likely to keep patient education materials in the examining room. CONCLUSION:
Because most doctors ask only some patients about tobacco use, they may be
missing opportunities to provide appropriate advice and assistance to all
smokers. PRACTICE IMPLICATIONS: Physician education and support to the clinic are
needed to improve the frequency and quality of physician-delivered smoking
cessation services to post-secondary students.
PMID- 18037602
TI - Assessing validity of standardized patient ratings of medical students'
communication behavior using the Roter interaction analysis system.
AB - OBJECTIVE: The primary objective of this study is to examine concurrent validity
of standardized patient (SP) ratings of second year medical students'
communication skills with the Roter interaction analysis system (RIAS). METHODS:
We designed An Integrated Medical Encounter (AIME), to teach second year medical
students the link between communication and clinical reasoning with emphasis
placed on understanding the connection between biomedical and psychosocial
aspects of patient care. We randomized 120 students to intervention (AIME) and
control groups (non-AIME). Students completed two post-intervention SP encounters
which were videotaped and coded using RIAS. SPs used a 30-item checklist to rate
students' communication behaviors. RESULTS: There were no differences between
AIME and non-AIME students in age, ethnicity, gender, or college major; however,
more AIME students reported prior health professional work. SPs rated AIME
students' rapport-building skills higher (mean [S.E.]: 4.1 [0.15] vs. 3.9 [0.15],
p=0.05); however, there were no differences in data gathering, patient
education/counseling. RIAS demonstrated that AIME students more frequently used
rapport-building statements (60.4 [2.7] vs. 52.1 [2.8], p=0.03). CONCLUSION: The
RIAS confirmed SP ratings of differences in AIME and non-AIME students' rapport
building skills. PRACTICE IMPLICATIONS: Future studies in medical education
should further examine the minimum number of SP ratings needed to effectively
evaluate communication skills curricula when resources are limited.
PMID- 18037603
TI - Brief motivational interviewing for teens at risk of substance use consequences:
a randomized pilot study in a primary care clinic.
AB - The current study examined the impact of a brief motivational interviewing (MI)
intervention (Project CHAT) on alcohol consumption and drug use for high-risk
teens in a primary care clinic that provides health care for underserved
populations. Youth (N=42, 48% male) were screened, and those eligible completed a
baseline survey. Baseline survey completers were randomly assigned to usual care
or to an MI intervention and completed a 3-month follow-up survey. The sample
(age 12 to 18 years) was 85.7% Hispanic or Latino, 9.5% African American, and
4.8% White. At the 3-month follow-up, Project CHAT teens reported less marijuana
use, lower perceived prevalence of marijuana use, fewer friends who used
marijuana, and lower intentions to use marijuana in the next 6 months, as
compared to teens assigned to usual care. Providing this type of brief
intervention is a viable approach to working with high-risk teens to decrease
substance use.
PMID- 18037604
TI - Predictors of changes in alcohol-related self-efficacy over 16 years.
AB - Self-efficacy is a robust predictor of short- and long-term remission after
treatment. This study examined the predictors of self-efficacy in the year after
treatment and 15 years later. A sample of 420 individuals with alcohol use
disorders was assessed five times over the course of 16 years. Predictors of self
efficacy at 1 year included improvement from baseline to 1 year in heavy
drinking, alcohol-related problems, depression, impulsivity, avoidance coping,
social support from friends, and longer duration of participation in Alcoholics
Anonymous (AA). Female gender, more education, less change in substance use
problems, and impulsivity during the first year predicted improvement in self
efficacy over 16 years. Clinicians should focus on keeping patients engaged in
AA, addressing depressive symptoms, improving patient's coping, and enhancing
social support during the first year and reduce the risk of relapse by monitoring
individuals whose alcohol problems and impulsivity improve unusually quickly.
PMID- 18037605
TI - Different changes in resistance index between uterine artery and uterine radial
artery during early pregnancy.
AB - BACKGROUND: Changes in blood flow impedance of the uterine artery (UA) and
uterine radial artery (RA) which is in the lower-extremity of the UA were
examined during early pregnancy. METHODS: Blood flow impedance was assessed by
transvaginal color-pulsed-Doppler-ultrasonography in 72 women from weeks 4-16 of
pregnancy and expressed as a resistance index (RI). RESULTS: RA-RI remained at
the late-luteal phase level until the 5th week of pregnancy, decreased until the
7th week, and remained low until the 10th week. UA-RI remained at the late-luteal
phase level until the 10th week, and then gradually decreased until the 16th
week. In nine women with spontaneous abortion, five out of six women with
impaired growth of the gestational sac showed high RA-RI at the 6th week of
pregnancy, whereas all three women with loss of fetal heart beat at the 8th week
showed normal changes in RA-RI. CONCLUSIONS: Our results show different changes
in blood flow impedance between the UA and RA during early pregnancy. A
significant decrease of RA-RI after the 5th week may reflect vascular remodeling
in the maternal-fetal interface at placentation, whereas a significant decrease
of UA-RI after the 10th week may reflect changes of the whole uterine blood flow
associated with uterine growth.
PMID- 18037606
TI - Progestagen therapy for recurrent miscarriage.
AB - BACKGROUND: Recurrent pregnancy loss (RM) affects 0.5-1% of couples. The
pathophysiology of RM is complex. The suggested causes include anatomical,
genetic and molecular abnormalities, endocrine disorders, thrombophilias and anti
phospholipid syndrome. In approximately 50% of the cases neither of the above can
be identified. We aimed at examining the evidence on the role of progesterone in
the pathophysiology of RM, and the clinical evidence on effectiveness of
progestogen treatment. METHODS: We searched PubMed and the Cochrane database
covering the period of 1968-2007. The search terms progestogens and recurrent
miscarriage, NK cells and recurrent miscarriage as well as cytokines and
recurrent miscarriage were used. RESULTS: Progesterone is indispensable for
creating a suitable endometrial environment for implantation. RM may be due to
subnormal progesterone secretion and retarded endometrial development in the peri
implantation period. Progesterone also acts on the immune system, mainly by
affecting cytokine synthesis and the function of NK cells. A recent meta-analysis
showed that though progesterone treatment did not affect pregnancy outcome in
women with miscarriages in general, separate analysis of three small and dated
studies including altogether 91 patients with RM revealed a small but significant
effect. It is noteworthy that the design of these 40 years old studies does not
meet modern requirements. CONCLUSION: Standardized laboratory protocols for
identifying potential targets of progestogen treatment as well as implementation
of well-designed randomized studies are needed to establish the usefulness of
progesterone supplementation in the treatment of RM.
PMID- 18037607
TI - Breakthroughs in genetic studies of ankylosing spondylitis.
AB - Ankylosing spondylitis (AS), the prototypic seronegative arthropathy, is known to
be highly heritable, with >90% of the risk of developing the disease determined
genetically. As with most common heritable diseases, progress in identifying the
genes involved using family-based or candidate gene approaches has been slow. The
recent development of the genome-wide association study approach has
revolutionized genetic studies of such diseases. Early studies in ankylosing
spondylitis have produced two major breakthroughs in the identification of genes
contributing roughly one third of the population attributable risk of the
disease, and pointing directly to a potential therapy. These exciting findings
highlight the potential of future more comprehensive genetic studies of
determinants of disease risk and clinical manifestations, and are the biggest
advance in our understanding of the causation of the disease since the discovery
of the association with HLA-B27.
PMID- 18037608
TI - Aggressive CNS lupus vasculitis in the absence of systemic disease activity.
PMID- 18037609
TI - The candidate lupus susceptibility gene Ifi202a is largely dispensable for B-cell
function.
PMID- 18037610
TI - Tissue and cell-specific localization of rice aquaporins and their water
transport activities.
AB - Water transport in plants is greatly dependent on the expression and activity of
water transport channels, called aquaporins. Here, we have clarified the tissue-
and cell-specific localization of aquaporins in rice plants by immunoblotting and
immunocytochemistry using seven isoform-specific aquaporin antibodies. We also
examined water transport activities of typical aquaporin family members using a
yeast expression system in combination with a stopped-flow spectrophotometry
assay. OsPIP1 members, OsPIP2;1, OsTIP1;1 and OsTIP2;2 were expressed in both
leaf blades and roots, while OsPIP2;3, OsPIP2;5 and OsTIP2;1 were expressed only
in roots. In roots, large amounts of aquaporins accumulated in the region
adjacent to the root tip (around 1.5-4 mm from the root tip). In this region,
cell-specific localization of the various aquaporin members was observed. OsPIP1
members and OsTIP2;2 accumulated predominantly in the endodermis and the central
cylinder, respectively. OsTIP1;1 showed specific localization in the rhizodermis
and exodermis. OsPIP2;1, OsPIP2;3 and OsPIP2;5 accumulated in all root cells, but
they showed higher levels of accumulation in endodermis than other cells. In the
region at 35 mm from the root tip, where aerenchyma develops, aquaporins
accumulated at low levels. In leaf blades, OsPIP1 members and OsPIP2;1 were
localized mainly in mesophyll cells. OsPIP2;1, OsPIP2;3, OsPIP2;5 and OsTIP2;2
expressed in yeast showed high water transport activities. These results suggest
that rice aquaporins with various water transport activities may play distinct
roles in facilitating water flux and maintaining the water potential in different
tissues and cells.
PMID- 18037611
TI - GEAR: genomic enrichment analysis of regional DNA copy number changes.
AB - We developed an algorithm named GEAR (genomic enrichment analysis of regional DNA
copy number changes) for functional interpretation of genome-wide DNA copy number
changes identified by array-based comparative genomic hybridization. GEAR selects
two types of chromosomal alterations with potential biological relevance, i.e.
recurrent and phenotype-specific alterations. Then it performs functional
enrichment analysis using a priori selected functional gene sets to identify
primary and clinical genomic signatures. The genomic signatures identified by
GEAR represent functionally coordinated genomic changes, which can provide clues
on the underlying molecular mechanisms related to the phenotypes of interest.
GEAR can help the identification of key molecular functions that are activated or
repressed in the tumor genomes leading to the improved understanding on the tumor
biology. AVAILABILITY: GEAR software is available with online manual in the
website, http://www.systemsbiology.co.kr/GEAR/.
PMID- 18037612
TI - Genome scale enzyme-metabolite and drug-target interaction predictions using the
signature molecular descriptor.
AB - MOTIVATION: Identifying protein enzymatic or pharmacological activities are
important areas of research in biology and chemistry. Biological and chemical
databases are increasingly being populated with linkages between protein
sequences and chemical structures. There is now sufficient information to apply
machine-learning techniques to predict interactions between chemicals and
proteins at a genome scale. Current machine-learning techniques use as input
either protein sequences and structures or chemical information. We propose here
a method to infer protein-chemical interactions using heterogeneous input
consisting of both protein sequence and chemical information. RESULTS: Our method
relies on expressing proteins and chemicals with a common cheminformatics
representation. We demonstrate our approach by predicting whether proteins can
catalyze reactions not present in training sets. We also predict whether a given
drug can bind a target, in the absence of prior binding information for that drug
and target. Such predictions cannot be made with current machine-learning
techniques requiring binding information for individual reactions or individual
targets.
PMID- 18037613
TI - Automatic synchronization and distribution of biological databases and software
over low-bandwidth networks among developing countries.
AB - Bioinformatics involves the collection, organization and analysis of large
amounts of biological data, using networks of computers and databases. Developing
countries in the Asia-Pacific region are just moving into this new field of
information-based biotechnology. However, the computational infrastructure and
network bandwidths available in these countries are still at a basic level
compared to that in developed countries. In this study, we assessed the utility
of a BitTorrent-based Peer-to-Peer (btP2P) file distribution model for automatic
synchronization and distribution of large amounts of biological data among
developing countries. The initial country-level nodes in the Asia-Pacific region
comprised Thailand, Korea and Singapore. The results showed a significant
improvement in download performance using btP2P--three times faster overall
download performance than conventional File Transfer Protocol (FTP). This study
demonstrated the reliability of btP2P in the dissemination of continuously
growing multi-gigabyte biological databases across the three Asia-Pacific
countries. The download performance for btP2P can be further improved by
including more nodes from other countries into the network. This suggests that
the btP2P technology is appropriate for automatic synchronization and
distribution of biological databases and software over low-bandwidth networks
among developing countries in the Asia-Pacific region. AVAILABILITY:
http://everest.bic.nus.edu.sg/p2p/
PMID- 18037614
TI - Antithrombin III in critically ill patients.
PMID- 18037615
TI - Antithrombin III in critically ill patients: systematic review with meta-analysis
and trial sequential analysis.
AB - OBJECTIVE: To evaluate the benefits and harms of antithrombin III in critically
ill patients. DESIGN: Systematic review and meta-analysis of randomised trials.
DATA SOURCES: CENTRAL, Medline, Embase, International Web of Science, LILACS, the
Chinese Biomedical Literature Database, and CINHAL (to November 2006); hand
search of reference lists, contact with authors and experts, and search of
registers of ongoing trials. REVIEW METHODS: Two reviewers independently selected
parallel group randomised clinical trials comparing antithrombin with placebo or
no intervention and extracted data related to study methods, interventions,
outcomes, bias risk, and adverse events. Disagreements were resolved by
discussion. Trials in any type of critically ill patients in intensive care were
eligible. All trials, irrespective of blinding or language status, that compared
any antithrombin III regimen with no intervention or placebo were included.
Trials were considered to be at low risk of bias if they had adequate
randomisation procedure, blinding, and used intention to treat analysis. Risk
ratios with 95% confidence intervals were estimated with fixed and random effects
models according to heterogeneity. MAIN OUTCOME MEASURES: Mortality, length of
stay in intensive care or hospital, quality of life, severity of sepsis,
respiratory failure, duration of mechanical ventilation, incidence of surgical
intervention, intervention effect among various populations, and adverse events
(such as bleeding). RESULTS: 20 trials randomly assigning 3458 patients met
inclusion criteria. Eight trials had low risk of bias. Compared with placebo or
no intervention, antithrombin III did not reduce overall mortality (relative risk
0.96, 95% confidence interval 0.89 to 1.03). No subgroup analyses on risk of
bias, populations of patients, or with and without adjuvant heparin yielded
significant results. Antithrombin III increased the risk of bleeding events
(1.52, 1.30 to 1.78). Heterogeneity was observed in only a few analyses.
CONCLUSION: Antithrombin III cannot be recommended for critically ill patients
based on the available evidence.
PMID- 18037617
TI - SIMAP--structuring the network of protein similarities.
AB - Protein sequences are the most important source of evolutionary and functional
information for new proteins. In order to facilitate the computationally
intensive tasks of sequence analysis, the Similarity Matrix of Proteins (SIMAP)
database aims to provide a comprehensive and up-to-date dataset of the pre
calculated sequence similarity matrix and sequence-based features like InterPro
domains for all proteins contained in the major public sequence databases. As of
September 2007, SIMAP covers approximately 17 million proteins and more than 6
million non-redundant sequences and provides a complete annotation based on
InterPro 16. Novel features of SIMAP include a new, portlet-based web portal
providing multiple, structured views on retrieved proteins and integration of
protein clusters and a unique search method for similar domain architectures.
Access to SIMAP is freely provided for academic use through the web portal for
individuals at http://mips.gsf.de/simap/and through Web Services for programmatic
access at http://mips.gsf.de/webservices/services/SimapService2.0?wsdl.
PMID- 18037616
TI - Early life determinants of physical activity in 11 to 12 year olds: cohort study.
AB - OBJECTIVE: To examine factors in early life (up to age 5 years) that are
associated with objectively measured physical activity in 11-12 year olds.
DESIGN: Prospective cohort study. SETTING: Avon longitudinal study of parents and
children, United Kingdom. PARTICIPANTS: Children aged 11-12 years from the Avon
longitudinal study of parents and children. MAIN OUTCOME MEASURE: Physical
activity levels in counts per minute (cpm) and minutes of moderate to vigorous
physical activity for seven days measured with a uniaxial actigraph
accelerometer. RESULTS: Valid actigraph data, defined as at least three days of
physical activity for at least 10 hours a day, were collected from 5451 children.
Several factors were associated with physical activity at ages 11-12 years.
Regression coefficients are compared with the baseline of "none" for categorical
variables: maternal brisk walking during pregnancy (regression coefficient 5.0,
95% confidence interval -8.5 to 18.5; cpm for <1 h/wk and > or =2 h/wk of
physical activity 17.7, 5.3 to 30.1), maternal swimming during pregnancy (21.5,
10.9 to 32.1 and cpm for <1 h/wk and > or =2 h/wk of physical activity 24.2, 7.8
to 40.7), parents' physical activity when the child was aged 21 months (28.5,
15.2 to 41.8 and cpm of physical activity for either parent active and both
parents active 33.5, 17.8 to 49.3), and parity assessed during pregnancy (2.9,
7.6 to 13.4 and cpm of physical activity for 1 and > or =2 parity 21.2, 7.1 to
35.3). CONCLUSIONS: Few factors in early life predicted later physical activity
in 11-12 year olds. Parents' physical activity during pregnancy and early in the
child's life showed a modest association with physical activity of the child at
age 11-12 years, suggesting that active parents tend to raise active children.
Helping parents to increase their physical activity therefore may promote
children's activity.
PMID- 18037618
TI - High glucose decreases collagenase expression and increases TIMP expression in
cultured human peritoneal mesothelial cells.
AB - BACKGROUND: Peritoneal fibrosis (PF), a serious problem in long-term continuous
ambulatory peritoneal dialysis (CAPD) patients, is characterized by extracellular
matrix (ECM) accumulation which results from an imbalance between the synthesis
and the degradation of ECM components. Previous studies have demonstrated that
ECM synthesis is increased in human peritoneal mesothelial cells (HPMCs) under
high glucose conditions, but the effects of high glucose on degradative pathways
have not been fully explored. This study was undertaken to elucidate the effects
of high glucose on these proteolytic processes in cultured HMPCs. METHODS: HPMCs
were isolated from human omentum and were exposed to 5.6 mM glucose (NG), 5.6 mM
glucose +34.4 mM mannitol (NG + M), or 40 mM glucose (HG) with or without PKC
inhibitor (PKCi). Real-time PCR and western blot were performed to determine
collagenases (MMP-1, -8 and -13) and TIMPs (TIMP-1 and -2) mRNA and protein
expression, respectively. The individual activities of collagenases in culture
media were determined by ELISA. RESULTS: Types I and III collagen protein
expression were significantly increased in HG-conditioned media compared to NG
media (P < 0.05). The MMP-1, -8 and -13/GAPDH mRNA ratios were significantly
lower in HPMCs exposed to HG medium compared to NG cells by 64, 52 and 37%,
respectively, and their protein expression by 76, 42 and 49%, respectively, in HG
vs NG-conditioned media. The activities of collagenases in HG-conditioned media
were also significantly lower than those in NG media (P < 0.05). In contrast, HG
significantly increased TIMPs mRNA ratios and protein expression in HPMCs. These
changes in collagenase and TIMP expression induced by HG were abrogated upon pre
treatment with PKCi. CONCLUSION: In conclusion, impaired matrix degradation may
contribute to ECM accumulation in PF.
PMID- 18037619
TI - Venous stenosis in a pig arteriovenous fistula model--anatomy, mechanisms and
cellular phenotypes.
AB - BACKGROUND: Haemodialysis vascular access dysfunction is currently a huge
clinical problem. Although arteriovenous (AV) fistulae are the preferred mode of
dialysis access, they have significant problems with both early (failure to
mature) and late fistula failure. Both are characterized radiologically as a
stenosis of the venous segment. Despite the magnitude of the clinical problem,
the exact pathogenesis of AV fistula failure remains unclear. The aim of this
study was to develop and validate a pig model of AV fistula stenosis and then use
it to dissect out the mechanisms responsible for this lesion. METHODS: AV
fistulae were created between the femoral artery and vein of Yorkshire Cross
pigs. Animals were sacrificed at 2 days, 7 days, 28 days and 42 days post
surgery. At the time of sacrifice the entire specimen was divided into four
regions; the arterial (AV-A) and venous (AV-V) portions of the AV anastomosis,
the juxta-anastomotic segment (JA) and the proximal vein (PV), and assessed for
the degree of intima-media thickening and the presence of specific cellular
phenotypes. Haemodynamic parameters were not measured in this set of experiments.
RESULTS: Significant luminal stenosis and intima-media thickening were present as
early as 28 days and 42 days post-surgery in the pig model. In addition, within
specimens from a single time point, these two parameters were maximal within the
proximal vein and juxta-anastomotic segment as compared to the AV anastomosis (P
< 0.0001). The vast majority of cells within the region of intima-media
thickening were myofibroblasts. CONCLUSIONS: These studies suggest that early and
aggressive intima-media thickening (which is made up primarily of myofibroblasts)
plays an important role in AV fistula stenosis in a pig model of AV fistula
placement. Interventions that target the mechanisms and cellular phenotypes
described in this model, may be effective in reducing the very significant
morbidity and economic costs currently associated with AV fistula failure.
PMID- 18037620
TI - Matrix extracellular phosphoglycoprotein causes phosphaturia in rats by
inhibiting tubular phosphate reabsorption.
AB - BACKGROUND: Matrix extracellular phosphoglycoprotein (MEPE), first isolated from
tumour-derived tissue from a patient with oncogenic hypophosphataemia, is a
putative phosphatonin that has received much less attention than fibroblast
growth factor-23. To date, its effect on renal tubular phosphate reabsorption
remains undefined. METHODS: A renal clearance study was performed in
anaesthetized rats infused intravenously with a range of doses of MEPE. RESULTS:
MEPE had no effect on glomerular filtration rate (inulin clearance) but caused
rapid, dose-dependent increases in absolute and fractional phosphate excretion,
wholly attributable to reduced phosphate reabsorption. At a maximal dose, MEPE
increased fractional phosphate excretion more than 2-fold, whereas no change was
observed in time controls. CONCLUSION: The results lend support to the hypothesis
that MEPE contributes to the phosphaturia of oncogenic hypophosphataemia and of
hypophosphataemic rickets.
PMID- 18037621
TI - Sensitivity analysis in summary measure of population health in France.
AB - BACKGROUND: The aim of this study is to provide estimates of the French burden of
disease, using the WHO Global Burden of Disease methodology and to perform
sensitivity analysis on different set of mortality data. METHODS: The burden of
disease is measured by disability-adjusted life years (DALYs) that take into
account both mortality and morbidity data. Results were obtained using French
mortality data for the years 2000 and 2001 and morbidity data estimated by WHO
for France. Sensitivity analyses were conducted using different mortality data
sets and various life tables as mortality norms. Calculations were also performed
with and without discounting and age-weighting. RESULTS: In France, the annual
burden of disease was about 12.4 million DALYs. Depending on the mortality data
set and the choice of social values used for calculation, results could be quite
different. The use of WHO estimates for mortality resulted in an underestimation
of 2.6% of total DALYs with respect to French data. Changes of the mortality norm
imply changes in the number of years of life lost (YLLs), whereas the use of
discounting and age-weighting mainly modifies the ranking of diseases.
CONCLUSION: DALYs constitute a summary measure of population health, which is a
powerful tool for the grading of health problems, allowing to compare fatal and
non-fatal diseases. Nevertheless, the validity of results obtained depends
primarily on the validity of the input data. Collecting morbidity data (mainly
incidence) at the national level is hence an important step in order to assess
more accurately the specific burden of diseases in France.
PMID- 18037622
TI - HSP90 as a marker of progression in melanoma.
AB - BACKGROUND: HSP90 chaperones molecules critical for cell survival and malignant
progression, including mutated B-raf. HSP90-targeting agents are in clinical
trials. No large studies have been conducted on expression of HSP90 in melanomas.
MATERIALS AND METHODS: Tissue microarrays containing 414 nevi, 198 primary and
270 metastatic melanomas were assessed using our automated quantitative analysis
(AQUA) method of in situ protein measurement; we use S-100 to define pixels as
melanocytes (tumor mask) within the array spot, and measure HSP90 expression
within the mask using Cy5-conjugated antibodies. RESULTS: HSP90 expression was
higher in melanomas than nevi (P < 0.0001) and higher in metastatic than primary
specimens (P < 0.0001). No association was seen between high HSP90 expression and
survival in the primary or metastatic patient subsets. In primary melanomas, high
HSP90 expression was associated with higher Clark level (P = 0.0167) and
increased Breslow depth (P < 0.0001). CONCLUSIONS: HSP90 expression was
significantly higher in tumors than nevi and was associated with disease
progression, indicating that it might be a valuable drug target in melanoma, as
well as a useful diagnostic marker. Prospective studies are needed to confirm the
diagnostic role of HSP90, as well as the predictive role of HSP90 expression in
patients treated with HSP90 inhibitors.
PMID- 18037623
TI - Preoperative CA 15-3 and CEA serum levels as predictor for breast cancer
outcomes.
AB - BACKGROUND: To investigate the association between tumor markers [cancer antigen
15-3 (CA 15-3) and carcinoembryonic antigen (CEA)] and clinicopathological
parameters and patient outcomes in breast cancer. MATERIALS AND METHODS: A total
of 740 patients with stages I-III breast cancer had preoperative CA 15-3 and CEA
concentrations measured. Univariate and multivariate analyses were used to
investigate associations between marker concentration and clinicopathological
parameters and patient outcomes. RESULTS: Among 740 patients, elevated
preoperative levels of CA 15-3 and CEA were identified in 92 (12.4%) and 79
(10.7%) patients, respectively. Tumor size (>5 cm), node metastases (> or =4),
and advanced stage (> or =III) were associated with higher preoperative levels.
Elevated CA 15-3 and CEA levels were associated with poor disease-free survival
(DFS, P = 0.0014, P = 0.0001, respectively) and overall survival (OS, P = 0.018,
P = 0.015) even in stage-matched analysis. Patients with normal levels of both CA
15-3 and CEA showed better DFS and OS than those with elevated group. In
multivariate analysis, age (<35 years), tumor size (>2 cm), node metastases,
estrogen receptor expression, and elevated CA 15-3 and CEA preoperative values
were independent prognostic factors for DFS. CONCLUSION: High preoperative CA 15
3 and CEA levels may reflect tumor burden and are associated with advanced
disease and poor outcome. Measuring preoperative levels of CA 15-3 and CEA can be
helpful for predicting outcomes.
PMID- 18037624
TI - Ancestral LOXL1 variants are associated with pseudoexfoliation in Caucasian
Australians but with markedly lower penetrance than in Nordic people.
AB - Pseudoexfoliation syndrome is a generalized disorder of the extracellular matrix,
characterized by the pathological accumulation of abnormal fibrillar material in
the anterior segment of the eye predisposing to glaucomatous optic neuropathy. We
investigated the role of lysyl oxidase-like 1(LOXL1) sequence variation in a
Caucasian Australian population-based cohort of 2508 individuals, 86 (3.4%) of
whom were diagnosed with pseudoexfoliation syndrome. Two non-synonymous variants
in exon 1 of LOXL1 (Arg141Leu;Gly153Asp) were found to be strongly associated
with pseudoexfoliation. Two copies of the high risk haplotype at these single
nucleotide polymorphisms conferred a risk of 7.20 (95%CI: 3.04-20.75) compared
with no copies of the high risk haplotype. Each of the disease-associated alleles
is by far commoner in the normal population, and examination of cross-species
homology reveals that the two disease-associated coding variants belong to the
ancestral version of the gene. LOXL1 was found to be expressed by reverse
transcription-polymerase chain reaction in all ocular tissues examined except
retina. The presence of LOXL1 protein in ocular tissues of interest was
demonstrated by western blotting. Specific bands of approximately 130 and 80 kDa,
representing polymerized protein forms, were detected in the cornea, iris,
ciliary body, lens capsule and optic nerve. The 42 kDa mature form of LOXL1 was
detected in the iris and ciliary body. Our Caucasian population has a 9-fold
lower lifetime incidence of pseudoexfoliation syndrome compared with Nordic
populations despite having similar allelic architecture at the LOXL1 locus. This
strongly suggests that as yet unidentified genetic or environmental factors
independent of LOXL1 strongly influence the phenotypic expression of the
syndrome.
PMID- 18037625
TI - Anti-tumour necrosis factor treatment in patients with refractory systemic
vasculitis associated with rheumatoid arthritis.
AB - OBJECTIVE: To assess anti-tumour necrosis factor (anti-TNF) agents in patients
with refractory systemic rheumatoid vasculitis (SRV). METHODS: 1200
rheumatologists and internists were asked to provide medical files for patients
with anti-TNF agents given as a second-line treatment for active SRV refractory
to cyclophosphamide and glucocorticoids. RESULTS: We identified nine cases in
which anti-TNF drugs were given for active SRV, despite previous treatment with a
mean cumulative dose of 8.4 g of cyclophosphamide in association with high-dose
glucocorticoids. The mean prednisone dose before anti-TNF therapy was 29.6
mg/day. After 6 months, six patients were in remission (complete in five, partial
in one). The treatment failed in one patient and two patients stopped taking the
anti-TNF treatment due to side-effects. Mean prednisone dose was reduced to 11.2
mg/day. Severe infection occurred in three patients. Relapses were observed in
two patients. Remission was re-established by reintroducing anti-TNF therapy in
one case and increasing the dose in the other. CONCLUSIONS: This study provides
evidence of efficacy of anti-TNF therapy in adjunct to glucocorticoids for
treating active refractory SRV. Remission was achieved in two-thirds of patients,
with a significant decrease in prednisone dose, although there was a high rate of
infection in these severely ill patients.
PMID- 18037626
TI - Can ultrasonography improve on radiographic assessment in osteoarthritis of the
hands? A comparison between radiographic and ultrasonographic detected pathology.
AB - OBJECTIVES: Ultrasonography (US) is used in rheumatology to assess small joints
in inflammatory arthritis. Recently there has been some investigation into the
utility of US in osteoarthritis (OA), however there has been little comparison of
US to other imaging modalities in OA. This study aimed to compare the detection
of osteophytosis and joint space narrowing (JSN) by US and conventional
radiography (CR) in OA of the hand. METHODS: SUBJECTS: with OA of the hand
underwent US and CR examination of the small joints of both hands to identify
osteophytosis and joint space narrowing. RESULTS: 1106 joints of 37 patients were
imaged with US and CR. US detected osteophytosis in 448 joints, compared to CR
that detected osteophytosis in 228 joints (approximately 30% fewer joints). Where
osteophytosis was detected by US but not CR, this was usually proximal to the
joint line. Joint space narrowing was detected in 450 joints by US, but only 261
joints by CR. The distribution of US and CR detected osteoarthritis changes in
this cohort was consistent with population studies of radiographic hand OA,
although metacarpophalangeal (MCP) involvement was higher than might be expected
CONCLUSIONS: US detected more osteophytosis and joint space narrowing than CR in
OA of the hand. Involvement of MCP joints was more common than would be expected
from population radiographic studies. The increased detection of OA structural
pathology by US may make this a useful tool for hand OA research.
PMID- 18037627
TI - Everolimus in patients with rheumatoid arthritis receiving concomitant
methotrexate: a 3-month, double-blind, randomised, placebo-controlled, parallel
group, proof-of-concept study.
AB - OBJECTIVES: Everolimus, a proliferation signal inhibitor with disease-modifying
properties, may be useful in treating rheumatoid arthritis (RA). This proof-of
concept study assessed efficacy and safety of everolimus in combination with
methotrexate (MTX) in patients with refractory RA. METHODS: A multi-centre,
randomised, double-blind, placebo-controlled trial was performed in 121 patients
with active RA receiving MTX. Patients were randomised to receive everolimus (6
mg/day) or placebo. The primary endpoint was the American College of Rheumatology
criteria for a 20% improvement in measures of disease activity (ACR20) at 12
weeks. RESULTS: There was a rapid onset of action and at 12 weeks the ACR20
response rate was significantly higher in the everolimus group (36.1%) than in
the placebo group (16.7%; p = 0.022). Improvements from baseline in tender and
swollen joint counts, patient's assessment of pain, and patient's and physician's
global assessment of disease activity were significantly greater after treatment
with everolimus. The most common adverse events (AEs) in the everolimus group
were gastrointestinal (52.5% vs 31.7% in the placebo group), skin (29.5% vs
8.3%), and nervous system disorders (21.3% vs 10.0%); AEs leading to treatment
discontinuation were reported for 16.4% and 10.0% of patients, respectively.
Changes in haematological parameters, liver function tests, and lipid levels
occurred more frequently with everolimus compared to placebo, but were mild and
reversible. CONCLUSIONS: The study indicates that everolimus plus MTX provides
clinical benefit with an acceptable safety and tolerability profile. It may offer
a new treatment option in RA patients with inadequate response to MTX.
PMID- 18037628
TI - Scoring the nailfold microvascular changes during the capillaroscopic analysis in
systemic sclerosis patients.
AB - BACKGROUND: Longitudinal study to define a scoring system to quantify the
specific capillary abnormalities, as observed by capillary microscopy in systemic
sclerosis (SSc). METHODS: Ninety patients with SSc were evaluated by nailfold
videocapillaroscopy for an average of 72 (SD 23) months. Enlarged and giant
capillaries, haemorrhages, loss of capillaries, disorganisation of the
microvascular array, and capillary ramifications were the evaluated parameters
identifying the "scleroderma patterns". A semiquantitative rating scale to score
these altered microvascular parameters was adopted (score 0-3). A
"microangiopathy evolution score" (sum of three scores: loss of capillaries,
disorganisation of the microvascular array and capillary ramifications) was also
selected to assess the progression of the vascular damage. RESULTS: At the end of
the follow-up, the score for each nailfold videocapillaroscopy parameter
significantly changed. The microangiopathy evolution score significantly
increased in 53 of 90 patients (59%) indicating a worsening of the
microangiopathy. On the contrary, 22 patients (24%) showed a significant decrease
of the evolution score suggesting an improvement of the microangiopathy and no
changes were detected in 15 patients with SSc (17%). CONCLUSIONS: The
capillaroscopic score was found to be a sensitive tool to quantify and monitor
the SSc microvascular damage. Furthermore, the microangiopathy evolution score
might be used to survey the evolution of the microvascular damage, as the
relative scores increase during the progression of the SSc.
PMID- 18037630
TI - Bilateral stimulation of the caudal zona incerta nucleus for tremor control.
AB - INTRODUCTION: The ventrolateral (VL) nucleus of the thalamus is the commonly
chosen target for deep brain stimulation (DBS) to alleviate tremor. However, it
has a poor efficacy in alleviating proximal tremor and patients may develop
tolerance to the action component of tremor. We performed bilateral stimulation
of the caudal or motor part of the zona incerta nucleus (cZI) to determine its
safety and efficacy in alleviating tremor. METHODS: 5 patients with parkinsonian
tremor and 13 with a range of tremors (Holmes (HT), cerebellar (CT), essential
(ET), multiple sclerosis (MS) and dystonic tremor (DT)) affecting both the
proximal and distal body parts underwent MRI guided, bilateral cZI DBS. Tremor
was assessed by the Fahn-Tolosa-Marin (FTM) tremor scale at baseline and at a
mean follow-up of 12 months. RESULTS: Resting PD tremor improved by 94.8% and
postural tremor by 88.2%. The total tremor score improved by 75.9% in 6 patients
with ET. HT improved by 70.2%, proximal CT by 60.4% and proximal MS tremor by
57.2% in the total tremor rating score. In the single patient with DT, there was
improvement in both the dystonia and the tremor. Patients required low voltages
of high-frequency stimulation and did not develop tolerance to it. Stimulation
related side effects were transient. CONCLUSION: This prospective study shows
that the cZI may be an alternative target for the treatment of tremor with DBS.
In contrast to bilateral DBS of the VL nucleus, it improves all components of
tremor affecting both the distal and proximal limbs as well as the axial
musculature.
PMID- 18037629
TI - Induction of parkinsonian resting tremor by stimulation of the caudal zona
incerta nucleus: a clinical study.
AB - INTRODUCTION: We hypothesise that parkinsonian tremor arises when the caudal zona
incerta (cZI) and subthalamic nucleus (STN) are deprived of dopamine and become
increasingly responsive to motor cortical alpha and beta frequency oscillations.
These oscillations are synchronised and amplified through the basal ganglia
thalamocortical loop and entrained into the cerebello-thalamocortical loop via
the cZI. On receiving potent gamma-aminobutyric acid (GABA)-ergic alpha and beta
frequency oscillations in cZI afferents, ventrolateral (VL) thalamocortical
neurons become hyperpolarised and rebound burst fire, generating 4-6 Hz tremor
oscillations. We test this hypothesis by stimulating the cZI at alpha and beta
frequencies using deep brain stimulation (DBS) in non-tremulous parkinsonian
patients to see whether a 4-6 Hz tremor can be induced. METHOD: This study
included 11 patients with non-tremulous Parkinson's disease (PD), who had DBS
leads implanted in a range of targets, including the cZI, STN, VL nucleus, globus
pallidus internus (GPi), centromedian and parafascicular nucleus (CM/Pf), and the
pedunculopontine nucleus (PPN). All patients underwent stimulation of active
contacts within their respective targets at a standard pulse width, with
frequencies ranging from 5 to 80 Hz up to a maximum tolerated voltage. The
frequency of the tremor induced in the hands was recorded by accelerometry.
RESULT: Resting tremor in the 4-6 Hz range could be readily induced following
stimulation of the cZI and the VL nucleus between 5 and 40 Hz. Tremor was also
seen following STN stimulation; however, this was only at high stimulation
voltages (>5 volts). No tremor could be induced following CM/Pf, PPN or GPi
stimulation. CONCLUSION: We discuss the implications of these findings and argue
that resting tremor in PD is generated in the cortico-ZI-VL-thalamocortical loop
rather than in the cortico-basal-ganglia-thalamocortical loop.
PMID- 18037631
TI - Breaking butterflies on a wheel.
PMID- 18037643
TI - Effects of neuromuscular electrical stimulation of the knee extensor muscles on
muscle soreness and different serum parameters in young male athletes:
preliminary data.
AB - AIM: To evaluate the effects of neuromuscular electrical stimulation (NMES) on
muscle soreness and on a variety of serum parameters during and after NMES of
knee extensor muscles of young, well trained subjects over a study period of 96
h. METHODS: Five male cyclists were included in this clinical observation. NMES
(biphasic, asymmetric impulses) was applied through surface electrodes to both
knee extensor muscles of each subject for 30 min. To determine changes in serum
concentration of muscle proteins, blood samples were drawn at defined measure
points before and after NMES. Muscle soreness was evaluated using a visual
analogue scale at all measure points. RESULTS: There was a maximum (p<0.05) for
"muscle pain" during stimulation but no significant changes could be detected
after the stimulation period. Serum creatine kinase showed a peak with a
significant increase (p<0.05) 24 h after NMES. Serum lactate levels only
increased slightly (p = 0.08) during NMES. CONCLUSIONS: Although the changes of
blood parameters measured in the present work correspond to those reported in the
literature on eccentric strength training, no delayed onset muscle pain could be
detected. Further studies should be carried out, also investigating different
stimulation protocols in non-trained healthy subjects and in patients with less
muscle mass.
PMID- 18037632
TI - Physiological responses to rock climbing in young climbers.
AB - Key questions regarding the training and physiological qualities required to
produce an elite rock climber remain inadequately defined. Little research has
been done on young climbers. The aim of this paper was to review literature on
climbing alongside relevant literature characterising physiological adaptations
in young athletes. Evidence-based recommendations were sought to inform the
training of young climbers. Of 200 studies on climbing, 50 were selected as being
appropriate to this review, and were interpreted alongside physiological studies
highlighting specific common development growth variables in young climbers.
Based on injury data, climbers younger than 16 years should not participate in
international bouldering competitions and intensive finger strength training is
not recommended. The majority of climbing foot injuries result from wearing too
small or unnaturally shaped climbing shoes. Isometric and explosive strength
improvements are strongly associated with the latter stages of sexual maturation
and specific ontogenetic development, while improvement in motor abilities
declines. Somatotyping that might identify common physical attributes in elite
climbers of any age is incomplete. Accomplished adolescent climbers can now climb
identical grades and compete against elite adult climbers aged up to and >40
years. High-intensity sports training requiring leanness in a youngster can
result in altered and delayed pubertal and skeletal development, metabolic and
neuroendocrine aberrations and trigger eating disorders. This should be
sensitively and regularly monitored. Training should reflect efficacious
exercises for a given sex and biological age.
PMID- 18037646
TI - Dentin matrix protein 1 (DMP1): new and important roles for biomineralization and
phosphate homeostasis.
AB - Previously, non-collagenous matrix proteins, such as DMP1, were viewed with
little biological interest. The last decade of research has increased our
understanding of DMP1, as it is now widely recognized that this protein is
expressed in non-mineralized tissues, as well as in cancerous lesions. Protein
chemistry studies have shown that the full length of DMP1, as a precursor, is
cleaved into two distinct forms: the C-terminal and N-terminal fragments.
Functional studies have demonstrated that DMP1 is essential in the maturation of
odontoblasts and osteoblasts, as well as in mineralization via local and systemic
mechanisms. The identification of DMP1 mutations in humans has led to the
discovery of a novel disease: autosomal-recessive hypophosphatemic rickets.
Furthermore, the regulation of phosphate homeostasis by DMP1 through FGF23, a
newly identified hormone that is released from bone and targeted in the kidneys,
sets a new direction for research that associates biomineralization with
phosphate regulation.
PMID- 18037647
TI - Efficacy of antibiotic prophylactic regimens for the prevention of bacterial
endocarditis of oral origin.
AB - Despite the controversy about the risk of individuals developing bacterial
endocarditis of oral origin, numerous Expert Committees in different countries
continue to publish prophylactic regimens for the prevention of bacterial
endocarditis secondary to dental procedures. In this paper, we analyze the
efficacy of antibiotic prophylaxis in the prevention of bacteremia following
dental manipulations and in the prevention of bacterial endocarditis (in both
animal models and human studies). Antibiotic prophylaxis guidelines remain
consensus-based, and there is scientific evidence of the efficacy of amoxicillin
in the prevention of bacteremia following dental procedures, although the results
reported do not confirm the efficacy of other recommended antibiotics. The
majority of studies on experimental models of bacterial endocarditis have
verified the efficacy of antibiotics administered after the induction of
bacteremia, confirming the efficacy of antibiotic prophylaxis in later stages in
the development of bacterial endocarditis. There is no scientific evidence that
prophylaxis with penicillin is effective in reducing bacterial endocarditis
secondary to dental procedures in patients considered to be "at risk". It has
been suggested that there is a high risk of severe allergic reactions secondary
to prophylactically administered penicillins, but, in reality, very few cases
have been reported in the literature. It has been demonstrated that antibiotic
prophylaxis could contribute to the development of bacterial resistance, but only
after the administration of several consecutive doses. Future research on
bacterial endocarditis prophylactic protocols should involve the re-evaluation of
the time and route of administration of antibiotic prophylaxis, and a search for
alternative antimicrobials.
PMID- 18037648
TI - Strong genetic control of emergence of human primary incisors.
AB - Our understanding of tooth eruption in humans remains incomplete. We hypothesized
that genetic factors contribute significantly to phenotypic variation in the
emergence of primary incisors. We applied model-fitting to data from Australian
twins to quantify contributions of genetic and environmental factors to variation
in timing of the emergence of human primary incisors. There were no significant
differences in incisor emergence times between zygosity groups or sexes.
Emergence times of maxillary central incisors and mandibular lateral incisors
were less variable than those of maxillary lateral incisors and mandibular
central incisors. Maxillary lateral incisors displayed significant directional
asymmetry, the left side emerging earlier than the right. Variation in timing of
the emergence of the primary incisors was under strong genetic control, with a
small but significant contribution from the external environment. Estimates of
narrow-sense heritability ranged from 82 to 94% in males and 71 to 96% in
females.
PMID- 18037649
TI - Does psychological stress mediate social deprivation in tooth loss?
AB - It is unclear which theoretical dimension of psychological stress affects health
status. We hypothesized that both distress and coping mediate the relationship
between socio-economic position and tooth loss. Cross-sectional data from 2915
middle-aged adults evaluated retention of < 20 teeth, behaviors, psychological
stress, and sociodemographic characteristics. Principal components analysis of
the Perceived Stress Scale (PSS) extracted 'distress' (a = 0.85) and 'coping' (a
=0.83) factors, consistent with theory. Hierarchical entry of explanatory
variables into age- and sex-adjusted logistic regression models estimated odds
ratios (OR) and 95% confidence intervals [95% CI] for retention of < 20 teeth.
Analysis of the separate contributions of distress and coping revealed a
significant main effect of coping (OR = 0.7 [95% CI = 0.7-0.8]), but no effect
for distress (OR = 1.0 [95% CI = 0.9-1.1]) or for the interaction of coping and
distress. Behavior and psychological stress only modestly attenuated socio
economic inequality in retention of < 20 teeth, providing evidence to support a
mediating role of coping.
PMID- 18037650
TI - Numbers of natural teeth, diet, and nutritional status in US adults.
AB - Evidence that dental status affects diet is equivocal. The hypothesis of this
study was that diet was affected by dental status. The objective was to assess
the relationship between numbers of teeth and diet and nutritional status in US
adult civilians without prostheses. We examined 6985 NHANES (1988-1994)
participants. Data included socio-economics, demographics, dental status, and
diet and nutritional status. Dietary data were obtained from food frequency
questionnaires and 24-hour dietary recall. Serum levels of beta carotene, folate,
and vitamin C were measured with isocratic high-performance liquid
chromatography. The population was classified by numbers of teeth. Covariance and
Satterthwaite F-adjusted statistical comparisons were made between tooth
groupings and the fully dentate population. Multilinear regression models
adjusted for covariates. People with fewer than 28 teeth had significantly lower
intakes of carrots, tossed salads, and dietary fiber than did fully dentate
people, and lower serum levels for beta carotene, folate, and vitamin C. Dental
status significantly affects diet and nutrition.
PMID- 18037651
TI - Acidic proline-rich protein Db and caries in young children.
AB - Polymorphic, acidic proline-rich proteins (PRPs) in saliva influence the
attachment of bacteria associated with caries. Our aims were to detect one of
three acidic PRP alleles of the PRH1 locus (Db) using polymerase chain-reaction
(PCR) on genomic DNA, and to determine its association with caries. DNA was
obtained from buccal swabs from Caucasian and African-American children, and
their caries experience was recorded. PCR primers designed around exon 3 of the
PRH1 locus gave a 416-base product representing Db and a 353-base product
representing the other two alleles (Pa or Pif). In Caucasians, Db gene frequency
was 14%, similar to Db protein from parotid saliva. In African-Americans,
however, it was 37%, 18% lower than Db from parotid saliva (reported previously).
Compared with African-Americans, all Caucasians had significantly greater
Streptococcus mutans colonization, but only Db-negative Caucasians had
significantly more caries. Alleles linked to Db may explain racial differences in
caries experience.
PMID- 18037652
TI - Predictors of obstructive sleep apnea-hypopnea treatment outcome.
AB - Oral appliance therapy is an alternative to continuous positive airway pressure
(CPAP) for treating the obstructive sleep apnea-hypopnea syndrome. However, the
ability to pre-select suitable candidates for either treatment is limited. The
aim of this study was to assess the value of relevant variables that can predict
the outcome of oral appliance and CPAP therapy. Fifty-one patients treated with
oral appliance therapy and 52 patients treated with CPAP were included. Relevant
clinical, polysomnographic, and cephalometric variables were determined at
baseline. The predictive value of variables for treatment outcome was evaluated
in univariate and multivariate analyses. The outcome of oral appliance therapy
was favorable, especially in less obese patients with milder sleep apnea and with
certain craniofacial characteristics (mandibular retrognathism in particular).
Neither univariate nor multivariate analyses yielded variables that reliably
predicted the outcome of CPAP. We conclude that the variables found in this study
are valuable for pre-selecting suitable candidates for oral-appliance therapy.
PMID- 18037653
TI - Hypersensitivity to mechanical and intra-articular electrical stimuli in persons
with painful temporomandibular joints.
AB - This study tested whether persons with TMJ arthralgia have a modality-specific
and site-specific hypersensitivity to somatosensory stimuli assessed by
quantitative sensory tests (QST). Forty-three healthy persons and 20 with TMJ
arthralgia participated. The QST consisted of: sensory and pain detection
thresholds and summation threshold to intra-articular electrical stimulation,
tactile and pin-prick sensitivity in the TMJ area, pressure-pain threshold and
tolerance on the lateral side of the TMJ and on the finger. Persons with TMJ
arthralgia had lower pain detection and summation thresholds (P < 0.001), higher
ratings of tactile and pin-prick stimuli (P < 0.05), and markedly lower pressure
thresholds on the TMJ and finger (P <0.001) than did healthy individuals.
Correlation analysis revealed associations between several QST and clinical pain
measures. This study provides new evidence of sensitization of the TMJs as well
as central nociceptive pathways. QST may facilitate a mechanism-based
classification of temporomandibular disorders.
PMID- 18037654
TI - Effects of direct and indirect bleach on dentin fracture toughness.
AB - There are concerns that tooth-whitening procedures irreversibly damage tooth
structure. We investigated the hypothesis that dental bleaches significantly
affect dentin structural integrity. The objective was to evaluate the effects of
peroxide bleaches on dentin fracture toughness. Compact test specimens, composed
of human dentin, were used (n = 10/group). Bleach (16% or 10% carbamide peroxide
or 3% hydrogen peroxide) or control material, containing 0.1% sodium fluoride,
was applied directly or indirectly to dentin through enamel (6 hrs/day) for 2 or
8 weeks. Fracture toughness results were analyzed by ANOVA and Fisher's LSD test
(p < 0.05). There were significant decreases in mean fracture toughness after two
and eight-week direct (19-34% and 61-68%, respectively) and indirect (up to 17%
and 37%, respectively) bleach application. The in vitro reduction in dentin
fracture toughness caused by the application of peroxide bleaches was greater for
the direct application method, longer application time, and higher bleach
concentration.
PMID- 18037655
TI - Consequences of viscoelastic behavior in the human temporomandibular joint disc.
AB - The consequences of the viscoelastic behavior of the temporomandibular joint disc
were analyzed in simulated jaw open-close cycles. It was hypothesized that
viscoelasticity helps protect the underlying bone, while augmenting the
smoothness of articular movements. Simulations were performed with a dynamic
model of the masticatory system, incorporating the joints' cartilaginous
structures as Finite Element Models. A non-linear viscoelastic material model was
applied for the disc. The apparent stiffness of the disc to principal stress was
largest when the jaw was closed, whereas, with the Von Mises' stress, it appeared
largest when the jaw was open. The apparent stiffnesses appeared to be dependent
on both the speed of the movements and the presence of a resistance between the
teeth. It was concluded that the disc becomes stiffer when load concentrations
can be expected. During continued cyclic motion, it softens, which favors
smoothness of joint movement at the cost of damage prevention.
PMID- 18037656
TI - A genome segment on mouse chromosome 12 determines maxillary growth.
AB - The primary and modifier genes that regulate normal maxillofacial development are
unknown. Previous quantitative trait locus (QTL) analyses using the F2 progeny of
2 mouse strains, DBA/2J (short snout/wide face) and C57BL/6J (long snout/narrow
face), revealed a significant logarithm-of-odds (LOD) score for snout length on
mouse chromosome 12 at 44 centimorgan (cM). We further sought to validate this
locus contributing to anterior-posterior dimensions of the upper mid-face at the
D12Mit7 marker in a 44-centimorgan portion of chromosome 12. Congenic mice
carrying introgressed DNA from DBA/2J on a C57BL/6J background were selected for
submental vertex cephalometric imaging. Results confirmed QTLs, determining that
short snout length (P < 0.05) and face width relative to snout length (P < 0.01)
were present in the 44-cM region of chromosome 12. We conclude that one or more
genes contributing to the shape of the maxillary complex are located near 44 cM
of mouse chromosome 12.
PMID- 18037657
TI - Healing cranial defects with AdRunx2-transduced marrow stromal cells.
AB - Marrow stromal cells (MSCs) include stem cells capable of forming all mesenchymal
tissues, including bone. However, before MSCs can be successfully used in
regeneration procedures, methods must be developed to stimulate their
differentiation selectively to osteoblasts. Runx2, a bone-specific transcription
factor, is known to stimulate osteoblast differentiation. In the present study,
we tested the hypothesis that Runx2 gene therapy can be used to heal a critical
sized defect in mouse calvaria. Runx2-engineered MSCs displayed enhanced
osteogenic potential and osteoblast-specific gene expression in vitro and in
vivo. Runx2-expressing cells also dramatically enhanced the healing of critical
sized calvarial defects and increased both bone volume fraction and bone mineral
density. These studies provide a novel route for enhancing osteogenesis that may
have future therapeutic applications for craniofacial bone regeneration.
PMID- 18037658
TI - Osteogenic gene expression by human periodontal ligament cells under cyclic
tension.
AB - The forces that orthodontic appliances apply to the teeth are transmitted through
the periodontal ligament (PDL) to the supporting alveolar bone, leading to the
deposition or resorption of bone, depending upon whether the tissues are exposed
to a tensile or compressive mechanical strain. To evaluate the osteogenic
potential of PDL cells, we applied a 12% uni-axial cyclic tensile strain to
cultured human PDL cells and analyzed the differential expression of 78 genes
implicated in osteoblast differentiation and bone metabolism by real-time RT-PCR
array technology. Sixteen genes showed statistically significant changes in
expression in response to alterations in their mechanical environment, including
cell adhesion molecules and collagen fiber types. Genes linked to the osteoblast
phenotype that were up-regulated included BMP2, BMP6, ALP, SOX9, MSX1, and VEGFA;
those down-regulated included BMP4 and EGF. This study has expanded our knowledge
of the transcriptional profile of PDL cells and identified several new
mechanoresponsive genes.
PMID- 18037659
TI - Caries-related bacteria and cytokines induce CXCL10 in dental pulp.
AB - Marked infiltration of inflammatory cells, such as activated T-cells, is observed
in the progression of pulpitis; however, little is known about the mechanism of
their recruitment into pulpal lesions. It has been recently demonstrated that CXC
chemokine ligand 10 (CXCL10) chemoattracts CXC chemokine receptor 3 (CXCR3)
positive activated T-cells. We therefore examined whether CXCL10 is involved in
the pathogenesis of pulpitis. CXCL10 mRNA expression levels in clinically
inflamed dental pulp were higher than those in healthy dental pulp.
Immunostaining results revealed that CXCL10 was detected in macrophages,
endothelial cells, and fibroblasts in inflamed dental pulp, and that CXCR3
expression was observed mainly on T-cells. Moreover, cultured dental pulp
fibroblasts produced CXCL10 after stimulation with live caries-related bacteria,
peptidoglycans, and pro-inflammatory cytokines. In contrast, heat-killed bacteria
did not induce CXCL10 secretion. These findings suggest that CXCL10-CXCR3 may
play an important role in the pulpal immune response to caries-related bacterial
invasion. ABBREVIATIONS: CXCL10, CXC chemokine ligand 10; CXCR3, CXC chemokine
receptor 3; IFN, interferon; FBS, fetal bovine serum; LTA, lipoteichoic acid;
PGN, peptidoglycan; IL, interleukin; TNF, tumor necrosis factor; PBS, phosphate
buffered saline; ELISA, enzyme-linked immunosorbent assay; CCL, C-C chemokine
ligand; TLR, Toll-like receptor; NOD, nucleotide oligomerization domain; HDPF,
human dental pulp fibroblasts.
PMID- 18037660
TI - Immunoassay with cytomegalovirus early antigens from gene products p52 and CM2
(UL44 and UL57) detects active infection in patients with chronic fatigue
syndrome.
AB - AIMS: To investigate whether the use of recombinant early antigens for detection
of antibodies to human cytomegalovirus (HCMV) gene products CM(2) (UL44, UL57)
and p52 (UL44) is specific in the diagnosis and differentiation of active HCMV
infection in a subset of patients with chronic fatigue syndrome (CFS), a
diagnosis which is often missed by the current ELISA assay that uses crude viral
lysate antigen. METHODS: At a single clinic from 1999 to 2001, a total of 4774
serological tests were performed in 1135 patients with patients using two
immunoassays, Copalis and ELISA. The Copalis immunoassay utilised HCMV early gene
products of UL44 and UL57 recombinant antigens for detection of HCMV IgM
antibody, and viral capsid antigen for detection of HCMV IgG antibody. The ELISA
immunoassay utilised viral crude lysate as antigen for detection of both HCMV IgG
and IgM. RESULTS: 517 patients (45.6%) were positive for HCMV IgG by both assays.
Of these, 12 (2.2%) were positive for HCMV(V) IgM serum antibody by HCMV ELISA
assay, and 61 (11.8%) were positive for IgM HCMV serum antibody by Copalis assay.
The Copalis assay that uses HCMV early recombinant gene products CM(2) (UL44,
UL57) and p52 (UL44) in comparison with ELISA was 98% specific. CONCLUSIONS:
Immunoassays that use early antigen recombinant HCMV CM(2) and p52 are five times
more sensitive than HCMV ELISA assay using viral lysate, and are specific in the
detection and differentiation of active HCMV infection in a subset of patients
with CFS.
PMID- 18037662
TI - Forkhead box A1 expression in breast cancer is associated with luminal subtype
and good prognosis.
AB - AIMS: Forkhead box A1 (FOXA1) is a forkhead family transcription factor expressed
in breast cancer cells. It is essential for optimal expression of approximately
50% of oestrogen receptor (ER)-related genes. This study explored the FOXA1
relationship with luminal and basal breast cancer subtypes, proliferation
markers, and survival in breast cancer patients who had received similar
treatment. METHODS: A tissue microarray comprising tumours from 245 invasive
breast cancer patients with 67 months of median follow-up was analysed for FOXA1
expression by immunohistochemistry. Interpretable FOXA1 expression, obtained in
184 patients, was analysed along with other variables such as tumour grade, size,
nodal status, ER, progesterone receptor, HER2/neu, proliferation and basal
markers. RESULTS: FOXA1 expression (score >3) was seen in 139 of 184 breast
cancers. It correlated positively with ERalpha (p<0.0001), progesterone receptor
(p<0.0001), and luminal subtype (p<0.0001); negatively with basal subtype
(p<0.0001), proliferation markers and high histological grade (p = 0.0327).
Univariate analysis showed nodal status, tumour grade, ER, progesterone receptor,
FOXA1, basal markers and p53 as significant predictors of overall survival.
Multivariate analysis showed that only nodal status (p = 0.0006) and ER (p =
0.0017) were significant predictors of OS. In luminal subtype patient subgroup,
FOXA1 expression was associated with better survival (p = 0.0284) on univariate
analysis. CONCLUSION: Based on this study in patients treated with surgery
followed by adjuvant anthracycline-based chemotherapy, FOXA1 expression is
associated with good prognosis. It correlates with luminal subtype breast cancer,
and could possibly serve as a clinical marker for luminal subtype A. Prognostic
ability of FOXA1 in these low-risk breast cancers may prove to be useful in
treatment decision making.
PMID- 18037661
TI - Bone marrow micrometastasis in breast cancer: review of detection methods,
prognostic impact and biological issues.
AB - Immunocytochemical detection of disseminated tumour cells in the bone marrow of
patients with primary breast cancer at surgery has been shown to be an
independent prognostic factor in single institutional studies and in a large
pooled analysis. However, bone marrow sampling and assessment of disseminated
tumour cells is not a routine procedure in the clinical management of patients
with breast cancer, but will certainly play a role in the near future for risk
stratification and monitoring of therapeutic efficacy. Accurate identification of
disseminated tumour cells in bone marrow must be based on standardised
methodologies and procedures. This review describes these methodologies and the
standardised morphological criteria used for disseminated tumour cell detection.
The prognostic value of circulating tumour cells detection in peripheral blood is
demonstrated in patients with metastatic disease but remains to be substantiated
at early stage. The significance of disseminated tumour cells in bone marrow and
in the blood for the prediction of response to therapy is briefly summarised.
Finally, this review addresses the main biological questions raised by
disseminated tumour cells, in particular understanding tumour dormancy and
identifying metastatic stem cells.
PMID- 18037663
TI - Multinucleate epithelial change in colorectal hyperplastic polyps: a review of 27
cases.
AB - AIM: To document the histological features of multinucleated epithelial giant
cells (MEGs) in colorectal hyperplastic polyps and determine a possible
aetiological agent. METHODS: Hyperplastic polyps were assessed for MEGs during
the routine reporting at a private laboratory and public hospital laboratory. The
histological features and clinical data were assessed, and immunohistochemical
stains were performed to assess for viral infection (cytomegalovirus (CMV) and
herpes simplex virus (HSV) 1 and 2) and to assist in the assessment of dysplasia
(Ki-67, beta-catenin and p53). Ultrastructural examination was performed in one
case. RESULTS: MEGs were identified in 27 polyps (24 patients). There was active
inflammation in the polyps in nearly all cases (n = 24) and most showed changes
in adjacent non-hyperplastic bowel mucosa such as focal basal cryptitis and
apoptosis of crypt epithelium (16 patients). Immunohistochemistry for CMV, HSV
and p53 was negative in all cases. The MEGs showed nuclear positivity for the
proliferative marker Ki-67 and membranous positivity for beta-catenin.
Ultrastructural studies failed to reveal viral particles. CONCLUSIONS: All the
polyps containing MEGs showed active inflammation and apoptosis, and in most
there was also focal inflammation and apoptosis in the adjacent mucosa.
Inflammation in conjunction with the increased epithelial proliferation
characteristics of hyperplastic polyps could be the mechanism for the MEG
formation. In this series, all the polyps were associated with sodium phosphate
bowel preparation (NaP) and the pro-inflammatory properties of NaP may be a
stimulus for the induction of giant cells.
PMID- 18037664
TI - Molecular techniques in cytopathology practice.
AB - In the last decade, new molecular techniques were introduced into pathology
laboratories. Cytology also benefited from the innovations emerging from this new
era. Molecular cytopathology (MCP) can be defined as molecular studies applied on
all types of cytological specimens, namely gynaecology cytology, exfoliative non-
gynaecology cytology and fine needle aspirates. The development of many new
ancillary techniques has paralleled the emergence of clinical cytology as a major
diagnostic specialty. Clinical applications of these techniques have been growing
in the last decade. The widespread acceptance of liquid-based systems in
gynaecological cytology emphasises the relation between cells and molecules. The
increased use of morphology and molecular biology in human papillomavirus-induced
lesions for example, showed the potential to optimise, in one single brushed
sample, diagnosis and research. Cytology samples from serous effusions, the
pulmonary tree, urine, and aspirations, among others, are now likely to be
studied by different molecular techniques for diagnosis, prognosis, or even
assessment of therapeutic targets. In this review, the main published results
concerning the application of molecular techniques in different fields of
cytopathology are highlighted, and their applications discussed.
PMID- 18037665
TI - Immunohistochemical markers as predictive tools for breast cancer.
AB - Breast cancer is the predominant malignancy where oncologists use predictive
markers clinically to select treatment options, with steroid receptors having
been used for many years. Immunohistochemistry has taken over as the major assay
method used for assessing markers. Despite its extensive use there are still
issues around tissue fixation, methodology, interpretation and quantification.
Although many markers have been evaluated, the oestrogen receptor remains the
most reliable and best example of a predictor of treatment response. It is of
major importance clinically that those undertaking interpretation of predictive
markers understand the technical pitfalls and are aware of how expression of a
particular marker relates to breast cancer pathology. A false negative or a false
positive result will impact on patient management.
PMID- 18037666
TI - Computing competition for light in the GREENLAB model of plant growth: a
contribution to the study of the effects of density on resource acquisition and
architectural development.
AB - BACKGROUND AND AIMS: The dynamical system of plant growth GREENLAB was originally
developed for individual plants, without explicitly taking into account
interplant competition for light. Inspired by the competition models developed in
the context of forest science for mono-specific stands, we propose to adapt the
method of crown projection onto the x-y plane to GREENLAB, in order to study the
effects of density on resource acquisition and on architectural development.
METHODS: The empirical production equation of GREENLAB is extrapolated to stands
by computing the exposed photosynthetic foliage area of each plant. The
computation is based on the combination of Poisson models of leaf distribution
for all the neighbouring plants whose crown projection surfaces overlap. To study
the effects of density on architectural development, we link the proposed
competition model to the model of interaction between functional growth and
structural development introduced by Mathieu (2006, PhD Thesis, Ecole Centrale de
Paris, France). KEY RESULTS AND CONCLUSIONS: The model is applied to mono
specific field crops and forest stands. For high-density crops at full cover, the
model is shown to be equivalent to the classical equation of field crop
production (Howell and Musick, 1985, in Les besoins en eau des cultures; Paris:
INRA Editions). However, our method is more accurate at the early stages of
growth (before cover) or in the case of intermediate densities. It may
potentially account for local effects, such as uneven spacing, variation in the
time of plant emergence or variation in seed biomass. The application of the
model to trees illustrates the expression of plant plasticity in response to
competition for light. Density strongly impacts on tree architectural development
through interactions with the source-sink balances during growth. The effects of
density on tree height and radial growth that are commonly observed in real
stands appear as emerging properties of the model.
PMID- 18037667
TI - Remifentanil target-controlled infusion vs propofol target-controlled infusion
for conscious sedation for awake fibreoptic intubation: a double-blinded
randomized controlled trial.
AB - BACKGROUND: Awake fibreoptic intubation (AFOI) is a technique used in patients
with difficult airways. This study compares the suitability of remifentanil
target-controlled infusion (TCI) to propofol TCI for conscious sedation during
AFOI in patients with bona fide difficult airways. METHODS: We recruited 24, ASA
I-III patients, who were undergoing sedation for elective AFOI. Patients were
randomized to one of the two groups, Group P (n=10) received propofol TCI and
Group R (n=14) received remifentanil TCI. Primary outcome measures were
conditions achieved at endoscopy, intubation, and post-intubation, which were
graded using scoring systems. Other parameters measured were the endoscopy time,
intubation time, and number of attempts at intubation. A postoperative interview
was conducted to determine recall of events and level of patient satisfaction.
RESULTS: Endoscopy scores (0-5) and intubation scores (0-5) were significantly
different [Group P 3 (1-4) vs Group R 1 (0-3) P<0.0001, Group P 3 (2-4) vs Group
R 1 (0-3) P<0.0001, respectively]; with much better conditions in Group R,
endoscopy times and intubation times were also significantly different, being
shorter in Group R (P<0.007 and P<0.023, respectively). Patient tolerance of the
procedure, judged by the discomfort scores (P<0.004) and the post-intubation
scores (P<0.08), was significantly better in Group R. The level of recall for
events was higher in Group R. However, there were no significant differences in
the patient satisfaction scores. CONCLUSIONS: Remifentanil TCI appears to provide
better conditions for AFOI when compared with propofol TCI. The disadvantage of
remifentanil in this setting may be a higher incidence of recall.
PMID- 18037668
TI - Should we use the rate-adaptive AV delay in cardiac resynchronization therapy
pacing?
AB - AIMS: Recommendations for programming the rate-adaptive AV delay in CRT. METHODS
AND RESULTS: In cases of continual biventricular pacing, the optimal AV delay in
CRT (AVD(opt)) is the net effect of the pacemaker-related interatrial conduction
time (IACT), duration of the left-atrial electromechanical action (LA-EAC(long)),
and the duration of the left-ventricular latency period (S(V)-EAC(short)). It can
be calculated by AVD(opt) = IACT+LA-EAC(long)-S(V)-EAC(short). We measured these
three components in 20 CRT-ICD patients during rest and submaximal ergo metric
exercise (71 +/- 9 W) resulting in a 22.5 +/- 9.6 bpm rate increase. IACT and
S(V)-EAC(short) did not reveal significant differences. LA-EAC(long), however,
varied significantly by -10.7 +/- 16.1 ms (P = 0.008) during exercise. In
contrast to AVD(optVDD), there was a significant difference in AVD(optDDD) of
8.8 +/- 14.5 ms (P = 0.014) between the resting and submaximal exercise
conditions. In DDD pacing, AVD(opt) was shortened by 2.6 ms/10 bpm. CONCLUSION:
In consideration of the findings of the studies performed to date, the rate
adaptive AV delay should be deactivated.
PMID- 18037669
TI - Sperm aneuploidy and meiotic sex chromosome configurations in an infertile XYY
male.
AB - BACKGROUND: There is little information regarding the behaviour of the extra Y
chromosome during meiosis I in men with 47,XYY karyotypes and the segregation of
the sex chromosomes in sperm. We applied immunofluorescent and FISH techniques to
study the relationship between the sex chromosome configuration in meiotic germ
cells and the segregation pattern in sperm, both isolated from semen samples of a
47,XYY infertile man. METHODS: The sex chromosome configuration of pachytene germ
cells was determined by immunostaining pachytene nuclei for synaptonemal complex
protein 3 (SCP3) and SCP1. FISH was subsequently performed to identify the sex
chromosomes and chromosome 18 in pachytene cells. Dual- and triple-color FISH was
performed on sperm to analyse aneuploidy for chromosomes 13, 18, 21, X, and Y.
RESULTS: 46,XY/47,XYY mosaic pachytene cells were observed (22.2% vs. 77.8%,
respectively). The XYY trivalent, and X+YY configurations were most common. While
the majority of sperm were of normal chromosomal constitution, an increase in sex
and autosome disomy was observed. CONCLUSIONS: The level of germ cell moscaicism
and their meiotic sex chromosome configurations may determine sperm aneuploidy
rate and fertility status in 47,XYY men. Our approach of immunostaining meiotic
cells in the ejaculate is a novel method for investigating spermatogenesis in
infertile men.
PMID- 18037670
TI - Circadian distribution of sleep phases after major abdominal surgery.
AB - BACKGROUND: It is believed that the severely disturbed night-time sleep
architecture after surgery is associated with increased cardiovascular morbidity
with rebound of rapid eye movement (REM). The daytime sleep pattern of patients
after major general surgery has not been investigated before. We decided to study
the circadian distribution of sleep phases before and after surgery. METHODS:
Eleven patients undergoing elective major abdominal surgery were included in the
study. Continuous ambulatory polysomnographic monitoring was made 24 h before
surgery and 36 h after surgery, thus including two nights after operation. Sleep
was scored independently by two blinded observers and the recordings were
reported as awake, light sleep (LS, stages I and II), slow wave sleep (SWS,
stages III and IV), and REM sleep. RESULTS: There was significantly increased REM
sleep (P=0.046), LS (P=0.020), and reduced time awake (P=0.016) in the
postoperative daytime period compared with the preoperative daytime period. Five
patients had REM sleep during the daytime after surgery. Three of these patients
did not have REM sleep during the preceding postoperative night. There was
significantly reduced night-time REM sleep for two nights after surgery compared
with before surgery (P=0.001). CONCLUSIONS: Patients have significantly increased
REM sleep, LS, and reduced time awake during the daytime period after surgery
compared with before surgery. Disturbances in the circadian regulation of the
sleep-wake cycle may be involved in the development of postoperative sleep
disturbances.
PMID- 18037671
TI - Regional cerebral metabolic rate (positron emission tomography) during inhalation
of nitrous oxide 50% in humans.
AB - BACKGROUND: Recent studies in man have shown that cerebral blood flow increases
during inhalation of nitrous oxide (N2O), a finding which is believed to be a
result of an increased cerebral metabolic rate (CMR). However, this has not
previously been evaluated in man. METHODS: Regional CMR(glu) (rCMR(glu)) was
measured three dimensionally with positron emission tomography (PET) after
injection of 2-(18F)fluoro-2-deoxy-D-glucose in 10 spontaneously breathing men
(mean age 31 yr) inhaling either N2O 50% in O2 30% or O2 30% in N2. RESULTS:
Global CMR(glu) in young men was 27 (3) micromol 100 g(-1) min(-1) [mean (SD)].
Inhalation of N2O 50% did not change global CMR(glu) [30 (5) micromol 100 g(-1)
min(-1)] significantly, but it changed the distribution of the metabolism in the
brain (P<0.0001 analysis of variance). Compared with inhalation of O2 30% in N2,
N2O 50% inhalation increased the metabolism in the basal ganglia [14 (17)%,
P<0.05] and thalamus [22 (23) %, P<0.05]. There was a prolonged metabolic effect
of N2O inhalation seen on a succeeding PET scan with oxygen-enriched air
(P<0.0001) performed 1 h after the N2O administration. CONCLUSIONS: Inhalation of
N2O 50% did not change global CMR(glu), but the metabolism increased in central
brain structures, an effect that was still present 1 h after discontinuation of
N2O.
PMID- 18037672
TI - Postoperative beneficial effects of esmolol in treated hypertensive patients
undergoing laparoscopic cholecystectomy.
AB - BACKGROUND: In an attempt to decrease haemodynamic instability and early
postoperative complications such as nausea, vomiting, and pain, esmolol was added
to the routine alfentanil infusion of patients with treated hypertension
undergoing laparoscopic cholecystectomy. METHODS: Forty consecutive ASA class II
patients with controlled hypertension about to undergo laparoscopic
cholecystectomy were randomized into two groups: an esmolol group (Group E, n=20)
was given a 1 mg kg(-1) bolus of esmolol and a placebo group (Group P, n=20) was
given an identical volume of Ringer's lactate. The rate of esmolol infusion was
adjusted to keep the heart rate between 65 and 75 beats min(-1) and was 5-10
microg kg(-1) min(-1) throughout the procedure. After operation, patients
reported their nausea using a four-point scale. RESULTS: Esmolol had an opioid
sparing effect intraoperatively (P=0.001). Postoperative requirements for
antiemetics were significantly less in the esmolol group, with no antiemetics
given to eight patients. In the placebo group, however, all patients required at
least one dose of antiemetic (P=0.007). The frequency of PONV did not correlate
to the amounts of alfentanil, propofol, postoperative antiemetics consumed, or to
female gender, non-smoking status, and history of PONV or motion sickness.
Postoperative analgesic consumption in Group E was significantly lower than in
Group P (P=0.012). CONCLUSIONS: Esmolol had an opioid-sparing effect in the
intraoperative and immediate postoperative period in hypertensive patients
undergoing laparoscopy. When combined with alfentanil, it was more effective than
placebo in decreasing early PONV.
PMID- 18037673
TI - Three type-B response regulators, ARR1, ARR10 and ARR12, play essential but
redundant roles in cytokinin signal transduction throughout the life cycle of
Arabidopsis thaliana.
AB - Arabidopsis thaliana has 11 members belonging to the typical type-B ARR
(authentic response regulator) family. Among them, seven highly homologous
members appear also to be conserved in rice (Oryza sativa), but others are not.
It was suggested that these seven ARRs are commonly implicated as DNA-binding
transcription factors in the phosphorelay-mediated cytokinin signal transduction
network in higher plants. To gain an insight into the functions of the cytokinin
associated type-B ARRs, we previously investigated an arr1 arr10 arr12 triple
mutant and reported that it exhibited stunted growth and abnormality in vascular
development. Based on this fact, here we attempted to characterize the mutant
intensively with reference to cytokinin-associated phenotypes through the life
cycle. We showed that the observed cytokinin-associated phenotypes of arr1 arr10
arr12 were very severe and highly analogous to those observed for certain ahk2
ahk3 ahk4/cre1 triple mutants, which have virtually no cytokinin receptor to
propagate the phosphorelay signal transduction network. Among the seven ARR
members belonging to the cytokinin-associated type-B ARR subfamily, it was thus
suggested that ARR1, ARR10 and ARR12 together play essential (or general) roles
in cytokinin signal transduction. It is therefore conceivable that the other type
B ARRs (ARR2, ARR11, ARR14 and ARR18) might play more specific roles spatially
and temporally in plants.
PMID- 18037674
TI - Analysis of GDP-D-mannose pyrophosphorylase gene promoter from acerola (Malpighia
glabra) and increase in ascorbate content of transgenic tobacco expressing the
acerola gene.
AB - GDP-D-mannose pyrophosphorylase (GMP) is an important enzyme in the Smirnoff
Wheeler's pathway for the biosynthesis of ascorbic acid (AsA) in plants. We have
reported recently that the expression of the acerola (Malpighia glabra) GMP gene,
designated MgGMP, correlates with the AsA content of the plant. The acerola plant
has very high levels of AsA relative to better studied model plants such as
Arabidopsis. Here we found that the GMP mRNA levels in acerola are higher than
those from Arabidopsis and tomato. Also, the transient expression of the uidA
reporter gene in the protoplasts of Nicotiana tabacum cultures showed the MgGMP
gene promoter to have higher activity than the cauliflower mosaic virus 35S and
Arabidopsis GMP promoters. The AsA content of transgenic tobacco plants
expressing the MgGMP gene including its promoter was about 2-fold higher than
that of the wild type.
PMID- 18037675
TI - How should we use information about HWE in the meta-analyses of genetic
association studies?
AB - BACKGROUND: It is often recommended that control groups in meta-analyses of
genetic association studies are checked for Hardy-Weinberg equilibrium (HWE) as a
surrogate for assessing study quality. However, tests for HWE have low power and
there is currently no consensus about how to handle studies that deviate
significantly from HWE. METHODS: We identified 72 papers describing 114 meta
analyses of 1603 primary gene-disease comparisons. Based on these studies and on
related simulations, we evaluated four different strategies for handling studies
that appear not to be in HWE: (i) include them in the meta-analysis; (ii) exclude
them if the test for HWE results in P < 0.05; (iii) exclude them if a measure of
the size of departure from HWE is large and (iv) exclude them if (ii) and (iii).
RESULTS: Of the 72 papers, 26 did not report information on HWE, with a trend
toward increased reporting with time. HWE was evaluated through testing, with
only three papers assessing the size of departure. On re-analysis, 9% of the 1603
primary comparisons showed significant deviation from HWE. The chance of an
extreme departure from HWE was inversely related to the sample size of the study.
Simulations suggest that there is no advantage in excluding studies that appear
not to be in HWE. CONCLUSIONS: Meta-analyses should report both the magnitude and
the statistical significance of departures from HWE. Studies that appear to
deviate from HWE should be investigated further for weaknesses in their design,
but these studies should not be excluded unless there are other grounds for
doubting the quality of the study.
PMID- 18037676
TI - Review of the effect of measles vaccination on the epidemiology of SSPE.
AB - BACKGROUND: When measles vaccines were widely introduced in the 1970s, there were
concerns that they might cause subacute sclerosing panencephalitis (SSPE): a very
rare, late-onset, neurological complication of natural measles infection.
Therefore, SSPE registries and routine measles immunization were established in
many countries concurrently. We conducted a comprehensive review of the impact of
measles immunization on the epidemiology of SSPE and examined epidemiological
evidence on whether there was any vaccine-associated risk. METHODS: Published
epidemiological data on SSPE, national SSPE incidence, measles incidence and
vaccine coverage, reports of SSPE in pregnancy or shortly post partum were
reviewed. Potential adverse relationships between measles vaccines and SSPE were
examined using available data. RESULTS: Epidemiological data showed that
successful measles immunization programmes protect against SSPE and, consistent
with virological data, that measles vaccine virus does not cause SSPE. Measles
vaccine does not: accelerate the course of SSPE; trigger SSPE or cause SSPE in
those with an established benign persistent wild measles infection. Evidence
points to wild virus causing SSPE in cases which have been immunized and have had
no known natural measles infection. Perinatal measles infection may result in
SSPE with a short onset latency and fulminant course. Such cases are very rare.
SSPE during pregnancy appears to be fulminant. Infants born to mothers with SSPE
have not been subsequently diagnosed with SSPE themselves. CONCLUSIONS:
Successful measles vaccination programmes directly and indirectly protect the
population against SSPE and have the potential to eliminate SSPE through the
elimination of measles. Epidemiological and virological data suggest that measles
vaccine does not cause SSPE.
PMID- 18037677
TI - Leaf scorch symptoms are not correlated with bacterial populations during
Pierce's disease.
AB - Xylella fastidiosa (Xf) is a xylem-limited bacterium that lives as a harmless
endophyte in most plant species but is pathogenic in several agriculturally
important crops such as coffee, citrus, and grapevine (Vitis vinifera L.). In
susceptible cultivars of grapevine, Xf infection results in leaf scorch,
premature leaf senescence, and eventually vine death; a suite of symptoms
collectively referred to as Pierce's disease. A qPCR assay was developed to
determine bacterial concentrations in planta and these concentrations were
related to the development of leaf-scorch symptoms. The concentration of Xf in
leaves of experimental grapevines grown in the greenhouse was similar to the
concentration of Xf in leaves of naturally infected plants in the field. The
distribution of Xf was patchy within and among leaves. Some whole leaves
exhibited severe leaf-scorch symptoms in the absence of high concentrations of
Xf. Despite a highly sensitive assay and a range of Xf concentrations from 10(2)
to 10(9) cells g(-1) fresh weight, no clear relationship between bacterial
population and symptom development during Pierce's disease was revealed. Thus,
high and localized concentrations of Xf are not necessary for the formation of
leaf-scorch symptoms. The results are interpreted as being consistent with an
atiology that involves a systemic plant response.
PMID- 18037678
TI - Genetic and molecular regulation of fruit and plant domestication traits in
tomato and pepper.
AB - Tomato and pepper are two Solanaceous fruit crops that display an enormous
diversity in fruit morphology. In this review, we will present an overview of the
history of tomato and pepper and discuss key plant traits that were specifically
selected during domestication of the two species. The traits discussed are fruit
weight, shape, colour, ripening, pungency and plant architecture. We will review
these characteristics as well as the genetic loci or genes that control these
features, questioning whether mutations at orthologous loci occurred
independently in these two species or whether unique plant and fruit features
resulted in selection at different genes.
PMID- 18037679
TI - Vascular flows and transpiration affect peach (Prunus persica Batsch.) fruit
daily growth.
AB - The relative contributions of xylem, phloem, and transpiration to fruit growth
and the daily patterns of their flows have been determined in peach, during the
two stages of rapid diameter increase, by precise and continuous monitoring of
fruit diameter variations. Xylem, phloem, and transpiration contributions to
growth were quantified by comparing the diurnal patterns of diameter change of
fruits, which were then girdled and subsequently detached. Xylem supports peach
growth by 70%, and phloem 30%, while transpiration accounts for approximately 60%
of daily total inflows. These figures and their diurnal patterns were comparable
among years, stages, and cultivars. Xylem was functional at both stage I and III,
while fruit transpiration was high and strictly dependent on environmental
conditions, causing periods of fruit shrinkage. Phloem imports were correlated to
fruit shrinkage and appear to facilitate subsequent fruit enlargement. Peach
displays a growth mechanism which can be explained on the basis of passive
unloading of photoassimilates from the phloem. A pivotal role is played by the
large amount of water flowing from the tree to the fruit and from the fruit to
the atmosphere.
PMID- 18037680
TI - Evidence for the presence of photorespiration in desiccation-sensitive leaves of
the C4 'resurrection' plant Sporobolus stapfianus during dehydration stress.
AB - The possible role of photorespiration as a general stress protection mechanism,
and in C(4) plant metabolism, is controversial. In particular, the potential
involvement of photorespiration in the acquisition of desiccation tolerance in
'resurrection' plants is unknown. An investigation was carried out into whether
photorespiration is present in leaves of the C(4) resurrection plant Sporobolus
stapfianus Gandoger (Poaceae) and whether it functions as a mechanism of stress
resistance in the desiccation-tolerant younger leaves (YL) of this plant. It is
shown that the enzymes involved in the photorespiratory pathway maintain their
activity until 88% relative water content (RWC) in both YL and desiccation
sensitive older leaves (OL). In subsequent stages of dehydration stress, the
enzymatic activity declined similarly in both YL and OL. The content of the
phorespiratory metabolite, serine, and ethanolamine, a direct product of serine
decarboxylation, is higher in the early stages of dehydration (88% RWC) in OL,
suggesting a transiently enhanced photorespiratory activity in these leaves. This
was confirmed by simultaneous gas exchange and fluorescence measurements, showing
suppression of the electron transport rate in OL exposed to non-photorespiratory
conditions (2% O(2)) at 85% RWC. It is concluded that a higher photorespiratory
electron transport occurs in desiccation-sensitive OL, and it is therefore
proposed that the capacity to scavenge excess electrons through photorespiration
does not contribute to protect leaves of the desiccation-tolerant YL of S.
stapfianus during the stress.
PMID- 18037681
TI - Tonsillar and lymph node tuberculosis revealing asymptomatic pulmonary
tuberculosis.
PMID- 18037682
TI - Probabilistic path ranking based on adjacent pairwise coexpression for metabolic
transcripts analysis.
AB - MOTIVATION: Pathway knowledge in public databases enables us to examine how
individual metabolites are connected via chemical reactions and what genes are
implicated in those processes. For two given (sets of) compounds, the number of
possible paths between them in a metabolic network can be intractably large. It
would be informative to rank these paths in order to differentiate between them.
RESULTS: Focusing on adjacent pairwise coexpression, we developed an algorithm
which, for a specified k, efficiently outputs the top k paths based on a
probabilistic scoring mechanism, using a given metabolic network and microarray
datasets. Our idea of using adjacent pairwise coexpression is supported by recent
studies that local coregulation is predominant in metabolism. We first evaluated
this idea by examining to what extent highly correlated gene pairs are adjacent
and how often they are consecutive in a metabolic network. We then applied our
algorithm to two examples of path ranking: the paths from glucose to pyruvate in
the entire metabolic network of yeast and the paths from phenylalanine to sinapyl
alcohol in monolignols pathways of arabidopsis under several different microarray
conditions, to confirm and discuss the performance analysis of our method.
PMID- 18037683
TI - Identification of phylogenetically conserved microRNA cis-regulatory elements
across 12 Drosophila species.
AB - MOTIVATION: MicroRNAs are a class of endogenous small RNAs that play regulatory
roles. Intergenic miRNAs are believed to be transcribed independently, but the
transcriptional control of these crucial regulators is still poorly understood.
RESULTS: In this work, phylogenetic footprinting is used to identify conserved
cis-regulatory elements (CCEs) surrounding intergenic miRNAs in Drosophila. With
a two-step strategy that takes advantage of both alignment-based and motif-based
methods, we identified CCEs that are conserved across the 12 fly species. When
compared with TRANSFAC database, these CCEs are significantly enriched in known
transcription factor binding sites (TFBSs). Moreover, several TFs that play
essential roles in Drosophila development (e.g. Adf-1, Abd-B, Sd, Prd, Ubx, Zen
and En) are found to be preferentially regulating the miRNA genes. Further
analysis revealed many over-represented cis-regulatory modules (CRMs) composed of
multiple known TFBSs, motif pairs with significant distance constraints and a
number of novel motifs, many of which preferentially occur near the transcription
start site of protein-coding genes. Additionally, a number of putative miRNA-TF
regulatory feedback loops were also detected. AVAILABILITY: Supplementary
Material and the Perl scripts performing two-step phylogenetic footprinting are
available at http://bioinfo.au.tsinghua.edu.cn/member/xwwang/mircisreg
PMID- 18037684
TI - RReportGenerator: automatic reports from routine statistical analysis using R.
AB - With the establishment of high-throughput (HT) screening methods there is an
increasing need for automatic analysis methods. Here we present RReportGenerator,
a user-friendly portal for automatic routine analysis using the statistical
platform R and Bioconductor. RReportGenerator is designed to analyze data using
predefined analysis scenarios via a graphical user interface (GUI). A report in
pdf format combining text, figures and tables is automatically generated and
results may be exported. To demonstrate suitable analysis tasks we provide direct
web access to a collection of analysis scenarios for summarizing data from
transfected cell arrays (TCA), segmentation of CGH data, and microarray quality
control and normalization. AVAILABILITY: RReportGenerator, a user manual and a
collection of analysis scenarios are available under a GNU public license on
http://www-bio3d-igbmc.u-strasbg.fr/~wraff
PMID- 18037685
TI - OEDIPE: a new graphical user interface for fast construction of numerical
phantoms and MCNP calculations.
AB - Although great efforts have been made to improve the physical phantoms used to
calibrate in vivo measurement systems, these phantoms represent a single average
counting geometry and usually contain a uniform distribution of the radionuclide
over the tissue substitute. As a matter of fact, significant corrections must be
made to phantom-based calibration factors in order to obtain absolute calibration
efficiencies applicable to a given individual. The importance of these
corrections is particularly crucial when considering in vivo measurements of low
energy photons emitted by radionuclides deposited in the lung such as actinides.
Thus, it was desirable to develop a method for calibrating in vivo measurement
systems that is more sensitive to these types of variability. Previous works have
demonstrated the possibility of such a calibration using the Monte Carlo
technique. Our research programme extended such investigations to the
reconstruction of numerical anthropomorphic phantoms based on personal
physiological data obtained by computed tomography. New procedures based on a new
graphical user interface (GUI) for development of computational phantoms for
Monte Carlo calculations and data analysis are being developed to take advantage
of recent progress in image-processing codes. This paper presents the principal
features of this new GUI. Results of calculations and comparison with
experimental data are also presented and discussed in this work.
PMID- 18037686
TI - Tumor volume and uterine body invasion assessed by MRI for prediction of outcome
in cervical carcinoma treated with concurrent chemotherapy and radiotherapy.
AB - OBJECTIVE: The aim of this study was to evaluate the prognostic significance of
primary tumor volume and uterine body invasion assessed by pre-treatment MRI for
uterine cervical cancer patient treated with concurrent chemotherapy and
radiotherapy. METHODS: A retrospective analysis of 106 patients with IB-IIIB
cervical carcinoma was performed. Potential prognostic factors were stage,
clinical tumor diameter, histology, age, pelvic lymph node, vaginal extension,
parametrial invasion, tumor volume and uterine body invasion status. Multivariate
analyses were performed to identify the prognostic factor for overall survival
(OS) and disease-free survival (DFS). RESULTS: The 5-year OS, DFS rate were 59.7
and 56.6%. Using multivariate analyses, a large tumor volume (>/=30 ml; P =
0.012) and uterine body invasion (P = 0.020) and positive pelvic lymph node (LN)
enlargement (P = 0.040) showed a significantly unfavorable influence on OS. Using
these three factors, patients were divided into four subgroups: the OS rates of
patients with risk 0 (volume <30 ml, no uterine body invasion, and negative LN),
risk 1 (one of these three factors), risk 2 (two of these three factors) and risk
3 (volume >/=30 ml, uterine body invasion, and positive LN) were 96.3, 77.5, 53.0
and 14.8%, respectively (P < 0.0001). CONCLUSIONS: Tumor volume and uterine body
invasion determined by MRI were significant prognostic factors for patients with
cervical carcinoma. Pelvic lymph node enlargement diagnosed by CT also proved to
be a significant prognostic factor in OS. Using these three parameters, we
devised a practical and effective model to predict OS.
PMID- 18037688
TI - Inter-observer agreement of standard joint counts in early rheumatoid arthritis:
a comparison with grey scale ultrasonography--a preliminary study.
AB - OBJECTIVES: The aims of the present study were to assess the inter-observer
agreement of standard joint count and to compare clinical examination with grey
scale ultrasonography (US) findings in patients with early rheumatoid arthritis
(RA). METHODS: The study was conducted on 44 RA patients with a disease duration
of <2 yrs. Clinical evaluation was performed independently by two rheumatologists
for detection of tenderness in 44 joints and swelling in 42 joints. All patients
underwent US assessment by a rheumatologist experienced in this method and
blinded to the clinical findings. Joint inflammation was detected by US when
synovial fluid and/or synovial hypertrophy was identified using OMERACT
preliminary definitions. The inter-observer reliability was calculated by overall
agreement (percentage of observed exact agreement) and kappa (kappa)-statistics.
The reliability of US was calculated in 12 RA patients. RESULTS: There was fair
to moderate inter-observer agreement on individual joint counts for either
tenderness or joint swelling apart from the glenohumeral joint. US detected a
higher number of inflamed joints than did clinical examination. The mean (+/
S.D.) US joint count for joint inflammation was 19.1 (+/-4.1), while the mean (+/
S.D.) number of swollen joints was 12.6 (+/-3.6), with a significant difference
of P = 0.01. CONCLUSIONS: Our results provide evidence in favour of the
hypothesis that clinical examination is far from optimal for assessing joint
inflammation in patients with early RA. Furthermore, this study suggests that US
can considerably improve the detection of signs of joint inflammation both in
terms of sensitivity and reliability.
PMID- 18037687
TI - Functional MRI in NPSLE patients reveals increased parietal and frontal brain
activation during a working memory task compared with controls.
AB - OBJECTIVES: Anatomical MRI brain scans may not reflect neurological dysfunction
in patients with NPSLE. We used blood-oxygen-level-dependent functional MRI (BOLD
fMRI) to investigate working memory function in NPSLE patients. METHODS: Twenty
seven females took part: nine NPSLE patients (mean age 40 yrs; SLEDAI 10.9); nine
RA patients and nine healthy controls. Subjects were tested using the n-back
paradigm for working memory, where patients indicate when a stimulus matches one
presented n trials previously. Functional scans used 3 mm slices x 30, repetition
time 2570 ms, echo time 50 ms. Echo planar images were superimposed onto T1w
anatomical images (Siemens 1.5 T). Data analysis used Brain Voyager QX Version
1.7. RESULTS: During the memory task, there was activation in areas serving
working memory, executive function and attention in all groups. Nine regions of
interest were selected for activation during working memory (N-back task vs
fixation, P < or = 0.005). In six out of nine regions, there was greater
activation in the NPSLE group. This reached significance in three regions: the
posterior inferior parietal lobules of both hemispheres [Brodmann area (BA) 7]
separately and combined (P = 0.014, 0.016 and 0.004, respectively), and the
supplementary motor area (mid-line frontal lobe) (BA32/6; P = 0.032).
CONCLUSIONS: NPSLE patients showed greater frontoparietal activation than the
other groups during the memory task, suggesting a greater need to recruit extra
cortical pathways, possibly to supplement impaired function of standard pathways.
PMID- 18037689
TI - Remote ablation of accessory pathways.
PMID- 18037690
TI - Pilsicainide-induced Brugada-type ECG and ventricular arrhythmias originating
from the left posterior fascicle in a case with Brugada syndrome associated with
idiopathic left ventricular tachycardia.
AB - The patient was a 50-year-old male in 2002, who was first suspected of having a
Brugada-type electrocardiogram (ECG). A drug challenge test using pilsicainide
was performed and unmasked a typical coved type ST elevation followed by
ventricular arrhythmias (VAs) manifesting a QRS pattern with a right bundle
branch block and left axis deviation. Three years later, he was transferred to
the emergency room due to a wide QRS tachycardia with the same QRS morphology as
the VA that previously occurred in the drug challenge test. An ECG just after the
recorded termination of the tachycardia exhibited a typical Brugada-type ECG. In
an electrophysiological study, ventricular fibrillation could be easily induced
with reproducibility. Since the clinical tachycardia could not be sustained by an
isoproterenol infusion, mapping and catheter ablation targeting the pilsicainide
induced VAs was performed. The successful ablation site was the left mid-lower
septal wall where a Purkinje potential was recorded and a false tendon was
attached just to it.
PMID- 18037691
TI - Production of a recombinant Fab in Pichia pastoris from a Monocistronic
expression vector.
AB - Recombinant Fab is usually expressed using dicistronic vectors producing the
heavy and light chains separately. We developed an improved vector for Fab
fragment expression in Pichia pastoris, which allows a stoichiometric expression
of both chains based on a monocistronic arrangement. The protein is produced as a
unique polypeptide harbouring a KEX2 processing site between both chains. After
KEX cleavage, a correctly folded mature Fab is formed. The produced recombinant
protein is characterized as a heterodimeric functional Fab. The vector described
is a new tool for the proper expression of antibody fragments or any
heterodimeric polypeptides.
PMID- 18037692
TI - Changes in the glomerular filtration rate of 27 cats with hyperthyroidism after
treatment with radioactive iodine.
AB - Hyperthyroidism is a common endocrinopathy of older cats and is associated with
an increased glomerular filtration rate (gfr). Renal dysfunction is also common
in older cats and may develop after they have been treated for hyperthyroidism.
This paper describes the changes in the gfr of 27 hyperthyroid cats in the six
months after their treatment with radioactive iodine ((131)I), and evaluates
whether any commonly measured pretreatment parameters (serum biochemistry,
systolic blood pressure, urine specific gravity) could predict a clinically
significant decline in renal function. The gfr of all the cats had decreased one
month after treatment, and the mean gfr was significantly lower. There was no
further significant change in gfr between one and six months. The only
independent variable associated with the final gfr was the pretreatment plasma
glucose concentration (P=0.003).
PMID- 18037693
TI - Comparison of five real-time PCR assays for detecting virulence genes in isolates
of Escherichia coli from septicaemic neonatal foals.
AB - Fifty-five isolates of Escherichia coli from septicaemic neonatal foals were used
to validate five real-time pcr assays targeting different known virulence factor
genes: curli fibre (csgD), ferric hydroxamate uptake (fhuA), type 1A pilin
(fimA), aerobactin (lutA) and yersiniabactin (fyuA). A pcr assay targeting a
universal sequence of the bacterial 16S rrna gene served as quality control. The
pcr assays showed good analytical specificity and sensitivity on the basis of
sequencing the pcr products, their lack of cross-reactivity with non-E coli
organisms, high amplification efficiency and a limit of detection as low as 25 E
coli colony-forming units. There were differences between the detection rates and
amplification efficiencies for the five virulence genes. The pcr assays targeting
genes csgD, fhuA and fyuA were able to detect all 55 E coli isolates, with gene
csgD having the best amplification efficiency. The lowest detection rate and
amplification efficiency of the E coli isolates was found for the lutA gene.
PMID- 18037694
TI - Microbiological differences between cull cattle slaughtered at an abattoir and
cull cattle slaughtered on farms.
AB - Tissue samples from 27 casualty adult dairy cattle slaughtered on farms and 32
'normal' cull dairy cattle were analysed microbiologically for total viable
counts (tvc), Enterobacteriaceae, Escherichia coli o157, Salmonella enterica and
Campylobacter species. Overall the counts of Enterobacteriaceae and tvc were
higher in the animals slaughtered on farms, particularly in the spleen. One
'normal' animal yielded E coli O157, and one yielded Campylobacter jejuni, and
eight of the cattle slaughtered on farms yielded C jejuni and five yielded S
enterica.
PMID- 18037695
TI - Efficacy of a combination ivermectin/praziquantel paste against nematodes,
cestodes and bots in naturally infected ponies.
PMID- 18037696
TI - Fungal pyelonephritis due to Cladophialophora bantiana in a cat.
PMID- 18037697
TI - Possible nutritionally induced copper-associated chronic hepatitis in two dogs.
PMID- 18037698
TI - Use of the medicines cascade.
PMID- 18037699
TI - Micotil: safe use and administration.
PMID- 18037700
TI - Time to recognise experienced unqualified nurses?
PMID- 18037701
TI - Medicated feedingstuffs prescriptions.
PMID- 18037702
TI - Licence to practise.
PMID- 18037706
TI - Secretory phospholipase A2 increases SR-BI-mediated selective uptake from HDL but
not biliary cholesterol secretion.
AB - High density lipoprotein cholesterol represents a major source of biliary
cholesterol. Secretory phospholipase A2 (sPLA2) is an acute phase enzyme
mediating decreased plasma HDL cholesterol levels. Clinical studies reported a
link between increased sPLA2 expression and the presence of cholesterol
gallstones. The aim of our study was to investigate whether the overexpression of
human sPLA2 in transgenic mice affects biliary cholesterol secretion and
gallstone formation. Liver weight (P < 0.01) and hepatic cholesterol content (P <
0.01) were significantly increased in sPLA2 transgenic mice compared with
controls as a result of increased scavenger receptor class B type I (SR-BI)
mediated hepatic selective uptake of HDL cholesterol (P < 0.01), whereas hepatic
SR-BI expression remained unchanged. However, biliary cholesterol secretion as
well as fecal neutral sterol and fecal bile salt excretion remained unchanged in
sPLA2 transgenic mice. Furthermore, gallstone prevalence in response to a
lithogenic diet was identical in both groups. These data demonstrate that i)
increased flux of cholesterol from HDL into the liver via SR-BI as a result of
phospholipase modification of the HDL particle translates neither into increased
biliary and fecal sterol output nor into increased gallstone formation, and ii)
increased sPLA2 expression in patients with cholesterol gallstones might be a
consequence rather than the underlying cause of the disease.
PMID- 18037707
TI - Rapid oral fluid-based point-of-care HIV testing: applicability in developing
countries.
PMID- 18037705
TI - Getting in sync with dimeric Eg5. Initiation and regulation of the processive
run.
AB - Eg5/KSP is the kinesin-related motor protein that generates the major plus-end
directed force for mitotic spindle assembly and dynamics. Recent work using a
dimeric form of Eg5 has found it to be a processive motor; however, its
mechanochemical cycle is different from that of conventional Kinesin-1. Dimeric
Eg5 appears to undergo a conformational change shortly after collision with the
microtubule that primes the motor for its characteristically short processive
runs. To better understand this conformational change as well as head-head
communication during processive stepping, equilibrium and transient kinetic
approaches have been used. By contrast to the mechanism of Kinesin-1, microtubule
association triggers ADP release from both motor domains of Eg5. One motor domain
releases ADP rapidly, whereas ADP release from the other occurs after a slow
conformational change at approximately 1 s(-1). Therefore, dimeric Eg5 begins its
processive run with both motor domains associated with the microtubule and in the
nucleotide-free state. During processive stepping however, ATP binding and
potentially ATP hydrolysis signals rearward head advancement 16 nm forward to the
next microtubule-binding site. This alternating cycle of processive stepping is
proposed to terminate after a few steps because the head-head communication does
not sufficiently control the timing to prevent both motor domains from entering
the ADP-bound state simultaneously.
PMID- 18037708
TI - Quest for universal red cell units.
PMID- 18037709
TI - Miliary tuberculosis & its sequelae.
PMID- 18037710
TI - Locally available & natural therapeutic foods for immunomodulation in protein
energy malnutrition.
PMID- 18037711
TI - Psychiatric epidemiology in India.
AB - Epidemiological studies report prevalence rates for psychiatric disorders from
9.5 to 370/1000 populations in India. This review critically evaluates the
prevalence rate of mental disorders as reported in Indian epidemiological
studies. Extensive search of PubMed, NeuroMed and MEDLARS using search terms
"psychiatry" and "epidemiology" was done. Manual search of literature was also
done. Retrieved articles were systematically selected using inclusion and
exclusion criteria. Only sixteen prevalence studies fulfilled the study criteria.
Most of the epidemiological studies done in India neglected anxiety disorders,
substance dependence disorders, co-morbidity and dual diagnosis. The use of poor
sensitive screening instruments, single informant and systematic underreporting
has added to the discrepancy in the prevalence rate. The prevalence of mental
disorders reported in epidemiological surveys can be considered lower estimates
rather than accurate reflections of the true prevalence in the population.
Researchers have focused on broad non-specific, non-modifiable risk factors, such
as age, gender and social class. Future research focused on the general
population, longitudinal (prospective), multi-centre, co-morbid studies,
assessment of disability, functioning, family burden and quality of life studies
involving a clinical service providing approach, is required.
PMID- 18037712
TI - High resolution CT (HRCT) in miliary tuberculosis (MTB) of the lung: Correlation
with pulmonary function tests & gas exchange parameters in north Indian patients.
AB - BACKGROUND & OBJECTIVE: High resolution computed tomography (HRCT) scans are
known to be helpful in early diagnosis and management of patients with miliary
tuberculosis (MTB). We made an attempt in this study to identify patterns of
pulmonary MTB on HRCT and to correlate the HRCT disease extent with pulmonary
function tests (PFT) and gas exchange analysis (GEA). METHODS: A total of 16 non
HIV patients with MTB underwent HRCT of the chest, PFT and GEA. All the
investigations in these patients were completed within 20 days of presentation.
Evidence of TB was diagnosed by biopsy from lymph nodes (3/16), organ biopsy
[skin, liver, bone marrow and lung (transbronchial) (6/16)]. In one patient
fundoscopy revealed choroid tubercles. In 6 patients, diagnosis was confirmed by
clinical/radiological improvement following anti-tuberculosis therapy.
Radiological patterns of involvement on HRCT of the lungs were studied and
disease extent was estimated in each case by consensus between two radiologists
using specially devised visual scoring system. Disease extent was correlated with
PFT and GEA. Spearman rank correlation was used for statistical analysis.
RESULTS: Findings on HRCT in MTB included miliary nodularity (16/16), alveolar
lesions such as ground glass attenuation and/or consolidation (5/16),
lymphadenopathy (8/16), peribronchovascular interstitial thickening (1/16),
emphysema (1/16), pleural pathology (2/16), and pericardial effusion (2/16). A
significant correlation was noted between disease extent score and forced vital
capacity (FVC) (r = -0.76; P=0.003), forced expiratory volume in one second
(FEV(1))(r = -0.74; P = 0.005), total lung capacity (TLC) (r = -0.66; P = 0.037),
oxygen saturation in arterial blood (SaO(2)) (r = -0.69, P = 0.01), diffusion
capacity of the lung (DLco) (r = -0.8; P = 0.02). INTERPRETATION & CONCLUSION:
Our findings showed that HRCT reliably diagnosed MTB, and thus could help in
predicting derangement of pulmonary function tests and GEA in these patients.
PMID- 18037713
TI - A pilot study on the effects of curd (dahi) & leaf protein concentrate in
children with protein energy malnutrition (PEM).
AB - BACKGROUND & OBJECTIVE: In protein-energy malnutrition (PEM) there is a
significant impairment of immunity, both cell-mediated and humoral, which may be
reversed with nutritional rehabilitation. With the use of probiotics like curd
(dahi) and micronutrient-rich leaf protein concentrate (LPC), this immune
recovery may be hastened. This study was conducted to assess the impact of
supplementation of curd and LPC on nutritional status, and immunity as assessed
by anthropometry, haemoglobin, ferritin levels, T- cell subpopulation and C
reactive protein (CRP), in children suffering from PEM. METHODS: Eighty moderate
to severely malnourished children (1-5 yr) were randomized to receive either curd
or LPC in addition to WHO recommended two-step diet over 15 days. Nutritional,
immunological and haematological parameters were measured before and after
supplementation and compared within the groups. RESULTS: The change in weight,
haemoglobin level and CD4:CD8 T-cell subpopulation was significant in both the
groups after supplementation. Response of CRP was blunted in PEM. Serum ferritin
decreased significantly after supplementation in both groups. INTERPRETATION &
CONCLUSION: Curd and LPC when added to diet of malnourished children, may have
therapeutic value by accelerating immune recovery. More studies need to be done
on a larger sample to confirm these findings.
PMID- 18037714
TI - Level of oxidative stress in the red blood cells of patients with liver
cirrhosis.
AB - BACKGROUND & OBJECTIVE: Liver cirrhosis is associated with gastrointestinal
haemorrhage and oesophageal variceal bleeding. Altered platelet functions has
been reported to be a cause of bleeding complication. We carried out this study
to find out the level of oxidative stress in the red blood cells of patients with
liver cirrhosis. METHODS: Fifty patients admitted with the complication of liver
cirrhosis (with bleeding complications, n=30 and without bleeding complications,
n=20) were included in the study. Age and sex matched normal healthy volunteers
(n=45) served as controls. The levels of oxyhaemoglobin and methaemoglobin were
assayed in the red blood cells. Oxidative stress markers such as lipid peroxides,
lipid hydroperoxides and nitric oxide were determined along with enzymatic
antioxidants. Membrane bound adenosine triphosphatases, cytosolic glucose-6
phosphate dehydrogenase and NADHmethaemoglobin reductase were also measured. The
levels of cholesterol and total phospholipids were assessed in red blood cell
membrane. The osmotic fragility of red blood cells was monitored using different
concentrations of sodium chloride. RESULTS: The level of methaemoglobin was
significantly higher (P < 0.001) in the red blood cells of liver cirrhotic
patients with bleeding complication compared to that of non bleeding patients.
The activity level of NADH-methaemoglobin reductase was significantly lower
(P<0.001) compared to that of normal subjects. Levels of oxidative stress markers
including nitric oxide were found to be higher in patients. The levels of
enzymatic antioxidants were low except of glutathione peroxidase. The activity
levels of adenosine triphosphatases were also found to be significantly lower
(P<0.001) in patients compared to normal subjects. A significant alteration
(P<0.05) was found in membrane cholesterol/phospholipid ratio of cirrhotic
bleeders. Osmotic fragility of red blood cells was also altered in patients.
INTERPRETATION & CONCLUSION: In cirrhotic condition red blood cells are subjected
to severe oxidative stress with significant alterations in the membrane
properties.
PMID- 18037715
TI - Prevalence & risk factors for hepatitis C virus among pregnant women.
AB - BACKGROUND & OBJECTIVE: Information on hepatitis C virus (HCV) infection in
pregnant women in India is scanty. This study was carried out to investigate the
prevalence of HCV within an obstetric population in north India and to identify
the various risk factors for the viral infection. METHODS: A total of 8130
pregnant women from antenatal clinic were subjected to anti-HCV testing by third
generation ELISA. Anti-HCV positive seropositive women were further tested for
HCVRNA, hepatitis B and HIV. The women were evaluated for the presence of
following known risk factors for HCV infection. RESULTS: Eighty four (1.03%)
pregnant females had HCV antibodies. Of these, 46 (54.8%) were positive for HCV
RNA, 4(4.8%) tested positive for HBsAg, while none tested positive for HIV. The
mean age and parity of the anti-HCV antibody positive women was 24.36+/-3.6 yr
and 0.9+/-0.8, while that of the anti-HCV antibody negative women was 24.13+/-3.6
yr and 0.8+/-0.8 respectively. Of the 84 anti-HCV positive women, 52 (61.9 %) did
not have any identifiable risk factors. The risk factors variables did not have
significant association with HCV positive status. INTERPRETATION & CONCLUSION:
Prevalence of hepatitis C in pregnant women was 1.03 per cent. None of the known
risk factors was found to be significantly associated with the HCV infection.
Hence case identification and consequent management pose a particular problem and
routine screening is not a viable option in our resource- poor setting.
PMID- 18037716
TI - Prevalence & susceptibility to fluconazole of Candida species causing
vulvovaginitis.
AB - BACKGROUND & OBJECTIVE: Vulvovaginal candidiasis is an important cause of
morbidity in women of reproductive age. This study was carried out to determine
the species prevalence and susceptibility pattern to fluconazole of yeasts
isolated from the vagina of symptomatic women. METHODS: This prospective study
was conducted in a rural primary health care center of north India from May 2003
to April 2004 and included 601 married, sexually active women (18-49 yr) with the
self reported symptoms of vaginal discharge and/or genital itching and/or genital
burning. Specific aetiology of the genitourinary symptoms including candidal
infection were determined. Specimens from the lateral wall of vagina were
subjected to direct wet mount microscopy and fungal culture on Sabouraud's
dextrose agar. Susceptibility testing to fluconazole was carried out using broth
microdilution method. RESULTS: Yeasts were isolated in 111 (18.5%) women and
these consisted of Candida glabrata (56, 50.4%), C. albicans (39, 35.1%), C.
tropicalis (12, 10.8%), C. krusei (3, 2.7%) and C. parapsilosis (1, 0.9%).
Susceptibility testing carried out on 30 representative isolates (15 C. glabrata,
10 C. albicans, 4 C. tropicalis and 1 C. parapsilosis) revealed that 21 isolates
(70%) were susceptible (MIC, < or = 8 microg/ml) to fluconazole while 9 (30%)
were susceptible-dose dependent (S-DD, MIC 16-32 microg/ml). INTERPRETATION &
CONCLUSION: Our findings suggest a low prevalence of fluconazole resistance in
vaginal candida isolates in our population. However, a high prevalence of non
albicans candida species and increased dose-dependent resistance in these
isolates necessitates vigilance since this may warrant a change in the optimal
therapy of non-albicans candida vaginitis.
PMID- 18037717
TI - Detection of Amp C beta lactamases production in Escherichia coli & Klebsiella by
an inhibitor based method.
AB - BACKGROUND & OBJECTIVE: Detection of AmpC-mediated resistance in Gram-negative
organisms poses a problem due to misleading results in phenotypic tests. There
are no recommended guidelines for detection of this resistance mechanism and
there is a need to address this issue as much as the detection of extended
spectrum beta lactamases (ESBLs) since both may co-exist and mask each other.
Though resistance to cefoxitin is used as a screening test, it does not reliably
indicate Amp C production. This study was undertaken to detect Amp C beta
lactamases in certain Gram-negative bacteria employing an inhibitor base test
using boronic acid. METHODS: A total number of 76 consecutive non repetitive
clinical isolates of Escherichia coli (n=67) and 9 Klebsiella pneumoniae (n=9)
obtained over a period of two months, were screened for amp C production by disc
diffusion method using cefoxitin (30 microg) dics and confirmed by inhibitor
based test using boronic acid as inhibitor. RESULTS: A total of 36 of 76 isolates
(47.3%) screened harboured amp C enzymes, of which a majority 31 (86.1%) co
produced ESBL enzymes. Pure ampC production was seen in 7 (9.2%) of isolates
only. INTERPRETATION & CONCLUSION: Most of the amp C producers also produced ESBL
enzymes. The inhibitor based test was useful in identifying cefoxitin susceptible
amp C producers and could also effectively differenciate ESBL from amp C
producing isolates.
PMID- 18037718
TI - Glycaemic evaluation of Psidium guajava in rats.
AB - BACKGROUND & OBJECTIVE: Many plant polysaccharides exhibit hypoglycaemic effect.
Though the fruit of Psidium guajava is known to contain free sugars, the fruit
extract showed hypoglycaemic effect in alloxan treated mice and human subjects.
The present study was aimed to determine the glycaemic potential of P. guajava
fruit peel extract on blood glucose level (BGL) of normal and
streptozotocininduced sub-diabetic rats during fasting blood glucose (FBG) and
glucose tolerance test (GTT). METHODS: Female albino Wistar rats (n=42) were
divided into seven equal groups, and were given different doses of fruit peel
extract. Diabetes was induced by streptozotocin injection (ip) at a dose of 45
mg/kg body weight. Blood glucose levels were measured after collecting the blood
from tail veins. RESULTS: The diabetic and sub-diabetic models showed
hyperglycaemic effect from a single oral administration of variable doses of P.
guajava fruit peel extract. The maximum rise of 26.51 per cent was observed in
BGL from a dose of 400 mg/kg bw exactly after 8 h of administration in normal
rats whereas the maximum rise of 90.7 per cent was observed with the same dose of
400 mg/kg bw after 2 h of glucose administration in sub-diabetic rats.
INTERPRETATION & CONCLUSION: The hyperglycaemic effect of P. guajava fruit peel
suggests that the diabetic patients should peel off the guava fruits before
consuming. However, it can also be useful in controlling hypoglycaemia
occasionally caused due to excess of insulin and other hypoglycaemic drugs.
PMID- 18037719
TI - Effect of storage temperature on cholesterol measurement from dried blood.
PMID- 18037720
TI - Pathogenic rapidly growing: Mycobacterium manitobense in the environment of Agra,
north India.
PMID- 18037721
TI - Insects did it first: a micropatterned adhesive tape for robotic applications.
AB - Based on the structural and experimental studies of more than 300 insect species
from different lineages, we have developed and characterized a bioinspired
polymer material with the ability of multiple glue-free bonding and debonding.
The material surface is covered with a pattern of microstructures, which
resembles the geometry of tenent hairs previously described from the feet of
flies, beetles, earwigs and other insects. The tape with such a microstructure
pattern demonstrates at least two times higher pull-off force per unit apparent
contact area compared to the flat polymer. Additionally, the tape is less
sensitive to contamination by dust particles than a commercially available
pressure-sensitive adhesive tape. Even if the 'insect tape' is contaminated, it
can be washed with a soap solution in water, in order to completely recover its
adhesive properties. We have successfully applied the tape to the 120 g wall
climbing robot Mini-Whegs. Furthermore, the tape can be used for multiple
adhering of objects to glass surfaces or as a protective tape for sensitive glass
surfaces of optical quality. Another area of potential applications is gripping
and manipulation of objects with smooth surfaces.
PMID- 18037722
TI - The dream of staying clean: Lotus and biomimetic surfaces.
AB - The Lotus has been the symbol of purity for thousands of years; contaminations
and pathogens are washed off the surfaces of Lotus and some other plants with
rain or even dew. After the introduction of scanning electron microscopy, we were
able to resolve the mechanism behind this phenomenon. It took some further
decades before in-depth studies on self-cleaning with plants were conducted and
the effect could be understood in detail. We identified extreme water-repellency
('superhydrophobicity'), characterized by very high contact angles and low
sliding angles, as the prerequisite for self-cleaning properties. We could show
that the combination of two factors is necessary for obtaining a high degree of
water-repellency: (1) low energy surfaces being hydrophobic and (2) surface
structures that significantly increase hydrophobicity. It is suggested that this
mechanism plays an important role in the protection of plants against pathogens.
Our technological application of this effect has resulted in the development of
successful, eco-friendly and sustainable industrial products. Another interesting
property was found with superhydrophobic surfaces of certain aquatic and semi
aquatic plants and animals: here a layer of air under water is retained. We
present a new approach of using this feature for creating structured, air
retaining surfaces for technical underwater applications. It is proposed that
such surfaces can reduce significantly the drag of large ships. We conclude that
basic biological research is of particular importance for true innovation. Our
research on superhydrophobic self-cleaning biological surfaces and the
development of similar engineered materials suggests that biomimicry is a matter
of multi-stage processes rather than a simple copying of biological developments.
PMID- 18037723
TI - Using hardware models to quantify sensory data acquisition across the rat
vibrissal array.
AB - Our laboratory investigates how animals acquire sensory data to understand the
neural computations that permit complex sensorimotor behaviors. We use the rat
whisker system as a model to study active tactile sensing; our aim is to
quantitatively describe the spatiotemporal structure of incoming sensory
information to place constraints on subsequent neural encoding and processing. In
the first part of this paper we describe the steps in the development of a
hardware model (a 'sensobot') of the rat whisker array that can perform object
feature extraction. We show how this model provides insights into the
neurophysiology and behavior of the real animal. In the second part of this
paper, we suggest that sensory data acquisition across the whisker array can be
quantified using the complete derivative. We use the example of wall-following
behavior to illustrate that computing the appropriate spatial gradients across a
sensor array would enable an animal or mobile robot to predict the sensory data
that will be acquired at the next time step.
PMID- 18037724
TI - Biosonar-inspired technology: goals, challenges and insights.
AB - Bioinspired engineering based on biosonar systems in nature is reviewed and
discussed in terms of the merits of different approaches and their results:
biosonar systems are attractive technological paragons because of their
capabilities, built-in task-specific knowledge, intelligent system integration
and diversity. Insights from the diverse set of sensing tasks solved by bats are
relevant to a wide range of application areas such as sonar, biomedical
ultrasound, non-destructive testing, sensors for autonomous systems and wireless
communication. Challenges in the design of bioinspired sonar systems are posed by
transducer performance, actuation for sensor mobility, design, actuation and
integration of beamforming baffle shapes, echo encoding for signal processing,
estimation algorithms and their implementations, as well as system integration
and feedback control. The discussed examples of experimental systems have
capabilities that include localization and tracking using binaural and multiple
band hearing as well as self-generated dynamic cues, classification of small
deterministic and large random targets, beamforming with bioinspired baffle
shapes, neuromorphic spike processing, artifact rejection in sonar maps and
passing range estimation. In future research, bioinspired engineering could
capitalize on some of its strengths to serve as a model system for basic
automation methodologies for the bioinspired engineering process.
PMID- 18037725
TI - Micromachined biomimetic artificial haircell sensors.
AB - The biological haircell is a modular building block of a rich variety of
biological sensors. Using micro- and nanofabrication technology, an engineering
equivalent artificial haircell sensor can be developed, imitating the structure
and transfer function of the biological haircell. The artificial haircells can be
made of hybrid semiconductor, metal and polymers. This paper discusses a number
of strategies, using representative material systems, for building artificial
haircell sensors and briefly outlines their fabrication method and performance.
The motivation for imitating the biological haircell is also discussed to provide
a background for this work.
PMID- 18037726
TI - Inspiration, simulation and design for smart robot manipulators from the sucker
actuation mechanism of cephalopods.
AB - Octopus arms house 200-300 independently controlled suckers that can alternately
afford an octopus fine manipulation of small objects and produce high adhesion
forces on virtually any non-porous surface. Octopuses use their suckers to grasp,
rotate and reposition soft objects (e.g., octopus eggs) without damaging them and
to provide strong, reversible adhesion forces to anchor the octopus to hard
substrates (e.g., rock) during wave surge. The biological 'design' of the sucker
system is understood to be divided anatomically into three functional groups: the
infundibulum that produces a surface seal that conforms to arbitrary surface
geometry; the acetabulum that generates negative pressures for adhesion; and the
extrinsic muscles that allow adhered surfaces to be rotated relative to the arm.
The effector underlying these abilities is the muscular hydrostat. Guided by
sensory input, the thousands of muscle fibers within the muscular hydrostats of
the sucker act in coordination to provide stiffness or force when and where
needed. The mechanical malleability of octopus suckers, the interdigitated
arrangement of their muscle fibers and the flexible interconnections of its parts
make direct studies of their control challenging. We developed a dynamic
simulator (ABSAMS) that models the general functioning of muscular hydrostat
systems built from assemblies of biologically constrained muscular hydrostat
models. We report here on simulation studies of octopus-inspired and artificial
suckers implemented in this system. These simulations reproduce aspects of
octopus sucker performance and squid tentacle extension. Simulations run with
these models using parameters from man-made actuators and materials can serve as
tools for designing soft robotic implementations of man-made artificial suckers
and soft manipulators.
PMID- 18037727
TI - From honeybees to Internet servers: biomimicry for distributed management of
Internet hosting centers.
AB - An Internet hosting center hosts services on its server ensemble. The center must
allocate servers dynamically amongst services to maximize revenue earned from
hosting fees. The finite server ensemble, unpredictable request arrival behavior
and server reallocation cost make server allocation optimization difficult.
Server allocation closely resembles honeybee forager allocation amongst flower
patches to optimize nectar influx. The resemblance inspires a honeybee biomimetic
algorithm. This paper describes details of the honeybee self-organizing model in
terms of information flow and feedback, analyzes the homology between the two
problems and derives the resulting biomimetic algorithm for hosting centers. The
algorithm is assessed for effectiveness and adaptiveness by comparative testing
against benchmark and conventional algorithms. Computational results indicate
that the new algorithm is highly adaptive to widely varying external environments
and quite competitive against benchmark assessment algorithms. Other swarm
intelligence applications are briefly surveyed, and some general speculations are
offered regarding their various degrees of success.
PMID- 18037728
TI - Training mechanical engineering students to utilize biological inspiration during
product development.
AB - The use of bio-inspiration for the development of new products and devices
requires new educational tools for students consisting of appropriate design and
manufacturing technologies, as well as curriculum. At the University of Maryland,
new educational tools have been developed that introduce bio-inspired product
realization to undergraduate mechanical engineering students. These tools include
the development of a bio-inspired design repository, a concurrent fabrication and
assembly manufacturing technology, a series of undergraduate curriculum modules
and a new senior elective in the bio-inspired robotics area. This paper first
presents an overview of the two new design and manufacturing technologies that
enable students to realize bio-inspired products, and describes how these
technologies are integrated into the undergraduate educational experience. Then,
the undergraduate curriculum modules are presented, which provide students with
the fundamental design and manufacturing principles needed to support bio
inspired product and device development. Finally, an elective bio-inspired
robotics project course is present, which provides undergraduates with the
opportunity to demonstrate the application of the knowledge acquired through the
curriculum modules in their senior year using the new design and manufacturing
technologies.
PMID- 18037729
TI - The bombardier beetle and its use of a pressure relief valve system to deliver a
periodic pulsed spray.
AB - In this paper the combustion chamber of the bombardier beetle is considered and
recent findings are presented which demonstrate that certain parts of the anatomy
are in fact inlet and outlet valves. In particular, the authors show that the
intake and exhaust valve mechanism involves a repeated (pulsating) steam
explosion, the principle of which was up till now unclear. New research here has
now shown the characteristics of the ejections and the role of important valves.
In this paper numerical simulations of the two-phase flow ejection are presented
which demonstrate that the principle of cyclic water injection followed by water
and steam decompression explosions is the fundamental mechanism used to create
the repeated ejections.
PMID- 18037730
TI - Artificial evolution of the morphology and kinematics in a flapping-wing mini
UAV.
AB - Birds demonstrate that flapping-wing flight (FWF) is a versatile flight mode,
compatible with hovering, forward flight and gliding to save energy. This
extended flight domain would be especially useful on mini-UAVs. However, design
is challenging because aerodynamic efficiency is conditioned by complex movements
of the wings, and because many interactions exist between morphological (wing
area, aspect ratio) and kinematic parameters (flapping frequency, stroke
amplitude, wing unfolding). Here we used artificial evolution to optimize these
morpho-kinematic features on a simulated 1 kg UAV, equipped with wings
articulated at the shoulder and wrist. Flight tests were conducted in a dedicated
steady aerodynamics simulator. Parameters generating horizontal flight for
minimal mechanical power were retained. Results showed that flight at medium
speed (10-12 m s(-1)) can be obtained for reasonable mechanical power (20 W kg(
1)), while flight at higher speed (16-20 m s(-1)) implied increased power (30-50
W kg(-1)). Flight at low speed (6-8 m s(-1)) necessitated unrealistic power
levels (70-500 W kg(-1)), probably because our simulator neglected unsteady
aerodynamics. The underlying adaptation of morphology and kinematics to varying
flight speed were compared to available biological data on the flight of birds.
PMID- 18037731
TI - Anthocyanins from red flowers of Camellia reticulata LINDL.
AB - Ten anthocyanins, cyanidin 3-sambubioside, 3-glucoside and their acylated
derivatives, cyanidin 3-lathyroside and cyanidin 3-galactoside, were isolated
from red flowers of Camellia reticulata. Their structures were determined on the
basis of spectroscopic analyses, and the chemotaxonomic distribution of the
accumulated anthocyanins in the petals of wild Camellia reticulata and C.
pitardii var. yunnanica is discussed.
PMID- 18037732
TI - Effects of medication on cardiovascular events in the Japanese coronary artery
disease (JCAD) study.
AB - BACKGROUND: Actual data regarding coronary artery disease (CAD) patients in Japan
is scarce, so in the present study a large database of Japanese patients with
significant CAD was constructed for analysis of the relationship between medical
treatments and outcomes in this cohort. METHODS AND RESULTS: The present study
enrolled 15,628 patients who underwent coronary angiography and were diagnosed as
having significant stenosis in at least 1 coronary artery. Of these, 13,812
patients were followed up and included in the analysis: 10,626 (77%) men and
3,186 (23%) women. Diagnosis at the time of registration was acute myocardial
infarction (AMI) for 2,955 patients, old myocardial infarction for 3,913 patients
and unstable angina pectoris for 2,049 patients. Patients were followed up for an
average of 2.7 years. At the start of the follow-up, 37.4% of the patients were
prescribed statins, 50.2% calcium-channel blockers (CCB), 31.6% angiotensin
converting enzyme inhibitors (ACEI), 13.5% angiotensin II receptor blockers (ARB)
and 60.2% nitrates. Univariate Cox regression model analysis showed that the
hazard ratio (HR) of statins was 0.780 (95% confidence intervals (CI), 0.710
0.856; p<0.001); fibrates, 0.580 (95%CI, 0.425-0.790; p=0.001); CCB, 1.067
(95%CI, 0.976-1.166; p=0.153); ACEI, 1.062 (95%CI, 0.968-1.166; p=0.202); ARB,
1.036 (95%CI, 0.914-1.174; p=0.581); nitrates, 1.147 (95%CI, 1.043-1.260;
p=0.005). When the data were adjusted for background data and all cardiovascular
medications, the HR of statins was 0.809 (95%CI, 0.726-0.901; p<0.001), CCB 1.031
(95%CI, 0.937-1.135; p=0.535), ACEI 1.023 (95%CI, 0.924-1.132; p=0.663), ARB
0.991 (95%CI, 0.867-1.132; p=0.890), nitrates 1.074 (95%CI, 0.973-1.186;
p=0.155). For patients presenting with AMI at the time of registration, the HR of
CCB was 1.340 (95%CI, 1.084-1.655; p=0.007) and that of nitrates was 0.862
(95%CI, 0.703-1.059; p=0.157). CONCLUSION: In a cohort of CAD patients in Japan,
the prescription pattern differed from that of Western studies. Statins and
fibrates were shown to be significantly beneficial in the whole cohort. In the
AMI subgroup, CCB showed a deleterious effect and nitrates showed a non
significant tendency for beneficial effect, which should be investigated in
future randomized control trials.
PMID- 18037733
TI - Weekend onset of acute myocardial infarction does not have a negative impact on
outcome in Japan.
AB - BACKGROUND: Studies from North America indicate that patients admitted during the
weekend with acute myocardial infarction (AMI) have a worse outcome than weekday
admitted patients, probably reflecting a lower rate of invasive procedures.
However, it is unclear whether the same is true in Japan, which has a different
healthcare system. METHODS AND RESULTS: Using the Japanese Acute Coronary
Syndrome Study (JACSS) database, this study included 4,805 consecutive patients
who were admitted within 48 h of onset of AMI (3,526 [73.4%] patients with
weekday onset [Monday through Friday] and 1,279 [26.6%] with weekend onset
[Saturday and Sunday]). There were no significant differences between the 2
groups in patient background and clinical features. The proportions of patients
who underwent emergency catheterization (88.4% vs 88.0%) and reperfusion therapy
(81.5% vs 81.4%) were also similar. There were no differences between the 2
groups in the in-hospital, 30-day, and 1-year mortality rates. Even after various
adjustments, there was no difference in the risk of death associated with weekend
versus weekday onset of AMI. CONCLUSION: There were no obvious differences in
outcome for Japanese AMI patients in the weekday- or weekend-onset group,
suggesting the quality of the Japanese healthcare system is similar for the
entire week.
PMID- 18037734
TI - Randomized evaluation of atorvastatin in patients with coronary heart disease: a
serial intravascular ultrasound study.
AB - BACKGROUND: It is unclear whether a marked reduction of low-density lipoprotein
cholesterol (LDL-C) in patients with coronary heart disease (CHD) and mild
hypercholesterolemia leads to less progression of atherosclerosis. METHODS AND
RESULTS: Patients with CHD and hypercholesterolemia (10070%).
Complete STN occurred in 63%, partial in 24% and poor in 13% of patients. STN
correlated with late mortality (15% vs 28% vs 38% respectively, p=0.012).
Patients who died during the follow-up period had a lower mean percentage
reduction of initial ST-segment depression after PCI (50% vs 75%, p=0.001).
Percentage reduction of initial ST-segment depression after PCI was a significant
and independent risk factor of long-term mortality (odds ratio 1.01; 95%
confidence interval: 1.00-1.02; p=0.02). CONCLUSIONS: These data revealed the use
of reciprocal changes normalization as a novel tool for assessment of long-term
risk of death in patients after successful primary PCI for STEMI.
PMID- 18037736
TI - Association between circulating level of CD40 ligand and angiographic morphologic
features indicating high-burden thrombus formation in patients with acute
myocardial infarction undergoing primary coronary intervention.
AB - BACKGROUND: This study tested the hypothesis that in the acute phase of
myocardial infarction (MI), the circulating level of soluble CD40 ligand
(sCD40L), an index of platelet activation, is predictive of angiographic
morphologic features that indicate high-burden thrombus formation (HBTF) in the
infarct-related artery (IRA). METHODS AND RESULTS: This prospective study
included 162 consecutive patients: 64 with HBTF and 98 with low-burden thrombus
formation (LBTF). All patients had a Killip's classificationor=65 years) exhibited
significantly lower ALI values than younger patients during the follow-up and at
the plateau (9.5+/-4.2 vs 13.3+/-4.8, p<0.001). CONCLUSIONS: Device-based
monitoring of physical activity in CRT patients is feasible. CRT resulted in a
large and long-term increase in physical activity.
PMID- 18037742
TI - Transient enhancement of oxidant stress and collagen turnover in patients with
acute worsening of congestive heart failure.
AB - BACKGROUND: Myocardial remodeling is a crucial step for progression of heart
failure (HF). Free radical generation by the failing myocardium has been proposed
as linked to myocardial remodeling. The aim of this study was to evaluate the
urinary excretion of 8-iso-prostaglandin F2alpha (8-iso-PGF2alpha), a reliable
marker for oxidant stress in vivo, and collagen turnover in patients with acute
worsening of congestive HF. METHODS AND RESULTS: Enrolled were 43 patients with
acute worsening of congestive HF of various etiologies. On admission (acute
phase) and after approximately 2 weeks of conventional treatment (chronic phase),
the following were measured: (1) immunoreactive urinary 8-iso-PGF2alpha, (2)
serum total antioxidant status (TAS); and (3) serum levels of procollagen type I
carboxyterminal peptide (PIP) and carboxyterminal collagen type I telopeptide
(CITP), biochemical markers for collagen synthesis and degradation, respectively.
From the acute to the chronic phase the parameters changed as follows: 335.1+/
245.4 to 205.3+/-107.4 pg/mg creatinine for urinary 8-iso-PGF2alpha (p<0.0001);
0.92+/-0.16 to 0.98+/-0.13 mmol/L for TAS (p<0.01); 171.4+/-72.5 to 93.7+/-33.9
ng/ml for PIP (p<0.0001); and 7.2+/-3.6 to 12.6+/-8.4 ng/ml for CITP (p<0.0001).
CONCLUSIONS: Acute worsening of congestive HF promotes free radical generation
and collagen synthesis.
PMID- 18037743
TI - Prognostic value of non-obstructive CT low-dense coronary artery plaques detected
by multislice computed tomography.
AB - BACKGROUND: The prognostic value of non-obstructive, CT low-dense plaques (CTLDP)
on multislice computed tomography (MSCT) for the prediction of nonfatal acute
myocardial infarction (AMI), unstable angina (UA) and cardiac death has not yet
been defined. METHODS AND RESULTS: In the present study 810 patients who
underwent MSCT and had non-obstructive coronary artery disease were followed up
for the occurrence of AMI, UA and cardiac death. Non-obstructive CTLDP were
defined as plaques with a CT density <68 Hounsfield units, accompanied by mild to
moderate coronary artery stenosis (25-75%). Patients were followed-up for 1,062+/
544 days for the occurrence of (1) acute coronary syndrome (ACS) including
nonfatal AMI and UA, and (2) cardiac death. CTLDP were detected in 189 patients
(23.3%). The annual event rate of AMI, UA, ACS and cardiac death was 0.91%,
0.91%, 1.82% and 0.36%, respectively, in patients with CTLDP and 0.10%, 0.55%,
0.66% and 0.21%, respectively, in patients without CTLDP. The event rate of ACS
was significantly higher in patients with CTLDP than in those without CTLDP
(p<0.001). Multivariate Cox proportional regression analysis revealed that
previous MI and the presence of CTLDP were independent predictors of ACS.
CONCLUSION: Non-obstructive CTLDP detected by MSCT yield prognostic information
toward the identification of ACS.
PMID- 18037744
TI - Clinical validity of longitudinal pre-ejectional myocardial velocity for
identifying the transmural extent of viable myocardium: early after reperfusion
of an infarct-related coronary artery.
AB - BACKGROUND: Positive longitudinal pre-ejectional velocity (+PEVL) was recently
reported to be a reliable index of myocardial recovery early after successful
revascularization in myocardial infarction (MI); that is, it recognizes the
transmural extent of viable myocardium. The applicability of PEVL in the real
world clinical setting for identifying the transmural extent of viable myocardium
in reperfused recent MI was assessed. METHODS AND RESULTS: Using tissue Doppler
imaging, the resting basal and mid myocardial PEVLs were determined within 3 days
after revascularization in 41 consecutive patients with recent MI. Infarct
thickness was semi-quantified using delayed gadolinium-enhanced magnetic
resonance imaging (MRI) at baseline and at 6-month follow up to differentiate
transmural from nontransmural MI. The proportion of segments showing the presence
of +PEVL was not significantly changed as infarct thickness increased (p=0.2),
with 66.2% having +PEVL even in segments involving >75% transmural infarction.
Moreover, +PEVL was found in a large fraction of segments with akinesia (70.4%).
Specificity and negative predictive value of +PEVL for assessing infarct
nontransmurality were disappointingly low (32.0% and 26.9%, respectively). All of
these results were not altered when the 6-month follow-up MRI was done.
CONCLUSIONS: +PEVL cannot be regarded as a reliable marker for predicting the
transmural extent of viable myocardium in recent MI.
PMID- 18037745
TI - Using transthoracic Doppler echocardiography to diagnose reduced coronary flow
velocity reserve in the posterior descending coronary artery in children with
elevated right ventricular pressure.
AB - BACKGROUND: Advances in transthoracic Doppler echocardiography enable noninvasive
measurements of coronary flow velocity and coronary flow velocity reserve (CFVR)
in the posterior descending coronary artery (PD). METHODS AND RESULTS: To
evaluate CFVR in the PD of children with elevated right ventricular (RV)
pressure, 19 children with RV pressure overload and 13 age-matched controls with
normal RV pressure were studied using transthoracic Doppler echocardiography.
Average peak flow velocity (APV) was measured at rest and in hyperemic conditions
(intravenous administration of adenosine of 0.16 mg.kg(-1).min(-1)). Compared
with controls, the PD CFVR was significantly reduced in the patients with
elevated RV pressure (1.87+/-0.42 vs 2.49+/-0.55, p<0.01) because their mean
baseline APV was significantly greater (27+/-6 vs 19+/-4 cm/s, p<0.01), although
hyperemic APV was not significantly different (49+/-10 vs 48+/-14 cm/s, p=NS).
CONCLUSIONS: PD CFVR is limited in patients with elevated RV pressure because of
elevation of the baseline resting flow velocity.
PMID- 18037746
TI - Clinical importance of Koch's triangle size in children: a study using 3
dimensional electroanatomical mapping.
AB - BACKGROUND: Catheter ablation inside the Koch's triangle has a risk for complete
atrioventricular block. METHODS AND RESULTS: The anatomic size of the coronary
sinus (CS) and His bundle (HB) in children and the distance between them was
studied using a 3-dimensional electroanatomical mapping system (CARTO). Fifty
three children (mean age, 11.8+/-3.7 years) without congenital heart disease (ie,
24 with atrioventricular re-entrant tachycardia, 18 with atrioventricular nodal
re-entrant tachycardia, 7 with atrial tachycardia, 2 with ventricular tachycardia
and 2 with atrial flutter) were studied. The size of the HB recording area was
148+/-97 mm2 and the size of the CS was 66+/-44 mm2. The size of the CS and the
distance between the HB and CS (18+/-7 mm) were proportional to body weight, body
length and body surface area. All patients underwent catheter ablation, including
25 ablations inside Koch's triangle. Catheter ablation was successful in 52
patients without any atrioventricular nodal injury. CONCLUSIONS: The CS size and
the distance between the HB and CS increased proportionally with children's
growth. To know the distance from the HB to the ablation point is useful in
avoiding atrioventricular node injury, and information about the length of Koch's
triangle may provide supportive information when applying radiofrequency energy
inside Koch's triangle without needing to use the CARTO system in children, but
this merits further investigation.
PMID- 18037747
TI - Randomized comparison of the continuous vs point-by-point radiofrequency ablation
of the cavotricuspid isthmus for atrial flutter.
AB - BACKGROUND: Achievement of complete conduction block in the cavotricuspid isthmus
(CTI) is a curative ablation technique in patients with common atrial flutter
(AFL). The present study was a prospective comparison of the efficacy of 2
ablation strategies in patients with common AFL: the continuous and point-by
point radiofrequency (RF) delivery techniques. METHODS AND RESULTS: Forty
patients with common AFL were randomly assigned to either a group treated with a
continuous RF delivery or to a group undergoing point-by-point RF ablation. In
the first group, the RF energy was continuously delivered during a slow drag of
the catheter tip from the tricuspid annulus to the inferior vena cava without
stopping the application. In the second group, the RF ablation was performed
using a point-by-point approach for 60 s at each point. All patients underwent
ablation with an 8-mm-tip ablation catheter with a power limit of 50 W and a
target temperature of 55 degrees C. Complete CTI conduction block was achieved in
all patients. The patient characteristics, including the anatomy of the CTI
estimated by 3-dimensional computed tomography, were no different between the 2
groups. The procedure time (time from the start of RF delivery to the completion
of CTI block), fluoroscopic time and total RF energy required to create the CTI
block between the continuous and point-by-point groups were 7.3+/-5.6 vs 21.2+/
22.2 min (p<0.01), 7.2+/-4.4 vs 16.2+/-14.1 min (p<0.05), and 15,631+/-6,001 vs
24,072+/-16,140 joules (p<0.05), respectively. There were no complications or
recurrences of AFL during the follow-up period in any of the patients.
CONCLUSION: In the curative treatment of common AFL, the continuous RF delivery
approach could shorten the procedure and fluoroscopic time and reduce the total
RF energy compared with the point-by-point RF ablation approach.
PMID- 18037748
TI - Longitudinal change in renal function in patients with idiopathic dilated
cardiomyopathy without renal insufficiency at initial diagnosis.
AB - BACKGROUND: Impaired renal function is associated with poor outcomes among
patients with chronic heart failure (CHF). However, the long-term changes in
renal function in CHF patients without renal insufficiency at initial diagnosis
remain unclear. METHODS AND RESULTS: Retrospective analysis of patients
presenting with idiopathic dilated cardiomyopathy (IDCM) from 1984 to 2003 and
who had normal renal function defined as estimated creatinine clearance
(eCcr)>or=60 ml/min at the first diagnosis. Cumulative event proportions and
renal insufficiency defined as eCcr<60 ml/min were calculated by the Kaplan-Meier
method. The predictors of renal insufficiency were evaluated by logistic
regression analysis. Impaired renal function developed in 20% during an 8-year
follow-up and in 50% during a 20-year follow-up. Advanced age at the first
diagnosis, high frequency of admissions, and hypotension during the clinical
course were associated with the occurrence of impaired renal function. Beta
blocker therapy was a negative predictor of renal insufficiency. CONCLUSIONS: In
patients with IDCM without renal insufficiency at initial diagnosis, worsening
renal function occurred during the follow-up period. Frequent admissions,
hypotension, and lacking beta-blocker therapy were associated with a poor
prognosis in renal function.
PMID- 18037749
TI - A985G polymorphism of the endothelin-2 gene and atrial fibrillation in patients
with hypertrophic cardiomyopathy.
AB - BACKGROUND: It was recently suggested that the angiotensin-converting enzyme
insertion/insertion genotype, which is considered to be protective against
cardiovascular disease, was a significant risk factor for atrial fibrillation
(AF) in patients with hypertrophic cardiomyopathy (HCM). The aim of this study
was to investigate the association between the endothelin-2 (EDN2) A985G
polymorphism and AF in patients with HCM. METHODS AND RESULTS: The EDN2 A985G
polymorphism (rs 5800) was genotyped in 110 patients with HCM who had no
clinically documented AF before medical treatment. The distribution of the EDN2
genotypes (G/G, G/A, and A/A) was 77.3%, 19.1%, and 3.6%, respectively. The EDN2
A allele frequency was 0.21 in 26 patients who subsequently developed AF during
long-term follow-up and 0.11 in 84 patients who remained in sinus rhythm. The
distribution of genotypes of the dominant EDN2 A allele between the two groups
was significantly different by chi-square analysis (42.3% vs 16.7%, p=0.014). In
a multivariate model, the A985 allele of the EDN2 gene was associated with
increased adjusted risk for the occurrence of AF (p=0.018). CONCLUSION: The EDN2
A985 allele, which is considered to be protective in cardiovascular disease, may
be a risk factor for AF in patients with HCM.
PMID- 18037750
TI - Cardiac sarcoidosis underlies idiopathic dilated cardiomyopathy: importance of
mediastinal lymphadenopathy in differential diagnosis.
AB - BACKGROUND: Cardiac sarcoidosis is frequently overlooked or misdiagnosed as
idiopathic dilated cardiomyopathy (DCM), primarily because of difficulties in its
diagnosis. This is a crucial issue because appropriate therapy with
immunosuppressive agents can be initiated if early diagnosis is achieved. METHODS
AND RESULTS: Thoracic computed tomography (CT) was retrospectively analyzed in
detail with special reference to lymph node swelling (LNS) in the mediastinum of
8 patients diagnosed with idiopathic DCM who underwent left ventriculoplasty
(LVP), and were later proven to have active cardiac sarcoidosis by histological
evaluation of the resected myocardium. Twenty age-matched patients with
idiopathic DCM who also underwent LVP served as controls. On conventional chest
radiographs, none of the cardiac sarcoidosis patients exhibited lymph node
involvement, including bilateral hilar lymphadenopathy. However, CT demonstrated
significant mediastinal LNS in 7 (88%) of them and in only 1 (5%) of the 20
controls. There was a significant difference in the incidence of LNS in the 2
groups (p=0.00005). CONCLUSION: Evaluation of mediastinal lymphadenopathy by CT
is an easy and valuable initial screening method for distinguishing cardiac
sarcoidosis from idiopathic DCM.
PMID- 18037751
TI - Renal artery stenosis in cardio-and cerebrovascular disease: renal duplex
ultrasonography as an initial screening examination.
AB - BACKGROUND: The prevalence and indicative clinical factors of renal artery
stenosis (RAS) in the Japanese population are unclear. METHODS AND RESULTS: The
aim of this study was to investigate the prevalence of RAS in a selectively
referred Japanese population and to determine any clinical factors related to RAS
by initially screening with renal duplex ultrasonography. The 750 patients
presenting because of possible or known cardio-and cerebrovascular diseases were
prospectively studied. Duplex examination was performed in 729 patients (97.2%):
21 patients (2.8%) did not undergo it, because of technical impossibility. Duplex
RAS was found in 38 patients (5.2%). Subsequently, a confirmatory renal
angiography was obtained in 40 patients, investigating those who had duplex RAS
or no duplex examination. Angiographic RAS was found in 35 patients (4.8%). The
respective prevalences of duplex and angiographic RAS were 6.3% and 6.7% in
coronary artery disease, 8.8% and 9.3% in multivessel coronary artery disease,
7.5% and 8.2% in heart failure, 5.1% and 4.3% in unstable angina pectoris, 20.0%
and 22.2% in carotid artery stenosis, 10.3% and 10.2% in stroke, 20.0% and 20.0%
in peripheral artery disease, and 12.0% and 11.8% in abdominal aortic aneurysm.
Univariate analysis showed that patients with duplex RAS were older and had more
atherosclerotic risk factors. Furthermore, they were more likely to be smokers
and have hypertension, renal impairment, renal atrophy, left ventricular
hypertrophy and cardio- and cerebrovascular diseases. Multivariate stepwise
analysis showed that smoking, peripheral artery disease, abdominal aortic
aneurysm and renal atrophy were independent predictors of duplex RAS.
CONCLUSIONS: RAS is frequent in Japanese patients with cardio- and
cerebrovascular diseases. Initial screening for RAS by duplex ultrasonography is
recommended for patients with complications, especially peripheral artery
disease, abdominal aortic aneurysm and renal atrophy.
PMID- 18037752
TI - Multidetector-row computed tomography management of acute pulmonary embolism.
AB - BACKGROUND: The purpose of this study was to evaluate the usefulness and safety
of multidetector-row computed tomography (MDCT) pulmonary angiography and
indirect venography management of acute pulmonary embolism (PE), including
indication for inferior vena cava (IVC) filter. METHODS AND RESULTS: Seventy-one
consecutive patients who were clinically suspected of PE and underwent 16-slice
MDCT pulmonary angiography and indirect venography were enrolled. Management
included indication of IVC filter for patients with extensive deep venous
thrombosis (DVT) in submassive or massive PE. A right ventricular to left
ventricular short-axis diameter by MDCT>1.0 was judged as submassive PE. All
patients were followed for 1 year. MDCT identified 50 patients with venous
thromboembolism and 47 patients had acute PE: 4 were judged as massive, 14 as
submassive, and 29 as non-massive by MDCT; 3 patients had DVT alone and 7
patients had caval or iliac DVT. Only 1 patient with massive PE and DVT near the
right atrium died of recurrence. No other patients died of PE. CONCLUSION:
Management based on MDCT pulmonary angiography combined with indirect venography
is considered to be safe and reliable in patients with suspected acute PE.
PMID- 18037753
TI - Relationship between insulin-like growth factor-I and brain natriuretic peptide
in patients with acromegaly after surgery.
AB - BACKGROUND: Increased cardiac insulin-like growth factor (IGF)-I production is
associated with physiological cardiac hypertrophy in athletes, and IGF-I has been
recognized as a cardioprotective agent in experimental animal studies. On the
other hand, acromegaly which is characterized by an excess of IGF-I has been
linked to impaired cardiac function. METHODS AND RESULTS: Both the relationship
between the serum levels of IGF-I and brain natriuretic peptide (BNP), which is
released from the cardiac ventricles in response to ventricular stress, and that
between IGF-I and the concentrations of the plasma amino-terminal propeptide of
procollagen type III (P-III-P), which is associated with myocardial fibrosis,
were evaluated in 19 patients after surgical treatment for acromegaly.
Echocardiography revealed that left ventricular systolic function and dimensions
were within normal range in all patients. Significant inverse correlations were
found between IGF-I and the BNP (r=-0.5, p=0.02) and P-III-P levels (r=-0.62,
p=0.005). CONCLUSION: We observed an inverse significant relationship between IGF
I and both the BNP and P-III-P value in surgically treated acromegaly patients.
These observations suggest that appropriate levels of IGF-I have beneficial
cardioprotective effects after surgery in patients with acromegaly.
PMID- 18037754
TI - Angiotensin II type 1a receptor signals are involved in the progression of heart
failure in MLP-deficient mice.
AB - BACKGROUND: Angiotensin II (AT) is implicated in the development of cardiac
remodeling, which leads to heart failure, and pharmacological inhibition of the
AT type 1 (AT1) receptor has improved mortality and morbidity in patients of
heart failure. The aim of this study was to elucidate the role of the AT1
receptor in disease progression in muscle LIM protein (MLP)-deficient mice, which
are susceptible to heart failure because of defective function of mechanosensors
in cardiomyocytes. METHOD AND RESULTS: Hearts from MLP knockout (MLPKO) mice and
MLP-AT1a receptor double knockout (DKO) mice were analyzed. MLPKO hearts showed
marked chamber dilatation with cardiac fibrosis and reactivation of the fetal
gene program. All of these changes were significantly milder in the DKO hearts.
Impaired left ventricular (LV) contractility and filling were alleviated in DKO
hearts. However, the impaired relaxation and downregulated expression of
sarcoplasmic reticulum calcium-ATPase 2 were unchanged in DKO hearts.
CONCLUSIONS: The AT1a receptor is involved in progression of LV remodeling and
deterioration of cardiac function in the hearts of MLPKO mice. These results
suggest that blockade of the receptor is effective in preventing progression of
heart failure in dilated cardiomyopathy.
PMID- 18037755
TI - Sildenafil citrate (Viagra) enhances vasodilatation by atrial natriuretic peptide
in normal dogs.
AB - BACKGROUND: Sildenafil citrate (Viagra) is a selective inhibitor of cyclic
guanosine monophosphate (cGMP)-specific phosphodiesterase type 5, which might
enhance the vasorelaxant and natriuretic actions of atrial natriuretic peptide
(ANP) in patients with heart failure. The objective of this study was to examine
the combined effect of Viagra on hemodynamic changes during infusion of exogenous
ANP. METHODS AND RESULTS: Healthy male beagles were used to assess systemic blood
pressure, pulmonary artery pressure (PAP), and plasma levels of cGMP. After
hemodynamic variables were measured, 0.1 microg.kg(-1).min(-1) of ANP was given
during this study. One hour after initiating infusion of ANP, 2 mg/kg of
sildenafil citrate or vehicle was given orally via a nasogastric tube.
Hemodynamic changes were measured before and 1 h after these administrations.
Mean systemic and PAP decreased during infusion of ANP, and further decreased
after sildenafil citrate administration, however, mean systemic blood pressure
decreased within 10 mmHg. Plasma levels of cGMP also increased after sildenafil
citrate administration. CONCLUSION: In normal dogs, sildenafil citrate enhances
the vasodilator effect of ANP by increasing the cGMP level, however, the
concomitant use of sildenafil citrate with ANP will not induce severe
hypotension.
PMID- 18037756
TI - Beneficial effects of the dual L- and T-type Ca2+ channel blocker efonidipine on
cardiomyopathic hamsters.
AB - BACKGROUND: The T-type Ca2+ channel (TCC) is activated, and abnormalities of the
TCC may be related to the pathogenesis of Ca2+ overload, in cardiomyopathic
hamster hearts. The aims of the present study were to investigate the alteration
in expression of the TCC and to examine the effects of a dual L-and T-type Ca2+
channel blocker, efonidipine (EFO), on cardiac function and TCC during
development of heart failure in UM-X7.1 cardiomyopathic hamsters. METHODS AND
RESULTS: UM-X7.1 and golden hamsters were examined, and EFO was administered at
the age of 20 weeks for 4 weeks. Cardiac function was examined, the expression of
TCCalpha1G was measured, and ventricular myocytes were subjected to a patch-clamp
study. At 24 weeks, vehicle-treated UM-X7.1 hamsters exhibited significant
increases in left ventricular (LV) size, with marked decreases in ejection
fraction (LVEF) compared with golden hamsters. In the UM-X7.1 group, the
expression of TCCalpha1G increased during development of heart failure compared
with the golden hamster group. In the UM-X7.1 group, EFO treatment significantly
attenuated the decrease of LVEF without affecting blood pressure compared with
the vehicle group. EFO treatment decreased heart rate (by approximately 10%) in
both groups. In the golden hamster group, EFO treatment did not affect LV
function. The TCC current in ventricular myocytes was significantly increased in
UM-X7.1, and was inhibited by EFO in a dose-dependent manner. CONCLUSIONS: In
cardiomyopathic hamster hearts, abnormalities in the TCC may be at least in part
related to the pathogenesis of abnormal Ca2+ homeostasis, and TCC-blocker
treatment may decrease the TCC current, resulting in an improvement of cardiac
function. TCC blocker therapy might be a new strategy for certain types of heart
failure.
PMID- 18037757
TI - Reverse-remodeling effects of angiotensin II type 1 receptor blocker in a canine
atrial fibrillation model.
AB - BACKGROUND: The reverse-remodeling effect of angiotensin II type 1 receptor
blocker (ARB) on atrial fibrillation (AF) is unclear. METHODS AND RESULTS:
Sustained AF was induced in 20 dogs by 4-week rapid atrial pacing. The AF
duration, atrial effective refractory period (AERP) and intra-atrial conduction
time (CT) were measured every 2 weeks. After 4-week pacing, dogs were randomly
assigned to control (n=10) and ARB (olmesartan; n=10) groups. Olmesartan was
administered orally (3 mg.kg(-1).day(-1)) after pacing was terminated, and
continued for the 4-week recovery period. After 4-week pacing, AERP shortening,
CT prolongation and AF maintenance were not significantly different between the 2
groups. During the recovery, AERP recovered to baseline in both groups. CT
remained prolonged in the control group during the recovery, but recovered to
baseline in the olmesartan group. The mean AF duration in the olmesartan group
after 4-week-recovery was significantly shorter than that in the control group
(58+/-20 vs 1,337+/-226 s, p<0.001). Olmesartan significantly decreased
interstitial fibrosis compared with the control group (9+/-1% vs 15+/-1 at the
right atrial appendage, p<0.001). CONCLUSION: Olmesartan has a reverse-remodeling
effect on AF-induced structural changes, indicating that it may be useful for
preventing AF recurrence after the termination of sustained AF.
PMID- 18037758
TI - Successful catheter ablation of left ventricular epicardial tachycardia
originating from the great cardiac vein: a case report and review of the
literature.
AB - A patient underwent radiofrequency (RF) catheter ablation for a drug-refractory
ventricular tachycardia, but RF energy application at an endocardial site of the
left ventricular outflow tract and at the left sinus of Valsalva could not
eliminate the tachycardia. The earliest ventricular activation during the
arrhythmia, which preceded the onset of the QRS complex by 32 ms, was found
within the great cardiac vein and complete elimination of the tachycardia was
finally achieved with RF application at that site.
PMID- 18037759
TI - Pulmonary vein isolation in patients with paroxysmal atrial fibrillation after
direct suture closure of congenital atrial septal defect.
AB - Two cases of paroxysmal atrial fibrillation (AF) first occurred 15 and 36 years,
respectively, after isolated direct suture closure of an atrial septal defect
(ASD) and failed to be controlled by antiarrhythmic drug therapy. In these cases,
an atrial transseptal procedure was feasible and no residual iatrogenic ASD was
observed, even after multiple procedures. Pulmonary vein (PV) isolation was also
feasible and safe and could eliminate the AF completely. PV isolation may become
an alternative to antiarrhythmic drug therapy in patients with paroxysmal AF
occurring late after an isolated direct suture closure of an ASD.
PMID- 18037760
TI - Catecholamine cardiomyopathy associated with paraganglioma rescued by
percutaneous cardiopulmonary support: inverted Takotsubo contractile pattern.
AB - A case of catecholamine cardiomyopathy associated with paraganglioma, which was
rescued by percutaneous cardiopulmonary support system (PCPS), is presented.
Unlike typical apical ballooning, transthoracic echocardiography and left
ventriculography revealed severe left ventricular (LV) dysfunction and an
abnormal contractile pattern, consisting of akinesis of the basal and
midventricular segments and hyperkinesis of the apical segments. Because of the
intractable cardiogenic shock, despite conventional treatment, PCPS was
performed. The underlying disease was paraganglioma. Catecholamine excess may
induce not only transient LV apical ballooning but also atypical LV ballooning
without involvement of the LV apex. Early use of PCPS is important for the
patient with a catecholamine crisis who is not stabilized by intra-aortic balloon
pump as well as infusion of fluid and inotropic agents.
PMID- 18037761
TI - Rupture of aneurysmal circumflex coronary artery into the left atrium after
ligation of its arteriovenous fistula.
AB - Aneurysmal circumflex coronary artery (Cx) with fistulous connection to the
coronary sinus is a rare clinical entity that usually remains asymptomatic until
later in life, so the ideal therapeutic strategy is poorly defined. The timing of
surgical treatment for asymptomatic patients is a big issue, and whether to leave
or exclude the diffuse aneurysm in addition to ligation of the fistula is
controversial, considering the native myocardial circulation. Complete surgical
repair, including exclusion of a diffusely aneurysmal Cx and coronary
revascularization to a graftable branch in the circumflex area combined with
ligation of its fistula, is quite challenging and sometimes fatal because of a
broad posterolateral myocardial infarction without revascularization caused by a
lack of graftable branches. A case of diffuse aneurysmal Cx, which ruptured into
the left atrium after surgical ligation of its fistulous connection to the
coronary sinus, is presented. Simple ligation of the fistula, leaving a gigantic
aneurysmal circumflex artery, is hazardous for later rupture and should be
avoided. Therapeutic strategies for this complex disorder are discussed,
including the optimal timing of surgical treatment.
PMID- 18037762
TI - The risk factors for thromboembolism in nonvalvular atrial fibrillation and
CHADS2 scoring in Japan.
PMID- 18037764
TI - Misuse of regression analysis and correlation in "new criteria for 'obesity
disease' in Japan".
PMID- 18037765
TI - Synchronicity and ventricular arrhythmias in hypertension.
PMID- 18037766
TI - Adenosine and cardioprotection in chronic heart failure: genes and protein
expression.
PMID- 18037767
TI - Impaired left ventricular systolic synchronicity in hypertensive patients with
ventricular arrhythmias.
AB - Left ventricular (LV) systolic synchronicity is impaired in hypertensive
patients. Ventricular arrhythmias often co-exist in hypertensive patients;
hypertension and ventricular arrhythmias have an adverse impact on cardiac
function. However, the influence of ventricular arrhythmias on LV synchronicity
was not clear. The objective of the present study was to investigate the
influence of ventricular arrhythmias on LV synchronicity in hypertensive
patients. Tissue Doppler imaging (TDI) was performed in 136 subjects. Group 1
consisted of 74 hypertensives without any arrhythmias; group 2 consisted of 30
hypertensive patients with ventricular arrhythmias; and the control group
consisted of 32 normal subjects. Using three apical views, LV synchronicity was
assessed by the maximal differences in time to peak myocardial systolic
contraction (T(s)) and early diastolic relaxation (T(e)) between any two of the
LV segments (T(s)-max, T(e)-max) and the standard deviation of T(s) (T(s)-SD) and
T(e) (T(e)-SD) of all 12 segments. T(s)-max was significantly prolonged in group
2 compared with group 1 and the control group (93.70 +/- 20.97 ms vs. 79.48 +/-
25.46 ms [p<0.01] or 53.83 +/- 15.42 ms [p<0.001], respectively). T(s)-SD was
also significantly prolonged in group 2 compared with group 1 and the control
group (38.16 +/- 5.82 ms vs. 33.37 +/- 6.04 ms [p<0.05] or 24.01 +/- 3.58 ms
[p<0.001], respectively). In conclusion, LV systolic synchronicity was impaired
in hypertensive patients with ventricular arrhythmias, and TDI was shown to be
useful for the detection of myocardial abnormalities in such patients.
PMID- 18037768
TI - Associations of brachial-ankle pulse wave velocity and carotid atherosclerotic
lesions with silent cerebral lesions.
AB - Silent cerebral lesions are increasingly found in mass screenings using MRI and
magnetic resonance angiography (MRA). The purpose of this paper is to assess the
usefulness of two non-invasive clinical tests-carotid ultrasound examination and
brachial-ankle pulse wave velocity (baPWV) measurement-for predicting silent
cerebral infarction (SCI) and silent intracranial arterial stenosis. Data were
collected on 480 asymptomatic adult subjects who participated in a brain
screening program at a single hospital between April 2003 and March 2006. All
participants underwent baPWV measurement, B-mode ultrasonography of carotid
arteries, MRI, and MRA. Data on 476 (99.1%) subjects were included in the
analysis. Among these, 273 (57.4%) were male and the mean age was 51.5 years; 161
(33.8%) had carotid plaque; 33 (6.9%) had increased intima-media thickness (IMT);
99 (20.8%) had SCI; and 7 (1.5%) had intracranial arterial stenosis. The
multivariate analysis showed that age (odds ratio [OR]: 1.12; 95% confidence
interval [CI]: 1.08-1.17), carotid plaque (OR: 2.69; 1.59-4.56), increased IMT
(OR: 2.40; 1.02-5.65), and a history of hypertension treatment (OR 2.22; 1.11
4.43) were significantly associated with SCI. Also, increased IMT (OR 9.70: 1.48
63.71) was related to intracranial arterial stenosis. Brachial-ankle PWV was
related to SCI (p<0.01) and intracranial stenosis (p=0.01) in univariate analysis
but not in multivariate analysis. The presence of carotid plaque and that of
increased IMT on ultrasound examination are useful for assessing the risk of SIC.
Increased IMT is also predictive of intracranial arterial stenosis.
PMID- 18037769
TI - Pycnogenol, French maritime pine bark extract, augments endothelium-dependent
vasodilation in humans.
AB - Pycnogenol, an extract of bark from the French maritime pine, Pinus pinaster
Ait., consists of a concentrate of water-soluble polyphenols. Pycnogenol contains
the bioflavonoids catechin and taxifolin as well as phenolcarbonic acids.
Antioxidants, such as bioflavonoids, enhance endothelial nitric oxide (NO)
synthase expression and subsequent NO release from endothelial cells. The purpose
of this study was to determine Pycnogenol's effects on endothelium-dependent
vasodilation in humans. This was a double-blind, randomized, placebo and active
drug study. We evaluated forearm blood flow (FBF) responses to acetylcholine
(ACh), an endothelium-dependent vasodilator, and to sodium nitroprusside (SNP),
an endothelium-independent vasodilator, in healthy young men before and after 2
weeks of daily oral administration of Pycnogenol (180 mg/day) (n=8) or placebo
(n=8). FBF was measured by using strain-gauge plethysmography. Neither the
placebo nor Pycnogenol altered forearm or systemic hemodynamics. Pycnogenol, but
not placebo, augmented FBF response to ACh, from 13.1 +/- 7.0 to 18.5 +/- 4.0
mL/min per 100 mL tissue (p<0.05). SNP-stimulated vasodilation was similar before
and after 2 weeks of treatment in the control and Pycnogenol groups. The
administration of N(G)-monomethyl-L-arginine, an NO synthase inhibitor,
completely abolished Pycnogenol-induced augmentation of the FBF response to ACh.
These findings suggest that Pycnogenol augments endothelium-dependent
vasodilation by increasing in NO production. Pycnogenol would be useful for
treating various diseases whose pathogeneses involve endothelial dysfunction.
PMID- 18037770
TI - Impact of adenosine receptor signaling and metabolism on pathophysiology in
patients with chronic heart failure.
AB - Adenosine is well known to be a cardioprotective substance in ischemic heart
disease. However, the modulation of adenosine receptors and the production and
degradation of endogenous adenosine in chronic heart failure (CHF) are not fully
understood. We analyzed the gene expression patterns of adenosine-related genes
in human failing and nonfailing myocardium using DNA microarray analysis and
quantitative real time-polymerase chain reaction (RT-PCR). DNA microarray
analysis revealed that the gene expression of adenosine A2a, A2b, and A3
receptors (A2aR, A2bR, and A3R) as well as that of adenosine deaminase (ADA)
decreased in failing myocardium. The down-regulation of these genes was verified
by quantitative RT-PCR. We also measured the activities of these adenosine
metabolism-related enzymes in failing myocardium and cardiac adenosine levels in
patients with CHF. In CHF patients, we observed the decreased enzyme activity of
ADA and the elevation of cardiac adenosine levels in CHF patients. To enhance the
signaling of adenosine receptors, we increased plasma adenosine levels using
dipyridamole, which decreased the severity of CHF. The gene expression of A2aR,
A2bR, A3R, and ADA was decreased in the failing hearts, and this decrease may
impair adenosine-related signal transduction. The activities of adenosine-related
enzymes were altered, thus increasing the myocardial adenosine levels; this
increase may compensate for the impairment of adenosine-related signal
transduction in patients with CHF. The impairment of adenosine-related signal
transmission contributes to the pathophysiology of CHF.
PMID- 18037771
TI - Haplotype-based case-control study of the association between the guanylate
cyclase activator 2B (GUCA2B, Uroguanylin) gene and essential hypertension.
AB - Uroguanylin (gene name: guanylate cyclase activator 2B, GUCA2B) is a peptide
regulator of intestinal salt and water transport. It has been reported that the
uroguanylin knockout mouse exhibits elevated blood pressure. Therefore, the
GUCA2B gene is thought to be a susceptibility gene for essential hypertension
(EH). Despite extensive studies, however, the relationship between the GUCA2B
gene and EH has not yet been defined. The aim of this study was to assess the
association between the human GUCA2B gene and EH. Using four single nucleotide
polymorphisms (SNPs), we conducted a genetic association study in 281 EH patients
and 279 age-matched normotensive (NT1) individuals. To derive more reliable data,
we performed a duplicate case-control study in which we recruited another
normotensive group (NT2). There was no significant difference in the overall
distribution of alleles for any of the SNPs between the EH and NT1 groups, or
between the EH and NT2 groups. Therefore, these four SNPs cannot be the genetic
markers for EH. The occurrences of the C-A haplotype (rs883062-rs1047047) and the
C-A-G haplotype (rs883062-rs1047047-rs2297566) were significantly higher in the
EH group than in the NT1 group (p<0.0001) or the NT2 group (p<0.0001). These
results suggest that the C-A haplotype and the C-A-G haplotype of the GUCA2B gene
are the genetic markers for EH, and that GUCA2B or a neighboring gene might be a
susceptibility gene for EH.
PMID- 18037772
TI - Renal-protective effect of T-and L-type calcium channel blockers in hypertensive
patients: an Amlodipine-to-Benidipine Changeover (ABC) study.
AB - Both strict blood pressure control and efferent artery dilatation are critical in
reducing proteinuria, which in turn helps to regulate blood pressure. Benidipine,
an L- and T-type calcium channel blocker, has the potential for increased
effectiveness compared with L-type-dominant calcium channel blockers such as
amlodipine. Therefore, we evaluated blood pressure and proteinuria after
changeover from amlodipine to benidipine in poorly controlled hypertensive
patients. Fifty-eight hypertensive outpatients undergoing amlodipine treatment
and unable to achieve optimal blood pressure as determined by Japanese Society of
Hypertension Guidelines for the Management of Hypertention (JSH 2004) were
changed over to benidipine treatment. We measured blood pressure and pulse rate
and assessed urinary protein excretion before and after changeover. Systolic and
diastolic blood pressure dropped from 151/90 mmHg to 140/81 mmHg (p<0.0001). Mean
blood pressure (p<0.0001) and pulse pressure (p=0.0069) were also reduced, but
pulse rate increased from 75 bpm to 78 bpm (p=0.0047). Urinary protein excretion
adjusted for urinary creatinine was reduced from 0.35 +/- 0.82 to 0.22 +/- 0.55
g/g creatinine (p=0.0119). The urinary protein reduction was observed only in
patients with renin-angiotensin inhibition (p=0.0216). By switching from
amlodipine to benidipine treatment, more than 80% of patients reduced their blood
pressure, and more than 40% achieved optimal blood pressure. Higher urinary
protein excretion (p<0.0001), lower glomerular filtration rate (p=0.0011) and
presence of diabetes (p=0.0284) were correlated with reduction of urinary
proteins during changeover. Taken together, our results suggest that benidipine
may have greater efficacy than amlodipine in reducing blood pressure and
proteinuria.
PMID- 18037773
TI - Design and baseline characteristics of an observational study in Japanese
patients with hypertension: Japan Hypertension Evaluation with Angiotensin II
Antagonist Losartan Therapy (J-HEALTH).
AB - The Japan Hypertension Evaluation with Angiotensin II Antagonist Losartan Therapy
(J-HEALTH) study is a nationwide, prospective, multicenter observational study
that was designed to enroll hypertensive Japanese patients (>30,000 subjects).
The patients in this study received treatment with open-label losartan, an
angiotensin II receptor antagonist, for a maximum of 5 years. This report
summarizes the study protocol and the baseline characteristics of the patients.
Between June 2000 and May 2002, patients were screened in all 47 prefectures
around Japan. Among the 31,515 patients screened, 31,048 patients were enrolled
in this study and treated with losartan at a daily dose of 25-50 mg. These
patients were 62.4 +/- 12.1 years old (mean +/- SD) and the mean clinic
systolic/diastolic blood pressure (BP) values were 165.3 +/- 17.3/94.3 +/- 11.7
mmHg (mean +/- SD). The complications of hyperlipidemia, diabetes mellitus,
cardiovascular disease, and cerebrovascular disease were also present in 38.5%,
13.1%, 8.0%, and 4.4% of patients, respectively. Regarding the World Health
Organization classification, grade 2 hypertension was most frequent in this
patient cohort. Nearly 10,000 patients agreed to perform home BP monitoring and
report details regarding their lifestyles at baseline. Among the patients, 4.2%
had white coat hypertension at the baseline. The J-HEALTH study is expected to
provide valuable information about the significance of clinic and home BP control
and home BP monitoring for the management of hypertension in Japanese patients.
PMID- 18037774
TI - The effect of combination therapy with an L/N-Type Ca(2+) channel blocker,
cilnidipine, and an angiotensin II receptor blocker on the blood pressure and
heart rate in Japanese hypertensive patients: an observational study conducted in
Japan.
AB - Recently, the use of combination therapy with a calcium channel blocker (CCB) and
an angiotensin II receptor blocker (ARB) has been rapidly increasing. Although
this combination therapy is accepted as a standard treatment hypertension, there
have been few large-scale, multicenter studies examining its safety and efficacy.
The present study was designed to investigate the safety and efficacy of adding
cilnidipine, a dual L/N-type CCB, to the regimen of patients whose blood pressure
had been poorly controlled (systolic blood pressure [SBP] >140 mmHg or diastolic
blood pressure [DBP] >90 mmHg) by antihypertensive monotherapy with an ARB. The
percentage achievement of the blood pressure goals recommended by the JSH 2000
guidelines was also assessed for at least 12 weeks of treatment. A total of 2,920
patients were enrolled in the study at 471 institutions in Japan from February
2003 to July 2004. The incidence of adverse reactions related to cilnidipine was
as low as 2.5%. A significant reduction from the baseline was found both in SBP
(from 164.1 +/- 15.3 to 139.2 +/- 15.3 mmHg, p<0.0001) and DBP (from 91.7 +/-
11.4 to 79.3 +/- 10.7 mmHg, p<0.0001). A total of 31.5% of the patients achieved
the blood pressure goals recommended by the JSH 2000 guidelines. Moreover, the
heart rate also significantly decreased in these patients, particularly in those
with a higher baseline heart rate. Our results indicate that cilnidipine can be
used in combination with an ARB to control blood pressure without any significant
adverse effects, and also that cilnidipine successfully reduces elevated heart
rate, which is a possible risk factor for cardiovascular events.
PMID- 18037775
TI - Fatty liver and uric acid levels predict incident coronary heart disease but not
stroke among atomic bomb survivors in Nagasaki.
AB - Relationships between fatty liver and coronary heart disease (CHD) and stroke
risk remain ill defined. We investigated whether fatty liver is a predictor of
CHD and stroke risk. Until December 2000 we followed 2,024 atomic bomb survivors
(775 men: 62.0 +/- 9.9 years old; 1,249 women: 63.2 +/- 8.4 years old) who had
basic examinations between November 1990 and October 1992 for clinical and
laboratory CHD risk factors and fatty liver and who were initially free of CHD
and stroke. Forty-nine cases of CHD and 84 cases of stroke were observed. At the
time of the baseline examinations, significant clinical associations were found
between fatty liver and obesity (p<0.001), hypertension (p<0.001), dyslipidemia
(p<0.001), and glucose intolerance (p<0.001). A slight but nonsignificant
association was found between fatty liver and hyperuricemia (p=0.07) as well. By
using multiple Cox regression analyses, age (relative risk [RR] 1.05, 95%
confidence interval [CI] 1.01-1.08), smoking (RR 2.20, 95% CI 1.02-4.74),
hyperuricemia (RR 2.30, 95% CI 1.08-4.89), and fatty liver (RR 2.53, 95% CI 1.06
6.06) were shown to be significant predictors of CHD, whereas age (RR 1.08, 95%
CI 1.06-1.10), smoking (RR 2.06, 95% CI 1.14-3.72), and hypertension (RR 2.14,
95% CI 1.38-3.30) predicted stroke risk. Fatty liver, which clusters clinical and
laboratory CHD risk factors, is an independent predictor of CHD, but not of
stroke. Fatty liver should be followed as a feature of metabolic syndrome, with
the aim of preventing CHD.
PMID- 18037776
TI - Effectiveness of add-on low-dose diuretics in combination therapy for
hypertension: losartan/hydrochlorothiazide vs. candesartan/amlodipine.
AB - In guidelines, a combination therapy of two or more antihypertensives is
recommended for treatment of hypertension where monotherapy is ineffective.
Although diuretics or calcium channel blockers are commonly used as add-ons to
angiotensin receptor blocker (ARB), the most effective and safe combination has
not been established. In this randomized 4-month study, the efficacy and safety
were compared between an ARB/diuretics (losartan/hydrochlorothiazide [HCTZ])
combination and the most prescribed combination, ARB/calcium channel blocker
(candesartan/amlodipine) in hypertensive patients for whom 8 mg/day of
candesartan proved ineffective. After 36 patients were recruited and allocated
into two groups, changes in blood pressure (BP) and laboratory values were
analyzed in 31 patients: 16 patients received losartan (50 mg/day)/HCTZ (12.5
mg/day) (L/H group), and 15 patients received candesartan (8 mg/day)/amlodipine
(5 mg/day) (C/A group) after 5 patients were withdrawn. After 4 months, L/H
significantly (p<0.001) reduced mean systolic BP (SBP)/diastolic BP (DBP) from
baseline 160/89 +/- 13/11 mmHg to 140/80 +/- 9/8 mmHg, and C/A reduced BP from
161/90 +/- 10/11 mmHg to 141/79 +/- 10/7 mmHg. The efficacy in reducing BP was
similar between the two combination therapies. L/H significantly reduced serum
potassium, but within the normal range, and did not increase serum uric acid or
serum triglyceride. With L/H, the percentage of patients who attained the BP goal
in SBP was higher in elderly patients than in younger patients. As L/H is more
cost-effective than candesartan/amlodipine and has fewer adverse effects on uric
acid and other metabolic parameters than diuretic monotherapy, it is concluded to
be useful for the management of hypertension.
PMID- 18037777
TI - The incidence and risk factors of renal artery stenosis in patients with severe
carotid artery stenosis.
AB - We previously showed that renal artery stenosis (RAS) was commonly found in
patients with cardiovascular disease (CVD) such as myocardial infarction, stroke,
or abdominal aneurysm. The aim of the present study was to evaluate the incidence
and risk factors for RAS in patients with severe carotid artery stenosis (CAS)
considered to need carotid endarterectomy. From February to August 2006, 41
consecutive patients with severe CAS were admitted to the Department of
Neurosurgery of the National Cardiovascular Center. Each patient was examined for
renal function and urinary albumin excretion, and renal artery duplex scanning
was also performed. The patients were classified into two groups according to the
findings of renal Doppler sonography, 11 patients with RAS and 30 patients
without RAS. We evaluated the differences in clinical findings and renal function
between the groups and clarified the risk factors for RAS. In RAS patients,
smoking and incidence of other CVDs were evident, and renal function was impaired
significantly compared with the patients without RAS. Multivariate logistic
regression showed that the presence of other CVDs, renal function, and smoking
were significant clinical predictors for RAS. In patients with severe CAS, RAS
was frequently detected with the same frequency as ischemic heart disease. The
RAS risk factors were the presence of other CVDs, renal dysfunction, and smoking.
Since RAS is an underlying cause of hypertension and renal failure, it is
important to consider the presence of RAS in patients with severe CAS.
PMID- 18037778
TI - Reproducibility of masked hypertension determined from morning and evening home
blood pressure measurements over a 6-month period.
AB - Recently, the existence of so-called masked hypertension has attracted attention.
In this study, the reproducibility of masked hypertension determined from morning
and evening home blood pressure measurements was examined over a 6-month period.
Home blood pressure measurements were taken consecutively for 7 days in
volunteers at a company in 2002 and again in 2003. The 503 Japanese subjects (329
male, 174 female, mean age: 39.4 years), who were not taking antihypertensive
drugs, were asked to measure their home blood pressure three times every morning
and three times every evening. We defined casual blood pressure > or =140
(systolic) or > or =90 (diastolic) mmHg and home blood pressure > or =135 or > or
=85 mmHg as hypertension. We also defined normotension as <140 and <90 mmHg in
casual blood pressure and <135 and <85 mmHg in home blood pressure. We examined
the reproducibility of four blood pressure categories (normotension,
hypertension, white-coat hypertension, and masked hypertension) over a 6-month
period. When measured in morning home blood pressure, the reproducibility of
masked hypertension (59.1%) was significantly higher than that of white-coat
hypertension (25.0%) and similar to that of hypertension (67.6%). However, the
reproducibility of masked hypertension (23.5%) was similar to that of white-coat
hypertension (32.0%) and significantly lower than that of hypertension (66.7%)
when determined using evening home blood pressure. The concordances in the four
blood pressure categories between the two periods were 0.582 (kappa coefficient)
and 0.463 when determined using morning and evening home blood pressure data,
respectively. In conclusion, the reproducibility of masked hypertension over a 6
month period was moderate in an urban Japanese population when evaluated by
morning home blood pressure.
PMID- 18037779
TI - Prehypertensive renin-angiotensin-aldosterone system blockade in spontaneously
hypertensive rats ameliorates the loss of long-term vascular function.
AB - Arterial function after long-term hypertension is characterized by remodeling,
endothelial dysfunction and reduction of previously enhanced contractile
responses. We investigated whether transient prehypertensive renin-angiotensin
aldosterone system (RAAS) blockade modifies long-term arterial function. Wistar
Kyoto rats (WKY) (i) and spontaneously hypertensive rats (SHR) (ii) were
prehypertensively (week 4-8) treated with losartan (iii) or spironolactone (iv)
(20 and 0.5 mg/kg/day, respectively) and investigated at 8 and 72 weeks of age.
Systolic blood pressure (SBP) was measured intra-arterially. In isolated
mesenteric arteries, active wall stress (AWS), relaxation in response to
acetylcholine and wall-to-lumen ratio (W/L) were assessed. Western blotting and
immunofluorescent staining of whole-mount arterial preparations and two photon
laser scanning microscopy (TPLSM) were performed to quantify endothelial nitric
oxide synthase (eNOS) and analyze its intracellular distribution. In 8-week-old
SHR treatments were found to have reduced SBP. Relaxation, contractile responses
and vascular morphology remained unaffected irrespective of treatment. At 72
weeks, SBP was similar in all SHR groups ((i) 129+/-6, (ii) 222 +/- 10, (iii) 210
+/- 16, (iv) 214 +/- 9 mmHg). Relaxation and maximum AWS were enhanced after
treatments. W/L demonstrated hypertrophy ((i) 0.10 +/- 0.01, (ii) 0.16 +/- 0.02,
(iii) 0.15 +/- 0.01, (iv) 0.17 +/- 0.01). Untreated SHR (p<0.01), SHR treated
with losartan and SHR treated with spironolactone (p<0.05) showed less eNOS as
compared to WKY. In treated SHR eNOS was concentrated in a perinuclear
endothelial cell compartment. In conclusion, these findings demonstrate that
transient prehypertensive blockade results in a long-lasting and blood pressure
independent improvement of arterial contractility and endothelium-dependent
vasodilatation that persists in aging SHR. This might be associated with an
intracellular redistribution of eNOS in the endothelial cell layer.
PMID- 18037780
TI - Beneficial effects of pioglitazone on left ventricular hypertrophy in genetically
hypertensive rats.
AB - Beneficial effects of thiazolidinediones, peroxisome proliferator-activated
receptor gamma (PPARgamma) agonists, on cardiovascular injuries have been
reported. However, the effects of these agonists on left ventricular (LV)
hypertrophy have not been clarified. To investigate whether pioglitazone improves
LV hypertrophy, we used 32-week-old stroke-prone spontaneously hypertensive rats
(SHR-SP) that had been treated or not treated with pioglitazone (10 mg/kg/day)
for 8 weeks, and Wistar Kyoto rats (WKY). We evaluated LV geometry by
echocardiography; myocyte hypertrophy, tissue fibrosis, and appearance of
myofibroblasts by histological examination; mRNA expression by real-time
polymerase chain reaction (PCR); protein expression by Western blot; activities
of matrix metalloproteinase (MMP) by zymography; and production of reactive
oxygen species (ROS) by electron spin resonance spectroscopy or thiobarbituric
acid reactive substances (TBARS). SHR-SP showed concentric hypertrophy of the LV,
but WKY did not. The myocyte diameter, fraction of tissue fibrosis, and number of
myofibroblasts were greater in SHR-SP. mRNA expressions of collagen type I and
type III, tissue growth factor (TGF)-beta1, and brain natriuretic peptide (BNP);
protein expression of connective tissue growth factor (CTGF); activities of MMP2
and MMP9; and ROS were increased in SHR-SP. Pioglitazone did not decrease blood
pressure, but partially normalized LV geometry in addition to decreasing myocyte
diameter, interstitial fibrosis and number of myofibroblasts; mRNA levels of
collagen type I and BNP; MMP2 activity; and protein level of CTGF. However, the
mRNA level of collagen type III and TGF-beta1, MMP9 activity, and ROS production
were not improved. In conclusion, pioglitazone reversed the concentric LV
remodeling independently from blood pressure or oxidative stress in chronic
hypertension.
PMID- 18037781
TI - Peptide mimotopes of complex carbohydrates in Salmonella enterica serovar typhi
which react with both carbohydrate-specific monoclonal antibody and polyclonal
sera from typhoid patients.
AB - Polyclonal sera from typhoid patients and a monoclonal antibody, mAb ATVi, which
recognizes the capsular polysaccharide Vi antigen (ViCPS), were used to select
for peptides that mimic the ViCPS by using a phage-displayed random 12-mer
peptide library. Two major common mimotopes selected from the library carried the
amino acid sequences TSHHDSHGLHRV and ENHSPVNIAHKL. Enzyme-linked immunosorbent
assays (ELISAs) showed that these peptides carry mimotopes to ViCPS. Phage clones
that contained the 12-mer peptides were also tested against pooled/individual
typhoid patients' sera and found to have 3 to 5 times higher binding compared to
normal sera. By using Phage-ELISA assays, the derived synthetic peptides,
TSHHDSHGLHRV and ENHSPVNIAHKL, were tested against a monoclonal antibody mAb ATVi
and over 2-fold difference in binding was found between these peptides and a
control unrelated peptide, CTLTTKLYC. Inhibition of the mAb's binding to ViCPS
indicated that the synthetic peptides successfully competed with the capsular
polysaccharide for antibody binding.
PMID- 18037782
TI - Direct comparison of the pharmacodynamics of four antifungal drugs in a mouse
model of disseminated candidiasis using microbiological assays of serum drug
concentrations.
AB - The aim of this study was to compare the pharmacodynamics of the azole antifungal
drugs fluconazole, itraconazole and ketoconazole, and the polyene antifungal
amphotericin B, in a mouse model of disseminated Candida albicans infection. In
order to directly compare effective serum concentrations of these antifungals,
drug concentrations were assayed microbiologically by measuring inhibition of C.
albicans mycelial growth (mMIC) in a mouse serum-based assay (serum antifungal
titer). Efficacy in the mouse infection model was determined using an organ-based
(kidney burden) endpoint. For all four drugs, the serum antifungal titers, 8 hr
after administration of single doses of drugs at a range of drug concentrations,
correlated closely with C. albicans kidney fungal burden in the mouse model. The
results showed that determining serum antifungal titer may be used to accurately
represent kidney fungal burden in a mouse model of disseminated candidiasis and
allowed direct comparison of the pharmacodynamics of differing classes of
antifungal drugs.
PMID- 18037783
TI - Molecular cloning and characterization of all RND-type efflux transporters in
Vibrio cholerae non-O1.
AB - Resistance Nodulation cell Division (RND) efflux transporters are thought to be
involved in mediating multidrug resistance in Gram-negative bacteria, including
Vibrio cholerae non-O1. There are six operons for putative RND-type efflux
transporters present in the chromosome of V. cholerae O1 including two operons,
vexAB and vexCD, which had already been identified. All of the six operons were
cloned from V. cholerae non-O1, NCTC4716 by the PCR method, introduced, and
expressed in cells of drug hypersusceptible Escherichia coli KAM33 (DeltaacrAB,
DeltaydhE). Only vexEF conferred elevated minimum inhibitory concentrations
(MICs) of some antimicrobial agents in the E. coli cells. However, VexEF did not
confer increased MIC of any drug tested in tolC-deficient E. coli KAM43 cells. On
the other hand, when E. coli KAM43 was transformed with vexAB, vexCD or vexEF
together with tolC(Vc) of V. cholerae NCTC4716, we observed elevated MICs of
various antimicrobial agents. Among them, E. coli KAM43 expressing both VexEF and
TolC(Vc) showed much higher MICs and much broader substrate specificity than the
other two. We also observed ethidium efflux activity via VexEF-TolC(Vc), and the
activity required Na(+). Thus, VexEF-TolC (Vc) is either a Na(+)-activated or a
Na(+)-coupled transporter. To our knowledge, this is the first report on the
requirement of Na(+) for an RND-type efflux transporter.
PMID- 18037784
TI - Small red bean (azuki) sheds biologically active substances as a prerequisite
step for germination, one of which displays the antiviral activity against the
rabies virus infectivity and infections in culture.
AB - When small red beans (azuki bean; Vigna angularis Ohwi et Ohashi) were soaked and
warmed in water or saline, the beans began to absorb water to swell and exuded
kinds of substances probably as a prerequisite step for seed germination. Such
exudate fluids displayed strong antiviral activity against the rabies virus
infections in culture. On the other hand, little anti-rabies activity was
detected in the aqueous extracts from the red beans when tested soon after the
extraction from powdered beans, while low titers of antiviral activity appeared
gradually in the extracts during cold storage. In contrast, no antiviral activity
was detected in the exudate fluids from non-colored azuki beans (white azuki),
implicating that a certain anthocyanin-related substance is involved in the
antiviral activity of red beans. Production of antiviral and cytotoxic activities
were affected differently depending on the bean-soaking conditions. In addition,
the antiviral activity resisted to 10 min-heating in boiling water, while the
cytotoxicity was greatly weakened by the heating, suggesting that different
substances are involved in the antiviral and cytotoxic activities. Further
studies on the antiviral activity of the exudate fluids demonstrated that anti
rabies activity of the bean exudates affected not only the very early phase of
infection cycle, but the viral infectivity was also affected similarly,
implicating a possible application of azuki bean exudate fluids to post-exposure
treatment of rabid dog-bite injuries in combination with vaccination.
PMID- 18037785
TI - Mode of infection of Hokkaido virus (Genus Hantavirus) among grey red-backed
voles, Myodes rufocanus, in Hokkaido, Japan.
AB - Hokkaido virus (HOKV) is a member of the genus Hantavirus, in the family
Bunyaviridae. To investigate HOKV infection in the host Myodes rufocanus, the
grey red-backed vole, 199 animals were captured at Tobetsu (October 2004 and July
2005) and Nakagawa (October 2004) in Hokkaido, Japan, for detection of antibody,
antigen, and viral RNA. In the surveys in Tobetsu (2004) and Nakagawa (2004),
seropositive animals were detected at a frequency of 6.0% (5/84) and 10.4%
(5/48), respectively. No seropositive animals were detected in Tobetsu in 2005.
Seroprevalence in males in Tobetsu and Nakagawa in 2004 was 25% (1/4) and 45.5%
(5/11), respectively, which was higher than in females, at 5.0% (4/80) and 0%
(0/37), respectively (P<0.01). These results suggest that male animals play an
important role in the maintenance of HOKV in M. rufocanus. Two females were
seronegative but viral RNA-positive, indicating that these animals had acute
infections before antibody was produced. Another five infected animals in
Nakagawa were all male and had high levels of antibodies and viral RNA,
suggesting that they had persistent infections. Viral RNA copies in organs of
infected animals in Nakagawa were quantified by real-time polymerase chain
reaction. Two acutely infected animals had > or = 10 times the number of RNA
copies in their lungs compared to those of persistently infected animals. In most
cases, lungs or spleen had the highest RNA copy number, regardless of infection
status.
PMID- 18037786
TI - Production of cross-reactive peptide antibodies against viral capsid proteins of
human enterovirus B to apply diagnostic reagent.
AB - The coxsackievirus group B (CVB) of the genus Enterovirus and the species human
enterovirus B is a nonenveloped virus containing a single-stranded positive-sense
RNA genome. Coxsackievirus has icosahedral symmetry and four capsid proteins,
VP1, VP2, VP3, and VP4. Specific antibodies against each viral protein are
prerequisites for various studies. In this study, we developed seven peptide
derived antibodies directed against coxsackievirus VP1 (NO1-NO5), VP2 (B3), and
VP3 (GL3). We developed a type-specific antibody (NO1) and broadly cross-reactive
antibodies (NO3 and NO5) to VP1. Anti-VP2 and anti-VP3 antibodies (B3 and GL3,
respectively) are also cross-reactive to human enterovirus B such as CVB and
echoviruses. Their sensitivities and reactivities are likely to be better than
those of the commercial VP1 monoclonal antibody (MAb). The dot-blot analysis also
showed that NO5 against VP1 is able to detect less than 1 microg [2x10(6) plaque
forming unit (pfu) of CVB3] of viruses, suggesting that it could be used to
develop a diagnostic kit that can directly detect human enterovirus B. The
antibodies produced here may allow us to undertake several studies, such as those
involving viral trafficking, expression kinetics, and the roles of viral proteins
in infection, and the development of diagnostic kits.
PMID- 18037787
TI - Immune responses of mice to influenza subunit vaccine in combination with CIA07
as an adjuvant.
AB - CIA07 is an immunostimulatory agent composed of E. coli DNA fragments and
modified LPS lacking the lipid A moiety. In this study, we investigated whether
CIA07 promotes immune responses as an adjuvant to the influenza subunit vaccine.
Balb/c mice were immunized intramuscularly once or twice at a 4-week interval
with the trivalent influenza subunit vaccine antigen alone or in combination with
CIA07 as adjuvant. Antigen-specific serum antibody titers and hemagglutination
inhibition (HI) antibody titers were assessed. At 4 weeks after each
immunization, the antigen-specific total serum IgG antibody titer in mice
receiving CIA07 was 2 to 3 times higher than that in animals administered antigen
alone (P<0.05). The CIA07-treated group additionally displayed higher HI antibody
titers against each of the 3 vaccine strains, compared to the antigen group.
Animals receiving antigen alone displayed barely detectable antigen-specific
serum IgG2a antibody titers. In contrast, coadministration of CIA07 with antigen
led to significantly enhanced IgG2a antibody responses, suggesting that CIA07
stimulates a Th1-type immune response. Moreover, the CIA07-treated group
displayed a marked increase in the number of interferon gamma-producing CD8(+) T
cells in splenocytes. These data collectively demonstrate that CIA07 has the
ability to induce both Th1-type cellular and Th2-type humoral immune responses to
the influenza subunit vaccine, and support its potential as an effective adjuvant
to the influenza vaccine.
PMID- 18037788
TI - Orally administrated Lactobacillus gasseri TMC0356 and Lactobacillus GG
alleviated nasal blockage of guinea pig with allergic rhinitis.
AB - Lactobacillus GG (LGG) and L. gasseri TMC0356 (TMC0356) were investigated for
their ability to alleviate nasal blockage associated with allergic rhinitis using
a guinea pig model. The increases in sRaw at 10 min and 5 hr after the exposure
of the nasal mucosa to OVA were significantly alleviated in the guinea pigs
orally administrated with LGG and TMC0356 compared with those of the control
(P<0.05 and P<0.01). The total numbers of leukocytes, particularly eosinophils
and neutrophils from the nasal cavity lavage fluid, and the OVA-specific IgE
concentration in the serum were also decreased in the guinea pigs orally
administrated with LGG and TMC0356, although the decreases were not statistically
significant. These results suggest that LGG and TMC0356 can alleviate antigen
induced nasal blockage in earlyphase and late-phase inflammatory responses
associated with allergic rhinitis.
PMID- 18037789
TI - Dectin-1 is not required for the host defense to Cryptococcus neoformans.
AB - Dectin-1 is known as a sole receptor for beta-glucan, a major cell wall component
of fungal microorganisms. In the current study, we examined the role of this
molecule in the host defense to Cryptococcus neoformans, an opportunistic fungal
pathogen in AIDS patients. There was no significant difference in the clinical
course and cytokine production between dectin-1 gene-deficient and control mice.
These results indicate that dectin-1 is not likely essential for the development
of host protective responses to C. neoformans.
PMID- 18037790
TI - Novel functions of herbal medicines in dendritic cells: role of Amomi Semen in
tumor immunity.
AB - Dendritic cells (DCs) have a major role in regulating immune responses, including
tumor immunity and peripheral tolerance. In the present study, we identified
novel functions of herbal medicines in DCs by screening 99 herbal medicines, most
of which are among the 210 Chinese medicines approved by the Ministry of Health,
Labour, and Welfare, Japan. Ethanol extracts were prepared, and a murine
epidermal-derived Langerhans cell line, XS106, was used to screen the 99 extracts
by analyzing major histocompatibility complex (MHC) class II expression. Amomi
Semen (amomum seed), Polyporus (polyporus sclerotium), and Plantaginis Semen
(plantago seed) potently activated XS106 and were selected for further analysis.
The effects of these extracts on bone marrow-derived DCs (BM-DCs) generated in
vitro were then analyzed using surface phenotype (MHC class II, CD80, and CD86)
and interleukin (IL)-12p70 production as indicators. BM-DCs treated with Amomi
Semen extract exhibited activated phenotypes and secreted IL-12p70. The
activation level was similar to that induced by lipopolysaccharides. Finally, an
E.G7-OVA tumor model (E.L4-OVA transfectant) was used to examine the anti-tumor
effects of Amomi Semen extract. Vaccination of mice with a subcutaneous injection
of BM-DCs treated with Amomi Semen extract and OVA peptide significantly
inhibited the growth of tumor cells and prolonged survival time compared to
controls. Furthermore, therapeutic effects were observed on established tumors.
The inhibition rates for both the prophylactic and therapeutic protocols were
comparable to those of lipopolysaccharides. These results indicate that Amomi
Semen extract potently activate DCs and is potentially useful for DC vaccination.
PMID- 18037791
TI - T-helper (Th)1/Th2 imbalance in the peripheral blood of dogs with malignant
tumor.
AB - T helper type 1 cell (Th1)/Th2 imbalance has been observed in a variety of
pathological conditions, including malignant diseases. We evaluated the Th1/Th2
in peripheral blood Th cells by means of intracytoplasmic cytokine analysis in 11
dogs with advanced malignant tumor; four of them showed metastatic tumor. The
percentage of Th1 was significantly lower and the percentage of Th2 was
significantly higher in diseased dogs compared to healthy dogs. The percentage of
Th1 in three patients with metastatic tumor was significantly lower than that in
the patients with non-metastatic tumor. We conclude that the Th1/Th2 balance was
polarized to Th2 in dogs with cancer.
PMID- 18037792
TI - Improved geometric distortion in coronal diffusion-weighted and diffusion tensor
imaging using a whole-brain isotropic-voxel acquisition technique at 3 Tesla.
AB - PURPOSE: We used a whole-brain, isotropic-voxel acquisition technique to improve
the geometric distortion in diffusion-weighted (DWI) and diffusion tensor imaging
(DTI) in coronal directions, which is remarkable at high magnetic fields.
MATERIALS AND METHODS: We performed magnetic resonance imaging of 17 healthy
volunteers using a 3T scanner and obtained coronal DWI/DTI as well as coronal
images that were reformatted from isotropic volume data acquired by 1.6-mm-thick
axial DWI/DTI. We visually evaluated the degree of image distortion and
quantitated the findings by co-registration analysis. RESULTS: In-plane geometric
distortions in coronal DWI/DTI, particularly at the frontal base and medial
temporal lobe, were dramatically diminished when the isotropic-voxel acquisition
technique was used. Quantitative measurement revealed a reduction in areas of
misregistration, but not their absence, in reformatted coronal images, mainly
because of distortion in the anteroposterior direction in the source images.
CONCLUSION: The isotropic-voxel DWI/DTI technique enabled acquisition of coronal
images that represented anatomical details accurately with permissible spatial
distortion while maintaining spatial resolution, even at 3T.
PMID- 18037793
TI - Standardizing display conditions of diffusion-weighted images using concurrent b0
images: a multi-vendor multi-institutional study.
AB - PURPOSE: To establish a practical method that uses concurrent b0 images to
standardize the display conditions for diffusion-weighted images (DWI) that vary
among institutions and interpreters. METHOD: Using identical parameters, we
obtained DWI for 12 healthy volunteers at 4 institutions using 4 MRI scanners
from 3 vendors. Three operators manually set the window width for the images
equal to the signal intensity of the normal-appearing thalamus on b0 images and
set the window level at half and then exported the images to 8-bit gray-scale
images. We calculated the mean pixel values of the brain objects in the images
and examined the variation among scanners, operators, and subjects. RESULT:
Following our method, the DWI of the 12 subjects obtained using the 4 different
scanners had nearly identical contrast and brightness. The mean pixel values of
the brain on the exported images among the operators and subjects were not
significantly different, but we found a slight, significant difference among the
scanners. CONCLUSION: Determining DWI display conditions by using b0 images is a
simple and practical method to standardize window width and level for evaluating
diffusion abnormalities and decreasing variation among institutions and
operators.
PMID- 18037794
TI - Imaging of a large collection of human embryo using a super-parallel MR
microscope.
AB - Using 4 and 8-channel super-parallel magnetic resonance (MR) microscopes with a
horizontal bore 2.34T superconducting magnet developed for 3-dimensional MR
microscopy of the large Kyoto Collection of Human Embryos, we acquired T(1)
weighted 3D images of 1204 embryos at a spatial resolution of (40 microm)(3) to
(150 microm)(3) in about 2 years. Similarity of image contrast between the T(1)
weighted images and stained anatomical sections indicated that T(1)-weighted 3D
images could be used for an anatomical 3D image database for human embryology.
PMID- 18037795
TI - Whole-body MRI for detecting metastatic bone tumor: diagnostic value of diffusion
weighted images.
AB - PURPOSE: We assessed the diagnostic value of whole body magnetic resonance (MR)
imaging (WB-MRI) using diffusion-weighted images (DWI) for detecting bone
metastasis and compared it with that of skeletal scintigraphy (SS). MATERIALS AND
METHODS: Thirty patients with malignancies (breast cancer, 17 patients; prostate
cancer, 9; and one patient each, thyroid cancer, liposarcoma, leiomyosarcoma, and
extraskeletal Ewing sarcoma) underwent both WB-MRI and SS to detect bone
metastasis. All patients were followed more than 6 months by MR imaging, SS, or
computed tomographic (CT) examination. For WB-MRI, patients were placed in feet
first supine position with table-top extender and quadrature body coil. We
acquired DWI (axial plane from lower neck to proximal femur) (single shot short
TI inversion-recovery [STIR]: repetition time [TR] 6243/echo time [TE]
59/inversion time [TI] 180 ms; b value: 600 s/mm(2); 5-mm slice thickness; 112 x
112 matrix), T(1)-weighted fast spin echo (T(1)WI), and STIR (sagittal plane of
total spine images and coronal plane of whole body images) images. Four blinded
readers independently and separately interpreted images of combined MR sequences
of T(1)WI+STIR (session 1) and T(1)WI+STIR+DWI (session 2). RESULTS: In 10 of 30
patients, we detected a total of 52 metastatic bone lesions; in the other 20,
follow-up examinations confirmed no metastatic bone lesions. For these 52
lesions, for session 2, the mean sensitivity was 96% and the positive predictive
value (PPV) was 98%. Those values were superior to those of session 1
(sensitivity: 88%; PPV: 95%) and those of SS (sensitivity: 96%; PPV: 94%).
CONCLUSION: WB-MRI that included DWI was useful for detecting bone metastasis.
PMID- 18037796
TI - Advances in coronary MRA from vessel wall to whole heart imaging.
AB - Since its introduction, magnetic resonance (MR) imaging has undergone continued
technical and methodological development and found numerous practical clinical
applications. Cardiac MR imaging is one of the more sophisticated applications of
MR, owing to the inherent presence of flow and motion and specific anatomy. Among
the different categories of cardiac MR imaging, coronary MR angiography (MRA)
places particularly high demands on planning, spatial resolution, high signal-to
noise ratio (SNR), and precise cardiac and respiratory motion correction.
However, recent advances in hardware, MR sequences, and motion detection
techniques have made it possible to perform coronary MRA that includes volumetric
acquisition of the entire heart as well as imaging of the vessel walls on a
submillimeter scale within a clinically acceptable scan time. We discuss from a
technical perspective some of the milestones leading to the current state of
coronary MR imaging and outline recent developments that will further advance
coronary MR imaging. We discuss planning procedure, contrast preparation
mechanisms and MR sequences, motion correction, high-resolution coronary artery
and vessel wall imaging, and fast volumetric scanning techniques. Although MR
imaging has certain limitations in providing simultaneous speed, resolution, and
high SNR, it nonetheless offers a dedicated scanning procedure that addresses
most clinically relevant questions in the diagnosis of ischemic heart disease.
PMID- 18037797
TI - Measuring visceral fat with water-selective suppression methods (SPIR, SPAIR) in
patients with metabolic syndrome.
AB - We attempted to measure the area and volume of visceral fat using magnetic
resonance (MR) imaging to avoid radiation exposure. We used water suppression
spectral attenuation with inversion recovery (WS-SPAIR) as prepulses and
conducted T(1) high-resolution isotropic volume examination (THRIVE). Image
processing software can be used to estimate the area and volume of fat and
separate the fat and water signals at a visually optimal threshold in the MR
image, which requires contrast enhancement between intestinal contents and
visceral fat. In 14 volunteers, we evaluated WS-SPAIR and water suppression
spectral presaturation with inversion recovery (WS-SPIR) with respect to the
relationship between the flip angle of THRIVE and signal contrast. We used flip
angles of 5 degrees, 10 degrees, and 20 degrees. The minimum threshold that
allowed exclusion of intestinal contents from the masked region was determined
for each technique. The volume and area of the masked region, which included
subcutaneous fat, were measured at the umbilicus level. Both volume and area
increased with a smaller flip angle. The masked region was larger with WS-SPIR
THRIVE (flip angle 5 degrees ). The size of the masked region was determined
according to the minimum threshold that allowed exclusion of the intestinal
contents from the masked region, expressing the contrast between the intestinal
contents and fat in a relative manner. It was speculated that by separating the
signals at the threshold, WS-SPIR-THRIVE (flip angle 5 degrees) was a more
suitable technique for measuring the area and volume of visceral fat.
PMID- 18037798
TI - Sensitivity of an eight-element phased array coil in 3 Tesla MR imaging: a basic
analysis.
AB - PURPOSE: To evaluate the performance advantages of an 8-element phased array head
coil (8 ch coil) over a conventional quadrature-type birdcage head coil (QD coil)
with regard to the signal-to-noise ratio (SNR) and image uniformity in 3 Tesla
magnetic resonance (MR) imaging. MATERIALS AND METHODS: We scanned a phantom
filled with silicon oil using an 8 ch coil and a QD coil in a 3T MR imaging
system and compared the SNR and image uniformity obtained from T(1)-weighted spin
echo (SE) images and T(2)-weighted fast SE images between the 2 coils. We also
visually evaluated images from 4 healthy volunteers. RESULTS: The SNR with the 8
ch coil was approximately twice that with the QD coil in the region of interest
(ROI), which was set as 75% of the area in the center of the phantom images. With
regard to the spatial variation of sensitivity, the SNR with the 8 ch coil was
lower at the center of the images than at the periphery, whereas the SNR with the
QD coil exhibited an inverse pattern. At the center of the images with the 8 ch
coil, the SNR was somewhat lower, and that distribution was relatively flat
compared to that in the periphery. Image uniformity varied less with the 8 ch
coil than with the QD coil on both imaging sequences. CONCLUSION: The 8 ch phased
array coil was useful for obtaining high quality 3T images because of its higher
SNR and improved image uniformity than those obtained with conventional
quadrature-type birdcage head coil.
PMID- 18037799
TI - The "morning glory sign" may lead to false impression according to slice angle.
PMID- 18037801
TI - Characteristics of helmet or knit cap use in head injury of snowboarders.
AB - The rate of head injury is 1.86-6 times higher for snowboarding than for skiing.
Detailed data about the usefulness of a helmet or knit cap for protecting against
serious head injuries have not been reported. The present study evaluated the use
of a helmet or knit cap for preventing head injuries. Questionnaire data were
collected from 1,190 consecutive patients in a hospital during the 1999/2000
2002/2003 winter seasons at Uonuma ski resort, Niigata, Japan. Patients were
divided into the helmet, knit cap, and no cap groups. Upper technical level was
highest and jumping as the cause of injury was most frequent in the helmet group.
After adjustment for other confounders, there was a significant negative
association between the occurrence of serious head injury during snowboarding and
female sex (adjusted odds ratio 0.55, 95% confidence interval 0.421-0.718, p <
0.0001) and a significant positive association between serious head injury and
jumping (adjusted odds ratio 2.25, 95% confidence interval 1.48-3.43, p =
0.0001). Among snowboarding maneuvers, only jumping showed a significant negative
association between wearing of a helmet or knit cap and the occurrence of serious
head injury (p = 0.036). Snowboarders who wear helmets might attempt dangerous
maneuvers causing injuries. Wearing of a helmet or knit cap protected against
serious head injuries on jumping. Every snowboarder should wear a helmet or knit
cap on jumping to prevent head injury.
PMID- 18037802
TI - Risk factors for perioperative cardiac complications after lumbar fusion surgery.
AB - Cardiac complication is a leading cause of death in the perioperative period
after non-cardiac surgery. The present study retrospectively investigated
perioperative cardiac complications after spinal fusion surgery in 901
consecutive adult patients who underwent fusion surgery in the lumbar spine from
January 2005 to December 2006. Cardiac complications developed in seven patients
(0.8%), four males and three females aged 62 to 75 years (mean 66.6 years), in
the perioperative period (myocardial infarction in 6 and angina pectoris in 1).
Cardiac complications developed after mini-open transforaminal lumbar interbody
fusion in four patients and after anterior lumbar interbody fusion in three. No
patient had any symptoms related to cardiac disease before surgery. Common
features were age over 60 years, a medical history of hypertension and/or
diabetes mellitus, and presence of calcified atherosclerosis of the abdominal
aorta and/or common iliac arteries. Five patients improved after conservative
medical treatment in an intensive care unit. Percutaneous transluminal coronary
angioplasty was performed in one patient and coronary artery bypass graft surgery
in one. The possibility of perioperative cardiac complications should be
considered before lumbar fusion surgery, especially in elderly patients with
hypertension and/or diabetes mellitus, and calcified atherosclerosis of the
abdominal aorta and/or common iliac arteries.
PMID- 18037803
TI - Splitting of the optic nerve associated with ruptured anterior communicating
artery aneurysm.
AB - A 52-year-old man presented with an anterior communicating artery aneurysm
associated with splitting, penetration, and visual symptoms of the optic nerve
and manifesting as sudden onset of severe headache. Angiography disclosed a 10-mm
anterior communicating artery aneurysm projecting supero-posteriorly, and
operative findings revealed unexpected splitting of the optic nerve. Moreover,
the optic nerve was not in direct contact with the aneurysm fundus. Splitting of
the optic nerve without the presence of a penetrating aneurysm is extremely rare.
The pathogenesis of optic nerve penetration may involve congenital fenestration
of the optic nerve.
PMID- 18037804
TI - Development of unusual collateral channel from the posterior meningeal artery
after endovascular proximal occlusion of the posterior inferior cerebellar
artery.
AB - A 38-year-old man presented with a dissecting aneurysm of the left proximal
posterior inferior cerebellar artery (PICA) manifesting as Wallenberg's syndrome.
The patient was treated by endovascular occlusion of the aneurysm and parent
artery. Immediately after the treatment, the PICA territory was supplied by
collateral circulation via the ipsilateral anterior inferior cerebellar artery.
Seven days later, endogenous revascularization of the distal PICA territory had
occurred via collateral circulation from the posterior meningeal artery (PMA).
This unusual collateral circulation was thought to occur through a pre-existing
anastomotic channel between the primitive vessels of the PICA and the PMA during
subclinical hypoperfusion of the distal PICA territory. This unusual case
demonstrates the potential for delayed development of collateral circulation from
the PMA to the PICA territory.
PMID- 18037805
TI - Intraosseous cavernous hemangioma of the frontal bone.
AB - A 32-year-old man presented with a primary intraosseous cavernous hemangioma
manifesting as a small painless swelling of the right forehead. Radiography
revealed a radiolucent osteolytic lesion in the right frontal region. Bone window
computed tomography demonstrated a 1.5 cm mass between the outer and inner tables
just lateral to the right frontal sinus. The outer and inner tables were thin and
partially defective, but without bone fracture. Magnetic resonance imaging
revealed a small mass lesion with bone erosion of the posterior table of the
frontal bone. Preoperative examination yielded no final diagnosis. En bloc
resection was performed. The histological diagnosis was primary intraosseous
cavernous hemangioma. Total resection is recommended for definitive diagnosis of
intraosseous tumor.
PMID- 18037806
TI - Association of cavernous malformation within vestibular schwannoma:
immunohistochemical analysis of matrix metalloproteinase-2 and -9.
AB - A 65-year-old man presented with a rare case of cavernous malformation with
hemorrhage located within vestibular schwannoma. He had suffered hearing
impairment for 20 years, and was admitted to our hospital with vertigo and ataxic
gait. Neurological examination revealed hearing loss, facial nerve paresis, and
left cerebellar ataxia. Magnetic resonance imaging demonstrated a left vestibular
schwannoma 35 mm in diameter, as well as a heterogeneous area associated with
hypointense rim within the tumor, indicating intratumoral hemorrhage. Subtotal
removal of the tumor together with the fibrously encapsulated hematoma was
performed through a left retrosigmoid craniotomy. Histological examination of the
surgical specimen revealed cavernous malformation within vestibular schwannoma.
Immunohistochemistry for matrix metalloproteinase (MMP)-2 and -9, and tissue
inhibitors of metalloproteinase-2 showed strong expression in the endothelial
cells of the cavernous malformation, but not in the interstitial structures. His
symptoms significantly improved after surgery and he underwent gamma-knife
therapy for the residual tumor. Cavernous malformations may show dynamic
characteristics such as repeated hemorrhage and de novo formation. MMP-2 and -9,
which are implicated in angiogenesis and hemorrhage, may be upregulated in such
tumors.
PMID- 18037807
TI - Intradural extramedullary ganglioneuroma associated with multiple hamartoma
syndrome.
AB - A 51-year-old woman presented with a rare completely intradural and
extramedullary spinal ganglioneuroma associated with multiple hamartoma syndrome
and manifesting as complaints of neck pain and dizziness persisting for 8 months.
Magnetic resonance imaging of the spinal cord revealed an intradural
extramedullary lesion at the C1 level. She underwent right suboccipital
craniectomy and C1-2 hemilaminectomy to remove the tumor. Histological
examination confirmed ganglioneuroma. She also suffered from multiple facial
trichilemmomas, thyroid goiter, multiple polyposis of the gastrointestinal tract,
and pulmonary hamartoma indicating multiple hamartoma syndrome. These benign
neoplasms were treated conservatively.
PMID- 18037808
TI - Magnetic resonance imaging of brain abscess with hemorrhage: implications for the
mechanism of hemorrhage.
AB - A 68-year-old woman presented with an extremely rare brain abscess associated
with old and acute hemorrhages manifesting as gradual onset of symptoms of
headache and fever. Magnetic resonance imaging clearly visualized the hemorrhage
as heterogeneously hyperintense on diffusion-weighted imaging, concentric hypo
isointense on T(1)-weighted imaging, and homogeneously hyperintense with a
hypointense rim on T(2)(*)-weighted imaging. T(1)-weighted imaging with contrast
medium revealed a well-enhanced cyst wall. In spite of treatment with
antibiotics, the neurological status of the patient deteriorated due to expansion
of the abscess and perifocal edema. Needle aspiration of the cyst yielded bloody
purulent fluid. The magnetic resonance imaging findings indicate that
neovascularization of the cyst wall is involved in the mechanism of hemorrhage in
brain abscess. Careful follow-up examinations are recommended in patients with
brain abscess to detect warning signs of neurological deterioration.
PMID- 18037809
TI - Closed-lip schizencephaly around the central sulcus with intractable epilepsy
treated by peri-lesional focus resection.
AB - A 24-year-old man presented with closed-lip schizencephaly around the right
central sulcus manifesting as an 11-year history of intractable epilepsy. Mild
motor paresis in the left extremities and mental retardation were observed. Tonic
posture with bilateral facial tonic contraction was asymmetrical, predominantly
in the left extremities. Magnetic resonance imaging demonstrated closed-lip
schizencephaly around the right central sulcus. The epileptogenic zone was
determined in the supplementary motor area, and premotor and primary sensorimotor
cortices using invasive recordings. As the thickened cortex was considered
functional, corticectomy of the supplementary motor area and premotor area was
performed, preserving the primary sensorimotor area. Histological examination
revealed marked cortico-subcortical gliosis, particularly in the medial part of
the resection. Asymmetrical tonic postural seizure disappeared completely after
surgery. Medically intractable epilepsy with schizencephaly represents a
considerable challenge in epilepsy surgery. Partial corticectomy adjacent to the
thickened cortex was effective for seizure control in a patient with closed-lip
schizencephaly around the central sulcus.
PMID- 18037810
TI - Simple new method for making a rat carotid artery post-angioplasty stenosis
model.
AB - A simple new method for making a rat post-angioplasty stenosis model was
developed using a single-lumen compliant balloon catheter/guidewire system and no
special diet. This technique was applied to 10 9-week-old Wistar rats fed a
normal diet. The catheter/guidewire system was inserted from the external carotid
artery and advanced retrogradely into the common carotid artery. The balloon was
inflated six times with rotation through 60 degrees . After angioplasty, the
external carotid artery was ligated. Homogeneous stenoses due to intimal
hyperplasia were demonstrated in cross sections of all cases. This simple and
gentle method allows an easily reproducible post-angioplasty stenosis model.
PMID- 18037812
TI - Adjuvant endobronchial amphotericin B for refractory invasive pulmonary
aspergillosis: evidence is scarce but promise does exist.
PMID- 18037813
TI - Direct hemoperfusion with a cytokine-adsorbing device for the treatment of
persistent or severe hypercytokinemia: a pilot study.
AB - BACKGROUND/AIMS: Cytokine overproduction has been noted during the aggravation of
clinical conditions. Countermeasures to control hypercytokinemia are therefore
important in critical care. We investigated the clinical efficacy of
hemoadsorption therapy using a new cytokine-adsorbing device in critically ill
patients with persistent or severe hypercytokinemia. METHODS: Direct
hemoperfusion using the CYT-860, a cytokine-adsorber column (CYT-860-DHP), was
performed in critically ill patients with hypercytokinemia. To evaluate the
efficacy of CYT-860-DHP, changes in pathological and clinical parameters were
examined. RESULTS: Seven patients with hypercytokinemia and a SOFA score of > or
= 5 underwent CYT-860-DHP treatment. Four patients survived 28 days after CYT-860
DHP treatment. Significant decreases in blood levels of cytokines were observed.
PaO2/F(I)O2 improved significantly. CONCLUSION: The possibility that CYT-860-DHP
treatment can reduce blood cytokine levels and thereby improve the general
condition of patients was suggested. These findings warrant the initiation of a
prospective randomized trial to evaluate the clinical efficacy of CYT-860-DHP
treatment.
PMID- 18037814
TI - Education and use of dementia drugs: a register-based study of over 600,000 older
people.
AB - BACKGROUND/AIMS: Low education has been shown to be a risk factor for dementia.
However, little is known about the association between educational level and
dementia drugs. METHODS: We conducted a register-based study in Sweden of 645,973
people aged 75-89 years. Data on age, sex, type of residential area
(urban/rural), dispensed drugs and education were analyzed from people aged 75-89
years registered in the Swedish Prescribed Drug Register during July to October
2005. The 3 main outcome measures were dispensed dementia drugs, cholinesterase
inhibitors (donepezil, rivastigmine and galantamine) and memantine. RESULTS:
Dementia drugs were used by 3% of the study population, cholinesterase inhibitors
by almost 3% and memantine by 0.4%. High education was associated with dementia
drugs (OR: 1.26; 95% CI: 1.19-1.34 for >or=15 years of education compared with
or=15
years of education compared with or=15 years of education compared with 4 kb)
constructs.
PMID- 18037890
TI - The sphingosine 1-phosphate receptor 1 causes tissue retention by inhibiting the
entry of peripheral tissue T lymphocytes into afferent lymphatics.
AB - Although much is known about the migration of T cells from blood to lymph nodes,
less is known about the mechanisms regulating the migration of T cells from
tissues into lymph nodes through afferent lymphatics. Here we investigated T cell
egress from nonlymphoid tissues into afferent lymph in vivo and developed an
experimental model to recapitulate this process in vitro. Agonism of sphingosine
1-phosphate receptor 1 inhibited the entry of tissue T cells into afferent
lymphatics in homeostatic and inflammatory conditions and caused the arrest,
mediated at least partially by interactions of the integrin LFA-1 with its ligand
ICAM-1 and of the integrin VLA-4 with its ligand VCAM-1, of polarized T cells at
the basal surface of lymphatic but not blood vessel endothelium. Thus, the
increased sphingosine 1-phosphate present in inflamed peripheral tissues may
induce T cell retention and suppress T cell egress.
PMID- 18037892
TI - Reversible tuning of a block-copolymer nanostructure via electric fields.
AB - Block copolymers consisting of incompatible components self-assemble into
microphase-separated domains yielding highly regular structures with
characteristic length scales of the order of several tens of nanometres.
Therefore, in the past decades, block copolymers have gained considerable
potential for nanotechnological applications, such as in nanostructured networks
and membranes, nanoparticle templates and high-density data storage media.
However, the characteristic size of the resulting structures is usually
determined by molecular parameters of the constituent polymer molecules and
cannot easily be adjusted on demand. Here, we show that electric d.c. fields can
be used to tune the characteristic spacing of a block-copolymer nanostructure
with high accuracy by as much as 6% in a fully reversible way on a timescale in
the range of several milliseconds. We discuss the influence of various physical
parameters on the tuning process and study the time response of the nanostructure
to the applied field. A tentative explanation of the observed effect is given on
the basis of anisotropic polarizabilities and permanent dipole moments of the
monomeric constituents. This electric-field-induced effect further enhances the
high technological potential of block-copolymer-based soft-lithography
applications.
PMID- 18037893
TI - Spatially resolved electronic and vibronic properties of single diamondoid
molecules.
AB - Diamondoids are a unique form of carbon nanostructure best described as hydrogen
terminated diamond molecules. Their diamond-cage structures and tetrahedral sp3
hybrid bonding create new possibilities for tuning electronic bandgaps, optical
properties, thermal transport and mechanical strength at the nanoscale. The
recently discovered higher diamondoids have thus generated much excitement in
regards to their potential versatility as nanoscale devices. Despite this
excitement, however, very little is known about the properties of isolated
diamondoids on metal surfaces, a very relevant system for molecular electronics.
For example, it is unclear how the microscopic characteristics of molecular
orbitals and local electron-vibrational coupling affect electron conduction,
emission and energy transfer in the diamondoids. Here, we report the first single
molecule study of tetramantane diamondoids on Au(111) using scanning tunnelling
microscopy and spectroscopy. We find that the diamondoid electronic structure and
electron-vibrational coupling exhibit unique and unexpected spatial correlations
characterized by pronounced nodal structure across the molecular surfaces. Ab
initio pseudopotential density functional calculations reveal that much of the
observed electronic and vibronic properties of diamondoids are determined by
surface hydrogen terminations, a feature having important implications for
designing future diamondoid-based molecular devices.
PMID- 18037894
TI - Electronic structure of single DNA molecules resolved by transverse scanning
tunnelling spectroscopy.
AB - Attempts to resolve the energy-level structure of single DNA molecules by
scanning tunnelling spectroscopy span over the past two decades, owing to the
unique ability of this technique to probe the local density of states of objects
deposited on a surface. Nevertheless, success was hindered by extreme technical
difficulties in stable deposition and reproducibility. Here, by using scanning
tunnelling spectroscopy at cryogenic temperature, we disclose the energy spectrum
of poly(G)-poly(C) DNA molecules deposited on gold. The tunnelling current
voltage (I-V) characteristics and their derivative (dI/dV-V) curves at 78 K
exhibit a clear gap and a peak structure around the gap. Limited fluctuations in
the I-V curves are observed and statistically characterized. By means of ab
initio density functional theory calculations, the character of the observed
peaks is generally assigned to groups of orbitals originating from the different
molecular components, namely the nucleobases, the backbone and the counterions.
PMID- 18037895
TI - The ubiquitin ligase gp78 promotes sarcoma metastasis by targeting KAI1 for
degradation.
AB - Metastasis is the primary cause of mortality from cancer, but the mechanisms
leading to metastasis are poorly understood. In particular, relatively little is
known about metastasis in cancers of mesenchymal origins, which are known as
sarcomas. Approximately ten proteins have been characterized as 'metastasis
suppressors', but how these proteins function and are regulated is, in general,
not well understood. Gp78 (also known as AMFR or RNF45) is a RING finger E3
ubiquitin ligase that is integral to the endoplasmic reticulum (ER) and involved
in ER-associated degradation (ERAD) of diverse substrates. Here we report that
expression of gp78 has a causal role in the metastasis of an aggressive human
sarcoma and that this prometastatic activity requires the E3 activity of gp78.
Further, gp78 associates with and targets the transmembrane metastasis
suppressor, KAI1 (also known as CD82), for degradation. Suppression of gp78
increases KAI1 abundance and reduces the metastatic potential of tumor cells, an
effect that is largely blocked by concomitant suppression of KAI1. An inverse
relationship between these proteins was confirmed in a human sarcoma tissue
microarray. Whereas most previous efforts have focused on genetic mechanisms for
the loss of metastasis suppressor genes, our results provide new evidence for
post-translational downregulation of a metastasis suppressor by its ubiquitin
ligase, resulting in abrogation of its metastasis-suppressing effects.
PMID- 18037896
TI - Pim-1 regulates cardiomyocyte survival downstream of Akt.
AB - The serine-threonine kinases Pim-1 and Akt regulate cellular proliferation and
survival. Although Akt is known to be a crucial signaling protein in the
myocardium, the role of Pim-1 has been overlooked. Pim-1 expression in the
myocardium of mice decreased during postnatal development, re-emerged after acute
pathological injury in mice and was increased in failing hearts of both mice and
humans. Cardioprotective stimuli associated with Akt activation induced Pim-1
expression, but compensatory increases in Akt abundance and phosphorylation after
pathological injury by infarction or pressure overload did not protect the
myocardium in Pim-1-deficient mice. Transgenic expression of Pim-1 in the
myocardium protected mice from infarction injury, and Pim-1 expression inhibited
cardiomyocyte apoptosis with concomitant increases in Bcl-2 and Bcl-X(L) protein
levels, as well as in Bad phosphorylation levels. Relative to nontransgenic
controls, calcium dynamics were significantly enhanced in Pim-1-overexpressing
transgenic hearts, associated with increased expression of SERCA2a, and were
depressed in Pim-1-deficient hearts. Collectively, these data suggest that Pim-1
is a crucial facet of cardioprotection downstream of Akt.
PMID- 18037897
TI - CD1 antigen presentation: how it works.
AB - The classic concept of self-non-self discrimination by the immune system focused
on the recognition of fragments from proteins presented by classical MHC
molecules. However, the discovery of MHC-class-I-like CD1 antigen-presentation
molecules now explains how the immune system also recognizes the abundant and
diverse universe of lipid-containing antigens. The CD1 molecules bind and present
amphipathic lipid antigens for recognition by T-cell receptors. Here, we outline
the recent advances in our understanding of how the processes of CD1 assembly,
trafficking, lipid-antigen binding and T-cell activation are achieved and the new
insights into how lipid antigens differentially elicit CD1-restricted innate and
adaptive T-cell responses.
PMID- 18037898
TI - Engulfment of apoptotic cells: signals for a good meal.
AB - The clearance of apoptotic cells by phagocytes is an integral component of normal
life, and defects in this process can have significant implications for self
tolerance and autoimmunity. Recent studies have provided new insights into the
engulfment process, including how phagocytes seek apoptotic cells, how they
recognize and ingest these targets and how they maintain cellular homeostasis
after the 'meal'. Several new factors that regulate engulfment have been
identified, whereas the roles of some of the older players require revision. This
Review focuses on these recent developments and attempts to highlight some of the
important questions in this field.
PMID- 18037900
TI - Predicting protein function from sequence and structure.
AB - While the number of sequenced genomes continues to grow, experimentally verified
functional annotation of whole genomes remains patchy. Structural genomics
projects are yielding many protein structures that have unknown function.
Nevertheless, subsequent experimental investigation is costly and time-consuming,
which makes computational methods for predicting protein function very
attractive. There is an increasing number of noteworthy methods for predicting
protein function from sequence and structural data alone, many of which are
readily available to cell biologists who are aware of the strengths and pitfalls
of each available technique.
PMID- 18037899
TI - Multivalent engagement of chromatin modifications by linked binding modules.
AB - Various chemical modifications on histones and regions of associated DNA play
crucial roles in genome management by binding specific factors that, in turn,
serve to alter the structural properties of chromatin. These so-called effector
proteins have typically been studied with the biochemist's paring knife--the
capacity to recognize specific chromatin modifications has been mapped to an
increasing number of domains that frequently appear in the nuclear subset of the
proteome, often present in large, multisubunit complexes that bristle with
modification-dependent binding potential. We propose that multivalent
interactions on a single histone tail and beyond may have a significant, if not
dominant, role in chromatin transactions.
PMID- 18037901
TI - An extended epidermal response heals cutaneous wounds in the absence of a hair
follicle stem cell contribution.
AB - Hair follicles have been observed to provide a major cellular contribution to
epidermal healing, with emigration of stem-derived cells from the follicles
aiding in wound reepithelialization. However, the functional requirements for
this hair follicle input are unknown. Here we have characterized the keratinocyte
stem cell status of mutant mice that lack all hair follicle development on their
tail, and analyzed the consequent alterations in epidermal wound healing rate and
mechanisms. In analyzing stem cell behavior in embryonic skin we found that
clonogenic keratinocytes are relatively frequent in the ectoderm prior to hair
follicle formation. However, their frequency in the interfollicular epidermis
drops sharply by birth, at which time the majority of stem cells are present
within the hair follicles. We find that in the absence of hair follicles
cutaneous wounds heal with an acute delay in reepithelialization. This delay is
followed by expansion of the region of activated epidermis, beyond that seen in
normal haired skin, followed by appropriate wound closure. JID Journal Club
article: for questions, answers, and open discussion about this article please go
to http://network.nature.com/group/jidclub.
PMID- 18037902
TI - Downregulation of melanin synthesis by haginin A and its application to in vivo
lightening model.
AB - Haginin A, an isoflav-3-ens isolated from the branch of Lespedeza cyrtobotrya, is
almost unknown. Here, we report that haginin A exhibits a strong hypopigmentary
effect in Melan-a cells and significantly inhibits melanin synthesis. Haginin A
shows potent inhibitory effects with an IC(50) (half-maximal inhibitory
concentration) value of 5.0 microM on mushroom tyrosinase activity, and
functioned as a noncompetitive inhibitor. Also, haginin A decreased
microphthalmia-associated transcription factor (MITF), tyrosinase, and tyrosinase
related protein-1 (TRP-1) protein production. To identify the signaling pathway
of haginin A, the ability of haginin A to influence extracellular signal
regulated protein kinase (ERK) and Akt/protein kinase B (PKB) activation was
investigated. Apparently, haginin A induced ERK and Akt/PKB in a dose-dependent
manner. In addition, the specific inhibition of the ERK and the Akt/PKB signaling
pathways by PD98059 and LY294002, respectively, increased melanin synthesis.
Furthermore, haginin A decreased UV-induced skin pigmentation in brown guinea
pigs. Also, haginin A presented remarkable inhibition on the body pigmentation in
the zebrafish model system and decreased tyrosinase activity. Together, haginin A
is an effective inhibitor of hyperpigmentation caused by UV irradiation or by
pigmented skin disorders through downregulation via ERK and Akt/PKB activation,
MITF, and also by the subsequent downregulation of tyrosinase and TRP-1
production.
PMID- 18037903
TI - Redistribution of LRIG proteins in psoriasis.
AB - The human leucine-rich repeats and immunoglobulin-like domains (LRIG) family is
composed of three members, LRIG1, -2, and -3, which are all expressed in human
skin. LRIG1 negatively regulates growth factor signaling and is involved in the
regulation of epidermal stem cell quiescence. Ablation of Lrig1 in mice results
in psoriasiform epidermal hyperplasia. Hence, the LRIG proteins may be important
for epidermal homeostasis and in psoriasis. Therefore, we analyzed the LRIG mRNA
levels and the cellular and subcellular distribution of LRIG proteins in normal
and psoriatic skin. The mRNA levels of LRIG1, -2, and -3 were not significantly
different in psoriatic epidermis compared to clinically normal epidermis from the
same patient. Immunohistochemistry showed that all three LRIG proteins were
expressed in unique and specific patterns both in normal and psoriatic skin.
Intriguingly, in psoriatic epidermis, the LRIG protein expression patterns were
altered compared to normal skin. These results indicate that the LRIG proteins
may have a role in epidermal homeostasis and psoriasis.
PMID- 18037904
TI - HDAC activity is required for p65/RelA-dependent repression of PPARdelta-mediated
transactivation in human keratinocytes.
AB - Peroxisome proliferator-activated receptors (PPARs) play a key role in
differentiation, inflammation, migration, and survival of epidermal
keratinocytes. The NF-kappaB has long been known to play pivotal roles in immune
and inflammatory responses, and furthermore NF-kappaB has been implicated in the
regulation of epidermal homeostasis. Recent studies have established that
p65/RelA is a potent repressor of PPARdelta-mediated transactivation in human
keratinocytes. In this article we further investigate the molecular mechanisms
dictating the NF-kappaB-dependent repression of PPARdelta in human keratinocytes.
We demonstrate that repression is unique to p65/RelA, as no other member of the
NF-kappaB family had an impact on PPARdelta-mediated transactivation.
Interestingly, our results show that p65/RelA only represses PPARdelta-dependent
transactivation when PPARdelta is bound to DNA via its DNA-binding domain. We
show that repression is sensitive to inhibition of histone deacetylases (HDACs)
by tricostatin A (TSA), suggesting that HDAC activity is indispensable for
p65/RelA-mediated repression. Accordingly, we demonstrate that a ternary complex
consisting of PPARdelta, p65/RelA, and HDAC1 is formed in vivo. Finally, we
demonstrate that TSA relieves tumor necrosis factor-alpha (TNFalpha)-induced
repression of PPARdelta-mediated transactivation of the PPARdelta target gene
adipose differentiation-related protein (ADRP) indicating that cross-talk between
PPARdelta and NF-kappaB is of biological significance in human keratinocytes.
PMID- 18037905
TI - Effects of KP-496, a novel dual antagonist at the cysteinyl leukotriene receptor
1 and the thromboxane A(2) receptor, on airway obstruction in guinea pigs.
AB - BACKGROUND AND PURPOSE: KP-496 is a novel dual antagonist for cysteinyl
leukotriene receptor 1 (CysLT(1)) and thromboxane A(2) (TXA(2)) receptor (TP).
The aim of this study was to evaluate the pharmacological profile of inhaled KP
496 and its effects on airway obstruction. EXPERIMENTAL APPROACH: Antagonist
activities of inhaled KP-496 were investigated using bronchoconstriction induced
in guinea pigs by LTD(4) or U46619, a stable TXA(2) mimetic. Guinea pigs
sensitized with injections of ovalbumin were used to assess the effects of
inhaled KP-496 on bronchoconstriction induced by antigen (i.v.). Another set of
guinea pigs were sensitized and challenged with ovalbumin by inhalation and the
effects of inhaled KP-496 on immediate and late airway responses and airway
hyperresponsiveness were investigated. KEY RESULTS: KP-496 significantly
inhibited LTD(4)- and U46619-induced bronchoconstriction in a dose-dependent
manner. The inhibitory effects of KP-496 (1%) were comparable to those of
montelukast (a CysLT(1) antagonist, p.o., 0.3 mg kg(-1)) or seratrodast (a TP
antagonist, p.o., 3 mg kg(-1)). KP-496 (1%) and oral co-administration of
montelukast (10 mg kg(-1)) and seratrodast (20 mg kg(-1)) significantly inhibited
antigen-induced bronchoconstriction, whereas administration of montelukast or
seratrodast separately did not inhibit antigen-induced bronchoconstriction. KP
496 exhibited dose-dependent and significant inhibitory effects on the immediate
and late airway responses and airway hyperresponsiveness following antigen
challenge. CONCLUSIONS AND IMPLICATIONS: KP-496 exerts effects in guinea pigs
which could be beneficial in asthma. These effects of KP-496 were greater than
those of a CysLT(1) antagonist or a TP antagonist, in preventing antigen-induced
airway obstruction.
PMID- 18037906
TI - Comparison of the induction profile for drug disposition proteins by typical
nuclear receptor activators in human hepatic and intestinal cells.
AB - BACKGROUND AND PURPOSE: Certain nuclear receptors (NRs) such as the constitutive
androstane receptor (CAR), pregnane X receptor (PXR) and farnesoid X receptor
(FXR) mediate induction of some cytochrome P450 enzymes and ABC transporters but
conflicting reports exist. The purpose of this study was to assess the reasons
for these discrepancies and use a standardized approach to compare activators of
NRs. EXPERIMENTAL APPROACH: Dexamethasone, pregnenolone 16alpha-carbonitrile,
rifampicin, phenobarbital and chenodeoxycholic acid were incubated with HepG2,
Caco-2 and cryopreserved human hepatocytes prior to analysis of mRNA and protein
for CYP2B6, CYP3A4, CYP3A5, ABCB1, ABCC1, ABCC2, PXR, CAR and FXR. KEY RESULTS:
Dexamethasone significantly up-regulated PXR, CYP3A4 and ABCB1 expression in
HepG2 and Caco-2 cells. As a result, including dexamethasone as a media
supplement masked the induction of these genes by pregnenolone 16alpha
carbonitrile, which may explain discrepancies between previous reports. In the
absence of dexamethasone, significant activator-dependent induction was observed
in all cell types. Significant correlations were observed between the fold
increase in mRNA and in protein, which were, for most instances, logarithmic.
Changes in mRNA expression were greater in cell lines than primary cells but for
most transcripts correlations were observed between fold increases in HepG2 and
hepatocytes. CONCLUSIONS AND IMPLICATIONS: Clearly, no in vitro system can
imitate the physiology of a hepatocyte or intestinal cell within an intact organ
in vivo, but these data explain some of the discrepancies reported between
laboratories and have important implications for study design.
PMID- 18037907
TI - Role of cGMP-dependent protein kinase in development of tolerance to
nitroglycerine in porcine coronary arteries.
AB - BACKGROUND AND PURPOSE: The cGMP-dependent protein kinase (PKG) is a key enzyme
for nitrovasodilator-induced vasodilation. The present study was to determine its
role in nitrate tolerance. EXPERIMENTAL APPROACH: isolated porcine coronary
arteries were incubated for 24 h with nitroglycerin (NTG) and their relaxant
responses were determined. PKG activity was assayed by measuring the
incorporation of (32)P into BPDEtide. PKG protein was determined by Western
blotting and PKG mRNA by real-time PCR. KEY RESULTS: A 24 h incubation with NTG
attenuated relaxation of coronary arteries to NTG, which was associated with
decreased PKG activity. The nitrate tolerance induced with NTG at 10(-7) M was
affected by a scavenger of reactive oxygen species and the tolerance induced with
NTG at 10(-6) and 10(-5) M showed cross-tolerance to DETA NONOate and 8-Br-cGMP
(a cell permeable cGMP analogue). PKG protein and mRNA were down-regulated by a
24 h incubation with NTG at 10(-5) M but not at 10(-7) M. Acute exposure to
exogenous superoxide inhibited PKG activity stimulated by NTG at 10(-7) M but not
at 10(-5) M. Superoxide had no effect on PKG activity stimulated with exogenous
cGMP. CONCLUSIONS AND IMPLICATIONS: Nitrate tolerance induced by NTG at low
concentrations may result from an increased production of reactive oxygen species
acting on sites upstream of PKG. The tolerance induced by NTG at higher
concentrations may be in part due to suppression of PKG expression resulting from
sustained activation of the enzyme. These distinct mechanisms of nitrate
tolerance may be of clinical significance.
PMID- 18037908
TI - Cardiac capsaicin-sensitive sensory nerves regulate myocardial relaxation via S
nitrosylation of SERCA: role of peroxynitrite.
AB - BACKGROUND AND PURPOSE: Sensory neuropathy develops in the presence of
cardiovascular risk factors (e.g. diabetes, dyslipidemia), but its pathological
consequences in the heart are unclear. We have previously shown that systemic
sensory chemodenervation by capsaicin leads to impaired myocardial relaxation and
diminished cardiac nitric oxide (NO) content. Here we examined the mechanism of
diminished NO formation and if it may lead to a reduction of peroxynitrite (ONOO(
))-induced S-nitrosylation of sarcoendoplasmic reticulum Ca(2+)-ATPase (SERCA2a).
EXPERIMENTAL APPROACH: Male Wistar rats were treated with capsaicin for 3 days to
induce sensory chemodenervation. Seven days later, myocardial function and
biochemical parameters were measured. KEY RESULTS: Capsaicin pretreatment
significantly increased left ventricular end-diastolic pressure (LVEDP) decreased
cardiac NO level, Ca(2+)-dependent NO synthase (NOS) activity, and NOS-3 mRNA.
Myocardial superoxide content, xanthine oxidoreductase and NADPH oxidase
activities did not change, although superoxide dismutase (SOD) activity
increased. Myocardial and serum ONOO(-) concentration and S-nitrosylation of
SERCA2a were significantly decreased. CONCLUSIONS AND IMPLICATIONS: Our results
show that sensory chemodenervation decreases cardiac NO via decreased expression
and activity of Ca(2+)-dependent NOS and increases SOD activity, thereby leading
to decreased basal ONOO(-) formation and reduction of S-nitrosylation of SERCA2a,
which causes impaired myocardial relaxation characterized by increased left
ventricular end-diastolic pressure (LVEDP). This suggests that capsaicin
sensitive sensory neurons regulate myocardial relaxation via maintaining basal
ONOO(-) formation and SERCA S-nitrosylation.
PMID- 18037909
TI - Mechanisms of potentiation of the mammalian GABAA receptor by the marine
cembranoid eupalmerin acetate.
AB - BACKGROUND AND PURPOSE: Eupalmerin acetate (EPA) is a marine diterpene compound
isolated from the gorgonian octocorals Eunicea succinea and Eunicea mammosa. The
compound has been previously shown to modulate muscle-type and neuronal nicotinic
acetylcholine receptors, which are inhibited in the presence of low micromolar
concentrations of EPA. In this study, we examined the effect of EPA on another
transmitter-gated ion channel, the GABA(A) receptor. EXPERIMENTAL APPROACH: Whole
cell and single-channel recordings were made from HEK 293 cells transiently
expressing rat wild-type and mutant alpha1beta2gamma2L GABA(A) receptors. KEY
RESULTS: Our findings demonstrate that, at micromolar concentrations, EPA
potentiates the rat alpha1beta2gamma2L GABA(A) receptor. The analysis of single
channel currents recorded in the presence of EPA showed that the kinetic mode of
action of EPA is similar to that of neuroactive steroids. Mutations to residues
alpha1Q241 and alpha1N407/Y410, previously shown to affect receptor modulation by
neurosteroids, also diminished potentiation by EPA. Exposure to a steroid
antagonist, (3alpha,5alpha)-17-phenylandrost-16-en-3-ol, reduced potentiation by
EPA. Additionally, exposure to EPA led to potentiation of GABA(A) receptors
activated by very high concentrations (1-10 microM) of allopregnanolone. In
tadpole behavioural assays, EPA caused loss of righting reflex and loss of
swimming reflex. CONCLUSIONS AND IMPLICATIONS: We conclude that EPA either
interacts with the putative neurosteroid binding site on the GABA(A) receptor or
shares with neurosteroids the key transduction elements involved in channel
potentiation by steroids. The results indicate that cembranoids represent a novel
class of GABA(A) receptor modulators.
PMID- 18037910
TI - Cloning and pharmacological characterization of the guinea pig P2X7 receptor
orthologue.
AB - BACKGROUND AND PURPOSE: The human, rat, and mouse P2X(7) receptors have been
previously characterized, and in this study we report the cloning and
pharmacological properties of the guinea pig orthologue. EXPERIMENTAL APPROACH: A
cDNA encoding for the guinea pig P2X(7) receptor was isolated from a guinea pig
brain library. The receptor was expressed in U-2 OS cells using the BacMam viral
expression system. A monoclonal antibody was used to confirm high levels of cell
surface expression and the functional properties were determined in ethidium
bromide accumulation studies. KEY RESULTS: The predicted guinea pig protein is
one amino acid shorter than the human and rat orthologues and over 70% identical
to the rat and human receptors. In contrast to human and rat P2X(7) receptors, 2'
&3'-O-(4benzoylbenzoyl)ATP (BzATP) was a partial agonist of the guinea pig P2X(7)
receptor when compared to ATP and acted as an antagonist in some assays. However,
as at other species orthologues, BzATP was more potent than ATP. The guinea pig
P2X(7) receptor possessed higher affinity for 1-[N,O-bis(5-isoquinoline
sulphonyl)-N-methyl-L-tyrosyl]-4-phenylpiperazine (KN62), suramin and Coomassie
Brilliant Blue than human or rat P2X(7) receptors suggesting that it is
pharmacologically different to other rodent or human P2X(7) receptors.
CONCLUSIONS AND IMPLICATIONS: The guinea pig recombinant P2X(7) receptor displays
a number of unique properties that differentiate it from the human, rat and mouse
orthologues and this structural and functional information should aid in our
understanding of the interaction of agonists and antagonist with the P2X(7)
receptor.
PMID- 18037911
TI - Differential effects of glucose on agonist-induced relaxations in human
mesenteric and subcutaneous arteries.
AB - BACKGROUND AND PURPOSE: Acute periods of hyperglycaemia are strongly associated
with vascular disorder, yet the specific effects of high glucose on human blood
vessel function are not fully understood. In this study we (1) characterized the
endothelial-dependent relaxation of two similarly sized but anatomically distinct
human arteries to two different agonists and (2) determined how these responses
are modified by acute exposure to high glucose. EXPERIMENTAL APPROACH: Ring
segments of human mesenteric and subcutaneous arteries were mounted in a wire
myograph. Relaxations to acetylcholine and bradykinin were determined in a
control (5 mM) and high glucose (20 mM) environment over a 2 and 6 h incubation
period. KEY RESULTS: Bradykinin-induced relaxation in both sets of vessels was
mediated entirely by EDHF whilst that generated by acetylcholine, though
principally generated by EDHF, also had contribution from prostacyclin and
possibly nitric oxide in mesenteric and subcutaneous vessels, respectively. A 2-h
incubation of high glucose impaired bradykinin-induced relaxation of subcutaneous
vessels whilst, in contrast, the relaxation generated by bradykinin in mesenteric
vessels was enhanced at the same time point. High glucose significantly augmented
the relaxation generated by acetylcholine in mesenteric and subcutaneous vessels
at a 2 and 6 h incubation point, respectively. CONCLUSIONS AND IMPLICATIONS:
Short periods of high glucose exert a variable influence on endothelial function
in human isolated blood vessels that is dependent on factors of time, agonist
used and vessel studied. This has implications for how we view the effects of
acute hyperglycaemia found in patients with diabetes mellitus as well as other
conditions.
PMID- 18037912
TI - Both alpha1 and alpha2-adrenoceptors mediate the cardiovascular responses to
noradrenaline microinjected into the bed nucleus of the stria terminal of rats.
AB - BACKGROUND AND PURPOSE: We have previously shown that noradrenaline microinjected
into the bed nucleus of stria terminalis (BST) elicited pressor and bradycardiac
responses in unanaesthetized rats. In the present study, we investigated the
subtype of adrenoceptors that mediates the cardiovascular response to
noradrenaline microinjection into the BST. EXPERIMENTAL APPROACH: Cardiovascular
responses following noradrenaline microinjection into the BST of male Wistar rats
were studied before and after BST pretreatment with different doses of the
selective alpha(1)-adrenoceptor antagonist WB4101, the alpha(2)-adrenoceptor
antagonist RX821002, the combination of WB4101 and RX821002, the non-selective
beta-adrenoceptor antagonist propranolol, the selective beta(1)-adrenoceptor
antagonist CGP20712 or the selective beta(2)-adrenoceptor antagonist ICI118,551.
KEY RESULTS: Noradrenaline microinjected into the BST of unanaesthetized rats
caused pressor and bradycardiac responses. Pretreatment of the BST with different
doses of either WB4101 or RX821002 only partially reduced the response to
noradrenaline. However, the response to noradrenaline was blocked when WB4101 and
RX821002 were combined. Pretreatment with this combination also shifted the
resulting dose-effect curve to the left, clearly showing a potentiating effect of
this antagonist combination. Pretreatment with different doses of either
propranolol or CGP20712 increased the cardiovascular responses to noradrenaline
microinjected into the BST. Pretreatment with ICI118,551 did not affect
cardiovascular responses to noradrenaline. CONCLUSION AND IMPLICATIONS: The
present results indicate that alpha(1) and alpha(2)-adrenoceptors mediate the
cardiovascular responses to noradrenaline microinjected into the BST. In
addition, they point to an inhibitory role played by the activation of local
beta(1)-adrenoceptors in the cardiovascular response to noradrenaline
microinjected into the BST.
PMID- 18037913
TI - Role of alpha1-adrenoceptor subtypes in the effects of methylenedioxy
methamphetamine (MDMA) on body temperature in the mouse.
AB - BACKGROUND AND PURPOSE: We have investigated the ability of alpha(1)-adrenoceptor
antagonists to affect the hyperthermia produced by methylenedioxy methamphetamine
(MDMA) in conscious mice. EXPERIMENTAL APPROACH: Mice were implanted with
temperature probes under ether anaesthesia and allowed 2 weeks recovery. MDMA (20
mg kg(-1)) was administered subcutaneously 30 min after vehicle or test
antagonist or combination of antagonists and effects on body temperature
monitored. KEY RESULTS: Following vehicle, MDMA produced a hyperthermia, reaching
a maximum increase of 1.8 degrees C at 140 min. Prazosin (0.1 mg kg(-1)) revealed
an early significant hypothermia to MDMA of -1.94 degrees C. The alpha(1A)
adrenoceptor antagonist RS 100329 (0.1 mg kg(-1)), or the alpha(1D)-adrenoceptor
antagonist BMY 7378 (0.5 mg kg(-1)) given alone, did not reveal a hypothermia to
MDMA, but the combination of the two antagonists revealed a significant
hypothermia to MDMA. The putative alpha(1B)-adrenoceptor antagonist cyclazosin (1
mg kg(-1)) also revealed a significant hypothermia to MDMA, but actions of
cyclazosin at the other alpha(1)-adrenoceptor subtypes cannot be excluded.
CONCLUSIONS AND IMPLICATIONS: More than one subtype of alpha(1)-adrenoceptor is
involved in a component of the hyperthermic response to MDMA in mouse, probably
both alpha(1A)- and alpha(1D)-adrenoceptors, and removal of this alpha(1)
adrenoceptor-mediated component reveals an initial hypothermia.
PMID- 18037914
TI - Gender-specific vascular effects elicited by chronic ethanol consumption in rats:
a role for inducible nitric oxide synthase.
AB - BACKGROUND AND PURPOSE: Epidemiological data suggest that the risk of ethanol
associated cardiovascular disease is greater in men than in women. This study
investigates the mechanisms underlying gender-specific vascular effects elicited
by chronic ethanol consumption in rats. EXPERIMENTAL APPROACH: Vascular
reactivity experiments using standard muscle bath procedures were performed on
isolated thoracic aortae from rats. mRNA and protein for inducible NO synthase
(iNOS) and for endothelial NOS (eNOS) was assessed by RT-PCR or western blotting,
respectively. KEY RESULTS: In male rats, chronic ethanol consumption enhanced
phenylephrine-induced contraction in both endothelium-intact and denuded aortic
rings. However, in female rats, chronic ethanol consumption enhanced
phenylephrine-induced contraction only in endothelium denuded aortic rings. After
pre-incubation of endothelium-intact rings with L-NAME, both male and female
ethanol-treated rats showed larger phenylephrine-induced contractions in aortic
rings, compared to the control group. Acetylcholine-induced relaxation was not
affected by ethanol consumption. The effects of ethanol on responses to
phenylephrine were similar in ovariectomized (OVX) and intact (non-OVX) female
rats. In the presence of aminoguanidine, but not 7-nitroindazole, the
contractions to phenylephrine in rings from ethanol-treated female rats were
greater than that found in control tissues in the presence of the inhibitors.
mRNA levels for eNOS and iNOS were not altered by ethanol consumption. Ethanol
intake reduced eNOS protein levels and increased iNOS protein levels in aorta
from female rats. CONCLUSIONS AND IMPLICATIONS: Gender differences in the
vascular effects elicited by chronic ethanol consumption were not related to
ovarian hormones but seemed to involve the upregulation of iNOS.
PMID- 18037915
TI - Cysteinyl leukotrienes mediate the enhancing effects of indomethacin and aspirin
on eosinophil production in murine bone marrow cultures.
AB - BACKGROUND: Prostaglandin E(2) (PGE(2)) suppresses, while indomethacin and
aspirin enhance, eosinophil production in murine liquid bone-marrow cultures.
Because cysteinyl leukotrienes (cys-LTs) enhance human eosinophil colony
formation, we investigated whether the effects of indomethacin and aspirin on
murine bone-marrow were due to blockade of PGE(2) production alone, or involved
further promotion of cys-LTs production/signalling. EXPERIMENTAL APPROACH: BALB/c
liquid bone-marrow cultures were established with IL-5, alone or associated with
indomethacin, aspirin, or cys-LTs. The effects of preventing cys-LT production or
signalling were assessed. KEY RESULTS: Indomethacin and aspirin counteracted the
suppression of eosinophil production by exogenous PGE(2). LTD(4), LTC(4) and
LTE(4) enhanced IL-5-dependent eosinophil production and further counteracted the
effect of exogenous PGE(2). The 5-lipoxygenase activating protein (FLAP)
inhibitor, MK886, a leukotriene synthesis inhibitor, zileuton, the CysLT(1)
receptor antagonists, MK571 and montelukast, or inactivation of the LTC(4)
synthase gene, abolished effects of indomethacin and aspirin. MK886 and zileuton
were ineffective but MK571 and montelukast were effective, against LTD(4).
Indomethacin, aspirin and LTD(4) failed to enhance eosinophil production in bone
marrow from CysLT1 receptor-deficient mice. Indomethacin, aspirin and LTD(4) no
longer counteracted the effects of exogenous PGE(2) in the presence of MK571 and
montelukast. MK886, MK571 and montelukast had no effect by themselves, or in
association with PGE(2). CONCLUSIONS AND IMPLICATIONS: Dependence on the FLAP/5
lipoxygenase/LTC(4) synthase pathway and receptor signalling shows that cyclo
oxygenase inhibitors act here through endogenous cys-LTs. While PGE(2) does not
act by suppressing cys-LT production, cys-LTs override PGE(2) signalling.
Eosinophil production is therefore coordinately regulated by both pathways.
PMID- 18037917
TI - Curcumin: potential for hepatic fibrosis therapy?
AB - The beneficial antioxidative, anti-inflammatory and antitumorigenic effects of
curcumin have been well documented in relation to cancer and other chronic
diseases. Recent evidence suggests that it may be of therapeutic interest in
chronic liver disease. Hepatic fibrosis (scarring) occurs in advanced liver
disease, where normal hepatic tissue is replaced with collagen-rich extracellular
matrix and, if left untreated, results in cirrhosis. Curcumin inhibits liver
cirrhosis in a rodent model and exerts multiple biological effects in hepatic
stellate cells (HSCs), which play a central role in the pathogenesis of hepatic
fibrosis. In response to liver injury, these cells proliferate producing pro
inflammatory mediators and extracellular matrix. Curcumin induces apoptosis and
suppresses proliferation in HSCs. In addition, it inhibits extracellular matrix
formation by enhancing HSC matrix metalloproteinase expression via PPARgamma and
suppressing connective tissue growth factor (CTGF) expression. In this issue,
Chen and co-workers propose that curcumin suppresses CTGF expression in HSC by
inhibiting ERK and NF-kappaB activation. These studies suggest that curcumin
modulates several intracellular signalling pathways in HSC and may be of future
interest in hepatic fibrosis therapy.
PMID- 18037916
TI - CB2 receptors in the brain: role in central immune function.
AB - Recently, it has been recognized that the cannabinoid receptor CB2 may play a
functionally relevant role in the central nervous system (CNS). This role is
mediated primarily through microglia, a resident population of cells in the CNS
that is morphologically, phenotypically, and functionally related to macrophages.
These cells also express the cannabinoid receptor CB1. The CB1 receptor (CB1R) is
constitutively expressed at low levels while the CB2 receptor (CB2R) is expressed
at higher levels and is modulated in relation to cell activation state. The
relatively high levels of the CB2R correspond with microglia being in
'responsive' and 'primed' states, suggesting the existence of a 'window' of
functional relevance during which activation of the CB2R modulates microglial
activities. Signature activities of 'responsive' and 'primed' microglia are
chemotaxis and antigen processing, respectively. The endocannabinoid 2
arachidonylglycerol has been reported to stimulate a chemotactic response from
these cells through the CB2R. In contrast, we have shown in vivo and in vitro
that the exogenous cannabinoids delta-9-tetrahydrocannabinol and CP55940 inhibit
the chemotactic response of microglia to Acanthamoeba culbertsoni, an
opportunistic pathogen that is the causative agent of Granulomatous Amoebic
Encephalitis, through activation of the CB2R. It is postulated that these
exogenous cannabinoids superimpose an inhibitory effect on pro-chemotactic
endocannabinoids that are elicited in response to Acanthamoeba. Furthermore, the
collective results suggest that the CB2R plays a critical immune functional role
in the CNS.
PMID- 18037918
TI - Chlorthalidone inhibits the KvLQT1 potassium current in guinea-pig ventricular
myocytes and oocytes from Xenopus laevis.
AB - BACKGROUND AND PURPOSE: Chlorthalidone is used for the treatment of hypertension
as it produces a lengthening of the cardiac action potential. However, there is
no experimental evidence that chlorthalidone has electrophysiological effects on
the potassium currents involved in cardiac repolarization. EXPERIMENTAL APPROACH:
Ventricular myocytes and oocytes, transfected with human ionic channels that
produce IK current, were exposed to different concentrations of chlorthalidone.
Action potentials and potassium currents were recorded using a patch clamp
technique. To determine which component of the current was affected by
chlorthalidone, human channel proteins (hERG, minK and KvLQT1) were used. KEY
RESULTS: Chlorthalidone prolonged the ventricular action potential at 50 and 90%
by 13 and 14%, respectively. The cardiac potassium currents I(to) and IK(1) were
not affected by chlorthalidone at any concentration, whereas the delayed
rectifier potassium current, IK, was blocked in a dose-response, voltage
independent fashion. In our preparation, 100 microM chlorthalidone blocked the
two components of the delayed rectifier potassium current with the same potency
(50.1+/-5% for IK(r) and 54.6+/-6% for IK(s)) (n=7, P<0.05). The chlorthalidone
sensitive current was slow and saturated at potentials greater than +30 mV. In
our conditions only the KvLQT1 potassium current was affected by the drug, by
14%. CONCLUSIONS AND IMPLICATIONS: Chlorthalidone was demonstrated to have a
direct effect on cardiac ventricular myocytes; it blocked the delayed rectifier
potassium current (IK), specifically the KvLQT1 component of the potassium
current. These results indicate that it has potential for use as an
antiarrhythmic but further studies are needed.
PMID- 18037919
TI - Regional differences in nitrergic innervation of the smooth muscle of murine
lower oesophageal sphincter.
AB - BACKGROUND AND PURPOSE: Anatomical and pharmacological studies have demonstrated
that the lower oesophageal sphincter (LES) is not a simple homogenous circular
muscle with uniform innervation. Regional differences have been demonstrated in
several species including humans. We investigated, for the first time in mice
LES, regionally distinct physiological and pharmacological characteristics of the
neuromusculature. EXPERIMENTAL APPROACH: Conventional intracellular recordings
and pharmacological techniques were employed to evaluate electrical properties
and functional innervation of smooth muscle cells. Results from CD1 (control),
nNOS((-/-)) and eNOS((-/-)) genetic knockout mice were compared. KEY RESULTS:
Smooth muscle of sling and clasp LES displayed unitary membrane potentials of 1-
4 mV. Transmural nerve stimulation produced a monophasic inhibitory junction
potential (IJP) in the sling, whereas in the clasp a biphasic IJP, consisting of
a brief IJP followed by a long-lasting slow IJP (lsIJP), was induced.
Pharmacological interventions and genetically modified mice were used to
demonstrate a monophasic apamin-sensitive (purinergic) component in both LES
regions. However, the nitrergic IJP was monophasic in the sling and biphasic in
the clasp. Unitary membrane potentials and IJPs were not different in CD1 and
eNOS((-/-)) mice, suggesting no involvement of myogenic NOS. CONCLUSION AND
IMPLICATIONS: These data in mouse LES indicate that there are previously
unreported regional differences in the IJP and that both the apamin-resistant
monophasic and biphasic IJPs are mediated primarily by nitrergic innervation.
PMID- 18037920
TI - G-protein-coupled receptor heteromers: function and ligand pharmacology.
AB - Almost all existing models for G-protein-coupled receptors (GPCRs) are based on
the occurrence of monomers. Recent studies show that many GPCRs are dimers.
Therefore for some receptors dimers and not monomers are the main species
interacting with hormones/neurotransmitters/drugs. There are reasons for
equivocal interpretations of the data fitting to receptor dimers assuming they
are monomers. Fitting data using a dimer-based model gives not only the
equilibrium dissociation constants for high and low affinity binding to receptor
dimers but also a 'cooperativity index' that reflects the molecular communication
between monomers within the dimer. The dimer cooperativity index (D(C)) is a
valuable tool that enables to interpret and quantify, for instance, the effect of
allosteric regulators. For different receptors heteromerization confers a
specific functional property for the receptor heteromer that can be considered as
a 'dimer fingerprint'. The occurrence of heteromers with different
pharmacological and signalling properties opens a complete new field to search
for novel drug targets useful to combat a variety of diseases and potentially
with fewer side effects. Antagonists, which are quite common marketed drugs
targeting GPCRs, display variable affinities when a given receptor is expressed
with different heteromeric partners. This fact should be taken into account in
the development of new drugs.
PMID- 18037921
TI - Ligand discovery and virtual screening using the program LIDAEUS.
AB - This paper discusses advances in docking and scoring approaches with examples
from the high-throughput virtual screening program LIDAEUS. We describe the
discovery of small molecule inhibitors for the immunophilin CypA, the cyclin
dependent kinase CDK2 and the cyclapolin series of potent Polo-like kinase
inhibitors. These results are discussed in the context of advances in massively
parallel computing and in the development of annotated databases.
PMID- 18037923
TI - GPR119, a novel G protein-coupled receptor target for the treatment of type 2
diabetes and obesity.
AB - GPR119 is a G protein-coupled receptor expressed predominantly in the pancreas
(beta-cells) and gastrointestinal tract (enteroendocrine cells) in humans. De
orphanization of GPR119 has revealed two classes of possible endogenous ligands,
viz., phospholipids and fatty acid amides. Of these, oleoylethanolamide (OEA) is
one of the most active ligands tested so far. This fatty acid ethanolamide is of
particular interest because of its known effects of reducing food intake and body
weight gain when administered to rodents. Agonists at the GPR119 receptor cause
an increase in intracellular cAMP levels via G(alphas) coupling to adenylate
cyclase. In vitro studies have indicated a role for GPR119 in the modulation of
insulin release by pancreatic beta-cells and of GLP-1 secretion by gut
enteroendocrine cells. The effects of GPR119 agonists in animal models of
diabetes and obesity are reviewed, and the potential value of such compounds in
future therapies for these conditions is discussed.
PMID- 18037922
TI - Pathophysiology of ischaemic stroke: insights from imaging, and implications for
therapy and drug discovery.
AB - Preventing death and limiting handicap from ischaemic stroke are major goals that
can be achieved only if the pathophysiology of infarct expansion is properly
understood. Primate studies showed that following occlusion of the middle
cerebral artery (MCA)--the most frequent and prototypical stroke, local tissue
fate depends on the severity of hypoperfusion and duration of occlusion, with a
fraction of the MCA territory being initially in a 'penumbral' state.
Physiological quantitative PET imaging has translated this knowledge in man and
revealed the presence of considerable pathophysiological heterogeneity from
patient to patient, largely unpredictable from elapsed time since onset or
clinical deficit. While these observations underpinned key trials of
thrombolysis, they also indicate that only patients who are likely to benefit
should be exposed to its risks. Accordingly, imaging-based diagnosis is rapidly
becoming an essential component of stroke assessment, replacing the clock by
individually customized management. Diffusion- and perfusion-weighted MR (DWI
PWI) and CT-based perfusion imaging are increasingly being used to implement
this, and are undergoing formal validation against PET. Beyond thrombolysis per
se, knowledge of the individual pathophysiology also guides management of
variables like blood pressure, blood glucose and oxygen saturation, which can
otherwise precipitate the penumbra into the core, and the oligaemic tissue into
the penumbra. We propose that future therapeutic trials use physiological imaging
to select the patient category that best matches the drug's presumed mode of
action, rather than lumping together patients with entirely different
pathophysiological patterns in so-called 'large trials', which have all failed so
far.
PMID- 18037924
TI - Nicotinic acid: an old drug with a promising future.
AB - Nicotinic acid has been used for decades to treat dyslipidaemic states. In
particular its ability to raise the plasma HDL cholesterol concentration has led
to an increased interest in its pharmacological potential. The clinical use of
nicotinic acid is somewhat limited due to several harmless but unpleasant side
effects, most notably a cutaneous flushing phenomenon. With the recent discovery
of a nicotinic acid receptor, it has become possible to better understand the
mechanisms underlying the metabolic and vascular effects of nicotinic acid. Based
on these new insights into the action of nicotinic acid, novel strategies are
currently under development to maximize the pharmacological potential of this
drug. The generation of both flush-reducing co-medications of nicotinic acid and
novel drugs targeting the nicotinic acid receptor will provide future therapeutic
options for the treatment of dyslipidaemic disorders.
PMID- 18037925
TI - Towards the development of universal, fast and highly accurate docking/scoring
methods: a long way to go.
AB - Accelerating the drug discovery process requires predictive computational
protocols capable of reducing or simplifying the synthetic and/or combinatorial
challenge. Docking-based virtual screening methods have been developed and
successfully applied to a number of pharmaceutical targets. In this review, we
first present the current status of docking and scoring methods, with exhaustive
lists of these. We next discuss reported comparative studies, outlining criteria
for their interpretation. In the final section, we describe some of the remaining
developments that would potentially lead to a universally applicable
docking/scoring method.
PMID- 18037928
TI - How can we improve adherence to therapy by patients with rheumatoid arthritis?
PMID- 18037929
TI - Psoriatic disease--from skin to bone.
AB - Psoriatic arthritis is an inflammatory joint disease that is heterogeneous in
presentation and clinical course. Evidence that this disease is distinct from
rheumatoid arthritis and other spondyloarthropathies is based on data derived
from characteristic clinical features, histopathologic analyses, immunogenetic
associations and musculoskeletal imaging. Emphasis has centered previously on a
dominant role for the T lymphocyte in the inflammatory process; however, studies
provide support for a major contribution from monocyte-macrophages in the
initiation and perpetuation of joint and skin inflammation. The occurrence of
arthritis in the absence of psoriasis in a minority of patients with psoriatic
arthritis, coupled with divergent genetic risk factors, indicates that psoriatic
arthritis is distinct from psoriatic skin inflammation. A new terminology,
psoriatic disease, has emerged that encompasses the various manifestations of
tissue and organ involvement observed in many psoriasis patients, including
inflammation in the joint, eye and gut. Moreover, adverse cardiovascular and
metabolic outcomes in patients with psoriasis or psoriatic arthritis might be
directly linked to the cutaneous and musculoskeletal manifestations of these
diseases via subsets of circulating monocytes and tissue macrophages activated by
inflammatory cytokine networks that arise in the skin and possibly the joint.
PMID- 18037926
TI - Presynaptic nicotinic receptors: a dynamic and diverse cholinergic filter of
striatal dopamine neurotransmission.
AB - The effects of nicotine on dopamine transmission from mesostriatal dopamine
neurons are central to its reinforcing properties. Only recently however, has the
influence of presynaptic nicotinic receptors (nAChRs) on dopaminergic axon
terminals within striatum begun to be understood. Here, rather than simply
enhancing (or inhibiting) dopamine release, nAChRs perform the role of a
presynaptic filter, whose influence on dopamine release probability depends on
presynaptic activity in dopaminergic as well as cholinergic neurons. Both
mesostriatal dopaminergic neurons and striatal cholinergic interneurons play key
roles in motivational and sensorimotor processing by the basal ganglia. Moreover,
it appears that the striatal influence of dopamine and ACh cannot be fully
appreciated without an understanding of their reciprocal interactions. We will
review the powerful filtering by nAChRs of striatal dopamine release and discuss
its dependence on activity in dopaminergic and cholinergic neurons. We will also
review how nicotine, acting via nAChR desensitization, promotes the sensitivity
of dopamine synapses to activity. This filtering action might provide a mechanism
through which nicotine promotes how burst activity in dopamine neurons
facilitates goal-directed behaviour and reinforcement processing. More generally,
it indicates that we should not restrict our view of presynaptic nAChRs to simply
enhancing neurotransmitter release. We will also summarize current understanding
of the forms and functions of the diverse nAChRs purported to exist on
dopaminergic axons. A greater understanding of nAChR form and function is
imperative to guide the design of ligands with subtype-selective efficacy for
improved therapeutic interventions in nicotine addiction as well as Parkinson's
disease.
PMID- 18037927
TI - Beta-arrestins and heterotrimeric G-proteins: collaborators and competitors in
signal transduction.
AB - G-protein-coupled receptors (GPCRs), also known as seven transmembrane receptors
(7-TMRs), are the largest protein receptor superfamily in the body. These
receptors and their ligands direct a diverse array of physiological responses,
and hence have broad relevance to numerous diseases. As a result, they have
generated considerable interest in the pharmaceutical industry as drug targets.
Recently, GPCRs have been demonstrated to elicit signals through interaction with
the scaffolding proteins, beta-arrestins-1 and 2, independent of heterotrimeric G
protein coupling. This review discusses several known G-protein-independent, beta
arrestin-dependent pathways and their potential physiological and pharmacological
significance. The emergence of G-protein-independent signalling changes the way
in which GPCR signalling is evaluated, from a cell biological to a pharmaceutical
perspective and raises the possibility for the development of pathway specific
therapeutics.
PMID- 18037930
TI - Tobacco smoking and autoimmune rheumatic diseases.
AB - Autoimmune rheumatic diseases are considered to be influenced by both genetic and
environmental factors. Tobacco smoking has been linked to the development of
rheumatic diseases, namely systemic lupus erythematosus and rheumatoid arthritis,
and has been shown to interact with genetic factors to create a significant
combined risk of disease. Smoking also affects both the course and the outcome of
rheumatic diseases. Smoking increases the risk of dermatologic features and
nephritis in systemic lupus erythematosus, rheumatoid nodules and multiple joint
involvement in rheumatoid arthritis and digital ischemia in systemic sclerosis,
as well as further increasing the risk of accelerated atherosclerosis in these
diseases. Smoking is known to modulate the immune system through many mechanisms,
including the induction of the inflammatory response, immune suppression,
alteration of cytokine balance, induction of apoptosis, and DNA damage that
results in the formation of anti-DNA antibodies. No sole mechanism, however, has
been linked to any of the autoimmune illnesses, which therefore complicates full
comprehension of the 'smoking effect'. Further studies, perhaps using animal
models, are needed to analyze the exact effect of smoking on each disease
separately.
PMID- 18037931
TI - Adipokines as emerging mediators of immune response and inflammation.
AB - The scientific interest in the biology of white adipose tissue (WAT) has
increased since the discovery of leptin in 1994. The description of the product
of the gene obese (ob) demonstrated the role of adipose tissue in the
physiopathology of obesity-related diseases, and helped to increase the
identification of numerous other adipokines, many of a pro-inflammatory nature.
It has become increasingly evident that WAT-derived adipokines can be considered
as a hub between obesity-related exogenous factors, such as nutrition and
lifestyle, and the molecular events that lead to metabolic syndrome, inflammatory
and/or autoimmune conditions, and rheumatic diseases. In this Review, we will
discuss the progress in adipokine research, focusing particular attention to the
roles of leptin, adiponectin, resistin, visfatin, and other recently identified
adipokines in inflammatory, autoimmune and rheumatic diseases.
PMID- 18037932
TI - Primer: administrative health databases in observational studies of drug effects-
advantages and disadvantages.
AB - Observational studies are important tools for providing information on the safety
and benefit of approved medications; they provide data on real-life use, rare
outcomes and long-term effects that were undetectable in randomized controlled
trials. We review various administrative databases in the US and Canada, in
addition to European medical records databases, which have been used extensively
to assess drug safety. We present their advantages, which include their large
size and the availability of systematic and accurate medication data from
pharmacies and extensive outcome data from hospital records, and limitations,
including the questionable validity of diagnostic information and absence of
information on confounders (e.g. disease severity) and over-the-counter drugs. We
illustrate these challenges in the investigation of the cardiovascular risks of
the cyclo-oxygenase 2 inhibitor rofecoxib and highlight important methodological
issues, beyond the limitations of the databases, which could explain the
contradictory findings from three observational studies that used these
databases. We show that issues relating to the duration of drug use, immortal
time, depletion of susceptibles and overadjustment were problematic sources of
bias in these studies and discuss remedies to avoid these pitfalls. With careful
attention to their design and analysis, observational database studies are
powerful and modern tools for providing crucial data on drug effects.
PMID- 18037933
TI - Chronic recurrent multifocal osteomyelitis: what is it and how should it be
treated?
AB - BACKGROUND: Chronic recurrent multifocal osteomyelitis (CRMO) is the most severe
form of chronic nonbacterial osteomyelitis. In children and adolescents, chronic
nonbacterial osteomyelitis predominantly affects the metaphyses of the long
bones, but lesions can occur at any site in the skeleton. Other organs (the skin,
eyes, gastrointestinal tract and lungs) can also be affected. Clinical diagnosis
is often difficult because the symptoms and course of disease vary significantly.
We present a 10-year-old girl diagnosed with CRMO involving several vertebrae,
the femur and the metatarsus. INVESTIGATIONS: Physical examination, abdominal
ultra sonography, conventional X-ray, MRI, technetium bone scan,
esophagogastroduodenoscopy, colonoscopy, tests for HLA-B27 and thiopurine
methyltransferase, polymerase chain reaction and thoracic vertebral bone
biopsies. DIAGNOSIS: CRMO and Crohn's disease. MANAGEMENT: The patient's
condition improved whilst being treated with NSAIDs for 3 months; however, the
patient had an allergic skin reaction to this therapy. Treatment was switched to
sulfasalazine, accompanied by 3 weeks of therapy using oral prednisone, but
sulfasalazine was discontinued 2 months later because the patient exhibited a
minor elevation in the levels of liver enzymes. The patient was free of
musculoskeletal symptoms for 6 months, at which time she started to complain
again about pain in her back and bowel. Multimodal therapy, consisting of
mesasalazine, corticosteroids (budesonide) and azathioprine, induced clinical
remission of Crohn's disease.
PMID- 18037934
TI - Successful therapy of chronic graft-versus-host disease manifesting as pure red
cell aplasia with single-agent rituximab.
PMID- 18037935
TI - Clinical-scale single-step CD4(+) and CD8(+) cell depletion for donor innate
lymphocyte infusion (DILI).
AB - The ability to selectively deplete or enrich cells of specific phenotype by
immunomagnetic selection to reduce the risk of GVHD holds significant promise for
application in adoptive immunotherapy. Current clinical-scale approaches for T
cell depletion (e.g., CD34(+) selection, CD3(+) depletion), usually deplete
gammadelta T cells, which may be advantageous in mediating graft-versus-tumor
(GVT) effects and augmenting the innate immune response against infections. Here,
we present a new method for depletion of T cells with potential GVHD reactivity
by using a single-step immunomagnetic protocol, which efficiently depletes CD4(+)
and CD8(+) alphabeta T cells under good manufacturing practice (GMP) conditions.
Depletion from unstimulated leukapheresis products (n=6) containing up to 2.0 x
10(10) cells showed high efficiency (mean log depletion of CD4(+) cells: 4.12,
CD8(+) cells: 3.77). In addition, immunomagnetic CD4/CD8 depletion resulted in
passive enrichment of innate lymphocytes (mean recovery of natural killer (NK)
cells: 38%, gammadelta T cells: 50%). We demonstrated that gammadelta/NK cells
preserved their proliferative and cytotoxic capacity and conclude that
simultaneous large-scale depletion of CD4(+)/CD8(+) T cells is feasible and can
be performed under GMP conditions with high-depletion efficacy for alphabeta T
cells and recovery of functionally intact innate effector lymphocytes for
potential use in adoptive immunotherapy studies.
PMID- 18037936
TI - In vitro and in vivo evaluation of the haematopoietic potential of skeletal
muscle in a non-human primate model.
AB - This study was aimed at evaluating the in vitro and in vivo haematopoietic
potential in macaque skeletal muscle cells. Biopsy samples showed the presence of
CD34(+) (7.6%), CD90(+) (8.4%), CD117(+), CD31(+), side population (SP) cells (7
10%) and a low number of CD45(+) cells. In clonogenic and long-term culture
initiating cell assays, no haematopoietic potential could be detected in either
total mononuclear cells or SP cells. Regarding in vivo studies, two animals were
transplanted with unfractionated fresh muscle cells after lethal irradiation.
Both animals died early after transplant without any evidence of haematopoietic
reconstitution. In two other monkeys, harvested muscle cells were frozen and
secondarily marked using a green fluorescent protein (GFP)-lentiviral vector.
After sublethal irradiation, both animals were transplanted with GFP-expressing
muscle cells followed by a bone marrow rescue. Both animals had haematopoietic
reconstitution at days 22 and 25, but no GFP-expressing haematopoietic cells
could be detected by flow cytometry, either in the blood or in clonogenic cells
from marrow aspirates. Using PCR assays, GFP(+) cells were detected in a single
marrow sample of one animal at 41 days after transplantation. These results
strongly suggest that as opposed to murine muscle, the non-human primate skeletal
muscle does not harbour cells with a straightforward haematopoietic potential.
PMID- 18037937
TI - Stem cell-derived cardiomyocytes after bone marrow and heart transplantation.
AB - Cardiomyocytes are a stable cell population with only limited potential for
renewal after injury. Tissue regeneration may be due to infiltration of stem
cells, which differentiate into cardiomyocytes. We have analysed the influx of
stem cells in the heart of patients who received either a gender-mismatched BMT
(male donor to female recipient) or a gender-mismatched cardiac transplant (HTX;
female donor to male recipient). The proportion of infiltrating cells was
determined by Y-chromosome in situ hybridization combined with
immunohistochemical cell characterization. In BM transplanted patients and in
cardiac allotransplant recipients, cardiomyocytes of apparent BM origin were
detected. The proportions were similar in both groups and amounted up to 1% of
all cardiomyocytes. The number of stem cell-derived cardiomyocytes did not alter
significantly in time, but were relatively high in cases where large numbers of
BM-derived Y-chromosome-positive infiltrating inflammatory cells were present.
The number of Y-chromosome-positive endothelial cells was small and present only
in small blood vessels. The number of BM-derived cardiomyocytes in both BMT and
HTX is not significantly different between the two types of transplantation and
is at most 1%.
PMID- 18037938
TI - Comparison of peripheral blood and bone marrow samples for detection of post
transplant mixed chimerism.
PMID- 18037939
TI - Chimerism does not predict for outcome after alemtuzumab-based conditioning:
lineage-specific analysis of chimerism of specific diseases may be more
informative.
PMID- 18037940
TI - Pegase 03: a prospective randomized phase III trial of FEC with or without high
dose thiotepa, cyclophosphamide and autologous stem cell transplantation in first
line treatment of metastatic breast cancer.
AB - Pegase 03 is a multicenter prospective randomized phase III trial evaluating the
impact of first-line high-dose chemotherapy (HDC) with stem cell support on
overall survival (OS), disease-free survival (DFS) and response rate in 308
patients with histologically proven metastatic breast cancer responding to
induction therapy. Eligible patients received four induction cycles with FEC 100
(5-fluorouracil 500 mg/m(2), epirubicin 100 mg/m(2), cyclophosphamide 500
mg/m(2)). Patients with objective response (N=179) were randomized to one cycle
of HDC (cyclophosphamide 6000 mg/m(2) and thiotepa 800 mg/m(2) (CHUT)) and stem
cell support (N=88), or no further treatment (N=91). All patients were observed
until disease progression or death. One toxic death occurred after CHUT. Other
toxicities were manageable. The response rate at 3 months was higher in the
intensification arm: 82.7% (25.3% complete response (CR)) versus 59.2% (14.1% CR)
(P=0.0002). Median follow-up was 48 months. Median DFS was 11 and 6.6 months in
the intensification and the observation arms, respectively (P=0.0001). There was
no survival difference: 33.6 versus 27.3% OS at 3 years (P=0.8) and 22.9 versus
22.3 months median time to relapse in the intensification and observation arms,
respectively. In this randomized trial, HDC with CHUT improved DFS but not OS,
corroborating findings from earlier trials.
PMID- 18037941
TI - Combination of enzyme replacement and hematopoietic stem cell transplantation as
therapy for Hurler syndrome.
AB - Hurler syndrome (mucopolysaccharidosis type I, MPS IH) is characterized by a
deficiency of alpha-L-iduronidase resulting in progressive multiorgan
dysfunction. We sought to determine whether enzyme replacement therapy (ERT) with
iduronidase in the peritransplant period affects outcome of hematopoietic stem
cell transplantation (HSCT) for MPS IH. Seven children with MPS IH at a median
age of 1.5 years at the time of myeloablative HSCT were eligible. All patients
had null mutations in IDUA gene. Iduronidase (0.58 mg/kg per dose) was
administered intravenously in 11-14 weekly doses before HSCT and 8 weekly doses
after HSCT. The infusions were well tolerated. All patients developed antibodies
to iduronidase but all engrafted with >90% donor hematopoiesis. A majority of
patients had significant pulmonary complications before ERT and HSCT but all are
alive and well with a median follow-up of more than 1 year after HSCT. This
suggests that ERT prior to HSCT is unlikely to alter engraftment. In addition,
morbidity was acceptable, despite a previous history of pulmonary difficulties
that suggested that these patients were high risk for these complications.
Therefore, we recommend treatment of MPS IH patients with combination of ERT and
HSCT therapy to further investigate its potential to enhance outcomes with HSCT.
PMID- 18037942
TI - Preinfusion variables predict the predominant unit in the setting of reduced
intensity double cord blood transplantation.
AB - Double cord blood transplantation (DCBT) may overcome the slow hematopoietic
recovery and engraftment failure associated with infusion of a single cord blood
unit. In DCBT, only one unit typically contributes to long-term hematopoiesis,
but little is known about factors affecting cord predominance. As results from a
phase I trial suggested that order of infusion may affect cord predominance, we
analyzed the effect of preinfusion variables on chimerism patterns of 38 patients
enrolled in the initial study and a subsequent phase II trial. All patients were
treated with a reduced-intensity conditioning (RIC) regimen of fludarabine,
melphalan and thymoglobulin followed by DCBT. By day 100, 66% of patients had
hematopoiesis derived from a single cord blood unit. Higher post-thaw total
nucleated cell and CD34+ cell dose were associated with cord predominance and in
68% of patients (P=0.03); the predominant cord blood unit was infused first. Only
the post-thaw CD34+ cell dose of the predominant unit predicted time to both
neutrophil and platelet engraftment. Although based on a small number of
patients, our results identify parameters that may affect cord predominance and
engraftment in the setting of DCBT following RIC and suggest possible strategies
for selecting infusion order for cord blood units.
PMID- 18037943
TI - Stem cell transplantation for neuroblastoma.
AB - High-risk neuroblastoma is a childhood malignancy with a poor prognosis. Gradual
improvements in survival have correlated with therapeutic intensity, and the
ability to harvest, process and store autologous hematopoietic stem cells has
allowed for dose intensification beyond marrow tolerance. The use of high-dose
chemotherapy with autologous hematopoietic stem cell rescue in consolidation has
resulted in improvements in survival, although further advances are still needed.
Newer approaches to SCT and supportive care, most notably the transition to PBSC,
have resulted in further improvement in survival and decreases in treatment
related mortality. Research into experimental approaches to hematopoietic SCT is
ongoing.
PMID- 18037944
TI - Reduced intensity conditioning and allogeneic stem cell transplantation in
childhood malignant and nonmalignant diseases.
AB - Allogeneic hematopoietic SCT is well established as a potentially curative
therapy for children and adults with both malignant and nonmalignant diseases.
However, myeloablative SCT is associated with significant short- and long-term
complications. The goals of a reduced intensity-conditioning (RIC) regimen are to
prevent graft rejection and establish stable donor-derived hematopoiesis at a
level sufficient for cure of the underlying disease and, in patients with
hematologic malignancy, to provide a GVL effect, while decreasing the short- and
long-term complications associated with myeloablative conditioning therapy. RIC
regimens have enabled SCT to be performed in children with preexisting
comorbidities that preclude conventional conditioning. RIC-SCT has been most
extensively studied in patients with nonmalignant disorders and for some of
these, including primary immunodeficiencies and hemophagocytic
lymphohistiocytosis, sufficient data now exist to support its routine use even in
patients without comorbidity. Less data exist on RIC-SCT for children with
hematologic malignancies and at present this should be restricted to children who
are not candidates for, or have relapsed after, myeloablative SCT. Here we review
available data on the use of RIC-SCT in pediatric patients, highlighting
important clinical lessons and areas that require further study.
PMID- 18037945
TI - Plasma HHV-6 viral load-guided preemptive therapy against HHV-6 encephalopathy
after allogeneic stem cell transplantation: a prospective evaluation.
AB - Human herpesvirus 6 (HHV-6) causes life-threatening encephalopathy in recipients
of allogeneic SCT, but no consensus has been reached regarding appropriate
preventive methods. This study evaluated a plasma HHV-6 viral load-guided
preemptive approach against HHV-6-associated encephalopathy. Plasma real-time PCR
assay was performed once a week. Among 29 patients, 19 developed positive plasma
HHV-6 DNA. Median maximum plasma HHV-6 DNA was 4593.5 copies/ml plasma (range,
150.0-127 891.0 copies/ml plasma). In one of eight events with low-level HHV-6
DNA (defined as <1000 copies/ml plasma) and four of seven events with mid-level
HHV-6 DNA (1000-9999.5 copies/ml plasma), HHV-6 loads in plasma subsequently
continued increasing. Ganciclovir was administered against six of nine patients
with high-level HHV-6 DNA (> or =10,000 copies/ml plasma). High-level HHV-6 DNA
resolved similarly in both groups with or without ganciclovir therapy. Among the
nine patients with high-level HHV-6 DNA two developed encephalopathy. As
encephalopathy developed before the detection of high-level HHV-6 DNA in plasma,
these two patients had not received preemptive ganciclovir therapy. In
conclusion, our preemptive approach against HHV-6-associated encephalopathy
cannot prevent all cases of HHV-6 encephalopathy in SCT recipients due to the
dynamic kinetics of plasma HHV-6 viral load.
PMID- 18037946
TI - Figuration of the real as an intersubjective process.
AB - In this paper, I make use of the term figuration, which relates to the process of
giving shape to unconscious contents in the form of meaningful presentations. My
interest is in how traumatic experience succeeds or fails to become psychically
figured and thereby susceptible to elaboration and absorption through
intersubjective process. I argue that the process of figuration always occurs in
an actual or implied intersubjective context and involves, thereby, a central
feature of an exchange between subject and Other. These concepts are discussed
and applied to a case report of an analytic psychotherapy involving a traumatic
dream and its sequellae.
PMID- 18037948
TI - Balint's influence on contemporary psychoanalytic theory and practice.
AB - Invited by the Psychoanalytic Psychotherapy Study Center in New York to lecture
on my book Michael Balint: Le renouveau de l'Ecole de Budapest, Toulouse, Eres
2000, I first gave my personal and analytic motivations for writing this book.
Then I stressed Balint's original contributions to analytical theory and
practice: the object relation combined with the theory of instinct, the
development of the infant, adolescent and even of old age, the basic fault,
archaic defenses (such as ocnophilia and philobatism), as well as his idea about
analytic treatment with its phases of regression and "new beginning". His style
and his discretion in treatment and mainly the responsibility he recommended to
the analysts seem to me very important not to forget. I have shown him as
Ferenczi's heir and how he continued his work. His clinical approach and his
style are evoked to alert contemporary analysts--who sometimes just know his
method to train general practitioners through the Balint group--that they have
been influenced unbeknown to them.
PMID- 18037949
TI - Long-term psychoanalytic therapy as a life-saving procedure.
AB - A dramatic long-term psychoanalytic treatment of a psychotic character disorder
is presented in detail. This patient began therapy with a long standing history
of an eating disorder for which she had received many hospitalizations and forms
of treatment without any success. She was in a deep despair and as a last resort
agreed to a psychoanalytic therapy. During the many years of treatment the eating
disorder completely resolved but was replaced by a series of very dangerous
accidents that occurred each time she was betrayed and disappointed by a boy
friend. This went on pari passu with a deepening understanding of her childhood
and her psychodynamics but the middle of the therapy was very stormy and required
tenacious efforts to maintain the treatment. The self-destructive behavior was
traced to early and profound childhood disappointments and a sense that these
were her fault because she was so unlovable and therefore deserved punishment. A
dangerous stalemate developed in the treatment after a number of years. The
analyst presented the case to colleagues several times and wrote it up in detail,
which enabled him to understand his own countertransference and resolve it. This
resulted in a dramatic change in the patient and a very favorable and happy
ending to this very difficult treatment after 15 years. Although the author
believes all patients in psychoanalysis should be approached with as neutral and
objective a stance as possible, emphasizing free association and dream material
in order to interpret the crucial childhood determinants of the patient's
psychopathology, in cases such as psychotic character disorders the outcome
clearly also depends on interpersonal factors. The case illustrates the deep
partly conscious and partly unconscious interaction between a patient and her
analyst over many years of treatment and the profound effect this has on the
outcome. It underscores the importance of patients being allowed to heal in their
own way and in their own time without intrusion or interference from the analyst.
It also demonstrates the crucial importance of long-term psychoanalytic therapy
as a life-saving procedure in cases where it is appropriate in spite of the great
amount of time and expense involved.
PMID- 18037950
TI - Paradox and personal growth during crisis.
AB - This paper considers situations of personal crisis, which lead to experiences of
extreme helplessness and emotional upheaval. It is suggested that although crises
may be precipitated by either external or internal events, all crisis situations
have a common denominator. What is common to all crises is the disruption and
then restitution of the ability to withstand paradox in the experience of the
self. Although there is wide variety in the nature of the personal crisis, all
crisis situations have similar structural features. Crises are so dramatic and so
frequent a phenomenon in the human experience that they warrant serious and
thorough investigation of their nature. Because crisis situations are on the one
hand so disabling and potentially destructive and on the other hand can open
doors to growth and development, they are extremely valuable to deepen our
understanding of how crises develop and unfold.
PMID- 18037953
TI - Owning it.
AB - What is the distinction, if any, between who we are as people and what we believe
and how we practice as psychoanalysts? For me, art played a vital affirmation
that there was a world full of larger ideas and feelings in contrast to the
desiccated environment my parents had created. From grade school, through my
training as an analyst to the present, art has not only elucidated who I am but
expanded my sense of being a creative individual. From the procession of viewing
art and engaging with it, to making and acquiring art pieces, the discovery was
not only that I owned these pieces but that their impact challenged the 'who' I
thought I was if I was willing to own up to it. The information that informs our
personal beliefs and practice in psychoanalysis comes from such an openness to
new experiences from many directions in our daily lives, and challenges who we
believe we are. Art adds to analytic knowledge, not by giving us an
interpretation for our lives, but by stimulating the genuinely creative process
of self-reflection.
PMID- 18037956
TI - Mechanisms of androgen receptor activation in advanced prostate cancer:
differential co-activator recruitment and gene expression.
AB - Prostate tumour growth depends on androgens; hence treatment includes androgen
ablation and anti-androgens. Eventually tumours progress and in approximately 30%
of patients this is associated with mutation of the androgen receptor. Several
receptor variants associated with advanced disease show promiscuous activation by
other hormones and anti-androgens. Such loss of specificity could promote
receptor activation, hence tumour growth, in the absence of conventional ligands,
explaining therapy failure. We aimed to elucidate mechanisms by which alternative
ligands promote receptor activation. The three most commonly identified variants
in tumours (with amino-acid substitutions H874Y, T877A and T877S) and wild-type
receptor showed differences in co-activator recruitment dependent upon ligand and
the interaction motif utilized. Co-expression and knockdown of co-activators that
bind via leucine or phenylalanine motifs, combined with chromatin
immunoprecipitation and quantitative PCR, revealed these preferences extend to co
activator recruitment in vivo and affect receptor activity at the transcriptional
level, with subsequent effects on target gene regulation. The findings suggest
that mutant receptors, activated by alternative ligands, drive growth via
different mechanisms to androgen-activated wild-type receptor. Tumours may hence
behave differently dependent upon any androgen receptor mutation present and what
ligand is driving growth, as distinct subsets of genes may be regulated.
PMID- 18037957
TI - Phospholipase C gamma 1 regulates the Rap GEF1-Rap1 signalling axis in the
control of human prostate carcinoma cell adhesion.
AB - Phospholipase Cgamma1 (PLCgamma1) is activated downstream of a variety of
extracellular stimuli and has previously been implicated in the regulation of
motility responses central to tumour cell invasion. In this study, we used a
novel RNAi vector system to achieve conditional PLCgamma1 knockdown in PC3LN3
human prostate carcinoma cells for further evaluation of PLCgamma1 in tumour cell
biology. Using this approach, we revealed a role for PLCgamma1 in the regulation
of PC3LN3 cell adhesion that appears to be independent of its effects on tumour
cell chemotactic migration and spreading in response to extracellular matrix.
Subsequent microarray analysis of PLCgamma1-knockdown cells revealed Rap GEF1
mRNA to be decreased in response to PLCgamma1 loss. This translated into a
decrease in Rap GEF1 protein levels and a significant loss of Rap1 activity in
PLCgamma1-knockdown cells. Transient knockdown of Rap GEF1 caused a reduction in
PC3LN3 adhesion while overexpression of Rap GEF1 rescued the PLCgamma1 knockdown
induced adhesion defect. These data highlight control of the Rap GEF1-Rap1
molecular switch as a specific requirement for PLCgamma1-mediated tumour cell
adhesion.
PMID- 18037958
TI - Reduced expression and tumor suppressor function of the ETS transcription factor
ESE-3 in prostate cancer.
AB - Deregulated expression of ETS transcription factors has emerged as an important
event in prostate cancer pathogenesis. Here we show that the expression of
epithelial-specific ETS (ESE)-3 factor is frequently reduced at the RNA and
protein level in prostate cancer clinical samples compared to normal prostate. In
PC3 and DU145 cells, ESE-3 was silenced by methylation of an evolutionarily
conserved CpG site in its promoter and treatment with 5-aza-2'-deoxycytidine
restored its expression. In a prostate epithelial cell transformation model,
methylation of this site was inversely correlated with ESE-3 expression and
occurred only in Ras-transformed and tumorigenic cells and not in normal and
immortalized cells suggesting that ESE-3 silencing was functionally linked to
oncogenic transformation. Consistent with a tumor suppressor function, re
expression of ESE-3 in prostate cancer cells inhibited clonogenic survival and
induced apoptotic cell death. ESE-3 increased the level of procaspase-3, a key
element in the apoptotic cascade. This effect was mediated at the transcriptional
level by direct binding of ESE-3 to the caspase-3 promoter. Collectively, our
findings implicate ESE-3 as a candidate tumor suppressor in prostate cancer.
Decreased expression of ESE-3 may result in loss of important regulatory
mechanisms in prostate epithelial cells and contribute to the pathogenesis of
prostate cancer.
PMID- 18037959
TI - Physical and functional interactions between STAT3 and KAP1.
AB - Signal transducers and activators of transcription (STATs) mediate cell
proliferation, differentiation and survival in immune responses, hematopoiesis,
neurogenesis and other biological processes. For example, STAT3 has been reported
to be constitutively activated in numerous cancer cells. To clarify the molecular
mechanisms underlying the STAT activation, we performed yeast two-hybrid
screening and identified KAP1/TIF1beta as a novel STAT-binding partner. KAP1 is a
universal corepressor protein for the Kruppel-associated box zinc-finger protein
superfamily of transcriptional repressors. We found endogenous KAP1 associated
with endogenous STAT3 in vivo. Importantly, small-interfering RNA-mediated
reduction of KAP1 expression enhanced interleukin (IL)-6-induced STAT3-dependent
transcription and gene expression. Furthermore, reduction of KAP1 expression
resulted in the marked accumulation of STAT3 phosphorylated on Ser727 in the
nucleus, a modification that regulates its transcriptional activation. These
results indicate that KAP1 may serve as a transcriptional regulator of the IL
6/STAT3 signaling pathway.
PMID- 18037960
TI - Apolipoprotein C-1 maintains cell survival by preventing from apoptosis in
pancreatic cancer cells.
AB - Pancreatic cancer still remains one of the most lethal diseases and establishment
of new therapy is needed. The purpose of this study is to find novel factors
involved in pancreatic cancer progression by proteomic approach. We compared pre-
and postoperative serum protein profiling obtained from pancreatic cancer
patients who had curative pancreatectomy using surface-enhanced laser desorption
ionization time-of-flight mass spectrometry. The peak intensity levels of both
6630 and 6420 Da were significantly higher in the preoperative serum than in the
postoperative serum (P<0.002). Sequential amino acid analysis identified these
proteins to be apolipoprotein C-1 (ApoC-1). The high level of ApoC-1 in
preoperative serum significantly correlated with poor prognosis. Furthermore,
ApoC-1 was abundantly expressed in pancreas neoplastic epithelium, and was
detected in the culture medium of the pancreatic cancer cell line in vitro, which
suggests that cancer cells secrete ApoC-1. Inhibition of ApoC-1 expression by
short interfering RNA suppressed cell proliferation and induced apoptosis of
pancreatic cancer cells. The specific expression of ApoC-1 and its role in
preventing from spontaneous apoptosis in pancreatic cancer cells suggest that
ApoC-1 contributes to the aggressiveness of pancreatic cancer and will be useful
as a new therapeutic target.
PMID- 18037961
TI - Glioblastoma-derived stem cell-enriched cultures form distinct subgroups
according to molecular and phenotypic criteria.
AB - Tumor cells with stem cell-like properties can be cultured from human
glioblastomas by using conditions that select for the expansion of neural stem
cells. We generated cell lines from glioblastoma specimens with the goal to
obtain model systems for glioma stem cell biology. Unsupervised analysis of the
expression profiles of nine cell lines established under neural stem cell
conditions yielded two distinct clusters. Four cell lines were characterized by
the expression of neurodevelopmental genes. They showed a multipotent
differentiation profile along neuronal, astroglial and oligodendroglial lineages,
grew spherically in vitro, expressed CD133 and formed highly invasive tumors in
vivo. The other five cell lines shared expression signatures enriched for
extracellular matrix-related genes, had a more restricted differentiation
capacity, contained no or fewer CD133+ cells, grew semiadherent or adherent in
vitro and displayed reduced tumorigenicity and invasion in vivo. Our findings
show that stable, multipotent glioblastoma cell lines with a full stem-like
phenotype express neurodevelopmental genes as a distinctive feature, which may
offer therapeutic targeting opportunities. The generation of another distinct
cluster of cell lines showing similarly homogeneous profiling but restricted stem
cell properties suggests that different phenotypes exist, each of which may lead
to the typical appearance of glioblastoma.
PMID- 18037962
TI - The proline-rich domain in p63 is necessary for the transcriptional and apoptosis
inducing activities of TAp63.
AB - p63 shares considerable sequence identity with p53, especially in its DNA
binding, activation and tetramerization domains. When the upstream promoter is
used for p63 expression, three major transactivation p63 (TAp63) isoforms (alpha,
beta and gamma) are produced. p63 is also expressed from an alternate promoter
located in intron 3, producing three major DeltaNp63 isoforms. Recent studies
demonstrated that p63 has the potential to function as a tumor suppressor or an
oncoprotein. To further address this, we generated cell lines that inducibly
express each TAp63 isoform. We showed that TAp63 isoforms are capable of inducing
p53-responsive genes, inhibiting cell proliferation and promoting apoptosis.
Interestingly, we discovered that both the activation domain (residues 1-59) and
the proline-rich domain (residues 67-127) are required for TAp63 transcriptional
activity. Likewise, TAp63beta(DeltaPRD), deleted of residues 60-133, possessed a
greatly attenuated ability to induce endogenous target genes and promote
apoptosis, but retained the ability to inhibit cell proliferation when expressed
in stable, inducible cell lines. TAp63beta(DeltaPRD) also functioned as a
dominant negative to wild-type p63beta in a dose-dependent manner. Furthermore,
the loss of function seen with deletion of the proline-rich domain was not due to
a DNA-binding defect, as TAp63beta(DeltaPRD) was found to strongly bind
endogenous promoters using chromatin immunoprecipitation assay. Finally,
mutational analysis revealed that a PXXP motif at residues 124-127 contributes to
the transcriptional activity of TAp63. Altogether, our findings suggest that
TAp63 transcriptional activity can be regulated by modification(s) of, or protein
interactions with, the p63 proline-rich domain.
PMID- 18037963
TI - The latent membrane protein 1 oncogene modifies B-cell physiology by regulating
autophagy.
AB - Epstein-Barr virus (EBV) is a herpes virus that is associated with several human
cancers. Infection of B cells by EBV leads to their induction and maintenance of
proliferation and requires the oncogene, latent membrane protein 1 (LMP1). LMP1
signals in a ligand-independent manner and is expressed at widely different
levels in cells of a single clone. It is this unusual distribution that allows
LMP1 to stimulate multiple, distinct pathways. Average levels of LMP1 induce
proliferation while high levels induce cytostasis and inhibition of protein
synthesis. These inhibitory pathways are induced by the six transmembrane domains
of LMP1. We uncovered a novel function encoded by transmembrane domains 3-6 of
LMP1; they induce autophagy in a dose-dependent manner and thus, modify the
physiology of their host. Cells that express low levels of LMP1 display early
stages of autophagy, autophagosomes; those that express high levels of this
oncogene display late stages of autophagy, autolysosomes. Inhibition of autophagy
in EBV-positive cells leads to an accumulation of LMP1 and a decreased ability to
form colonies. These results indicate that LMP1's induction of autophagy
contributes to its own regulation and that of its host cell.
PMID- 18037964
TI - The leukemogenic CALM/AF10 fusion protein alters the subcellular localization of
the lymphoid regulator Ikaros.
AB - The t(10;11)(p13;q14) translocation leads to the fusion of the CALM and AF10
genes. This translocation can be found as the sole cytogenetic abnormality in
acute lymphoblastic leukemia, acute myeloid leukemia and in malignant lymphomas.
The expression of CALM/AF10 in primary murine bone marrow cells results in the
development of an aggressive leukemia in a murine bone marrow transplantation
model. Using a yeast two-hybrid screen, we identified the lymphoid regulator
Ikaros as an AF10 interacting protein. Interestingly, Ikaros is required for
normal development of lymphocytes, and aberrant expression of Ikaros has been
found in leukemia. In a murine model, the expression of a dominant negative
isoform of Ikaros causes leukemias and lymphomas. The Ikaros interaction domain
of AF10 was mapped to the leucine zipper domain of AF10, which is required for
malignant transformation both by the CALM/AF10 and the MLL/AF10 fusion proteins.
The interaction between AF10 and Ikaros was confirmed by GST pull down and co
immunoprecipitation. Coexpression of CALM/AF10 but not of AF10 alters the
subcellular localization of Ikaros in murine fibroblasts. The transcriptional
repressor activity of Ikaros is reduced by AF10. These results suggest that
CALM/AF10 might interfere with normal Ikaros function, and thereby block lymphoid
differentiation in CALM/AF10 positive leukemias.
PMID- 18037965
TI - Influence of nucleophosmin/B23 on DNA binding and transcriptional activity of the
androgen receptor in prostate cancer cell.
AB - The promotion and progression of prostate cancer (PCa) are associated with
androgen receptor (AR) signalling. AR functions are modulated by a variety of co
factors amongst which we identified the nucleophosmin (NPM/B23), a member of the
histone chaperone family. Here, we show that NPM is overexpressed in PCa compared
to normal adjacent tissues. AR and NPM interact in vitro and in vivo, and NPM is
critical for androgen-dependent transcriptional activation in LNCaP cells as an
anti-NPM siRNA downregulates transcription of a transfected androgen response
element (ARE)-containing reporter promoter as well as expression of the
endogenous androgen responsive prostate-specific antigen (PSA) gene. By
investigating the effect of NPM on AR, we have also observed that NPM enhances AR
binding to an ARE in vitro in electrophoretic gel mobility-shift assay
experiments. Chromatin immunoprecipitation studies further demonstrated that both
AR and NPM associate with AREs of the PSA gene in vivo. Altogether, our data
suggest that the molecular histone chaperone NPM could regulate AR functions by
promoting assembly of AR-containing regulatory complexes and that high levels of
NPM might alter AR functions in PCa.
PMID- 18037966
TI - Thyroid hormone receptor-beta (TR beta 1) impairs cell proliferation by the
transcriptional inhibition of cyclins D1, E and A2.
AB - Thyroid hormone receptor-beta1 (TRbeta1) belongs to the ligand-inducible
transcription factor superfamily. We have previously described that stable
TRbeta1 expression impairs fibroblast proliferation diminishing levels and
activity of the main regulators of the G(1)/S transition. To unmask the
underlying molecular mechanism of this action, we have investigated the
expression of cyclin D1, E and A2 upon serum stimulation in TRbeta1 expressing
cells, finding a strong downregulation of their mRNAs, concomitant with low
protein levels. The inhibition of the transcriptional activation in response to
serum of these cyclins is differently exerted. For cyclin D1, we demonstrate that
TRbeta1 represses its promoter as a consequence of the downregulation of c-jun
levels, diminished AP-1 activation and loss of c-jun recruitment to its binding
sites on cyclin D1 promoter. For cyclin E and A2, it is the impairment of the
cyclinD/Rb/E2F pathway by TRbeta1 that prevents the activation of these two E2F
target genes. Indeed, recruitment of E2F-1 to cyclin A2 promoter could not be
detected. In summary, we propose that apo-TRbeta1 exerts its antiproliferative
action through a mechanism that could constitute a model by which other nuclear
receptors may control cell division.
PMID- 18037967
TI - Inactivation of the Rb pathway and overexpression of both isoforms of E2F3 are
obligate events in bladder tumours with 6p22 amplification.
AB - E2F3 and CDKAL1 are candidate genes from the 6p22 region frequently amplified in
bladder cancer. Expression of E2F3 isoforms (E2F3a and b) and CDKAL1 were
examined and modulated in 6p22-amplified bladder cell lines. Eight lines with
amplification showed overexpression of both E2F3 isoforms and CDKAL1. shRNA
mediated knockdown of CDKAL1 had no effect on proliferation. Knockdown of E2F3a
or E2F3b alone induced antiproliferative effects, with the most significant
effect on proliferation being observed when both isoforms were knocked down
together. As E2Fs interact with the Rb tumour suppressor protein, Rb expression
was analysed. There was a striking relationship between 6p22.3 amplification,
E2F3 overexpression and lack of Rb expression. This was also examined in primary
bladder tumours. Array-CGH detected 6p22.3 amplification in 8/91 invasive
tumours. Five were studied in more detail. Four showed 13q14.2 loss (including
RB1) and expressed no Rb protein. In the fifth, 13q was unaltered but the CDKN2A
locus was deleted. This tumour was negative for p16 and positive for Rb protein.
As p16 is a negative regulator of the Rb pathway, its loss represents an
alternative mechanism for inactivation. Indeed, a phospho-specific Rb antibody
showed much Rb protein in a hyperphosphorylated (inactive) form. We conclude that
inactivation of the Rb pathway is required in addition to E2F3 overexpression in
this subset of bladder tumours.
PMID- 18037970
TI - Single Scan 2D NMR Spectroscopy on a 25 T Bitter Magnet.
AB - 2D NMR relies on monitoring systematic changes in the phases incurred by spin
coherences as a function of an encoding time t(1), whose value changes over the
course of independent experiments. The intrinsic multiscan nature of such
protocols implies that resistive and/or hybrid magnets, capable of delivering the
highest magnetic field strengths but possessing poor temporal stabilities, become
unsuitable for 2D NMR acquisitions. It is here shown with a series of homo- and
hetero-nuclear examples that such limitations can be bypassed using recently
proposed 2D "ultrafast" acquisition schemes, which correlate interactions along
all spectral dimensions within a single scan.
PMID- 18037968
TI - Insulin decreases inflammatory signal transcription factor expression in primary
human liver cells after LPS challenge.
AB - Hepatic homeostasis is essential for survival in critically ill and burned
patients. Insulin administration improves survival and decreases infections in
these patients. To determine the molecular mechanisms, the aim of the present
study was to establish a stress model using primary human hepatocytes (PHHs) and
to study the effects of insulin on the hepatic inflammatory signaling cascade.
Liver tissue was obtained from general surgical patients, and PHHs were isolated
and maintained in culture. Primary hepatocyte cultures were challenged with
various doses of lipopolysaccharide (LPS), and the inflammatory signal
transcription cascade was determined by real-time PCR. In subsequent experiments,
primary hepatocyte cultures were challenged with LPS and insulin was added in
various doses. Glucose was determined by colorimetric assays. PHHs treated with
100 microg/mL LPS showed a profound inflammatory reaction with increased
expression of interleukin (IL)-6, IL-10, IL-1beta, tumor necrosis factor (TNF),
and signal transducer and activator of transcription 5 (STAT-5). Insulin at 10
IU/mL significantly decreased IL-6, TNF, and IL-1beta at pretranslational levels,
an effect associated with decreased STAT-5 mRNA expression (P < 0.05). Glucose
concentration and cellular metabolic activity were not different between controls
and insulin-treated cells. Based on our results, we suggest that primary
hepatocyte cultures can be used to study the effect of LPS on the inflammatory
cascade. Insulin decreases hepatic cytokine expression, which is associated with
decreased STAT-5 expression.
PMID- 18037969
TI - Neoplastic transformation of human small airway epithelial cells induced by
arsenic.
AB - Human small airway epithelial cells (SAECs) previously immortalized with human
telomerase reverse transcriptase (h-TERT) were continuously treated with sodium
arsenite at a dose of 0.5 microg/mL in culture for up to 6 months. Arsenic
treated cells progressively displayed an increase in transformed phenotype
including enhanced growth saturation density, plating efficiency, and anchorage
independent growth and invasion capability compared with their nontreated control
cells. To determine whether arsenic-induced cell transformation was associated
with genomic instability, treated and control cells were also analyzed for
micronuclei formation. A 4.8-fold increase in micronuclei incidence in arsenic
treated cells was detected in conjunction with increased N-phosphonacetyl-l
aspartate (PALA)-resistant characteristics. In addition, arsenic-treated cells
showed an increase in c-H-ras, c-myc, and c-fos protein expression relative to
controls. The change in oncoprotein expression correlated with a decrease in wild
type p53 expression and hyperphosphorylated retinoblastoma. Taken together, these
results strongly suggest that h-TERT immortalized human small airway epithelial
cells underwent step-wise transformation after inorganic arsenic treatment.
PMID- 18037971
TI - 3-D Quantum Transport Solver Based on the Perfectly Matched Layer and Spectral
Element Methods for the Simulation of Semiconductor Nanodevices.
AB - A 3-D quantum transport solver based on the spectral element method (SEM) and
perfectly matched layer (PML) is introduced to solve the 3-D Schrodinger equation
with a tensor effective mass. In this solver, the influence of the environment is
replaced with the artificial PML open boundary extended beyond the contact
regions of the device. These contact regions are treated as waveguides with known
incident waves from waveguide mode solutions. As the transmitted wave function is
treated as a total wave, there is no need to decompose it into waveguide modes,
thus significantly simplifying the problem in comparison with conventional open
boundary conditions. The spectral element method leads to an exponentially
improving accuracy with the increase in the polynomial order and sampling points.
The PML region can be designed such that less than -100 dB outgoing waves are
reflected by this artificial material. The computational efficiency of the SEM
solver is demonstrated by comparing the numerical and analytical results from
waveguide and plane-wave examples, and its utility is illustrated by multiple
terminal devices and semiconductor nanotube devices.
PMID- 18037973
TI - Reductive Deprotection of Silyl Groups with Wilkinson's Catalyst/Catechol Borane.
AB - Traditionally silyl groups are deprotected with acids and fluorides. These
methods are, however, less discriminating when multi-silyl groups are present in
the same molecule resulting in lower yields of desired products. The manipulation
of these functions during the total synthesis of natural products, e.g.
prostaglandins and isoprostanes, requires the selective protection and
deprotection of these groups.We are reporting here on a mild, selective and
efficient method for the reductive deprotection of silyl groups using Wilkinson's
catalyst/catechol borane or catechol borane alone.
PMID- 18037972
TI - Synthesis of porphyrins bearing 1-4 hydroxymethyl groups and other one-carbon
oxygenic substituents in distinct patterns.
AB - Porphyrins that bear one-carbon oxygenic substituents (hydroxymethyl, formyl,
ester) directly attached to the macrocycle afford a compact architecture that is
attractive for diverse applications. Routes to 9 porphyrins bearing such groups
in distinct architectures (A(4)-, trans-A(2)-, trans-A(2)B(2)-, trans-AB- and
trans-AB(2)C-porphyrins) have been explored (A = hydroxymethyl), including
porphyrins bearing two one-carbon units in different oxidation states
(hydroxymethyl/ester, formyl/ester). The hydroxymethyl group was introduced via
TBDMS-protected dipyrromethane precursors.
PMID- 18037974
TI - New access to H-phosphonates via metal-catalyzed phosphorus-oxygen bond
formation.
AB - A novel approach to H-phosphonates from hypophosphorous acid using a transfer
hydrogenation process was developed. This method is atom-economical,
environmentally friendly, catalytic, and efficient, leading easily to H
phosphonate monoesters or ammonium salt in moderate to good yields.
PMID- 18037975
TI - Finite Element Simulation of Elastohydrodynamic Lubrication of Soft Biological
Tissues.
AB - In the serosal cavities (e.g. pleural, pericardial) soft tissues slide against
each other, lubricated by thin fluid. We used rotational devices to study the
tribology of such tissues, which appear to exhibit mixed and hydrodynamic
lubrication. To explore mechanism, we modeled the interaction of fluid and soft
material in 3D using a simple cylindrical geometry with an uneven solid-fluid
interface in rotation. Deformation of the solid, frictional force, and fluid
thickness are presented as a function of applied rotational velocity, applied
normal load and material properties. The results suggest that the deformation
caused by hydrodynamic pressure leads to load-supporting behavior.
PMID- 18037976
TI - Performance of the U.S. Office of Management and Budget's Revised Race and
Ethnicity Categories in Asian Populations*
AB - OBJECTIVES: The U.S. Office of Management and Budget (OMB) guidelines for
collecting and reporting race and ethnicity information recently divided the
"Asian or Pacific Islander" category into "Asian" and "Native Hawaiian or Other
Pacific Islander". The OMB's decision to disaggregate the "Asian or Pacific
Islander" category was the first step toward providing these communities with
information to better serve their needs. However, whether individuals who
formerly made up the combined group categorize themselves as the new guidelines
intend is a question analyzed in this report. METHODS: A subset of adults
participating in the Hemochromatosis and Iron Overload Screening Study completed
both the OMB-minimum and the expanded race and ethnicity measure used in the
National Health Interview Survey. We compared responses on the expanded measure
contained within the OMB "Asian" definition (Filipino, Korean, Vietnamese,
Japanese, Asian Indian, Chinese, and/or Other Asian) to "Asian" responses on the
OMB-minimum measure. RESULTS: Mixed heritage Asians less often marked "Asian".
Among mixed heritage Japanese, Chinese, and Filipinos, 27%, 49%, and 52% did not
mark "Asian" on the OMB measure, respectively. Eleven percent of single-heritage
Filipinos did not mark "Asian." CONCLUSIONS: Many individuals formerly making up
the combined "Asian or Pacific Islander" group do not categorize themselves as
the revised OMB guidelines intend. This is particularly evident among Filipinos
and among Asians of mixed heritage. This research illuminates the reliability and
utility of the broad "Asian" category and points to possible consequences of
collapsing groups into a single category, i.e., missed information and/or
erroneous generalization.
PMID- 18037977
TI - A Laplacian-based MMSE estimator for speech enhancement.
AB - This paper focuses on optimal estimators of the magnitude spectrum for speech
enhancement. We present an analytical solution for estimating in the MMSE sense
the magnitude spectrum when the clean speech DFT coefficients are modeled by a
Laplacian distribution and the noise DFT coefficients are modeled by a Gaussian
distribution. Furthermore, we derive the MMSE estimator under speech presence
uncertainty and a Laplacian statistical model. Results indicated that the
Laplacian-based MMSE estimator yielded less residual noise in the enhanced speech
than the traditional Gaussian-based MMSE estimator. Overall, the present study
demonstrates that the assumed distribution of the DFT coefficients can have a
significant effect on the quality of the enhanced speech.
PMID- 18037978
TI - Quantile Stratification Based on a Misspecified Propensity Score in Longitudinal
Treatment Effectiveness Analyses of Ordinal Doses.
AB - The propensity adjustment provides a strategy to reduce the bias in treatment
effectiveness analyses that compare non-equivalent groups such as seen in
observational studies (Rosenbaum and Rubin, 1983). The objective of this
simulation study is to examine the effect of omitting confounding variables from
the propensity score on the quintile-stratified propensity adjustment in a
longitudinal study. The primary focus was the impact of a misspecified propensity
score on bias. Three features of the omitted confounding variables were examined:
type of predictor variable (binary vs. continuous), constancy over time (time
varying vs. time-invariant), and magnitude of the association with treatment and
outcome (null, small, and large odds ratios). The simulation results indicate
that omission of continuous, time-varying confounders that are strongly
associated with treatment and outcome (i.e., an odds ratio of 1.75) adversely
impacts bias, coverage, and type I error. Omitted time-varying continuous
variables had somewhat more effect on bias than omitted binary variables. Time
invariant confounding variables that are not included in the propensity score
have a much less effect on results. This evaluation only examined continuous
treatment effectiveness outcomes and the propensity scores used for
stratification included just four variables. Relative to the use of the
propensity adjustment in applied settings that typically comprise numerous
potential confounding variables, the impact of one omitted continuous, time
varying confound in this simulation study could be overstated.
PMID- 18037979
TI - The influence of childhood maltreatment on adolescents' academic performance.
AB - Evidence that childhood maltreatment is associated with emotional and behavioral
problems throughout childhood suggests that maltreatment could lead to impaired
academic performance in middle and high school. This article explores these
effects using data on siblings. An index measure of the intensity of childhood
maltreatment was included as a covariate in multivariate analyses of adolescents'
risk for school performance impairments. Family fixed effects were used to
control for unobservables linked to family background and neighborhood effects.
More intense childhood maltreatment was associated with greater probability of
having a low GPA (P=0.001) and problems completing homework assignments
(P=0.007). Associations between maltreatment intensity and adolescent school
performance were not sensitive to model specification. Additional analyses
suggested that maltreatment effects are moderated by cognitive deficits related
to attention problems. The implications of these findings for educators and
schools are discussed.
PMID- 18037980
TI - Gradiency and Visual Context in Syntactic Garden-Paths.
AB - Through recording the streaming x, y coordinates of computer-mouse movements, we
report evidence that visual context provides an immediate constraint on the
resolution of syntactic ambiguity in the visual-world paradigm. This finding
converges with previous eye-tracking results that support a constraint-based
account of sentence processing, in which multiple partially-active syntactic
alternatives compete against one another with the help of not only syntactic,
semantic, and statistical factors, but also nonlinguistic factors such as visual
context. Eye-tracking results in the visual-world paradigm are consistent with
theories that posit limited interaction between context and syntax, but they are
still consistent with related theories that allow immediate interaction but
require serial pursuit of syntactic structures, such as the unrestricted race
model. To tease apart the constraint-based and unrestricted-race accounts of
sentence processing, the distribution of computer-mouse trajectories was analyzed
for evidence of two populations of trials: those where only the correct parse was
pursued and those where only the incorrect parse was pursued. We found no
evidence of bimodality in the distribution of trajectory curvatures. Simulations
with a constraint-based model produced trajectories that matched the human data.
A nonlinguistic control study demonstrated the mouse-tracking paradigm's ability
to elicit bimodal distributions of trajectory curvatures in certain experimental
conditions. With effects of context posing a challenge for syntax-first models,
and the absence of bimodality in the distribution of garden-path magnitude posing
a challenge for unrestricted-race models, these converging methods support the
constraint-based theory's account that the reason diverse contextual factors are
able to bias one or another parse at the point of ambiguity is because those
syntactic alternatives are continually partially-active in parallel, not
discretely winnowed.
PMID- 18037981
TI - Turing pattern outside of the Turing domain.
AB - There are two simple solutions to reaction-diffusion systems with limit-cycle
reaction kinetics, producing oscillatory behaviour. The reaction parameter mu
gives rise to a 'space-invariant' solution, and mu versus the ratio of the
diffusion coefficients gives rise to a 'time-invariant' solution. We consider the
case where both solution types may be possible. This leads to a refinement of the
Turing model of pattern formation. We add convection to the system and
investigate its effect. More complex solutions arise that appear to combine the
two simple solutions. The convective system sheds light on the underlying
behaviour of the diffusive system.
PMID- 18037982
TI - Integration of Trench-Isolated Through-Wafer Interconnects with 2D Capacitive
Micromachined Ultrasonic Transducer Arrays.
AB - This paper presents a method to provide electrical connection to a 2D capacitive
micromachined ultrasonic transducer (CMUT) array. The interconnects are processed
after the CMUTs are fabricated on the front side of a silicon wafer. Connections
to array elements are made from the back side of the substrate via highly
conductive silicon pillars that result from a deep reactive ion etching (DRIE)
process. Flip-chip bonding is used to integrate the CMUT array with an integrated
circuit (IC) that comprises the front-end circuits for the transducer and
provides mechanical support for the trench-isolated array elements. Design,
fabrication process and characterization results are presented. The advantages
when compared to other through-wafer interconnect techniques are discussed.
PMID- 18037983
TI - Differentiation of chiral phosphorus enantiomers by P and H NMR spectroscopy
using amino acid derivatives as chemical solvating agents.
AB - The ability of commercially available amino acid derivatives, especially Fmoc
Trp(Boc)-OH, to differentiate enantiomers of chiral phosphonates, phosphinates,
phosphates, phosphine oxides, and phosphonamidates is demonstrated with (31)P,
(13)C, and (1)H NMR spectroscopy. The chiral differentiation provided a rapid and
convenient method for measuring the enantiomeric purity of these phosphorus
compounds.
PMID- 18037984
TI - Advances in Magnetic Resonance Imaging for the assessment of degenerative disc
disease of the lumbar spine.
AB - The intervertebral disc is characterized by a tension-resisting annulus fibrosus,
and a compression-resisting nucleus pulposus composed largely of proteoglycan.
Both the annulus and the nucleus function in concert to provide the disc with
mechanical stability. Early disc degeneration begins in the nucleus with
proteoglycan depletion. Quantitative MRI techniques have been developed to non
invasively quantify the earliest degenerative changes that occur within the disc.
Our ability to identify and quantify these early biochemical changes will provide
a better understanding of the pathophysiology of disc degeneration and facilitate
the study of interventions that aim to halt or reverse the degenerative process.
PMID- 18037985
TI - Sample Tracking in an Automated Cytogenetic Biodosimetry Laboratory for Radiation
Mass Casualties.
AB - Chromosome aberration-based dicentric assay is expected to be used after mass
casualty life-threatening radiation exposures to assess radiation dose to
individuals. This will require processing of a large number of samples for
individual dose assessment and clinical triage to aid treatment decisions. We
have established an automated, high-throughput, cytogenetic biodosimetry
laboratory to process a large number of samples for conducting the dicentric
assay using peripheral blood from exposed individuals according to
internationally accepted laboratory protocols (i.e., within days following
radiation exposures). The components of an automated cytogenetic biodosimetry
laboratory include blood collection kits for sample shipment, a cell viability
analyzer, a robotic liquid handler, an automated metaphase harvester, a metaphase
spreader, high-throughput slide stainer and coverslipper, a high-throughput
metaphase finder, multiple satellite chromosome-aberration analysis systems, and
a computerized sample tracking system. Laboratory automation using commercially
available, off-the-shelf technologies, customized technology integration, and
implementation of a laboratory information management system (LIMS) for
cytogenetic analysis will significantly increase throughput.This paper focuses on
our efforts to eliminate data transcription errors, increase efficiency, and
maintain samples' positive chain-of-custody by sample tracking during sample
processing and data analysis. This sample tracking system represents a "beta"
version, which can be modeled elsewhere in a cytogenetic biodosimetry laboratory,
and includes a customized LIMS with a central server, personal computer
workstations, barcode printers, fixed station and wireless hand-held devices to
scan barcodes at various critical steps, and data transmission over a private
intra-laboratory computer network. Our studies will improve diagnostic
biodosimetry response, aid confirmation of clinical triage, and medical
management of radiation exposed individuals.
PMID- 18037986
TI - Thin film voltammetry of metabolic enzymes in rat liver microsomes.
AB - We report herein thin film voltammetry and kinetics of electron transfer for
redox proteins in rat liver microsomes for the first time. Films were made layer
by-layer from liver microsomes and polycations on pyrolytic graphite electrodes.
Cyclic voltammograms were chemically reversible with a midpoint potential of
0.48 V vs SCE at 0.1 V s(-1) in pH 7.0 phosphate buffer. Reduction peak
potentials shifted negative at higher scan rates, and oxidation-reduction peak
current ratios were approximately 1 consistent with non-ideal quasireversible
thin film voltammetry. Analysis of oxidation-reduction peak separations gave an
average apparent surface electron transfer rate constant of 30 s(-1). Absence of
significant electrocatalytic reduction of O(2) or H(2)O(2) and lack of shift in
midpoint potential when CO is added that indicates lack of an iron heme cofactor
suggest that peaks can be attributed to oxidoreductases present in the microsomes
rather than cytochrome P450 enzymes.
PMID- 18037987
TI - Smoking Behavior and Demographic Risk Factors in Argentina: A Population-Based
Survey.
AB - BACKGROUND: Demographic and socioeconomic factors associated with smoking
behavior were evaluated in a nationwide household survey in Argentina to describe
the status of the tobacco epidemic. METHODS: Face-to-face interviews with adults,
age 20 and older, assessed smoking status, frequency, and age of initiation.
Multivariate logistic regression was used to compare social and demographic
characteristics. RESULTS: Of the 43,863 participants, 38% of men and 24% of women
were current smokers, and 20% of current smokers smoked occasionally. For older
men and women, smoking was less prevalent and their probability of quitting
higher. Men with more than high school education were less likely to be current
smokers. Rates for women did not differ by education. CONCLUSIONS: The lower
smoking rates among men with more education suggest that Argentina has begun to
transition to the next stage of the tobacco epidemic. Tobacco control policy must
direct efforts to change smoking behavior.
PMID- 18037988
TI - The CO/HO system reverses inhibition of mitochondrial biogenesis and prevents
murine doxorubicin cardiomyopathy.
AB - The clinical utility of anthracycline anticancer agents, especially doxorubicin,
is limited by a progressive toxic cardiomyopathy linked to mitochondrial damage
and cardiomyocyte apoptosis. Here we demonstrate that the post-doxorubicin mouse
heart fails to upregulate the nuclear program for mitochondrial biogenesis and
its associated intrinsic antiapoptosis proteins, leading to severe mitochondrial
DNA (mtDNA) depletion, sarcomere destruction, apoptosis, necrosis, and excessive
wall stress and fibrosis. Furthermore, we exploited recent evidence that
mitochondrial biogenesis is regulated by the CO/heme oxygenase (CO/HO) system to
ameliorate doxorubicin cardiomyopathy in mice. We found that the myocardial
pathology was averted by periodic CO inhalation, which restored mitochondrial
biogenesis and circumvented intrinsic apoptosis through caspase-3 and apoptosis
inducing factor. Moreover, CO simultaneously reversed doxorubicin-induced loss of
DNA binding by GATA-4 and restored critical sarcomeric proteins. In isolated rat
cardiac cells, HO-1 enzyme overexpression prevented doxorubicin-induced mtDNA
depletion and apoptosis via activation of Akt1/PKB and guanylate cyclase, while
HO-1 gene silencing exacerbated doxorubicin-induced mtDNA depletion and
apoptosis. Thus doxorubicin disrupts cardiac mitochondrial biogenesis, which
promotes intrinsic apoptosis, while CO/HO promotes mitochondrial biogenesis and
opposes apoptosis, forestalling fibrosis and cardiomyopathy. These findings imply
that the therapeutic index of anthracycline cancer chemotherapeutics can be
improved by the protection of cardiac mitochondrial biogenesis.
PMID- 18037989
TI - Overexpression of sPRDM16 coupled with loss of p53 induces myeloid leukemias in
mice.
AB - Transgenic expression of the abnormal products of acute myeloid leukemia
associated (AML-associated) primary chromosomal translocations in hematopoietic
stem/progenitor cells initiates leukemogenesis in mice, yet additional mutations
are needed for leukemia development. We report here aberrant expression of PR
domain containing 16 (PRDM16) in AML cells with either translocations of 1p36 or
normal karyotype. These carried, respectively, relatively high prevalence of
mutations in the TP53 tumor suppressor gene and in the nucleophosmin (NPM) gene,
which regulates p53. Two protein isoforms are expressed from PRDM16, which differ
in the presence or absence of the PR domain. Overexpression of the short isoform,
sPRDM16, in mouse bone marrow induced AML with full penetrance, but only in the
absence of p53. The mouse leukemias were characterized by multilineage cellular
abnormalities and megakaryocyte dysplasia, a common feature of human AMLs with
1p36 translocations or NPM mutations. Overexpression of sPRDM16 increased the
pool of HSCs in vivo, and in vitro blocked myeloid differentiation and prolonged
progenitor life span. Loss of p53 augmented the effects of sPRDM16 on stem cell
number and induced immortalization of progenitors. Thus, overexpression of
sPRDM16 induces abnormal growth of stem cells and progenitors and cooperates with
disruption of the p53 pathway in the induction of myeloid leukemia.
PMID- 18037990
TI - Heterotaxy and complex structural heart defects in a mutant mouse model of
primary ciliary dyskinesia.
AB - Primary ciliary dyskinesia (PCD) is a genetically heterogeneous disorder
associated with ciliary defects and situs inversus totalis, the complete mirror
image reversal of internal organ situs (positioning). A variable incidence of
heterotaxy, or irregular organ situs, also has been reported in PCD patients, but
it is not known whether this is elicited by the PCD-causing genetic lesion. We
studied a mouse model of PCD with a recessive mutation in Dnahc5, a dynein gene
commonly mutated in PCD. Analysis of homozygous mutant embryos from 18 litters
yielded 25% with normal organ situs, 35% with situs inversus totalis, and 40%
with heterotaxy. Embryos with heterotaxy had complex structural heart defects
that included discordant atrioventricular and ventricular outflow situs and
atrial/pulmonary isomerisms. Variable combinations of a distinct set of
cardiovascular anomalies were observed, including superior-inferior ventricles,
great artery alignment defects, and interrupted inferior vena cava with azygos
continuation. The surprisingly high incidence of heterotaxy led us to evaluate
the diagnosis of PCD. PCD was confirmed by EM, which revealed missing outer
dynein arms in the respiratory cilia. Ciliary dyskinesia was observed by
videomicroscopy. These findings show that Dnahc5 is required for the
specification of left-right asymmetry and suggest that the PCD-causing Dnahc5
mutation may also be associated with heterotaxy.
PMID- 18037991
TI - Maternal exposure to polycyclic aromatic hydrocarbons diminishes murine ovarian
reserve via induction of Harakiri.
AB - Maternal smoking during pregnancy is associated with a variety of adverse
neonatal outcomes including altered reproductive performance. Herein we provide
molecular evidence for a pathway involved in the elimination of the female
germline due to prepregnancy and/or lactational exposure to polycyclic aromatic
hydrocarbons (PAHs), environmental toxicants found in cigarette smoke. We show
that ovaries of offspring born to mice exposed to PAHs contained only a third of
the ovarian follicle pool compared with offspring of unexposed female mice.
Activation of the cell death pathway in immature follicles of exposed females was
mediated by the aryl hydrocarbon receptor (Ahr), as ovarian reserve was fully
rescued by maternal cotreatment with the Ahr antagonist, resveratrol, or by
inactivation of the Ahr gene. Furthermore, in response to PAHs, Ahr-mediated
activation of the harakiri, BCL2 interacting protein (contains only BH3 domain),
was necessary for execution of cell death. This pathway appeared to be conserved
between mouse and human, as xenotransplanted human ovarian cortex exposed to PAHs
responded by activation of the identical cell death cascade. Our data indicate
that maternal exposure to PAHs prior to pregnancy and/or during lactation
compromises ovarian reserve of female offspring, raising the concern about the
transgenerational impact of maternal smoking on ovarian function in the human.
PMID- 18037993
TI - Visual phenotype in Williams-Beuren syndrome challenges magnocellular theories
explaining human neurodevelopmental visual cortical disorders.
AB - Williams-Beuren syndrome (WBS), a neurodevelopmental genetic disorder whose
manifestations include visuospatial impairment, provides a unique model to link
genetically determined loss of neural cell populations at different levels of the
nervous system with neural circuits and visual behavior. Given that several of
the genes deleted in WBS are also involved in eye development and the
differentiation of retinal layers, we examined the retinal phenotype in WBS
patients and its functional relation to global motion perception. We discovered a
low-level visual phenotype characterized by decreased retinal thickness, abnormal
optic disk concavity, and impaired visual responses in WBS patients compared with
age-matched controls by using electrophysiology, confocal and coherence in vivo
imaging with cellular resolution, and psychophysics. These mechanisms of
impairment are related to the magnocellular pathway, which is involved in the
detection of temporal changes in the visual scene. Low-level magnocellular
performance did not predict high-level deficits in the integration of motion and
3D information at higher levels, thereby demonstrating independent mechanisms of
dysfunction in WBS that will require remediation strategies different from those
used in other visuospatial disorders. These findings challenge neurodevelopmental
theories that explain cortical deficits based on low-level magnocellular
impairment, such as regarding dyslexia.
PMID- 18037992
TI - Hypoxia promotes fibrogenesis in vivo via HIF-1 stimulation of epithelial-to
mesenchymal transition.
AB - Hypoxia has been proposed as an important microenvironmental factor in the
development of tissue fibrosis; however, the underlying mechanisms are not well
defined. To examine the role of hypoxia-inducible factor-1 (HIF-1), a key
mediator of cellular adaptation to hypoxia, in the development of fibrosis in
mice, we inactivated Hif-1alpha in primary renal epithelial cells and in proximal
tubules of kidneys subjected to unilateral ureteral obstruction (UUO) using Cre
loxP-mediated gene targeting. We found that Hif-1alpha enhanced epithelial-to
mesenchymal transition (EMT) in vitro and induced epithelial cell migration
through upregulation of lysyl oxidase genes. Genetic ablation of epithelial Hif
1alpha inhibited the development of tubulointerstitial fibrosis in UUO kidneys,
which was associated with decreased interstitial collagen deposition, decreased
inflammatory cell infiltration, and a reduction in the number of fibroblast
specific protein-1-expressing (FSP-1-expressing) interstitial cells. Furthermore,
we demonstrate that increased renal HIF-1alpha expression is associated with
tubulointerstitial injury in patients with chronic kidney disease. Thus, we
provide clinical and genetic evidence that activation of HIF-1 signaling in renal
epithelial cells is associated with the development of chronic renal disease and
may promote fibrogenesis by increasing expression of extracellular matrix
modifying factors and lysyl oxidase genes and by facilitating EMT.
PMID- 18037994
TI - Nuclear receptors PPARbeta/delta and PPARalpha direct distinct metabolic
regulatory programs in the mouse heart.
AB - In the diabetic heart, chronic activation of the PPARalpha pathway drives
excessive fatty acid (FA) oxidation, lipid accumulation, reduced glucose
utilization, and cardiomyopathy. The related nuclear receptor, PPARbeta/delta, is
also highly expressed in the heart, yet its function has not been fully
delineated. To address its role in myocardial metabolism, we generated transgenic
mice with cardiac-specific expression of PPARbeta/delta, driven by the myosin
heavy chain (MHC-PPARbeta/delta mice). In striking contrast to MHC-PPARalpha
mice, MHC-PPARbeta/delta mice had increased myocardial glucose utilization, did
not accumulate myocardial lipid, and had normal cardiac function. Consistent with
these observed metabolic phenotypes, we found that expression of genes involved
in cellular FA transport were activated by PPARalpha but not by PPARbeta/delta.
Conversely, cardiac glucose transport and glycolytic genes were activated in MHC
PPARbeta/delta mice, but repressed in MHC-PPARalpha mice. In reporter assays, we
showed that PPARbeta/delta and PPARalpha exerted differential transcriptional
control of the GLUT4 promoter, which may explain the observed isotype-specific
effects on glucose uptake. Furthermore, myocardial injury due to
ischemia/reperfusion injury was significantly reduced in the MHC-PPARbeta/delta
mice compared with control or MHC-PPARalpha mice, consistent with an increased
capacity for myocardial glucose utilization. These results demonstrate that
PPARalpha and PPARbeta/delta drive distinct cardiac metabolic regulatory programs
and identify PPARbeta/delta as a potential target for metabolic modulation
therapy aimed at cardiac dysfunction caused by diabetes and ischemia.
PMID- 18037995
TI - Tissue-type plasminogen activator promotes murine myofibroblast activation
through LDL receptor-related protein 1-mediated integrin signaling.
AB - The activation of interstitial fibroblasts to become alpha-SMA-positive
myofibroblasts is an essential step in the evolution of chronic kidney fibrosis,
as myofibroblasts are responsible for the production and deposition of the ECM
components that are a hallmark of the disease. Here we describe a signaling
pathway that leads to this activation. Tissue-type plasminogen activator (tPA)
promoted TGF-beta1-mediated alpha-SMA and type I collagen expression in rat
kidney interstitial fibroblasts. This fibrogenic effect was independent of its
protease activity but required its membrane receptor, the LDL receptor-related
protein 1 (LRP-1). In rat kidney fibroblasts, tPA induced rapid LRP-1 tyrosine
phosphorylation and enhanced beta1 integrin recruitment by facilitating the LRP
1/beta1 integrin complex formation. Blockade or knockdown of beta1 integrin
abolished type I collagen and alpha-SMA expression. Furthermore, inhibition of
the integrin-linked kinase (ILK), a downstream effector of beta1 integrin, or
disruption of beta1 integrin/ILK engagement, abrogated the tPA action, whereas
ectopic expression of ILK mimicked tPA in promoting myofibroblast activation. In
murine renal interstitium after obstructive injury, tPA and alpha-SMA colocalized
with LRP-1, and tPA deficiency reduced LRP-1/beta1 integrin interaction and
myofibroblast activation. These findings show that tPA induces LRP-1 tyrosine
phosphorylation, which in turn facilitates the LRP-1-mediated recruitment of
beta1 integrin and downstream ILK signaling, thereby leading to myofibroblast
activation. This study implicates tPA as a fibrogenic cytokine that promotes the
progression of kidney fibrosis.
PMID- 18037996
TI - Bile salt-dependent lipase interacts with platelet CXCR4 and modulates thrombus
formation in mice and humans.
AB - Bile salt-dependent lipase (BSDL) is an enzyme involved in the duodenal
hydrolysis and absorption of cholesteryl esters. Although some BSDL is
transported to blood, the role of circulating BSDL is unknown. Here, we
demonstrate that BSDL is stored in platelets and released upon platelet
activation. Because BSDL contains a region that is structurally homologous to the
V3 loop of HIV-1, which binds to CXC chemokine receptor 4 (CXCR4), we
hypothesized that BSDL might bind to CXCR4 present on platelets. In human
platelets in vitro, both BSDL and a peptide corresponding to its V3-like loop
induced calcium mobilization and enhanced thrombin-mediated platelet aggregation,
spreading, and activated alpha(IIb)beta(3) levels. These effects were abolished
by CXCR4 inhibition. BSDL also increased the production of prostacyclin by human
endothelial cells. In a mouse thrombosis model, BSDL accumulated at sites of
vessel wall injury. When CXCR4 was antagonized, the accumulation of BSDL was
inhibited and thrombus size was reduced. In BSDL(-/-) mice, calcium mobilization
in platelets and thrombus formation were attenuated and tail bleeding times were
increased in comparison with those of wild-type mice. We conclude that BSDL plays
a role in optimal platelet activation and thrombus formation by interacting with
CXCR4 on platelets.
PMID- 18037999
TI - What's new in muscle and peripheral nerve diseases?
AB - It is likely that most neuromuscular diseases that are described in humans will
have a counterpart in our companion animals. With the advent of molecular
genetics and the completion of the canine and feline genomes, an ever expanding
number of DNA-based tests should become available for the diagnosis of muscle and
peripheral nerve diseases. Molecular testing procedures should enable us to
continue to unravel the molecular basis of neuromuscular diseases for which the
cause is still unknown. It is important that accurate clinical evaluations and
diagnostic testing, including muscle and peripheral nerve biopsies, are performed
in order to reach these goals. This review focuses on recently identified
inherited neuromuscular diseases in companion animals.
PMID- 18037997
TI - PKCtheta promotes c-Rel-driven mammary tumorigenesis in mice and humans by
repressing estrogen receptor alpha synthesis.
AB - The vast majority of primary human breast cancer tissues display aberrant nuclear
NF-kappaB c-Rel expression. A causal role for c-Rel in mammary tumorigenesis has
been demonstrated using a c-Rel transgenic mouse model; however, tumors developed
with a long latency, suggesting a second event is needed to trigger
tumorigenesis. Here we show that c-Rel activity in the mammary gland is repressed
by estrogen receptor alpha (ERalpha) signaling, and we identify an epigenetic
mechanism in breast cancer mediated by activation of what we believe is a novel
PKCtheta-Akt pathway that leads to downregulation of ERalpha synthesis and
derepression of c-Rel. ERalpha levels were lower in c-Rel-induced mammary tumors
compared with normal mammary gland tissue. PKCtheta induced c-Rel activity and
target gene expression and promoted growth of c-Rel- and c-RelxCK2alpha-driven
mouse mammary tumor-derived cell lines. RNA expression levels of PKCtheta and c
Rel target genes were inversely correlated with ERalpha levels in human breast
cancer specimens. PKCtheta activated Akt, thereby inactivating forkhead box O
protein 3a (FOXO3a) and leading to decreased synthesis of its target genes,
ERalpha and p27(Kip1). Thus we have shown that activation of PKCtheta inhibits
the FOXO3a/ERalpha/p27(Kip1) axis that normally maintains an epithelial cell
phenotype and induces c-Rel target genes, thereby promoting proliferation,
survival, and more invasive breast cancer.
PMID- 18038000
TI - Dowel pinning for feline metacarpal and metatarsal fractures.
AB - The goal of this retrospective study was to describe dowel pinning, and to
compare the results of osteosynthesis and external coaptation of metacarpal and
metatarsal fractures in cats. Evaluation of the medical records of 351 cats
yielded 63 cats treated with dowel pinning, 35 cats treated with external
coaptation and 14 cats treated with both methods within the same limb. All of the
cats had been re-evaluated at an average of 2.8 years (six months - 15 years)
postoperatively. Dowel pinning was superior to external coaptation when all
fractured bones of a limb could be pinned. When internal fixation of multiple
fractures was not feasible in all fractured bones due to comminution or fragments
that were too short to pin, the outcome was not significantly different from
cases treated with external coaptation alone. Malunion was seen in 16% of
fractured bones in cats treated with external coaptation and occurred secondary
to implant bending in 3% of fractured bones treated with dowel pinning. The
latter refers to one operated cat, which had four metacarpal fractures in the
same limb. Radiographs taken at re-evaluation showed implant migration within the
medullary canal in two cats, although neither showed any signs of non-union or
lameness. Non-union was seen in one operated cat, in which the fracture (MC IV)
could not be completely reduced with a K-wire. Osteomyelitis did not occur. Dowel
pinning is an easy, inexpensive and effective technique for repair of metacarpal
and metatarsal fractures in cats.
PMID- 18038001
TI - Stiffness of modified Type 1a linear external skeletal fixators.
AB - Modifications of a Type 1a external skeletal fixator (ESF) frame were evaluated
by alternately placing transfixation pins on opposite sides of the connecting rod
(Type 1a-MOD) or by placing additional connecting rods on either of the two
inside (Type 1a-INSIDE) or two outside (Type 1a-OUTSIDE) transfixation pins. The
objective of this study was to evaluate the stiffness of these modifications in
terms of axial compression (AC), cranial-caudal bending (CCB), and medial-lateral
bending (MLB). We hypothesized that these designs would allow significant
increase in unilateral frame stiffness, over Type 1a, without proportional
increase in frame complexity or technical difficulty of application. All of the
ESF frames were constructed using large IMEX SKtrade mark clamps, 3.2 mm threaded
fixation pins, 9.5 mm carbon fibre connecting rods and Delrin rods as bone
models. Nine, eight pin frames of each design were constructed, and subjected to
repetitive non-destructive loading forces (AC, CCB, MLB) using a materials
testing machine. Frame construct stiffness for each force (AC, CCB, MLB) was
derived from load-deformation curve analysis and displayed in N/mm. Data revealed
the 1a-MOD and 1a-OUTSIDE constructs had significantly increased stiffness in CCB
and AC as compared to the Type 1a constructs while all of the modified constructs
were significantly stiffer in MLB than the Type 1a constructs.
PMID- 18038002
TI - Tibial segmental bone defect treated with bone plate and cage filled with either
xenogeneic composite or autologous cortical bone graft. An experimental study in
sheep.
AB - Tibia segmental defect healing in sheep were clinically, radiographically and
histologically evaluated. Twelve young sheep aged four to five months were
divided into two groups, G1 and G2. A 3.5 cm long segmental defect was created in
the right tibial diaphysis with maintenance of the periosteum. The bone defects
in both groups were stabilized with a bone plate combined with a titanium cage.
In G1 the cage was filled with pieces of autologous cortical bone graft. In G2 it
was filled with a composite biomaterial which consisted of inorganic bovine bone,
demineralized bovine bone, a pool of bovine bone morphogenetic proteins bound to
absorbable ultra-thin powdered hydroxyapatiteand bone-derived denaturized
collagen. Except for one G1 animal, all of them showed normal limb function 60
days after surgery. Radiographic examination showed initial formation of
periosteal callus in both groups at osteo-tomy sites, over the plate or cage 15
days postoperatively. At 60 and 90 days callus remodeling occurred. Histological
and morphometric analysis at 90 days after surgery showed that the quantity of
implanted materials in G1 and G2 were similar, and the quantity of new bone
formation was less (p = 0.0048) and more immature in G1 than G2, occupying 51 +/-
3.46% and 62 +/- 6.26% of the cage space, respectively. These results suggest
that the composite biomaterial tested was a good alternative to autologous
cortical bone graft in this experimental ovine tibial defect. However, additional
evaluation is warranted prior to its clinical usage.
PMID- 18038003
TI - A mechanical evaluation of pre-tapped and self-tapped screws in small bones.
AB - The purpose of this study was to compare the holding powers of 2.7 mm pre-tapped
and self-tapped screws placed closely together and tightened in small bones.
Pairs of metatarsals were collected from healthy, skeletally mature Greyhounds
and part of a 2.7 mm dynamic compression plate was fixed to the dorsal surface of
each bone using three 2.7 mm screws. Identical screws were used throughout but
only one of each pair of bones had threads pre-cut using a tap prior to
insertion. All of the screws were tightened before the constructs were mounted in
a materials testing machine and the centrally placed screw was loaded
incrementally until failure. Load-deformation curves were plotted and yield
point, ultimate load to failure, stiffness and energy prior to yield point were
measured. Mean values were recorded for each parameter and Student's T-test was
used to test the null hypothesis that there is no difference in holding power
between pre-tapped and self-tapped screws. Significant mechanical differences
were not found between pre-tapped and self-tapped screws placed closely together
and tightened into small bones. Self-tapped screws can be considered for use in
small animal surgery even when multiple screws are to be placed closely together
in relatively small pieces of bone.
PMID- 18038004
TI - A morphometric study on the cross-sections of the scapular spine in dogs.
AB - In cases of unstable scapular body fractures, the base of the scapular spine is
one of the sites where there is adequate bone for the application of plate
fixation in dogs. In this type of fixation, the amount of bone is an important
factor with regard to the holding power of the screw from the biomechanical
viewpoint. Therefore, the aim of this paper is to present the detailed
quantitative features of the sectional area of the scapular spine in dogs. A
total of 28 scapulas from 14 dogs were used, and each was divided into 10 equal
slices The height of the scapular spine, depths of the supra-spinous and
infraspinus fossae were measured from the scanned images with the aid of a
computer program. The results showed that the depth of the supraspinous fossa was
greater in the ventral half of the spine, while the depth of the infraspinous was
greater in the dorsal half. The differences between the depths of the two fossae
were noticeable in the ventral half of the scapular spine.
PMID- 18038005
TI - Fixation of humeral intercondylar fractures using a lateral plate in 14 dogs
supported by finite element analysis of repair.
AB - Fourteen Spaniels that presented with an intercondylar fracture of the distal
humerus were managed using a lateral plate and an additional pin in twelve cases.
Fixation of the fracture was achieved using a plate applied laterally which
incorporated the transcondylar lag screw in the most distal hole. Of the 14
cases, two had poor results, one of which was a bilateral case, whilst the
remaining 12 cases had good or very good results with only occasional stiffness
or lameness. Finite element (FE) modelling of a distal humerus was generated, and
loading of fracture repairs using a lateral plate and caudal plate was completed
in a comparative study to determine which fixation method resisted micro-motion
most effectively. Finite element analysis revealed that the lateral plate
fixation provided significantly more resistance to micro movement at the fracture
site that the caudal plate fixation, with 40% more micro-motion in the latter.
PMID- 18038006
TI - Mechanoreceptors in the medial and lateral glenohumeral ligaments of the canine
shoulder joint.
AB - In order to have a better understanding of the role of ligaments in canine
shoulder joint stability, the presence of mechanoreceptors in the medial (MGHL)
and lateral (LGHL) glenohumeral ligaments was detected by means of a modified
gold chloride stain. Three morphologically distinct mechanoreceptors were
identified: Ruffini receptors (type I endings), Pacinian corpuscles (type II
endings) and Golgi tendon organ-like receptors (type III endings). These
receptors are mainly localized at each end of the ligaments and are prevalently
in their glenoid portion. In particular, in the MGHL the highest density was at
the cranial arm of the insertion into the scapula. The variety of
mechanoreceptors in canine shoulder ligaments might indicate an afferent function
in providing the CNS with joint proprioceptive information. Therefore, besides
acting as passive mechanical stabilizers, the MGHL and the LGHL may serve as
sensory structures, contributing actively to joint stability. Ligamentous
injuries which occur in shoulders not only affect mechanical restraint but also
alter the proprioceptive input to the CNS by means of disruption of the
mechanoreceptors.
PMID- 18038007
TI - Canine hip dysplasia radiographic screening. Prevalence of rotation of the pelvis
along its length axis in 7,012 conventional hip extended radiographs.
AB - The prevalence of rotation of the pelvis along its length axis was noted, as was
the number of rotations towards the right or left hand side of the dog, on 7,012
conventional hip extended radiographs, which were sent for official screening.
29.8% of the radiographs showed a rotation the pelvis. The rotation was
statistically more frequent towards the left hand side of the dog. The number of
rejected radiographs for too important pelvis rotation was only 5.2%. The
consequences of the pelvis rotation on the Norberg-Olsson angle, on the dorsal
femoral head coverage, and in the aspect of cranial acetabular edge have to be
taken into account when scoring the dog for hip dysplasia.
PMID- 18038008
TI - Force plate gait analysis at the walk and trot in dogs with low-grade hindlimb
lameness.
AB - OBJECTIVE: To evaluate the accuracy of force plate gait analysis at the walk and
trot in dogs with low-grade hindlimb lameness. MATERIAL AND METHODS: Nineteen
healthy dogs and 41 dogs with low-grade unilateral hindlimb lameness due to
stifle or hip joint problems were walked and trotted over a force plate. Peak
vertical forces (PVF) were recorded, and a symmetry index (SI) was calculated
from the PVF of the hindlimbs. 'Cut-off' values were determined from the SI of
the normal dogs. These cut-off values were used to discriminate lame dogs from
normal ones. Sensitivity and specificity were evaluated for measurements at walk
and trot, and the Cohen's Kappa coefficient (k) was used to determine the
agreement between clinical lameness and force plate measurements, and between
force plate results at walk and trot. Receiver Operating Characteristics (ROC)
curve were plotted for both gaits to evaluate accuracy. RESULTS: The sensitivity
of the measurements at walk was 0.63, and specifity was 0.95. The sensitivity of
the measurements at trot was 0.90, and specificity was 1.0. Moderate agreement
was found between force plate measurements at walk and trot, and between clinical
gait assessment and force plate measurements at walk. Good agreement was found
between clinical gait assessment and measurements at trot. ROC analyses revealed
the trot (94.7% [91.7%; 97.7%]) to be the more accurate test than the walk (85.0%
[80.1%; 89.9%]). CONCLUSION: The trotting gait was more sensitive and accurate
than the walking gait for the differentiation of dogs with a low-grade hindlimb
lameness from normal ones using force plate gait analysis.
PMID- 18038009
TI - Measurement of velocity with a kinematic system versus a photocell system in the
collection of canine ground reaction forces.
AB - Velocities obtained from a five photocell system were compared to velocities of
nine anatomical points on a handler and canine subject as reported by a kinematic
system over the same distance. There was not a statistically significant
difference between the velocities of the markers on the dogs' occipital
protuberance and interscapular region compared with the velocity as reported by
the photocell system. The average velocities of the three markers on the forelimb
of the dogs and three markers on the handler's leg and one on the sacrum had
statistically different values than the photocell system. Given these results,
photocell systems with the same configuration in this study can be trusted to
report accurate trunk velocities of canine subjects during the collection of
ground reaction forces.
PMID- 18038010
TI - Rod-through-plate fixation of canine diaphyseal fractures.
AB - Efficacy of the rod-through-plate fixation for fracture repair was evaluated in
six clinical cases of canine long bone fractures. This fixation incorporates
principles of intramedullary and extramedullary osteosynthesis for transverse and
short oblique fractures of long tubular bones. The plate-through-rod device is
comprised of one pair of curved rods, a connecting plate and two bone screws. The
connecting plate has both a hole and a channel on each end. Curved rods have a
long curved part and a straight part with a hole in it for screw fixation. All
components are made up of medical stainless steel. Clinical and radiographical
examinations were performed from week seven to18 months after the operation.
Functional abnormalities were not observed at this period in five patients and
all fractures were healed. In one patient screw stripping in the proximal
fragment of the femur was detected radiologically and minimal implant dislocation
was noted at seven weeks after the initial repair. The rod-through-plate fixation
method gives strong fixation of bone fragments with minimal traumatization of
soft tissue during the operation. The design of the rod-through-plate is intended
to reduce pressure of the plate on the cortex in the area of the fracture,
whereas the dynamic fixation provided by the intramedullary rods may allow
micromotion, thus stimulating callus formation and avoiding implant-induced
osteoporosis. CLINICAL RELEVANCE: The rod-through-plate fixator has a simple
construction and its use expands the treatment possibilities for diaphyseal
fractures of long bones.
PMID- 18038011
TI - A comparison of outcomes following tibial plateau levelling osteotomy and cranial
tibial wedge osteotomy procedures.
AB - The objective of this study was to determine whether clinical outcomes were
superior and complication rates were lower in dogs that had had a cranial
cruciate ligament rupture treated by tibial plateau levelling osteotomy (TPLO),
compared to those dogs that had been treated using the original cranial tibial
wedge osteotomy (CTWO) procedure. Thirty-seven client-owned dogs with cranial
cruciate ligament rupture were included in the study: 19 dogs underwent a TPLO
procedure, and 18 dogs underwent a CTWO procedure. The study was retrospective,
with the data being obtained from medical records and a review of radiographs.
The long-term outcome was assessed by means of an owner questionnaire using a
visual analogue scale. For the majority of factors that were reviewed, there was
not a significant difference in outcome between the dogs that had a TPLO or those
that had undergone a CTWO. All of the dogs showed a rapid return to weight
bearing after surgery, and at the six week re-examination, the majority of the
dogs did not have any pain on stifle palpation. They displayed a good stifle
range of motion and significantly lower lameness scores than those prior to
surgery. The complication rates did not differ between the procedures, however,
within this small sample of dogs, complications following a CTWO were more likely
to require revision surgery.
PMID- 18038012
TI - Augmentation of the transverse acetabular ligament in canine caudoventral hip
luxation.
AB - Open surgical fixation was performed on four hip joints in three dogs who were
suffering from caudoventral hip luxations for which closed reduction had
previously failed. Stabilization of the joint was achieved with a ventral
coxofemoral approach, which augmented the function of the transverse acetabular
ligament using a sling implant through a bone tunnel (n = 1), a sling implant
around two pelvic screws (n = 1), or an internal fixator plate (n = 2).
Transverse acetabular ligament augmentation resulted in successful joint
stabilization in all cases, and should be considered for the surgical reduction
of caudoventral hip luxations in dogs. The use of an internal fixator plate,
while preserving soft-tissue blood supply and resulting in minimal to no long
term arthritic changes, may provide an optimal outcome.
PMID- 18038013
TI - Radiographic and clinical features of carpal varus associated with chronic sprain
of the lateral collateral ligament complex in 10 dogs.
AB - Lameness associated with carpal varus deformity was recognised in 10 dogs, eight
of which were Dobermann Pinschers. The dogs had a mean age of seven years and
nine months. Carpal varus was usually bilateral with concurrent carpal
hyperflexion and pronation. Carpal varus was present prior to the onset of
lameness in four cases. With stress radiography the median angle of varus
deviation in all carpi was 14.6 degrees , and an increase in the size of the
ulnar /ulnar carpal bone joint space was seen in six of the seven dogs.
Radiographic changes included: enthesophyte formation at the proximolateral
aspect of metacarpal V, periarticular soft tissue swelling, especially lateral,
bone proliferation at the carpometacarpal joint I and enthesophyte formation at
metacarpophalangeal joint V. Four dogs were admitted for problems other than
carpal varus and lameness was not treated. Five dogs were treated with
nonsteroidal anti-inflammatory drugs, but lameness was not completely resolved
and became exacerbated with exercise. One dog was successfully treated by
pancarpal arthrodesis.
PMID- 18038014
TI - A specific oblique radiography, and treatment, of a medial coronoid process
fracture.
AB - Acute traumatic fracture of the medial coronoid process is rarely reported and
has previously only been described in three dogs to date. A three-year-old
Schapendoes was presented for an acute non weight-bearing lameness. The
definitive diagnosis of a medial coronoid process fracture was delicate and based
on the disto-medial proximo-lateral oblique radiographic view (Di35M-PrLO). The
surgical removal of the fragment was performed using a medial arthroscopic
approach. The outcome was rapidly favourable. Lameness disappeared and the follow
up radiographs three months later revealed minimal reactions of osteoarthrosis.
PMID- 18038015
TI - Retroperitoneal hemangiosarcoma causing chronic hindlimb lameness in a dog.
AB - The clinical, radiographic, ultrasonographic, computed tomographic, surgical and
histopathological findings in a Boxer dog with retroperitoneal hemangiosarcoma
are described in this study. A seven-year-old, male, castrated Boxer dog was
referred for evaluation of chronic hindlimb lameness. The physical examination
revealed muscle atrophy and sciatic nerve deficits. Radiography and
ultrasonography revealed a caudodorsal abdominal mass. Computed tomography
revealed that the mass involved the left margin of the L7 vertebra, lumbosacral
canal, and lumbosacral plexus. At surgery, a large retroperitoneal haematoma was
removed. Histopathology of amorphous tissue found near the haematoma was
consistent with haemangiosarcoma. The owner declined any further treatment. Ten
weeks after discharge, the dog was euthanatized due to collapse and haemo
abdomen.
PMID- 18038017
TI - New metabolic and endocrine approaches in pediatrics.
PMID- 18038016
TI - Chronic peritoneal effusion secondary to partial caudal vena cava obstruction
following traumatic pneumothorax in a dog.
AB - A three-year old, female Boxer Dog was treated initially with thoracocentesis for
a right-sided pneumothorax. The dog was re-evaluated after three weeks because of
an enlargement of the abdomen. A positive venogram revealed a ventral
displacement of the caudal vena cava between the diaphragm and the heart, with a
severe reduction of the diameter of the vein. An exploratory thoracotomy showed a
displaced accessory lung lobe with an adhesion to the parietal pleura. Inflation
of this lung lobe created a dynamic obstruction of the caudal vena cava, which
resulted in ascites. Surgery offered successful treatment.
PMID- 18038018
TI - Glycemic control and insulin therapy in sepsis and critical illness.
AB - OBJECTIVE: To review the literature about the pathophysiology of hyperglycemia
and glycemic control in children and adults with sepsis and critical illness.
SOURCES: Non-systematic survey of the medical literature using MEDLINE and terms
hyperglycemia, glycemic control, intensive insulin therapy, sepsis and intensive
care. Articles were selected according to their relevance based on the authors'
opinion. SUMMARY OF THE FINDINGS: Hyperglycemia is frequent in critically ill
children and it is associated with worsened outcome. In adults, there is no
consensus on the efficacy and safety of glycemic control. We describe the
possible mechanisms involved in glucose toxicity and the beneficial effects of
glycemic control. Initial studies showed that use of insulin to achieve glycemic
control reduced morbidity and mortality in adult intensive care; however, recent
studies have failed to confirm these findings. Importantly, it is evident that
glycemic control is associated with increased incidence of hypoglycemia. The
efficacy of glycemic control has not yet been studied in critically ill children.
CONCLUSION: Glycemic control is a novel therapeutic option in critical care.
Conflicting evidence in adults means that before we apply this approach to
pediatrics it will need to be assessed in clinical trial.
PMID- 18038019
TI - Hemodynamic and metabolic effects of vasopressin infusion in children with shock.
AB - OBJECTIVE: Vasopressin is a neuropeptide hormone which has been used clinically
for more than 50 years and plays a major role in circulatory homeostasis and in
the regulation of serum osmolality. Recent work has emphasized its role in the
treatment of septic shock. This paper reviews the physiology of this neurohormone
and the available evidence in favor of its use as a vasodilator for children in
shock. SOURCES: MEDLINE, using the terms vasopressin, vasodilation, shock and
septic shock, plus synonyms and related terms. Classic publications on the topic
were also reviewed and selected depending on their relevance to the study
objectives. SUMMARY OF THE FINDINGS: Vasopressin is synthesized in the
neurohypophysis and released in response to a decrease in plasma volume or an
increase in serum osmolality. The action of vasopressin is mediated by the
activation of oxytocin receptors and of several G protein-coupled receptors,
which are classified according to their location and intracellular transmission
routes as V1 receptors (or V1b), V2 and V3 receptors (or V1b). The main role of
vasopressin is to induce vasoconstriction. However, in certain organs, it can
also induce selective vasodilation. Several clinical studies in adults and
children have reported that the effects of vasopressin for the treatment of
vasodilatory septic shock, due to a variety of causes, are both beneficial and
safe. CONCLUSIONS: The evidence is restricted. Most studies are retrospective and
include a small number of patients. Nevertheless, there is significant experience
concerning the use of vasopressin in Pediatrics. Vasopressin has a beneficial
clinical effect in children and can be indicated in the treatment of refractory
vasodilatory shock, after adequate volume resuscitation and when high doses of
other vasopressors are not effective.
PMID- 18038020
TI - New options in insulin therapy.
AB - OBJECTIVE: To review the new options in insulin therapy for controlling diabetes
mellitus in children and adolescents. SOURCES: Articles indexed in PubMed were
located using the search terms insulin analogs in children and adolescents and
reviewed. Information was also obtained from American Diabetes Association and
Sociedade Brasileira de Diabetes consensus documents. SUMMARY OF THE FINDINGS:
Information is presented on new analogs of insulin and, for purposes of
comparison, the other insulin modalities currently available are also reviewed,
focusing on insulin therapies which attempt to approximate basal-bolus treatment
strategies to physiology. With the objective of obtaining improved metabolic
control, more and more children are being put on multiple daily injection regimes
or using continuous subcutaneous insulin infusion. It is difficult to achieve
optimum glycemic control in children due to the increased risk of hypoglycemia
resulting from the great variability in dietary intake habits and in physical
activity levels. With diabetes type 1, if rapid-acting analogs are given
subcutaneously in bolus, they generally reduce hypoglycemia episodes and
postprandial glycemia levels, compared with regular human insulin, while basal
analogs tend to reduce particularly the number of episodes of nocturnal
hypoglycemia. CONCLUSIONS: Although the benefits to individual metabolic and
clinical outcomes appear modest, the majority of studies demonstrate benefits
when insulin analogs are used in the treatment of diabetes type 1 or 2.
PMID- 18038021
TI - Metabolic syndrome: identifying the risk factors.
AB - OBJECTIVES: To discuss the metabolic syndrome and identify its risk factors,
including in the pediatric age group. SOURCES: Indexed review articles. SUMMARY
OF THE FINDINGS: The metabolic syndrome is characterized by insulin resistance
and the presence of risk factors for cardiovascular diseases and diabetes
mellitus type 2. Consensus has not yet been reached on its diagnostic criteria.
This review presents diagnostic criteria defined by the American Heart
Association (US National Cholesterol Education Program), the American Association
of Clinical Endocrinologists, the World Health Organization and the International
Diabetes Federation and discusses the possibilities of applying them to children.
Pathophysiologic features of the syndrome are also covered, principally those
related to the perinatal period and childhood. CONCLUSIONS: The metabolic
syndrome is being diagnosed with ever greater frequency, principally during
adolescence. Lifestyle changes, such as to diet and level of physical activity
are fundamental to prevention. Treatment with medication and, in extreme cases,
with surgery should also be considered, depending on severity and age.
PMID- 18038022
TI - Challenges for our century: an environmentally healthy world is possible.
PMID- 18038023
TI - [Health and environment in Brazil: development, territory, and social iniquity].
AB - The present paper deals with the subject of socio-environmental injustice in
Brazil as a consequence of the country's current development model in the context
of globalization and from the perspective of social struggle. Over time the
realization of the development ideology has introduced profound changes in the
country's territory, with severe repercussions for population and ecosystem
health that have only recently been included on the social agenda. Efforts to
reconcile solutions to the social and environmental crises have converged in the
concept of sustainable development, within new discourses in the field of
collective health (and whose analysis provides the point of departure for this
paper). Territory is explored as a useful tool for analyzing the relationship
between health, environment, and development, revealing the paradox between
Brazil's great natural and human potential and its poverty, reflecting
environmental injustice and disregard for human rights. This expanded concept of
territorial organization is important for understanding the context of
modernization and the possibility for poor populations to enjoy a better life.
PMID- 18038032
TI - [Political ecology, ecological economics, and public health: interfaces for the
sustainability of development and health promotion].
AB - This article proposes to focus contributions from political ecology and
ecological economics to the field of collective health with a view towards
integrating the discussions around health promotion, socio-environmental
sustainability, and development. Ecological economics is a recent
interdisciplinary field that combines economists and other professionals from the
social, human, and life sciences. The field has developed new concepts and
methodologies that seek to grasp the relationship between the economy and
ecological and social processes such as social metabolism and metabolic profile,
thereby interrelating economic, material, and energy flows and producing
indicators and indexes for (un)sustainability. Meanwhile, political ecology
approaches ecological issues and socio-environmental conflicts based on the
economic and power dynamics characterizing modern societies. Collective health
and the discussions on health promotion can expand our understanding of
territory, communities, and the role of science and institutions based on the
contributions of political ecology and ecological economics in analyzing
development models and the distributive and socio-environmental conflicts
generated by them.
PMID- 18038033
TI - Environmental sustainability and human well-being indicators from the ecosystem
perspective in the Middle Paraiba Region, Rio de Janeiro State, Brazil.
AB - Population urbanization is a global trend, and socioeconomic activities in urban
areas cause changes that affect the environment and human well-being beyond the
specific territories, thus connecting urban to non-urban areas and creating city
regions. This article's objective, from an ecosystem perspective, is to gather a
set of information on municipalities (counties) belonging to the Middle Paraiba
River Valley Region in the State of Rio de Janeiro, Brazil, in order to identify
conditions and trends in environmental sustainability and well-being. The
conceptual framework adopted here was that of the Millennium Ecosystem
Assessment, identifying direct and indirect driving forces that affect human well
being, with health as a component of the latter. We used a set of available
public-domain data and information sources on the municipalities, grouped by
socioeconomic and environmental conditions and the soundness of institutional
structural well-being for sustainability. The indicators used here point to a
process of degradation in the ecosystem services that has still not been
prevented by the prevailing institutional structure, thus increasing constraints
on well-being and the spread of socio-environmental impacts in the middle and
long terms.
PMID- 18038034
TI - Quantifying the impact of air pollution on the urban population of Brazil.
AB - This study aimed to quantify air pollution impact on morbidity and mortality in
the Brazilian urban population using locally generated impact factors.
Concentration-response coefficients were used to estimate the number of
hospitalizations and deaths attributable to air pollution in seven Brazilian
cities. Poisson regression coefficients (beta) were obtained from time-series
studies conducted in Brazil. The study included individuals 65 years old and over
and children under five. More than 600 deaths a year from respiratory causes in
the elderly and 47 in children were attributable to mean air pollution levels,
corresponding to 4.9% and 5.5% of all deaths from respiratory causes in these age
groups. More than 4,000 hospital admissions for respiratory conditions were also
attributable to air pollution. These results quantitatively demonstrate the
currently observed contribution of air pollution to mortality and
hospitalizations in Brazilian cities. Such assessment is thought to help support
the planning of surveillance and control activities for air pollution in these
and similar areas.
PMID- 18038035
TI - [Screening methodology application to evaluate cancer mortality in selected
cities in the State of Minas Gerais, Brazil].
AB - An epidemiological investigation was launched in several cities in southern Minas
Gerais State, Brazil, considering the possibility of increased cancer incidence
due to high exposure to natural radiation. First, the cancer mortality patterns
were assessed to determine whether there was an increase in cancer deaths and to
discuss the possible risk factors related to such an increase. The study proposed
the use of a screening methodology based on standardized mortality ratio (SMR) in
order to classify priority areas for future studies. Cities considered high
priority for further investigation were: Andradas, for lung cancer in men (SMR =
208 (106-310)) and liver cancer in women (SMR = 403 (104-701)); Pocos de Caldas,
leukemia in men and women (SMR = 284 (156-412)) and SMR = 211 (111-312),
respectively); Pouso Alegre, leukemia in men (SMR = 333 (127-540)) and
hematological cancers in women (SMR = 257 (188-396)). Epidemiological studies are
necessary to evaluate the role of radiation and other risk factors in these
cancers and thus to support future preventive and control measures.
PMID- 18038036
TI - [Integrated understanding for health surveillance in a forest environment: the
case of the Araripe Plateau in Ceara State, Brazil].
AB - Partial results from this study are presented with the objective of developing a
health surveillance model for communities living on natural resources in the
Araripe National Forest in Ceara State, Brazil. The research is justified
primarily on the basis of this forest's importance for quality of life in
Northeast Brazil and preservation of the country's forests in general. The study
drew on a collective construction of integrated understanding on the subjectivity
of social relations with the environment. Social and environmental health
problems in the forest context have generally been overlooked by health policy.
PMID- 18038037
TI - Health, environmental, and economic costs from the use of a stabilized
diesel/ethanol mixture in the city of Sao Paulo, Brazil.
AB - In Greater Metropolitan Sao Paulo, Brazil, fossil fuel combustion in the
transportation system is a major cause of outdoor air pollution. Air quality
improvement requires additional policies and technological upgrades in fuels and
vehicle engines. The current study thus simulated the environmental and social
impacts resulting from the use of a stabilized diesel/ethanol mixture in the bus
and truck fleet in Greater Metropolitan Sao Paulo. The evaluation showed
reductions in air pollutants, mainly PM10, which would help avert a number of
disease events and deaths, as estimated through dose-response functions of
epidemiological studies on respiratory and cardiovascular diseases. Valuation of
the impacts using an environmental cost-benefit analysis considered operational
installation, job generation, potential carbon credits, and health costs, with an
overall positive balance of US$ 2.851 million. Adding the estimated qualitative
benefits to the quantitative ones, the project's benefits far outweigh the
measured costs. Greater Metropolitan Sao Paulo would benefit from any form of
biodiesel use, producing environmental, health and socioeconomic gains, the three
pillars of sustainability.
PMID- 18038038
TI - [Association between air pollution and respiratory and cardiovascular diseases in
Itabira, Minas Gerais State, Brazil].
AB - The city of Itabira, Minas Gerais State, Brazil, is located in the Espinhaco
Mountain Range, an area that features large-scale mechanized iron ore pit mines.
The current study evaluates the acute effects of inhalable particulate matter
(PM10) in terms of emergency room visits due to respiratory and cardiovascular
diseases in Itabira. Generalized additive Poisson regression models were adopted,
controlling for temperature, humidity, and both short and long-term seasonality.
Increases of 10mg/m(3) in PM10 were associated with increases in respiratory
emergency room visits of 4% (95%CI: 2.2-5.8), at lags 0 and 1 for children and
adolescents younger than 13 years of age, and of 12% (95%CI: 8.5-15.5) on the
three subsequent days for adolescents (13 to 19 years of age). For cardiovascular
diseases, the effect was acute (4%; 95%CI: 0.8-8.5) and mainly for the 45 to 64
age group. These results show that PM10 generated by open pit mining can lead to
health problems in the exposed population.
PMID- 18038039
TI - Decreased intelligence in children and exposure to fluoride and arsenic in
drinking water.
AB - Recent evidence suggests that fluoride (F) and arsenic (As) may adversely affect
intelligence quotient (IQ) scores. We explore the association between exposure to
F and As in drinking water and intelligence in children. Three rural communities
in Mexico with contrasting levels of F and As in drinking water were studied:
Moctezuma (F 0.8+/-1.4 mg/L; As 5.8+/-1.3 microg/L); Salitral (F 5.3+/-0.9 mg/L;
As 169+/-0.9 microg/L) and 5 de Febrero (F 9.4+/-0.9 mg/L; As 194+/-1.3
microg/L). The final study sample consisted of 132 children from 6 to 10 years
old. After controlling for confounders, an inverse association was observed
between F in urine and Performance, Verbal, and Full IQ scores (beta values =
13, -15.6, -16.9, respectively). Similar results were observed for F in drinking
water (beta values = -6.7, -11.2, -10.2, respectively) and As in drinking water
(beta values= -4.30, -6.40, -6.15, respectively). The p-values for all cases were
< 0.001. A significant association was observed between As in urine and Full IQ
scores (beta = -5.72, p = 0.003). These data suggest that children exposed to
either F or As have increased risks of reduced IQ scores.
PMID- 18038040
TI - [Air pollution and low birth weight in the city of Rio de Janeiro, Brazil, 2002].
AB - The objective of this study was to evaluate the effect of air pollution on low
birth weight in full term singleton newborns in the city of Rio de Janeiro,
Brazil. The study adopted a cross-sectional design based on the year 2002. Data
on live births were obtained from the Live Birth Information System of the
Brazilian Ministry of Health. Low birth weight was defined as less than 2,500 g.
Maternal exposure to air pollution was defined as the mean for a given pollutant
over each trimester of pregnancy and was assessed taking birth date into account.
Adjusted odds ratios (OR) were estimated for each potential risk factor. For
PM(10), CO, and NO(2), no significant increases were detected. For SO(2), the OR
of the fourth interquartile range of exposure in the third trimester of pregnancy
was 1.149 (95%CI: 1.016-1.301). For O(3), the estimated OR was 0.830 (95%CI:
0.750-0.987). When exposure variable was regarded as a continuous measure, the OR
for PM(10), CO, and SO2 in the third trimester were not statistically significant
and were 1.089, 2.223, and 1.259, respectively.
PMID- 18038041
TI - ["Get it while you can!" attitude: late industrialization and implications for
work, environment, and health in Ceara State, Brazil].
AB - The present study focuses on the characteristics of late industrialization in a
city in Ceara State (in the semiarid region of Northeast Brazil) and its
implications for work, environment, and health in the context of industrial
mobility and advanced capitalism. Using direct observation of work processes,
interviews (with production managers, company presidents, workers, local
authorities, and community leaders), institutional visits and secondary data
analysis, eight recently built factories that manufacture chemicals, shoes,
electric appliances, clothing, mechanical parts, and packaging were analyzed as
to relocation, technology, organization, work relations, worker profile,
environmental and occupational risks, and the impact of these factors on health
and regulatory mechanisms. The lack of adequate criteria for attracting and
accepting new investments, leading to a "get it while you can" attitude, the poor
quality of jobs and environmental conditions, and the scale of new changes and
risks in the area, like the difficulties of the public sector and society in
dealing with these challenges, raise the issue of sustainability in the current
development processes.
PMID- 18038042
TI - [Health, environment, and pesticide use in a farming area in Rio de Janeiro
State, Brazil].
AB - Pesticide use in agriculture is a nationwide phenomenon in Brazil, and the
problem is proportional to this vast country. The widespread and growing use of
pesticides for crops and cattle-raising, among other applications, has caused a
number of environmental changes and problems, both by contaminating the
communities of living beings that comprise the environment and by accumulating in
the biotic and abiotic segments of ecosystems (biota, water, air, soil, sediments
etc.). Pesticides also cause a number of diseases and health problems in human
populations. The current article discusses several pesticide-related implications
for human health and the environment in the mountainous region of the State of
Rio de Janeiro, an important farming center. The article presents the results of
research in the area, identifying possible determinants of the current situation
and some of the main challenges for dealing with the problem.
PMID- 18038043
TI - Correlation between blood mercury levels in mothers and newborns in Itaituba,
Para State, Brazil.
AB - This study evaluated transplacental mercury transfer by measuring Hg in blood
samples of mothers and newborns (umbilical cord) in hospitals in the municipality
(county) of Itaituba, Para State, Brazil. Epidemiological and mercury exposure
data were collected, besides clinical birth data. Mercury tests were performed by
cold-vapor atomic absorption spectrophotometry. A total of 1,510 women and an
equal number of their newborns participated in the study. Mean blood mercury was
11.53 microg/L in mothers and 16.68 microg/L in newborns (umbilical cord). The
highest Hg levels were in the 31-40-year maternal age group and their newborns,
with 14.37 microg/L and 21.87 microg/L, respectively. However, in all age groups
the mean mercury level was higher in newborns than in mothers. There was a strong
positive correlation between Hg levels in newborns and mothers (r = 0.8019; p =
0.000), with a significant linear regression model (r = 0.5283; p = 0.000). The
results highlight the importance of monitoring pregnant women exposed to mercury
as part of public health surveillance.
PMID- 18038044
TI - Air pollution and respiratory diseases in the Municipality of Vitoria, Espirito
Santo State, Brazil.
AB - In Brazil, increasing air pollution in urban areas has led to a rise in
respiratory diseases among children and the elderly and has also been the main
cause of hospital admissions. This study aims to evaluate the air pollution
levels in Vitoria, capital of Espirito Santo State, Brazil, in comparison to
Brazilian legislation and recent World Health Organization (WHO) guidelines,
analyzing the spatial distribution of asthma cases treated at local outpatient
services. A descriptive epidemiological study was performed with daily records on
air pollution and outpatient treatment for respiratory diseases from 2001 to
2003, for children under 6 years of age. A geographic information system (GIS)
was used to identify asthma distribution in the municipality. Air pollution
levels were relatively low when compared to the Brazilian legislation and WHO
guidelines. Only mean PM10 and NO2 exceeded the annual quality standard. The
three highest asthma outpatient treatment rates were observed in the districts of
Sao Jose, Jabou, and Morro do Quadro.
PMID- 18038045
TI - [Household solid waste bagging and collection and their health implications for
children living in outlying urban settlements in Salvador, Bahia State, Brazil].
AB - This paper presents a study on the bagging and collection of household solid
waste and the health implications for children. The research was conducted in
nine human settlements on the outskirts of Salvador, Bahia State, Brazil.
Intestinal nematode infection, predominantly involving Ascaris lumbricoides,
Trichuris trichiura, and hookworms, was used as an epidemiological indicator in
1,893 children from 5 to 14 years of age. The study also included diarrhea
incidence and nutritional status as shown by anthropometric indicators in 1,204
children less than 5 years of age. There was a higher prevalence of the three
nematodes in children living in households without proper bagging/isolation and
collection of household solid waste as compared to those in areas with regular
garbage collection and adequate isolation of solid waste. The differences were
statistically significant when other socioeconomic, cultural, demographic, and
environmental risks factors were considered in the analysis. Similar results were
also observed for epidemiological indicators, diarrhea incidence, and nutritional
status.
PMID- 18038047
TI - Poverty and development.
PMID- 18038048
TI - The Safe Motherhood Initiative and beyond.
PMID- 18038049
TI - Opening data to the world: why health numbers matter.
PMID- 18038050
TI - Defeating dengue: a difficult task ahead.
PMID- 18038051
TI - South African study highlights importance of research involving children.
PMID- 18038052
TI - Saving mother's lives in rural Indonesia.
PMID- 18038053
TI - The Global Fund expands its role. Interview by the Bulletin.
PMID- 18038054
TI - Recent news from WHO.
PMID- 18038056
TI - Delivery settings and caesarean section rates in China.
AB - OBJECTIVE: To quantify the influence of increasing use of health-care services on
rising rates of caesarean section in China. METHODS: We used data from a
population-based survey conducted by the United Nations Population Fund during
September 2003 in 30 selected counties in three regions of China. The study
sample (derived from birth history schedule) consisted of 3803 births to mothers
aged less than 40 years between 1993 and 2002. Multiple logistic regression
models were used to estimate the effect of health-care factors on the odds of a
caesarean section, controlling for time and selected variables. FINDINGS:
Institutional births increased from 53.5% in 1993-1994 to 82.2% in 2001-2002,
while the corresponding increase in births by caesarean section was from 8.9% to
24.8%, respectively. Decomposition analysis showed that 69% of the increase in
rates of caesarean section was driven by the increase in births within
institutions. The adjusted odds of a caesarean section were 4.6 times (95%
confidence interval, CI: 3.4-11.8) higher for recent births. The adjusted odds
were also significantly higher for mothers who had at least one antenatal
ultrasound test. Rates of caesarean section in secondary-level facilities
markedly increased over the last decade to the same levels as in major hospitals
(P < 0.001). CONCLUSION: The upsurge in rates of births by caesarean section in
this population cannot be fully explained by increases in institutional births
alone, but is likely to be driven by medical practice within secondary-level
hospitals and women's demand for the procedure.
PMID- 18038055
TI - Huge poor-rich inequalities in maternity care: an international comparative study
of maternity and child care in developing countries.
AB - OBJECTIVE: Progress towards the Millennium Development Goals for maternal health
has been slow, and accelerated progress in scaling up professional delivery care
is needed. This paper describes poor-rich inequalities in the use of maternity
care and seeks to understand these inequalities through comparisons with other
types of health care. METHODS: Demographic and Health Survey (DHS) data from 45
developing countries were used to describe poor-rich inequalities by wealth
quintiles in maternity care (professional delivery care and antenatal care), full
childhood immunization coverage and medical treatment for diarrhoea and acute
respiratory infections (ARI). FINDINGS: Poor-rich inequalities in maternity care
in general, and professional delivery care in particular, are much greater than
those in immunization coverage or treatment for childhood illnesses. Public
sector inequalities make up a major part of the poor-rich inequalities in
professional delivery attendance. Even delivery care provided by nurses and
midwives favours the rich in most countries. Although poor-rich inequalities
within both rural and urban areas are large, most births without professional
delivery care occur among the rural poor. CONCLUSION: Poor-rich inequalities in
professional delivery care are much larger than those in the other forms of care.
Reducing poor-rich inequalities in professional delivery care is essential to
achieving the MDGs for maternal health. The greatest improvements in professional
delivery care can be made by increasing coverage among the rural poor. Problems
with availability, accessibility and affordability, as well as the nature of the
services and demand factors, appear to contribute to the larger poor-rich
inequalities in delivery care. A concerted effort of equity-oriented policy and
research is needed to address the huge poor-rich inequalities in maternity care.
PMID- 18038057
TI - Magnesium sulfate is not used for pre-eclampsia and eclampsia in Mexico and
Thailand as much as it should be.
AB - OBJECTIVE: In the past ten years effective treatments for pre-eclampsia and
eclampsia have been evaluated and identified following large trials and
systematic reviews. We investigated the extent of those effective interventions
implementation. METHODS: Descriptive analysis of data collected as part of a
cluster randomized trial. The trial was assigned the International Standardised
Randomized Controlled Trial Number ISRCTN 14055385. Hospitals with more than 1000
deliveries per year not directly associated with an academic institution in
Mexico City municipal area in Mexico (n = 22) and the north-east region of
Thailand (n = 18) were included. All women delivering at the participating
hospitals at two time periods in 2000 and 2002 contributed data on practice
rates. The use of magnesium sulfate for pre-eclampsia and eclampsia were the
outcomes. FINDINGS: Eight out of 22 hospitals in Mexico (range 0.8% to 8.5%) and
all 18 hospitals in Thailand (range 18.6% to 63.6%) used magnesium sulfate for
women with pre-eclampsia. In Mexico, 11 of 22 hospitals used magnesium sulfate
for eclampsia (range 9.1% to 60.0%). In Thailand, all 17 hospitals having
eclampsia cases used magnesium sulfate (range 25% to 100%). CONCLUSION: Despite
compelling evidence, magnesium sulfate use is below desired levels. Clinical
practices should be audited and implementation of this effective intervention
should be taken up as a priority where universal implementation is not in place.
PMID- 18038058
TI - Contraceptive injections by community health workers in Uganda: a nonrandomized
community trial.
AB - OBJECTIVE: To compare the safety and quality of contraceptive injections by
community-based health workers with those of clinic-based nurses in a rural
African setting. METHODS: A nonrandomized community trial tested provision of
injectable Depo Provera (DMPA) by community reproductive health workers and
compared it with routine DPMA provision at health units in Nakasongola District,
Uganda. The primary outcome measures were safety, acceptability and continuation
rates. FINDINGS: A total of 945 new DMPA users were recruited by community
workers, clinic-based nurses and midwives. Researchers successfully followed 777
(82% follow-up): 449 community worker clients and 328 clinic-based clients.
Ninety-five percent of community-worker clients were "satisfied" or "highly
satisfied" with services, and 85% reported receiving information on side-effects.
There were no serious injection site problems in either group. Similarly, there
was no significant difference between continuation to second injection (88% among
clients of community-based workers, 85% among clinic-going clients), nor were
there significant differences in other measures of safety, acceptability and
quality. CONCLUSION: Community-based distribution (CBD) of injectable
contraceptives is now routine in some countries in Asia and Latin America, but is
practically unknown in Africa, where arguably the need for this practice is
greatest. This research reinforces experience from other regions suggesting that
well-trained community health workers can safely provide contraceptive
injections.
PMID- 18038059
TI - Did the strategy of skilled attendance at birth reach the poor in Indonesia?
AB - OBJECTIVE: To assess whether the strategy of "a midwife in every village" in
Indonesia achieved its aim of increasing professional delivery care for the
poorest women. METHODS: Using pooled Demographic and Health Surveys (DHS) data
from 1986-2002, we examined trends in the percentage of births attended by a
health professional and deliveries via caesarean section. We tested for effects
of the economic crisis of 1997, which had a negative impact on Indonesias health
system. We used logistic regression, allowing for time-trend interactions with
wealth quintile and urban/rural residence. FINDINGS: There was no change in rates
of professional attendance or caesarean section before the programmes full
implementation (1986-1991). After 1991, the greatest increases in professional
attendance occurred among the poorest two quintiles -- 11% per year compared with
6% per year for women in the middle quintile (P = 0.02). These patterns persisted
after the economic crisis had ended. In contrast, most of the increase in rates
of caesarean section occurred among women in the wealthiest quintile. Rates of
caesarean deliveries remained at less than 1% for the poorest two-fifths of the
population, but rose to 10% for the wealthiest fifth. CONCLUSION: The Indonesian
village midwife programme dramatically reduced socioeconomic inequalities in
professional attendance at birth, but the gap in access to potentially life
saving emergency obstetric care widened. This underscores the importance of
understanding the barriers to accessing emergency obstetric care and of the ways
to overcome them, especially among the poor.
PMID- 18038060
TI - Are skilled birth attendants really skilled? A measurement method, some
disturbing results and a potential way forward.
AB - OBJECTIVE: Delivery by a skilled birth attendant (SBA) serves as an indicator of
progress towards reducing maternal mortality worldwide -- the fifth Millennium
Development Goal. Though WHO tracks the proportion of women delivered by SBAs, we
know little about their competence to manage common life-threatening obstetric
complications. We assessed SBA competence in five high maternal mortality
settings as a basis for initiating quality improvement. METHODS: The WHO
Integrated Management of Pregnancy and Childbirth (IMPAC) guidelines served as
our competency standard. Evaluation included a written knowledge test, partograph
(used to record all observations of a woman in labour) case studies and
assessment of procedures demonstrated on anatomical models at five skills
stations. We tested a purposive sample of 166 SBAs in Benin, Ecuador, Jamaica and
Rwanda (Phase I). These initial results were used to refine the instruments,
which were then used to evaluate 1358 SBAs throughout Nicaragua (Phase II).
FINDINGS: On average, Phase I participants were correct for 56% of the knowledge
questions and 48% of the skills steps. Phase II participants were correct for 62%
of the knowledge questions. Their average skills scores by area were: active
management of the third stage of labour -- 46%; manual removal of placenta --
52%; bimanual uterine compression -- 46%; immediate newborn care -- 71%; and
neonatal resuscitation -- 55%. CONCLUSION: There is a wide gap between current
evidence-based standards and provider competence to manage selected obstetric and
neonatal complications. We discuss the significance of that gap, suggest
approaches to close it and describe briefly current efforts to do so in Ecuador,
Nicaragua and Niger.
PMID- 18038062
TI - The Chilean infant mortality decline: improvement for whom? Socioeconomic and
geographic inequalities in infant mortality, 1990-2005.
AB - OBJECTIVE: To measure socioeconomic inequalities and differential risk in infant
mortality on national and regional levels in Chile from 1990 to 2005, and propose
new policy targets. METHODS: The study analysed Chilean vital events registries
from 1990 to 2005 for infant mortality by maternal education, head of household
occupational status, cause, age and location of death. Annual infant mortality
rates and relative risk were calculated by maternal education and head of
household occupational status for each cause and age of death. Socioeconomic
inequalities were then mapped to 29 regional health services. FINDINGS:
Reductions in the national infant mortality rate were driven by reductions among
highly educated mothers, while recent stagnation in the national rate is caused
by high levels of infant mortality among uneducated mothers. These vulnerable
households are particularly prone to infant mortality risk due to infectious
disease and trauma. We also identify clustering of high socioeconomic
inequalities in infant mortality throughout the poorer north, indigenous south
and densely populated metropolitan centre of Santiago. Finally, we report large
inequities in vital statistics coverage, with infant deaths among vulnerable
households much more likely to be inadequately defined than in the remaining
population. CONCLUSION: These results indicate that the socioeconomically
disadvantaged in Chile are at a significantly higher risk for infant mortality by
infectious diseases and trauma during the first month of life. Efforts to reduce
national infant mortality in Chile and other countries must involve policies that
target child survival for at-risk populations for specific diseases, ages and
locations.
PMID- 18038061
TI - Identifying barriers and facilitators towards implementing guidelines to reduce
caesarean section rates in Quebec.
AB - OBJECTIVE: To investigate obstetricians perceptions of clinical practice
guidelines targeting management of labour and vaginal birth after previous
caesarean birth, and to identify the barriers to, facilitators of and
obstetricians solutions for implementing these guidelines in practice. METHODS:
This qualitative study was conducted in three hospitals in Montreal that
represent around 10% of births in Quebec. Data was collected from 10 focus
groups, followed by six semi-structured interviews. Two researchers jointly
analysed the verbatim transcripts according to A manual for the use of focus
groups. FINDINGS: The identified barriers to and facilitators of the
implementation of guidelines can be classified into four categories: 1) the
hospital level, including management and hospital policies; 2) the departmental
level, including local policies, leadership, organizational factors, economic
incentive, and availability of equipment and staff; 3) the health professionals
motivations and attitudes, including medico-legal concerns, skill levels,
acceptance of guidelines and strategies used to implement recommendations; and 4)
patients motivations. CONCLUSION: Identifying the barriers to and facilitators of
the adoption of recommendations is an important way to guide the development of
efficient strategies. The findings of this study suggest that the adoption of
guidelines may be improved if local health professionals perceptions are
considered to make recommendations more acceptable and useful. Our findings also
support the assumption that obstetricians seek to implement best practices, but
require evidence tools and support to assess their practices and enhance their
performance. In addition, peer review activities championed by opinion leaders
have been identified by obstetricians as the most suitable strategy to improve
the use of the guidelines in their practices.
PMID- 18038063
TI - Global Fund-supported programmes contribution to international targets and the
Millennium Development Goals: an initial analysis.
AB - OBJECTIVE: The Global Fund to Fight AIDS, Tuberculosis and Malaria is one of the
largest funders to fight these diseases. This paper discusses the programmatic
contribution of Global Fund-supported programmes towards achieving international
targets and Millennium Development Goals, using data from Global Fund grants.
METHODOLOGY: Results until June 2006 of 333 grants supported by the Global Fund
in 127 countries were aggregated and compared against international targets for
HIV/AIDS, tuberculosis and malaria. Progress reports to the Global Fund
secretariat were used as a basis to calculate results. Service delivery
indicators for antiretrovirals (ARV) for HIV/AIDS, case detection under the DOTS
strategy for tuberculosis (DOTS) and insecticide-treated nets (ITNs) for malaria
prevention were selected to estimate programmatic contributions to international
targets for the three diseases. Targets of Global Fund-supported programmes were
projected based on proposals for Rounds 1 to 4 and compared to international
targets for 2009. FINDINGS: Results for Global Fund-supported programmes total
544,000 people on ARV, 1.4 million on DOTS and 11.3 million for ITNs by June
2006. Global Fund-supported programmes contributed 18% of international ARV
targets, 29% of DOTS targets and 9% of ITNs in sub-Saharan Africa by mid-2006.
Existing Global Fund-supported programmes have agreed targets that are projected
to account for 19% of the international target for ARV delivery expected for
2009, 28% of the international target for DOTS and 84% of ITN targets in sub
Saharan Africa. CONCLUSION: Global Fund-supported programmes have already
contributed substantially to international targets by mid-2006, but there is a
still significant gap. Considerably greater financial support is needed,
particularly for HIV, in order to achieve international targets for 2009.
PMID- 18038064
TI - A systematic review of inequalities in the use of maternal health care in
developing countries: examining the scale of the problem and the importance of
context.
AB - Two decades after the Safe Motherhood campaigns 1987 launch in India, half a
million women continue to die from pregnancy-related causes every year. Key
health-care interventions can largely prevent these deaths, but their use is
limited in developing countries, and is reported to vary between population
groups. We reviewed the use of maternal health-care interventions in developing
countries to assess the extent, strength and implications of evidence for
variations according to women's place of residence and socioeconomic status.
Studies with data on use of a skilled health worker at delivery, antenatal care
in the first trimester of pregnancy and medical settings for delivery were
assessed. We identified 30 eligible studies, 12 of which were of high or moderate
quality, from 23 countries. Results of these studies showed wide variation in use
of maternal health care. Methodological factors (e.g. inaccurate identification
of population in need or range of potential confounders controlled for) played a
part in this variation. Differences were also caused by factors related to health
care users (e.g. age, education, medical insurance, clinical risk factors) or to
supply of health care (e.g. clinic availability, distance to facility), or by an
interaction between such factors (e.g. perceived quality of care). Variation was
usually framed by contextual issues relating to funding and organization of
health care or social and cultural issues. These findings emphasize the need to
investigate and assess context-specific causes of varying use of maternal health
care, if safe motherhood is to become a reality in developing countries.
PMID- 18038065
TI - Addressing smoking cessation in tuberculosis control.
PMID- 18038066
TI - Inter-agency agreement on mental health and psychosocial support in emergency
settings.
PMID- 18038067
TI - Bombarding people with questions: a reconsideration of survey ethics.
PMID- 18038068
TI - Reducing the impact of climate change.
PMID- 18038069
TI - Will increased awareness of the health impacts of climate change help in
achieving international collective action?
PMID- 18038070
TI - Indias last bastion of polio at "tipping point".
PMID- 18038071
TI - Climate change and human health.
PMID- 18038073
TI - Economic evaluation of hepatitis B vaccination in low-income countries: using
cost-effectiveness affordability curves.
AB - OBJECTIVE: We sought to describe a method that explicitly considers both a health
care programmes cost-effectiveness and its affordability. For illustration, we
apply the method to the programme to vaccinate infants against hepatitis B in the
Gambia. METHODS: We synthesized selected data and developed a computer-based
model from the societal and payer perspectives to evaluate the cost-effectiveness
of routine infant vaccination against hepatitis B in the Gambia compared with no
vaccination. The primary outcome measure was cost per averted disability-adjusted
life year (DALY), which was expressed in 2002 US dollars. We used Monte Carlo
methods for uncertainty analysis to examine the affordability of the programme
from the payers perspective, and we derived an affordability curve and cost
effectiveness affordability curves for the programme. FINDINGS: In the Gambia,
vaccinating infants against hepatitis B is highly cost-effective. Compared with
offering no intervention, the vaccination programme would cost US$ 28 per DALY
averted from the societal perspective or US$ 47 per DALY averted from the payers
perspective. The programme also has the potential to be affordable, starting at a
relatively low budget of US$ 160,000 per year. Combining the two dimensions of
the outcome measure, the probability that vaccinating infants would be both cost
effective and affordable is 40% at an annual programme budget of US$ 182,000 (the
estimated total programme cost from the payers perspective), given a threshold
cost-effectiveness value of US$ 47 per DALY averted. CONCLUSION: In the face of
uncertainties about both the health and economic consequences of a vaccine
programme, as well as the availability and magnitude of resources needed to fund
the programme, cost-effectiveness affordability curves can provide information to
decision-makers about the probability that a programme will be both cost
effective and affordable: these are distinct but equally relevant considerations
in resource-poor settings.
PMID- 18038074
TI - Routine offer of antenatal HIV testing ("opt-out" approach) to prevent mother-to
child transmission of HIV in urban Zimbabwe.
AB - OBJECTIVE: To assess the impact of routine antenatal HIV testing for preventing
mother-to-child transmission of HIV (PMTCT) in urban Zimbabwe. METHODS: Community
counsellors were trained in routine HIV testing policy using a specific training
module from June 2005 through November 2005. Key outcomes during the first 6
months of routine testing were compared with the prior 6-month "opt-in" period,
and clients were interviewed. FINDINGS: Of the 4551 women presenting for
antenatal care during the first 6 months of routine HIV testing, 4547 (99.9%)
were tested for HIV compared with 3058 (65%) of 4700 women during the last 6
months of the opt-in testing (P < 0.001), with a corresponding increase in the
numbers of HIV-infected women identified antenatally (926 compared with 513, P <
0.001). During routine testing, more HIV-infected women collected results
compared to the opt-in testing (908 compared with 487, P < 0.001) resulting in a
significant increase in deliveries by HIV-infected women (256 compared with 186,
P = 0.001); more mother/infant pairs received antiretroviral prophylaxis (n =
256) compared to the opt-in testing (n = 185); and more mother/infant pairs
followed up at clinics (105 compared with 49, P = 0.002). Women were satisfied
with counselling services and most (89%) stated that offering routine testing is
helpful. HIV-infected women reported low levels of spousal abuse and other
adverse social consequences. CONCLUSION: Routine antenatal HIV testing should be
implemented at all sites in Zimbabwe to maximize the public health impact of
PMTCT.
PMID- 18038075
TI - A national survey of the impact of rapid scale-up of antiretroviral therapy on
health-care workers in Malawi: effects on human resources and survival.
AB - OBJECTIVE: To assess the human resources impact of Malawis rapidly growing
antiretroviral therapy (ART) programme and balance this against the survival
benefit of health-care workers who have accessed ART themselves. METHODS: We
conducted a national cross-sectional survey of the human resource allocation in
all public-sector health facilities providing ART in mid-2006. We also undertook
a survival analysis of health-care workers who had accessed ART in public and
private facilities by 30 June 2006, using data from the national ART monitoring
and evaluation system. FINDINGS: By 30 June 2006, 59 581 patients had accessed
ART from 95 public and 28 private facilities. The public sites provided ART
services on 2.4 days per week on average, requiring 7% of the clinician
workforce, 3% of the nursing workforce and 24% of the ward clerk workforce
available at the facilities. We identified 1024 health-care workers in the
national ART-patient cohort (2% of all ART patients). The probabilities for
survival on ART at 6 months, 12 months and 18 months were 85%, 81% and 78%,
respectively. An estimated 250 health-care workers lives were saved 12 months
after ART initiation. Their combined work-time of more than 1000 staff-days per
week was equivalent to the human resources required to provide ART at the
national level. CONCLUSION: A large number of ART patients in Malawi are managed
by a small proportion of the health-care workforce. Many health-care workers have
accessed ART with good treatment outcomes. Currently, staffing required for ART
balances against health-care workers lives saved through treatment, although this
may change in the future.
PMID- 18038076
TI - Mental health reform in the Russian Federation: an integrated approach to achieve
social inclusion and recovery.
AB - OBJECTIVE: To facilitate mental health reform in one Russian oblast (region)
using systematic approaches to policy design and implementation. METHODS: The
authors undertook a three-year action-research programme across three pilot
sites, comprising a multifaceted set of interventions combining situation
appraisal to inform planning, sustained policy dialogue at federal and regional
levels to catalyse change, introduction of multidisciplinary and intersectoral
working at all levels, skills-based training for professionals, and support for
nongovernmental organizations (NGOs) to develop new care models. FINDINGS:
Training programmes developed in this process have been adopted into routine
curricula with measurable changes in staff skills. Approaches to care improved
through multidisciplinary and multisectoral service delivery, with an increase in
NGO activities, user involvement in care planning and delivery in all pilot
sites. Hospital admissions at start and end of the study fell in two pilot sites,
while the rate of readmissions in all three pilot sites by 2006 was below that
for the region as a whole. Lessons learned have informed the development of
regional and federal mental health policies. CONCLUSION: A multifaceted and
comprehensive programme can be effective in overcoming organizational barriers to
the introduction of evidence-based multisectoral interventions in one Russian
region. This can help facilitate significant and sustainable changes in policy
and reduce institutionalization.
PMID- 18038077
TI - The Strengthening the Reporting of Observational Studies in Epidemiology (STROBE)
statement: guidelines for reporting observational studies.
AB - Much biomedical research is observational. The reporting of such research is
often inadequate, which hampers the assessment of its strengths and weaknesses
and of a study's generalizability. The Strengthening the Reporting of
Observational Studies in Epidemiology (STROBE) Initiative developed
recommendations on what should be included in an accurate and complete report of
an observational study. We defined the scope of the recommendations to cover
three main study designs: cohort, case-control and cross-sectional studies. We
convened a two-day workshop, in September 2004, with methodologists, researchers
and journal editors to draft a checklist of items. This list was subsequently
revised during several meetings of the coordinating group and in e-mail
discussions with the larger group of STROBE contributors, taking into account
empirical evidence and methodological considerations. The workshop and the
subsequent iterative process of consultation and revision resulted in a checklist
of 22 items (the STROBE Statement) that relate to the title, abstract,
introduction, methods, results and discussion sections of articles. Eighteen
items are common to all three study designs and four are specific for cohort,
case-control, or cross-sectional studies. A detailed Explanation and Elaboration
document is published separately and is freely available on the web sites of PLoS
Medicine, Annals of Internal Medicine and Epidemiology. We hope that the STROBE
Statement will contribute to improving the quality of reporting of observational
studies.
PMID- 18038078
TI - Intermittent preventive treatment of malaria during pregnancy in central
Mozambique.
AB - PROBLEM: New WHO strategies for control of malaria in pregnancy (MiP) recommend
intermittent preventive treatment (IPTp), bednet use and improved case
management. APPROACH: A pilot MiP programme in Mozambique was designed to
determine requirements for scale-up. LOCAL SETTING: The Ministry of Health worked
with a nongovernmental organization and an academic institution to establish and
monitor a pilot programme in two impoverished malaria-endemic districts. RELEVANT
CHANGES: Implementing the pilot programme required provision of additional
sulfadoxine-pyrimethamine (SP), materials for directly observed SP
administration, bednets and a modified antenatal card. National-level formulary
restrictions on SP needed to be waived. The original protocol required
modification because imprecision in estimation of gestational age led to missed
SP doses. Multiple incompatibilities with other health initiatives (including
programmes for control of syphilis, anaemia and HIV) were discovered and
overcome. Key outputs and impacts were measured; 92.5% of 7911 women received at
least 1 dose of SP, with the mean number of SP doses received being 2.2. At the
second antenatal visit, 13.5% of women used bednets. In subgroups (1167 for
laboratory analyses; 2600 births), SP use was significantly associated with
higher haemoglobin levels (10.9 g/dL if 3 doses, 10.3 if none), less malaria
parasitaemia (prevalence 7.5% if 3 doses, 39.3% if none), and fewer low-birth
weight infants (7.3% if 3 doses, 12.5% if none). LESSONS LEARNED: National-level
scale-up will require attention to staffing, supplies, bednet availability, drug
policy, gestational-age estimation and harmonization of vertical initiatives.
PMID- 18038079
TI - Offering integrated care for HIV/AIDS, diabetes and hypertension within chronic
disease clinics in Cambodia.
AB - PROBLEM: In Cambodia, care for people with HIV/AIDS (prevalence 1.9%) is
expanding, but care for people with type II diabetes (prevalence 5-10%), arterial
hypertension and other treatable chronic diseases remains very limited. APPROACH:
We describe the experience and outcomes of offering integrated care for HIV/AIDS,
diabetes and hypertension within the setting of chronic disease clinics. LOCAL
SETTING: Chronic disease clinics were set up in the provincial referral hospitals
of Siem Reap and Takeo, 2 provincial capitals in Cambodia. RELEVANT CHANGES: At
24 months of care, 87.7% of all HIV/AIDS patients were alive and in active follow
up. For diabetes patients, this proportion was 71%. Of the HIV/AIDS patients,
9.3% had died and 3% were lost to follow-up, while for diabetes this included 3
(0.1%) deaths and 28.9% lost to follow-up. Of all diabetes patients who stayed
more than 3 months in the cohort, 90% were still in follow-up at 24 months.
LESSONS LEARNED: Over the first three years, the chronic disease clinics have
demonstrated the feasibility of integrating care for HIV/AIDS with non
communicable chronic diseases in Cambodia. Adherence support strategies proved to
be complementary, resulting in good outcomes. Services were well accepted by
patients, and this has had a positive effect on HIV/AIDS-related stigma. This
experience shows how care for HIV/AIDS patients can act as an impetus to tackle
other common chronic diseases.
PMID- 18038080
TI - Rose revisited: a "middle road" prevention strategy to reduce noncommunicable
chronic disease risk.
PMID- 18038081
TI - Pathways to homelessness among older people in Rio de Janeiro, Brazil.
PMID- 18038082
TI - Shaping the world to illustrate inequalities in health.
PMID- 18038083
TI - Malaria, mosquitoes and the legacy of Ronald Ross.
PMID- 18038084
TI - [Brazilian response to tuberculosis: context, challenges and perspectives].
PMID- 18038085
TI - [Evaluation of tuberculosis control strategies in Brazil. Foreword].
PMID- 18038086
TI - [Two decades of research on tuberculosis in Brazil: state of the art of
scientific publications].
AB - The trends of scientific articles about tuberculosis in Brazil published between
1986 and 2006 were analyzed. This analysis included Capes database-indexed
dissertations and theses and papers indexed in Medline and SciELO. Papers
containing the word "tuberculosis" and authors affiliated to Brazilian
institutions were included in the assessment. The analysis showed initially case
report and review publications, and later it shifted to original articles on
science, technology and innovation. These changes may reflect the strengthening
of scientific research activities and new attitudes regarding tuberculosis
research objectives in academic institutions in recent years. Although many
theses used qualitative methodology, few qualitative publications were found,
possibly because of the quantitative orientation of many journals. Qualitative
versus quantitative research and education versus research-oriented publications
are discussed, together with public policies and strategies to include research
as a tool to control diseases. The use of the same methodology is suggested to
assess the trends in research on other neglected diseases.
PMID- 18038087
TI - [Mortality trends due to tuberculosis in Brazil, 1980-2004].
AB - OBJECTIVE: To analyze the current profile and trends of tuberculosis mortality in
Brazil between 1980 and 2004. METHODS: Descriptive study of tuberculosis as
underlying or associate cause of death based on secondary data from the Brazilian
Mortality Information System. RESULTS: An irregular reduction in the number of
tuberculosis deaths and mortality rates along the study period, from 5.8 in 1980
to 2.3 per 100,000 inhabitants in 2004, was seen. An accelerated reduction in
mortality trend was seen until 1985 mostly in the states of Rio de Janeiro and
Sao Paulo. There was a trend towards mortality occurring in older ages. In 2004,
4,981 tuberculosis cases were notified as underlying cause of death in Brazil but
it would increase by 50% if tuberculosis as associate cause and tuberculosis
sequelae as underlying cause of death were added. In 2004, the highest mortality
rates were found in the states of Pernambuco (5.4) and Rio de Janeiro (5.0) and
in state capitals such as Recife (7.7) and Belem (5.8). CONCLUSIONS: Given the
well-known association between tuberculosis and Aids, the impact of AIDS epidemic
indirectly reflects on the mortality trends of tuberculosis.
PMID- 18038088
TI - [Tuberculosis incidence and cure rates, Brazil, 2000-2004].
AB - OBJECTIVE: To describe the geographical distribution of tuberculosis incidence
rates based on a set of epidemiological and operational indicators from
information system database. METHODS: Data from the Sistema de Informacao de
Agravos de Notificacao (Brazilian Information System for Tuberculosis
Notification) were collected after removal of improper repeat records and record
linkage. Tuberculosis incidence rates were estimated according to geographical
unit, age group, sex, clinical manifestation and treatment schedule and
standardized for population age group distribution based on 2000 Population
Census. RESULTS: In 2004, in Brazil, tuberculosis incidence rate was 41 per
100,000 inhabitants and 74,540 new cases were notified. Of these, 52.8% were
pulmonary tuberculosis with positive bacilloscopy, 24.1% were under supervised
treatment, 63.5% were from state capitals or metropolitan areas, and 54.9% were
cured cases (complete treatment). After records with missing outcome data were
excluded, cure rates were 72.4% for new cases, 47% for new HIV-positive cases,
64.9% for relapses, 64.5% for transfers in/out, and 40% for returns after
default. Cure rate for new cases under supervised treatment was 77.1%. A higher
proportion of records with missing outcome information was seen in recent years.
CONCLUSIONS: Different incidence rates and treatment outcomes were found in
different Brazilian states. To reach the 85% cure goal for new cases and to
increase cure in HIV-positive and defaults cases additional efforts are needed by
the Brazilian National Tuberculosis Program, including scaling up the Directly
Observed Therapy Strategy.
PMID- 18038089
TI - [Multiresistant tuberculosis in Brazil: history and control].
AB - The article aimed at assessing multidrug-resistant tuberculosis control in
Brazil, based on the experiences of reference institutions, and the most relevant
studies carried out to determine local and national resistance rates. Control
measures and the current situation of treatment and diagnoses after the
implementation of the national guidelines, which were revised in 2004, are
considered. The first national survey on resistance to anti-tuberculosis drugs
was performed in the middle of last decade. From its outcomes, a regimen to treat
all cases of multidrug-resistant tuberculosis was validated and adopted.
Government measures enabled the implementation of a surveillance system, whose
outcomes are also commented.
PMID- 18038090
TI - [Social representations of tuberculosis: stigma and prejudice].
AB - Social representations of tuberculosis are analyzed at the turn of the 20th
century, focusing on aspects associated to feelings and contradictory
manifestations awakened by the disease. The romanticized pattern of experience of
this disease was replaced by a more naturalistic vision, though the stigmas and
prejudices are reinforced. To this day it is possible to detect some aspects
about the way of perceiving tuberculosis, which marked its presence in the past.
The persistence of the stigmatization of tuberculosis and of the people who
suffer from it consists in a serious obstacle to the disease's control nowadays.
PMID- 18038091
TI - [Retrospect of tuberculosis control in Brazil].
AB - The aim of the study was to look back on the course of action involving measures
of tuberculosis control in Brazil since the end of the 19th century, covering the
history of social struggles and pointing out institutions and people that have
dedicated themselves to looking for solutions to these issues. The Brazilian
response to tuberculosis started in society with the Ligas Contra a Tuberculose
(Leagues Against Tuberculosis), promoting scientific advances, such as the BCG
vaccination, which begun in 1927. From the public power, the Inspetoria de
Profilaxia da TB (TB Prophylaxis Inspection Service - 1920), the Servico Nacional
de Tuberculose (National Service of Tuberculosis - 1940), and the Campanha
Nacional Contra a Tuberculose (National Campaign Against Tuberculosis - 1946),
coordinated national policies such as chemotherapy, beginning with the discovery
of streptomycin in 1944. The emergence of bacterial resistance led to the
development of several therapeutic schemes. The Scheme 1 (rifampycin, hydrazide
and pyrazinamid), which was the main one in 1979 and is still used nowadays, had
a great epidemiological effect. The WHO declared TB a public health emergency in
1993. In response, Brazil developed some strategies; the first one was the Plano
Emergencial para Controle da Tuberculose (Emergency Plan for Tuberculosis Control
- 1994), prioritizing 230 municipalities. The current prospects are an effective
municipalization of actions and their greater integration with the Programas de
Agentes Comunitarios e Saude da Familia (Humanitarian Agents and Family Health
Programs).
PMID- 18038092
TI - [BCG vaccine against tuberculosis: its protective effect and vaccination
policies].
AB - OBJECTIVE: The BCG vaccine has been in use since 1921, but still arouses
controversy and uncertainties. The objective was to analyze the protective effect
of the BCG vaccine in its first and second doses and the accompanying vaccination
policies. METHODS: A systematic review of the literature in both English and
Spanish was carried out, covering the period 1948 to 2006, using the PubMed
database. The main search terms used included BCG vaccine, BCG efficacy, BCG and
tuberculosis. The studies were grouped by design, with the main results from the
clinic tests, case-control studies and meta-analyses presented separately.
RESULTS: The protective effect of the first dose of the BCG vaccine against
tuberculosis in its miliary and meningeal forms is high. However, the results
vary in relation to the pulmonary form of the disease, with some indicating zero
effect and others levels of nearly 80%. Research is being carried out to develop
new vaccines that could substitute the BCG or be used as a booster. CONCLUSIONS:
There are evidences that the protective effect of the BCG vaccine does not
increase with a second dose. In spite of its limitations and the expectation that
a new tuberculosis vaccine will be developed in the future, the BCG vaccine
remains an important tool in controlling the harmful effects of tuberculosis,
particularly in countries with medium or high incidence levels of the disease.
PMID- 18038093
TI - [Impact on tuberculosis incidence rates of removal of repeat notification
records].
AB - OBJECTIVE: To evaluate the impact on tuberculosis (TB) incidence rates of removal
of improper duplicate records from the notification system. METHODS: Data from
the Sistema de Informacao de Agravos de Notificacao (Brazilian Information System
for Tuberculosis Notification) from 2000 to 2004 were analyzed. Repeat records
were identified through probabilistic record linkage and classified into six
mutually exclusive categories and then kept, combined or removed from database.
RESULTS: Of all TB records, 73.7% had no duplicate, 18.9% were duplicate, 4.7%
were triplicate, and 2.7% were quadruplicate or more. Of all repeat records,
47.3% were classified as transfer in/out; 23.6% return after default, 16.4% true
duplicates, 10% relapse, 2.5% inconclusive and 0.2% had missing data. These
proportions were different in Brazilian states. Removal of improper duplicate
records reduced TB incidence rate per 100.000 inhabitants by 6.1% in the year
2000 (from 44 to 41.3), 8.3% in 2001 (from 44.5 to 40.8), 9.4% in 2002 (from 45.8
to 41.5), 9.2% in 2003 (from 46.9 to 42.6) and 8.4% in 2004 (from 45.4 to 41.6).
CONCLUSIONS: The study results indicate that the observed tuberculosis incidence
rates represent estimates that would be closer to the actual rates than those
obtained from the raw database at state and country level. The use of record
linkage approach should be promoted for better quality of notification system
data.
PMID- 18038094
TI - [Tuberculosis surveillance and health information system in Brazil, 2001-2003].
AB - OBJECTIVE: To assess the quality of tuberculosis surveillance in Brazil. METHODS:
Local data from 2001 to 2003 were assessed according to the quality of detection
and follow-up of the cases in the region, the quality of the information produced
by the notification system, and the load of morbidity of tuberculosis. Cities
were classified into four groups, according to tuberculosis epidemiologic stage
and the quality of tuberculosis surveillance. RESULTS: In the study period, about
8% of the Brazilian cities presented over 10% of the cases of tuberculosis
notified by the health care services of another city. In about 950 cities, the
frequency of patients with pulmonary tuberculosis undergoing sputum examination
was lower than 90%. In the North region, Amazonas, Para and Amapa presented more
cities classified in the groups of poor tuberculosis surveillance. In the
Northeast, Pernambuco, Ceara and Bahia presented the poorest surveillance. The
South and Midwest regions presented greater number of cities with better
tuberculosis surveillance. CONCLUSIONS: One third of the Brazilian cities present
poor tuberculosis surveillance. This picture is not homogeneous in Brazil, and
the regions with highest tuberculosis incidence have a great number of cities
with apparently insufficient control measures.
PMID- 18038095
TI - [Brazilian response to tuberculosis control].
AB - Tuberculosis remains a public health problem in Brazil. In 2003, controlling
tuberculosis was highlighted as a priority among health public policies. The
article reports the Brazilian response to this challenge, describing the main
strategies of the National Control Plan for 2003 to 2006. Among its main results
are: expansion of strategic coverage of the supervised treatment, increase in the
percentage of cure, decrease in the number of patients' treatment dropout, and of
the incidence rate.
PMID- 18038096
TI - [Tuberculosis morbidity and effectiveness of the control program in Brazilian
municipalities, 2001-2003].
AB - OBJECTIVE: To analyze Brazilian municipalities according to morbidity and
effectiveness of epidemiological inspection control of tuberculosis and AIDS.
METHODS: Exploratory analysis of two non-hierarchical data clusters of
epidemiological inspection data on tuberculosis and AIDS, and operational
indicators of the Programa Nacional de Controle de Tuberculose (National
Tuberculosis Control Program), from 2001 to 2003. The distribution was stratified
in metropolitan areas and priority municipalities, according to the size of the
population. The association between morbidity clusters and effectiveness was
evaluated by the Chi-square test, with analysis of residues in order to identify
significant associations. RESULTS: Out of the five morbidity clusters, the
concerning epidemiological situation occurs in municipalities with high incidence
of Aids, with high or low incidence of tuberculosis, prevailing in the Southeast
and South of Brazil and larger cities. Out of the six program effectiveness
clusters, moderate and average effectiveness are significantly associated to
priority municipalities, in metropolitan areas with more than 80 thousand
inhabitants. Clusters with average and poor effectiveness represent 10% of
municipalities with elevated treatment drop out and low rates of cure. The "no
data" cluster is associated with the very low incidence of tuberculosis and AIDS
cluster. CONCLUSIONS: The findings reflect inadequacy of inspection concerning
the epidemiological reality in Brazil: precarious social factors associated with
tuberculosis and AIDS and insufficient effectiveness of the control program.
PMID- 18038097
TI - [Tuberculosis and HIV infection in Brazil: magnitude of the problem and
strategies for control].
AB - The aim of the article was to propose, based on an analysis of the current
scenario and of the interaction between tuberculosis and AIDS, strategies to
minimize the epidemiological impact of one disease over the other in Brazil. The
manner by which health policies aimed at controlling the HIV/AIDS epidemic is
analyzed -- such as access to antiretroviral drugs and campaigns for the early
detection of HIV infection and for encouraging adherence to treatment - and their
impact on the achievement of goals related to controlling tuberculosis. The
implementation of measures for preventing the onset of tuberculosis in HIV
infected individuals, early detection of tuberculosis disease, and ensuring
treatment adherence, is discussed. It is commented upon the role that Brazil may
assume in the global effort to develop a therapeutic arsenal and the need for
integrated work between the fields of tuberculosis and HIV/AIDS.
PMID- 18038098
TI - [Strategies for tuberculosis control in Brazil: networking and civil society
participation].
AB - Although no significant changes in the tuberculosis indicators have been
registered in Brazil in recent years, there is a clear shift in the political
scenario regarding the manner this disease has been tackled, with the appearance
of civil society standing out. Considerations about the conditions that
contributed to its emergence are made, such as the origin, composition, actions,
partners and perspectives on social mobilization. Due to initiatives for
tuberculosis control on an international level and attempts to encourage social
mobilization on state and municipal levels, the Brazilian federal government
began to engage in a more consistent and coherent policy, also including civil
society in its actions. In spite of being an actor acknowledged by the public
power and considered strategic for the success of tuberculosis control actions,
community participation requires incentives and constant support from other
sectors.
PMID- 18038099
TI - [Recent contribution of the World Health Organization to control childhood
tuberculosis].
AB - The article comments the pioneer and recent publication of the World Health
Organization called "Guidance for National Tuberculosis Programmes on the
Management of Childhood Tuberculosis in Children", aiming at spreading
interesting aspects on the practice of health professionals. A parallel between
Latin America and the African continent is established, concerning the co
infection TB-HIV and childhood tuberculosis.
PMID- 18038100
TI - [Data on tuberculosis in the state of Sao Paulo, Brazil].
PMID- 18038101
TI - Connections: can the 20th century coronary heart disease epidemic reveal
something about the 1918 influenza lethality?
AB - This essay proposes that the ecologic association shown between the 20th century
coronary heart disease epidemic and the 1918 influenza pandemic could shed light
on the mechanism associated with the high lethality of the latter. It suggests
that an autoimmune interference at the apoB-LDL interface could explain both
hypercholesterolemia and inflammation (through interference with the cellular
metabolism of arachidonic acid). Autoimmune inflammation, then, would explain the
1950s-60s acute coronary events (coronary thrombosis upon influenza re-infection)
and the respiratory failure seen among young adults in 1918. This hypothesis also
argues that the lethality of the 1918 pandemic may have not depended so much on
the 1918 virus as on an immune vulnerability to it, possibly resulting from an
earlier priming of cohorts born around 1890 by the 1890 influenza pandemic virus.
PMID- 18038102
TI - Purification, partial characterization and role in lipid transport to developing
oocytes of a novel lipophorin from the cowpea weevil, Callosobruchus maculatus.
AB - Lipid transport in arthropods is achieved by highly specialized lipoproteins,
which resemble those described in vertebrate blood. Here we describe purification
and characterization of the lipid-apolipoprotein complex, lipophorin (Lp), from
adults and larvae of the cowpea weevil Callosobruchus maculatus. We also describe
the Lp-mediated lipid transfer to developing oocytes. Lps were isolated from
homogenates of C. maculatus larvae and adults by potassio bromide gradient and
characterized with respect to physicochemical properties and lipid content. The
weevil Lp (465 kDa) and larval Lp (585 kDa), with hydrated densities of 1.22 and
1.14 g/mL, contained 34 and 56% lipids and 9 and 7% carbohydrates, respectively.
In both Lps, mannose was the predominant monosaccharide detected by paper
chromatography. SDS-PAGE revealed two apolipoproteins in each Lp with molecular
masses of 225 kDa (apolipoprotein-I) and 79 kDa (apolipoprotein-II). The lipids
were extracted and analyzed by thin-layer chromatography. The major phospholipids
found were phosphatidylserine, phosphatidylcholine and phosphatidylethanolamine
in adult Lp, and phosphatidylcholine, phosphatidylethanolamine and sphingomyelin
in larval Lp. Hydrocarbons, fatty acids and triacylglycerol were the major
neutral lipids found in both Lps. Lps labeled in the protein moiety with
radioactive iodine (125I-iodine) or in the lipid moiety with fluorescent lipids
revealed direct evidence of endocytic uptake of Lps in live oocytes of C.
maculatus.
PMID- 18038103
TI - Application and implementation of the GINA asthma guidelines by specialist and
primary care physicians: a longitudinal follow-up study on 264 children.
AB - AIMS: To implement GINA guidelines and to assess their impact on the management
of childhood asthma. METHODS: A working group of primary care physicians (PCPs)
and specialists developed a GINA-based clinical pathway. We then monitored the
impact on 385 children (aged 0-15 years) referred to the respiratory outpatients
clinic at the University Children's Hospital, Brescia, Italy by 179 PCPs between
September 2003 and July 2005. RESULTS: Mean follow-up for 264 children with
complete datasets was 10 months. Guidelines were followed by 94.6% of the PCPs.
98.6% of children learned to manage exacerbations. Half of the patients with
persistent symptoms became intermittently symptomatic. Maintenance treatment was
suspended in 47.2%, reduced in 27.6%, and increased in 4.9%. Asthma severity
diminished (p<0.0001) and requirement for medication for exacerbations was
significantly reduced (p<0.0001). CONCLUSIONS: Regular specialist visits, a
website, and a written management plan shared by all those involved, led to
clinical improvements as well as a reduction in, and more efficient use of,
asthma medication.
PMID- 18038104
TI - What are the needs of patients following discharge from hospital after an acute
exacerbation of chronic obstructive pulmonary disease (COPD)?
AB - AIM: To identify patient needs following discharge from hospital after an
exacerbation of COPD. METHODS: Qualitative and semi-quantitative study using home
based structured interviews and focus groups involving 25 COPD patients after
hospital discharge. Interviews were performed seven days and three months post
discharge. Quantitative data were analysed using descriptive statistics and were
triangulated with the qualitative data from interviews and the focus groups.
RESULTS: There were high levels of depression (64%) and anxiety (40%). Feelings
of anxiety after discharge were associated with the fear of another "attack" and
with uncertainties about social and medical care provision, especially the
provision of oxygen. CONCLUSIONS: Interventions to reduce readmission for COPD
exacerbations need to consider the psychosocial as well as the medical needs of
patients. There appears to be a need for improved hospital discharge procedures
and community follow-up - including the provision of pulmonary rehabilitation and
encouragement of self-management strategies.
PMID- 18038105
TI - Monitoring of exhaled nitric oxide in primary care.
PMID- 18038106
TI - [Patient participation in medical care, taking rare retinal degenerations as
examples].
AB - Eight rare retinal degenerations were chosen to exemplify self-organisation and
involvement of patient self-help groups in medical care. They were studied and
supported in their development on the following levels: disease-specific groups
(level 1), patient organisations (level 2), umbrella organisation (level 3).
Databases of defined needs and concerns ("Themenspeicher") of disease-specific
patient groups and of patient organisations with respect to care, research and
patient networking were established. Priority concerns were implemented in the
following areas: specialised medical care; quality assurance; quality management;
expert panel with international dialogue of patients and physicians (including
consensus statement on treatment recommendations); glossary internet portal;
criteria for patient-oriented disease descriptions; structured documentation of
patient experiences; patient management of health care records (paper bound and
electronic health records). Apart from disease- specific approaches,
interdisciplinary disease approaches were also applied, e.g. by contributing to
the establishment of the German Alliance for Rare Diseases (ACHSE). This umbrella
organisation has substantially improved chances for cooperation and patient
advocacy. Patient participation was promoted by a federal regulation in 2004
("Patientenbeteiligungsverordnung"). The example of rare retinal degenerations
demonstrates the advantage of strong patient and umbrella organisations. Further
development of qualified self-help resources is required for patient
participation in rare diseases.
PMID- 18038107
TI - Anatomic variations of the pelvic floor nerves adjacent to the sacrospinous
ligament: a female cadaver study.
AB - Our objective was to document variations in the topography of pelvic floor nerves
(PFN) and describe a nerve-free zone adjacent to the sacrospinous ligament (SSL).
Pelvic floor dissections were performed on 15 female cadavers. The course of the
PFN was described in relation to the ischial spine (IS) and the SSL. The pudendal
nerve (PN) passed medial to the IS and posterior to the SSL at a mean distance of
0.6 cm (SD = +/-0.4) in 80% of cadavers. In 40% of cadavers, an inferior rectal
nerve (IRN) variant pierced the SSL at a distance of 1.9 cm (SD = +/-0.7) medial
to the IS. The levator ani nerve (LAN), coursed over the superior surface of the
SSL-coccygeus muscle complex at a mean distance of 2.5 cm (SD = +/-0.7) medial to
the IS. Anatomic variations were found which challenge the classic description of
PFN. A nerve-free zone is situated in the medial third of the SSL.
PMID- 18038108
TI - Vitamin D-binding protein gene microsatellite polymorphism influences BMD and
risk of fractures in men.
AB - Here we report the results of a vitamin D-binding protein gene microsatellite
polymorphism study in 170 men, comprising healthy male subjects and men with
osteoporosis-related symptomatic vertebral fractures. We confirm the results of
an earlier study in a different cohort, showing relationship between certain
genotypes of (TAAAn)-Alu repeats and reduced BMD and vertebral fractures.
INTRODUCTION: Vitamin D-binding protein (DBP) plays a critical role in the
transport and metabolism of metabolites of vitamin D, including the key
calciotropic hormone 1alpha,25-dihydroxyvitamin D3 (1,25(OH)2D3). METHODS: We
have investigated intra-intronic variable tandem (TAAA)n-Alu repeat expansion in
the DBP gene in 170 men, comprising healthy male subjects and men with idiopathic
osteoporosis and low trauma fractures. RESULTS AND CONCLUSIONS: The predominant
DBP-Alu genotype in the control subjects was 10/10 (frequency 0.421), whereas the
frequency of this genotype in men with osteoporosis was 0.089. DBP-Alu alleles
*10, *8 and *9, respectively, were the three commonest in both healthy subjects
and men with osteoporosis. Allele *10 was associated with a lower risk of
osteoporosis (OR 0.39, 95% CI 0.25-0.64; p < 0.0005), as was allele *11 (odds
ratio 0.09, 95% CI 0.01-0.67; p < 0.007). Logistic regression gave similar
results, showing that individuals with genotype 10/10 and 19-20 repeats
(genotypes 9/10, 9/11, 10/10,) are protected from fracture or osteoporosis.
Overall, there was a relationship between DBP Alu genotype and BMD, suggesting
that DBP-Alu genotype may influence fracture risk. This effect may be mediated by
changes in the circulating concentrations of DBP which influences free
concentrations of vitamin D.
PMID- 18038109
TI - Rate and circumstances of clinical vertebral fractures in older men.
AB - We examined the rate of clinical vertebral fractures, and the circumstances
associated with the fractures, in a cohort of 5,995 US older men. Fractures were
more common in the most elderly men, and were usually associated with falls and
other low-energy trauma. INTRODUCTION: Little is known about clinical vertebral
fractures in older men. We postulated that clinical vertebral fractures occur
with falls, affect men with osteoporosis, and are more common as age increases.
METHODS: Five thousand nine hundred and ninety-five men aged > or =65 years were
followed prospectively for an average of 4.7 years. Men with incident clinical
vertebral fractures were compared to controls. RESULTS: One percent (n = 61)
sustained incident clinical vertebral fractures (2.2/1,000 person-years). The
rate of fracture rose with age (0.7% in men 65-69 years and 5% > or =85 years).
Fractured men were more likely frail (8.2% vs. 2.2%), more often fell (36.1% vs.
21%) and had lower total hip and lumbar spine BMD (all p values < or =0.002). In
73.8% of cases fractures were precipitated by no known trauma or by low-energy
trauma, including falls in 57.3% Fractures were thoracic in 33% and lumbar in
56%. Men with an incident vertebral fracture were more likely to be osteoporotic
(13% vs. 2%, p < 0.0001), but most men with incident fractures did not have
osteoporosis. CONCLUSIONS: Incident clinical vertebral fractures were relatively
common in older men and the rate increased after age 80 years. Fractures were
usually associated with minimal trauma, most commonly a fall.
PMID- 18038110
TI - Effects of verapamil and gadolinium on caffeine-induced contractures and calcium
fluxes in frog slow skeletal muscle fibers.
AB - In this work, we tested whether L-type Ca(2+ )channels are involved in the
increase of caffeine-evoked tension in frog slow muscle fibers. Simultaneous net
Ca(2+) fluxes and changes in muscle tension were measured in the presence of
caffeine. Isometric tension was recorded by a mechanoelectrical transducer, and
net fluxes of Ca(2+) were measured noninvasively using ion-selective vibrating
microelectrodes. We show that the timing of changes in net fluxes and muscle
tension coincided, suggesting interdependence of the two processes. The effects
of Ca(2+)channel blockers (verapamil and gadolinium) were explored using 6 mM:
caffeine; both significantly reduced the action of caffeine on tension and on
calcium fluxes. Both caffeine-evoked Ca(2+) leak and muscle tension were reduced
by 75% in the presence of 100 microM: GdCl(3), which also caused a 92% inhibition
of net Ca(2+) fluxes in the steady-state condition. Application of 10 microM:
verapamil to the bath led to 30% and 52% reductions in the Ca(2+)leak caused by
the presence of caffeine for the peak and steady-state values of net Ca(2+)
fluxes, respectively. Verapamil (10 microM): caused a 30% reduction in the
maximum values of caffeine-evoked muscle tension. Gd(3+)was a more potent
inhibitor than verapamil. In conclusion, L-type Ca(2+) channels appear to play
the initial role of trigger in the rather complex mechanism of slow fiber
contraction, the latter process being mediated by both positive Ca(2+)-induced
Ca(2+ )release and negative (Ca(2+) removal from cytosol) feedback loops.
PMID- 18038112
TI - Flory radius of polymers in a periodic field: an exact analytic theory.
AB - We found an exact expression for the Flory radius R (F) of Gaussian polymers
placed in an external periodic field. This solution is expressed in terms of the
two parameters eta and a that describe the reduced strength of an external field
and the period of the field to the polymer gyration radius ratio, respectively. R
(F) is found to be a decaying function of eta for any values of a . Provided that
the gyration radius is of the order of the period of an external field or less,
the ground-state (GS) approximation of the exact result for R (F) is shown to
give qualitatively incorrect results. In addition to the "ground-state"
contribution, the exact solution for R (F) contains an additional term that is
overlooked by the GS approximation. This term gives rise to the fact that R (F)
as a function of eta exhibits power law behavior (rather than exponential decay
obtained from the GS result) once eta exceeds the threshold value eta(con) .
PMID- 18038111
TI - Vascular anatomical basis of clavicular non-union.
AB - The middle third of the clavicle is commonly involved in any injury and account
for 5-10% of all fractures in adults. Although non-unions are rare, their
treatment has not been well defined yet. This report describes the arterial
supply of the clavicle to clarify the pathological mechanism and the surgical
procedure of non-unions. This study was based on delineation of the thoraco
acromial and suprascapular arteries with colored latex on 17 specimens (ten
cadavers). Observations were made after macroscopic dissection and maceration.
The main blood supply to the middle third of the clavicle was the periosteal.
This supply came from the two branches of the thoraco-acromial trunk that
penetrated the pectoralis major muscle and the deltoid muscle. In 13 cases, these
two periosteal branches were anastomosed between these two muscle attachments.
Periosteal vascularization was always seen on the superior surface and the
anterior border of the bone, but never on the inferior surface or the posterior
border. The suprascapular artery contributed to supply the middle third of the
clavicle by several periosteal branches and also by an independent branch. This
branch was born proximally near the internal, middle thirds union and passed
along the posterior face of the subclavius muscle and pierced the bone through
the nutria foramina located near the external, middle thirds union. Nevertheless,
intraosseous arteries were noted only in four cases. In these cases, they were
never more than 2cm long. Our results showed that the periosteal blood supply
located between the muscles insertions and the arterial supply from the
suprascapular artery could be twice compromised in case of important displacement
or severe fracture. If treatments of clavicular fractures or non-unions cannot
preserve the periosteal blood supply, bone grafting should be indicated.
PMID- 18038113
TI - Cloning and expression of the sucrose phosphorylase gene from Leuconostoc
mesenteroides in Escherichia coli.
AB - The gene encoding sucrose phosphorylase (742sp) in Leuconostoc mesenteroides NRRL
B-742 was cloned and expressed in Escherichia coli. The nucleotide sequence of
the transformed 742sp comprised an ORF of 1,458 bp giving a protein with
calculated molecular mass of 55.3 kDa. 742SPase contains a C-terminal amino acid
sequence that is significantly different from those of other Leu. mesenteroides
SPases. The purified 742SPase had a specific activity of 1.8 U/mg with a K (m) of
3 mM with sucrose as a substrate; optimum activity was at 37 degrees C and pH
6.7. The purified 742SPase transferred the glucosyl moiety of sucrose to cytosine
monophosphate (CMP).
PMID- 18038115
TI - Acute phase proteins and systolic dysfunction in subjects with acute myocardial
infarction.
AB - AIM: To investigate correlations between plasmatic concentrations of acute phase
proteins (APPs) and left ventricular systolic function during the early phase of
acute myocardial infarction. METHODS: Plasmatic concentrations of alpha-1-anti
trypsin (A1AT), alpha 1 glyco-protein (A1GP), haptoglobin (HG), caeruloplasmin
(CP) and C-reactive protein (CRP) were evaluated in 123 patients with ST
elevation acute myocardial infarction (STEMI) within 12 h after onset of chest
pain. Systolic function was assessed with bi-dimensional echography and incidence
of in-hospital adverse events was compared to APPs levels. RESULTS: A1AT, A1GP,
HG and CP showed a statistically significant correlation with admission CRP
concentrations (P < 0.001). Left ventricular ejection fraction inversely
correlated with plasmatic concentrations of A1GP, A1AT, CP and HG. Incidence of
acute heart failure correlated with values of APPs and, in a stepwise analysis,
CP values were the most significant markers of acute heart failure. CONCLUSIONS:
Systolic dysfunction in STEMI patients seems to be associated with an
inflammatory response featured by a rise in plasmatic concentration of APPs;
increase in APPs concentrations seems to own a short-term prognostic relevance.
PMID- 18038116
TI - A structural perspective on mechanism and function of the cytochrome bc (1)
complex.
AB - The cytochrome bc (1) complex is a fundamental component of the energy conversion
machinery of respiratory and photosynthetic electron transfer chains. The multi
subunit membrane protein complex couples electron transfer from hydroquinone to
cytochrome c to the translocation of protons across the membrane, thereby
substantially contributing to the proton motive force that is used for ATP
synthesis. Considerable progress has been made with structural and functional
studies towards complete elucidation of the Q cycle mechanism, which was
originally proposed by Mitchell 30 years ago. Yet, open questions regarding key
steps of the mechanism still remain. The role of the complex as a major source of
reactive oxygen species and its implication in pathophysiological conditions has
recently gained interest.
PMID- 18038114
TI - PvALF and FUS3 activate expression from the phaseolin promoter by different
mechanisms.
AB - Transcription from the phaseolin (phas) promoter requires two major events:
chromatin remodeling, mediated by PvALF, a B3 domain factor, and activation by an
ABA-induced signal transduction cascade. Expression from phas is normally seed
specific, but high levels of expression in leaves can be obtained by ectopic
expression of PvALF. Here, the system was used to compare the ability of PvALF
and Arabidopsis FUS3, another B3 domain transcription factor that lacks the N
terminal activation and B1 domain present in PvALF, to activate phas expression
in vegetative tissues. When compared to PvALF-mediated phas activation in the
presence of ABA, a delay in phas activation was observed in the presence of both
FUS3 and ABA in vegetative tissue. Significant differences in histone
modifications at the phas promoter were mediated by FUS3 and PvALF, suggesting
that they function through different epigenetic mechanisms. The relationship
between PvALF and ABI5, a bZIP transcription factor, in mediating phas expression
was also evaluated. Interestingly, over-expression of ABI5 rendered phas
expression ABA-independent in the presence of PvALF. Changes in phas activity in
different regions within seed embryos were demonstrated using abi5 mutants. Our
results show that (1) redundant factors, such as PvALF and FUS3, employ different
mechanisms to regulate their common target gene (phas); (2) ABI5, and possibly
other redundant bZIP factors, act downstream of ABA in modulating phas expression
in the presence of PvALF.
PMID- 18038117
TI - The scope of emergency paediatric surgery in Tanzania.
AB - This article helps to outline the scope of emergency paediatric operations in
Tanzania by quantifying the nature of cases seen over a 12 month period in a
teaching hospital. Data collected at the Kilimanjaro Christian Medical Centre
(KCMC) showed that operative paediatric surgical emergencies represented 4% of
all paediatric admissions. Of emergency procedures, 47 (43%) were for congenital
abnormalities, 32 (29%) for infections, 19 (17%) for trauma and 12 (11%) for
other reasons. Emergency operative mortality was 34%. The challenges to meet
patient needs in the African setting include: a scarcity of surgeons with
training in paediatric surgery, the variety of conditions which all surgeons must
manage, a lack of equipment (e.g., neonatal ventilators) and educational
resources. Potential avenues for overcoming these challenges are discussed,
including the promotion of paediatric surgery as a profession, by the expansion
of existing facilities, promoting African associations of paediatric surgery and
highlighting the need for resources and continued education and training. We
argue that surgical training in developing countries should include the skills
needed to treat those paediatric conditions most likely to require urgent
surgical intervention.
PMID- 18038118
TI - DNA (cytosine-5)-methyltransferase 1 as a mediator of mutant p53-determined
p16(ink4A) down-regulation.
AB - In cancer, gene silencing via hypermethylation is as common as genetic mutations
in p53. Understanding the relationship between mutant p53 and hypermethylation of
other tumor suppressor genes is essential when elucidate mechanisms of tumor
development. In this study, two isogenic human B lymphoblast cell lines with
different p53 status include TK6 containing wild-type p53 and WTK1 with mutant
p53 were used and contrasted. Lower levels of p16(ink4A) protein were detected in
WTK1 cells than in TK6 cells, which were accompanied by increased DNA (cytosine
5)-methyltransferase 1 (DNMT1) gene expression as well as hypermethylation of the
p16 ( ink4A ) promoter. siRNA experiments to transiently knock down wild-type p53
in TK6 cells resulted in increase of DNMT1 expression as well as decrease of
p16(ink4A) protein. Conversely, siRNA knockdown of mutant p53 in WTK1 cells did
not alter either DNMT1 or p16(ink4A) protein levels. Furthermore, loss of
suppression function of mutant p53 to DNMT1 in WTK1 was caused by the attenuation
of its binding ability to the DNMT1 promoter. In summary, we provide evidences to
elucidate the relationship between mutant p53 and DNMT1. Our results indicate
that mutant p53 loses its ability to suppress DNMT1 expression, and thus enhances
methylation levels of the p16 ( ink4A ) promoter and subsequently down-regulates
p16(ink4A )protein.
PMID- 18038119
TI - [Basal tako-tsubo cardiomyopathy. Induction of a pheochromocytoma after general
anesthesia].
AB - A 71-year-old female patient developed acute myocardial failure immediately after
cataract surgery under general anesthesia. Subsequently performed
laevocardiography demonstrated a basal ballooning of the left ventricle
characteristic of basal tako-tsubo cardiomyopathy. The basal tako-tsubo
cardiomyopathy was induced by a previously asymptomatic pheochromocytoma. The
left ventricular function recovered completely within 4 days without specific
treatment.
PMID- 18038120
TI - [Not a fall--not abuse--not a rare finding?].
AB - A baby was brought into the accident and emergency department with X-ray pictures
and with a plaster cast in place because of a pain reaction in the right arm. The
pictures showed destruction of the epiphyseal region and double periosteal
contours. Alterations of the same kind were found in all long bones; they were
recognized as compatible with florid congenital syphilis, which was confirmed by
reference to the history and the laboratory findings and was successfully treated
with penicillin G in the pediatric department. Both parents were also treated. No
traumatological/orthopedic treatment was given, and the plaster cast was removed.
Following recognition of the signs described a complete cure was possible.
PMID- 18038121
TI - Ignoring the irrelevant: auditory tolerance of audible but innocuous sounds in
the bat-detecting ears of moths.
AB - Noctuid moths listen for the echolocation calls of hunting bats and respond to
these predator cues with evasive flight. The African bollworm moth, Helicoverpa
armigera, feeds at flowers near intensely singing cicadas, Platypleura capensis,
yet does not avoid them. We determined that the moth can hear the cicada by
observing that both of its auditory receptors (A1 and A2 cells) respond to the
cicada's song. The firing response of the A1 cell rapidly adapts to the song and
develops spike periods in less than a second that are in excess of those reported
to elicit avoidance flight to bats in earlier studies. The possibility also
exists that for at least part of the day, sensory input in the form of olfaction
or vision overrides the moth's auditory responses. While auditory tolerance
appears to allow H. armigera to exploit a food resource in close proximity to
acoustic interference, it may render their hearing defence ineffective and make
them vulnerable to predation by bats during the evening when cicadas continue to
sing. Our study describes the first field observation of an eared insect ignoring
audible but innocuous sounds.
PMID- 18038122
TI - [Amyotrophic lateral sclerosis].
AB - Amyotrophic lateral sclerosis (ALS) is a progressive, degenerative disease of the
motor system characterized by signs and symptoms of upper and lower motor
dysfunction. This results in the presence of focal amyotrophies and pareses
affecting voluntary muscles. Patients die after a few years, in most cases by
respiratory failure. ALS is the most frequent motor neuron disease; however, its
etiology and pathogenesis are only known in fragments. Its genetic basis is only
partially understood and major gaps remain in the understanding of its
pathogenesis with the basic principle of selective vulnerability and potentially
resulting therapeutic consequences.
PMID- 18038124
TI - At the frontline of Alzheimer's disease treatment: gamma-secretase
inhibitor/modulator mechanism.
AB - Genetic and biological studies provide evidence that the production and
deposition of amyloid-beta peptides (Abeta) contribute to the etiology of
Alzheimer's disease. beta- and gamma-secretases, which are responsible for the
generation of Abeta, are plausible molecular targets for Alzheimer's disease
treatment. gamma-Secretase is an unusual aspartic protease that cleaves the
scissile bond within the transmembrane domain. This unusual enzyme is composed of
a high molecular weight membrane protein complex containing presenilin,
nicastrin, Aph-1 and Pen-2. Drugs that regulate the production of Abeta by
inhibiting or modulating gamma-secretase activity could provide a disease
modifying effect on Alzheimer's disease, although recent studies suggest that
gamma-secretase plays important roles in cellular signaling including Notch.
Thus, understanding the molecular mechanism whereby gamma-secretase recognizes
and cleaves its substrate is a critical issue for the development of compounds
that specifically regulate Abeta-generating gamma-secretase activity. This review
focuses on the structure and function relationship of gamma-secretase complex and
the mode of action of the gamma-secretase inhibitors.
PMID- 18038127
TI - Auditory-motor and cognitive aspects in area 8B of macaque monkey's frontal
cortex: a premotor ear-eye field (PEEF).
AB - In previous reports, we showed the involvement of area 8B neurons in both
spontaneous ear and eye movement and in auditory information processing. Audition
related cells responded to complex environmental stimuli, but not to pure tones,
and their activity changed during visual fixation as a possible inhibitory
expression of the engagement of attention. We observed auditory, auditory-motor
and motor cells for both eye and ear movements. This finding suggests that area
8B may be involved in the integration of auditory input with ear and eye motor
output. In this paper, we extended these previous studies by examining area 8B
activity in relation to auditive orienting behaviour, as well as the ocular
orientation (i.e., visual fixation) studied previously. Visual fixation led to
inhibition of activity in auditory and auditory-motor cells, which suggests that
attention may be involved in both, maintaining the eye position and reducing the
response of these cell types. Accordingly, during a given task or natural
behaviour, spatial attention seems to affect more than one sensorimotor channel
simultaneously. These data add to our understanding of how the neural network,
through a two-channel attentive process, accomplishes to switch between two
effectors, namely eyes and ears. Considering the functional, anatomical and
cytoarchitectonic differences among the frontal eye field (FEF), the
supplementary eye field (SEF) and area 8B, we propose to consider area 8B as a
separate premotor ear-eye field (PEEF).
PMID- 18038126
TI - Noradrenergic-glucocorticoid mechanisms in emotion-induced amnesia: from
adaptation to disease.
AB - DISCUSSIONS: The interaction of emotion and episodic encoding has costs and
benefits. These costs and benefits have been characterized in oddball
experiments, where a violation of prevailing neutral context through aversive
oddballs is associated with subsequent hypermnesia for the aversive oddball and
peri-emotional amnesia for the neutral context. Both hypermnesia and peri
emotional amnesia are amygdala-dependent and vary as a function of noradrenergic
glucocorticoid input to the amygdala during emotional episodic encoding.
Pharmacological enhancement of this input allows to model the maladaptive effects
of emotion on episodic encoding. Extrapolation of these findings to conditions of
emotional trauma suggests that disinhibited noradrenergic-glucocorticoid
signaling could serve as a crucial etiological contributor to the pathogenesis of
peri-traumatic amnesia (PTA) and post-traumatic stress disorder (PTSD).
CONCLUSIONS: Immediate pharmacological blockade of noradrenergic-glucocorticoid
signaling might prove effective in the secondary prevention of PTA and PTSD.
PMID- 18038128
TI - Dissociation of early evoked cortical activity in perceptual grouping.
AB - Perceptual grouping is a multi-stage process, irreducible to a single mechanism
localized anatomically or chronometrically. To understand how various grouping
mechanisms interact, we combined a phenomenological report paradigm with high
density event-related potential (ERP) measurements, using a 256-channel electrode
array. We varied the relative salience of competing perceptual organizations in
multi-stable dot lattices and asked observers to report perceived groupings. The
ability to discriminate groupings (the grouping sensitivity) was positively
correlated with the amplitude of the earliest ERP peak C1 (about 60 ms after
stimulus onset) over the middle occipital area. This early activity is believed
to reflect spontaneous feed-forward processes preceding perceptual awareness.
Grouping sensitivity was negatively correlated with the amplitude of the next
peak P1 (about 110 ms), which is believed to reflect lateral and feedback
interactions associated with perceptual awareness and attention. This
dissociation between C1 and P1 activity implies that the recruitment of fast,
spontaneous mechanisms for grouping leads to high grouping sensitivity. Observers
who fail to recruit these mechanisms are trying to compensate by using later
mechanisms, which depend less on stimulus properties such as proximity.
PMID- 18038129
TI - A domain peptide of the cardiac ryanodine receptor regulates channel sensitivity
to luminal Ca2+ via cytoplasmic Ca2+ sites.
AB - The clustering of cardiac RyR mutations, linked to sudden cardiac death (SCD),
into several regions in the amino acid sequence underlies the hypothesis that
these mutations interfere with stabilising interactions between different domains
of the RyR2. SCD mutations cause increased channel sensitivity to cytoplasmic and
luminal Ca(2+). A synthetic peptide corresponding to part of the central domain
(DPc10:(2460)G-P(2495)) was designed to destabilise the interaction of the N
terminal and central domains of wild-type RyR2 and mimic the effects of SCD
mutations. With Ca(2+) as the sole regulating ion, DPc10 caused increased channel
activity which could be reversed by removal of the peptide whereas in the
presence of ATP DPc10 caused no activation. In support of the domain destablising
hypothesis, the corresponding peptide (DPc10-mut) containing the CPVT mutation
R2474S did not affect channel activity under any circumstances. DPc10-induced
activation was due to a small increase in RyR2 sensitivity to cytoplasmic Ca(2+)
and a large increase in the magnitude of luminal Ca(2+) activation. The increase
in the luminal Ca(2+) response appeared reliant on the luminal-to-cytoplasmic
Ca(2+) flux in the channel, indicating that luminal Ca(2+) was activating the
RyR2 via its cytoplasmic Ca(2+) sites. DPc10 had no significant effect on the
RyR2 gating associated with luminal Ca(2+) sensing sites. The results were fitted
by the luminal-triggered Ca(2+) feed-through model and the effects of DPc10 were
explained entirely by perturbations in cytoplasmic Ca(2+)-activation mechanism.
PMID- 18038130
TI - Novel peroxidases of Marasmius scorodonius degrade beta-carotene.
AB - Two extracellular enzymes (MsP1 and MsP2) capable of efficient beta-carotene
degradation were purified from culture supernatants of the basidiomycete
Marasmius scorodonius (garlic mushroom). Under native conditions, the enzymes
exhibited molecular masses of approximately 150 and approximately 120 kDa,
respectively. SDS-PAGE and mass spectrometric data suggested a composition of two
identical subunits for both enzymes. Biochemical characterisation of the purified
proteins showed isoelectric points of 3.7 and 3.5, and the presence of heme
groups in the active enzymes. Partial amino acid sequences were derived from N
terminal Edman degradation and from mass spectrometric ab initio sequencing of
internal peptides. cDNAs of 1,604 to 1,923 bp, containing open reading frames
(ORF) of 508 to 513 amino acids, respectively, were cloned from a cDNA library of
M. scorodonius. These data suggest glycosylation degrees of approximately 23% for
MsP1 and 8% for MsP2. Databank homology searches revealed sequence homologies of
MsP1 and MsP2 to unusual peroxidases of the fungi Thanatephorus cucumeris (DyP)
and Termitomyces albuminosus (TAP).
PMID- 18038131
TI - Biologically active components and nutraceuticals in the Monascus-fermented rice:
a review.
AB - Monascus-fermented rice has traditionally been used as a natural food colorant
and food preservative of meat and fish for centuries. It has recently become a
popular dietary supplement because of many of its bioactive constituents being
discovered, including a series of active drug compounds, monacolins, indicated as
the 3-hydroxy-3-methylglutaryl-coenzyme A reductase inhibitors for reducing serum
cholesterol level. The controversy of its safety has been provoked because a
mycotoxin, citrinin, is also produced along with the Monascus secondary
metabolites by certain strains or under certain cultivation conditions. This
review introduces the basic production process and addresses on the compounds
with bioactive functions. Current advances in avoiding the harmful ingredient
citrinin are also discussed.
PMID- 18038132
TI - Labeling peptides with PET radiometals: Vulcan's forge.
PMID- 18038133
TI - (68)Ga-DOTAVAP-P1 PET imaging capable of demonstrating the phase of inflammation
in healing bones and the progress of infection in osteomyelitic bones.
AB - PURPOSE: Differentiation between bacterial infection and nonbacterial
inflammation remains a diagnostic challenge. Vascular adhesion protein 1 (VAP-1)
is a human endothelial protein whose cell surface expression is induced under
inflammatory conditions, thus making it a highly promising target molecule for
studying inflammatory processes in vivo. We hypothesized that positron emission
tomography (PET) with gallium-68-labeled 1,4,7,10-tetraazacyclododecane
N',N'',N''',N''''-tetraacetic acid-peptide targeted to VAP-1 ((68)Ga-DOTAVAP-P1)
could be feasible for imaging the early inflammatory and infectious processes in
healing bones. MATERIALS AND METHODS: Thirty-four Sprague-Dawley rats with
diffuse Staphylococcus aureus tibial osteomyelitis and 34 rats with healing
cortical bone defects (representing the inflammation stage of healing) were PET
imaged using (68)Ga-DOTAVAP-P1 as a tracer. In addition, peripheral quantitative
computed tomography and conventional radiography were performed. Bone samples for
quantitative bacteriology and specimens were also processed for histomorphometry
of inflammatory and infectious reactions. RESULTS: PET imaging showed an uptake
of (68)Ga-DOTAVAP-P1 in both the osteomyelitic bones and the healing cortical
bone defects during the first 36 h after surgery. Thereafter, only the
osteomyelitic tibias were delineated by PET. The osteomyelitic and control
animals showed a similar uptake of the (68)Ga-DOTAVAP-P1 at 24 h, whereas a
significant difference was observed at 7 days (p < 0.0001). CONCLUSIONS: The
current study showed that PET imaging with the new (68)Ga-DOTAVAP-P1 is capable
of accurately demonstrating the phase of inflammation in healing bones and the
progress of bacterial infection in osteomyelitic bones. Consequently, this novel
imaging agent allowed for the differentiation of bone infection due to S. aureus
and normal bone healing as soon as 7 days after onset.
PMID- 18038134
TI - The role of polymerase chain reaction in the management of osteoarticular
tuberculosis.
AB - A dependable method for the rapid diagnosis of osteoarticular tuberculosis has
become increasingly important, as routine methods are neither very sensitive nor
very specific. The objective of this study is to verify the reliability of
polymerase chain reaction (PCR) in the diagnosis and management of osteoarticular
tuberculosis. This investigation was a prospective study conducted at the
Kasturba Medical College, Manipal, India. Tissue samples of 74 patients suspected
of osteoarticular tuberculosis were sent for PCR and histopathologic examination.
Taking histopathology as the gold standard, PCR has a sensitivity of 73.07% and a
specificity of 93.75% (with 95% confidence interval [CI] 62.97; 83.17).The
positive agreement between histology and PCR was 0.693, indicating good
agreement. PCR showed a sensitivity of 90% with spinal samples. It has a low
false positivity of 13.63%. We conclude that conventional methods are neither
sensitive nor specific enough and are also time consuming. PCR is an effective
method for diagnosing tuberculosis and antitubercular treatment can be started if
PCR is positive, since false-positive rates are very low.
PMID- 18038135
TI - Biological approach to treatment of intra-articular proximal tibial fractures
with double osteosynthesis.
AB - The treatment of intra-articular proximal tibial fractures is associated with
complications, and much conflicting literature exists concerning the treatment of
choice. In our study, an attempt has been made to develop an ideal and adequate
treatment protocol for these intra-articular fractures. The principle of double
osteosynthesis, i.e., lateral minimally invasive plate osteosynthesis (MIPO), was
combined with a medial external fixator to treat 22 intra-articular proximal
tibial fractures with soft tissue injury with a mean follow-up of 25 months.
Superficial pin track infection was observed in one case, and no soft tissue
breakdown was noted. Loss of articular reconstruction was reported in one case.
Bridging callus was seen at 12 weeks (8 weeks-7 months). The principle of
substitution or double osteosynthesis, i.e., lateral MIPO, was combined with a
medial external fixator and proved to be a fairly good method of fixation in
terms of results and complications.
PMID- 18038136
TI - Henoch-Schonlein purpura in a patient with human immunodeficiency virus
infection.
AB - We encountered an adult patient with Henoch-Schonlein purpura. He had been
infected with human immunodeficiency virus (HIV) and antiretroviral therapy
improved his nephritis, indicating that HIV infection might have contributed to
the development of Henoch-Schonlein purpura in our case.
PMID- 18038125
TI - Novel pharmacological approaches to the treatment of renal ischemia-reperfusion
injury: a comprehensive review.
AB - Renal ischemia-reperfusion (I-R) contributes to the development of ischemic acute
renal failure (ARF). Multi-factorial processes are involved in the development
and progression of renal I-R injury with the generation of reactive oxygen
species, nitric oxide and peroxynitrite, and the decline of antioxidant
protection playing major roles, leading to dysfunction, injury, and death of the
cells of the kidney. Renal inflammation, involving cytokine/adhesion molecule
cascades with recruitment, activation, and diapedesis of circulating leukocytes
is also implicated. Clinically, renal I-R occurs in a variety of medical and
surgical settings and is responsible for the development of acute tubular
necrosis (a characteristic feature of ischemic ARF), e.g., in renal
transplantation where I-R of the kidney directly influences graft and patient
survival. The cellular mechanisms involved in the development of renal I-R injury
have been targeted by several pharmacological interventions. However, although
showing promise in experimental models of renal I-R injury and ischemic ARF, they
have not proved successful in the clinical setting (e.g., atrial natriuretic
peptide, low-dose dopamine). This review highlights recent pharmacological
developments, which have shown particular promise against experimental renal I-R
injury and ischemic ARF, including novel antioxidants and antioxidant enzyme
mimetics, nitric oxide and nitric oxide synthase inhibitors, erythropoietin,
peroxisome-proliferator-activated receptor agonists, inhibitors of poly(ADP
ribose) polymerase, carbon monoxide-releasing molecules, statins, and adenosine.
Novel approaches such as recent research involving combination therapies and the
potential of non-pharmacological strategies are also considered.
PMID- 18038137
TI - Celiac disease in a rheumatology unit: a case study.
AB - The objective of the study is to present a series of 20 patients who have been
attending a rheumatology unit and were diagnosed with celiac disease in adult
life. The record-charts of 20 Italian not consanguineous patients affected by
celiac disease (1 man and 19 women, mean age of 46.7), diagnosed at >16 years of
age, followed by a rheumatology unit were reviewed (group 1). Any other
autoimmune disease diagnosed in the patients were given was recorded; moreover,
the reason for rheumatologist evaluation was registered as well as the presence
of symptoms suggestive of celiac disease and the obstetric history. The clinical
features were compared with those of a group of 40 celiac patients (8 men and 32
women, mean age of 43.1) followed by a medicine department (group 2); even in
these cases the diagnosis of celiac disease was performed in adult life. Sixteen
out of 20 patients in Group 1 were diagnosed as suffering from celiac disease by
the rheumatologist. Seventeen concomitant autoimmune disorders among which nine
were connective tissue diseases were found in 15 patients. The main reason for
rheumatologist evaluation was arthromyalgias. Ten patients showed one or more
clinical features suggestive of celiac disease; moreover, eight patients had a
history of sideropenic anemia. After the adoption of a gluten-free diet there
were three pregnancies that all ended with alive newborns, differently from the
obstetric history before celiac disease diagnosis, characterized by a relevant
number of miscarriages and foetus deaths. In Group 2, a total of ten autoimmune
diseases concomitant with celiac disease were found in eight patients; autoimmune
thyroid disorders represented the most frequent cases. No connective tissue
diseases were recognized. Celiac disease may coexist with connective tissue
diseases; the recognition of this association is difficult because celiac disease
may present with atypical or even symptomless forms or in some cases may resemble
a multisystem disorder or may mimic a rheumatologic condition; on the other hand,
the variety of symptoms of rheumatic disorders may make difficult the diagnosis
of celiac disease in association with a systemic autoimmune disease. These
confounding factors often lead to a delay in performing the right diagnostic
formulation.
PMID- 18038138
TI - Primary hypertrophic osteoarthropathy with myelofibrosis.
AB - Primary hypertrophic osteoarthropathy or pachydermoperiostosis is a rare
congenital disease characterized by clubbing of the fingers, periostitis of the
distal long bones, and hypertrophic skin changes (pachydermia) including thick
folds in the skin of the face, forehead, scalp and extremities, and also joint
pain. Clinical manifestations of this disease generally appear among the young
and middle-aged. In this article we report a case of a 43-year-old man with
pachydermoperiostosis. His skin and joint manifestations were prominent. He had
also anemia, and bone marrow biopsy showed myelofibrosis.
PMID- 18038139
TI - [Measurement of the central corneal power after myopic LASIK].
AB - PURPOSE: Comparison of the central corneal refractive power before and after
myopic LASIK using the Keratograph and the Pentacam. The Scheimpflug technique
(Pentacam) enables the measurement of the corneal refractive power by examining
the anterior and posterior corneal curvature. METHOD: The corneal refractive
power of 59 eyes was examined before, 3 months and 6 months after myopic LASIK.
The refractive power was measured at the corneal apex and at a distance of 2 and
4 mm. Statistical analysis was performed using the Wilcoxon signed rank test; a p
value of 0.05 or less was considered statistically significant. RESULTS: At the
corneal apex and at a distance of 2 mm the findings with the Keratograph showed a
higher refractive power of up to 1.05 D. The differences were statistically
significant at all times. At a distance of 4 mm from the corneal apex
postoperatively there was no statistically significant difference. CONCLUSION:
The results using the Pentacam system showed a lower corneal refractive power
following myopic LASIK at all times. Its measuring principle compared to that of
the Keratograph should be preferred when detecting changes of the refractive
power of the central cornea after corneal refractive procedures.
PMID- 18038140
TI - Role of arylalkylamine N-acetyltransferase in regulation of biogenic amines
levels by gonadotropins in Drosophila.
AB - The effect of 20-hydroxyecdysone (20E) and the juvenile hormone (JH) on the
activity of the arylalkylamine N-acetyltransferase (AANAT) was studied in young
females of wild-type D. virilis and D. melanogaster. 20E feeding of the flies led
to a decrease in AANAT activity in both species when dopamine (DA) was used as
substrate, but did not affect the enzyme activity when octopamine (OA) was used
as substrate. JH application increased AANAT activity with DA as substrate in
both species, but did not change it with OA as substrate. AANAT activity was also
measured in young females of a JH-deficient strain of D. melanogaster, apterous (
56f ). A decrease in the enzyme activity was observed in the mutant females as
compared to wild-type. Mechanisms of regulation of DA level by gonadotropins in
Drosophila are discussed.
PMID- 18038141
TI - Acromioclavicular dislocation Rockwood III-V: results of early versus delayed
surgical treatment.
AB - INTRODUCTION: The management of acute acromioclavicular joint (ACJ-) injuries
especially of type III is still controversial. METHODS: In this retrospective
study the results of early ACJ reconstructions immediately after trauma (group
early repair, ER) were compared with the results of delayed reconstructions in
patients who first got conservative treatment and failed after some time (group
delayed repair, DR). Overall, 49 patients were analysed clinically and
radiographically with a mean follow-up of 53 months (range 20-92). In group ER
twenty-nine patients were treated with a modified Phemister technique according
to Mayr including a PDS coracoclavicular sling and temporary K- wire fixation. In
group DR twenty patients were treated with a modified Weaver-Dunn-procedure with
additionally transposition of the coracoacromial ligament and AC-joint resection.
RESULTS: A comparison of the overall results revealed a statistically significant
better outcome in the early repair group, regarding the Constant Score, the
degree of acromioclavicularjoint-reduction, numbers of complications and
patient;s satisfaction. CONCLUSION: Our results point out that early
reconstruction of ACJ-injuries in type III-V avoids the inferior clinical results
of delayed reconstructions using a modified Weaver-Dunn-procedure.
PMID- 18038142
TI - Comparison of periprosthetic bone remodelling after implantation of anatomic and
straight stem prostheses in total hip arthroplasty.
AB - INTRODUCTION: Total hip arthroplasty changes bone loading conditions in the
proximal femur and induces adaptive remodelling of the periprosthetic bone. These
remodelling processes depend on many implant-specific qualities, e.g. material
and elasticity of the stem. The objective of this study was to investigate the
effect of the stem design on periprosthetic bone remodelling after insertion of
an anatomic stem with proximal fixation and the direct comparison to a straight
stem prosthesis. MATERIALS AND METHODS: In a prospective study, the changes in
periprosthetic bone mineral density (BMD) after implantation of 68 CTX-S anatomic
and 22 PPF straight stem prostheses were assessed in the first post-operative
year by means of DEXA and zone analysis by Gruen (Clin Orthop 141:17-27, 1979)
"Modes of failure" of cemented stem-type femoral components: a radiographic
analysis of loosening. Furthermore all patients with CTX-S prostheses were
monitored in the second post-operative year. The correlation of adaptive bone
remodelling and the systemic bone density was also investigated. RESULTS: In the
distal one-third of the straight stem prosthesis, a clearly greater, although not
significant, hypertrophy of the periprosthetic bone was observed. No differences
in the extent of bone loss between the two prostheses in the regions of interest
(ROI) of the proximal bone were observed. The greatest decrease in BMD was
registered in the medial femoral neck in both groups. Bone atrophy decreased
progressively as the ROI moved distally, ending in a slight increase in BMD in
the distal ROI. No significant changes in periprosthetic BMD occurred in the
second post-operative year. A strong positive correlation in the regions with the
greatest BMD decrease with the systemic BMD was ascertained. CONCLUSION: After
implanting a CTX-S prosthesis, as opposed to PPF prostheses, a different pattern
of periprosthetic bone remodelling with a slighter hypertrophy of the distal
periprosthetic parts was observed. This implies that the extensive proximal, more
physiological bone loading of the anatomic stem as well as the removal of less
bone while implanting the stem reduces the negative effects of unphysiological
strain distribution and stress shielding. The BMD loss in the medial proximal
neck cannot be avoided with this stem design either. The lack of significant BMD
changes in the second post-operative year suggests that a stabilisation of bone
remodelling processes occurs.
PMID- 18038143
TI - Improved tolerability of open carpal tunnel release under local anaesthetic: a
patient satisfaction survey.
AB - Carpal tunnel decompression is the most commonly performed procedure in hand
surgery. This study was done to assess the effectiveness and acceptability by
patients of open carpal tunnel release under local anaesthetic. We compare our
results with both the literature and those of our previous study, after which
were made alterations to improve the surgical and anaesthetic technique. These
alterations included (a) injecting local anaesthetic with a dental syringe and a
27G needle in two stages along the proposed line of the incision and (b) omitting
the use of a tourniquet, relying on the local anaesthetic with adrenaline to
maintain a bloodless field during the procedure.
PMID- 18038144
TI - A schwannoma in the nasal septum.
AB - Schwannomas of the sinonasal tract are very rare neoplasms. We present the case
of a schwannoma developing in the nasal septum. The patient was a 51-year-old
woman with the complaint of unilateral nasal obstruction. We completely removed
this tumor by an endoscopic approach. We discuss the clinical presentation,
differential diagnosis, and treatment of this rarely encountered neoplasm.
PMID- 18038145
TI - Neural model of disinhibitory interactions in the modified Poggendorff illusion.
AB - Visual illusions can be strengthened or weakened with the addition of extra
visual elements. For example, in the Poggendorff illusion, with an additional bar
added, the illusory skew in the perceived angle can be enlarged or reduced. In
this paper, we show that a nontrivial interaction between lateral inhibitory
processes in the early visual system (i.e., disinhibition) can explain such an
enhancement or degradation of the illusory effect. The computational model we
derived successfully predicted the perceived angle in the Poggendorff illusion
task that was modified to include an extra thick bar. The concept of
disinhibition employed in the model is general enough that we expect it can be
further extended to account for other classes of geometric illusions.
PMID- 18038147
TI - Anomalous left coronary artery from pulmonary artery (ALCAPA) in infants: a 5
year review in a defined birth cohort.
AB - Anomalous left coronary artery from pulmonary artery (ALCAPA) is a rare
congenital cardiac malformation. It presents predominantly in infancy with
features of myocardial ischaemia or cardiac failure and may be mistaken for
common paediatric conditions such as colic, reflux or bronchiolitis. With early
surgical correction the prognosis is good, but awareness of this condition is
essential for prompt diagnosis and referral to a tertiary cardiac centre. In this
report we review the five cases that presented during our 5-year study period and
discuss the incidence and clinical presentation of ALCAPA among infants. Our
observed incidence of 1 in 4243 live births--0.023%--is higher than previously
reported. ALCAPA may be more common than previously recognised, and there should
be a high index of awareness among paediatricians, paediatric trainees and
general practitioners to enable early surgical intervention and improved
prognosis for these children.
PMID- 18038146
TI - Mucopolysaccharidosis type II (Hunter syndrome): a clinical review and
recommendations for treatment in the era of enzyme replacement therapy.
AB - Mucopolysaccharidosis type II (MPS II; Hunter syndrome) is a rare X-linked
recessive disease caused by deficiency of the lysosomal enzyme iduronate-2
sulphatase, leading to progressive accumulation of glycosaminoglycans in nearly
all cell types, tissues and organs. Clinical manifestations include severe airway
obstruction, skeletal deformities, cardiomyopathy and, in most patients,
neurological decline. Death usually occurs in the second decade of life, although
some patients with less severe disease have survived into their fifth or sixth
decade. Until recently, there has been no effective therapy for MPS II, and care
has been palliative. Enzyme replacement therapy (ERT) with recombinant human
iduronate-2-sulphatase (idursulfase), however, has now been introduced. Weekly
intravenous infusions of idursulfase have been shown to improve many of the signs
and symptoms and overall wellbeing in patients with MPS II. This paper provides
an overview of the clinical manifestations, diagnosis and symptomatic management
of patients with MPS II and provides recommendations for the use of ERT. The
issue of treating very young patients and those with CNS involvement is also
discussed. ERT with idursulfase has the potential to benefit many patients with
MPS II, especially if started early in the course of the disease.
PMID- 18038148
TI - Bartter syndrome and cholelithiasis in an infant: is this a mere coincidence?
PMID- 18038149
TI - Molecular and cytogenetic evidence of three sibling species of the Anopheles
barbirostris Form A (Diptera:Culicidae) in Thailand.
AB - Nine isoline colonies of Anopheles barbirostris Form A, derived from individual
isofemale lines from Chiang Mai, Phetchaburi, and Kanchanaburi, were established
in our insectary at Chiang Mai University. All isolines shared the same mitotic
karyotype (X(1), X(2), Y(1)). Molecular analysis of deoxyribonucleic acid (DNA)
sequences and polymerase chain reaction (PCR) products of ITS2, COI, and COII
regions revealed three distinct groups: A1 (Chiang Mai), A2 (Phetchaburi), and A3
(Kanchanaburi). Crossing experiments among the three groups exhibited strong
reproductive isolation, producing low and/or non-hatched eggs, and inviable
and/or abnormal development of the reproductive system of F(1)-progenies.
Asynaptic regions along the five polytene chromosome arms of F(1)-hybrid larvae
clearly supported the existence of three sibling species within A. barbirostris
Form A, provisionally named species A1, A2, and A3.
PMID- 18038151
TI - The profile of IgG-antibody response against merozoite surface proteins 1 and 2
in severe Plasmodium falciparum malaria in Eastern Sudan.
AB - In this study, antibodies (Ab) directed against three MSP antigens; MSP1(19),
MSP2(A), and MSP2(B) were analyzed in blood samples obtained from 223 Sudanese
patients who presented with either severe malaria (SM) or uncomplicated malaria
(UM) and from 117 malaria-free donors (MF). The results showed that the
prevalence of MSP Abs was associated with the clinical outcome of malaria
infection, and the Ab prevalence was age-dependent (P<0.0005). More importantly,
the prevalence of MSP Abs against the test antigens was lower in SM compared to
UM (P=0.001 to 0.020), suggesting a protective role for these Abs against SM.
Furthermore, the Ab responses between individual complications of SM were
significantly different.
PMID- 18038150
TI - Diagnosis of Plasmodium falciparum malaria in pregnancy in sub-Saharan Africa:
the challenges and public health implications.
AB - Plasmodium falciparum malaria in pregnancy is a major public health problem in
sub-Saharan Africa and represents enormous diagnostic challenge. The objective of
this report was to review scientific data from studies conducted in sub-Saharan
Africa on the diagnosis of malaria in pregnancy within the last two decades (1987
to 2007), to highlight the challenges and the public health implications. Using
the Medline Entrez-Pubmed search, relevant publications were identified for the
review via combinations of key words such as Malaria, Pregnancy, and Sub-Saharan
Africa, which yielded 777 entries as of September 2007. The results from the
various studies were discordant, due to differences in the techniques of sample
collection and analysis, based on the differences in the definition of clinical,
peripheral, and placental malaria. Definitions were based on the presence of
malaria parasite and/or pigments in blood smear from peripheral/placental blood,
on histological placental findings, on the use of rapid diagnostic tests (RDTs)
and polymerase chain reaction (PCR). Nonspecific clinical presentation of
malaria, lack of efficient diagnostic facilities and trained personnel, and
absence of regulatory standards for diagnostic tests, are some of the major
diagnostic challenges. Increased allocation of resources to development and
application of accurate diagnostics will improve malaria diagnosis in pregnancy.
PMID- 18038152
TI - Promoter methylation study of the H37/RBM5 tumor suppressor gene from the 3p21.3
human lung cancer tumor suppressor locus.
AB - Loss of heterozygosity (LOH) at chromosome 3p21.3 is one of the most prevalent
genetic disturbances occurring at the earliest stage of tumor development for a
wide variety of human cancers, culminated in lung cancer. The 19 genes residing
at 3p21.3 have been vigorously characterized for tumor suppressor activity and
gene inactivation mechanism because of their potentially significant merits of
clinical applications. Many of these 19 genes have been shown to manifest various
growth inhibitory properties, however none of them are inactivated by coding
mutations in their remaining allele as in the Knudson's two- hits hypothesis.
Thus far the most prevailing, alternative gene inactivation mechanism known for
the 3p21.3 TSGs is epigenetic silencing by promoter hypermethylation. Previously,
we have focused our investigation on one of the 19 genes at 3p21.3, H37/RBM5, and
demonstrated its tumor suppressor activity both in vitro and in vivo as well as
its mRNA/protein expression loss from the remaining allele in a majority of the
primary lung tumors examined. The current study tested our hypothesis that the
H37 inactivation in primary lung tumors may, as seen in most of the other 3p21.3
TSGs, be due to hypermethylation in its promoter CpG islands. Contrary to this
most plausible postulation, however, we found no evidence of epigenetic gene
silencing for the H37 TSG. Here we suggest some of the possible, further-
alternative means of the H37 gene expression loss in tumor, including defects in
transcription and post-transcriptional/translational modifications as well as
mechanisms related to haploinsufficiency.
PMID- 18038154
TI - Density-related variation in vertical transmission of a virus in the African
armyworm.
AB - Larvae of the African armyworm, Spodoptera exempta, are darker and more resistant
to baculovirus infection when reared in groups (gregarious form) compared to
being reared singly (solitary form). Lepidoptera that survive virus challenge as
larvae could potentially retain a sublethal virus infection which is then
transmitted vertically to the next generation. Here we examine whether gregarious
and solitary forms of the armyworm differ in the costs of surviving virus
infection and in their capacity to transmit an active baculovirus infection to
their offspring. Pupae of larvae reared gregariously that survived virus
challenge weighed significantly less than uninfected individuals, but this was
not so for those reared solitarily. This did not, however, translate into
differences in fecundity, at least under laboratory conditions. As found in
previous studies, pre-oviposition period was shorter for solitary than gregarious
insects, and it was also shorter for females that had been challenged with virus
as larvae. Both the prevalence of egg batches containing larvae that died from
nucleopolyhedrovirus (NPV) infection and the proportion of infected larvae within
each egg batch were significantly increased (approximately doubled) when parental
moths were previously challenged with the virus during their larval state. This
demonstrates that horizontal transmission in one generation can elevate vertical
transmission to the next generation. Moreover, prevalence of overt infection in
the offspring generation was two to three times greater when parental moths were
reared solitarily as larvae than when reared gregariously. Disease prevalence and
proportional infection were both independent of the sex of the infected parent
and whether or not the egg batch was surface-sterilized to remove potential
contaminants. This suggests that the eggs are infected internally (transovarial)
rather than externally (transovum). These results help to shed light on the
observed temporal pattern of virus epizootics in eastern Africa.
PMID- 18038153
TI - Mendelian randomization: can genetic epidemiology help redress the failures of
observational epidemiology?
AB - Establishing causal relationships between environmental exposures and common
diseases is beset with problems of unresolved confounding, reverse causation and
selection bias that may result in spurious inferences. Mendelian randomization,
in which a functional genetic variant acts as a proxy for an environmental
exposure, provides a means of overcoming these problems as the inheritance of
genetic variants is independent of-that is randomized with respect to-the
inheritance of other traits, according to Mendel's law of independent assortment.
Examples drawn from exposures and outcomes as diverse as milk and osteoporosis,
alcohol and coronary heart disease, sheep dip and farm workers' compensation
neurosis, folate and neural tube defects are used to illustrate the applications
of Mendelian randomization approaches in assessing potential environmental causes
of disease. As with all genetic epidemiology studies there are problems
associated with the need for large sample sizes, the non-replication of findings,
and the lack of relevant functional genetic variants. In addition to these
problems, Mendelian randomization findings may be confounded by other genetic
variants in linkage disequilibrium with the variant under study, or by population
stratification. Furthermore, pleiotropy of effect of a genetic variant may result
in null associations, as may canalisation of genetic effects. If correctly
conducted and carefully interpreted, Mendelian randomization studies can provide
useful evidence to support or reject causal hypotheses linking environmental
exposures to common diseases.
PMID- 18038155
TI - Soil nutrients affect spatial patterns of aboveground biomass and emergent tree
density in southwestern Borneo.
AB - Studies on the relationship between soil fertility and aboveground biomass in
lowland tropical forests have yielded conflicting results, reporting positive,
negative and no effect of soil nutrients on aboveground biomass. Here, we
quantify the impact of soil variation on the stand structure of mature Bornean
forest throughout a lowland watershed (8-196 m a.s.l.) with uniform climate and
heterogeneous soils. Categorical and bivariate methods were used to quantify the
effects of (1) parent material differing in nutrient content (alluvium >
sedimentary > granite) and (2) 27 soil parameters on tree density, size
distribution, basal area and aboveground biomass. Trees > or =10 cm (diameter at
breast height, dbh) were enumerated in 30 (0.16 ha) plots (sample area = 4.8 ha).
Six soil samples (0-20 cm) per plot were analyzed for physiochemical properties.
Aboveground biomass was estimated using allometric equations. Across all plots,
stem density averaged 521 +/- 13 stems ha(-1), basal area 39.6 +/- 1.4 m(2) ha(
1) and aboveground biomass 518 +/- 28 Mg ha(-1) (mean +/- SE). Adjusted forest
wide aboveground biomass to account for apparent overestimation of large tree
density (based on 69 0.3-ha transects; sample area = 20.7 ha) was 430 +/- 25 Mg
ha(-1). Stand structure did not vary significantly among substrates, but it did
show a clear trend toward larger stature on nutrient-rich alluvium, with a higher
density and larger maximum size of emergent trees. Across all plots, surface soil
phosphorus (P), potassium, magnesium and percentage sand content were
significantly related to stem density and/or aboveground biomass (R (Pearson) =
0.368-0.416). In multiple linear regression, extractable P and percentage sand
combined explained 31% of the aboveground biomass variance. Regression analyses
on size classes showed that the abundance of emergent trees >120 cm dbh was
positively related to soil P and exchangeable bases, whereas trees 60-90 cm dbh
were negatively related to these factors. Soil fertility thus had a significant
effect on both total aboveground biomass and its distribution among size classes.
PMID- 18038156
TI - Steroid-responsive nephrotic syndrome in a child with juvenile idiopathic
arthritis.
AB - Renal disease is rare in children with juvenile idiopathic arthritis, although a
number of associated nephropathies have been described, including mesangial
glomerulonephritis. We report the presence of mesangial glomerulonephritis,
revealed by a nephrotic syndrome, in a paediatric patient with juvenile
idiopathic arthritis. Short-term steroid treatment induced a rapid remission of
the nephrotic syndrome, but the presence of anti-nuclear antibodies, 1:320 in a
homogeneous pattern, irregular deposits of C1q in a renal biopsy, and a mother
with episodes of cutaneous lupus suggested an uncertain renal evolution for this
infant.
PMID- 18038157
TI - Ischemic colitis as a complication in a patient with steroid-dependent nephrotic
syndrome.
AB - We report the case of a 16-year-old male patient with steroid-dependent nephrotic
syndrome who developed ischemic colitis. He was diagnosed as having nephrotic
syndrome at 10 years of age and had been administered steroid, cyclosporine A,
and mizoribine for 7 years. He presented with severe abdominal pain 5 days after
intravenous methylprednisolone pulse therapy; thereafter, massive bloody diarrhea
developed. Abdominal ultrasonography and computed tomography revealed a marked
thickening of the wall of the transverse colon. Colonoscopy confirmed the
diagnosis of ischemic colitis. This is the first report of the development of
ischemic colitis in a pediatric patient with nephrotic syndrome.
PMID- 18038158
TI - Use of mizoribine as a rescue drug for steroid-resistant pediatric IgA
nephropathy.
AB - Recent clinical trials have shown a beneficial effect of mizoribine (Miz), an
immunosuppressive drug, in the treatment of new-onset pediatric IgA nephropathy
(IgAN). In this study, we evaluated the efficacy of Miz treatment in three
children with established steroid-resistant IgAN. The patients had IgAN featuring
persistent proteinuria and diffuse mesangial proliferation and had failed to
respond to 2 years of treatment with prednisolone. Based upon the second biopsy
results, patients were given methylprednisolone (mPSL) pulse therapy that induced
a transient reduction in proteinuria, which was reversed when the mPSL dose was
tapered. Miz therapy was then instigated in place of pulse mPSL. All three
patients showed a substantial reduction in proteinuria and resolution of
hematuria within 5 months. A follow-up biopsy in two of the patients showed a
substantial reduction in the severity of glomerular lesions and a decrease in the
number of activated macrophages. In conclusion, Miz therapy was found to be a
safe and effective therapy in three cases of steroid-resistant pediatric IgAN.
The ability of Miz to reduce the number of activated macrophages may be an
important mechanism by which this drug ameliorated renal disease in these
patients.
PMID- 18038159
TI - Reliability of different expert systems for profiling proteinuria in children
with kidney diseases.
AB - This study was designed to compare three urinary protein expert systems for
profiling proteinuria in children with kidney diseases. Freshly voided urine
specimens were collected from 61 children with glomerular diseases, 19 children
with tubular diseases and 25 healthy children aged 3-16 years. The urinary
protein expert systems were: (1) albumin/total protein ratio (APR), (2) alpha-1
microglobulin/alpha-1-microglobulin + albumin algorithm (AAA), and (3) the
complex urine protein expert system (UPES, PROTIS) algorithm. APR correctly
identified glomerular proteinuria in 47/61 children, tubular proteinuria in 16/19
children and normal proteinuria in 23/25 healthy children. AAA correctly
identified glomerular proteinuria in 61/61 children and tubular proteinuria in
18/19 children, and 25/25 healthy children were characterized as having no
abnormal proteinuria. AAA was not influenced by the stage of chronic kidney
disease. UPES differentiated the type of proteinuria in children with glomerular
diseases into glomerular (50/61 patients) and mixed glomerulo-tubular (6/61
patients). Tubular proteinuria was identified in 16/19 patients and described as
mixed glomerulo-tubular proteinuria in 3/19 patients. Mixed glomerulo-tubular
proteinuria was found only in children with chronic kidney disease stages 2-5 of
glomerular and tubular diseases. In conclusion, the AAA and UPES had the highest
accuracy levels.
PMID- 18038160
TI - Limitation of activities of daily living accompanying reduced neck mobility after
laminoplasty preserving or reattaching the semispinalis cervicis into axis.
AB - Although difficulties with neck mobility often interfere with patients'
activities of daily living (ADL) after cervical laminoplasty, there was no
detailed study on the relation between the limitations of ADL accompanying
postoperative reduced neck mobility and the cervical posterior approach. The aim
of this study was to compare retrospectively the frequency of limitations of ADL
accompanying neck mobility after laminoplasty preserving the semispinalis
cervicis inserted into the C2 spinous process with that after laminoplasty
reattaching the muscle to C2. Forty-nine patients after C4-C7 laminoplasty with
C3 laminectomy preserving the semispinalis cervicis inserted into C2 (Group A)
and 24 patients after C3-C7 laminoplasty reattaching the muscle (Group B) were
evaluated. The frequency of postoperative limitations of ADL accompanying each of
three neck movements of extension, flexion and rotation were investigated. The
postoperative O-C7 angles at extension and flexion was measured on lateral
extension and flexion radiographs of the cervical spine, respectively. The
postoperative cervical range of motion in rotation was measured in the cranial
view using a digital camera. Frequency of limitations of ADL accompanying
extension was lower (P = 0.037) in Group A (2%) than in Group B (17%). Frequency
of limitations of ADL accompanying flexion was similar in Group A (8%) and Group
B (4%). Frequency of limitations of ADL accompanying rotation was lower (P =
0.031) in Group A (12%) than in Group B (33%). Average O-C7 angle at extension
was significantly larger (P = 0.002) in Group A (147 degrees ) than in Group B
(136 degrees ). Average O-C7 angle at flexion was similar in Group A (93 degrees
) and Group B (91 degrees ). Average range of motion in rotation was
significantly larger (P = 0.004) in Group A (110 degrees ) than in Group B (91
degrees ). This retrospective study suggested that the frequency of limitations
of ADL accompanying neck extension or rotation was lower after laminoplasty
preserving the semispinalis cervicis inserted into C2 than after laminoplasty
reattaching the muscle.
PMID- 18038162
TI - Effect of steric molecular field settings on CoMFA predictivity.
AB - Steric molecular field can be represented in a number of ways in comparative
molecular field analysis (CoMFA). This study aimed to investigate whether the
choice of steric molecular field settings significantly influences the predictive
performance of CoMFA and, if so, which is the best. The three-dimensional
quantitative structure activity relationship (3D-QSAR) models based on Lennard
Jones, indicator, parabolic and Gaussian steric fields were compared using 28
datasets taken from the literature. The analysis of the predictive ability of
these models (cross validated R(2)) indicates that steric fields in which the
value drops off quickly with distance (i.e. Lennard-Jones and indicator fields)
tend to perform better than the Gaussian version, which has a slower and smoother
decrease. Furthermore, depending on the steric field type used, the field
sampling density (i.e. grid spacing) has a variable influence on the predictive
ability of the models generated.
PMID- 18038163
TI - Pattern recognition based on color-coded quantum mechanical surfaces for
molecular alignment.
AB - A pattern recognition algorithm for the alignment of drug-like molecules has been
implemented. The method is based on the calculation of quantum mechanical derived
local properties defined on a molecular surface. This approach has been shown to
be very useful in attempting to derive generalized, non-atom based
representations of molecular structure. The visualization of these surfaces is
described together with details of the methodology developed for their use in
molecular overlay and similarity calculations. In addition, this paper also
introduces an additional local property, the local curvature (C (L)), which can
be used together with the quantum mechanical properties to describe the local
shape. The method is exemplified using some problems representing common tasks
encountered in molecular similarity.
PMID- 18038161
TI - Risk factors for back pain-related loss of working time after surgery for lumbar
disc herniation: a 5-year follow-up study.
AB - The aim of this study is to explore the occurrence and the risk factors of back
related loss of working time in patients undergoing surgery for lumbar disc
herniation. One hundred and fifty-two gainfully employed patients underwent
surgery for lumbar disc herniation. Two months postoperatively, those patients
completed a self-report questionnaire including queries on back and leg pain
(VAS), functional capacity (Oswestry disability index--ODI, version 1.0), and
motivation to work. After 5 years, lost working time was evaluated by means of a
postal questionnaire about sick leave and disability pensions. The cumulative
number of back pain-related days-off work was calculated for each patient. All
152 patients, 86 men and 66 women, were prescribed sick leave for the first 2
months. Thereafter, 80 (53%) of them reported back pain-related sick leave or
early retirement. A permanent work disability pension due to back problems was
awarded to 15 (10%) patients, 5 men (6%) and 10 women (15%). Median number of all
work disability days per year was 11 (interquartile range [IQR] 9-37); it was 9
days (IQR 9-22) in patients with minimal disability (ODI score 0-20) at 2 months
postoperatively and 67 days (IQR 9-352) in those with moderate or severe
disability (ODI > 20; P < 0.001). The respective means were 61, 29, and 140
days/year. Multivariate analysis showed ODI > 20, leg pain, and poor motivation
to work to be the risk factors for extension of work disability. Results of the
present study show that after the lumbar disc surgery, poor outcome in
questionnaire measures the physical functioning (ODI) and leg pain at 2 months
postoperatively, as well as poor motivation to work, are associated with the loss
of working time. Patients with unfavourable prognosis should be directed to
rehabilitation before the loss of employment.
PMID- 18038165
TI - Physical mapping in large genomes: accelerating anchoring of BAC contigs to
genetic maps through in silico analysis.
AB - Anchored physical maps represent essential frameworks for map-based cloning,
comparative genomics studies, and genome sequencing projects. High throughput
anchoring can be achieved by polymerase chain reaction (PCR) screening of
bacterial artificial chromosome (BAC) library pools with molecular markers.
However, for large genomes such as wheat, the development of high dimension pools
and the number of reactions that need to be performed can be extremely large
making the screening laborious and costly. To improve the cost efficiency of
anchoring in such large genomes, we have developed a new software named Elephant
(electronic physical map anchoring tool) that combines BAC contig information
generated by FingerPrinted Contig with results of BAC library pools screening to
identify BAC addresses with a minimal amount of PCR reactions. Elephant was
evaluated during the construction of a physical map of chromosome 3B of hexaploid
wheat. Results show that a one dimensional pool screening can be sufficient to
anchor a BAC contig while reducing the number of PCR by 384-fold thereby
demonstrating that Elephant is an efficient and cost-effective tool to support
physical mapping in large genomes.
PMID- 18038166
TI - Preferences and utilities for the symptoms of moderate to severe allergic asthma.
AB - INTRODUCTION: Patients with moderate to severe allergic asthma have persistent
poorly controlled asthma despite inhaled or systemic corticosteroid therapy. New
therapies are becoming more widely available to treat such patients, but their
value needs to be formally assessed in an economic evaluation. Within a publicly
funded health care system such an analysis should reflect societal preferences
when measuring treatment benefits. The aim of this study was to elicit societal
preferences for the symptom burden associated with moderate to severe allergic
asthma. METHOD: Existing daily symptom diary data from a clinical trial were used
to develop health state descriptions for evaluation in a standard gamble
interview. Five health states were produced that reflected five distinct levels
of control ranging from 'complete control of asthma' to 'worsening of asthma', as
defined by another outcome measure. The symptom diary data were also used as
attributes in a discrete choice experiment (DCE) to estimate willingness to pay
for improvements in symptoms. Members of the general public (n = 101) completed
the interview. RESULTS: Thirteen participants failed the consistency checks and
were excluded from the analysis. Societal utility ratings for the health states
ranged from 0.71 (worsening of asthma) to 0.78 (complete control of asthma). The
participants were also willing to pay 160 pounds a month for the avoidance of all
symptoms. CONCLUSIONS: The range of utility values (0.71-0.78) demonstrates the
severity of moderate to severe allergic asthma. However the spread of scores
between complete control of asthma and worsening of asthma was lower than was
expected. The community sample placed only a moderate value on the avoidance of
all asthma symptoms in the DCE survey. The results suggest that the community
sample may not have fully understood the benefits of control over asthma symptoms
and the limitations such symptoms can impose on everyday life.
PMID- 18038167
TI - The Nightingale Prize for the best scientific paper published in MBEC 2006.
PMID- 18038168
TI - Imaging in unilateral Wilms tumour.
AB - Wilms tumour is one of the most common malignancies in children, with an
excellent prognosis after therapy. There is a very diverse approach to treatment
according to geographical location. This variation in therapeutic attitude toward
Wilms tumour, particularly between the United States and Europe, has consequences
for the choice of imaging modality at diagnosis. In Europe, the International
Society of Paediatric Oncology (SIOP) treatment protocol is based on chemotherapy
followed by surgery. Imaging (US, CT and MRI), clinical history and examination
will help predict whether the findings are consistent with Wilms tumour.
Furthermore, in the UK preoperative image-guided biopsy is advised to help
identify the small group of patients who, despite typical imaging features of
Wilms tumour, have other types of neoplasia that require alternative management.
In the United States, the National Wilms Tumor Study (NWTS) advises surgery prior
to chemo- and radiotherapy. Hence imaging must provide detailed anatomical
information for surgical planning. This article discusses the role of imaging at
diagnosis and the relative strengths and weaknesses of the available radiological
techniques. We also focus on imaging the lung for metastatic disease and the
consequences (to the patient's ultimate outcome) of CT-diagnosed small pulmonary
nodules and discuss the radiological diagnosis and consequences of tumour rupture
present at diagnosis.
PMID- 18038169
TI - MDCT assessment of tracheomalacia in symptomatic infants with mediastinal aortic
vascular anomalies: preliminary technical experience.
AB - BACKGROUND: Mediastinal aortic vascular anomalies are relatively common causes of
extrinsic central airway narrowing in infants with respiratory symptoms. Surgical
correction of mediastinal aortic vascular anomalies alone might not adequately
treat airway symptoms if extrinsic narrowing is accompanied by intrinsic
tracheomalacia (TM), a condition that escapes detection on routine end
inspiratory imaging. Paired inspiratory-expiratory multidetector CT (MDCT) has
the potential to facilitate early diagnosis and timely management of TM in
symptomatic infants with mediastinal aortic vascular anomalies. OBJECTIVE: To
assess the technical feasibility of paired inspiratory-expiratory MDCT for
evaluating TM among symptomatic infants with mediastinal aortic vascular
anomalies. MATERIALS AND METHODS: The study group consisted of five consecutive
symptomatic infants (four male, one female; mean age 4.1 months, age range 2
weeks to 6 months) with mediastinal aortic vascular anomalies who were referred
for paired inspiratory-expiratory MDCT during a 22-month period. CT angiography
was concurrently performed during the end-inspiration phase of the study. Two
pediatric radiologists in consensus reviewed all CT images in a randomized and
blinded fashion. The end-inspiration and end-expiration CT images were reviewed
for the presence and severity of tracheal narrowing. TM was defined as > or =50%
reduction in tracheal cross-sectional luminal area between end-inspiration and
end-expiration. The presence of TM was compared to the bronchoscopy results when
available (n = 4). RESULTS: Paired inspiratory-expiratory MDCT was technically
successful in all five patients. Mediastinal aortic vascular anomalies included a
right aortic arch with an aberrant left subclavian artery (n = 2), innominate
artery compression (n = 2), and a left aortic arch with an aberrant right
subclavian artery (n = 1). Three (60%) of the five patients demonstrated focal TM
at the level of mediastinal aortic vascular anomalies. The CT results were
concordant with the results of bronchoscopy in all patients who underwent
bronchoscopy (n = 4). CONCLUSION: Paired inspiratory-expiratory MDCT is
technically feasible for evaluating TM in symptomatic infants with mediastinal
aortic vascular anomalies and has the potential to facilitate prompt diagnosis
and treatment.
PMID- 18038172
TI - Frontiers in parasite neurobiology: parasite genomics, neural signalling and new
targets for control.
PMID- 18038173
TI - Prevalence of depressive symptoms in university students from Germany, Denmark,
Poland and Bulgaria.
AB - BACKGROUND: Previous research indicated a higher prevalence of depressive
symptoms among students from Eastern European countries than students from
Western European countries. This difference was thought to be linked to political
and economic instabilities resulting from political changes in the early 1990s.
We investigated whether these differences persist 15 years later. METHODS: Using
data from a general health survey among first year students (N = 2,651) from two
Western (Germany and Denmark) and two Eastern European countries (Poland and
Bulgaria), our analysis was restricted to 2,146 students below 23 years of age.
Depressive symptoms were measured using the Modified Beck Depression Inventory (M
BDI). The recommended cut-off point of the M-BDI for depression screening in the
general population is a score of > or =35. Perceived income sufficiency was
measured on a four-point scale from "totally sufficient" to "not sufficient at
all". Analysis of variance and logistic regression were performed to assess the
differences in depressive symptoms between countries adjusting for income
sufficiency. RESULTS: Depressive symptoms were more prevalent in Eastern European
than Western European countries (M-BDI scores of > or =35 in Germany 26.7%/22.8%,
in Denmark 24.9%/12.1%, in Poland 45.5%/27.3%, in Bulgaria 42.9%/33.8% for female
and male students, respectively). There was an association between income
perceived as insufficient and higher levels of depressive symptoms, but it did
not differ across the countries. Adjusting for perceived income sufficiency had
little effect on differences in the prevalence of depressive symptoms across
countries. CONCLUSIONS: The difference in prevalence of depressive symptoms in
university students from Eastern and Western European countries persists 15 years
after political changes have taken place and cannot be explained by differences
in perceived sufficiency of income.
PMID- 18038174
TI - Novel mutation in the SPAST gene in a patient with spastic paraparesis.
PMID- 18038178
TI - Transdermal fentanyl improves pain control and functionality in patients with
osteoarthritis: an open-label Canadian trial.
AB - Current treatment guidelines advocate opioids for arthritis when standard
analgesics produce inadequate relief. Efficacy, adverse effects (AEs), dosing
regimens, physician expertise and patient preference influence treatment
selection. This study assessed transdermal fentanyl (TDF) as a treatment option
for osteoarthritis (OA) patients. This prospective, Canadian open-label, 8-week
trial assessed the efficacy and safety of TDF in patients with OA of hip or knee
with moderate-to-severe target joint pain inadequately controlled using weak
opioids. TDF was initiated at 25 mcg/h and titrated to optimal pain control.
Rescue acetaminophen 500 mg was allowed (maximum 4 g/day). The main endpoint was
improvement in pain control assessment rating (five rating categories); pain
intensity (0-10 numerical scale), functionality (WOMAC-OA Index), health-related
quality of life (SF-36 Health Survey) and global impression were also evaluated.
Eighty-one patients (61% female, mean age 60 years) were enrolled; 62 were
evaluable. All had failed on previous weak opioid therapy, primarily codeine or
codeine combinations. At treatment end, 65% rated pain control as improved (Pain
Control Assessment rating change >or=1 category; p<0.0001); mean change in pain
intensity was a reduction of greater than 2 (p<0.0001); almost 50% were
maintained on TDF 25 mcg/h with less than 1.3 g/day of rescue acetaminophen. At 1
month and end of treatment, changes in the SF-36 physical global scale and
individual sub-scores for the pain index and role-physical scales were highly
significant (p<0.0001). Improvement in functionality was noted at 1 month and at
end of treatment with significant reductions in total WOMAC score, individual
pain, stiffness and physical function sub-scores (p<0.0001). AEs causing
discontinuation (n=32) included nausea, dizziness and vomiting. Most treatment
related AEs were mild to moderate in intensity. TDF improved pain control,
functionality and health-related quality of life in these patients. The findings
support current recommendations for use of opioids such as TDF as a treatment
option for a sub-population of patients with OA pain.
PMID- 18038179
TI - Within-patient right-left blinded comparison of diode (810 nm) laser therapy and
intense pulsed light therapy for hair removal.
AB - Excessive facial hair in women can cause significant psychological distress. A
variety of treatment methods are available, including lasers and, more recently,
intense pulsed light (IPL) sources. There are very few studies comparing laser
and IPL devices. The purpose of our study was to compare a laser diode device
with an IPL, using a within-patient, right-left, assessor-blinded, controlled,
study design. Hair counts were made, using coded close-up photographs. Treatments
were carried out on three occasions at 6-week intervals, and a final assessment
was made 6 weeks following the third treatment. Patient self-assessment was also
included. Nine women were recruited, and seven completed the study. Average hair
counts in a 16 cm(2) area before and after treatment were, respectively, 42.4 and
10.4 (laser), 38.1 and 20.4 (IPL), 45.3 and 44.7 (control). Both laser and IPL
reduced the hair count substantially; laser vs control was significant at
P=0.028, but IPL vs control had P=0.13, suggesting that more subjects or more
treatments were required if statistical significance were to be achieved. Despite
subjecting the patients to higher pain scores and more inflammation, laser was
preferred by five patients; two preferred IPL and one had no preference.
PMID- 18038180
TI - Comparison of microleakages of photo-cured composites using three different light
sources: halogen lamp, LED and argon laser: an in vitro study.
AB - In this study, we compared the microleakage of composite fillings cured with
halogen bulb, LED and argon ion laser (488 nm). Twenty-four extracted human
molars were divided randomly in three groups. Six cavities were prepared on the
coronal part of each tooth. Standard cavities (1.7 x 2 mm) were prepared.
Cavities were acid etched, sealed with Scotch Bond 1 and filled by a hybrid
composite. Cavities were exposed to one light source, thermocycled and immersed
in a 2% methylene blue dye solution. Dye penetration in the leakage of cavities
was recorded using a digital optical microscope. Mean values of percentage of dye
penetrations in microleakages of cavities were 49.303 +/- 5.178% for cavities
cured with LED, 44.486 +/- 6.075% with halogen bulb and 36.647 +/- 5.936% for
those cured by argon laser. Statistically significant difference exists between
cavities cured by halogen vs LED (P < 0.01), halogen vs laser (P < 0.001) and LED
vs laser (P < 0.001). The lowest microleakage was observed in the cavities and
composites cured with argon ion laser.
PMID- 18038181
TI - The role of endorectal ultrasound in therapeutic decision-making for local vs.
transabdominal resection of rectal tumors.
AB - INTRODUCTION: In rectal tumors, preoperative biopsies frequently fail to diagnose
an invasive carcinoma. Endorectal ultrasound is considered a useful adjunct in
preoperative staging of rectal tumors. However, feasibility of endorectal
ultrasound and its role in therapeutic decision-making in presumed rectal
adenomas is sparsely studied. METHODS: Endorectal ultrasound was performed in 268
tumors referred for local excision because biopsies showed tubulovillous adenoma.
Feasibility of endorectal ultrasound was studied and ultrasound staging was
compared with definite histopathologic findings. RESULTS: In 231 tumors,
endorectal ultrasound was technically feasible (86 percent). Median distance from
the dentate line was 11 cm in nonassessable tumors and 7 cm in assessable tumors
(P < 0.001). In 21 tumors, endorectal ultrasound was not conclusive, mainly in
tumors being recurrent or after recent endoscopic manipulation (P < 0.001). With
endorectal ultrasound the rate of preoperative missed carcinomas could be reduced
from 21 to 3 percent (P < 0.01). In diagnosing tubulovillous adenomas,
sensitivity and specificity of endorectal ultrasound was 89 and 86 percent,
respectively. CONCLUSIONS: Endorectal ultrasound is technically feasible in
almost all presumed rectal adenomas, referred for local excision. Proper
endorectal ultrasound interpretation is possible in 78 percent of all presumed
rectal adenomas. Endorectal ultrasound is very reliable in diagnosing
tubulovillous adenomas, and therapeutic decision-making regarding local excision
vs. radical surgery based on endorectal ultrasound is valid.
PMID- 18038182
TI - Divergent abdominal bristle patterns in two distantly related drosophilids:
antero-posterior variations and sexual dimorphism in a modular trait.
AB - The number of neurosensory bristles on abdominal sternites of Drosophila is a
most investigated trait for quantitative genetic studies. However, the
developmental pattern expressed on successive segments in both sexes has remained
so far a neglected field. We explored three aspects of this general problem with
an isofemale line design: comparing two distantly related species, Drosophila
melanogaster and Zaprionus indianus, investigating bristle number variation along
the antero-posterior axis, and analysing the sexual dimorphism. Antero-posterior
variations could be analysed from segment A2 to A7 in females, and A2-A5 in
males. In D. melanogaster, males and females showed parallel changes with a
consistently lower number in males. In Z. indianus females the number was quite
stable along the abdomen, while in males an important antero-posterior increase
was found. The sexual dimorphism was further analysed by considering the female
male correlation and the female/male ratio. The results suggest that sternite
bristle number is determined by several developmental genetic systems. One is
acting along the antero-posterior axis and may be associated to a gradient, since
the genetic correlation decreases when more distant segments are compared.
Another is acting in the same way on most segments of both sexes, since the
female-male genetic correlation is similar between homologous and non-homologous
segments. Finally, genes with specific sex effects are acting on A7 in females of
both species, and on A5 in Z. indianus males. The overall architecture of female
and male abdomen seems to be constrained by the development of reproductive
organs. A large difference between species suggests, however, that the sexual
dimorphism of abdominal bristle number is not evolutionarily constrained.
PMID- 18038183
TI - Mapping of rRNA genes and telomeric sequences in Danube salmon (Hucho hucho)
chromosomes using primed in situ labeling technique (PRINS).
AB - In the current paper we described the application of primed in situ (PRINS)
labeling approach for the chromosomal mapping of repetitive DNA sequences in
Danube salmon (Hucho hucho) (2n = 82, NF = 112). PRINS was successfully performed
with primers enabling amplification of 5S rRNA genes (minor rDNAs), NOR building
DNA sequences (major rDNAs), and telomeric sequences. Two loci of 5S rRNA were
observed on distinct chromosome pairs; the minor arrays were located
interstitially on the long (q) arms of two large metacentrics (chromosomes No. 3)
and the large clusters of 5S rDNAs were assigned to the short (p) arms of two
subtelocentric chromosomes No. 18. Major rDNA clusters were observed on the p
arms of two submeta-subtelocentric chromosomes No. 10. These chromosomal areas
were built with GC-rich chromatin what was proved in the course of chromomycin
A(3) (CMA(3)) staining performed sequentially. Major and minor rDNA families were
not co-localized in the Danube salmon chromosomes. The distinct hybridization
signals at the ends of all the chromosomes were provided in the course of PRINS
with (CCCTAA)(n) primer. The chromosomal localization of rRNA genes and telomeric
DNA sequences was discussed in the context of Salmonidae karyotype evolution.
PMID- 18038184
TI - The impact of a clinical information system in an intensive care unit.
AB - PURPOSE: Although clinical information systems (CISs) have been available and
implemented in many Intensive care Units (ICUs) for more than a decade, there is
little objective evidence of their impact on the quality of care and staff
perceptions. This study was performed to compare time spent charting with pen and
paper patient data versus time spent with the new electronic CIS and to evaluate
staff perceptions of a CIS in an ICU. MATERIALS AND METHODS: Time spent every day
was calculated for each patient, for 7 days, for recording on the paper vital
signs and physician therapeutic orders and time spent for computing fluid balance
and scores. This time was then compared with time required to make the same
activities by means of CIS, 10 months after its introduction in ICU. Four years
after the installation of CIS, a questionnaire was given to all staff attending
to the ICU to evaluate their opinions of the CIS. RESULTS: The CIS took less
staff time to record common ICU data than paper records (3 +/- 2 minutes/day
versus 37 +/- 7 minutes/day respectively, P< 0.001). Perceptions of the CIS were
that computers promoted an improving charting quality. CONCLUSIONS: The
implementation of a CIS was associated with a reduced time spent for daily
activity and a positive medical and nursing staff perception.
PMID- 18038185
TI - The use of comet assay in measuring DNA damage and repair efficiency in child,
adult, and old age populations.
AB - In the present study, we used the Comet assay to estimate basal DNA damage in
three distinct populations aged 5-10, 40-50, and 60-70 years old. The DNA damage
induced by hydrogen peroxide and gamma-irradiation in the lymphocytes of these
populations, as well as their repair activity, was also studied. Finally, we
measured apoptosis and necrosis after the effect of these agents. Our results
indicate that the older population (60-70 years old) showed higher basal levels
of DNA damage and was more sensitive to the effects of the DNA-damaging agents
than the adult one (40-50 years old), who, in turn, was more sensitive than the
younger population (5-10 years old). A decline of the repair efficiency with age
to the DNA damage induced by the two agents was also observed. Apoptosis and
necrosis were also affected by age.
PMID- 18038186
TI - Colorectal cancer screening among Latinos from U.S. cities along the Texas-Mexico
border.
AB - Colorectal cancer (CRC) screening rates are comparatively low for U.S. Hispanics.
To learn more about the factors influencing CRC screening among Hispanics living
along the U.S.-Mexico border, 12 focus groups were conducted with Hispanic men
and women aged 50 years and older in three Texas counties; Cameron County
(Brownsville), Webb County (Laredo), and El Paso County, (El Paso). The focus
group guide contained questions about health care behavior, knowledge about CRC,
experiences with cancer, and factors that influence CRC screening. A total of 92
individuals participated with the majority aged 50-69 (75%). Twenty percent were
born in the United States and 51% had lived in the United States for more than 20
years. Participants had low levels of education, income, and insurance coverage.
The analysis revealed several overarching and contextual themes relating to
knowledge, attitudes, beliefs, and emotions about cancer and CRC screening. A
prevalent theme that emerged from all groups was frustration and a lack of
confidence in the U.S. healthcare system. Few participants had been advised by
their providers to obtain CRC screening. Lack of patient knowledge about
colorectal cancer and screening appeared to be a critical factor influencing
screening. Themes about death and pain due to cancer were prevalent as were
cultural factors such as machismo and embarrassment. System level barriers such
as cost, medical insurance and transportation also impacted screening. These
findings suggest that strategies are needed to educate Hispanic residents of
border communities about CRC and to motivate them to undergo CRC screening.
PMID- 18038187
TI - Joint effect between regular use of non-steroidal anti-inflammatory drugs,
variants in inflammatory genes and risk of lymphoma.
AB - OBJECTIVE: Limited evidence suggests the importance of inflammatory processes for
the etiology of lymphomas. To further research in this area, we investigated the
role of genetic variants in key inflammatory factors, non-steroidal anti
inflammatory drug [NSAID] use, and their joint effect in lymphomagenesis.
METHODS: The study comprised 710 case-control pairs, matched for gender, age, and
study region. We examined the association of regular NSAID use and polymorphisms
in prostaglandin-endoperoxide synthase-2 (COX2), prostaglandin E synthase
(PTGES), interleukin-1 alpha (IL1A), IL-1 beta (IL1B), and IL-1 receptor
antagonist (IL1RA), and lymphoma risk by applying logistic regression to
calculate odds ratios (OR) and 95% confidence intervals (95% CI). RESULTS:
Regular NSAID use was associated with a slightly reduced risk of B-NHL (OR = 0.8,
95% CI = 0.6-1.1). For T-NHL, the COX2 rs2745557 A-allele conferred a 2.2-fold
(95% CI = 1.1-4.5) and homozygosis for the IL1RN rs454078 T-allele was associated
with a 4.5-fold (95% CI = 1.4-13.9) elevated risk, however, based on sparse data.
IL1 haplotype 5 was associated with a statistically significant 43% increased
risk for B-NHL among non-regular users of NSAIDs, but a 70% decreased risk for
regular users (p-value for interaction < 0.001). CONCLUSIONS: These results
suggest the relevance of joint effects between NSAID use and IL1 haplotypes on
the risk of B-NHL.
PMID- 18038188
TI - Lack of interaction between sensing-intuitive learning styles and problem-first
versus information-first instruction: a randomized crossover trial.
AB - BACKGROUND: Adaptation to learning styles has been proposed to enhance learning.
OBJECTIVE: We hypothesized that learners with sensing learning style would
perform better using a problem-first instructional method while intuitive
learners would do better using an information-first method. DESIGN: Randomized,
controlled, crossover trial. SETTING: Resident ambulatory clinics. PARTICIPANTS:
123 internal medicine residents. INTERVENTIONS: Four Web-based modules in
ambulatory internal medicine were developed in both "didactic" (information
first, followed by patient problem and questions) and "problem" (case and
questions first, followed by information) format. MEASUREMENTS: Knowledge
posttest, format preference, learning style (Index of Learning Styles). RESULTS:
Knowledge scores were similar between the didactic (mean +/- standard error, 83.0
+/- 0.8) and problem (82.3 +/- 0.8) formats (p = .42; 95% confidence interval
[CI] for difference, -2.3 to 0.9). There was no difference between formats in
regression slopes of knowledge scores on sensing-intuitive scores (p = .63) or in
analysis of knowledge scores by styles classification (sensing 82.5 +/- 1.0,
intermediate 83.7 +/- 1.2, intuitive 81.0 +/- 1.5; p = .37 for main effect, p =
.59 for interaction with format). Format preference was neutral (3.2 +/- 0.2 [1
strongly prefers didactic, 6 strongly prefers problem], p = .12), and there was
no association between learning styles and preference (p = .44). Formats were
similar in time to complete modules (43.7 +/- 2.2 vs 43.2 +/- 2.2 minutes, p =
.72). CONCLUSIONS: Starting instruction with a problem (versus employing problems
later on) may not improve learning outcomes. Sensing and intuitive learners
perform similarly following problem-first and didactic-first instruction. Results
may apply to other instructional media.
PMID- 18038190
TI - Calcium scoring using 64-slice MDCT, dual source CT and EBT: a comparative
phantom study.
AB - PURPOSE: Assessment of calcium scoring (Ca-scoring) on a 64-slice multi-detector
computed tomography (MDCT) scanner, a dual-source computed tomography (DSCT)
scanner and an electron beam tomography (EBT) scanner with a moving cardiac
phantom as a function of heart rate, slice thickness and calcium density. METHODS
AND MATERIALS: Three artificial arteries with inserted calcifications of
different sizes and densities were scanned at rest (0 beats per minute) and at 50
110 beats per minute (bpm) with an interval of 10 bpm using 64-slice MDCT, DSCT
and EBT. Images were reconstructed with a slice thickness of 0.6 and 3.0 mm.
Agatston score, volume score and equivalent mass score were determined for each
artery. A cardiac motion susceptibility (CMS) index was introduced to assess the
susceptibility of Ca-scoring to heart rate. In addition, a difference (Delta)
index was introduced to assess the difference of absolute Ca-scoring on MDCT and
DSCT with EBT. RESULTS: Ca-score is relatively constant up to 60 bpm and starts
to decrease or increase above 70 bpm, depending on scoring method, calcification
density and slice thickness. EBT showed the least susceptibility to cardiac
motion with the smallest average CMS-index (2.5). The average CMS-index of 64
slice MDCT (9.0) is approximately 2.5 times the average CMS-index of DSCT (3.6).
The use of a smaller slice thickness decreases the CMS-index for both CT
modalities. The Delta-index for DSCT at 0.6 mm (53.2) is approximately 30% lower
than the Delta-index for 64-slice MDCT at 0.6 mm (72.0). The Delta-indexes at 3.0
mm are approximately equal for both modalities (96.9 and 102.0 for 64-slice MDCT
and DSCT respectively). CONCLUSION: Ca-scoring is influenced by heart rate, slice
thickness and modality used. Ca-scoring on DSCT is approximately 50% less
susceptible to cardiac motion as 64-slice MDCT. DSCT offers a better
approximation of absolute calcium score on EBT than 64-slice MDCT when using a
smaller slice thickness. A smaller slice thickness reduces the susceptibility to
cardiac motion and reduces the difference between CT-data and EBT-data. The best
approximation of EBT on CT is found for DSCT with a slice thickness of 0.6 mm.
PMID- 18038192
TI - Development of an alternative approach to protein crystallization.
AB - We are developing an alternate strategy for the crystallization of macromolecules
that does not, like current methods, depend on the optimization of traditional
variables such as pH and precipitant concentration, but is based on the
hypothesis that many conventional small molecules might establish stabilizing,
intermolecular, non covalent crosslinks in crystals, and thereby promote lattice
formation. To test the hypothesis, we carried out preliminary experiments
encompassing 18,240 crystallization trials using 81 different proteins, and 200
chemical compounds. Statistical analysis of the results demonstrated the validity
of the idea. In addition, we conducted X-ray diffraction analyses of some of the
crystals grown in the experiments. These clearly showed incorporation of
conventional molecules into the protein crystal lattices, and further validated
the underlying hypothesis. We are currently extending the investigations to
include a broader and more diverse set of proteins, an expanded search of
conventional and biologically active small molecules, and a wider range of
precipitants. The strategy proposed here is essentially orthogonal to current
approaches and has an objective of doubling the success rate of today.
PMID- 18038189
TI - Neurological implications of urea cycle disorders.
AB - The urea cycle disorders constitute a group of rare congenital disorders caused
by a deficiency of the enzymes or transport proteins required to remove ammonia
from the body. Via a series of biochemical steps, nitrogen, the waste product of
protein metabolism, is removed from the blood and converted into urea. A
consequence of these disorders is hyperammonaemia, resulting in central nervous
system dysfunction with mental status changes, brain oedema, seizures, coma, and
potentially death. Both acute and chronic hyperammonaemia result in alterations
of neurotransmitter systems. In acute hyperammonaemia, activation of the NMDA
receptor leads to excitotoxic cell death, changes in energy metabolism and
alterations in protein expression of the astrocyte that affect volume regulation
and contribute to oedema. Neuropathological evaluation demonstrates alterations
in the astrocyte morphology. Imaging studies, in particular (1)H MRS, can reveal
markers of impaired metabolism such as elevations of glutamine and reduction of
myoinositol. In contrast, chronic hyperammonaemia leads to adaptive responses in
the NMDA receptor and impairments in the glutamate-nitric oxide-cGMP pathway,
leading to alterations in cognition and learning. Therapy of acute
hyperammonaemia has relied on ammonia-lowering agents but in recent years there
has been considerable interest in neuroprotective strategies. Recent studies have
suggested restoration of learning abilities by pharmacological manipulation of
brain cGMP with phosphodiesterase inhibitors. Thus, both strategies are
intriguing areas for potential investigation in human urea cycle disorders.
PMID- 18038191
TI - Cloning and functional identification of two members of the ZIP (Zrt, Irt-like
protein) gene family in rice (Oryza sativa L.).
AB - Two ZIP (Zrt, Irt-like Protein) cDNAs were isolated from rice (Oryza sativa L.)
by RT-PCR approach, and named as OsZIP7a and OsZIP8 respectively. The predicted
proteins of OsZIP7a and OsZIP8 consist of 384 and 390 amino acid residues
respectively, and display high similarity to other plant ZIP proteins. Each
protein contains eight transmembrane (TM) domains and a highly conserved ZIP
signature motif, with a histidine-rich region in the variable region between TM
domains III and IV. By semi-quantitative RT-PCR approach, it was found that the
expression of OsZIP7a was significantly induced in rice roots by iron-deficiency,
while that of OsZIP8 induced in both rice roots and shoots by zinc-deficiency.
When expressed in yeast cells, OsZIP7a and OsZIP8 could complement an iron-uptake
deficient yeast mutant and a zinc-uptake-deficient yeast mutant respectively. It
suggested that the OsZIP7a and OsZIP8 might encode an iron and a zinc transporter
protein in rice respectively.
PMID- 18038193
TI - A quantitative polymerase chain reaction assay for detecting and identifying
fungal contamination in human allograft tissue.
AB - To complement donor selection and tissue processing, rapid and reliable
detection, discrimination, and quantification of fungal pathogens are extremely
important for tissues destined to be implanted into humans. The current detection
method for fungal pathogens, in particular, is difficult and time-consuming.
Quantitative polymerase chain reaction (qPCR) technology is considered one of the
most sensitive methods to detect low levels of DNA. Here a qPCR method is
described that can detect clinically relevant, pathogenic fungal organisms. The
assay allowed the quantification of fungal organisms within a tissue implant and
provides a means to identify the contaminating species. The primers for the qPCR
assay were designed to amplify a conserved region of the L2 region of the large
ribosomal subunit (LSU) gene. This set of primers was able to detect fewer than
10 colony forming units from Aspergillus and Candida species in spiked samples.
Clinical samples were also evaluated using this method and the data compared
positively to the existing accepted 28-day fungal culture method for fungal
detection. The qPCR method described herein significantly reduced the time
required to identify fungal contamination in tissue implants.
PMID- 18038195
TI - Is diversity good? Six possible conceptions of diversity and six possible
answers.
AB - Prominent ethical and policy issues such as affirmative action and female
enrollment in science and engineering revolve around the idea that diversity is
good. However, a precise definition is seldom provided. I show that diversity may
be construed as a factual description, a craving for symmetry, an intrinsic good,
an instrumental good, a symptom, or a side effect. These acceptions differ vastly
in their nature and properties. Some are deeply mistaken and some others cannot
lead to concrete policies. It is thus necessary to clarify what one means by
'diversity.' It may be a neutral description of a given state; but this is
insufficient to act. The idea that there should be the same representation in a
specific context as in the overall population is both puzzling and arbitrary.
Diversity as intrinsic good is a mere opinion, which cannot be concretely
applied; moreover, the most commonly invoked forms of diversity (sexual and
racial) are not intrinsically good. On the other hand, diversity as instrumental
good can be evaluated empirically and can give rise to policies, but these may be
very weak. Finally, symptoms and side effects are not actually about diversity. I
consider the example of female enrollment in science and engineering,
interpreting the various arguments found in the literature in light of this
polysemy.
PMID- 18038196
TI - Redescriptions of species of Tetrarhynchobothrium Diesing, 1850 and
Didymorhynchus Beveridge & Campbell, 1988 (Cestoda: Trypanorhyncha), with the
description of Zygorhynchus borneensis n. sp.
AB - Tetrarhynchobothrium tenuicolle Diesing, 1850 is redescribed from the type
specimens collected from Raja clavata Linnaeus in the Adriatic Sea. T. striatum
(Wagener, 1854) is redescribed from voucher specimens from the type host,
Myliobatis aquila Linnaeus, from the type-locality, off Naples, Italy. The two
species are very similar in tentacular armature, but are provisionally maintained
as independent species, since the armature of T. tenuicolle cannot be fully
described and because all available specimens of T. striatum are immature,
limiting comparisons of potential differences in segment anatomy. T. setiense
Dollfus, 1969 is treated as a synonym of T. striatum. Zygorhynchus borneensis n.
sp. is described from Himantura uarnacoides (Bleeker) and H. pastinacoides
(Bleeker) off Sabah, Malaysia. The new species is distinguished from its
congeners by the very small hooks present in the basal region and by the presence
of a uterine pore. The metabasal tentacular armature of Didymorhynchus southwelli
Beveridge & Campbell, 1988, described for the first time, is homeoacanthous and
homeomorphous in form. However, it has a basal swelling with hook rows
originating on the bothrial surface and terminating on the antibothrial surface
of the tentacle.
PMID- 18038194
TI - Causal factors implicated in research misconduct: evidence from ORI case files.
AB - There has been relatively little empirical research into the causes of research
misconduct. To begin to address this void, the authors collected data from closed
case files of the Office of Research Integrity (ORI). These data were in the form
of statements extracted from ORI file documents including transcripts,
investigative reports, witness statements, and correspondence. Researchers
assigned these statements to 44 different concepts. These concepts were then
analyzed using multidimensional scaling and cluster analysis. The authors chose a
solution consisting of seven clusters: (1) personal and professional stressors,
(2) organizational climate, (3) job insecurities, (4) rationalizations A, (5)
personal inhibitions, (6) rationalizations B and, (7) personality factors. The
authors discuss the implications of their findings for policy and for future
research.
PMID- 18038197
TI - A new genus and species of mesoparasitic ergasilid (Copepoda: Cyclopoida) from
brackish water pufferfishes collected in northern Australian waters.
AB - A new mesoparasitic ergasilid copepod, Majalincola buthi n. g., n. sp., is
described based on material collected from the gills of Marilyna darwinii
(Castelnau) and M. meraukensis (de Beaufort) (Tetraodontidae) captured in
brackish waters in northern Australia. The new genus is characterised by the
presence in the fully-transformed adult female of: four tagmata (antennary, neck,
postantennary cephalothoracic region and trunk region); a 5-segmented antennule;
a trimerous leg 1 endopod; and a free exopod segment armed with two setae on the
fifth leg. The establishment of the new genus is supported by the results of a
cladistic analysis of Majalincola and members of its sister taxon.
PMID- 18038198
TI - Contracaecum bioccai n. sp. from the brown pelican Pelecanus occidentalis (L.) in
Colombia (Nematoda: Anisakidae): morphology, molecular evidence and its genetic
relationship with congeners from fish-eating birds.
AB - Contracaecum bioccai n. sp. is described from the brown pelican Pelecanus
occidentalis (L.) in northern Colombia (Totumo Marsh) based on 20 enzyme loci
studied using multilocus allozyme electrophoresis. Moreover, genetic
relationships between the new taxon and related congeners are presented based on
allozyme data-sets and sequence analyses (519 bp) of the mtDNA-cox2 gene. Fixed
allele differences were found at some of the allozyme loci analysed in comparison
with other Contracaecum spp. from pelicans and cormorants [i.e. the sibling
species of the C. rudolphii Hartwich, 1964 complex, C. septentrionale Kreis,
1955, C. micropapillatum (Stossich, 1890), C. microcephalum (Rudolphi, 1809) and
C. pelagicum Johnston & Mawson, 1942]. The genetic distance, at the allozyme
level, between C. bioccai n. sp. and its congeners ranged from D ( Nei ) = 0.80
versus C. septentrionale to D ( Nei ) = 1.40 versus C. micropapillatum. The
genetic distance at the mtDNA cox-2 level ranged, on average, from K-2P = 0.12
versus the C. rudolphii species complex to K-2P = 0.15 versus C. micropapillatum.
An overall concordant tree topology, obtained from UPGMA and NJ tree analyses
inferred from allozyme data, as well as from MP, UPGMA and NJ inferred from mtDNA
cox2 sequence analysis, showed C. bioccai n. sp. as a separated lineage to the
other Contracaecum spp. A concordant result was also obtained by PCA analysis
based on both the allozyme and mtDNA cox-2 data-sets. All of the tree topologies,
derived from the phylogenetic analysis inferred from both allozymes and mtDNA
data-sets, were in substantial agreement and depicted C. bioccai as closely
related to the sibling species of the C. rudolphii complex (C. rudolphii A and C.
rudolphii B) and C. septentrionale. Morphological analysis and a differential
diagnosis based on male specimens of C. bioccai, which had been genetically
characterised by both allozyme markers and mtDNA sequences analysis with respect
to morphologically related congeners, enabled the detection of differences in a
numbers of characters, including spicule length, the morphology of the distal end
of the spicule and the distribution patterns of the distal caudal papillae.
PMID- 18038200
TI - Redescription of Amblyomma varium Koch, 1844 (Acari: Ixodidae) based on light and
scanning electron microscopy.
AB - Amblyomma varium Koch, 1844 is a Neotropical tick, known as the 'sloth's giant
tick', with records from southern Central America to Argentina. It is found
almost exclusively on mammals of the families Bradypodidae and Magalonychidae
(Xenarthra). Differences exist in discussions with regard to the dentition of the
female hypostome being either 3/3 or 4/4. The male was also originally described
as having a short spur on coxa IV, but some specimens recently collected from
different Brazilian localities have this spur three times longer. These
differences beg the question of whether there is more than one species included
under this taxon. In order to answer this question and to clarify the taxonomic
characters of this species, 258 adult specimens were examined, and a
redescription of male and female based on light and scanning electron microscopy
is provided. In addition, DNA was extracted from males with either a long or a
short spur on coxa IV to help settle this question for future investigations on
their taxonomy. The morphological study showed that the dental formula pattern
for males and females is 3/3 and 4/4, respectively. When sequenced, the 12 S rDNA
genes of both A. varium males with long and short spurs on coxa IV were found to
be identical, indicating that the length of the spurs on coxa IV is likely to be
an intraspecifically polymorphic character of this species.
PMID- 18038199
TI - Molecular faunistics of accidental infections of Gyrodactylus Nordmann, 1832
(Monogenea) parasitic on salmon Salmo salar L. and brown trout Salmo trutta L. in
NW Russia.
AB - Salmon Salmo salar L. and brown trout S. trutta L. juveniles were examined for
the presence of accidental monogenean ectoparasitic species of Gyrodactylus
Nordmann, 1832 in the Baltic and White Sea basins of Russian Karelia in order to
estimate the frequency of host-switching attempts on an ecological timescale. To
collect phylogeographical information and for exact species identification, the
parasites were characterised by nuclear internal transcribed spacer sequences of
rDNA (ITS) and, for some species, also by their mitochondrial DNA (CO1 gene)
sequences. Four accidental Gyrodactylus species were observed on salmon and brown
trout. A few specimens of G. aphyae Malmberg, 1957, the normal host of which is
the Eurasian minnow Phoxinus phoxinus (L.), were observed on lake salmon from the
Rivers Kurzhma (Lake Kuito, White Sea basin) and Vidlitsa (Lake Ladoga, Baltic
basin). G. lucii Kulakovskaya, 1952, a parasite of the northern pike Esox lucius
L., was observed on salmon in the Kurzhma. In the River Vidlitsa, two specimens
of G. papernai Ergens & Bychowsky, 1967, normally on stone loach Barbatula
barbatula (L.), were found on salmon. On anadromous White Sea salmon in the River
Pulonga in Chupa Bay, a few salmon parr carried small colonies of G. arcuatus
Bychowsky, 1933, which were shown to have originated from the local three-spined
stickleback Gasterosteus aculeatus L. consumed as prey. No specimens of
Gyrodactylus salaris Malmberg, 1957 were observed, although the Pulonga is the
nearest salmon spawning river to the River Keret', which is heavily infected with
introduced G. salaris. In the River Satulinoja, Lake Ladoga, three specimens of
G. lotae Gusev, 1953, from burbot Lota lota (L.), were collected from a single
brown trout S. trutta. All nonspecific gyrodactylid infections on salmonids were
judged to be temporary, because only a few specimens were observed on each of the
small number of infected fishes. The prevalence of endemic G. salaris was also
low, only 1% (Nfish = 296) in Lake Onega and 0.7% (Nfish = 255) in Lake Ladoga,
while brown trout specific Gyrodactylus species were not observed on any of the
429 trout examined from the Ladoga basin. The host-specific and unspecific burden
of Gyrodactylus spp. on these 'glacial relict' populations of salmon and brown
trout was very low, suggesting a generalised resistance against the co-evolved
freshwater parasite community, or some kind of 'vaccination' effect. These
hypotheses deserve further testing.
PMID- 18038201
TI - Myrmeconema neotropicum n. g., n. sp., a new tetradonematid nematode parasitising
South American populations of Cephalotes atratus (Hymenoptera: Formicidae), with
the discovery of an apparent parasite-induced host morph.
AB - A new genus and species of tetradonematid nematode, Myrmeconema neotropicum n.
g., n. sp., is described from larval, pupal and adult stages of Cephalotes
atratus L. (Hymenoptera: Formicidae) in Peru and Panama. Diagnostic characters of
the new genus include: males and females subequal in size; cuticle with minute
annulations; six cephalic papillae; stylet present in all stages; stichocytes
absent; trophosome degenerate; three penetration glands; gonads paired and
opposite; vulva in mid-body region; single spicule; genital papillae absent;
adult tails rounded; infective juveniles moult once in egg; and adults of both
sexes remain in the host throughout their development. As the female nematodes
mature inside the worker ants, the host gasters change colour from black to red.
PMID- 18038203
TI - Synthesis and luminescent properties of lanthanide complexes with a novel
multipodal ligand.
AB - Solid complexes of lanthanide nitrates, picrates and perchlorates with a novel
multipodal ligand, 1,2,4,5-tetramethyl-3,6-bis{N,N-bis[((2'
benzylaminoformyl)phenoxyl)ethyl]-aminomethyl}-benzene (L) have been synthesized
and characterized by elemental analysis, infrared spectra and molar conductivity
measurements. At the same time, the luminescent properties of the Eu and Tb
complexes in solid state were investigated. Under the excitation of UV light,
these complexes exhibited characteristic emission of central metal ions. The
lowest triplet state energy level T(1) of this ligand matches better to the
lowest resonance energy level of Tb(III) than to Eu(III) ion. The influence of
the counter anion on the luminescent intensity was also discussed.
PMID- 18038202
TI - Multiple mechanisms account for lower plasma iron in young copper deficient rats.
AB - Copper deficiency lowers brain copper and iron during development. The reduced
iron content could be due to hypoferremia. Experiments were conducted to evaluate
plasma iron and "ferroxidase" hypotheses by determining copper and iron status of
Holtzman albino rats following gestational/lactational copper deficiency. Copper
deficient (Cu-) dams on treatment for 5 weeks, two of gestation and three of
lactation, had markedly lower copper content of milk and mammary tissue, and
lower milk iron. Newborn pups from Cu- dams had lower copper and iron
concentrations. Compared to Cu+ pups, Cu- pups, analyzed between postnatal age
(P) 0 and P26, were smaller, anemic, had lower plasma iron, cardiac hypertrophy,
and near zero ceruloplasmin activity. Liver copper in Cu+ pups increased then
decreased during development and major reductions were evident in Cu- pups. Liver
iron in Cu+ pups decreased with age while nursing but increased after eating
solid food. Liver iron was lower in Cu- pups at P0 and P13 and normal at P20 and
P26. Small intestinal copper decreased with age in Cu+ pups and was lower in Cu-
pups. Intestinal iron levels in Cu- pups were higher than Cu+ pups postweaning in
some experiments. Reduction in plasma iron in Cu- pups is likely due to a
decreased "ferroxidase" function leading to lower placental iron transport, a
lower milk iron diet, and partial block in iron uptake from intestine but is not
due to failure to mobilize hepatic iron, in contrast to older rats eating diet
with adequate iron.
PMID- 18038204
TI - Absorption, fluorescence studies and ab initio calculations on binary mixture of
p-dimethylaminobenzaldehyde.
AB - Absorption as well as fluorescence emission studies of p
dimethylaminobenzaldehyde (DMABA) in solvents with different polarity have been
reported by varying the concentration of the solute. Dual fluorescence
corresponding to the non-polar (NP) and twisted intramolecular charge transfer
(TICT) states has been observed. The optimized geometry of DMABA was evaluated
using ab-initio theory at various levels. The optimized geometries of the
hydrogen bonded complexes with the solvent molecules were also calculated with
the theory Hartree Fock at the basis set 6-31+G (HF/6-31+G). The results have
been used to understand the structure of the molecule and the spectral changes in
terms of hydrogen bonding and solute-solvent interaction.
PMID- 18038205
TI - Effect of polymer strengtheners on the local environment of biocompatible glass
as probed by fluorescence.
AB - Mixed silica-calcite matrices were prepared by developing a "low" temperature
(sol-gel) method in presence of several biocompatible polymers, thus providing
samples with adequate porosity for the flow of biological fluids and also
mechanically robust. In order to analyse and characterise the sample's
microenvironments, the highly solvatochromic probe Nile red was used, which
enabled the role of polymer addition upon local environmental effects in the host
media to be elucidated. The polymers used were polyethylene glycol,
polymethylmethacrylate and polyethylene. Each matrix was also characterized with
respect to microstructure, morphology and pore size via the use of X-ray
diffractometry and scanning electron microscopy. The results show that is was
possible to obtain, in a controlled way, mixed silica-calcite matrices with a
wide range of porosities (important if the material is to be used for scaffold or
drug release applications, for example). The spectroscopic behaviour of Nile red
when incorporated has confirmed the existence of distinct and specific local
polarities within each type of matrix that may determine to a large extent the
mechanism of interaction between these matrices and biological molecules.
PMID- 18038206
TI - CancerLectinDB: a database of lectins relevant to cancer.
AB - The role of lectins in mediating cancer metastasis, apoptosis as well as various
other signaling events has been well established in the past few years. Data on
various aspects of the role of lectins in cancer is being accumulated at a rapid
pace. The data on lectins available in the literature is so diverse, that it
becomes difficult and time-consuming, if not impossible to comprehend the
advances in various areas and obtain the maximum benefit. Not only do the lectins
vary significantly in their individual functional roles, but they are also
diverse in their sequences, structures, binding site architectures, quaternary
structures, carbohydrate affinities and specificities as well as their potential
applications. An organization of these seemingly independent data into a common
framework is essential in order to achieve effective use of all the data towards
understanding the roles of different lectins in different aspects of cancer and
any resulting applications. An integrated knowledge base (CancerLectinDB)
together with appropriate analytical tools has therefore been developed for
lectins relevant for any aspect of cancer, by collating and integrating diverse
data. This database is unique in terms of providing sequence, structural, and
functional annotations for lectins from all known sources in cancer and is
expected to be a useful addition to the number of glycan related resources now
available to the community. The database has been implemented using MySQL on a
Linux platform and web-enabled using Perl-CGI and Java tools. Data for individual
lectins pertain to taxonomic, biochemical, domain architecture, molecular
sequence and structural details as well as carbohydrate specificities. Extensive
links have also been provided for relevant bioinformatics resources and
analytical tools. Availability of diverse data integrated into a common framework
is expected to be of high value for various studies on lectin cancer biology.
CancerLectinDB can be accessed through
http://proline.physics.iisc.ernet.in/cancerdb .
PMID- 18038207
TI - Presence and characterization of the dopamine transporter in human resting
lymphocytes.
AB - The paucity of information on the presence of the dopamine transporter (DAT) in
blood cells, prompted us to explore it in human resting lymphocytes by means of
the binding of 3H-WIN 35,428, a compound which is currently considered the most
selective ligand for labelling this protein, and by means of the specific
reuptake of 3H-dopamine (3H-DA). Lymphocytes were obtained by 15 healthy
subjects. The results showed the presence of a specific and saturable binding of
3H-WIN 35,428, which labelled one site only. A specific 3H-DA reuptake was also
measured. The pharmacological characterization of both binding and reuptake was
overlapping. These findings would indicate that human resting lymphocytes carry
the DAT, whose functions in periphery are still unknown.
PMID- 18038208
TI - A modified percutaneous transhepatic variceal embolization with 2-octyl
cyanoacrylate versus endoscopic ligation in esophageal variceal bleeding
management: randomized controlled trial.
AB - BACKGROUND: Conventional percutaneous transhepatic varices embolization (PTVE)
has rarely been used in recent years due to high rates of variceal recurrence and
rebleeding. Herein we report a modified PTVE with 2-octyl cyanoacrylate (2-OCA)
in which the whole lower esophageal and peri or para-esophageal varices, the
submucosal varices, and the advertitial plexus of the cardia and fundus were
sufficiently obliterated. We compared this PTVE with endoscopic band ligation
(EVL) in the treatment of esophageal variceal bleeding. METHODS: In this
prospective randomized controlled trial, cirrhotic patients with acute or recent
esophageal variceal bleeding were assigned randomly to PTVE (52 patients) or EVL
(50 patients) groups. Upper gastrointestinal (UGI) rebleeding, esophageal
variceal rebleeding, and survival were followed-up. Computerized tomography (CT)
scanning and portal venography were used to observe 2-OCA distribution. RESULTS:
During the follow-up period (median 24 and 25 months in the PTVE and EVL groups,
respectively) UGI rebleeding developed in eight patients in the PTVE group and 21
patients in EVL group (P = 0.004). Recurrent bleeding from esophageal varices
occurred in three patients in the PTVE group and twelve in the EVL group (P =
0.012, relative risk 0.24, 95% confidence interval 0.05-0.74). Multivariate Cox
analysis indicated that the treatment was the only factor predictive of
rebleeding. A Kaplan-Meier curve showed there was no significant difference
between survival in the two groups (P = 0.054). CONCLUSIONS: With the whole lower
esophageal and peri or para-esophageal varices, the submucosal varices, and the
adventitial plexus of the cardia and fundus sufficiently obliterated by 2-OCA,
this modified PTVE was more effective than EVL in the management of esophageal
varices recurrence and rebleeding. Survival in these two groups was not
significantly different, however.
PMID- 18038210
TI - Socioeconomic inequalities in unintended pregnancy and abortion decision.
AB - Pregnancy planning allows women to better control their life trajectory and
contributes to the future child's health and development. Many studies that have
analyzed socioeconomic inequalities in unintended pregnancy only took into
account those pregnancies ending in births. Few of them that analyzed unintended
pregnancy, including both induced abortion and births, and its socioeconomic
determinants, concluded that unintended pregnancy is more frequent in young,
poor, or unmarried women. These inequalities have been poorly studied in Europe,
especially in the southern European context. The aim of the present study is to
describe socioeconomic inequalities in unintended pregnancy and in abortion
decision in Barcelona, Spain. The major findings are that unintended pregnancies
accounted for 41% of total pregnancy and of these, 60% ended in abortion. From
all pregnancies, the proportion of induced abortion reached 25.6%. Compared to
women with university studies, those with primary education incomplete had more
unintended pregnancies (OR=7.22). When facing an unintended pregnancy, women of
lower socioeconomic position are more likely to choose induced abortion, although
this is not the case among young or single women. This study reveals deep
socioeconomic inequalities in unintended pregnancies and abortion decision in
Barcelona, Spain, where the birth rate is very low and the abortion rate is
rising. Women in low socioeconomic positions have many more unintended
pregnancies than better educated women. Except for young or single women, the
lower the socioeconomic position, the higher the proportion of women who choose
an induced abortion when facing an unintended pregnancy.
PMID- 18038211
TI - Social network-related risk factors for bloodborne virus infections among
injection drug users receiving syringes through secondary exchange.
AB - Secondary syringe exchange (SSE) refers to the exchange of sterile syringes
between injection drug users (IDUs). To date there has been limited examination
of SSE in relation to the social networks of IDUs. This study aimed to identify
characteristics of drug injecting networks associated with the receipt of
syringes through SSE. Active IDUs were recruited from syringe exchange and
methadone treatment programs in Montreal, Canada, between April 2004 and January
2005. Information on each participant and on their drug-injecting networks was
elicited using a structured, interviewer-administered questionnaire. Subjects'
network characteristics were examined in relation to SSE using regression models
with generalized estimating equations. Of 218 participants, 126 were SSE
recipients with 186 IDUs in their injecting networks. The 92 non-recipients
reported 188 network IDUs. Networks of SSE recipients and non-recipients were
similar with regard to network size and demographics of network members. In
multivariate analyses adjusted for age and gender, SSE recipients were more
likely than non-recipients to self-report being HIV-positive (OR=3.56 [1.54
8.23]); require or provide help with injecting (OR=3.74 [2.01-6.95]); have a
social network member who is a sexual partner (OR=1.90 [1.11-3.24]), who
currently attends a syringe exchange or methadone program (OR=2.33 [1.16-4.70]),
injects daily (OR=1.77 [1.11-2.84]), and shares syringes with the subject
(OR=2.24 [1.13-4.46]). SSE is associated with several injection-related risk
factors that could be used to help focus public health interventions for risk
reduction. Since SSE offers an opportunity for the dissemination of important
prevention messages, SSE-based networks should be used to improve public health
interventions. This approach can optimize the benefits of SSE while minimizing
the potential risks associated with the practice of secondary exchange.
PMID- 18038212
TI - Painful bladder syndrome/interstitial cystitis and vulvodynia: a clinical
correlation.
AB - Vulvodynia affects 25% of women with painful bladder syndrome/interstitial
cystitis (PBS/IC). The objective of our study was to clinically evaluate the
association of PBS/IC and vulvodynia and possible contributing factors. To our
knowledge, this has not been reported. Seventy women with PBS/IC were evaluated
from December 2005 to December 2006 with a comprehensive history and exam. Two
groups were formed--those with vulvodynia and those without vulvodynia for
comparison. Of the women, 51.4% had vulvodynia and 48.6% did not have vulvodynia
using our operative definition. Average levator pain levels were significantly
greater in those with vulvodynia. There was no significant difference in the
total number of lifetime pelvic surgeries, history of sexually transmitted
infections (STIs), vaginitis, or abuse history between groups. The correlation of
vulvodynia and PBS/IC may have been underestimated. Research needs to explore the
link between precipitating factors, symptoms, and effective treatment options for
PBS/IC and vulvodynia.
PMID- 18038213
TI - Seasonal fluctuations of bacterial community diversity in agricultural soil and
experimental validation by laboratory disturbance experiments.
AB - Natural fluctuations in soil microbial communities are poorly documented because
of the inherent difficulty to perform a simultaneous analysis of the relative
abundances of multiple populations over a long time period. Yet, it is important
to understand the magnitudes of community composition variability as a function
of natural influences (e.g., temperature, plant growth, or rainfall) because this
forms the reference or baseline against which external disturbances (e.g.,
anthropogenic emissions) can be judged. Second, definition of baseline
fluctuations in complex microbial communities may help to understand at which
point the systems become unbalanced and cannot return to their original
composition. In this paper, we examined the seasonal fluctuations in the
bacterial community of an agricultural soil used for regular plant crop
production by using terminal restriction fragment length polymorphism profiling
(T-RFLP) of the amplified 16S ribosomal ribonucleic acid (rRNA) gene diversity.
Cluster and statistical analysis of T-RFLP data showed that soil bacterial
communities fluctuated very little during the seasons (similarity indices between
0.835 and 0.997) with insignificant variations in 16S rRNA gene richness and
diversity indices. Despite overall insignificant fluctuations, between 8 and 30%
of all terminal restriction fragments changed their relative intensity in a
significant manner among consecutive time samples. To determine the magnitude of
community variations induced by external factors, soil samples were subjected to
either inoculation with a pure bacterial culture, addition of the herbicide
mecoprop, or addition of nutrients. All treatments resulted in statistically
measurable changes of T-RFLP profiles of the communities. Addition of nutrients
or bacteria plus mecoprop resulted in bacteria composition, which did not return
to the original profile within 14 days. We propose that at less than 70%
similarity in T-RFLP, the bacterial communities risk to drift apart to inherently
different states.
PMID- 18038215
TI - Aspirin: recent developments.
AB - Aspirin exerts anti-thrombotic action by acetylating and inactivating
cyclooxygenase-1, preventing the production of thromboxane A2 in platelets.
Through this inhibition of platelet function, aspirin is considered as a
preventative of ischemic diseases such as coronary and cerebral infarction.
However, many studies have revealed that aspirin has other beneficial actions in
addition to its anti-platelet activity. For example, aspirin may confer some
benefit against colorectal cancer. Here, we discuss the involvement of
inflammation in atherosclerosis and how aspirin exerts its beneficial actions in
atherosclerotic diseases and cancer.
PMID- 18038216
TI - Neuroactive steroids: state of the art and new perspectives.
AB - Neuroactive steroids include synthetic steroidal compounds and endogenous
steroids, produced by endocrine glands (hormonal steroids) or the nervous tissue
(neurosteroids), which regulate neural functions. These steroids bind to nuclear
receptors or act through the activation of membrane-associated signaling pathways
to modulate various important processes including the development of the nervous
system, neural plasticity and the adaptive responses of neurons and glial cells
under pathological conditions. Reviewed and updated in the present paper are the
pleiotropic and protective abilities of neuroactive steroids. The fundamental
evidence and knowledge gained constitute a profound background that offers
interesting possibilities for developing effective strategies against several
disorders of the nervous system.
PMID- 18038217
TI - Endoplasmic reticulum stress responses.
AB - In homeostasis, cellular processes are in a dynamic equilibrium. Perturbation of
homeostasis causes stress. In this review I summarize how perturbation of three
major functions of the endoplasmic reticulum (ER) in eukaryotic cells -- protein
folding, lipid and sterol biosynthesis, and storing intracellular Ca(2+) --
causes ER stress and activates signaling pathways collectively termed the
unfolded protein response (UPR). I discuss how the UPR reestablishes homeostasis,
and summarize our current understanding of how the transition from protective to
apoptotic UPR signaling is controlled, and how the UPR induces inflammatory
signaling.
PMID- 18038219
TI - Anaerobic fermentative production of lactic acid using cheese whey and corn steep
liquor.
AB - Cheese whey was the most suitable substrate for production of lactic acid under
anaerobic conditions by Entercoccus flavescens which, on supplementating with
corn steep liquor (5% v/v) and 10 mM CaCO(3) at pH 5.5, 37 degrees C, yielded
12.6 g lactic acid/l in 36 h. Production was scaled up to a 10 l bioreactor under
controlled pH and continuous CO(2) supply and gave 28 g lactic acid/l in 30 h
resulting in a net 8.7-fold increase in production as compared to unoptimized
conditions.
PMID- 18038218
TI - Phase II study of ispinesib in recurrent or metastatic squamous cell carcinoma of
the head and neck.
AB - Ispinesib (SB-715992) inhibits the mitotic kinesin spindle protein (KSP), a novel
target for anticancer therapy. A phase II study was conducted to examine the
efficacy of ispinesib in recurrent or metastatic head and neck squamous cell
carcinoma (RMHNSC). Patients with up to one prior line of chemotherapy for RMHNSC
were treated with ispinesib 18 mg/m2 IV over 1 hour every 21 days. Twenty-one
patients were enrolled onto this study with a target stage I sample size of 19.
Of 20 evaluable patients, no objective responses were seen and stable disease > 2
cycles was observed in five patients (25%). The median time to progression was
1.4 (95% CI 1.3-2.3) months, median survival was 3.5 (95% CI 2.8-7.8) months, and
1 year overall survival was 20% (95% CI 8.3-48.1%). The most frequent
attributable grades III-V adverse events were neutropenia (60% of patients) and
leukopenia (55%). The pharmacokinetic profile was consistent with results from
phase I studies. Archival tissues (n = 14) demonstrated low to moderate KSP
expression by immunohistochemistry. In addition, no pharmacodynamic changes were
observed in peripheral blood mononuclear cells. We detected no antitumor activity
of ispinesib in RMHNSC on this dosing schedule.
PMID- 18038220
TI - Occurrence of multiple sexual chromosomes (XX/XY1Y2 and Z1Z1Z2Z2/Z1Z2W1W2) in
catfishes of the genus Ancistrus (Siluriformes: Loricariidae) from the Amazon
basin.
AB - Loricariid catfishes show a predominance of homomorphism in sex chromosomes, but
cases of simple and multiple systems were also found. Here we describe two cases
of multiple sex chromosome systems in loricariids from Brazilian Amazonia. Males
of Ancistrus sp.1 "Balbina" have a modal number of 2n = 39 chromosomes,
fundamental number (FN) of 78, and karyotypic formula of 27 m + 10 sm + 2 st;
females have 2n = 38 chromosomes, FN = 76, and 26 m + 10 sm + 2 st. Ancistrus
sp.2 "Barcelos" has 2n = 52 chromosomes for both sexes, FN = 80 for males and FN
= 79 for females. Karyotypic formula is 12 m + 12 sm + 4 st + 24a for males and
11 m + 12 sm + 4st + 25a for females. The two species show different arrangements
of constitutive heterochromatin blocks, which are coincident with NORs and absent
in sex chromosomes. We suggest a XX/XY(1)Y(2) mechanism for Ancistrus sp.1
"Balbina", and a Z(1)Z(1)Z(2)Z(2)/Z(1)Z(2)W(1)W(2) mechanism for Ancistrus sp.2
"Barcelos". The XX/XY(1)Y(2) mechanism here reported is the second known
occurrence of this type of multiple sex chromosomes for Loricariidae and the
third for Neotropical fishes; the mechanism Z(1)Z(1)Z(2)Z(2)/Z(1)Z(2)W(1)W(2)
represents the first record among fishes. The presence of different sex
chromosome systems in Ancistrus indicates a probable independent origin and
suggests that the differentiation of sex chromosomes is evolutionarily recent
among species in this genus.
PMID- 18038221
TI - [Conventional navigation without computer and the lateral minimally invasive
approach for contract valgus knee].
AB - Valgus arthritic knees can basically be operated on by either a standard medial
or a specific lateral approach. The classic lateral approach according to Keblish
has some advantages, but also some disadvantages, relative to the standard medial
parapatellar approach. A less invasive lateral approach means that osteotomy of
tibia tubercle and eversion of the patella is no longer necessary. In view of our
positive experiences with the minimally invasive surgical technique used for
implantation of the lateral unicondylar prosthesis and for total knee
arthroplasty in the case of varus knees, we have developed a minimally invasive
lateral technique for use in valgus knees. The approach is a modification of the
classic lateral approach used by Keblish. The procedure involves a lateral mini
arthrotomy with no need for osteotomy of the tubercle or eversion of the patella,
and the surgery takes place step by step from a lateral approach. Modified
cutting standard instruments are used. All operations have been carried out using
"conventional navigation" without computers. This consists in preoperative
planning based on radiographs of the whole leg, intraoperative controls before
and after bone cuts, planning of the rotational positioning of the femur and
tibia and postoperative checks of the alignment on standing radiographs of the
whole leg. Preliminary results observed in the first 63 consecutive patients
(average age 45-85 years) with contract valgus deformity [average 12 degrees
valgus (6-19 degrees )] are very promising. Since 2004 we have used minimally
invasive medial and lateral mini-midvastus approaches routinely for nearly all
our primary total knee arthroplasties.
PMID- 18038222
TI - Effects of acute tyrosine/phenylalanine depletion on the selective processing of
smoking-related cues and the relative value of cigarettes in smokers.
AB - RATIONALE: Acute tyrosine/phenylalanine depletion (ATPD) is a validated
neurobiological challenge that results in reduced dopaminergic neurotransmission,
allowing examination of the effects of a hypodopaminergic state on craving
related processes. OBJECTIVES: We studied 16 nonabstaining smokers (>10
cigarettes/day; 9 males; age 20-33 years) to whom was administered a
tyrosine/phenylalanine-free mixture (TYR/PHE-free) and a balanced amino acid
mixture (BAL) in a double-blind, counterbalanced, crossover design. METHODS:
Subjective cigarette craving, attentional bias to smoking-related word cues,
relative value of cigarettes, negative mood, and expired carbon monoxide (CO)
levels were measured at various timepoints through 300 min. Participants smoked
at hourly intervals to prevent acute nicotine withdrawal during testing. RESULTS:
The TYR/PHE-free mixture, as compared to the BAL mixture, was associated with a
greater increase in CO levels from baseline (p = 0.01). Adjusting for the
potential confounding influence of between-condition differences in CO levels
across time, TYR/PHE-free mixture was associated with increased demand for
cigarettes (p = 0.01) and decreased attentional bias toward smoking-related words
(p = 0.003). There were no significant differences between conditions in either
subjective craving or depressed or anxious mood (p values > 0.05). CONCLUSION:
Among nonabstaining daily smokers, acute dopaminergic depletion via ATPD may
influence smoking behavior and indices of smoking-related motivation, such as
attentional bias to smoking cues and relative cigarette value, which are not
readily captured by subjective craving.
PMID- 18038225
TI - Differentiation of certified brands of origins of Spanish white wines by HS-SPME
GC and chemometrics.
AB - A headspace solid-phase microextraction gas-chromatographic (HS-SPME-GC)
procedure was used to determine the composition of the volatile fraction of white
wine samples from several Spanish certified brands of origin (CBO). The compounds
present were previously identified by gas chromatography-mass spectrometry (GC
MS) and quantitative determinations were carried out by GC-FID. Four CBO, Rueda,
Ribeiro, Penedes, and Condado de Huelva, were studied. Rueda wines present the
highest concentrations of ethyl acetate (55.86-125.27 microg mL(-1)), isoamyl
acetate (0.91-6.72 microg mL(-1)), hexyl acetate (0.09-0.81 microg g mL(-1)), and
2-phenethyl acetate (0.14-0.66 microg mL(-1)). Compounds such as ethyl hexanoate
(0.88-2.15 microg mL(-1)) and ethyl decanoate (0.29-0.96 microg mL(-1)) appeared
in higher concentration in Ribeiro, Rueda, and Penedes samples. According to the
results obtained and by applying pattern-recognition procedures differentiation
of the considered CBO was attained. Principal-component analysis (PCA), linear
discriminant analysis (LDA), and multilayer perceptrons neural networks (MLP-NN)
were used as chemometric tools for pattern-recognition studies.
PMID- 18038223
TI - Effects of smoking abstinence on adult smokers with and without attention deficit
hyperactivity disorder: results of a preliminary study.
AB - RATIONALE: Individuals with attention deficit hyperactivity disorder (ADHD) smoke
at higher rates than the general population; however, little is known about the
mechanisms underlying this comorbidity. OBJECTIVE: This study evaluated the
effects of overnight abstinence on withdrawal symptoms and cognitive performance
in adult smokers with and without ADHD. MATERIALS AND METHODS: Individuals
smoking > or = 15 cigarettes per day were recruited from the community and
underwent an evaluation to establish a diagnosis of ADHD (n = 12) or not (n =
14). Withdrawal symptoms, mood, craving, cognitive performance, and smoking cue
reactivity were measured during two laboratory sessions-in a 'Satiated' condition
participants smoked up to and during the session while in an 'Abstinent'
condition, participants were required to be smoking abstinent overnight and
remain abstinent during the session. RESULTS: The effects of abstinence on ADHD
and non-ADHD smokers did not differ for withdrawal symptom severity, mood,
craving or cue reactivity. Significant Group x Condition interactions were
observed for measures of attention and response inhibition on the Conners' CPT.
For reaction time (RT) variability and errors of commission, the ADHD group
exhibited greater decrements in performance after overnight abstinence compared
to the non-ADHD group. The effects of abstinence on other cognitive measures
(e.g., rapid visual information processing task, cued Go/No-Go task) did not
differ between the two groups. CONCLUSION: This preliminary study is the first to
systematically evaluate the effects of acute smoking abstinence in adult smokers
diagnosed with ADHD. Individuals with the disorder may smoke at higher rates due
to greater worsening of attention and response inhibition after abstinence.
PMID- 18038224
TI - Modulation of human motor cortex excitability by quetiapine.
AB - RATIONALE: Quetiapine is increasingly used for the treatment of patients with
psychosis and bipolar disorder. However, the neurobiological mechanisms, which
may account for the favourable risk/benefit profile of this drug, are not
entirely understood. OBJECTIVES: Transcranial magnetic stimulation was used to
investigate the effects of acute and repeated administration of quetiapine on
cortical excitability in healthy volunteers. MATERIALS AND METHODS: Within a
double-blind, placebo-controlled, randomized cross-over design motor threshold,
intracortical inhibition, intracortical facilitation and cortical silent period
were studied in 15 healthy volunteers before and after a single dose of placebo
and 100 mg quetiapine. Additional measurements were performed after 5 days of
daily intake of 100 mg quetiapine. RESULTS: We observed a significant
prolongation of the cortical silent period after a single dose of quetiapine,
whereas the placebo had no effects. After repeated administration, there was a
trend towards CSP prolongation, which did not reach significance. However, plasma
concentrations at this time point were relatively low, as measurements were
performed 15 h after the last drug intake. Other parameters of cortical
excitability remained unaffected. CONCLUSIONS: By lengthening CSP without
affecting MT, ICI and ICF, quetiapine demonstrates a unique neurophysiological
profile which differs distinctively from brain excitability profiles of typical
antipsychotics such as haloperidol. Provided that the CSP prolongation reflects
the antipsychotic potential of quetiapine, TMS may be developed as a tool to
monitor neurobiological effects of quetiapine treatment in schizophrenic patients
and to explore the efficacy of other antipsychotic drugs with a similar mode of
action.
PMID- 18038226
TI - Estimation of bacterial biomass in subsurface sediments by quantifying intact
membrane phospholipids.
AB - In an earlier study of deep subsurface sediments from Nankai Trough (ODP Leg 190,
offshore Japan) we employed intact phospholipids (PLs) as molecular indicators of
living microorganisms. The current study extends this work by quantifying
absolute amounts of sedimentary PLs by liquid chromatography-mass spectrometry
(LC-MS) and by converting PL data into cell numbers in order to improve methods
to estimate the extent of bacterial life in the subsurface. Investigations were
carried out on 90 cm short cores of Lake Baikal sediment. High amounts of
identified intact PLs are interpreted as reflecting the constituents of living
bacteria due to high organic matter decomposition and oxic mineralisation between
the epilimnion and the sediment-water interface. Concentrations of ester-bound
PLs reach up to 13,120 ng/g sediment dry weight. Predominance of ethanolamine and
glycerol PL head groups confirms the bacterial origin. The most abundant side
chain pairs are combinations including 14:0 and 16:0 fatty acids and to a minor
extent 15:0 and 16:1 fatty acids. Depth profiles of PL concentrations converted
from conventional PL fatty acid analysis are of the same order of magnitude and
show comparable trends as those for intact PLs. An approximate estimation of
bacterial cell numbers is inferred from intact PL quantification using LC-MS.
PMID- 18038227
TI - Infrared spectroscopic study of microwave-sintered Pb(Zr,Ti)O3-based ceramics.
AB - Infrared spectroscopy is often used to monitor the formation of the perovskite
phase during the preparation of lead zirconate titanate (PZT) thin films and
fibres and also to detect phase transitions. Infrared spectroscopy has rarely
been used to investigate bulk samples or thick films of PZT. In this study, the
first results of infrared investigations of microwave-sintered PZT and PZT
reinforced with powdered copper are presented and compared with results from
thermally heated samples. The infrared spectra show the typical Zr/TiO(6) metal
oxygen octahedral vibrational modes in the range 750 to 450 cm(-1). This band is
broadened for the pure PZT samples at higher sintering temperatures. A shift of
the peak to lower wave numbers with increasing temperatures can be proven for
pure PZT samples, but not for the Cu-reinforced PZT samples.
PMID- 18038229
TI - Secondary structure and distribution of fusogenic LV-peptides in lipid membranes.
AB - LV-peptides were designed as membrane-spanning low-complexity model structures
that mimic fusion protein transmembrane domains. These peptides harbor a
hydrophobic core sequence that consists of helix-promoting and helix
destabilizing residues at different ratios. Previously, the fusogenicity of these
peptides has been shown to increase with the conformational flexibility of their
hydrophobic cores as determined in isotropic solution. Here, we examined the
secondary structure, orientation, and distribution of LV-peptides in membranes.
Our results reveal that the peptides are homogeneously distributed within the
membranes of giant unilamellar liposomes and capable of fusing them. Increasing
the valine content of the core up to the level of the beta-branched residue
content of SNARE TMDs (approximately 50%) enhances fusogenicity while maintaining
a largely alpha-helical structure in liposomal membranes. A further increase in
valine content or introduction of a glycine/proline pair favors beta-sheet
formation. In planar bilayers, the alpha-helices adopt oblique angles relative to
the bilayer normal and the ratio of alpha-helix to beta-sheet responds more
sensitively to valine content. We propose that the fusogenic conformation of LV
peptides is likely to correspond to a membrane-spanning alpha-helix. Beta-sheet
formation in membranes may be considered a side-reaction whose extent reflects
conformational flexibility of the core.
PMID- 18038228
TI - Rule-based standardised switching of drugs at the interface between primary and
tertiary care.
AB - INTRODUCTION: Changes in drug treatment are frequently mandatory with hospital
admission and discharge because hospital drug formularies are generally
restricted to about 3000 drugs as compared to the many times this number - 62,000
in Germany - that are commercially available. Without computerised support, the
process involved with switching drugs to a corresponding generic or a therapeutic
equivalent is time-consuming and error-prone. METHODS: We have developed and
tested a standardised interchange algorithm for subsequent implementation into a
computerised decision support system that switches drugs to the corresponding
generic or a therapeutic equivalent if they are not listed on the hospital drug
formulary. RESULTS: The algorithm was retrospectively applied to the medication
regimens of 120 patients (774 prescribed drugs containing 886 active ingredients)
at their time of admission to surgical wards. Of the prescribed drugs, 52.8%
(409/774) were part of the hospital drug formulary, thereby rendering a switch
unnecessary. The 365 drugs not listed consisted of 392 active ingredients that
were successfully switched to a corresponding generic (84.7%) or a therapeutic
equivalent (10.2%). No specific switching procedures were defined for only 2.3%
(20/886) of the active ingredients. In these cases, the drugs were either
discontinued (4/20) or special drug classes, current diseases or co-medication
required manual switching (8/20), or the drugs were continued unchanged and
ordered from a wholesaler (8/20). CONCLUSION: Using a standardised interchange
algorithm, pre-admission drug regimens can successfully be switched to drugs on a
hospital drug formulary. These findings suggest that a computerised decision
support system will likely be useful to support this important practice.
PMID- 18038230
TI - Percutaneous radiofrequency ablation: relationship between different probe types
and procedure time on length and extent of osteonecrosis in dog long bones.
AB - PURPOSE: We have been using radiofrequency ablation for the percutaneous
treatment of osteoid osteoma since 2001. Frequently, lesions are located near the
joint surface, involve the vertebral body or are close to major nerves. We seek
to determine whether radiofrequency ablation (RFA) can be used safely in these
cases. MATERIALS AND METHODS: A total of 65 lesions were induced in 4 dogs. Each
dog underwent RFA on the diaphysis of long bones, as well as femoral and humeral
heads. Four different sessions were carried out by using 1- and 2-cm probes with
or without a cool-tip system and by varying the timing of the procedure. Plain
film, CT, and MRI were obtained. All bone samples were examined histologically.
RESULTS: The dogs' activity after the procedure was normal. No pathologic
fractures occurred despite unrestricted activity of the animals. Cortical bone
was always respected; therefore, articular cartilage has not been damaged.
Radiological findings were characteristic. There were no significant differences
in lesion size, probe type, and the duration of the procedure. The mean lesion
diameter perpendicular to the electrode was 18.5 mm. CONCLUSIONS: Our study
confirms the insulative effect of cortical bone. RFA can be safely performed
close to the joint surface without damaging the cartilage.
PMID- 18038231
TI - Fixation with autogenous osteochondral grafts for the treatment of
osteochondritis dissecans (stages III and IV).
AB - This paper presents a clinical and functional assessment of the cases of
osteochondritis dissecans (OCD) treated with small mosaicplasty type
osteochondral grafts. Between 1999 and 2004, we operated on 12 knees with OCD
stages III and IV. They were assessed using the International Cartilage Research
Society (ICRS) scale, the Visual Analogue Scale (VAS) scale, X-ray and magnetic
resonance imaging (MRI). The study was carried out using a clinical series, was
retrospective and had a level of evidence of 4. Before surgery, all patients were
in classes III and IV on the ICRS scale (four in class III and eight in class
IV). At the time of surgery, the patient age was 27.5 +/- 7.9 years, with male
predominance (75%). Eleven of the cases were assessed as classes I and II on the
ICRS scale (seven in class I and four in class II), with one patient in class IV.
X-ray assessment was less favourable, revealing alterations in the articular
space in 75% of cases. The results show that this technique enables the
biological fixation of fragments and, functionally, the clinical results obtained
were very good. The osteochondral grafts avoid the implantation of foreign
material and make use of bone fragments of the same rigidity as the OCD fragment.
We conclude that the technique described is an excellent alternative to the
techniques normally used for the fixation of stage III and IV OCD.
PMID- 18038233
TI - Initial experience on efficacy in closure of cryptoglandular and Crohn's
transsphincteric fistulas by the use of the anal fistula plug.
AB - BACKGROUND AND AIMS: It was the aim of this prospective study to analyze the
efficacy of the Cook Surgisis AFP anal fistula plug (AFP) for the closure of
cryptoglandular and Crohn's disease-associated transsphincteric anorectal
fistulas. MATERIALS AND METHODS: All patients with transsphincteric anorectal
fistulas who underwent a surgical procedure using the AFP were prospectively
enrolled in this study. Inclusion criteria included transsphincteric, single
tract fistulas. Patients' demographics, fistula etiology, surgical variables,
continence (Cleveland Clinic Florida incontinence score), quality of life (fecal
incontinence quality of life), and success rates were prospectively recorded.
Surgery was performed in a standardized technique including irrigation of the
fistula tract, placement, and internal fixation of the Cook Surgisis AFP anal
fistula plug. No flap or excision of the fistula tract was performed. Success was
defined as closure of both internal and external openings, absence of drainage
without further intervention, and absence of abscess formation. Follow-up
information was derived from clinical examination 3, 6, 9, and 12 months
postoperatively. RESULTS: Within 6 months (August 2006 to January 2007), a total
of 19 AFPs were inserted in 19 patients (8 females, 11 males; mean age, 38
years). Out of 19 patients, 12 had cryptoglandular and 7 had Crohn's associated
transsphincteric fistulas. Three patients were smokers, one patient had
methicillin-resistant Staphylococcus aureus infection. Mean operative time was 15
min (range, 8-22); no morbidity occurred. After a mean follow-up of 279 days (SD
= 68.0) and one patient lost to follow-up, the overall success rate was 61% (12
of 18) at 9 months postoperatively. Focusing solely on cryptoglandular fistulas,
the success rate was 45.5% (5 of 11), whereas it was 85.7% (6 of 7) in
transsphincteric fistulas associated with Crohn's disease. Five patients with
failure of AFP (plug dislodgement, n = 2; persistent secretion, n = 3) had
reoperation (27.8%). The reasons for failure were infection requiring drainage (n
= 2) and persistent drainage (n = 3). No deterioration of continence was
documented. CONCLUSION: The success rate for the Cook Surgisis AFP anal fistula
plug for the closure of complex anorectal fistulas both in cryptoglandular and
Crohn's associated fistulas was 45.5 and 85.7%, respectively. Further analysis is
needed to explain the definite role of this innovative technique in comparison to
traditional surgical techniques.
PMID- 18038234
TI - Laparoscopic transvesical repair of recurrent vesicovaginal fistula using with
fleece-bound sealing system.
AB - BACKGROUND: Vesicovaginal fistula (VVF) is an epithelium-lined communication
between the urinary bladder and vagina. Most of VVFs are repaired by conventional
open surgery. Laparoscopic repair of VVFs is rare and so far no report is
available about laparoscopic repair of persistent VVF using fleece-bound sealing
system as a tissue barrier in the literature. Here we describe the operative
technique and briefly review the literature. CASE: We present the case of a 37
year-old woman with recurring VVF in two times after abdominal and transvaginal
repairs caused by a massive bleeding during caesarian-section due to placenta
previa and underwent hysterectomy. During the laparoscopic repair of the fistula
and excision of the vaginal cuff, fleece-bound sealing system (TachoSil) was used
as tissue barrier. Laparoscopic transperitoneal transvesical repair was
successfully performed by suturing the defects and fixing two TachoSil between
the bladder and vagina. The postoperative period of the patient was uneventful
and after a follow up of 6 months no recurrence was found. CONCLUSION: We believe
that laparoscopic repair of vesicovaginal fistula is a feasible and efficacious
minimally invasive approach for the management of this entity. Whilst proper
identification of tissue planes and good laparoscopic suturing technique are
required, using fleece-bound sealing system might be convenient especially for
persistent VVF.
PMID- 18038235
TI - Unsuspected breast carcinoma presenting as orbital complication of
rhinosinusitis.
AB - We report a rare clinical presentation of breast carcinoma metastasis to the
ethmoid sinuses, orbit and cavernous sinus in a 70-year-old lady with unsuspected
breast carcinoma who presented with clinical features of acute ethmoiditis,
orbital cellulitis and cavernous sinus thrombosis. The patient underwent
endoscopic ethmoidectomy and histology of the necrotic tissue from the ethmoidal
cells was positive for endovascular neoplastic emboli. Subsequent examination
revealed a large mass in the left breast, tethered to the skin, which was
histologically confirmed to be a carcinoma. The patient died 2 months post
diagnosis. To our knowledge, this is the third case manifesting with combined
features of ethmoiditis, orbital cellulitis and cavernous sinus syndrome from an
unsuspected breast carcinoma. This case highlights the importance of imaging and
thorough physical examination when a dramatic clinical picture presents in the
paranasal sinuses of an otherwise healthy individual. Such manifestation of
breast carcinoma is difficult to diagnose, and therefore, a high index of
suspicion should be maintained. Skull base metastases from breast carcinoma
behave aggressively and if diagnosed early, treatment may prolong survival and
improve quality of life.
PMID- 18038236
TI - Human bocavirus in children with acute lymphoblastic leukemia.
AB - A new human parvovirus, human bocavirus, has recently been identified in
respiratory secretions, feces and serum. It is associated with lower and most
likely also upper respiratory tract infections. Most commonly reported symptoms
are cough, rhinorrhea, expiratory wheezing and fever, and the virus is
preferentially detected in young children. We report three children with acute
lymphoblastic leukemia who had acute febrile episodes with concomitant detection
of human bocavirus in their respiratory secretions. One of them had five
consecutive febrile episodes during 6 months, all associated with the presence of
human bocavirus at varying viral loads, suggesting prolonged shedding or
reactivation of the virus.
PMID- 18038237
TI - Goblet cells: are they an unspecific barrier against Giardia intestinalis or a
gate?
AB - Giardiosis is one of the major intestinal parasitic diseases of human beings as
well as wild and domesticated animals. Several protective mechanisms against
infection have been described. However, specific information about relationship
between giardiosis and the increased proliferation of goblet cells (GC) in
patients infected with Giardia intestinalis (Syn. G. duodenalis, G. lamblia) is
scarce. In this work, we compare and quantify the number of GC, and have inferred
their metabolic state in the small intestine of dogs parasitized with Giardia
intestinalis compared to dogs without parasites. Small intestine segments were
processed using routine methods for histology and electron microscopy; areas and
cells were screened with an Axiovision Ver. 4.0 system. Data were analyzed by
ANOVA and comparison of averages. Parasitized dogs showed higher GC numbers than
nonparasitized ones. Averages were: 20+/-0.81 GC/25 microm(2) with independent
mucin granules and 11+/-1.53 GC/25 microm(2) that were expelling mucus, compared
to 11+/-0.94 GC/25 microm(2) and 1+/-0.27 GC/25 microm(2), respectively, in
nonparasitized dogs (Tukey, p<0.001). The increases in GC number seem to be an
unspecific defensive mechanism against Giardia trophozoites. However, we found
some evidence supporting that GC hyperplasia could be a prejudicial to epithelial
barrier that gives rise to gates allowing for Giardia-tissue invasion.
PMID- 18038238
TI - Demonstration of Balamuthia and Acanthamoeba mitochondrial DNA in sectioned
archival brain and other tissues by the polymerase chain reaction.
AB - Granulomatous amoebic encephalitis (GAE) is a usually fatal disease caused by the
free-living amoebae Balamuthia mandrillaris and Acanthamoeba spp. The intent of
this study was to determine if the polymerase chain reaction (PCR) could be used
retrospectively to detect amoeba mitochondrial 16S rRNA gene DNA in confirmed
archival tissue sections from GAE cases stored in our laboratories for 1 to 34
years. The DNA was extracted from deparaffinized sections, and appropriate primer
sets for each of the two amoebae were used for amoeba DNA detection. Indirect
immunofluorescent (IIF) staining of tissue sections was used as the standard for
identification of amoebae against which the PCR results were compared. Sixty
slides from a total of 56 cases were processed by PCR for amoeba 16S DNA. In 28
slides (47%), there was agreement between the IIF and PCR results. In 41 of the
slides (52%), no amoeba DNA was detected after PCR. In one slide (1%), the PCR
and IIF results did not agree. While PCR supported IIF findings in about half of
the slides, there are significant limitations in amoeba DNA identifications in
formalin-fixed brain tissues. Degradation of amoeba DNA caused by formalin
fixation was probably a factor in limiting valid results.
PMID- 18038240
TI - Stage 2 hypertension in a child with a rapidly enlarging kidney: question.
Burkitt's lymphoma.
PMID- 18038239
TI - Renal manifestations of Dent disease and Lowe syndrome.
AB - To date, two responsible genes for the development of Dent disease have been
identified: CLCN5 and OCRL1. In this study, genotype-phenotype correlations were
studied in patients with Dent disease and those with Lowe syndrome. Among the 12
boys with a phenotype typical of Dent disease, nine had a mutation in CLCN5 (Dent
disease 1), two had a mutation in OCRL1 (Dent disease 2), and one had no
mutations in either gene. All seven boys with a clinical diagnosis of Lowe
syndrome had a mutation in OCRL1. Patients with Lowe syndrome showed more
frequent hypophosphatemia/rickets and more prominent tubular proteinuria than
patients with Dent disease 1, and patients with Dent disease 2 had higher degree
of tubular proteinuria and hypercalciuria than patients with Dent disease 1.
Additionally, one patient with Dent disease 2 showed a mild degree of
developmental delay, elevated serum muscle enzyme levels, and cryptorchidism. In
this study, the genetic heterogeneity in Dent disease and the phenotypic
heterogeneity in Lowe syndrome were confirmed. In patients with Dent disease, the
presence of the above-mentioned extrarenal manifestations indicates that it is
more likely that the patient is affected by Dent disease 2 than by Dent disease
1.
PMID- 18038241
TI - Stage 2 hypertension in a child with a rapidly enlarging kidney: answer.
Burkitt's lymphoma.
PMID- 18038242
TI - Detection of human bocavirus in Asturias, Northern Spain.
PMID- 18038243
TI - Bone structural effects of variation in the TNFRSF1B gene encoding the tumor
necrosis factor receptor 2.
AB - The 1p36 region of the human genome has been identified as containing a QTL for
BMD in multiple studies. We analysed the TNFRSF1B gene from this region, which
encodes the TNF receptor 2, in two large population-based cohorts. Our results
suggest that variation in TNFRSF1B is associated with BMD. INTRODUCTION: The
TNFRSF1B gene, encoding the TNF receptor 2, is a strong positional and functional
candidate gene for impaired bone structure through the role that TNF has in bone
cells. The aims of this study were to evaluate the role of variations in the
TNFRSF1B gene on bone structure and osteoporotic fracture risk in postmenopausal
women. METHODS: Six SNPs in TNFRSF1B were analysed in a cohort of 1,190
postmenopausal Australian women, three of which were also genotyped in an
independent cohort of 811 UK postmenopausal women. Differences in phenotypic
means for genotype groups were examined using one-way ANOVA and ANCOVA. RESULTS:
Significant associations were seen for IVS1+5580A>G with BMD and QUS parameters
in the Australian population (P = 0.008 - 0.034) and with hip BMD parameters in
the UK population (P = 0.005 - 0.029). Significant associations were also
observed between IVS1+6528G>A and hip BMD parameters in the UK cohort (P = 0.0002
- 0.003). We then combined the data from the two cohorts and observed significant
associations between both IVS1+5580A>G and IVS1+6528G>A and hip BMD parameters (P
= 0.002 - 0.033). CONCLUSIONS: Genetic variation in TNFRSF1B plays a role in the
determination of bone structure in Caucasian postmenopausal women, possibly
through effects on osteoblast and osteoclast differentiation.
PMID- 18038244
TI - Skeletal deterioration induced by RANKL infusion: a model for high-turnover bone
disease.
AB - RANKL was administered continuously to rats for 28 days to investigate its
potential as a disease model for the skeletal system. Bone turnover rates, bone
material, structural and mechanical properties were evaluated. RANKL infusion
caused overall skeletal complications comparable to those in high bone-turnover
conditions, such as postmenopausal osteoporosis. INTRODUCTION: RANKL is an
essential mediator for osteoclast development. No study has examined in detail
the direct skeletal consequences of excess RANKL on bone turnover,
mineralization, architecture, and vascular calcification. We, therefore,
administrated soluble RANKL continuously into mature rats and created a bone-loss
model. METHODS: Six-month-old Sprague-Dawley (SD) rats were assigned to three
groups (n = 12) receiving continuous administration of saline (VEH) or human
RANKL (35 microg/kg/day, LOW or 175 microg/kg/day, HI) for 28 days. Blood was
collected routinely during the study. At sacrifice, hind limbs and aorta were
removed and samples were analyzed. RESULTS: High dose RANKL markedly stimulated
serum osteocalcin and TRAP-5b levels and reduced femur cortical bone volume (
7.6%) and trabecular volume fraction (BV/TV) at the proximal tibia (-64% vs.
VEH). Bone quality was significantly degraded in HI, as evidenced by decreased
femoral percent mineralization, trabecular connectivity, and increased
endocortical bone resorption perimeters. Both cortical and trabecular bone
mechanical properties were reduced by high dose RANKL. No differences were
observed in the mineral content of the abdominal aorta. CONCLUSIONS: Continuous
RANKL infusion caused general detrimental effects on rat skeleton. These changes
are comparable to those commonly observed in high-turnover bone diseases such as
postmenopausal osteoporosis.
PMID- 18038245
TI - Age trends in proximal femur geometry in men: variation by race and ethnicity.
AB - Data on bone architecture in diverse male populations are limited. We examined
proximal femur geometry in 1,190 black, Hispanic, and white men. Cross-sectional
analyses indicate greatest bone strength among black men, and greater age-related
differences in bone strength among Hispanic men than other subjects at the narrow
neck and intertrochanter regions of the proximal femur. INTRODUCTION: Although
race/ethnic differences in bone mass are well-documented, less is known about
differences in bone architecture. We examined proximal femur geometry in a
diverse, randomly-sampled population of 1,190 community-dwelling men (age 30-79
y). METHODS: Dual X-ray absorptiometry scans were obtained for 355 black, 394
Hispanic, and 441 white subjects. Measures were obtained for the narrow neck
(NN), intertrochanter (IT) and shaft regions of the proximal femur via hip
structural analysis. Analyses considered bone mineral density (BMD, g/cm2), outer
diameter (cm), cross-sectional area (CSA, cm2), section modulus (Z, cm3), and
buckling ratio (BR). Results were adjusted for height, weight and physical
activity level. RESULTS: Black subjects exhibited greater age-specific BMD, CSA
and Z, than their white counterparts. For instance, at age 50 y, NN BMD was
approximately 11% higher among black men (p < 0.001). Hispanic men exhibited
sharper age-related differences in NN and IT BMD than did others. IT BMD, for
instance, decreased by 2.4% with 10 y age among Hispanic subjects, but had
virtually no age trend in others (p < 0.001). CONCLUSIONS: These results imply
greater bone strength among black American men than among their white
counterparts, and may indicate elevated fracture risk among older Hispanic
American subpopulations.
PMID- 18038247
TI - Description of by-product inhibiton effects on biodesulfurization of
dibenzothiophene in biphasic media.
AB - As several authors have reported previously, the Biodesulfurization of
hydrodesulfurization recalcitrants, such as dibenzothiophene, is not yet
commercially viable because mass transfer limitations and feedback inhibition
effects are produced during the conversion. This work has been focused to
investigate the inhibition process in aqueous and oil-water systems with two
different aerobic biocatalysts types, Rhodococcus erythropolis IGTS8 and
Pseudomonas putida CECT 5279. The results obtained have proven that global DBT
desulfurization process using CECT 5279 was not clearly deactivated due to final
product accumulation, under the experimental conditions assayed. Consistently,
the desulfurization pattern has been described with the Michaelis-Menten
equation, determining the kinetic parameters. On other hand, the assays have
shown that important mass transfer limitations produced the decrease of the
yields obtained with this Gram(-) strain in biphasic media. With strain IGTS8 it
was observed lower mass transfer problems, but contrary the reaction was severely
affected by the final product accumulation, in both aqueous and biphasic systems.
Therefore it has been proposed an enzymatic kinetic model with competitive
inhibition to describe the BDS evolution pattern when this Gram(+) strain was
used.
PMID- 18038246
TI - The effect of physician and health plan market concentration on prices in
commercial health insurance markets.
AB - The objective of this paper is to describe the market structure of health plans
(HPs) and physician organizations (POs) in California, a state with high levels
of managed care penetration and selective contracting. First we calculate
Herfindahl-Hirschman (HHI) concentration indices for HPs and POs in 42 California
counties. We then estimate a multivariable regression model to examine the
relationship between concentration measures and the prices paid by HPs to POs.
Price data is from Medstat MarketScan databases. The findings show that any
California counties exhibit what the Department of Justice would consider high
HHI concentration measures, in excess of 1,800. More than three quarters of
California counties exhibit HP concentration indices over 1,800, and 83% of
counties have PO concentration levels in excess of 1,800. Half of the study
counties exhibited PO concentration levels in excess of 3,600, compared to only
24% for plans. Multivariate price models suggest that PO concentration is
associated with higher physician prices (p < or = 0.05), whereas HP concentration
does not appear to be significantly associated with higher outpatient commercial
payer prices.
PMID- 18038248
TI - Chronic obstructive pulmonary disease and mortality following hip fracture: a
population-based cohort study.
AB - INTRODUCTION: Mortality rates after hip fracture have not declined in 20 years.
We assessed the impact of chronic obstructive pulmonary disease (COPD) on
mortality after hip fracture, and compared mortality in this cohort to persons
without hip fracture in a population-based prospective cohort study. METHODS:
Using Danish health care registries, we identified persons >or=40 years old with
first-time hospitalization for hip fracture between 1/1/1998 and 1/31/2003.
Hospitalization for COPD was assessed from hospital discharge registries. Using
Cox regression, we computed relative risks (RR) and 95% confidence intervals (CI)
for mortality endpoints among persons with COPD compared to persons without COPD.
Mortality following hip fracture was also compared to age and gender matched
controls without hip fracture. RESULTS: We identified 11, 985 persons with first
time hospitalization for hip fracture; 771 (6.4%) had a diagnosis of COPD.
Average follow up was 22 months. Compared to persons without COPD, mortality
following hip fracture in persons with COPD was RR=1.58 (95% CI 1.30-1.90) at 30
days, RR=1.52 (95% CI 1.30-1.77) at 90-days, RR=1.58 (95% CI 1.40-1.78) at 1
year, and RR=1.71 (95% CI 1.55-1.88) overall. The 1-year mortality in persons
with hip fracture and COPD was approximately 3-5 times greater than in controls
without hip fracture. CONCLUSIONS: In this cohort, persons with COPD have a 60
70% higher risk of death following hip fracture than those without COPD. In
addition, hip fracture and COPD increased 1-year mortality 3-5 times that of
persons without hip fracture.
PMID- 18038249
TI - The influence of plectin deficiency on stability of cytokeratin18 in
hepatocellular carcinoma.
AB - Intermediate filaments are important in building the cellular architecture.
Previously we found cytokeratin18 was modulated in human hepatocellular
carcinoma. Plectin is a cross-linking protein that organizes the cytoskeleton
into a stable meshwork, which can maintain the uniform size and shape of
hepatocytes. Because the cells of hepatocellular carcinoma were morphologically
different from the hepatocytes, we speculated that expression of plectin and
organization of intermediate filament might play roles in the pleomorphism of
hepatocellular carcinoma cells. In this paper, we studied the plectin expression
of hepatocellular carcinoma and liver tissues by immunohistochemistry and
immunoblot. The results revealed that plectin was deficient and cytokeratin18 was
modulated in hepatocellular carcinoma. Furthermore, we knockdown the plectin mRNA
in Chang cells, the result revealed the plectin was deficient and the
organization of cytokeratin18 was altered. Conclusively, this study offers a
hypothesis that plectin deficient might play an important role in the
tumorigenesis of hepatocellular carcinoma.
PMID- 18038250
TI - On the rising trends of incidence and prognosis for breast cancer patients
diagnosed 1975-2004: a long-term population-based study in southeastern
Netherlands.
AB - BACKGROUND: Much progress has been made in the early diagnosis and treatment of
breast cancer. We have assessed the changing burden of this disease, by means of
a comprehensive description of trends in incidence, survival, and mortality.
METHODS: Data on breast cancer patients diagnosed between 1975 and 2004 (n =
26,464) registered in the population-based Eindhoven Cancer Registry were
investigated. RESULTS: Incidence for patients aged below 40 and 40-49 has
increased by 2.1% and 2.4% annually, since 1995 (p = 0.08 and p = 0.001,
respectively). Mortality decreased in all age groups, but most markedly among
women aged 50-69 (-1.5% yearly since 1985, p = 0.14). The proportion of stage I
tumors increased from 25% to 39%, that of advanced stages (III & IV) decreased
from 30% (1975-1984) to 13% in 1995-2004, and the proportion of in situ tumors
increased from 1.5% to 10%. Adjuvant systemic treatment was administered to 15%
of patients in 1975-1984 vs. 49% in 1995-2004. Relative 10-year survival rates
for women aged 50-69 (period analysis) increased from 53% to 75% between 1975 and
2004. The best prognosis was observed for women aged 45-54. Women younger than 35
had a particularly poor prognosis. CONCLUSION: The observed improvement in
survival of breast cancer patients during the last three decades is impressive.
The peak in breast cancer incidence is not yet in sight considering the recent
trends in exposure to known risk factors and improved diagnosis. The combination
of increasing incidence and improved survival rates implies that the number of
prevalent cases will continue to increase considerably in the next 10 years.
PMID- 18038251
TI - RGS5 expression is a quantitative measure of pericyte coverage of blood vessels.
AB - Pericytes play a key role in the process of vascular maturation and stabilization
however, the current methods for quantifying pericyte coverage of the
neovasculature are laborious and subjective in nature. In this study, we have
developed an objective, sensitive, and high-throughput method for quantifying
pericyte coverage of angiogenic vessels by analyzing the expression of the
pericyte-specific gene, the regulator of G-protein signaling 5 (RGS5). We
determined that RGS5 expression was up-regulated during a defined developmental
time period in which nascent vessel sprouts acquired a pericyte covering.
Furthermore, RGS5 expression was dramatically reduced in vessels with poor
pericyte coverage compared to normal angiogenic vasculature. Finally, we
determined that the susceptibility of nascent vessels to regression by vascular
endothelial growth factor (VEGF) inhibition was significantly reduced following
RGS5 up-regulation, further implicating RGS5 in pericyte-endothelial cell
interactions and the vascular maturation process. These studies establish the use
of RGS5 gene expression as a quantitative and robust measure of pericyte coverage
of neovasculature. This method provides a tool for vascular biologists studying
pericyte-endothelial cell interactions and vascular maturation in both normal and
pathological conditions, such as diabetic retinopathy and cancer.
PMID- 18038252
TI - Characterisation of potential virulence markers in Pseudomonas aeruginosa
isolated from drinking water.
AB - Pseudomonas aeruginosa isolates from tap water, mineral water, and artesian well
water were investigated for their ability to produce different potential
virulence factors or markers such as hemolysins, hemaglutinins, cytotoxins and
their ability to adhere to epithelial cells and to abiotic surfaces. The
susceptibility to antibiotics, human serum sensitivity and the survival of P.
aeruginosa isolates in a chlorinated environment were also examined. Of the 30
isolates tested, 16 possessed the capacity to adhere to abiotic surfaces, and 28
to adhere to epithelial cells; 30 were capable of producing hemolysins, 27
produced cytotoxins, 9 hemagglutinins, and 18 were classified as serum-resistant.
For the lowest concentration of chlorine (0.2 mg/l) tested, no killing of biofilm
bacteria could be discerned, even after prolonged exposure to the agent. Although
all the drinking water isolates were susceptible to aztreonam, cefepime,
ceftazidime, ciprofloxacin, imipenem, meropenem, piperacillin-tazobactam, and
polymyxin, the P. aeruginosa isolates were resistant to one or more antibiotics.
The increasing prevalence of resistance in the isolates from environmental
sources may have important therapeutic implications. A notable proportion of the
P. aeruginosa isolates from drinking water were able to develop virulence
factors, and the incidence of virulence properties was not statistically
different among the three sources. A more extensive study of the virulence
properties of this bacterium by toxic assays on animals should be explored. Still
more interesting would be toxicity assays on immuno-deficient animals with
isolates from drinking water in order to better understand the health risk these
bacteria may present.
PMID- 18038253
TI - Efficacy and safety of a combination of Sabal and Urtica extract in lower urinary
tract symptoms--long-term follow-up of a placebo-controlled, double-blind,
multicenter trial.
AB - In an open-label extension of a randomized, double-blind clinical trial, the long
term efficacy and tolerability of a fixed combination of 160 mg Sabal fruit
extract WS 1473 and 120 mg Urtica root extract WS 1031 per capsule (PRO 160/120)
were investigated in elderly men with moderate or severe lower urinary tract
symptoms (LUTS) caused by benign prostatic hyperplasia (BPH). Two hundred and
fifty-seven patients were randomly treated with 2 x 1 capsule/day PRO 160/120 or
placebo for 24 weeks, followed by a 24-week control period and a 48-week follow
up period in which all patients received PRO 160/120. Efficacy measures included
the assessment of LUTS [International Prostate Symptom Score ((I-PSS) self-rating
questionnaire] and uroflow and sonographic parameters. Two hundred and nineteen
subjects participated in the follow-up. Between baseline and end of observation
(week 96) the I-PSS total score was reduced by 53% (P < 0.001), peak and average
urinary flow increased by 19% (P < 0.001), and residual urine volume decreased by
44% (P = 0.03). The incidence of adverse events during follow-up was one in 1,181
treatment days; in only one event a causal relationship with intake of PRO
160/120 could not be excluded. Treatment with PRO 160/120 thus provides a
clinically relevant benefit over a period of 96 weeks.
PMID- 18038259
TI - Proliferation-specific genes activated by Galpha(12): a role for PDGFRalpha and
JAK3 in Galpha(12)-mediated cell proliferation.
AB - Galpha(12), the alpha-subunit of G protein G12, is ubiquitously expressed and it
has been identified as a putative "causative oncogene" of soft-tissue sarcomas.
Overexpression of wild-type or GTPase-deficient mutant of Galpha(12)
(Galpha(12)Q229L or Galpha(12)QL) leads to the oncogenic transformation of NIH3T3
cells. Galpha(12)QL-tramsformed NIH3T3 cells show a distinct oncogenic phenotype
defined by increased cell proliferation, anchorage-independent growth, reduced
growth-factor dependency, attenuation of apoptotic signals, and neoplastic
cytoskeletal changes. In this study, the genes contributing to the reduced growth
factor dependency of Galpha(12)QL-NIH3T3 cells were identified by transcription
profiling of serum-starved Galpha(12)QL-transformed NIH3T3 (Galpha(12)QL-NIH3T3)
cells. Results from these studies indicate that Galpha(12)QL stimulates the
expression of genes that promote cell growth. The increased expressions of growth
promoting genes in Galpha(12)QL-NIH3T3 cells were validated by semiquantitative
reverse transcription-polymerase chain reaction and immunoblot analyses. Further
studies aimed at investigating the critical role of two of such upregulated
genes, namely PDGFRalpha and JAK3, indicated that the inhibition of PDGFRalpha or
JAK3 activity-attenuated Galpha(12)QL-mediated serum-independent cell
proliferation. These studies point to possible novel autocrine and/or paracrine
control mechanisms involving PDGFRalpha and JAK3 in Galpha(12)-mediated
proliferation and oncogenesis.
PMID- 18038264
TI - Use of experimental design in the optimisation of stir bar sorptive extraction
followed by thermal desorption for the determination of brominated flame
retardants in water samples.
AB - A method for the determination of polybrominated diphenyl ethers (PBDEs) and
polybrominated biphenyls (PBBs) in water samples is proposed. The method
involving stir bar sorptive extraction (SBSE) and thermal desorption followed by
gas chromatography coupled with mass spectrometry was optimised using statistical
design of experiments. In the first place, the influence of different
polydimethylsiloxane stir bars was studied. A Plackett-Burman design was chosen
to estimate the influence of five factors on the efficiency of the SBSE process:
desorption time (5-10 min), desorption temperature (250-300 degrees C),
desorption flow (50-100 mL min(-1)), cryofocusing temperature (-130 to 40 degrees
C) and vent pressure (0-12.8 psi). Afterwards, two central composite designs were
used to find the optimal process settings that were applied to the optimisation
of both desorption and extraction efficiency. In the case of the desorption
parameters, long desorption times (10 min) and desorption flows lower than 70 mL
min(-1) yielded the best signals for the majority of compounds. However,
different behaviour among the analytes was observed for the vent pressure and we
decided to fix it at an intermediate value (7 psi). In the case of extraction
parameters, the sample volume and the addition of NaCl did not have a significant
effect, while the addition of methanol yielded better extraction responses.
Remarkable recovery (82-106%) and repeatability (less than 18%) were attained.
Furthermore, excellent regression coefficients (r2 = 0.991-0.999) and low
detection limits (1.1-6.0 ng L(-1)) were also achieved for the congeners studied.
The proposed method was applied to the analyses of PBDEs and PBBs in waters from
the Basque Country, Spain.
PMID- 18038266
TI - Nosocomial pneumonia - are economical considerations important?
PMID- 18038265
TI - Cortical silent period following TMS in a patient with supplementary sensorimotor
area seizures.
AB - The cortical silent period (CSP) following transcranial magnetic stimulation
(TMS) was evaluated in a patient with a dysembrioplastic neuroepithelial tumor
(DNET) in the lateral portion of the right superior frontal gyrus (SFG) who
suffered from supplementary sensorimotor area (SSMA) seizures. CSP duration was
shortened on the affected side. Ipsilateral alterations of motor cortex
excitability with TMS in epileptogenic DNET located outside the PMA argue in
favour of cortico-cortical connections to primary motor cortex from SSMA. This
functional connectivity should be taken into consideration to better understand
the pathophysiology of ictal motor manifestations.
PMID- 18038267
TI - Characterization of typo-, regio-, and stereo-selectivities of babaco latex
lipase in aqueous and organic media.
AB - The unripe fruit of babaco (Vasconcellea x heilbornii; syn. Carica pentagona)
contains a latex, similar to that in Carica papaya, which exhibits lipolytic
activity. Herein, the regioselectivity, stereoselectivity and typoselectivity in
both hydrolysis and acyltransfer reactions of babaco latex lipases were studied
and compared to those of Carica papaya latex. In hydrolysis, both biocatalysts
are 1,3-regioselective with ratios for 1,2-2,3-diacylglycerols/1,3-diacylglycerol
of 6.5 and 21 for babaco and papaya, respectively. In contrast, papaya latex had
a slight sn-3 stereopreference. Babaco latex displayed a higher activity on
triacylglycerols with short chain and unsaturated fatty acids.
PMID- 18038268
TI - Cloning and expression of glucose regulated protein 78 (GRP78) in Fenneropenaeus
chinensis.
AB - GRP78 (78 kDa glucose-regulated protein), also known as BiP (immunoglobulin heavy
chain-binding protein), is an essential regulator of endoplasmic reticulum (ER)
homeostasis because of its multiple functions in protein folding, ER calcium
binding, and controlling of the activation of transmembrane ER stress sensors. In
this report, we cloned the full length cDNA of GRP78 (FcGRP78) from Chinese
shrimp Fenneropenaeus chinensis. This cDNA revealed a 2,325 bp with 1,968 bp open
reading frame encoding 655 amino acids. This is the first reported GRP78 gene in
Crustacea. The deduced amino acid sequence of FcGRP78 shared high identity with
previously reported insect GRP78s: 86, 87 and 85% identity with GRP78s of
Drosophila melanogaster, Aedes aegypti and Bombyx mori, respectively. Northern
blot analysis shows that FcGRP78 is ubiquitously expressed in tissues of shrimp.
Heat shock at 35 degrees C significantly enhanced the expression of FcGRP78 at
the first hour, reached the maximum at 4 h post heat shock, dropped after that
and resumed to the normal level until 48 h of post recovery at 25 degrees C.
Additionally, differential expression of FcGRP78 was detected in haemocytes,
hepatopancreas and lymphoid organ when shrimp were challenged by white spot
syndrome virus (WSSV). We inferred that FcGRP78 may play important roles in
chaperoning, protein folding and immune function of shrimp.
PMID- 18038269
TI - Lysophosphatidic acid-induced interleukin-1 beta expression is mediated through
Gi/Rho and the generation of reactive oxygen species in macrophages.
AB - Lysophosphatidic acid (LPA), a low-molecular-weight lysophospholipid enriched in
platelets and mildly oxidized low-density lipoproteins, is known to regulate
inflammation and atherosclerosis by binding to its cognate receptors. In this
study, we reported that LPA upregulated interleukin-1 beta (IL-1 beta) expression
in mouse J774A.1 macrophages. By using pharmacological inhibitors, it was
suggested that G(i)/Rho activation and subsequent reactive oxygen species (ROS)
production were involved in IL-1 beta induction. In addition, IL-1 beta induction
by LPA was also observed in human primary macrophages. In summary, LPA is
involved in the processes of inflammation by affecting macrophage behavior.
PMID- 18038271
TI - Cardioprotection of neonatal heart using normothermic hyperkalaemia: the
importance of delivery and terminal cardioplegia.
AB - Cardioprotection of immature hearts remains controversial and largely based on
the use of hypothermic cardioplegia. Recent clinical trials in pediatric open
heart surgery suggest that normothermic cardioplegic arrest is also
cardioprotective. However, the advantages of using normothermic cardioplegia
delivered as single- or multi-dose with or without terminal cardioplegia are
unknown. This work investigates the efficacy of these techniques and the
mechanism(s) underlying their protective effect. Neonatal (7-10 days) rabbit
hearts in a working mode were exposed to normothermic global ischemia (60 or 90
min) protected with one of the following cardioplegic (hyperkalaemic buffer)
protocols: single-dose, multi-dose infused every 30 min, single-dose or multi
dose with terminal cardioplegia. The extent of functional recovery (e.g., aortic
and coronary flow), ischemic stress (e.g., myocardial ATP, lactate) and
reperfusion injury (lactate dehydrogenase (LDH) release) were assessed. Recovery
following 60 min global ischemia was improved (p < 0.05) by single-dose and multi
dose cardioplegic delivery (from 5% to 60% and 80%, respectively). Improved
recovery was augmented by 2 min terminal cardioplegia (to 90% and 97% for single
dose and multi-dose, respectively). Extending ischemia to 90 min with single-dose
resulted in 0% recovery that was not improved by 2 min terminal cardioplegia.
However, 5 min (not 10 min) terminal cardioplegia significantly improved recovery
(32%). Multi-dose followed by 5 min terminal cardioplegia resulted in full
recovery. Cardioprotective interventions were associated with a reduction in LDH
release and attenuated changes in myocardial metabolites. During normothermic
cardioplegic arrest of neonatal heart: (i) multi-dose is superior to single-dose;
(ii) terminal cardioplegia confers additional protection to single-dose and multi
dose; and (iii) protection is likely to be due to metabolic preservation.
PMID- 18038270
TI - Human tau protein forms complex with PrP and some GSS- and fCJD-related PrP
mutants possess stronger binding activities with tau in vitro.
AB - Microtubule associated protein tau is considered to play roles in some types of
human transmissible spongiform encephalopathies (TSE). In this study, the full
length and several truncated human tau proteins were expressed from E. coli and
purified. Using GST pull down, co-immunoprecipitation assay and tau-coated ELISA,
the molecular interaction between tau protein and PrP was confirmed in the
context of the full-length human tau. The N terminus (amino acids 1-91) and
tandem repeats region (amino acids 186-283) of tau protein were responsible for
the interaction with PrP. The octapeptide repeats within PrP directly affected
the binding activity of PrP with tau. GSS-related mutant PrP102L and fCJD-
related mutants with two and seven extra octarepeats showed more active binding
capacity with tau than wild-type PrP. The molecular interactions between PrP and
tau protein highlight a potential role of tau in the biological function of PrP
and the pathogenesis of TSE.
PMID- 18038272
TI - Methylmercury exposure associated with reduced productivity in common loons.
AB - Methylmercury can impair the reproduction of fish-eating wildlife. We measured
lake pH, mercury (Hg) concentrations in small fish, blood Hg levels in adult
male, female and juvenile common loons, and loon productivity from 120 lakes in
Wisconsin, USA and New Brunswick and Nova Scotia, Canada (Maritimes). Mean lake
pH was higher in Wisconsin than in the Maritimes. Body masses of adult loons and
Hg concentrations in the blood of loons and in small fish were greater in the
Maritimes. Hg levels in fish increased with lake acidity. Abundance of small fish
increased in acidic lakes in the Maritimes. Blood Hg concentrations in adult and
juvenile loons decreased with lake pH and increased with Hg levels in fish prey.
Hg levels in male, female and juvenile loons were 22, 16 and 2.3 times greater
than those in small fish. Loon Hg exposure, measured either as Hg levels in
female loon blood or in fish prey, appeared to impose an upper limit on loon
productivity. Loon productivity decreased as Hg exposure increased. Quantile
regression analysis indicated that maximum observed loon productivity dropped 50%
when fish Hg levels were 0.21 ug/g (wet wt), and failed completely when fish Hg
concentrations were 0.41 ug/g.
PMID- 18038273
TI - Risedronate for prevention of bone mineral density loss in patients receiving
high-dose glucocorticoids: a randomized double-blind placebo-controlled trial.
AB - This 6-month randomized double-blind placebo-controlled trial shows that
risedronate is well tolerated and effective in improving lumbar spine BMD and
reducing loss of BMD at the hips in patients receiving high-dose prednisolone.
INTRODUCTION: Bisphosphonates have proven benefits in patients receiving chronic
low-dose glucocorticoids. However, whether they are effective in preventing bone
mineral density (BMD) loss during periods of high-dose glucocorticoid treatment
is unclear. The objective of this paper is to study the efficacy of risedronate
in preventing bone mineral density (BMD) loss in users of high-dose
glucocorticoids. METHODS: Adult patients with medical diseases treated with high
dose prednisolone (>0.5 mg/kg/day) were randomized to receive risedronate (5
mg/day) or placebo for 6 months in a double-blind manner, along with elemental
calcium (1,000 mg/day). Changes in BMD were studied. RESULTS: One hundred and
twenty patients were recruited (82 women, age 42.8 +/- 14.3 years, 63%
corticosteroid-naive, 30% women postmenopausal) and 103 completed the study.
Baseline clinical characteristics and BMD were similar in the risedronate and
placebo groups. At 6 months, a significant gain in spinal BMD was observed in the
risedronate group (+0.7 +/- 0.3%; p = 0.03) but a drop was detected in the
placebo group (-0.7 +/- 0.4%; p = 0.12). After adjustment for baseline BMD, age,
gender, body mass index and cumulative prednisolone dosages, the inter-group
difference in spinal BMD remained significant (1.4%; p = 0.006). Both groups had
a significant drop in hip BMD, but the magnitude was greater in the placebo arm (
0.8 +/- 0.4% in risedronate versus -1.3 +/- 0.5% the in placebo). No new
fractures developed. Subgroup analysis of corticosteroid-naive patients yielded
similar results. Upper gastrointestinal adverse events were numerically more
frequent in the risedronate group. CONCLUSIONS: Risedronate improves spinal BMD
in users of high-dose glucocorticoids.
PMID- 18038274
TI - Antitumour effect of combination treatment with Sabarubicin (MEN 10755) and cis
platin (DDP) in human lung tumour xenograft.
AB - PURPOSE: Sabarubicin (MEN 10755), a new disaccaride anthracycline, has shown
greater efficacy than Doxorubicin in a large panel of preclinical models and now
it is in phase II clinical trials. Its promising antitumour activity promoted
considerable interest to combine Sabarubicin with other antitumour agents. Thus,
the purpose of this study was to evaluate in vitro cytotoxic effects and in vivo
antitumour activities produced by the combination of Sabarubicin and cisplatin
(DDP). METHODS: The antitumour effect of Sabarubicin and DDP association was
investigated, in vitro and in vivo, in preclinical models of lung cancer i.e.:
the non-small cell lung carcinoma (NSCLC) H460 and the small-cell lung carcinoma
(SCLC) GLC4 in terms of synergism, additivity or antagonism in order to establish
the best schedule for the combined treatment. Further, the correlation between
antitumour activity and the pharmacokinetic parameters of the studied combination
was also evaluated. RESULTS: The drug combination in vitro was in general more
cytotoxic than the single drug alone, indicating the presence of a synergistic
effect in both tumour cell lines. Also, in the xenograft experiments a superior
antitumoral effect was observed when Sabarubicin was combined with DDP. The
antitumour efficacy of Sabarubicin (6 mg/kg q4d x 5) combined with DDP (6 mg/kg
q4d x 3) greatly depended on the schedule of administration. In H460 tumour line,
the sequential combination was more effective than the simultaneous
administration of the two agents, although the antitumour efficacy was not
dependent on the sequence of combination. On the other hand, a strong sequence
dependent effect was observed when Sabarubicin was combined with DDP in SCLC,
GLC4. In particular, the highest value of LCK = 6.7 was obtained when
administration of DDP followed by 24 h that of Sabarubicin. Pharmacokinetics of
Sabarubicin in combination with DDP was evaluated at 6 mg/kg for both drugs with
different sequential schedule. The experimental data showed no evidence for
pharmacokinetics drug-drug interaction. CONCLUSION: These preclinical results
indicate the potential for a strong antitumour activity in lung tumours of the
combination Sabarubicin and DDP. In particular, in SCLC the best response should
be given by a sequence with administration of Sabarubicin followed 24 h later by
that of DDP. Clinical trials based on these results are ongoing.
PMID- 18038276
TI - Novel sound production through contingency learning in the Pacific walrus
(Odobenus rosmarus divergens).
AB - Walruses (Odobenus rosmarus) are highly vocal amphibious mammals with a range of
anatomical specializations that can provide plasticity to their sound emissions.
The objective of this descriptive study was to determine whether contingency
learning could be used to increase variability and induce novelty in the acoustic
behavior of walruses. The subjects were two twelve-year-old captive walruses, a
male and a female that had previously been conditioned using food reinforcement
to produce several specific sounds in response to different discriminative cues.
In the current task, these individuals were encouraged to produce novel sounds
and novel sound combinations in air by withholding reinforcement for sounds
previously emitted in a given session and providing reinforcement only for
qualitative differences in emitted sounds. Following training in air, the
walruses were tested under water with the same reinforcement contingency. The
subjects responded as they had done in air, by varying their underwater sound
emissions until reinforcement was provided. Many of the sounds and sound
combinations produced by the subjects during underwater testing were quite
different from those produced during training in air and those produced under
water during baseline observations. Both the male and female spontaneously
emitted knocks and soft bells which are components of the songs known to be
emitted by mature male walruses during the breeding season. The finding that
reinforced variability can induce creativity in sound production is consistent
with recent experiments on budgerigar birds showing that vocal topographies, like
motor responses, may be influenced by contingency learning.
PMID- 18038277
TI - An investigation of douching practices in the botanicas of the Bronx.
AB - Douching is a common practice in women and has been associated with adverse
health outcomes. In order to explore douching products and practices we conducted
qualitative interviews in ten botanicas (stores that provide healing and
spiritual services to immigrant communities) located in New York City. We
interviewed 15 people, 14 of whom were botanica owners and employees and ten of
whom were women. We found that douching was not easily separated from the more
holistic concerns of botanica customers involving health, well-being and
spirituality. These issues included abortion, infertility, menopause, the
prevention and treatment of infections, sexuality, cleanliness, hygiene and
relationship issues. The vagina was seen as a sensitive, even vulnerable part of
the body, not clearly distinguished from other female organs. A variety of
products were used in the vagina in the form of creams, douches, suppositories,
baths and herbal steaming of the urogenital area. Alum, an astringent, was used
for the purposes of vaginal tightening to enhance sexual pleasure for the
partner, to make the vagina 'younger', or to hide evidence of infidelity.
Botanicas are part of a complex healing system with conceptual models different
from those of allopathic medicine. These models may not be unique to the
botanicas.
PMID- 18038278
TI - Secrecy, disclosure and accidental discovery: perspectives of diaphragm users in
Mombasa, Kenya.
AB - The diaphragm is receiving renewed attention as a promising female-controlled
method of preventing HIV and other sexually-transmitted infections. It is
anticipated that female-controlled technologies will reduce women's biological
susceptibility and assist in counteracting their sociocultural vulnerability to
HIV. Understanding the subjective experiences of diaphragm users in different
settings has the potential to inform the development and promotion of such
methods. This paper explores the perspectives of female sex workers and women
attending sexual and reproductive health services in Mombasa, Kenya. Data are
reported from focus group discussions and in-depth interviews with women and men,
following a prospective study investigating diaphragm continuation rates over six
months. Discussions highlighted covert use of the diaphragm, during sex work or
with casual partners, and coital independence as favourable attributes. These
features were especially pronounced compared with male condoms. Few difficulties
with diaphragm use were reported, although its insertion and removal occasionally
presented problems. Many women--especially those in long term partnerships-
wished to disclose its use but found the disclosure process highly problematic.
Accidental discovery often resulted in partner conflict. Although future uptake
of the diaphragm may be high in this setting, its use may be limited to certain
types of relationships and relationship context.
PMID- 18038279
TI - The limits of behaviour change theory: condom use and contexts of HIV risk in the
Kolkata sex industry.
AB - This paper uses ethnographic data from a sex workers' HIV project in India to
consider the appropriateness of individual, social/group and structural theories
of health behaviour when applied to HIV-prevention initiatives. Existing theories
are critiqued for their modernist representation of behaviour as determined by
individual rational decision-making processes or by external structural forces,
with inadequate recognition being given to the roles that human agency,
subjective meaning and local context play in everyday actions. Analysis of sex
workers' accounts of their sexual practices suggests that existing theories of
health behaviour can only partially account for sexual behaviour change
retrospectively and that they have limited predictive value with respect to the
outcomes of individual sexual encounters. Our data show that these outcomes were,
in fact, highly context dependent, while possibilities for action were ultimately
strongly constrained by structural forces. Findings suggest that interventions
need to adopt an integrated, structurally-oriented approach for promoting safer
sexual practices in sex work settings. Recognising that no one model of health
behaviour is likely to be adequate in explaining or predicting behaviour change
encourages responsiveness to local people's agency, recognises the different
(health- and non-health-related) registers of risk with which people operate and
encourages flexibility according to local contingencies and contexts.
PMID- 18038280
TI - HIV risk among long-haul truckers in the USA.
AB - Long-haul truck driving has been associated with HIV risk in developing countries
but little research to date has considered whether truckers in the USA may also
be at elevated risk for HIV and other STIs. This multi-method qualitative study
explored HIV-risk factors among regional and cross-country truck drivers who were
based in the southeastern USA. Data collection methods included focus groups
conducted at company hubs and over Citizen's Band (CB) radio, and key informant
interviews. Results indicated that sexual opportunities and risks are greater for
long-haul drivers who often travel for long periods without returning home and
who may engage in 'highway sex' in the absence of a regular home-life. Structural
factors that have reduced drivers' autonomy, sexual risk taking and free time
while traveling include recent trucking regulations, electronic surveillance and
industry-wide trends emphasizing speed and efficiency. Other factors, such as
age, experience, type of employment and type of route also play a role. Further
research is needed to determine if these qualitative findings can be quantified
in population-based studies that also include biomarker and incidence data.
PMID- 18038281
TI - Operationalising 'sexual orientation' in routine data collection and equality
monitoring in the UK.
AB - New legal provisions and regulatory practices in the UK have afforded protection
against discrimination for sexual orientation minorities and conferred rights
similar to those of heterosexually partnered couples. In addition, sexual
orientation has been recognised as one of the main equality strands in new
equality legislation and equality governance. Government departments and
statutory and other organisations now face the need to collect equal
opportunities and other data on these groups to monitor compliance. However,
there has been little track record in the UK of collecting data on this
dimension, resulting in issues of definition, categorisation, sample size and
potential measurement error having to be addressed from a negligible evidence
base. Limited survey data indicate significant problems relating to item non
response and misreporting, reflecting wider concerns about the sensitivity of the
data and disclosure. Given that sexual orientation is on track to be mainstreamed
in the context of workforce recruitment and service delivery, a strategy across
government and other sectors is needed to pool expertise and establish a shared
evidence base and stock of good practice.
PMID- 18038282
TI - From closet to heterotopia: a conceptual exploration of disclosure and 'passing'
among heterosexuals living with HIV.
AB - This paper explores how experiences of disclosure and passing among heterosexuals
living with HIV in Australia can be meaningfully conceptualised beyond
therapeutic discourses and habitual metaphors. It engages in a dialogue between
qualitative research material, HIV disclosure literature and theory. It is first
argued that an emphasis on the therapeutic value of disclosure in much of the
literature obscures the complexities of HIV stigma as socially produced and
lived. Next, the paper considers the concepts of 'the closet' and 'coming out',
which have become short-hand for a range of social stigmas. Although parallels
are found between the productive effects of the closet and the research material,
the idea of 'coming out' fails to capture the cultural context of HIV in
Australian heterosexual society. This raises questions about the contemporary
tendency to invoke the closet as a presumedly universal metaphor. Foucault's idea
of heterotopia is proposed as an alternative way of conceptualising the lived
worlds of disclosure and passing in this research study, with the hope of opening
out future discussion and theorising.
PMID- 18038283
TI - Marriage is not a safe place: heterosexual marriage and HIV-related vulnerability
in Indonesia.
AB - This paper examines the link between heterosexual marriage and women's
vulnerability to HIV in Indonesia. In this country, gender relations are
currently dominated by traditional beliefs and practices and by religious
morality. Data for the current study were collected by means of documentary
analysis and archival research as well as by means of expert informant
interviews. Findings suggest that traditional practices such as polygamy, early
marriage and contract marriage (mut'a) play an important role in enhancing
women's likelihood of acquiring HIV within the Indonesian context.
PMID- 18038275
TI - Alzheimer disease models and human neuropathology: similarities and differences.
AB - Animal models aim to replicate the symptoms, the lesions or the cause(s) of
Alzheimer disease. Numerous mouse transgenic lines have now succeeded in
partially reproducing its lesions: the extracellular deposits of Abeta peptide
and the intracellular accumulation of tau protein. Mutated human APP transgenes
result in the deposition of Abeta peptide, similar but not identical to the Abeta
peptide of human senile plaque. Amyloid angiopathy is common. Besides the
deposition of Abeta, axon dystrophy and alteration of dendrites have been
observed. All of the mutations cause an increase in Abeta 42 levels, except for
the Arctic mutation, which alters the Abeta sequence itself. Overexpressing wild
type APP alone (as in the murine models of human trisomy 21) causes no Abeta
deposition in most mouse lines. Doubly (APP x mutated PS1) transgenic mice
develop the lesions earlier. Transgenic mice in which BACE1 has been knocked out
or overexpressed have been produced, as well as lines with altered expression of
neprilysin, the main degrading enzyme of Abeta. The APP transgenic mice have
raised new questions concerning the mechanisms of neuronal loss, the accumulation
of Abeta in the cell body of the neurons, inflammation and gliosis, and the
dendritic alterations. They have allowed some insight to be gained into the
kinetics of the changes. The connection between the symptoms, the lesions and the
increase in Abeta oligomers has been found to be difficult to unravel.
Neurofibrillary tangles are only found in mouse lines that overexpress mutated
tau or human tau on a murine tau -/- background. A triply transgenic model
(mutated APP, PS1 and tau) recapitulates the alterations seen in AD but its
physiological relevance may be discussed. A number of modulators of Abeta or of
tau accumulation have been tested. A transgenic model may be analyzed at three
levels at least (symptoms, lesions, cause of the disease), and a reading key is
proposed to summarize this analysis.
PMID- 18038286
TI - Sequential activation of multiple grounding pads reduces skin heating during
radiofrequency tumor ablation.
AB - PURPOSE: Radiofrequency (RF) tumor ablation has become an accepted treatment
modality for tumors not amenable to surgery. Skin burns due to ground pad heating
may become a limiting factor for further increase in ablation zone dimensions and
generator power. We investigated a method were groups of ground pads are
sequentially activated to reduce skin heating. METHODS: We compared conventional
operation (i.e. simultaneous connection of all pads) to sequentially switched
activation of the pads where different pad combinations are active for periods of
approximately 0.3 - 8 s. The timing during sequential activation was adjusted to
keep the leading edge temperature equal between the pads. We created Finite
Element Method computer models of three pads (5 x 5 cm, 1 cm apart) placed in
line with the RF electrode on a human thigh to determine differences in tissue
heating during simultaneous and sequential ground pad activation. We performed
experiments with three ground pads (5 x 10 cm, 4 cm apart) placed on a tissue
phantom (1.5 A, 12 min) and measured pad surface and leading edge temperatures.
RESULTS: Temperature rise below the leading edge for proximal, middle and distal
ground pad in relation to active electrode location was 5.9 degrees C +/- 0.1
degrees C, 0.8 degrees C +/- 0.1 degrees C and 0.3 degrees C +/- 0.1 degrees C
for conventional operation, and 3.3 degrees C +/- 0.1 degrees C, 3.4 degrees C +/
0.2 degrees C and 3.4 degrees C +/- 0.2 degrees C for sequentially activated
operation in the experiments (p < 0.001). CONCLUSION: Sequential activation of
multiple ground pads resulted in reduced maximum tissue temperature. This may
reduce the incidence of ground pad burns and may allow higher power RF
generators.
PMID- 18038287
TI - Winner of the "New Investigator Award" at the European Society of Hyperthermia
Oncology Meeting 2007. The HYPERcollar: a novel applicator for hyperthermia in
the head and neck.
AB - The purpose of this work was to define all features, and show the potential, of
the novel HYPERcollar applicator system for hyperthermia treatments in the head
and neck region. The HYPERcollar applicator consists of (1) an antenna ring, (2)
a waterbolus system and (3) a positioning system. The specific absorption rate
(SAR) profile of this applicator was investigated by performing infra-red
measurements in a cylindrical phantom. Mandatory patient-specific treatment
planning was performed as an object lesson to a patient with a laryngeal tumour
and an artificial lymph node metastasis. Comfort tests with healthy volunteers
have revealed that the applicator provides sufficient comfort to maintain in
treatment position for an hour: the standard hyperthermia treatment duration in
our centre. By phantom measurements, we established that a central focus in the
neck can be obtained, with 50% iso-SAR lengths of 3.5 cm in transversal
directions (x/y) and 9-11 cm in the axial direction (z). Using treatment planning
by detailed electromagnetic simulations, we showed that the SAR pattern can be
optimised to enable simultaneous encompassing of a primary laryngeal tumour and a
lymph node metastasis at the 25% iso-SAR level. This study shows that the
applicator enables a good control, and sufficient possibilities for optimisation,
of the SAR pattern. In an ongoing clinical feasibility study, we will investigate
the possibilities of heating various target regions in the neck with this
apparatus.
PMID- 18038288
TI - Computational feasibility of deformable mirror microwave hyperthermia technique
for localized breast tumors.
AB - PURPOSE: Computational feasibility of a new non-invasive microwave hyperthermia
technique that employs dual deformable mirror is investigated using simplified
computational tools and anatomically realistic breast models. MATERIALS AND
METHODS: The proposed technique employs two pairs of electromagnetic sources and
continuously deformable mirrors to focus the electromagnetic radiation at the
target site for hyperthermia. The mirror functions like a continuum of radiating
elements that offer effective scan coverage inside the breast with efficient
field focusing at the target location. The electric field focusing and
temperature mapping in the two-dimensional numerical simulations are investigated
using wave propagation and bio-heat transfer models respectively. The method of
moments, a popular numerical simulation tool, is used to model the electric field
maintained by the deformable mirrors for continuous wave excitation. The
electromagnetic (EM) energy deposited by the mirrors is used in the steady state
bio-heat transfer equation to quantify the temperature distribution inside two
dimensional anatomically realistic breast models. RESULTS: Feasibility of the
proposed technique is evaluated using numerical breast models derived from
magnetic resonance images of patients with variation in breast density, age and
pathology. CONCLUSIONS: The computational study indicates preferential EM energy
deposition and temperature elevation inside tumor tissue with minimum collateral
damage to the neighboring normal tissues. Simulation results obtained for the
magnetic resonance (MR) breast data appear promising and indicate the merit in
pursuing the investigation using 3D computational models.
PMID- 18038289
TI - Antitumor effect of whole body hyperthermia with alpha-galactosylceramide in a
subcutaneous tumor model of colon cancer.
AB - AIM: Whole body hyperthermia (WBH) has been used clinically as an adjunct to
radio- and chemotherapy in patients with various cancers. Recently, it has been
reported that an activation of the immune system has recently been reported as a
possible contributor to the therapeutic effects of WBH. Conversely, the
glycolipid alpha-galactosylceramide (alpha-GalCer) is recognized by natural
killer (NK) T cells together with the monomorphic MHC-like antigen, CD1d, in mice
and humans. This study investigated the antitumor effects of WBH combined with
alpha-GalCer in a mouse subcutaneous tumor model of colon cancer. METHODS:
Colon26 cells were inoculated subcutaneously into male BALB/c mice to establish
subcutaneous tumor. Colon26-bearing mice were treated with WBH using far infrared
rays three times/week. Rectal temperature was maintained for 60 min at 41 degrees
C. In some experimental groups, alpha-GalCer was intraperitoneally injected
before WBH. We investigated the therapeutic effects of WBH, alpha-GalCer and
combined therapy. RESULTS: (1) Compared with controls, WBH alone resulted in
significant inhibition of tumor growth. (2) No inhibitory effect on tumor growth
was seen with alpha-GalCer. (3) The combination of WBH and alpha-GalCer showed
significant inhibition of tumor growth and prolongation of survival. (4) Serum
IFN-gamma increased after 3 h and returned to basal levels by 24 h after alpha
GalCer administration. (5) CTL activity was enhanced following combination
therapy with WBH and alpha-GalCer. CONCLUSION: WBH showed antitumor effects in a
mouse subcutaneous tumor model of colon cancer. Addition of alpha-GalCer
increased the efficacy of WBH, probably via enhancement of immune response.
PMID- 18038291
TI - World Health Organization Study Group on Interprofessional Education and
Collaborative Practice.
PMID- 18038290
TI - The new vascular disrupting agent combretastatin-A1-disodium-phosphate (OXi4503)
enhances tumour response to mild hyperthermia and thermoradiosensitization.
AB - PURPOSE: The aim of this study was to investigate the anti-cancer effect of the
novel vascular disrupting agent (VDA), combretastatin-A1-disodium-phosphate
(OXi4503), when combined with mild hyperthermia and/or radiation. MATERIALS AND
METHODS: A C3H mammary carcinoma was grown subcutaneously in the rear right foot
of female CDF1 mice, and treated when a volume of 200 mm(3) was reached. OXi4503
was administered intra-peritoneally at variable doses. Hyperthermia was
administered locally to the tumour-bearing foot using a thermostat-controlled
water bath. Radiation treatment was performed locally using a conventional X-ray
machine. Tumour response was assessed with either a tumour growth time or a
tumour control assay. RESULTS: The optimal delay between administration of 50
mg/kg of OXi4503 and hyperthermia was found to be 3 hours. The linear
relationship between tumour growth time (TGT) and heating time at a specific
temperature resulted in slope values between -0.003 days/min and 0.09 days/min at
temperatures between 40 degrees C and 42.5 degrees C. When combined with OXi4503
this was significantly increased to 0.008 days/min and 0.03 days/min at
temperatures between 39.5 degrees C and 41 degrees C, respectively. Above 41
degrees C, combined treatment did not result in significantly greater slope
values. The radiation dose required to control 50% of the tumours (TCD50) was 52
Gy. Combining radiation with either heat treatment at 41.5 degrees C for 1 hour
or OXi4503 reduced the TCD50 to 47 Gy and 41 Gy, respectively. Combining
radiation with heat and OXi4503 further reduced the TCD50 to 37 Gy. CONCLUSIONS:
OXi4503 is a highly potent VDA, which is capable of significantly enhancing the
anti-cancer effect of mild hyperthermia. Mild temperature
thermoradiosensitization was also enhanced.
PMID- 18038292
TI - Theory and practice in interprofessional ethics: a framework for understanding
ethical issues in health care teams.
AB - Interprofessional teamwork is an essential and expanding form of health care
practice. While moral issues arising in teamwork relative to the patient have
been explored, the analysis of ethical issues regarding the function of the team
itself is limited. This paper develops a conceptual framework for organizing and
analyzing the different types of ethical issues in interprofessional teamwork.
This framework is a matrix that maps the elements of principles, structures, and
processes against individual, team, and organizational levels. A case study is
presented that illustrates different dimensions of these topics, based on the
application of this framework. Finally, a set of conclusions and recommendations
is presented to summarize the integration of theory and practice in
interprofessional ethics, including: (i) importance of a framework, (ii)
interprofessional ethics discourse, and (iii) interprofessional ethics as an
emerging field. The goal of this paper is to begin a dialogue and discussion on
the ethical issues confronting interprofessional teams and to lay the foundation
for an expanding discourse on interprofessional ethics.
PMID- 18038293
TI - Facilitating interprofessional learning about human rights in public health
contexts: challenges and strategies.
AB - Occasions when public health practitioners engage in professional learning
increasingly involve them in encounters with (a) concepts that originate from
unfamiliar disciplines and that may be multidisciplinary, complex and sometimes
contested, (b) colleagues who have different discipline and profession
backgrounds, and (c) modes of learning and teaching that are unfamiliar. While
these factors can enhance both the processes and products of learning, they can
also present significant challenges when those learning occasions are designed
and facilitated. Drawing on our own reflected-on experience of working in such
contexts and a body of related literature, we elaborate on these interrelated
challenges and propose three strategies that can help address them. The
strategies entail encouragement and support for establishing common commitments
and values, perspective-taking and self-reflexivity, conversation and
storytelling. Specific examples of challenges and strategies are derived, in
particular, from a learning agenda associated with the mainstreaming of a human
rights approach to public health. That agenda requires practitioners to
understand the concept of human rights, appreciate its relevance for public
health work and be capable of integrating a human right perspective into their
day-to-day work.
PMID- 18038294
TI - Patient narratives: the potential for "patient-centred" interprofessional
learning?
AB - The central theme of the paper is concerned with the educational potential that
patient narratives may hold for improving patient-centred interprofessional care.
It follows the processes of a research project that was required to provide an
educational intervention in a multiprofessionally-staffed stroke rehabilitation
ward. It discusses the evolution of the project, focusing on the ways in which
patient narratives were constructed, the purposes they served, and the responses
of professionals to the narratives in subsequent workshops. Along the way, the
paper reflects on the responses of patients that problematise the notion of
"patient-centred" care. Together with the responses of professionals to the
narratives, the paper raises questions about the obstacles to and possibilities
for such care.
PMID- 18038295
TI - Universities preparing health professionals for the 21st century: can something
new come out of the traditional establishment?
AB - To address clinical education in chronic disease management, the University of
Alberta partnered with the local health authority to develop interprofessional
team placements in diabetes chronic disease management. The objectives were to
determine a meaningful and sustainable approach to interprofessional team
placements. Pre-licensure students from medicine, nursing, nutrition and pharmacy
participated in a project-based team experience designed to increase their
discipline-specific knowledge of diabetes while enhancing their team process
skills. Quantitative and qualitative research methods were used to evaluate
project implementation and student outcomes. The majority of students reported
learning "a great deal" about the roles of other health professionals and
knowledge regarding the management of diabetes from the perspective of other
health disciplines. However, several key learning outcomes were not fully
accomplished; to enhance student's interprofessional team skills, increase their
knowledge of interprofessional team functions and learn how to modify team
function in a clinical setting. Creativity concerning relevant projects, varying
settings, and differing models of service delivery should be encouraged, and
flexibility within the project and process is essential for self-directed
learning of student teams. New models of interprofessional clinical education,
such as the chronic disease management model used in this study, have potential,
if supported by the shift in health science programs culture.
PMID- 18038296
TI - Providing clinical services for a diverse population: views on training of child
and adolescent mental health practitioners.
AB - The aim of this study was to explore what professionals working in a child and
adolescent mental health service (CAMHS) thought of provision of mental health
services to diverse groups and what their training needs might be. Semi
structured interviews were undertaken and audiotaped with 17 CAMHS professionals.
The tapes were transcribed and the data analysed using thematic analysis. The
findings show no discernible pattern between different professional groups within
the sample, although this is limited by the sample size and is perhaps not
surprising given the common professional context. Just over half the participants
perceived cultural diversity as equating with ethnic diversity. Staff thought
diversity influenced service provision through access; communication; perceptions
that different communities hold about mental health; perceptions that different
communities hold about mental health services; and service factors. Staff were
not clear about their own training needs and identified that training to date had
not been entirely satisfactory. Staff openness may present an ideal opportunity
to start dialogues with staff and community groups about how best services can be
provided for an increasing diverse population of children and families.
PMID- 18038297
TI - Interprofessional information work: innovations in the use of the chart on
internal medicine teams.
AB - An abundance of evidence suggests that communication in interprofessional
healthcare teams is a complex endeavour. Even relatively simple communication
processes involving information work - the gathering, storage, retrieval and
discussion of patient information - may be fraught with pitfalls, and yet teams
manage to conduct their daily information work, often with a high degree of
effectiveness. In this article, we explore one commonplace dimension of
information work - the use of patient charts to foster collaborative decision
making and care enactment - towards building an elaborated understanding of how
teams innovate in the face of daily complexities in their information work
processes. Drawing on results from an ethnographic study of team communication in
two internal medicine inpatient wards, we describe the nature and use of patient
charts, analyze recurrent problems, and explore adaptive strategies for carrying
on team information work in the face of daily barriers.
PMID- 18038298
TI - Therapists' experiences and perceptions of teamwork in neurological
rehabilitation: critical happenings in effective and ineffective teamwork.
AB - This paper reports the second part of an exploratory study into occupational
therapists' and physiotherapists' perceptions and experiences of teamwork in
neurological rehabilitation: the factors that were thought to influence effective
and ineffective teamwork, and the meaning behind effective and ineffective
teamwork in neurological rehabilitation. The study was undertaken through semi
structured interviews of 10 therapists from three different neurological
rehabilitation teams based in the United Kingdom, and used the critical incident
technique. Through analysis of the data, several main themes emerged regarding
the perceived critical happenings in effective and ineffective teamwork. These
were: team events and characteristics, team members' characteristics, shared and
collaborative working practices, communication, specific organizational
structures, environmental, external, and patient and family-related factors.
Effective and ineffective team-work was perceived to impact on a number of
levels: having implications for the team, the patient, individual team members,
and the neurological rehabilitation service. The study supported the perceived
value of team work within neurological rehabilitation. It also indicated the
extensive and variable factors that may influence the team-working process as
well as the complex and diverse nature of the process.
PMID- 18038299
TI - Common training for students at the academic health centre.
PMID- 18038300
TI - Interprofessional education online: the BMJ Learning experience.
PMID- 18038307
TI - Editorial: Pathology in its fortieth year.
PMID- 18038308
TI - Clinical and pathological features of eosinophilic oesophagitis: a review.
AB - Eosinophilic oesophagitis (EOE) is a newly described clinicopathological entity
that is being diagnosed with increasing frequency both in children and in adults.
It is presumed to be an atopic disease involving both immediate and delayed-type
hypersensitivity to inhaled and ingested allergens. Because of the reflux-type
symptomatology, it is commonly misdiagnosed and treated as severe gastro
oesophageal reflux disease (GORD) before an appropriate diagnosis is made.
Pathologically, EOE is an inflammatory disorder with a predominantly eosinophilic
infiltrate that is unresponsive to acid suppression therapy. The diagnosis of
this disease requires histological confirmation with oesophageal biopsy specimens
showing an intense eosinophilic infiltration. Although precise criteria or a
specific cutoff point for the diagnosis of eosinophilic oesophagitis have not
been established, many authors suggest that one high-power field with >20
eosinophils or multiple high-power fields with >15 eosinophils, together with
clinical and endoscopic findings, should be sufficient for diagnosis. Recognition
of EOE and differentiation from GORD are important, since allergen elimination or
anti-inflammatory therapy appears to be more effective than acid suppression in
these patients. This review focuses on clinicopathological features and diagnosis
of EOE in adults and children.
PMID- 18038309
TI - Small and microscopically detected gastrointestinal stromal tumours: an overview.
AB - Small or microscopic gastrointestinal stromal tumours (GISTs) have been the focus
of recent publications. These lesions may accompany clinically overt GISTs or be
found incidentally in resection specimens for gastro-oesophageal malignancies.
While the majority of cases consist of single lesions, approximately 30% may be
multiple (usually two or three such lesions). Several appellations have been
employed to describe these small GISTs: minute GISTs, GIST tumourlets,
interstitial cell of Cajal (ICC) hyperplasia, microscopic GISTs, minimal GISTs,
and sclerosing stromal tumourlets. As such, there has been no uniformity in terms
of the size of these small or microscopic lesions with tumours as small as 0.2 mm
and those up to 10 mm, all falling within the remit of microscopic or minute
GISTs. These lesions have a predilection for the gastro-oesophageal junction and
occur slightly more frequently in the proximal stomach. They are typified by
spindle-shaped cells set within a hyalinised stroma which also shows
calcification. Even the smallest lesion has been shown to harbour mutations of
the c-kit gene. They are biologically indolent, and the majority of lesions
appear to remain small and/or show evidence of involution. However, it is
possible that some are the precursors of clinically significant GISTs.
PMID- 18038310
TI - Differential expression and localisation of gasdermin-like (GSDML), a novel
member of the cancer-associated GSDMDC protein family, in neoplastic and non
neoplastic gastric, hepatic, and colon tissues.
AB - AIM: Gasdermin-like (GSDML) is a novel member of the cancer associated gasdermin
domain containing (GSDMDC) protein family. The GSDMDC family has been linked to
cancer development and progression, and this is the first study analysing the
expression and intracellular localisation of GSDML. METHODS: GSDML gene
transcription was analysed using quantitative real-time RT-PCR. Anti-peptide
antibodies against GSDML were developed in rabbits, and an in vitro transcription
translation reaction was used to verify specificity. The Protein-G affinity
purified antibodies were used in immunohistochemistry and immunoblotting on
hepatocellular, gastric, and colorectal carcinomas and non-lesional tissues.
RESULTS: The GSDML gene was transcribed in human gastric, liver and colon cell
lines, carcinomas and non-lesional tissues. The GSDML protein was localised to
the cytoplasm of cells in both tumour and non-lesional tissues. The GSDML protein
splicing variants range in molecular weight from 35 to 50 kDa, and the expression
profile varies between tumour and non-tumour. A distinctive vesicular staining
pattern was exhibited by GSDML in the apical region of gastric chief cells and
colonic surface mucous cells, and the basal region of neuroendocrine cells.
CONCLUSION: GSDML may be a secretory or metabolic product involved in a secretory
pathway, and changes in the regulation of GSDML splicing variant transcription
and translation may be seen in the development and/or progression of
gastrointestinal and hepatic cancers.
PMID- 18038311
TI - Real-time PCR quantification of human telomerase reverse transcriptase (hTERT) in
colorectal cancer.
AB - AIMS: Human telomerase reverse transcriptase (hTERT) is believed to a reliable
marker for telomerase activity. The expression of telomerase activity has not
been investigated in a consecutive series of patients with colorectal
adenocarcinoma in North Queensland. The objective of this study was to evaluate
the significance of hTERT mRNA expression in colorectal adenocarcinoma in North
Queensland. METHODS: Matched samples of tumour and adjacent non-tumorous mucosa
samples from 53 colorectal carcinomas and nine colorectal adenomas were
collected. In all these samples, RNA was extracted and then transcribed to cDNA.
Real-time polymerase chain reaction (RT-PCR) was used to quantitate expression
the level of hTERT mRNA. The findings were correlated with the
clinicopathological features of patients with these tumours prospectively
collected into a computerised database. RESULTS: hTERT mRNA was expressed in all
tumour samples. The level of expression in the colorectal adenocarcinomas was
significantly higher than the corresponding non-tumorous mucosa (p = 0.009, t
test). The level of expression in the adenocarcinomas was slightly higher than
those of adenomas, but the difference was not statistically significant. A higher
level of hTERT expression was often noted in the adenocarcinomas arising from the
left colon and rectum when compared with those from the right colon (p = 0.029).
CONCLUSIONS: Colorectal adenocarcinoma revealed expression of telomerase hTERT
mRNA, which was detected quantitatively by real-time PCR. hTERT could be a
potential biomarker for colorectal cancer. The difference between proximal and
distal colorectum in hTERT expression could account for their known different
clinical behaviour.
PMID- 18038312
TI - Mutational analysis of FLASH and PTPN13 genes in colorectal carcinomas.
AB - AIMS: The Fas-Fas ligand system is considered a major pathway for induction of
apoptosis in cells and tissues. FLASH was identified as a pro-apoptotic protein
that transmits apoptosis signal during Fas-mediated apoptosis. PTPN13 interacts
with Fas and functions as both suppressor and inducer of Fas-mediated apoptosis.
There are polyadenine tracts in both FLASH (A8 and A9 in exon 8) and PTPN13 (A8
in exon 7) genes that could be frameshift mutation targets in colorectal
carcinomas. Because genes encoding proteins in Fas-mediated apoptosis frequently
harbor somatic mutations in cancers, we explored the possibility as to whether
mutations of FLASH and PTPN13 are a feature of colorectal carcinomas. METHODS: We
analysed human FLASH in exon 8 and PTPN13 in exon 7 for the detection of somatic
mutations in 103 colorectal carcinomas by a polymerase chain reaction (PCR)-
based single-strand conformation polymorphism (SSCP). RESULTS: We detected two
mutations in FLASH gene, but none in PTPN13 gene. However, the two mutations were
not frameshift (deletion or insertion) mutations in the polyadenine tracts of
FLASH. The two mutations consisted of a deletion mutation (c.3734-3737delAGAA)
and a missense mutation (c.3703A>C). CONCLUSION: These data indicate that
frameshift mutation in the polyadenine tracts in both FLASH and PTPN13 genes is
rare in colorectal carcinomas. Also, the data suggest that both FLASH and PTPN13
mutations in the polyadenine tracts may not have a crucial role in the
pathogenesis of colorectal carcinomas.
PMID- 18038314
TI - Role of fragile histidine triad protein expression in pathogenesis of malignant
pleural mesothelioma.
AB - AIM: To investigate the relationship of fragile histidine triad (FHIT) and Ki-67
expression with clinicopathological variables of patients with malignant pleural
mesothelioma (MPM). METHODS: Formalin-fixed, paraffin-embedded tissue sections of
30 asbestos induced MPM (epithelial and biphasic) patients were examined for FHIT
and Ki-67 expression using immunohistochemical techniques and results were
compared with clinicopathological variables. RESULTS: Immunohistochemical study
results were as follows: 12 (40%) cases showed low FHIT expression and 18 (60%)
showed high expression. There was no significant relationship between FHIT and
age, gender or histological subtypes (p > 0.05). Ki-67 expression was 'low' in 13
(43.3%) cases and 'high' in 17 (56.7%) cases. No correlation could be
demonstrated between Ki-67 expression and age, gender or histological subtypes (p
> 0.05). No significant association was observed between FHIT and Ki-67
expression in MPM. CONCLUSION: The results support the role of FHIT as a tumour
suppressor gene in asbestos induced MPM. There is no significant correlation
between FHIT and cell proliferation marker expressions in malignant pleural
mesothelioma. Therefore, it can be concluded that loss of FHIT does not interfere
with tumour proliferation. This can be accepted as evidence for an early role of
FHIT loss in carcinogenesis; however, it needs to be strengthened by further
studies.
PMID- 18038313
TI - Does HepPar-1 immunoexpression have a role in differential diagnosis of
periampullary cancer?
AB - AIMS: Histological subtyping of periampullary carcinomas is considered as a
criterion for prognosis and therapeutic implications of these tumours. We
assessed the immunoexpression rates of HepPar-1, CDX2 and MUC2 antibodies in
different subtypes of periampullary adenocarcinomas (PAC), intestinal and
pancreatobiliary, in order to assess their impact on differential diagnosis of
this group of cancers. The expression of antibodies was also measured in ductal
adenocarcinoma of the pancreatic head (DAPH). METHODS: Sixty-five patients with
PAC and DAPH who underwent pancreatic Whipple resection constituted the study
cohort. Of these, 46 (71%) had PAC, and 19 (29%) had DAPH. Among PACs, 20 (44%)
were intestinal and 26 (56%) were pancreatobiliary type. RESULTS: HepPar-1
immunoreactivity was detected in 18 (39%) of all PACs. The rate of HepPar-1
expression was significantly higher in intestinal type PAC (75%) than it was in
pancreatobiliary type (12%). The sensitivity, specificity, and accuracy of HepPar
1 immunoexpression for diagnosing intestinal type PAC were 75% , 89%, and 83%,
respectively. Similarly, the rates of both CDX2 and MUC2 expressions were
significantly higher in intestinal type PAC (80%) than they were in
pancreatobiliary type (8%). The sensitivity, specificity, and accuracy of both
CDX2 and MUC2 immunoexpressions for intestinal type PAC were 80%, 92%, and 87%,
respectively. CONCLUSION: HepPar-1 antibody was found to be a highly sensitive
and specific marker for distinguishing intestinal type from pancreatobiliary type
among PACs. In addition to CDX2 and MUC2 antibodies, HepPar-1 immunoexpression
seems to have a potential role in differential diagnosis of PACs.
PMID- 18038315
TI - Hypothermia fatalities in a temperate climate: Sydney, Australia.
AB - AIMS: Fatal hypothermia is well known to occur in cold climates, with previous
case series reflecting this. However, hypothermia can also occur in temperate
climates. This case series describes the features and circumstances surrounding
hypothermia-related deaths in Sydney, Australia. METHODS: The files of
hypothermia-related deaths were reviewed at the Department of Forensic Medicine,
Glebe between 1 January 2001 and 31 December 2005 via a search of electronic
autopsy records. RESULTS: Twenty-four cases of fatal hypothermia were found. Many
of the deaths occurred in winter (46%). The mean age was 76 years (range 56-92),
with a female predominance (63%). Risk factors for hypothermia were identified in
58%. The mean body mass index (BMI) was 22 (range 15-33). Nineteen cases (79%)
were found indoors. Four decedents were found naked, four were dressed in minimal
amounts of clothing, and paradoxical undressing was found in seven cases.
Pathological findings included gastric erosions (79%), and patchy reddish brown
discoloration over large joints (75%). The majority of cases had significant pre
existing natural disease processes. Out of 18 cases where toxicology was
performed, alcohol was detected in four cases, while other psychotropic agents
were present in four deaths. No illicit drugs were detected. CONCLUSION: This
study shows that fatal hypothermia, a significant public health problem, is not
limited to cold climates. Forensic pathologists in Australia need to be aware of
this condition, and not dismiss death as due to natural disease processes.
PMID- 18038316
TI - Differentiation of human mesenchymal stem cells into mesangial cells in post
glomerular injury murine model.
AB - AIMS: Adult human bone marrow contains a population of mesenchymal stem cells
(MSC) that contributes to the regeneration of tissues such as bone, cartilage,
muscle, tendon, and fat. In recent years, it has been shown that functional stem
cells exist in the adult bone marrow, and they can contribute to renal
remodelling or reconstitution of injured renal glomeruli, especially mesangial
cells. The purpose of this study is to examine the ability of MSC isolated from
human bone marrow to differentiate into mesangial cells in glomerular injured
athymic mice. METHODS: MSC were isolated from human bone marrow mononuclear cells
based on plastic adherent properties and expanded in vitro in the culture medium.
Human mesenchymal stem cells (hMSC) were characterised using microscopy,
immunophenotyping, and their ability to differentiate into adipocytes,
chondrocytes, and osteocytes. hMSC were then injected into athymic mice, which
had induced glomerulonephropathy (GN). RESULTS: Test mice (induced GN and infused
hMSC) were shown to have anti-human CD105(+) cells present in the kidneys and
were also positive to anti-human desmin, a marker for mesangial cells.
Furthermore, immunofluorescence assays also demonstrated that anti-human
desmin(+) cells in the glomeruli of these test mice were in the proliferation
stage, being positive to anti-human Ki-67. CONCLUSIONS: These findings indicate
that hMSC found in renal glomeruli differentiated into mesangial cells in vivo
after glomerular injury occurred.
PMID- 18038317
TI - Consensus guidelines on anti-beta 2 glycoprotein I testing and reporting.
AB - Consensus guidelines on anti-beta 2 glycoprotein I (anti-beta2GPI) testing have
been developed to help minimise laboratory variation in the performance and
reporting of assays for these antibodies. These guidelines include minimum and
optional recommendations for the following aspects of anti-beta2GPI testing and
reporting: (1) isotype of anti-beta2GPI tested; (2) specimen type; (3) controls
and assay precision; (4) calibrators; (5) patient samples; (6) rheumatoid factors
and IgM anti-beta2GPI testing; (7) reporting of results; (8) cutoff values; and
(9) interpretative comments. Issues related to inter-kit/assay standardisation
and the manufacturing process of commercial anti-beta2GPI kits/assays have not
been addressed in the current guidelines.
PMID- 18038318
TI - Clinical features, epidemiology, antimicrobial resistance, and exotoxin genes
(including that of Panton-Valentine leukocidin) of gentamicin-susceptible
methicillin-resistant Staphylococcus aureus (GS-MRSA) isolated at a paediatric
teaching hospital in New South Wales, Australia.
AB - AIMS: To describe the epidemiological, clinical, and laboratory features of
gentamicin-susceptible methicillin-resistant Staphylococcus aureus (GS-MRSA) seen
at a paediatric teaching hospital. METHODS: Patients from whom GS-MRSA was
isolated between 1 January 2001 and 31 December 2002 were enrolled. Retrospective
chart review was performed. Susceptibility testing was performed with the Vitek2
system; PCR confirmed methicillin resistance. Phage typing and pulsed field gel
electrophoresis (PFGE) was performed (utilising MLST/SCCmec-defined control
strains). PCR detection of tst, luk-PV, and entA-entE was performed. RESULTS:
Eighty-five per cent of all Staphylococcus aureus isolates during the study
period were methicillin-sensitive, and 15% were MRSA (9% GS-MRSA, 6% gentamicin
resistant-MRSA). 100 GS-MRSA infections in 98 children were identified: 59 cases
of skin/soft tissue, four bone and joint, four surgical site infections, three
pneumonia, eight other types, and 22 represented colonisation. Ninety-nine
isolates were non-multidrug resistant, but 17 strains were resistant to
erythromycin, 7 to tetracyclines, 12 to ciprofloxacin, 11 to fusidic acid, 1 each
to rifampicin and mupirocin. 44 isolates were Oceania strain (ST30-MRSA-IV), 20
were Queensland strain (ST93-MRSA-IV), ten were UK EMRSA-15 (ST22-MRSA-IV), eight
were WA MRSA-1 (ST1-MRSA-IV), two were WA MRSA-5 (ST8-MRSA-IV), one was WA MRSA-2
(ST78slv-MRSA-IV), one was WA MRSA-15 (ST59-MRSA-IV), and the remainder were
sporadics. Twenty patients were Pacific Islanders, of whom 12 had the Oceania
strain; ten were Aboriginal, of whom eight had the Queensland strain. Sixty-eight
isolates possessed luk-PV, including all Queensland strains and 91% of Oceania
strains. Enterotoxin genes were detected in 25% of the isolates, and tst was
detected in four isolates. CONCLUSIONS: GS-MRSA is a significant paediatric
problem in New South Wales: two minority groups are over-represented, multiple
epidemic strains were detected, most community strains possess luk-PV, and many
isolates are multidrug resistant.
PMID- 18038319
TI - Latent human polyomavirus infection in pregnancy: investigation of possible
transplacental transmission.
AB - AIMS: The purpose of the study was to investigate the transplacental transmission
of the human polyomaviruses JCV and BKV. METHODS: Urine and blood samples from
300 pregnant women underwent cytological analysis to search for 'decoy cells',
nested PCR to identify presence and genotype of isolated polyomaviruses, and
sequence analysis of the transcription control region. Nested PCR was also used
to study the umbilical cord blood of all their newborns. RESULTS: Decoy cells
were identified in only one urine sample (1/300; 0.33%); polyomavirus DNA was
detected in 80 urine samples (26.6%) corresponding to BKV alone in 28 samples
(9.3%), JCV alone in 49 samples (16.3%) and both JCV-BKV in three samples (1%).
Blood samples were positive in 17 cases (5.6%), corresponding to BKV alone in 10
(3.3%), and JCV alone in 7 (2.3%). Rearrangements of the transcription control
region were found in only one urinary JCV strain, consisting of the insertion of
13 bp at D block, whereas point mutations were identified in 11 BKV and 11 JCV
strains detected from urine. Sequence analysis of the BKV strains detected in
blood samples revealed a 20 bp insertion of P block (P42-61) in human chromosomes
20 (five cases) and 14 (three cases); two JCV strains had single bp point
mutations. The search for polyomavirus DNA in umbilical cord blood samples was
always negative. CONCLUSIONS: Polyomavirus DNA was frequently detected in
pregnancy, whereas genomic rearrangements were rare, and no evidence of
transplacental transmission of polyomavirus was obtained.
PMID- 18038320
TI - An unusual cause of refractory seizure. Part 1. Part II.
PMID- 18038321
TI - First molecular confirmation of an Australian case of type III hereditary
angioedema.
PMID- 18038322
TI - Metastatic renal cell carcinoma masquerading as a primary gastric carcinoma
associated with synchronous early gastric carcinoma.
PMID- 18038323
TI - Pulmonary intimal sarcoma presenting as an ascending colonic polyp and mimicking
a gastrointestinal stromal tumour.
PMID- 18038324
TI - Metastasising pleomorphic adenoma of the parotid presenting as a solitary kidney
mass.
PMID- 18038325
TI - Solitary fibrous tumour of the parotid gland.
PMID- 18038326
TI - Ovarian mucinous tumour with multifocal endocrine cell micronests.
PMID- 18038327
TI - PEComa of the retroperitoneum.
PMID- 18038328
TI - Absence of GNAS and EGFL6 mutations in common human cancers.
PMID- 18038329
TI - Sclerosing lobular hyperplasia: an unusual cause of a benign breast mass in young
women.
PMID- 18038330
TI - Epithelioid, cytokeratin expressing malignant solitary fibrous tumour of the
pleura.
PMID- 18038331
TI - Kodamaea (Pichia) ohmeri fungaemia complicating acute myeloid leukaemia in a
patient with haemochromatosis.
PMID- 18038332
TI - Coronary heart disease in diabetics: prognostic implications and results of
interventions.
AB - OBJECTIVES: The aim of the present study was to compare the extent of coronary
disease and subsequent survival in diabetics and non-diabetics. DESIGN: From the
database at Feiring Heart Clinic 13511 patients were identified with no previous
revascularization and were examined with coronary angiography in the period from
March 1999 to December 31, 2005. In the cohort 1,475 patients were diabetics.
Their survival status of May 31, 2006 was ascertained through the Norwegian
National Registry. RESULTS: Diabetics were more symptomatic and had a more severe
coronary artery disease at the time of referral with more than 40% having three
vessel disease. The unadjusted survival was lower in the diabetics. After
adjustment through Cox regression, diabetes mellitus remained a risk factor for
subsequent death (overall hazard ratio 1.33), with the excess mortality mainly
residing in smoking diabetics. CONCLUSIONS: Diabetics were more severely diseased
at the time of referral. In Cox regression diabetes mellitus remained a
significant risk factor for death in smokers except in the cohort treated with
CABG.
PMID- 18038336
TI - Precursors to a theory of mind in infancy: perspectives for research on autism.
AB - There is ample evidence for a conceptual deficit in normally developing 3-year
olds' and autistic children's understanding of the mind. Recent research using
nonverbal tasks has challenged this view since even 15-month-old infants appear
to base their action predictions on a representation of the agent's beliefs
(Onishi & Baillargeon, 2005). Our own findings from looking-time experiments
indicate, however, that 16-month-olds' action predictions depend on behavioural
and situational cues, rather than on a person's access to information. Further
research is reviewed that indicates that 14-month-olds understand what another
person can and cannot see, and that 18-month-olds predict a person's action from
what she previously saw, when supported by behavioural cues. These findings
support a constructivist view of a gradual understanding of conditions for
knowing during the second year. The relevance of such findings for research on
autism is discussed.
PMID- 18038335
TI - Forty years on: Uta Frith's contribution to research on autism and dyslexia, 1966
2006.
AB - Uta Frith has made a major contribution to our understanding of developmental
disorders, especially autism and dyslexia. She has studied the cognitive and
neurobiological bases of both disorders and demonstrated distinctive impairments
in social cognition and central coherence in autism, and in phonological
processing in dyslexia. In this enterprise she has encouraged psychologists to
work in a theoretical framework that distinguishes between observed behaviour and
the underlying cognitive and neurobiological processes that mediate that
behaviour.
PMID- 18038337
TI - Development of the social brain during adolescence.
AB - Adolescence is usually defined as the period of psychological and social
transition between childhood and adulthood. The beginning of adolescence, around
the onset of puberty, is characterized by large hormonal and physical changes.
The transition from childhood to adulthood is also characterized by psychological
changes in terms of identity, self-consciousness, and cognitive flexibility. In
the past decade, it has been demonstrated that various regions of the human brain
undergo development during adolescence and beyond. Some of the brain regions that
undergo particularly protracted development are involved in social cognitive
function in adults. In the first section of this paper, I briefly describe
evidence for a circumscribed network of brain regions involved in understanding
other people. Next, I describe evidence that some of these brain regions undergo
structural development during adolescence. Finally, I discuss recent studies that
have investigated social cognitive development during adolescence.
PMID- 18038338
TI - The power of the positive: revisiting weak coherence in autism spectrum
disorders.
AB - This paper reexamines Frith's original concept of weak coherence, its historical
origins, recent reformulations, and alternative accounts. We suggest that the key
notion of reduced global integration of information, which Frith proposed to
underlie the assets in local processing, has been neglected in recent accounts of
autism spectrum disorders (ASD). In fact, most paradigms used to test weak
coherence conflate global and local processing, often placing them in direct
trade-off, so that it is not possible to tell whether patterns of performance in
ASD reflect reduced global processing, increased local processing, or both. We
review the literature from typical development and ASD that may be pertinent to
this distinction and examine some data from our own studies. Only once tasks are
devised that measure separately the effects of reduced global processing and
increased local processing will it be possible to test the on-line and
developmental relations between these two aspects of "weak coherence". Some
preliminary ideas about these relationships are discussed, and suggestions are
made for why disentangling two possibly independent dimensions of weak coherence
may be timely and productive.
PMID- 18038339
TI - Autism, hypersystemizing, and truth.
AB - Evidence is reviewed suggesting that, in the general population, empathizing and
systemizing show strong sex differences. The function of systemizing is to
predict lawful events, including lawful change, or patterns in data. Also
reviewed is the evidence that individuals on the autistic spectrum have degrees
of empathizing difficulties alongside hypersystemizing. The hypersystemizing
theory of autism spectrum conditions (ASC) proposes that people with ASC have an
unusually strong drive to systemize. This can explain their preference for
systems that change in highly lawful or predictable ways; why they become
disabled when faced with systems characterized by less lawful change; and their
"need for sameness" or "resistance to change". If "truth" is defined as lawful
patterns in data then, according to the hypersystemizing theory, people with ASC
are strongly driven to discover the "truth".
PMID- 18038340
TI - The curious incident of the photo that was accused of being false: issues of
domain specificity in development, autism, and brain imaging.
AB - We resume an exchange of ideas with Uta Frith that started before the turn of the
century. The curious incident responsible for this exchange was the finding that
children with autism fail tests of false belief, while they pass Zaitchik's
(1990) photograph task (Leekam & Perner, 1991). This finding led to the
conclusion that children with autism have a domain-specific impairment in Theory
of Mind (mental representations), because the photograph task and the false
belief task are structurally equivalent except for the nonmental character of
photographs. In this paper we argue that the false-belief task and the false
photograph task are not structurally equivalent and are not empirically
associated. Instead a truly structurally equivalent task is the false-sign task.
Performance on this task is strongly associated with the false-belief task. A
version of this task, the misleading-signal task, also poses severe problems for
children with autism (Bowler, Briskman, Gurvidi, & Fornells-Ambrojo, 2005). These
new findings therefore challenge the earlier interpretation of a domain-specific
difficulty in inferring mental states and suggest that children with autism also
have difficulty understanding misleading nonmental objects. Brain imaging data
using false-belief, "false"-photo, and false-sign scenarios provide further
supporting evidence for our conclusions.
PMID- 18038341
TI - Frames of reference in social cognition.
AB - How is mindreading affected by social context? It is often implicitly assumed
that there is one single way to understand others, whatever the situation or the
identity of the person. In contrast, I emphasize the duality of functions of
mindreading depending on the context (social interaction and social observation),
as well as the duality of social frames of reference (egocentric and
allocentric). I argue in favour of a functional distinction between knowledge
oriented mindreading and interaction-oriented mindreading. They both aim at
understanding other people's behaviour. But they do so using different
strategies. However, to say that mindreading has two functions does not suffice
to show that there are two kinds of mindreading. One and the same ability could
accomplish different functions. Unfortunately, there has been almost no
experimental data on a possible dissociation between two kinds of mindreading
abilities. Nonetheless, I discuss a few results that point towards a dual
ability.
PMID- 18038342
TI - Emulation and mimicry for social interaction: a theoretical approach to imitation
in autism.
AB - The "broken-mirror" theory of autism argues that dysfunction of the "mirror
neuron system" is a root cause of social disability in autism. The present paper
aims to scrutinize this theory and, when it breaks down, to provide an
alternative. Current evidence suggests that children with autism are able to
understand and emulate goal-directed actions, but may have specific impairments
in automatic mimicry of actions without goals. These data are not compatible with
the broken-mirror theory, but can be accounted for by a new model called EP-M.
The EP-M model segments the mirror neuron system into an indirect, parietal route
for goal emulation and planning (EP) and a direct occipital-frontal route for
mimicry (M). This fractionation is consistent with neuroimaging and behavioural
studies of the mirror neuron system in typical children and adults. I suggest
that top-down modulation of the direct M route may be dysfunctional in
individuals with autism, leading to abnormal behaviours on mimicry tasks as well
as other social disabilities.
PMID- 18038343
TI - What can autism and dyslexia tell us about intelligence?
AB - This paper argues that understanding developmental disorders requires developing
theories and models that explicitly represent the role of general intelligence in
the cognitive phenotype of the disorder. In the case of autism it is argued that
the low-IQ scores of people with autism are not likely to be due to a deficit in
the cognitive process that is arguably the major cause of mental retardation -
namely, speed of processing - but rather low IQ reflects the pervasive and
cascading effects of the deficit in the information-processing module that causes
autism. In the case of dyslexia, two radically different models of reading
disorder (ability = disability and a modular deficit model) are likely to be
influenced by the effect of general intelligence on reading performance in ways
that will remain unclear without an explicit model of how general intelligence
influences reading.
PMID- 18038344
TI - What phonological deficit?
AB - We review a series of experiments aimed at understanding the nature of the
phonological deficit in developmental dyslexia. These experiments investigate
input and output phonological representations, phonological grammar, foreign
speech perception and production, and unconscious speech processing and lexical
access. Our results converge on the observation that the phonological
representations of people with dyslexia may be intact, and that the phonological
deficit surfaces only as a function of certain task requirements, notably short
term memory, conscious awareness, and time constraints. In an attempt to
reformulate those task requirements more economically, we propose that
individuals with dyslexia have a deficit in access to phonological
representations. We discuss the explanatory power of this concept and we
speculate that a similar notion might also adequately describe the nature of
other associated cognitive deficits when present.
PMID- 18038345
TI - Specific disorders and broader phenotypes: the case of dyslexia.
AB - Two studies investigating the cognitive phenotype of dyslexia are described.
Study 1 compared three groups of English and Italian children on speed of
processing tasks: (a) children with dyslexia, (b) generally delayed poor readers
and (c) CA-controls. In tests of simple and choice reaction time and two visual
scanning tasks, children with dyslexia performed like controls and significantly
faster than generally delayed poor readers. A second prospective longitudinal
investigation of children at family risk of dyslexia showed that problems of
literacy development were less circumscribed, with affected children showing
phonological deficits in the context of more general oral language difficulties.
An important finding was that the risk of dyslexia was continuous in this sample;
among at-risk children with normal literacy development, mild impairments of
phonological skills were apparent early in development, and subtle difficulties
with reading fluency and spelling emerged in early adolescence. A case series
extended these findings to show that phonological deficits alone are insufficient
to explain literacy difficulties, and it is children with multiple deficits
(including language problems) that are more likely to succumb to reading failure.
PMID- 18038346
TI - Fine cuts of empathy and the amygdala: dissociable deficits in psychopathy and
autism.
AB - In the current paper, the "fine cuts" approach advocated by Uta Frith is applied
to our understanding of empathy and amygdala dysfunction in two disorders,
psychopathy and autism. A fine cut is made between cognitive (i.e., Theory of
Mind) and emotional empathy. The literature with respect to psychopathy and
autism and these two functions is then considered. A fine cut is also made
between the amygdala's role in stimulus-reinforcement association and specific
aspects of social cognition. Again the literature with respect to psychopathy and
autism and these two functions of the amygdala is considered. It is concluded
that while both conditions can be considered disorders of social cognition, fine
cuts can be made dissociating the impairments associated with each.
PMID- 18038347
TI - Cognition to genes via the brain in the study of conduct disorder.
AB - Although a single diagnostic label, conduct disorder, is currently applied to
children exhibiting antisocial behaviour, multiple routes to the same behavioural
phenomena exist. Morton and Frith's (1995) causal modelling has been
fundamentally important in influencing models of cognitive/affective and
associated neural differences between callous-unemotional (CU) and
reactive/threat-based antisocial behaviour. Current behavioural genetic research
is still catching up with the developmental cognitive neuroscience, and very few
genetically informative studies differentiate between these two subtypes of
antisocial behaviour. Our own work with preadolescent twins suggests that while
the CU subtype is genetically vulnerable to antisocial behaviour, the non-CU
subtype manifests a primarily environmental aetiology to their antisocial
behaviour. Molecular genetic work to date has not differentiated between these
two subtypes, and we highlight why it might be of interest to do so. Finally, we
discuss how the novel approach of imaging genetics could be harnessed to study
genes to cognition pathways for different subtypes of conduct disorder. Uta
Frith's contributions to articulating research strategies for developmental
disorders are important in conducting and interpreting this work.
PMID- 18038349
TI - Imaging findings of primary well-differentiated liposarcoma of the liver: a case
report.
AB - Primary liposarcoma of the liver is extremely rare. We report here on a case of
primary well-differentiated liposarcoma in the left hepatic lobe of a 63-year-old
woman. Abdominal ultrasonography showed a well-defined, echogenic, round mass.
Abdominal computed tomography (CT) and magnetic resonance (MR) images showed an
almost fatty, lobulated mass with a few, random distributed vascular structures
and a small area of nodular enhancement. The resected tumor appeared as a well
defined, round, tan-yellow mass. Histological analysis showed a well
differentiated liposarcoma.
PMID- 18038351
TI - Successful occlusion of spontaneous portosystemic shunts leading to
encephalopathy in a non-cirrhotic patient by using the Amplatzer vascular plug.
AB - A 55-year-old woman presented with recurrent, recently aggravated encephalopathic
episodes. However, the patient had no evidence of liver cirrhosis, and her serum
ammonia level was high. An abdominal computed tomography (CT) scan revealed two
portal-systemic venous shunts and, accordingly, she was diagnosed as having non
cirrhotic portal-systemic encephalopathy due to portal-systemic shunts. The
shunts were successfully occluded using Amplatzer vascular plugs, and this led to
a normalization of her blood ammonia levels immediately after occlusion. Over a 3
month follow-up, the patient experienced no complications or symptoms, and no
shunt recanalization was detected by CT. The described case demonstrates that the
Amplatzer vascular plug offers a useful option for occluding portal-systemic
shunts responsible for encephalopathy.
PMID- 18038353
TI - Accuracy and precision in the detection of articular cartilage lesions using
magnetic resonance imaging at 1.5 Tesla in an in vitro study with orthopedic and
histopathologic correlation.
AB - BACKGROUND: Magnetic resonance (MR) sequences for cartilage visualization have
been the target of numerous studies, and the optimal sequence for cartilage
imaging remains a matter of debate in the literature. PURPOSE: To compare MR
findings with different MR sequences for the detection of cartilage lesions in
fresh deep-frozen human cadaveric patellae in an in vitro setting. MATERIAL AND
METHODS: Ten cadaveric patellae were imaged on a 1.5T MR scanner with a 2x2
channel carotid sandwich coil and a conventional knee coil, and compared with
orthopedic findings and gold-standard histopathology. MR sequences were: a) fat
saturated (FS) proton density-weighted (PDw) turbo spin-echo (TSE) sequence
(TR/TE 4000/39 ms); b) T2-weighted (T2w) double-echo steady-state (DESS) 3D water
excitation (we) sequence (TR/TE 17/4.7 ms); c) 3D-PDw-SPACE (sampling perfection
with application-optimized contrasts using different flip-angle evolutions)-we
sequence (TR/TE 1800/19 ms). Accuracy, Kendall's tau-b correlation, and weighted
kappa coefficients were calculated. RESULTS: Accuracy for cartilage lesion
detection with the FS PDw-TSE sequence and the carotid coil was 78.3%, and with
the knee coil 73.9%. For the T2wDESS-3D-we sequence, the corresponding values
were 69.5% and 65.2%, and for the 3D-PDw-SPACE-we sequence 65.2% and 60.8%,
respectively. Kendall's tau-b correlation ranged between 0.508 for the 3D-PDw
SPACE-we sequence (knee coil) and 0.720 for the FS PDw-TSE sequence (carotid and
knee coil). Weighted kappa coefficient was lowest for the 3D-PDw-SPACE-we
sequence (knee coil) at 0.607, and highest for the carotid coil and FS PDw-TSE
sequence at 0.779. CONCLUSION: The evaluated FS PDw-TSE sequences are superior in
comparison to the T2wDESS-3D-we and 3D-PDw-SPACE-we sequences in the in vitro
setting for the detection of cartilage lesions, and are comparable to results
reported in the literature.
PMID- 18038355
TI - Executive functioning in older adults with mild cognitive impairment: MCI has
effects on planning, but not on inhibition.
AB - In this study, we compared executive functioning in 32 mild cognitive impairment
(MCI) individuals with that of normally aging controls. Cognitive planning tests
(Trail Making, Porteus Maze Test, verbal fluency tests) show a group difference
favoring the normal controls, but tests for inhibition of prepotent responses (no
go accuracy, two measures of the Stroop effect, and negative priming) failed to
uncover a significant group difference. The results indicate that there is no
general executive control function impairment in MCI; rather, the deficits found
are compatible with the hypothesis that MCI is an accelerated form of normal
aging.
PMID- 18038356
TI - Influence of age and sex on line bisection: a study of normal performance with
implications for visuospatial neglect.
AB - Line bisection is an established clinical task used to diagnose visuospatial
neglect. To date, few studies have considered the extent to which age and sex as
background variables contribute to bisection performance. Both variables affect
the neural substrates underlying cognitive processes and hence the behavioural
performance of bisection. The purpose of this study was to examine the effects of
age and sex on normal bisection performance, using three different line lengths
to elucidate the influence of these potential contributing factors. Seventy men
and 70 women, divided equally into seven age-cohorts between 14 and 80 years,
bisected lines. Results indicated clear age- and sex-related differences both in
the magnitude and direction of bisection deviations across the three line
lengths. Differences are discussed in terms of neural changes across the adult
lifespan including hemispheric differences and hormonally mediated changes.
PMID- 18038357
TI - Age-group differences in inhibiting an oculomotor response.
AB - Age-group differences were examined in the delayed oculomotor response task,
which requires that observers delay the execution of a saccade (eye movement)
toward an abrupt-onset visual cue. This task differs from antisaccade and
attentional capture in that inhibition causes saccades to be postponed, not
redirected. Older adults executed more premature saccades than young adults, but
there were no age-group differences in latency or accuracy of saccades executed
at the proper time. The results suggest that older adults are less capable of
inhibiting a prepotent saccadic response, but that other aspects of visual
working memory related to the task are preserved.
PMID- 18038358
TI - Age-related deficits in face recognition are related to underlying changes in
scanning behavior.
AB - Previous studies demonstrating age-related impairments in recognition memory for
faces are suggestive of underlying differences in face processing. To study these
differences, we monitored eye movements while younger and older adults viewed
younger and older faces. Compared to the younger group, older adults showed
increased sampling of facial features, and more transitions. However, their
scanning behavior was most similar to the younger group when looking at older
faces. Moreover, while older adults exhibited worse recognition memory than
younger adults overall, their memory was more accurate for older faces. These
findings suggest that age-related differences in recognition memory for faces may
be related to changes in scanning behavior, and that older adults may use social
group status as a compensatory processing strategy.
PMID- 18038359
TI - Within-person variability in response speed as an indicator of cognitive
impairment in older adults.
AB - Within-person variability may be an important indicator of central nervous system
compromise. In this study, within-person variability in response speed was
examined in community-dwelling older adults, ages 64-92 years, using a new
framework that takes into account both the extent (single versus multiple domains
affected) and nature (amnestic versus non-amnestic) of the cognitive impairment.
Those with multiple domains of impairment were more variable than those who
showed an isolated area of impairment, regardless of whether memory was one of
the domains affected. Further, for those with difficulties in two or more non
memory domains, increased variability was most evident in more cognitively
demanding situations, when individuals had to manipulate information held briefly
in mind, switch cognitive set or inhibit an automatic response. Finally, group
differentiation was better achieved when within-person variability as opposed to
mean speed of performance was considered.
PMID- 18038360
TI - The roles of working memory updating and processing speed in mediating age
related differences in fluid intelligence.
AB - This study was conducted to investigate the relative roles of working memory
updating (updating) and processing speed in mediating age-related differences in
fluid intelligence. A sample of 142 normal adults between 18 and 85 years of age
performed a set of updating, processing speed, and fluid intelligence tasks.
Hierarchical regression analyses indicated that the proportion of unique age
related variance in updating measures was related to the complexity of speed
measures. There was a larger proportion of unique age-related variance in
updating measures after controlling for the variance in simpler speed measures.
Moreover, structural equation modeling showed that updating mediated almost all
the age-related effects on fluid intelligence. These results suggest that
updating, but not speed, is the critical mediator between age and fluid
intelligence. In addition, the speed mediation of age-related differences in
fluid intelligence as indicated by previous studies is at least partially derived
from the executive component of speed measures.
PMID- 18038361
TI - Nod-like receptors in innate immunity and inflammatory diseases.
AB - Over the past few years the field of innate immunity has undergone a revolution
with the discovery of pattern recognition molecules (PRM) and their role in
microbe detection. Among these molecules, the Nod-like receptors (NLRs) have
emerged as key microbial sensors that participate in the global immune responses
to pathogens and contribute to the resolution of infections. This growing group
of proteins is divided into subfamilies with basis in their different signaling
domains. Prominent among them are Nod1, Nod2, Nalp3, Ipaf, and Naip that have
been shown to play important roles against intracellular bacteria. Furthermore,
mutations in the genes that encode these proteins have been associated with
complex inflammatory disorders including Crohn's disease, asthma, familial cold
urticaria, Muckle-Wells syndrome, and Blau syndrome. In this review we will
present the current knowledge on the role of these proteins in immunity and
inflammatory diseases.
PMID- 18038362
TI - Comment on "Discriminating toxicant classes by mode of action: 3. Substructure
indicators" (M. Nendza and M. Muller, SAR QSAR Environ. Res. 18 155 (2007)).
PMID- 18038364
TI - Prediction of biological activity profiles of cyanobacterial secondary
metabolites.
AB - Over the past decade cyanobacteria have become an interesting source of new
classes of pharmacologically active natural products. Some cyanobacterial
secondary metabolites (CSMs) are also well known for their toxic effects on
living species. The PASS (Prediction of Activity Spectra for Substances) computer
program, which is able to simultaneously predict more than one thousand
biological and toxicological activities from only the structural formulas of the
chemicals, was used to predict the biological activity profile of 681 CSMs.
Multivariate methods were employed to structure and analyse this wealth of
biological and chemical information. PASS predictions were successfully compared
to the available information on the pharmacological and toxicological activity of
these compounds.
PMID- 18038365
TI - Handling uncertainties in toxicity modelling using a fuzzy filter.
AB - A fundamental concern in the Quantitative Structure-Activity Relationship
approach to toxicity evaluation is the generalization of the model over a wide
range of compounds. The data driven modelling of toxicity, due to the complex and
ill-defined nature of eco-toxicological systems, is an uncertain process. The
development of a toxicity predicting model without considering uncertainties may
produce a model with a low generalization performance. This study presents a
novel approach to toxicity modelling that handles the involved uncertainties
using a fuzzy filter, and thus improves the generalization capability of the
model. The method is illustrated by considering a data set dealing with the
fathead minnow (Pimephales promelas) toxicity of 568 organic compounds.
PMID- 18038366
TI - Molecular modelling and QSAR analysis of the estrogenic activity of terpenoids
isolated from Ferula plants.
AB - The relationship between chemical structure and estrogenic activity in a series
of terpenoid esters with aromatic and aliphatic acid substituents isolated from
Ferula plants, was studied. The fragments of the terpenoid structure that are
potentially responsible for estrogenic activity were revealed. A quantitative
structure-estrogenic activity study has been carried out using the QSAR approach
with use of data derived from quantum-chemical calculations as well as data
generated from three-dimensional structures of terpenoids. A number of molecular
descriptors was obtained from the density functional theory (DFT) at the B3LYP/6
31G(d, p) level of calculation. Comparative analysis of the quantum-chemical
computational data was also performed to confirm hypothesis concerning importance
of the distance between the oxygen of alcohol hydroxyl group and the functional
group in the para-position of the benzene ring (the hydroxyl or methoxy group).
Use of the Genetic Algorithm in the QSAR analysis allowed the structural and
physicochemical parameters of the terpenoids responsible for estrogenic activity
to be determined. A significant QSAR model was obtained with an r(2) value of
0.892. The resulting model showed a reliable dependence of estrogenic activity of
the terpenoids on such parameters as molecular shape, number of phenolic groups,
surface polarity and the energy of the highest occupied molecular orbital.
PMID- 18038367
TI - Toxicity study of allelochemical-like pesticides by a combination of 3D-QSAR,
docking, Local Binding Energy (LBE) and GRID approaches.
AB - 3D-QSAR, Docking, Local Binding Energy (LBE) and GRID methods were integrated as
a tool for predicting toxicity and studying mechanisms of action. The method was
tested on a set of 73 allelochemical-like pesticides, for which acute toxicity
(LD(50)) for the rat was available. 3D-QSAR gave a model with high predictive
ability and the regression maps indicated the important toxic chemical
substituents. Significant ligand-protein residue interactions and oxidation
positions in the binding site were found by docking analysis using CYP1A2
homology modelling. The binding energies of the compounds and the important
substituents (Local Binding Energy, LBE) were calculated in order to demonstrate
quantitatively the substituent contributions in the metabolism and toxicity. The
GRID examination identified the CYP1A2 binding pocket feature. Finally, a 3D-QSAR
map was compared to the GRID map, showing good overlaps and confirming the
important role of CYP1A2 in allelochemical-like compounds toxicity.
PMID- 18038368
TI - Data analysis and alternative modelling of MITI-I aerobic biodegradation.
AB - An alternate representation of the biodegradation of chemicals (biochemical
oxygen demand (BOD) amount instead of BOD-based degradation percentage) allows
the derivation of an improved QSAR model. The structural descriptors for this
model are related to biodegradation mechanisms. A linear group contribution model
of 99 variables was derived from a training set of 1190 chemicals. The squared
correlation coefficients for self-prediction and for 20%-off cross-validation
were 0.83 and 0.69, respectively. Additional external validation with 62 reported
in the literature chemicals resulted in 91% overall correct classification,
although ready biodegradable molecules were too few and predicted poorly (two out
of five correct).
PMID- 18038369
TI - Quantitative structure-activity relationships for a series of selective estrogen
receptor-beta modulators.
AB - The estrogen receptor-beta subtype (ERbeta) is an attractive drug target for the
development of novel therapeutic agents for hormone replacement therapy. Hologram
quantitative structure-activity relationships (HQSAR) were conducted on a series
of 6-phenylnaphthalene and 2-phenylquinoline derivatives, employing values of
ERbeta binding affinity. A training set of 65 compounds served to derive the
models. The best statistical HQSAR model (q(2) = 0.73 and r(2) = 0.91) was
generated using atoms, bonds, connections and donor and acceptor as fragment
distinction parameters, and fragment size default (4-7) with hologram length of
199. The model was used to predict the binding affinity of an external test set
of 16 compounds, and the predicted values were in good agreement with the
experimental results. The final HQSAR model and the information obtained from 2D
contribution maps should be useful for the design of novel ERbeta modulators
having improved affinity.
PMID- 18038370
TI - QSAR modelling of water quality indices of alkylphenol pollutants.
AB - The aim of this study was to determine the degradability of 26 Alkylphenols (APs)
by Chemical Oxygen Demand (COD) and/or 5-day Biochemical Oxygen Demand (BOD(5)),
and to describe these data from Quantitative Structure-activity Relationships
(QSARs). Statistical analysis techniques, such as Multiple Linear Regression
(MLR), Principal Component Regression (PCR), Partial Least-Squares (PLS)
Regression and Neural Network (NN) were carried out to calibrate and validate
four-descriptor QSAR models using two different types of descriptor sets. Stable
MLR-QSAR models using Leave-One-Out (LOO) were obtained with high predictability
performance: r(2) = 0.924, Q(2)(cv) =0.854 for log (1/BOD) model on 24 APs and
r(2) = 0.888, Q(2)(cv) = 0.818 for log (1/COD) on all the studied APs. The MLR
models, built with four Dragon descriptors selected by Genetic Algorithm (GA),
presented the following performances on 24 APs: r(2) = 0.889, Q(2)(cv) = 0.848
for log (1/BOD(5)) and r(2) = 0.885, Q(2)(cv) = 0.834 for log (1/COD) on 26
compounds. From these results, it is expected that the QSAR models generated
could be successfully expanded to predict the biological and chemical activities
of structurally diverse AP compounds.
PMID- 18038371
TI - Prediction of acute toxicity of organophosphorus pesticides using topological
indices.
AB - Topological indices were used in the prediction of the acute toxicity
(intraperitoneal and oral LD(50)) of organophosphorus pesticides on rats. Models
with six variables for the prediction of LD(50)-i.p. (r = 0.849, Q(2) = 0.613)
and eight variables for LD(50)-oral (r = 0.906, Q(2) = 0.701) were selected.
External group and cross-validation by use of leave-n-out tests were also
performed in order to assess the stability and the prediction performance of the
selected topological models.
PMID- 18038373
TI - [Investigation of neural stem cell-derived donor contribution in the inner ear
following blastocyst injection].
AB - BACKGROUND: Utilising the enormous proliferation and multi-lineage
differentiation potentials of somatic stem cells represents a possible
therapeutical strategy for diseases of non-regenerative tissues like the inner
ear. In the current study, the possibility of murine neural stem cells to
contribute to the developing inner ear following blastocyst injection was
investigated. METHODS: Fetal brain-derived neural stem cells from the embryonic
day 14 cortex of male mice were isolated and expanded for four weeks in
neurobasal media supplemented with bFGF and EGF. Neural stem cells of male
animals were harvested, injected into blastocysts and the blastocysts were
transferred into pseudo-pregnant foster animals. Each blastocyst was injected
with 5-15 microspheres growing from single cell suspension from neurospheres
dissociated the day before. The resulting mice were investigated six months POST
PARTUM for the presence of donor cells. Brainstem evoked response audiometry
(BERA) was performed in six animals. To visualize donor cells Lac-Z staining was
performed on sliced cochleas of two animals. In addition, the cochleas of four
female animals were isolated and genomic DNA of the entire cochlea was analyzed
for donor contribution by Y-chromosome-specific PCR. RESULTS: All animals had
normal thresholds in brainstem evoked response audiometry. The male-specific PCR
product indicating the presence of male donor cells were detected in the cochleas
of three of the four female animals investigated. In two animals, male donor
cells were detected unilateral, in one animal bilateral. CONCLUSION: The results
suggest that descendants of neural stem cells are detectable in the inner ear
after injection into blastocysts and possess the ability to integrate into the
developing inner ear without obvious loss in hearing function.
PMID- 18038374
TI - [Low level auditory skills in school children attending third and fourth grade].
AB - BACKGROUND: In Germany testing auditory low level skills has gained some
popularity. However only few studies have provided norms. Prior to further
testing we here aimed at establishing normal values for school children. METHODS:
DESIGN: prospective study. SAMPLE: School children attending 3rd and 4th grade.
DEPENDENT VARIABLES: just noticeable differences for intensity and frequency
(JNDI, JNDF), gap detection (GD) monaural and binaural temporal order judgement
(TOJB and TOJM). STATISTICS: descriptive and correlational analysis. RESULTS:
Data did not follow a normal distribution, i. e. only few children had poor
results whereas the majority of children had fair to excellent results.
Correlational analysis indicated some dependency among auditory low level skills
as tested here. DISCUSSION: These data are consistent with previously described
data that auditory low levels maturate during development at least up to age 10.
However the significance of poor results for impaired language acquisition
remains unclear.
PMID- 18038375
TI - [Small cell carcinoma of parotid gland--a case report].
AB - BACKGROUND: Small cell carcinoma of the major salivary glands are very seldom. If
there is such a tumor always have in mind that it can be a metastatic process of
another small cell carcinoma in other locations of the body. That's why first of
all a primary in the bronchial system has to be excluded with special
diagnostics. CASE REPORT: We present the case of a 72-year-old woman suffering
from a small cell carcinoma of Parotid gland. Because a surgical therapy was
impossible she underwent a radiotherapy. CONCLUSION: First choice for therapy of
tumors of the major salivary glands is surgical therapy in combination with
radiation/chemotherapy. If this is not possible a primary radiotherapy sometimes
in combination with chemotherapy seems to be another therapeutic option.
PMID- 18038376
TI - Synthesis and anticonvulsant activity of some N-phenyl-2
phtalimidoethanesulfonamide derivatives.
AB - In this study, inspired by the structures of the taltrimide, 2
phthalimidoethanesulphonamide, and the anilide pharmacophore known to be
synthetically produced anticonvulsant compounds, fifteen N-phenyl-2
phtalimidoethanesulfonamide derivatives bearing substituents with diverse
electronic and hydrophobic features on N-phenyl ring were synthesized. The
structural confirmation of the title compounds was achieved by interpretation of
spectral and analytical data. The anticonvulsant activity of the title compounds
was determined against maximal electroshock seizure in mice at a dose level of
100 mg/kg. The preliminary screening results indicated that the exchange of the N
isopropyl moiety for an N-phenyl ring in the taltrimide molecule abolished the
anticonvulsant activity. However, introducing certain substituents, such as
nitro, methyl, and chloro, into the N-phenyl ring lead to more active compounds
in comparison to the unsubstituted derivatives.
PMID- 18038377
TI - A combination of lacunary polyoxometalates and high-nuclear transition-metal
clusters under hydrothermal conditions. Part II: From double cluster, dimer, and
tetramer to three-dimensional frameworks.
AB - The hydrothermal reactions of trivacant Keggin A-alpha-XW(9)O(34) polyoxoanions
(X=P(V)/Si(IV)) with transition-metal ions (Ni(II)/Cu(II)/Fe(II)) in the presence
of amines result in eight novel high-nuclear transition-metal-substituted
polyoxotungstates [{Ni(7)(mu(3)-OH)(3)O(2)(dap)(3)(H(2)O)(6)}(B-alpha
PW(9)O(34))][{Ni(6)(mu(3)-OH)(3)(dap)(3)(H(2)O)(6)}(B-alpha
PW(9)O(34))][Ni(dap)(2)(H(2)O)(2)]4.5 H(2)O (1),
[Cu(dap)(H(2)O)(3)](2)[{Cu(8)(dap)(4)(H(2)O)(2)}(B-alpha-SiW(9)O(34))(2)]6 H(2)O
(2), (enH(2))(3)H(15)[{Fe(II) (1.5)Fe(III) (12)(mu(3)-OH)(12)(mu(4)-PO(4))(4)}(B
alpha-PW(9)O(34))(4)]ca.130 H(2)O (3), [{Cu(6)(mu(3)-OH)(3)(en)(3) (H(2)O)(3)}(B
alpha-PW(9)O(34))]7 H(2)O (4), [{Ni(6)(mu(3)-OH)(3)(en)(3)(H(2)O)(6)}(B-alpha
PW(9)O(34))]7 H(2)O (5), [{Ni(6)(mu(3)-OH)(3)(en)(2)(H(2)O)(8)}(B-alpha
PW(9)O(34))]7 H(2)O (6), [{Ni(6)(mu(3)-OH)(3)(dap)(2)(H(2)O)(8)}(B-alpha
PW(9)O(34))] 7 H(2)O (7), and [{Ni(6)(mu(3)-OH)(3)(en)(3)(H(2)O)(6)}(B-alpha
SiW(9)O(34))][Ni(0.5)(en)] 3.5 H(2)O (8) (en=ethylenediamine, dap=1,2
diaminopropane). These compounds have been structurally characterized by
elemental analyses, IR spectra, diffuse reflectance spectra, thermogravimatric
analysis, and X-ray crystallography. The double-cluster complex of
phosphotungstate 1 simultaneously contains hepta- and hexa-Ni(II)-substituted
trivacant Keggin units [{Ni(7)(mu(3)-OH)(3)O(2)(dap)(3)(H(2)O)(6)}(B-alpha
PW(9)O(34))](2-) and [{Ni(6)(mu(3)-OH)(3)(dap)(3)(H(2)O)(6)}(B-alpha
PW(9)O(34))]. The dimeric silicotungstate 2 is built up from two trivacant Keggin
[B-alpha-SiW(9)O(34)](10-) fragments linked by an octa-Cu(II) cluster. The main
skeleton of 3 is a tetrameric cluster constructed from four tri-Fe(III)
substituted [Fe(III) (3)(mu(3)-OH)(3)(B-alpha-PW(9) O(34))](3-) Keggin units
linked by a central Fe(II) (4)O(4) cubane core and four mu(4)-PO(4) bridges.
Complex 4 is an unprecedented three-dimensional extended architecture with
hexagonal channels built by hexa-Cu(II) clusters and trivacant Keggin [B-alpha
PW(9)O(34)](9-) fragments. The common feature of 5-8 is that they contain a B
alpha-isomeric trivacant Keggin fragment capped by a hexa-Ni(II) cluster, very
similar to the hexa-Ni(II)-substituted trivacant Keggin unit in 1. Magnetic
measurements illustrate that 1, 2, and 5 have ferromagnetic couplings within the
magnetic metal centers, whereas 3 and 4 reveal the antiferromagnetic exchange
interactions within the magnetic metal centers. Moreover, the magnetic behavior
of 4 and 5 have been theoretically simulated by the MAGPACK magnetic program
package.
PMID- 18038378
TI - Sensing the chirality of Dawson lanthanide polyoxometalates [alpha1-LnP2W17O61]7-
by multinuclear NMR spectroscopy.
AB - Lanthanide complexes of the chiral Dawson phosphotungstate [alpha(1)
P(2)W(17)O(61)](10-) were used to study the formation of diastereomers with
optically pure organic ligands. The present work started with the full assignment
of the (183)W NMR spectra of [alpha(1)-Yb(H(2)O)(4)P(2)W(17)O(61)](7-) at
different temperatures and concentrations, which allowed the structure of the
dimerized form in aqueous solution to be established. Different enantiopure amino
acids and phosphonic acids were screened as ligands. Both types allowed chiral
differentiation by multinuclear NMR spectroscopy under fast-exchange conditions.
Functional groups with a good affinity for the oxo framework of the
polyoxometalate were identified, and maps of the interactions between L-serine
and N-phosphonomethyl-L-proline with [alpha(1)-Yb(H(2)O)(4)P(2)W(17)O(61)](7-)
were established. This demonstrates the power of (183)W NMR spectroscopy to
elucidate the molecular recognition of inorganic molecules by organic compounds.
N-Phosphonomethyl-L-proline appears to be a convenient ligand to promote
separation of the diastereomers and ultimately resolution of the enantiomers of
[alpha(1)-Yb(H(2)O)(4)P(2)W(17)O(61)](7-).
PMID- 18038379
TI - Dynamics of electrons in ammonia cages: the discovery system of solvation.
AB - Two centuries ago solvated electrons were discovered in liquid ammonia and a
century later the concept of the solvent cage was introduced. Here, we report a
real time study of the dynamics of size-selected clusters, n=20 to 60, of
electrons in ammonia, and, for comparison, that of electrons in water cages.
Unlike the water case, the observed dynamics for ammonia indicates the formation,
through a 100 fs temperature jump, of a solvent collective motion in a 500 fs
relaxation process. The agreement of the experimental results-obtained for a well
defined n, gated electron kinetic energy, and time delay-with molecular dynamics
theory suggests the critical and different role of the kinetic energy and the
librational motions involved in solvation.
PMID- 18038380
TI - Cis-Configured aziridines are new pseudo-irreversible dual-mode inhibitors of
Candida albicans secreted aspartic protease 2.
AB - A series of cis-configured epoxides and aziridines containing hydrophobic
moieties and amino acid esters were synthesized as new potential inhibitors of
the secreted aspartic protease 2 (SAP2) of Candida albicans. Enzyme assays
revealed the N-benzyl-3-phenyl-substituted aziridines 11 and 17 as the most
potent inhibitors, with second-order inhibition rate constants (k(2)) between
56,000 and 121,000 M(-1) min(-1). The compounds were shown to be pseudo
irreversible dual-mode inhibitors: the intermediate esterified enzyme resulting
from nucleophilic ring opening was hydrolyzed and yielded amino alcohols as
transition-state-mimetic reversible inhibitors. The results of docking studies
with the ring-closed aziridine forms of the inhibitors suggest binding modes
mainly dominated by hydrophobic interactions with the S1, S1', S2, and S2'
subsites of the protease, and docking studies with the processed amino alcohol
forms predict additional hydrogen bonds of the new hydroxy group to the active
site Asp residues. C. albicans growth assays showed the compounds to decrease
SAP2-dependent growth while not affecting SAP2-independent growth.
PMID- 18038381
TI - Virtual screening and experimental verification to identify potential inhibitors
of the ErmC methyltransferase responsible for bacterial resistance against
macrolide antibiotics.
AB - Methyltransferases from the Erm family catalyze S-adenosyl-L-methionine-dependent
modification of a specific adenine residue in bacterial 23S rRNA, thereby
conferring resistance to clinically important macrolide, lincosamide, and
streptogramin B antibiotics. Thus far, no inhibitors of these enzymes have been
identified or designed that would effectively abolish the resistance in vivo. We
used the crystal structure of ErmC' methyltransferase as a target for structure
based virtual screening of a database composed of 58,679 lead-like compounds.
Among 77 compounds selected for experimental validation (63 predicted to bind to
the catalytic pocket and 14 compounds predicted to bind to the putative RNA
binding site), we found several novel inhibitors that decrease the minimal
inhibitory concentration of a macrolide antibiotic erythromycin toward an
Escherichia coli strain that constitutively expresses ErmC'. Eight of them have
IC(50) values in the micromolar range. Analysis of docking models of the
identified inhibitors suggests a novel strategy to develop potent and clinically
useful inhibitors.
PMID- 18038382
TI - Enantioselective total synthesis of the oral contraceptive desogestrel by a
double Heck reaction.
AB - A novel enantioselective total synthesis of the oral contraceptive desogestrel
(2) is described, in which the tetracyclic steroid core is formed by a sequence
of two consecutive Heck reactions. Conversion of the known enantiopure diketone 7
led to the chiral bicycle 6 which was used for a diastereoselective
intermolecular Heck reaction with vinyliodide 5 to give 15. In the following
intramolecular Heck reaction, the tetracyclic ring system was formed to give 4,
from which the synthesis of desogestrel (2) was furnished.
PMID- 18038383
TI - Synthesis of novel migrastatin and dorrigocin A analogues from D-glucal.
AB - The synthesis of a range of analogues of the migrastatin macrolide core has been
achieved from tri-O-acetyl-D-glucal in order to facilitate structure-activity
studies. Efficient macrolactone formation was achieved in the presence of a
reactive olefin, by increasing steric hindrance in the olefin environment.
Acyclic analogues of migrastatin, structurally related to dorrigocin A, have also
been prepared from D-glucal. The dorrigocin A analogues were prepared using the
combination of the cross metathesis of ethyl 6-heptenoate with a glycal
derivative and a subsequent allylic rearrangement-alkene isomerisation reaction
(Perlin reaction). A synthetic route is thus provided that will enable dorrigocin
A analogues to be prepared in parallel to migrastatin analogues in the search for
novel anti-cancer and anti-arthritic therapeutics. Biological evaluation of one
migrastatin and one dorrigocin A sugar derived analogue show that they inhibit
proliferation and serum-induced migration of tumour and synovial cells at higher
concentrations than evodiamine. Dorrigocin A analogues displayed similar potency
to analogues of the migrastatin core.
PMID- 18038384
TI - Preferred functionalization of metallic and small-diameter single-walled carbon
nanotubes by nucleophilic addition of organolithium and -magnesium compounds
followed by reoxidation.
AB - Covalent sidewall addition to single-walled nanotubes (SWNTs) of a series of
organolithium and organomagnesium compounds (nBuLi, tBuLi, EtLi, nHexLi, nBuMgCl,
tBuMgCl) followed by reoxidation is reported. The functionalized R(n)-SWNTs were
characterized by Raman and NIR emission spectroscopy. The reaction of SWNTs with
organolithium and magnesium compounds exhibits pronounced selectivity: in
general, metallic tubes are more reactive than semiconducting ones. The
reactivity of SWNTs toward the addition of organometallic compounds is inversely
proportional to the diameter of the tubes. This was determined simultaneously and
independently for both metallic and semiconducting SWNTs. The reactivity also
depends on the steric demands of the addend. Binding of the bulky t-butyl addend
is less favorable than addition of primary alkyl groups. Significantly, although
tBuLi is less reactive than, for example, nBuLi, it is less selective toward the
preferred reaction with metallic tubes. This unexpected behavior is explained by
fast electron transfer to the metallic SWNTs having low-lying electronic states
close to the Fermi level, a competitive initial process. The NIR emission of
weakly functionalized semiconducting SWNTs, also reported for the first time,
implies interesting applications of functionalized tubes as novel fluorescent
reporter molecules.
PMID- 18038385
TI - Catalyst parameters determining activity and selectivity of supported gold
nanoparticles for the aerobic oxidation of alcohols: the molecular reaction
mechanism.
AB - As previously reported for for solventless reactions, gold nanoparticles
supported on ceria are also excellent general heterogeneous catalysts for the
aerobic oxidations of alcohols in organic solvents. Among organic solvents it was
found that toluene is a convenient one. A systematic study on the influence of
the particle size and gold content on the support has established that the
activity correlates linearly with the total number of external gold atoms, and
with the surface coverage of the support. Amongst catalysts with different
supports, but similar gold particle size and content, gold on ceria exhibits the
highest activity. By means of a kinetic study (influence of sigma+ parameter,
kinetic isotopic effect, temperature, alcohol concentration and oxygen pressure)
a mechanistic proposal consisting of the formation of metal-alcoholate, beta
hydride shift from carbon to metal and M--H oxidation has been proposed that
explains all experimental results.
PMID- 18038386
TI - Solution structure of a DNA duplex containing a biphenyl pair.
AB - Hydrogen-bonding and stacking interactions between nucleobases are considered to
be the major noncovalent interactions that stabilize the DNA and RNA double
helices. In recent work we found that one or multiple biphenyl pairs, devoid of
any potential for hydrogen bond formation, can be introduced into a DNA double
helix without loss of duplex stability. We hypothesized that interstrand stacking
interactions of the biphenyl residues maintain duplex stability. Here we present
an NMR structure of the decamer duplex d(GTGACXGCAG) d(CTGCYGTCAC) that contains
one such X/Y biaryl pair. X represents a 3'',5''-dinitrobiphenyl- and Y a 3'',4''
dimethoxybiphenyl C-nucleoside unit. The experimentally determined solution
structure shows a B-DNA duplex with a slight kink at the site of modification.
The biphenyl groups are intercalated side by side as a pair between the natural
base pairs and are stacked head to tail in van der Waals contact with each other.
The first phenyl rings of the biphenyl units each show tight intrastrand stacking
to their natural base neighbors on the 3'-side, thus strongly favoring one of two
possible interstrand intercalation structures. In order to accommodate the
biphenyl units in the duplex the helical pitch is widened while the helical twist
at the site of modification is reduced. Interestingly, the biphenyl rings are not
static in the duplex but are in dynamic motion even at 294 K.
PMID- 18038388
TI - Materials in particulate form for tissue engineering. 1. Basic concepts.
AB - For biomedical applications, materials small in size are growing in importance.
In an era where 'nano' is the new trend, micro- and nano-materials are in the
forefront of developments. Materials in the particulate form aim to designate
systems with a reduced size, such as micro- and nanoparticles. These systems can
be produced starting from a diversity of materials, of which polymers are the
most used. Similarly, a multitude of methods are used to produce particulate
systems, and both materials and methods are critically reviewed here. Among the
varied applications that materials in the particulate form can have, drug
delivery systems are probably the most prominent, as these have been in the
forefront of interest for biomedical applications. The basic concepts pertaining
to drug delivery are summarized, and the role of polymers as drug delivery
systems conclude this review.
PMID- 18038389
TI - Osteoinductive biomaterials--properties and relevance in bone repair.
AB - The need for bone tissue regeneration is continuously expanding due to the
improvement of life quality and the consequent increase in life expectancy.
Although natural bone grafts have shown excellent clinical successes, their use
is associated with some important drawbacks, limited availability being one of
the most important. Cell- and growth-factor based tissue engineering provides a
promising alternative to natural bone grafts; however, the performance of tissue
engineered constructs often depends on the used carrier. An important challenge
in the field of bone regeneration is the development of synthetic bone graft
substitutes that are "intelligent" in that they are able to instruct the in vivo
environment to form bone. A group of potentially "intelligent" bone graft
substitutes are osteoinductive biomaterials. In this paper, background on the
phenomenon of osteoinduction and an overview of synthetic biomaterials with
osteoinductive potential are given. Furthermore, we elaborate on physicochemical
properties of biomaterials that are of influence on their osteoinductive
potential. Finally, we discuss the relevance of osteoinductivity of biomaterials
in the repair of clinically relevant bone defects.
PMID- 18038390
TI - Coating bone-like apatite onto organic substrates using solutions mimicking body
fluid.
AB - Bone-like apatite is a carbonated hydroxyapatite with a small crystallite and low
crystallinity. The formation of a layer consisting of bone-like apatite is an
essential condition for bioactive materials to achieve direct bonding with living
bone. A bone-like apatite layer can be formed on the surface of organic
substrates in a solution mimicking a body fluid when some functional groups are
introduced to organic substrates. This process is a biomimetic process because a
simulated body fluid and related solutions are used to deposit bone-like apatite
crystals and promote crystal growth. Coating of bone-like apatite layers through
biomimetic processes has received much attention in the fabrication of novel
composites with bone-bonding properties, i.e. bioactivity, and mechanical
properties analogous to those of living bone tissues. This paper reviews recent
developments in coating with bone-like apatite layers using biomimetic processes.
PMID- 18038391
TI - Murine osteoblasts regulate mesenchymal stem cells via WNT and cadherin pathways:
mechanism depends on cell-cell contact mode.
AB - Osteoblasts (OSTs) are derived from mesenchymal stem cells (MSCs) and coexist in
close proximity with MSCs in bone during development and remodelling.
Interactions between these two cell types remain obscure. Through a well-defined
co-culture model, the present work demonstrated that OSTs regulate MSCs through
the WNT and cadherin pathways. The regulation mechanism depends on the cell-cell
contact mode (indirect or direct) between the two cell types. When physically
separated (indirect contact), OSTs express WNTs and stimulate the osteogenic
differentiation of MSCs through the activation of the WNT pathway and suppression
of the cadherin pathway. This mechanism is evidenced by: (a) the elevation of
cytoplasmic and nuclear unphosphorylated beta-catenin protein levels; (b) the
suppression of beta-catenin degradation; (c) the increase in WNT-related
transcription factor TCF1/LEF1; and (d) the loss of major bone-related cadherins
(N-CAD and CAD11). Addition of DKK1 antagonizes the WNT pathway and diminishes
the stimulatory effect of OSTs on MSCs. When in direct cell-cell contact, OSTs
still secrete WNTs, whose binding still stabilizes the beta-catenin in MSCs.
However, direct cell-cell contact induces the upregulation of cadherin pathway in
MSCs, which suppresses the WNT pathway by containing cytoplasmic beta-catenin
protein at a low level; consequently, the stimulatory effect of OSTs is negated.
Regulation of cytoplasmic beta-catenin protein levels through concerted action or
crosstalk between the WNT and cadherin pathways is the key to the signalling
transduction in these cellular communication networks.
PMID- 18038392
TI - Multipotent adult progenitor cell transplantation increases vascularity and
improves left ventricular function after myocardial infarction.
AB - Progressive contractile dysfunction of viable myocardium that surrounds a large
infarct leads to heart failure following acute myocardial infarction (AMI).
Experimental evidence indicates that cellular transplantation may improve the
left ventricular (LV) contractile performance, even though the underlying
mechanisms remain undefined. Here, we compared the effect of transplantation of
murine multipotent adult progenitor cells (MAPCs), a population of adult bone
marrow-derived cells that differentiate into cells of mesodermal, endodermal and
ectodermal origin, with murine bone marrow cells (BMCs) or fibroblasts on post
infarct cardiac function by peri-infarct injection after coronary artery ligation
in mice. We demonstrate that, in contrast to the other cell populations,
transplantation of MAPCs significantly improved LV contractile function for at
least 8 weeks post-transplantation and, although BMCs reduced infarct size, the
decrease in scar size was substantially greater in MAPC-treated hearts. As
neither MAPCs nor BMCs were present beyond 1 week, the beneficial effect was not
due to differentiation and direct contribution of MAPCs to the vascular or
cardiomyocyte compartment. Significantly more inflammatory cells were present in
MAPC- than BMC-treated hearts at 1 week, which was accompanied by increased
vascularity 8 weeks post-transplantation. We hypothesize that MAPCs indirectly
contributed to these effects, by secreting inflammatory [monocyte chemoattractant
protein-1 (MCP)-1], and vascular growth factors [vascular endothelial growth
factor (VEGF), platelet-derived growth factor (PDGF)-BB, and transforming growth
factor (TGF)beta(1)), and others, resulting in increased angiogenensis and
cardioprotection.
PMID- 18038393
TI - The osteogenicity of implanted engineered bone constructs is related to the
density of clonogenic bone marrow stromal cells.
AB - Reproducible osteogenicity is a key requirement for the clinical use of bone
substitutes based on bone marrow stromal cells (BMSCs) and three-dimensional (3D)
scaffolds. In this study we addressed whether a minimal cell density is required
for ectopic osteogenicity of constructs generated using a recently developed
perfusion system for seeding and culturing human BMSCs on 3D scaffolds. Cells
from human bone marrow aspirates were directly seeded and expanded for 3 weeks
within the pores of ceramic-based scaffolds, using a perfusion bioreactor. The
resulting constructs were either implanted subcutaneously in nude mice, to
determine their capacity to generate bone tissue, or digested to retrieve the
expanded cells and assess their number, phenotype and clonogenic capacity. The
final number of BMSCs in the constructs was correlated neither to the initial
number of seeded cells, nor to the subsequent bone formation. Instead, the final
number of clonogenic BMSCs in the constructs was positively correlated to the
initial number of BMSCs seeded, and was significantly higher in osteogenic than
in non-osteogenic constructs. These results indicate that clonogenic cells play a
crucial role in determining the osteogenicity of engineered bone substitutes.
Possible ways to quantify the density of clonogenic cells as a quality control
parameter to predict potency of BMSC-based constructs are discussed.
PMID- 18038394
TI - The odontogenic potential of STRO-1 sorted rat dental pulp stem cells in vitro.
AB - The presence of heterogeneous cell populations in dental pulp may count for the
considerable variation in the outcome of in vitro and in vivo experiments. Here,
we intended to determine whether a minor cell sub-population of high
proliferation and odontogenic potential existed among a larger compartment of
perhaps more committed progenitors. In this study, the STRO-1 antigen, defining a
mesenchymal stem cell or progenitor subpopulation, was used for separating rat
dental pulp cells with fluorescence-activated cell sorting (FACS). Subsequently,
the STRO-1 positive cells were tested for their ability to differentiate towards
an odontoblast-like phenotype. Three cell populations (STRO-1 positive, STRO-1
negative, and non-sorted cells) were cultured in odontogenic medium containing
dexamethasone and beta-glycerophosphate. Cultures were analyzed by light- and
scanning electron microscopy (SEM), and assessed for proliferation, ALP activity,
and calcium content. Results showed that the STRO-1 positive cell population was
able to differentiate into the odontoblast phenotype, similar to the non-sorted
population. The negative cells however showed a fibroblast-like phenotype. SEM
and real-time PCR confirmed such results. In conclusion, the STRO-1 selection
proved applicable for rat-derived material, to obtain a cell population which is
more homogeneous. This positive cell fraction was capable of differentiating into
the odontogenic pathway, whereas the negative fraction was not. However, the
effect was not always advantageous, when compared to non-sorted cells.
PMID- 18038395
TI - Repair of articular cartilage defects in the patello-femoral joint with
autologous bone marrow mesenchymal cell transplantation: three case reports
involving nine defects in five knees.
AB - To investigate the effectiveness of autologous culture-expanded bone marrow
mesenchymal cell transplantation for repairing articular cartilage defects, we
transplanted autologous culture-expanded bone marrow mesenchymal cells into nine
full-thickness articular cartilage defects of the patello-femoral joints
(including two kissing lesions) in the knees of three patients, a 31 year-old
female, a 44 year-old male and a 45 year-old male. Three weeks before
transplantation, bone marrow blood was aspirated from the iliac crest. Adherent
cells were cultured with media containing autologous serum. Single-passaged cells
were collected, embedded in a collagen solution (5 x 10(6) cells/ml), placed on a
collagen sheet, gelated, transplanted into the defect and covered with autologous
periosteum or synovium. Six months after transplantation, the patients' clinical
symptoms had improved and the improvements have been maintained over the follow
up periods (17-27 months). Histology of the first patient 12 months after the
transplantation revealed that the defect had been repaired with the
fibrocartilaginous tissue. Magnetic resonance imaging of the second patient 1
year after transplantation revealed complete coverage of the defect, but we were
unable to determine whether or not the material that covered the defects was
hyaline cartilage. Autologous bone marrow mesenchymal cells transplantation may
be an effective approach to promote the repair of articular cartilage defects.
PMID- 18038397
TI - Engineering tissues, organs and cells.
AB - Patients suffering from diseased and injured organs may be treated with
transplanted organs; however, there is a severe shortage of donor organs that is
worsening yearly, given the ageing population. In the field of regenerative
medicine and tissue engineering, scientists apply the principles of cell
transplantation, materials science and bioengineering to construct biological
substitutes that will restore and maintain normal function in diseased and
injured tissues. Therapeutic cloning, where the nucleus from a donor cell is
transferred into an enucleated oocyte in order to extract pluripotent embryonic
stem cells, offers a potentially limitless source of cells for tissue engineering
applications. The stem cell field is also advancing rapidly, opening new options
for therapy, including the use of amniotic and placental fetal stem cells. This
review covers recent advances that have occurred in regenerative medicine and
describes applications of these technologies using chemical compounds that may
offer novel therapies for patients with end-stage organ failure.
PMID- 18038398
TI - Materials in particulate form for tissue engineering. 2. Applications in bone.
AB - Materials in particulate form have been the subjects of intensive research in
view of their use as drug delivery systems. While within this application there
are still issues to be addressed, these systems are now being regarded as having
a great potential for tissue engineering applications. Bone repair is a very
demanding task, due to the specific characteristics of skeletal tissues, and the
design of scaffolds for bone tissue engineering presents several difficulties.
Materials in particulate form are now seen as a means of achieving higher control
over parameters such as porosity, pore size, surface area and the mechanical
properties of the scaffold. These materials also have the potential to
incorporate biologically active molecules for release and to serve as carriers
for cells. It is believed that the combination of these features would create a
more efficient approach towards regeneration. This review focuses on the
application of materials in particulate form for bone tissue engineering. A brief
overview of bone biology and the healing process is also provided in order to
place the application in its broader context. An original compilation of
molecules with a documented role in bone tissue biology is listed, as they have
the potential to be used in bone tissue engineering strategies. To sum up this
review, examples of works addressing the above aspects are presented.
PMID- 18038399
TI - A new and evolving paradigm for biocompatibility.
AB - We propose that the mechanical property of the interface between an implant and
its surrounding tissues is critical for the host response and the performance of
the device. The interfacial mechanics depends on several different factors
related to the physical shape of the device and its surface as well as properties
of the host tissue and the loading conditions of the device and surrounding
tissue. It seems plausible that the growth of the fibrotic tissue to support
mechanical loads is governed by the same principles as depicted by Wolfs' Law for
bone. Of course, biocompatibility will have different implications depending on
which vantage point we look at the host-material interface. Another implication
is that only limited aspects of biocompatibility is measurable with current in
vitro tests and that the elicited host response in vivo models remains crucial
for evaluation of medical devices and tissue engineering constructs.
PMID- 18038400
TI - Self-curing acrylic formulations with applications in intervertebral disk
restoration: drug release and biological behaviour.
AB - New injectable acrylic formulations have been prepared to be applied in
restoration processes for intervertebral disks (IVDs). The solid phase of the
formulations is composed of poly(methyl methacrylate) (PMMA), incorporating in
some cases chondroitin sulphate (CS) as a regenerative bioactive molecule,
whereas the liquid phase is constituted by an amphiphilic macromonomer (MT), 2
hydroxyethyl methacrylate (HEMA) and, in some formulations, acrylic acid (AA).
The curing parameters and the mechanical properties of the IVD formulations make
them excellent candidates for intervertebral application. In vitro and in vivo
evaluation of the prepared IVD formulations is described in terms of CS release,
surface analysis after immersion in SBF solutions, and biocompatibility studies
based on MTT assay and Alamar blue test, as well as in vivo implantation in
female Wistar rats, by injection of the IVD formulations followed by histological
evaluations to assess tissue response.
PMID- 18038401
TI - Multilineage potential of STRO-1+ rat dental pulp cells in vitro.
AB - The aim of the current study was to determine whether STRO-1 selection is an
effective approach for purifying rat dental pulp stem cells, and especially
whether such selection is beneficial on the multilineage differentiation
capacity, i.e. whether selection will account for a higher rate of
differentiation or lesser variability. In this study, two cell populations (STRO
1(+) and non-sorted cells) were cultured under conditions promoting neurogenic,
adipogenic, myogenic and chondrogenic differentiation. Results of light
microscopy, histochemistry, and immunohistochemistry showed that STRO-1(+) cells
were capable of advancing into all four differentiation pathways under the
influence of inductive media. Quantitative PCR and statistical analysis on
specific differentiation markers confirmed that there were significant
upregulations in STRO-1(+) cells compared to the other populations, during
induction culture. On the basis of our results, we concluded that: (a) rat STRO
1(+) dental pulp stem cells are capable of differentiating towards multilineage
cell types, including neural cells, adipocytes, myocytes and chondrocytes; (b)
the STRO-1(+) population has a more defined multilineage potential compared to
non-sorted cells, probably because of its more homogeneous nature. .
PMID- 18038402
TI - Modular tissue engineering: fabrication of a gelatin-based construct.
AB - Modular tissue-engineered constructs are assembled from sub-millimetre-sized
cylindrical modules which are seeded with a surface layer of endothelial cells.
The resulting construct is permeated by a network of interconnected, endothelial
cell-lined channels to facilitate blood perfusion and nutrient delivery. To
provide adequate stiffness, yet consist of a substrate suitable for endothelial
cells, modular constructs were fabricated from gelatin, the denatured form of
collagen. Gelatin modules containing HepG2 cells or spheroids were fabricated
using a sieving process. A surface layer of bovine aortic endothelial cells
completely covering the modules was generated within 1 week of seeding. Modules
were assembled into constructs within a flow circuit and flow rate-pressure
difference profiles measured. Fluid perfusion resulted in negligible construct
compaction, even at high flow rates. It was necessary, however, to crosslink the
gelatin modules with glutaraldehyde to prevent dissolution at 37 degrees C. This
resulted in a significant loss of cell viability within the modules. A strategy
to enable non-toxic crosslinking of the gelatin modules is required to fabricate
constructs containing viable cells.
PMID- 18038403
TI - In vitro regulation of adipogenesis: tunable engineered tissues.
AB - A major clinical challenge in creating a tissue-engineered medical implant is the
ability to expand cells biopsied from the patient to obtain a clinically relevant
cell volume. A common tissue engineering strategy involves the culture of
autologous progenitor cells on biomaterials to form an implantable cellular
device. However, autologous progenitor cells often lose their differentiation
potential during the expansion process. The acute regulation of mammary tissue
expansion in vivo through local modulation of connective tissue suggests that the
parenchymal-stromal interactions are crucial to develop tissue ex vivo. To better
understand cellular behaviour in co-culture in two-dimensional systems,
mesenchymal stem cells were treated with mammary epithelial cell conditioned
medium and assessed for cell proliferation, lipid production and morphological
changes over a 17 day culture period. The results showed that bovine epithelial
cells (MAC-T) are able to inhibit mouse mesenchymal stem cell differentiation
(D1), even in the presence of adipogenic cocktails. The inhibitory effect is
reversible, thus potentially providing a mechanism for 'tuning' a cell-based
device prior to implantation. Further studies found that there were significantly
higher levels of urokinase plasminogen activator (uPA) and plasminogen activator
inhibitor 1 (PAI-1) in the MAC-T-conditioned medium than in the murine mammary
epithelial cell (NMuMG)-conditioned medium, which was not able to inhibit
adipogenesis of D1 cells. D1 cells are able to proliferate and maintain their
differentiation potential in MAC-T-conditioned medium. The long-term implication
is that new culture media may be developed that allow the rapid, clinical
expansion and differentiation or dedifferentiation of cells for regenerative
medicine applications.
PMID- 18038404
TI - Osteogenic differentiation of human adipose-derived stem cells: comparison of two
different inductive media.
AB - Human mesenchymal stem cells (MSCs) have the potential to differentiate into
cells of connective tissue lineages, including bone, cartilage, fat, muscle and
also neurons. In our study we have examined the phenotypic profile of human
adipose tissue-derived stem cells (hASCs) and compared different osteogenic
inductive media to assess hASC differentiation. Cells were enzymatically isolated
from adipose tissues derived by liposuction from several adult human donors,
purified and then expanded in culture. We obtained an abundant yield of hASCs
with a constant proliferative trend, a doubling time of about 68 h and a mild
variable clonogenic capacity. At passage 4, hASCs expressed MSC-related cell
surface antigens (CD13, CD105, CD54, CD90, CD44), and subsequently hASCs were
induced to differentiate into the osteogenic lineage for at least 3 weeks of
culture in two distinct media, OM1 and OM2, differing in dexamethasone and
ascorbic acid concentrations. Osteogenic differentiation of OM1- and OM2-cultured
cells was assessed by evaluating cell morphology, osteopontin expression,
alkaline phosphatase activity and calcium deposition. OM2 medium showed a higher
osteogenic potential than OM1, as assessed by increased levels of calcium
deposition, alkaline phospatase activity and osteopontin expression in comparison
with OM1-differentiated cells. We conclude that hASCs efficiently differentiate
into osteogenic lineage, particularly when cultured in inductive medium
supplemented with 10 nM dexamethasone and 150 microM ascorbic acid.
PMID- 18038405
TI - Tissue engineering: chondrocyte culture on type 1 collagen support.
Cytohistological and immunohistochemical study.
AB - The scope of our study is to evaluate the possibility of cultivating and
expanding human chondrocytes and seeding them on pure equine type I collagen
support. Our results show that human articular cartilaginous cells can multiply
and grow on type I collagen substrate with production of extracellular matrix.
This type of chondrocyte culture on a support can be used for repairing
cartilaginous lesions since they show a correct morphology (evaluated by
cytological and histological methods) and a suitable differentiation and
phenotype as shown by Alcian PAS staining to indicate the presence of
mucopolysaccharides, and immunohistochemical methods to identify collagen II. We
believe that these chondrocyte cultures on this biomaterial can be used for
repairing cartilaginous lesions with improvement of surgical technique; the
support allows adhesion of the chondrocytes to the cartilaginous lesion and a
mallebility that favours optimum spatial adaptation.
PMID- 18038407
TI - Tissue factor: a mini-review.
AB - Tissue factor (TF) is historically known as the trigger of the coagulation
cascade. This integral membrane glycoprotein forms a ternary complex with factor
VIIa (FVIIa) and zymogen factor (FX), which is then activated to factor Xa (FXa).
The latter cleaves prothrombin into thrombin (FIIa), which in turn activates
fibrinogen in fibrin monomers. What is less known is its additional non
haemostatic roles in inflammation, tumour growth and angiogenesis. This aspect
will be developed here. TF, as a transmembrane protein, has a signalling effect
requiring FVIIa. TF-FVIIa complex activates G protein-coupled receptor protease
activated receptor 2 (PAR-2) and therefore modulates various cellular processes,
such as cell proliferation and survival, gene transcription and protein
translation. In this review we will first highlight, using recent structural
data, the 'potentially' active domain able to modulate the triggered
intracellular response. We also will focus on the still emerging and promising
results deciphering the diverse locations in which TF appears. We conclude with a
description of an emerging and atypical use of tissue factor in platelet gel
surgery for sinus augmentation.
PMID- 18038408
TI - Co-culture in cartilage tissue engineering.
AB - For biotechnological research in vitro in general and tissue engineering
specifically, it is essential to mimic the natural conditions of the cellular
environment as much as possible. In choosing a model system for in vitro
experiments, the investigator always has to balance between being able to
observe, measure or manipulate cell behaviour and copying the in situ environment
of that cell. Most tissues in the body consist of more than one cell type. The
organization of the cells in the tissue is essential for the tissue's normal
development, homeostasis and repair reaction. In a co-culture system, two or more
cell types brought together in the same culture environment very likely interact
and communicate. Co-culture has proved to be a powerful in vitro tool in
unravelling the importance of cellular interactions during normal physiology,
homeostasis, repair and regeneration. The first co-culture studies focused mainly
on the influence of cellular interactions on oocytes maturation to a pre
implantation blastocyst. Therefore, a brief overview of these studies is given
here. Later on in the history of co-culture studies, it was applied to study cell
cell communication, after which, almost immediately as the field of tissue
engineering was recognized, it was introduced in tissue engineering to study
cellular interactions and their influence on tissue formation. This review
discusses the introduction and applications of co-culture systems in cell biology
research, with the emphasis on tissue engineering and its possible application
for studying cartilage regeneration.
PMID- 18038409
TI - A proposed definition of regenerative medicine.
AB - There exists a lack of consensus regarding a clear and precise definition of
regenerative medicine. We suggest here a definition developed by the authors with
input from researchers in the various contributing disciplines. This definition
emphasizes the interdisciplinarity of the field, its goal of restoring impaired
function, and the wide variety of technologies that can contribute to achieving
this goal. By highlighting the lack of agreement regarding a definition of
regenerative medicine, and by proposing our own definition, we hope to stimulate
discussion on the subject within the field and to encourage the regenerative
medicine community to work together to develop a consensus definition. We believe
that a clear definition of regenerative medicine could help to unify the field
and is essential to facilitate understanding among policy makers, funding
agencies and the general public, as well as individuals from scientific and
medical disciplines.
PMID- 18038410
TI - New observations of the hierarchical structure of human enamel, from nanoscale to
microscale.
AB - Microstructure in terms of hierarchical assembly exists widely in mineralized
biomaterials, fulfilling an important role in setting up their outstanding
properties. The purpose of this study was to investigate the hierarchical
assembly of enamel structure and functions, which are related to the unique
characteristics of enamel. Human enamel taken from mature third molars was
explored using scanning electron microscopy (SEM), high-resolution transmission
electron microscopy (HRTEM) and atomic force microscopy (AFM). Integrating the
microscopic observations revealed the high complexity of the well-organized
enamel structure in terms of hierarchical assembly. Based on these observations,
seven hierarchical levels of the microstructure were proposed and described,
using a scheme representing a complete spectrum of the organization in detail,
covering a range from microscale to nanoscale: hydroxyapatite crystals (Level 1)
at first form mineral nanofibrils (Level 2); the nanofibrils always align
lengthways, aggregating into fibrils (Level 3) and further thicker fibres (Level
4); prism/interprism continua (Level 5) are then composed of them. At the
microscale, prisms assemble into prism bands (Level 6), which present different
arrangements across the thickness of the enamel layer (Level 7). Analysis of the
enamel and bone hierarchical structure suggests similarities of scale
distribution at each level. This study also aimed to understand further the
structural-mechanical relations at each hierarchical level.
PMID- 18038411
TI - Ultra-rapid engineered collagen constructs tested in an in vivo nursery site.
AB - Collagen is a naturally occurring structural protein, highly conserved across
species. Conventionally, tissue engineering aims to convert cell-seeded
constructs into a tissue-like architecture with biomimetic function. However,
cell-mediated remodelling of biomaterial scaffolds in vitro has proved to be
slow, costly and difficult to control. We have recently developed a novel process
for ultra-rapid engineering of tissue-like constructs without the need for cell
based remodelling. Using plastic compression of type I collagen gels, the
densities of collagen and cells together with mechanical properties can be
brought controllably to near-tissue levels in minutes rather than weeks/months.
We have now implanted these constructs in a test site across intercostal spaces
in a rabbit model designed to provide cyclical tensile loading in vivo, to test
their integration, cell ingrowth and angiogenic response over 5 weeks. Post
implanted constructs were recovered and tested for host vascularization,
inflammatory response and mechanical integrity.
PMID- 18038412
TI - Potential use of craniosynostotic osteoprogenitors and bioactive scaffolds for
bone engineering.
AB - The cranial bone has a very limited regenerative capability. Patients with
craniosynostosis (the premature fusion of cranial sutures, leading to skull
abnormalities) often require extensive craniofacial reconstruction and repeated
surgery. The possibility of grafting autologous osteoprogenitor cells seeded on
bioabsorbable matrices is of great potential for inducing regeneration of
craniofacial structure and protecting the brain from external insult. To this
purpose we have studied the behaviour of normal and craniosynostotic mouse
osteoblast cell lines, and of human primary osteoprogenitors from
craniosynostotic patients. We have monitored their ability to grow and
differentiate on plastic and on a scaffold composed of bioactive glass and
bioabsorbable polymer by live fluorescent labelling and expression of bone
differentiation markers. Cells from syndromic patients display a behaviour very
similar to that observed in the stable mouse cell line we generated by
introducing the human FGFR2-C278F, a mutation found in certain craniosynostosis,
into MC3T3 osteblastic cells, indicating that the mutated cell line is a valuable
model for studying the cellular response of human craniosynostotic osteoblasts.
Both normal and mutated calvarial osteoprogenitors can attach to the bioactive
scaffold, although mutated cells display adhesion defects when cultured on
plastic. Furthermore, analysis of bone differentiation markers in human
osteoblasts shows that the composite mesh, unlike PLGA(80) plates, supports bone
differentiation. The ability of the mesh to support homing and differentiation in
both normal and mutant osteoprogenitors is important, in view of further
developing autologous biohybrids to repair cranial bone deficits also in
craniosynostotic patients undergoing extensive reconstructive surgery.
PMID- 18038413
TI - Effects of surface-modified scaffolds on the growth and differentiation of mouse
adipose-derived stromal cells.
AB - PURPOSE: Adipose-derived stromal cells (ADSCs) have been shown to increase
angiogenesis in ischemic tissue. Maintaining cell survival and facilitating
angiogenesis in ischemic tissue, however, continues to be the major challenge of
ADSCs implantation. Recently, bioengineered scaffolds were introduced to support
and facilitate cell culture and differentiation. The effects of a surface
modified three-dimensional (3D) scaffold on ADSC function have not been
investigated. Accordingly, the objective of this study was to determine the
influence of a gas-plasma treated scaffold on ADSC growth, differentiation into
endothelial cell, and angiogenic gene expression. METHODS: Freshly isolated mouse
ADSCs were characterized by flow cytometry and cultured into wells containing gas
plasma treated scaffolds, non-treated scaffolds, or control wells. Either
endothelial growth media or differentiation media was used to alter cell
environment. After 3 and 6 days, cell proliferation was analyzed. VEGF
concentration in the medium was measured by ELISA. Gene expression was quantified
by real-time PCR for VEGF receptor-2 (KDR), cyclooxygenase-2 (COX-2) and matrix
metalloproteinases-2 (MMP-2). RESULTS: ADSCs expressed stem/endothelial
progenitor markers CD34 and CD133 and endothelial cell marker CD31. ADSCs grew in
the 3D scaffold. Cells grown on gas-plasma treated scaffolds displayed
significantly increased expression of VEGF, COX-2, and MMP-2 when grown in
differentiation but not growth media. When cultured in endothelial growth media,
VEGF secretion and the expression of KDR, COX-2 and MMP-2 were lower in 3D
scaffolds than controls. CONCLUSIONS: This study suggests that 3D scaffolds,
especially gas-plasma treated scaffolds, support ADSC growth and support
differentiation into endothelial cells.
PMID- 18038414
TI - Abstracts of the Vascular Matrix Biology and Bioengineering Workshop, March 15
18, 2007, Whistler, British Columbia, Canada.
PMID- 18038415
TI - State of the art and future directions of scaffold-based bone engineering from a
biomaterials perspective.
AB - Scaffold-based bone tissue engineering aims to repair/regenerate bone defects.
Such a treatment concept involves seeding autologous osteogenic cells throughout
a biodegradable scaffold to create a scaffold-cell hybrid that may be called a
tissue-engineered construct (TEC). A variety of materials and scaffolding
fabrication techniques for bone tissue engineering have been investigated over
the past two decades. This review aims to discuss the advances in bone
engineering from a scaffold material point of view. In the first part the reader
is introduced to the basic principles of bone engineering. The important
properties of the biomaterials and the scaffold design in the making of tissue
engineered bone constructs are discussed in detail, with special emphasis placed
on the new material developments, namely composites made of synthetic polymers
and calcium phosphates. Advantages and limitations of these materials are
analysed along with various architectural parameters of scaffolds important for
bone tissue engineering, e.g. porosity, pore size, interconnectivity and pore
wall microstructures.
PMID- 18038416
TI - Osteochondral defects: present situation and tissue engineering approaches.
AB - Articular cartilage is often damaged due to trauma or degenerative diseases,
resulting in severe pain and disability. Most clinical approaches have been shown
to have limited capacity to treat cartilage lesions. Tissue engineering (TE) has
been proposed as an alternative strategy to repair cartilage. Cartilage defects
often penetrate to the subchondral bone, or full-thickness defects are also
produced in some therapeutic procedures. Therefore, in TE strategies one should
also consider the need for a simultaneous regeneration of both cartilage and
subchondral bone in situations where osteochondral defects are present, or to
provide an enhanced support for the cartilage hybrid construct. In this review,
different concepts related to TE in osteochondral regeneration will be discussed.
The focus is on the need to produce new biphasic scaffolds that will provide
differentiated and adequate conditions for guiding the growth of the two tissues,
satisfying their different biological and functional requirements.
PMID- 18038417
TI - Emphasizing the need to more fully understand development: a stem cells and
regenerative medicine meeting report.
AB - A shared enthusiasm towards the highly promising utility of stem cell research
brought together clinicians and scientists from academic institutions,
government, and industry at the Second Annual International Stem Cells and
Regenerative Medicine meeting presented by GeneExpression Systems, Inc. earlier
this year. A common theme was echoed by presenters that a deeper understanding of
natural development of the whole organism and individual organ systems is needed.
Armed with this greater knowledge, a more efficient and conscientious translation
of stem cell research may be achieved. Guest lectures included presentations by
Dr. Elizabeth H. Blackburn, Dr. Irving L. Weissman, and Dr. Erin Lavik. Attendees
were updated and encouraged by these and several other speakers on such topics as
stem cell biology, epigenetics, biotechnology, regenerative medicine, tissue
engineering, and bioethics. Biomedical engineers and scientists are making steady
strides in designing specific three dimensional scaffolds, tracking and
controlling stem cells. The future of stem cell research continues to be
promising with applications for treating cardiac and neurodegenerative diseases,
hearing loss, diabetes, and so on.
PMID- 18038418
TI - The effect of the ionic products of Bioglass dissolution on human osteoblasts
growth cycle in vitro.
AB - In this study, in order to observe the effect of Bioglass and its ionic products
on human esteoblasts growth cycle in vitro, the ionic products of Bioglass have
been introduced to a cell culture medium by dissolving Bioglass particles in
Dulbecco's modified Eagle's medium (DMEM) at 37 degrees C for 24 h; this was used
as the experimental medium, while DMEM without Bioglass modification was used as
the control medium. Human osteoblasts isolated from trabecular bone were treated
by the two media and the timing of the osteoblast growth cycle was examined. Cell
growth curves were derived after 7 days. Also, human osteoblasts were treated for
1-6 days by the two media, and the G(1), S, G(2) phase percentages of osteoblasts
were recorded by flow cytometry every day, resulting in the cell proliferation
activity index: SPF (S-phase fraction) and PI (proliferation index). The
difference in cell growth was shown after the second day of culture (p < 0.01),
and cell growth in the experimental groups was greater than in control groups.
The SPF and PI of the experimental groups were also higher than the control
groups in 2 days of culture (p < 0.05 and p < 0.01), which indicates that the
growth cycle of the human osteoblasts in experimental medium is about 2 days. In
conclusion, Bioglass can promote osteoblast proliferation, reducing the human
osteoblast growth cycle to pass through G(1) and S phase and then enter G(2)
phase quickly.
PMID- 18038419
TI - Spatial differences of cellular origins and in vivo hypoxia modify contractile
properties of pulmonary artery smooth muscle cells: lessons for arterial tissue
engineering.
AB - Tissue engineering of functional arteries is challenging. Within the pulmonary
artery wall, smooth muscle cells (PASMCs) have site-specific developmental and
functional phenotypes, reflecting differing contractile roles. The force
generated by PASMCs isolated from the inner 25% and outer 50% of the media of
intrapulmonary elastic arteries from five normal and eight chronically hypoxic
(hypertensive) 14 day-old piglets was quantified in a three-dimensional (3D)
collagen construct, using a culture force monitor. Outer medial PASMCs from
normal piglets exerted more force (528 +/- 50 dynes) than those of hypoxic
piglets (177 +/- 42 dynes; p < 0.01). Force generation by inner medial PASMCs
from normal and hypoxic piglets was similar (349 +/- 35 and 239 +/- 60 dynes). In
response to agonist (thromboxane) stimulation, all PASMCs from normal and hypoxic
piglets contracted, but the increase in force generated by outer and inner
hypoxic PASMCs (ranges 13-72 and 14-56 dynes) was less than by normal PASMCs
(ranges 27-154 and 34-159 dynes, respectively; p < 0.05 for both). All hypoxic
PASMCs were unresponsive to antagonist (sodium nitroprusside) stimulation, all
normal PASMCs relaxed (range - 87 to - 494 dynes). Myosin heavy chain expression
by both hypoxic PASMC phenotypes was less than normal (p < 0.05 for both), as was
the activity of focal adhesion kinase, regulating contraction, in hypoxic inner
PASMCs (p < 0.01). Chronic hypoxia resulted in the development of abnormal PASMC
phenotypes, which in collagen constructs exhibited a reduction in contractile
force and reactivity to agonists. Characterization of the mechanical response of
spatially distinct cells and modification of their behaviour by hypoxia is
critical for successful tissue engineering of major blood vessels.
PMID- 18038421
TI - Enhancement of osteoblastic differentiation of mesenchymal stromal cells cultured
by selective combination of bone morphogenetic protein-2 (BMP-2) and fibroblast
growth factor-2 (FGF-2).
AB - It is well known that bone marrow contains mesenchymal stromal cells (MSCs),
which can show osteoblastic differentiation when cultured in osteogenic medium
containing ascorbic acid, beta-glycerophosphate and dexamethasone. The
differentiation results in the appearance of osteoblasts, together with the
formation of bone matrix; thus, in vitro cultured bone (osteoblasts/bone matrix)
could be fabricated by MSC culture. This type of cultured bone has already been
used in clinical cases involving orthopaedic problems. To improve the therapeutic
effect of the cultured bone, we investigated the culture conditions that
contributed to extensive osteoblastic differentiation. Rat bone marrow was
primarily cultured to expand the number of MSCs and further cultured in
osteogenic medium for 12 days. The culture was also conducted in a medium
supplemented with either bone morphogenetic protein-2 (BMP-2) or fibroblast
growth factor (FGF-2), or with sequential combinations of both supplements. Among
them, the sequential supplementation of FGF-2 followed by BMP-2 showed high
alkaline phosphatase activity, sufficient bone-specific osteocalcein expression
and abundant bone matrix formation of the MSC culture. These data implied that
the number of responding cells or immature osteoblasts was increased by the
supplementation of FGF-2 in the early phase of the culture and that these cells
can show osteoblastic differentiation, of which capability was augmented by BMP-2
in the late phase. The sequential supplementation of these cytokines into MSC
culture might be suitable for the fabrication of ideal cultured bone for use in
bone tissue engineering.
PMID- 18038423
TI - Vascular tissue engineering with magnetic nanoparticles: seeing deeper.
AB - The endothelium bares a paramount therapeutic and diagnostic significance in
vascular disease. The current work presents a novel strategy based on the use of
superparamagnetic nanoparticles to obtain an endothelial cell lining on the
luminal surface of vascular conduits, which can be detected non-invasively in a
clinical Magnetic Resonance Imaging (MRI) scanner. Human umbilical vein
endothelial cells (HUVECs) were prelabeled with clinically approved
superparamagnetic nanoparticles. Cell viability and eNOS expression were not
affected by the labelling procedure. Magnetically labelled cells were delivered
onto the lumen of a PTFE tubular graft by a customised electromagnet. The
endothelium was detected in a 1,5T MRI scanner. Magnetic cell delivery provides
an efficient technique to seed tubular scaffolds enabling the non-invasive
depiction of the cells from the substrate, thus providing a reliable tool to
assess the quality of cell delivery procedures.
PMID- 18038420
TI - Isolation and characterization of mesenchymal cells from human fetal membranes.
AB - Bone marrow (BM) multipotent mesenchymal stromal cells (MSCs) present with
multipotent differentiation potential and immunomodulatory properties. As an
alternative to bone marrow, we have examined fetal membranes, amnion and chorion,
of term human placenta as a potential source of multipotent MSCs. Here we show
that amnion mesenchymal cells (AMCs) and chorion mesenchymal cells (CMCs),
isolated by mechanical separation and subsequent enzymatic digestion, demonstrate
plastic adherence and fibroblast-like morphology and are able to form colonies
that could be expanded for at least 15 passages. By FACS analysis, AMCs and CMCs
were shown to be phenotypically similar to BM-MSCs and, when cultured in
differentiation media, they demonstrated high morphogenetic plasticity by
differentiating into osteocytes, chondrocytes and adipocytes. In an attempt to
isolate cells with MSC characteristics from human fetal membranes, AMCs and CMCs
expressing CD271 were enriched by immunomagnetic isolation and were demonstrated
to possess higher clonogenic and osteogenic differentiation potential than CD271
depleted fractions. Based on these findings, amnion and chorion can be considered
as a novel and convenient source of adult MSCs.
PMID- 18038422
TI - Cellular delivery of TGFbeta1 promotes osteoinductive signalling for bone
regeneration.
AB - Administration of osteoinductive growth factors to wound sites, alone or in
conjunction with a delivery vehicle, is an appealing treatment option for
critical bone defects. The delivery of cells transfected with genes encoding for
osteoinductive growth factors, such as TGFbeta(1), represents an attractive
option to locally deliver constant levels of these growth factors to stimulate
new bone formation at the defect site. Using non-viral transfection methods, we
showed that osteoblasts can be genetically modified in vitro to secrete sustained
therapeutic levels of TGFbeta(1) in its active form through control of the
transfected cell environment. In addition, delivery of TGFbeta(1) produced by
genetically modified cells that contained the proper post-translational
modifications provided a more robust cellular response compared to administration
of bacterially-derived recombinant TGFbeta(1). Migration and subsequent
proliferation of osteoblasts are critical aspects of the initial steps in the
cascade of new bone tissue formation. Exposure to mammalian-derived TGFbeta(1)
induced a more pronounced chemotactic response upon administration of 10 pg/ml
TGFbeta(1), whereas osteoblasts showed enhanced levels of metabolic activity at
100 pg/ml, which is indicative of greater levels of cellular proliferation when
compared to addition of the same levels of recombinant TGFbeta(1). This increased
efficacy of cell-derived TGFbeta(1) over recombinant forms of TGFbeta(1),
combined with provision of a continual source of TGFbeta(1), highlights the
advantages of delivering genetically modified cells over exogenous protein
delivery for bone tissue engineering.
PMID- 18038424
TI - Cryopreservation characteristics of adipose-derived stem cells: maintenance of
differentiation potential and viability.
AB - With the emergence of regenerative medicine, many researchers have turned to fat
tissue as a source of adipose-derived stem cells (ASCs). Because freshly
collected adipose tissue is not always readily available, there will be a need
for improved cryopreservation methods to reproducibly maintain ASC viablility and
multipotentiality in long-term storage. This study examines the efficiency of
conventional dimethyl sulphoxide cryopreservation methods by measuring the
maintenance of differentiation potential after one freeze cycle. Additionally, we
analysed the viability of ASCs as a function of varying cell concentrations in
cryopreservation media. We evaluated four distinct colony-forming unit assays
(fibroblast, alkaline phosphatase, adipocyte and osteoblast) to monitor
quantitatively the differentiation potential in ASCs after one freeze cycle. We
found that the post-thaw viability was a function of storage concentration and
that an optimal viability was observed for a concentration of 0.5 x 10(6)
cells/ml cryopreservation medium.
PMID- 18038427
TI - Myocardial tissue engineering: a review.
AB - Myocardial tissue engineering, a concept that intends to overcome the obstacles
to prolonging patients' life after myocardial infarction, is continuously
improving. It comprises a biomaterial based 'vehicle', either a porous scaffold
or dense patch, made of either natural or synthetic polymeric materials, to aid
transportation of cells into the diseased region in the heart. Many different
cell types have been suggested for cell therapy and myocardial tissue
engineering. These include both autologous and embryonic stem cells, both having
their advantages and disadvantages. Biomaterials suggested for this specific
tissue-engineering application need to be biocompatible with the cardiac cells
and have particular mechanical properties matching those of native myocardium, so
that the delivered donor cells integrate and remain intact in vivo. Although much
research is being carried out, many questions still remain unanswered requiring
further research efforts. In this review, we discuss the various approaches
reported in the field of myocardial tissue engineering, focusing on the
achievements of combining biomaterials and cells by various techniques to repair
the infarcted region, also providing an insight on clinical trials and possible
cell sources in cell therapy. Alternative suggestions to myocardial tissue
engineering, in situ engineering and left ventricular devices are also discussed.
PMID- 18038428
TI - Cost-effectiveness analysis at the development phase of a potential health
technology: examples based on tissue engineering of bladder and urethra.
AB - OBJECTIVES: We demonstrate the use of health economics to guide investment
decisions in regenerative medicine. Our examples are based on proposed tissue
engineering applications in the urinary tract. We show that health economics have
a role in strengthening the supply side, not just the demand side of the health
economy. METHODS: We reviewed the epidemiology and treatment of the clinical
conditions where TE of urothelium may be considered using literature identified
from a range of sources including electronic databases, article bibliographies
and references, online articles and expert opinion in the field. RESULTS: Careful
analysis of current best treatment suggested that urethral defects and bladder
resection for cancer offered the most propitious applications of TE. The headroom
for engineered urethral tissue was estimated at pound sterling186. This is
unlikely to be large enough to support the launch of a TE product populated with
viable cells. The headroom for TE bladder, on the other hand, was estimated at
around pound sterling16 268. However, the market size is limited reducing
potential profitability. CONCLUSIONS: The Headroom Method can help inform
instrumental decisions concerning new treatments without having to build a
complex model with very wide parameter uncertainty.
PMID- 18038429
TI - Time-course study of histological and genetic patterns of differentiation in
human engineered oral mucosa.
AB - The lack of sufficient oral mucosa available for intra-oral grafting is a major
surgical problem, and new sources of oral tissues for clinical use are needed. In
this regard, some models of engineered oral mucosa have been reported to date,
but little is known about the structural and genetic mechanisms that occur during
the process of development and maturation of these tissue substitutes. We have
carried out a time-course study of the genes and morphological patterns of cell
and tissue differentiation that develop in oral mucosa constructs after 3, 7, 11
and 21 days of development. Our electron microscopy and microarray analyses
demonstrated that the oral mucosa constructs generated by tissue engineering
undergo a progressive process of cell differentiation with the sequential
formation and maturation of several layers of epithelium (with expression of
stratifin, sciellin, involucrin, trichohyalin and kallikrein 7), intercellular
junctions (with expression of plakophilin, desmocollin, desmoglein and
cadherins), cytokeratins, a basement membrane (laminins, collagen IV) and the
extracellular matrix (biglycan, matrix metalloproteinases). In conclusion,
although the level and type of keratinization developed in vitro could be
different, the oral mucosa substitutes were very similar to the native tissues.
PMID- 18038430
TI - GDNF-chitosan blended nerve guides: a functional study.
AB - Nerve guides are currently being fabricated by blending a variety of biomaterials
with different proteins. Adding proteins, which can support nerve repair and
regeneration, optimizes the biological properties of a nerve guide. In our study
we have blended glial cell line-derived nerve growth factor (GDNF) and laminin
with chitosan to fabricate GDNF-laminin blended chitosan (GLC) nerve guides. As
GDNF is known to provide trophic support to motor neurons, the main objective of
this study was assess the functional restoration of an injured sciatic nerve
treated with GLC. Functional nerve recovery was evaluated using a video gait
analysis technique. Gastrocnemius muscle weight measurements and sensitivity
testing were correlated to functional nerve recovery. Our results indicate an
increase in the functional recovery of the GLC group when compared to the
unblended chitosan nerve guides. At the end of 12 weeks, GLC nerve guides had
comparable functional values to the Laminin-I blended chitosan nerve guides (LC)
and autograft groups, which were both significantly higher at the terminal stance
phase angle as compared to the unblended chitosan nerve guides. Muscle weights
for the GLC group indicated decreased atrophy and restoration of functional
strength, compared to the unblended chitosan groups. In addition, behavioural
testing demonstrated that the GLC group regained sensation while the control
groups displayed no restoration. Thus, the addition of GDNF and laminin to the
chitosan nerve guides enhanced both functional and sensory recovery.
PMID- 18038431
TI - Cholic acid functionalized star poly(DL-lactide) for promoting cell adhesion and
proliferation.
AB - Cholic acid functionalized star poly(DL-lactide) was synthesized through the ring
opening polymerization of DL-lactide initiated by cholic acid. The properties and
cell behaviour of the cholic acid functionalized star poly(DL-lactide) were
investigated as compared with linear poly(DL-lactide)s with different molecular
weights and a star poly(DL-lactide) initiated by glycerol. In comparison to
linear poly(DL-lactide)s, the cholic acid functionalized star poly(DL-lactide)
had better wettability and slightly higher surface energy. The cell adhesion and
proliferation on different materials were evaluated using two types of cells, 3T3
mouse fibroblasts and ECV304 human endothelial cells. Compared with the linear
poly(DL-lactide)s, the cholic acid functionalized star poly(DL-lactide) showed
obviously improved property for cell adhesion. The cell proliferation on the
cholic acid functionalized star poly(DL-lactide) was also enhanced. The
improvement in cell proliferation was not so significant as compared with the
improvement in cell adhesion. This modification strategy provides an effective
and simple way to promote cell attachment and growth in tissue engineering.
PMID- 18038432
TI - Smart and cationic poly(NIPA)/PEI block copolymers as non-viral vectors: in vitro
and in vivo transfection studies.
AB - In this study, in vitro and in vivo transfection of temperature-sensitive,
polycationic poly(N-isopropylacrylamide) and polyethyleneimine copolymers
(poly(NIPA)/PEI25L) were performed. Copolymer and copolymer-plasmid DNA (pDNA)
complexes were positively charged as + 7.6 and + 12.8, respectively. Gel
retardation assay confirmed good complex formation and release of plasmid DNA in
response to temperature and pH. Cytotoxicity tests showed at least 80% smooth
muscle cell (SMC) viability. The uptake of the complexes by SMCs was quite high;
however, the best gene expression efficiency achieved with the copolymeric
vectors was about 30% with the complex prepared with a polymer:plasmid ratio of
6. Gene expression efficiency was enhanced up to 50% by changing the temperature
from 37 degrees C to 28 degrees C. Preliminary in vivo studies were performed
above and below lower critical solution temperature (LCST) in lung, heart, liver,
kidney, muscle and also subcutaneously in 5 week-old mice. The gene expression
ratio was higher in lung, tibial muscle and subcutaneously than in other tissues
(heart, liver and kidney) above LCST. Then, temperature decrease caused an
increase in the amount of gene expression in tibial muscle and subcutaneously,
revealing the contribution of temperature-sensitivity on DNA release and gene
expression.
PMID- 18038433
TI - Vascular tissue generation in response to signaling molecules integrated with a
novel poly(epsilon-caprolactone)-fibrin hybrid scaffold.
AB - A major constraint in the use of biodegradable polymer scaffolds for vascular
tissue engineering is poor cell adhesion and lack of signals for new tissue
generation. The presence of extracellular matrix (ECM) within the scaffold is
desirable for growth of endothelial cells and in vitro formation of remodelled
vascular conduit. In this study, we have produced a hybrid scaffold by coating
porous poly-caprolactone (PCL) film with biomimetic ECM components consisting of
fibrin, gelatin, fibronectin, angiogenic growth factors and proteoglycans. Human
umbilical vein endothelial cells (HUVECs) adhered, spread, proliferated and
survived for long periods in culture on the hybrid scaffold. As compared to bare
PCL, enhanced cell adhesion, spreading and cytoskeletal organization were
demonstrated on the hybrid scaffold, using confocal microscopy of EC-actin
stained with Texas red-conjugated phalloidin. Population doubling of endothelial
cells (ECs) on the hybrid scaffold and bare scaffold was estimated as 42 h and
136 h, respectively, as assessed by a 3H-thymidine uptake method. Analysis of
proliferating cell nuclear antigen (PCNA) also indicated low proliferation on
bare scaffold. Flow cytometric analysis of annexin V-stained cells showed poor
survival of ECs on bare PCL as compared to the hybrid scaffold. Deposition of
insoluble collagen and elastin was identified on the hybrid scaffold by cells
recovered after 15 days and 30 days of EC culture, using fluorochrome-tagged
specific antibodies and confocal microscopy, and the fluorescence intensity
corresponding to elastin and collagen after 30 days was similar to that of 15
days. The results indicate that ECM deposition by endothelial cells is a
regulated process without excessive accumulation after 30 days.
PMID- 18038434
TI - Cytokine and chemokine dynamics differ between rats and mice after collagen
implantation.
AB - Implanted scaffold materials induce an inflammatory reaction known as the
'foreign body reaction' (FBR). We hypothesized that the observed difference in
FBR between rats and mice correlate with different expression dynamics of
cytokines and chemokines, which are key orchestrators of the FBR. After
implantation of hexamethylene diisocyanate cross-linked dermal sheep collagen,
the overall gene expression pattern of IL-1, IL-6, IL-10, TNFalpha, CXCL1/KC,
CXCL2/MIP2 and CCL2/MCP1 was roughly similar for the two species. During the
onset of the FBR these genes were maximally expressed in rats and mice, after
which the expression decreased to basal levels. The expression of CCL3/MIP1 alpha
had a similar course, yet it increased after the progression phase of the FBR in
both species. The expression of cytokines and chemokines in sham-operated animals
was low throughout, showing that the implanted material by itself exerted the
changes in gene expression of the invading cells. During the progression, genes
encoding the PMN attractants CXCL1/KC and CXCL2/MIP2 were more highly expressed
in mice than in rats, which would explain the prolonged presence of PMNs in mice
during the FBR. Additionally, the strong induction of IFN gamma in rats coincided
with a higher phagocytotic activity by macrophages. Throughout the FBR, the
expression of TGFbeta was constitutive and high in both species, but increased in
mice during the progression phase. This could explain the extensive stroma
formation during the murine FBR. Unexpectedly, the stronger expression of
TNFalpha and CCL3/MIP1 alpha in mice, did not result in high macrophage
attraction or phagocytosis of the implanted collagen disks.
PMID- 18038435
TI - Bacterial cellulose as a potential meniscus implant.
AB - Traumatic or degenerative meniscal lesions are a frequent problem. The meniscus
cannot regenerate after resection. These lesions often progress and lead to
osteoarthritis. Collagen meniscal implants have been used in clinical practice to
regenerate meniscal tissue after partial meniscectomy. The mechanical properties
of bacterial cellulose (BC) gel were compared with a collagen material and the
pig meniscus. BC was grown statically in corn steep liquid medium, as described
elsewhere. Pig meniscus was harvested from pigs. The collagen implant was packed
in sterile conditions until use. The different materials were evaluated under
tensile and compression load, using an Instron 5542 with a 500 N load cell. The
feasibility for implantation was explored using a pig model. The Young's modulus
of bacterial cellulose was measured to be 1 MPa, 100 times less for the collagen
material, 0.01 MPa in tensile load. The Young's modulus of bacterial cellulose
and meniscus are similar in magnitude under a compression load of 2 kPa and with
five times better mechanical properties than the collagen material. At higher
compression strain, however, the pig meniscus is clearly stronger. These
differences are clearly due to a more ordered and arranged structure of the
collagen fibrils in the meniscus. The combination of the facts that BC is
inexpensive, can be produced in a meniscus shape, and promotes cell migration
makes it an attractive material for consideration as a meniscus implant.
PMID- 18038437
TI - Oxidant-free alcohol dehydrogenation using a reusable hydrotalcite-supported
silver nanoparticle catalyst.
PMID- 18038438
TI - Eutectic gallium-indium (EGaIn): a moldable liquid metal for electrical
characterization of self-assembled monolayers.
PMID- 18038439
TI - One-pot multicomponent synthesis of indoles from 2-iodobenzoic acid.
PMID- 18038440
TI - Increasing the complexity of periodic protein nanostructures by the rolling
circle-amplified synthesis of aptamers.
PMID- 18038441
TI - Relative rates of bromine-magnesium exchange reactions in substituted
bromobenzene derivatives.
PMID- 18038442
TI - Highly efficient catalytic system for enantioselective Michael addition of
aldehydes to nitroalkenes in water.
PMID- 18038443
TI - Mercury-alkyl bond cleavage based on organomercury lyase.
PMID- 18038444
TI - On the origin of the haouamine alkaloids.
PMID- 18038445
TI - A likelihood reformulation method in non-normal random effects models.
AB - In this paper, we propose a practical computational method to obtain the maximum
likelihood estimates (MLE) for mixed models with non-normal random effects. By
simply multiplying and dividing a standard normal density, we reformulate the
likelihood conditional on the non-normal random effects to that conditional on
the normal random effects. Gaussian quadrature technique, conveniently
implemented in SAS Proc NLMIXED, can then be used to carry out the estimation
process. Our method substantially reduces computational time, while yielding
similar estimates to the probability integral transformation method (J. Comput.
Graphical Stat. 2006; 15:39-57). Furthermore, our method can be applied to more
general situations, e.g. finite mixture random effects or correlated random
effects from Clayton copula. Simulations and applications are presented to
illustrate our method.
PMID- 18038446
TI - A critical appraisal of propensity-score matching in the medical literature
between 1996 and 2003.
AB - Propensity-score methods are increasingly being used to reduce the impact of
treatment-selection bias in the estimation of treatment effects using
observational data. Commonly used propensity-score methods include covariate
adjustment using the propensity score, stratification on the propensity score,
and propensity-score matching. Empirical and theoretical research has
demonstrated that matching on the propensity score eliminates a greater
proportion of baseline differences between treated and untreated subjects than
does stratification on the propensity score. However, the analysis of propensity
score-matched samples requires statistical methods appropriate for matched-pairs
data. We critically evaluated 47 articles that were published between 1996 and
2003 in the medical literature and that employed propensity-score matching. We
found that only two of the articles reported the balance of baseline
characteristics between treated and untreated subjects in the matched sample and
used correct statistical methods to assess the degree of imbalance. Thirteen (28
per cent) of the articles explicitly used statistical methods appropriate for the
analysis of matched data when estimating the treatment effect and its statistical
significance. Common errors included using the log-rank test to compare Kaplan
Meier survival curves in the matched sample, using Cox regression, logistic
regression, chi-squared tests, t-tests, and Wilcoxon rank sum tests in the
matched sample, thereby failing to account for the matched nature of the data. We
provide guidelines for the analysis and reporting of studies that employ
propensity-score matching.
PMID- 18038447
TI - A discrete-continuous mixture quantile function estimator with a practical
application to phase II cancer clinical trials.
AB - Oftentimes in biological and clinical settings an underlying continuous variable
or discrete-continuous mixture is dichotomized in order to interpret the results
in a simpler fashion. Tests of interest are then typically based on exact
binomial tests. In this article, we illustrate the utility of a new quantile
based approach towards testing the same basic scientific question in terms of
improved relative efficiency and similar ease of interpretation when compared
with the binomial test. We illustrate the utility of our approach with an eye
towards mixtures of discrete-continuous data commonly found in phase II cancer
clinical trial data.
PMID- 18038448
TI - Can chemotherapy induce reactions of recollection?
PMID- 18038449
TI - Ethanol induces oxidative stress in primary rat hepatocytes through the early
involvement of lipid raft clustering.
AB - The role of the hepatocyte plasma membrane structure in the development of
oxidative stress during alcoholic liver diseases is not yet fully understood.
Previously, we have established the pivotal role of membrane fluidity in ethanol
induced oxidative stress, but no study has so far tested the involvement of lipid
rafts. In this study, methyl-beta-cyclodextrin or cholesterol oxidase, which were
found to disrupt lipid rafts in hepatocytes, inhibited both reactive oxygen
species production and lipid peroxidation, and this suggested a role for these
microstructures in oxidative stress. By immunostaining of lipid raft components,
a raft clustering was detected in ethanol-treated hepatocytes. In addition, we
found that rafts were modified by formation of malondialdehyde adducts and
disulfide bridges. Interestingly, pretreatment of cells by 4-methyl-pyrazole (to
inhibit ethanol metabolism) and various antioxidants prevented the ethanol
induced raft aggregation. In addition, treatment of hepatocytes by a stabilizing
agent (ursodeoxycholic acid) or a fluidizing compound [2-(2-methoxyethoxy)ethyl 8
(cis-2-n-octylcyclopropyl)octanoate] led to inhibition or enhancement of raft
clustering, respectively, which pointed to a relationship between membrane
fluidity and lipid rafts during ethanol-induced oxidative stress. We finally
investigated the involvement of phospholipase C in raft-induced oxidative stress
upon ethanol exposure. Phospholipase C was shown to be translocated into rafts
and to participate in oxidative stress by controlling hepatocyte iron content.
CONCLUSION: Membrane structure, depicted as membrane fluidity and lipid rafts,
plays a key role in ethanol-induced oxidative stress of the liver, and its
modulation may be of therapeutic relevance.
PMID- 18038450
TI - Stabilization of beta-catenin affects mouse embryonic liver growth and
hepatoblast fate.
AB - During hepatogenesis, after the liver has budded out of the endoderm, the
hepatoblasts quickly expand and differentiate into either hepatocytes or biliary
cells, the latter of which arise only within the ductal plate surrounding the
portal vein. Because the Wnt/beta-catenin pathway is involved in liver
homeostasis and regeneration and in liver carcinogenesis, we investigated here a
role for Wnt/beta-catenin signaling in the embryonic liver. A cyclization
recombination (Cre)/locus of X-over P1 (loxP) strategy was chosen to perform
adenomatous polyposis coli (Apc) invalidation in order to activate ectopic beta
catenin signaling in hepatoblasts; an appropriate transgenic model expressing the
Cre recombinase was used. Phenotypic and immunolocalization studies, together
with messenger RNA analyses, by microarray and real-time quantitative polymerase
chain reaction approaches were performed on this model during normal
hepatogenesis. The loss of Apc allowed beta-catenin activation in the
hepatoblasts after the formation of the liver bud and led to embryonic lethality.
In this model, the liver became hypoplastic, and hepatocyte differentiation
failed, whereas beta-catenin-activated ducts developed and gave rise to fully
differentiated bile ducts when transplanted into adult recipient livers.
Microarray analyses suggested that beta-catenin plays a role in repressing the
hepatocyte genetic program and remodeling the ductal plate. According to these
data, in normal embryonic livers, beta-catenin was transiently activated in the
nascent bile ducts. CONCLUSION: We demonstrated a key role for the Wnt/beta
catenin pathway in liver embryonic growth and in controlling the fate of
hepatoblasts, preventing them from differentiating toward the hepatocyte lineage,
and guiding them to biliary ductal morphogenesis.
PMID- 18038451
TI - Peroxisome proliferator-activated receptor-beta/delta protects against chemically
induced liver toxicity in mice.
AB - Potential functional roles for the peroxisome proliferator-activated receptor
beta/delta (PPARbeta/delta) in skeletal muscle fatty acid catabolism and
epithelial carcinogenesis have recently been described. Whereas PPARbeta/delta is
expressed in liver, its function in this tissue is less clear. To determine the
role of PPARbeta/delta in chemically induced liver toxicity, wild-type and
PPARbeta/delta-null mice were treated with azoxymethane (AOM) and markers of
liver toxicity examined. Bile duct hyperplasia, regenerative hyperplasia, and
increased serum alanine aminotransferase (ALT) were found in AOM-treated
PPARbeta/delta-null mice, and these effects were not observed in similarly
treated wild-type mice. Exacerbated carbon tetrachloride (CCl(4)) hepatoxicity
was also observed in PPARbeta/delta-null as compared with wild-type mice. No
differences in messenger RNAs (mRNAs) encoding cytochrome2E1 required for the
metabolic activation of AOM and CCl(4) were observed between wild-type or
PPARbeta/delta-null mice in response to CCl(4). Significant differences in the
expression of genes reflecting enhanced nuclear factor kappa B (NF-kappaB)
activity were noted in PPARbeta/delta-null mice. CONCLUSION: Results from these
studies show that PPARbeta/delta is protective against liver toxicity induced by
AOM and CCl(4), suggesting that this receptor is hepatoprotective against
environmental chemicals that are metabolized in this tissue.
PMID- 18038452
TI - Noninvasive markers of fibrosis in nonalcoholic fatty liver disease: Validating
the European Liver Fibrosis Panel and exploring simple markers.
AB - The detection of fibrosis within nonalcoholic fatty liver disease (NAFLD) is
important for ascertaining prognosis and the stratification of patients for
emerging therapeutic intervention. We validated the Original European Liver
Fibrosis panel (OELF) and a simplified algorithm not containing age, the Enhanced
Liver fibrosis panel (ELF), in an independent cohort of patients with NAFLD.
Furthermore, we explored whether the addition of simple markers to the existing
panel test could improve diagnostic performance. One hundred ninety-six
consecutively recruited patients from 2 centers were included in the validation
study. The diagnostic accuracy of the discriminant scores of the ELF panel,
simple markers, and a combined panel were compared using receiver operator
curves, predictive values, and a clinical utility model. The ELF panel had an
area under the curve (AUC) of 0.90 for distinguishing severe fibrosis, 0.82 for
moderate fibrosis, and 0.76 for no fibrosis. Simplification of the algorithm by
removing age did not alter diagnostic performance. Addition of simple markers to
the panel improved diagnostic performance with AUCs of 0.98, 0.93, and 0.84 for
the detection of severe fibrosis, moderate fibrosis, and no fibrosis,
respectively. The clinical utility model showed that 82% and 88% of liver
biopsies could be potentially avoided for the diagnosis of severe fibrosis using
ELF and the combined panel, respectively. The ELF panel has good diagnostic
accuracy in an independent validation cohort of patients with NAFLD. The addition
of established simple markers augments the diagnostic performance across
different stages of fibrosis, which will potentially allow superior
stratification of patients with NAFLD for emerging therapeutic strategies.
PMID- 18038453
TI - Diameter of vessels across the tonsillar capsule as an anatomical consideration
for tonsillectomy.
AB - The authors measured the diameters of vessels around the surgical plane of
tonsillectomy to investigate an anatomical basis to reduce hemorrhage. Thirty
tonsils removed from 15 adult cadavers with the mean age of 56 years (range 44-71
years) at the time of death were studied. Calibration of the vessels across the
tonsillar capsule was performed at the 1-mm intracapsular, capsular, and 1-mm
extracapsular plane as artery and vein, respectively. The average diameter of the
arteries was 73.0 +/- 33.1 mum at the 1-mm intracapsular plane, 94.7 +/- 33.5 mum
at the capsular plane, and 139.5 +/- 51.2 mum at the 1-mm extracapsular plane.
For the veins, it was 62.9 +/- 38.7 mum at the 1-mm intracapsular plane, 86.8 +/-
50.4 mum at the capsular plane, and 133.6 +/- 78.6 mum at the 1-mm extracapsular
plane. The diameters of the vessels at the 1-mm intracapsular plane were
significantly smaller than those at the capsular plane (P < 0.01), and likewise
the diameters of the vessels at the capsular plane were significantly smaller
than those at the 1-mm extracapsular plane (P < 0.01). The result of this study
on the diameter of the vessels across the tonsillar capsule could be considered
to be an important factor providing an anatomical rationale for a change in
recommendation leading to safer tonsillectomies that minimize vascular injury.
PMID- 18038454
TI - Unique muscle in the hypothenar region.
PMID- 18038455
TI - An unusual articulation at the lumbosacral junction.
PMID- 18038456
TI - Neurotransmitter diseases and related conditions.
PMID- 18038457
TI - Concentration effect of Riesling Icewine juice on yeast performance and wine
acidity.
AB - AIMS: The objective of this study was to determine the effect of increasing juice
soluble solids above 40 degrees Brix on wine yeast's ability to grow and ferment
the juice, with particular focus on acetic acid production, titratable acidity
(TA) changes and the maximum amount of sugar consumed by the yeast. METHODS AND
RESULTS: Riesling Icewine juices at 40, 42, 44 and 46 degrees Brix were
inoculated with K1- V116 at 0.5 g 1(-1) and fermented at 17 degrees C until sugar
consumption ceased. Increasing soluble solids showed strong negative linear
correlations with yeast growth, sugar consumption and ethanol production (r =
0.999, -0.997 and 0.984, P < 0.001, respectively). Acetic acid, glycerol and TA
production normalized to sugar consumed showed strong positive correlations to
the initial juice concentration (r = 0.992, 0.963, and 0.937, P < 0.001
respectively) but no correlation was found for ethanol production. The acetic
acid produced as a function of sugar consumed was positively correlated to the
glycerol produced (r = 0.970, P < 0.001). The final TA of the wines ranged
between 11.8 and 13.7 g 1(-1) tartaric acid, increasing by 2.3-3 g 1(-1) over the
starting juice. The increase in TA was positively correlated to the increase in
acetic acid produced after normalizing the data to the amount of sugar consumed
(r =0.975, P < 0.001). The acid equivalents resulting from the increase in acetic
acid accounted for 80-100% of the TA increase when converted to units of tartaric
acid. In the final Icewines, acetic acid represented 19-20% of wine TA.
CONCLUSIONS: Increasing Icewine juice concentration from 40 to 46 degrees Brix
increases the proportion of yeast sugar metabolism towards glycerol and acetic
acid production to cope with the increased osmotic stress by decreasing yeast
growth, sugar consumption rate, the total amount of sugar consumed and the total
amount of ethanol produced. The high proportional contribution of acetic acid to
titratable acidity in Riesling Icewine may affect acidity perception.
SIGNIFICANCE AND IMPACT OF THE STUDY: We have determined that 10% v/v ethanol
would not be achievable with initial juice concentrations above 42 degrees Brix
and that Riesling Icewine juice above 52.5 degrees Brix would be theoretically
unfermentable. The high proportional contribution of acetic acid to TA may be an
important factor in the organoleptic balance of these Icewines.
PMID- 18038458
TI - Guidelines needed for medical use of marijuana.
PMID- 18038459
TI - Policy drives harm reduction for drug abuse and HIV/AIDS prevention in some
developing countries.
PMID- 18038460
TI - Advances in neurobiological research related to interventions in adolescents with
substance use disorders: research to practice.
PMID- 18038461
TI - Genomewide study of multiple sclerosis.
PMID- 18038462
TI - Genomewide study of multiple sclerosis.
PMID- 18038463
TI - Acute ischemic stroke.
PMID- 18038465
TI - HIV prevention in China gets cash boost.
PMID- 18038464
TI - Normotensive ischemic acute renal failure.
PMID- 18038466
TI - Current awareness in geriatric psychiatry.
PMID- 18038467
TI - [Long-term clinical efficiency of endovascular treatment using Cypher rapamycin
eluting stents in patients with coronary heart disease].
AB - The study was undertaken to analyze the long-term results in patients with
coronary heart disease (CHD) one year or more after Cypher stent implantation. It
covered 1221 patients. One-, two-, and three- vessel lesions were observed in 693
(56.8%), 344 (28.2%), and 184 (15%) patients, respectively. A total of 1967
Cypher stents were implanted. The results of treatment were analyzed 1 and 2
years after intervention. The efficiency of a procedure was evaluated by the
following parameters: the presence or absence of anginal symptoms, the presence
and absence of complications (fatal outcome, myocardial infarction). The
following morphological parameters: the rate of restenosis and that of late stent
thrombosis were also assessed. The results of one- and two-year follow-ups were
used to make a multivariate analysis of the clinical and morphological predictors
of coronary complications (fatal outcome, myocardial infarction, recurrent angina
pectoris) in the late period, as well as the predictors of restenosis and late
stent thrombosis. Restenosis following 1 year of Cypher stent implantation is
3.1%. The factors that significantly increase the risk of this complication
within the first year are diabetes mellitus and revascularization in the patients
operated on. The one-year rate of Cypher stent thromboses is 1.6%. Patients'
discontinuation of antiaggregant therapy is the sole factor that significantly
increases the rate of their late thromboses in this period. Within the second
year of a follow-up, the rate of late Cypher stent thromboses is 1.8%. The risk
of this complication is significantly increased by factors, such as a lengthy
(more than 3 mm) stented portion, renal failure, and less than 40% left
ventricular ejection fraction.
PMID- 18038468
TI - [Radionuclide technique for diagnosing the mononuclear phagocytic system].
AB - The purpose of the investigation was to determine the possibility of using
colloidal radiopharmaceuticals to study the mononuclear phagocytic system in the
clinical setting. The technique for studying the mononuclear phagocytic system
using the labeled compounds involved the single intravenous administration of a
radiolabeled colloidal solution, the registration and plotting of a change in
colloid radioactivity, and the determination of radioactivity of the body as a
whole and that of the lung, liver, spleen, and peritoneum. The performed
investigation using colloidal radiopharmaceuticals makes it possible to study the
mononuclear phagocytic system and to reveal the regularities of colloid
accumulation in the organs and tissues in various diseases just in the clinical
setting.
PMID- 18038469
TI - Simply a pimple, or is it worse?
PMID- 18038470
TI - Picture this. Looking at the diabetic brain.
PMID- 18038472
TI - The wisdom of robins. Cultivating the "grown and flown" patient.
PMID- 18038471
TI - The secret life of Zs. A good night's sleep can help you lose weight.
PMID- 18038473
TI - Healthy eating. Fall colors, big flavor. The season's produce is tasty and
nutritious.
PMID- 18038474
TI - Women & diabetes. 6 health issues you can't ignore.
PMID- 18038475
TI - Idol time. Pop star Elliott Yamin talks about life with diabetes.
PMID- 18038476
TI - The inflammation factor. Scientists are looking at a potential new culprit in the
development of heart disease and type 2 diabetes.
PMID- 18038477
TI - Research profile. New mom, new lifestyle. Preventing type 2 diabetes after
childbirth. Wanda Kay Nicholson, MD, MPH, MBA.
PMID- 18038478
TI - New worries over lead: our latest tests find the toxic metal in more products.
PMID- 18038479
TI - Air purifiers: filtering the claims.
PMID- 18038480
TI - Medical devices: problems on the rise.
PMID- 18038481
TI - Numbers.
PMID- 18038482
TI - [Community health nurses, a new convention and employment mode. Interview by
Serge Benaderette].
PMID- 18038483
TI - Medicare program; delay of the date of applicability for certain provisions of
Physicians' Referrals to Health Care Entities With Which They Have Financial
Relationships (Phase III). Final rule.
AB - This final rule delays the date of applicability of certain specified
compensation arrangements only, until December 4, 2008.
PMID- 18038484
TI - [Education of health staff and research].
PMID- 18038485
TI - TRICARE; expansion of geographic scope of the TRICARE Retiree Dental Program.
Final rule.
AB - This final rule expands the geographic scope of the TRICARE Retiree Dental
Program (TRDP) to overseas locations not currently covered by the program. At
this time, TRDP is applicable only in the 50 United States (U.S.) and the
District of Columbia, Canada, Puerto Rico, Guam, American Samoa, the Commonwealth
of the Northern Mariana Islands, and the U.S. Virgin Islands. Expanding the
geographic scope of the program will ensure that all TRICARE-eligible retirees
are eligible for the same dental benefits, regardless of their location. There
are no additional Government costs associated with this final expansion of TRDP
overseas as TRDP costs are borne entirely by enrollees through premium payments.
PMID- 18038486
TI - Issuance of multiple prescriptions for schedule II controlled substances. Final
rule.
AB - The Drug Enforcement Administration (DEA) is finalizing a Notice of Proposed
Rulemaking published on September 6, 2006 (71 FR 52724). In that document, DEA
proposed to amend its regulations to allow practitioners to provide individual
patients with multiple prescriptions, to be filled sequentially, for the same
schedule II controlled substance, with such multiple prescriptions having the
combined effect of allowing a patient to receive over time up to a 90-day supply
of that controlled substance.
PMID- 18038487
TI - [The edification of a poetic tomb: from ritual to anthology].
PMID- 18038488
TI - ["A recollection": the arrangement of Ambroise Pare's Oeuvres].
PMID- 18038489
TI - [The Epistres morales et familieres (1545) by Jean Bouchet: from medieval
hierarchy to humanist dialogue].
PMID- 18038490
TI - [Priority objective, the management of knowledges coming from nursing research].
PMID- 18038491
TI - Nurses in Nazi Germany.
PMID- 18038493
TI - The aesthetic.
PMID- 18038492
TI - [Chemical warfare and the Palestine campaign in World War I].
PMID- 18038494
TI - Botanical ingredients in cosmeceuticals.
AB - During the last 10 to 15 years, complementary and alternative medicine (CAM) has
become increasingly popular in the US. Within this realm of health care, oral and
topical herbal supplements have become some of the most frequently used
alternative therapies. Most herbal supplements are based on, or include, several
botanical ingredients with long histories of traditional or folk medicine usage.
Among the numerous botanical ingredients available on the market today, several
are believed to confer dermatologic benefits. This article will focus on a select
group of botanical compounds, many of which have long traditions in Asian
medicine, with potential or exhibited dermatologic applications, including
curcumin, Ginkgo biloba, ginseng, silymarin, soy, and tea tree oil. Other
botanical agents, such as arnica, bromelain, chamomile, pomegranate, caffeine,
green tea, licorice, and resveratrol, are also briefly considered. Some of these
ingredients have been incorporated into topical formulations.
PMID- 18038495
TI - Juvederm: a hyaluronic acid dermal filler.
AB - Over the past decade, the use of nonsurgical products and devices to correct
facial contour defects and signs of skin aging has exploded with new lasers,
toxins for muscle relaxation, and dermal fillers revolutionizing aesthetic
medicine. Of all the nonsurgical modalities employed during this period, the
dermal filler industry has seen the most growth in demand. In 2006, the worldwide
market for dermal fillers increased by 19%; and the US market is expected to
increase a further 20% to 25%. This is due in large part to new products,
particularly the hyaluronic acids such as Juvederm, which now promise greater
longevity, fewer side effects, a more natural appearance, and easier
administration.
PMID- 18038496
TI - Nonablative skin tightening with a variable depth heating 1310-nm wavelength
laser in combination with surface cooling.
AB - A near-infrared laser with the ability to target different depths within skin has
been developed and evaluated for the application of facial and neck skin
tightening in a pilot clinical study. The device consists of a combination of a
1310-nm wavelength and sapphire contact cooling. Cooling temperature and laser
pulse duration were varied to target different dermal depths in various subgroups
of the subject population. Quantitative changes in various categories
characterizing the aging skin employing a comprehensive grading scale as well as
subject satisfaction were calculated. A mean improvement of 7.9% (95% CI
[confidence interval] 3.6-12.3) in laxity and 10.6% (95% CI 5.8-15.4) in rhytides
was determined by quantitative grading at one month after the treatment regimen.
These values were 11.0% (5.5-16.5) and 11.7% (5.8-17.7) at 3 months after the
treatment regimen. The percent of patients reporting mild or better improvement
in laxity of the face and neck was 78% and 61% at one month, and 63% and 61% at 3
months, respectively. The discomfort was minimal. Side effects were limited to
short-term erythema and edema. In summary, a variable depth heating laser can
achieve skin tightening and wrinkle reduction with high subject satisfaction.
PMID- 18038497
TI - Use of topical lidocaine for cosmetic dermatologic procedures.
AB - Topical anesthetic agents are frequently used by dermatologists to decrease the
pain associated with a variety of cutaneous procedures, including laser surgery,
soft tissue augmentation, and other cosmetic surgical treatments. These lidocaine
containing creams play an integral role in the cosmetic dermatology office by
providing patient comfort with minimal side effects. This review of topical
lidocaine preparations should aid practitioners in the selection of an
appropriate topical anesthetic, taking into consideration its onset and duration
of action and potential side effects.
PMID- 18038498
TI - Plasma skin regeneration technology.
AB - Plasma skin regeneration is a novel type of skin rejuvenation technology
developed over the last 3 years. Plasma is the fourth state of matter in which
electrons are stripped from atoms to form an ionized gas. Although high
temperature plasmas have been used in surgery for over a decade, plasma had
previously been used as a conduction medium for electric current. Unlike lasers
which rely on the principle of selective photothermolysis to deliver heat to
specific targets in the skin, plasma technology delivers heat energy directly to
tissue upon contact without reliance on skin chromophores. The plasma itself
produces controlled thermal damage to the skin surface to elicit changes such as
new collagen formation and improvement in photodamaged skin. The technology can
be used at varying energies for different depths of effect, from superficial
epidermal effects to deeper dermal heating.
PMID- 18038499
TI - Treatment of photoaging with a very superficial Er:YAG laser in combination with
a broadband light source.
AB - BACKGROUND AND OBJECTIVE: Studies documenting improvement following combined
laser and light-based devices are needed. The objective of this study was to
evaluate clinical, histological, and ultrastructural changes in photodamaged
facial skin following sequential treatment with ablative superficial erbium:YAG
(Er:YAG) laser peels and nonablative intense pulsed light, or broadband light
(BBL), treatments. STUDY DESIGN/MATERIALS AND METHODS: Fifteen subjects with
photodamaged facial skin and Fitzpatrick skin types I to III underwent 3 monthly
treatments with the Profile system (Sciton, Inc, Palo Alto, CA) utilizing very
superficial MicroLaserPeel settings of 2.5 to 5.0 J/cm2 and BBL settings of 515-,
560-, or 590-nm filters, 10-msec pulse duration, and fluences of 12 J/cm2. Five
subjects underwent pre- and post-treatment postauricular skin biopsies for
evaluation of treatment-induced light and electron microscopic changes. RESULTS:
Twelve subjects completed the study. Both blinded evaluator and subject
assessment of clinical changes documented significant improvement in photodamaged
skin, with the greatest improvement achieved in overall appearance and epidermal
dyspigmentation. These results were largely maintained at 3 months following the
last treatment. Light microscopy showed changes in the epidermis, collagen, and
elastic fibers consistent with a wound repair mechanism to the depth of 250 to
350 microns. Electron microscopy revealed a slight decrease in the average
collagen fiber thickness, pointing to an increase in type III collagen.
CONCLUSION: A protocol utilizing multiple combined superficial Er:YAG ablative
treatments and nonablative BBL treatments lead to a significant improvement in
the clinical signs of photodamaged skin, with histological and ultrastructural
evidence of new collagen formation.
PMID- 18038500
TI - Fractionated delivery systems for difficult to treat clinical applications: acne
scarring, melasma, atrophic scarring, striae distensae, and deep rhytides.
AB - Fractional resurfacing or laser therapy (FLT) represents a technology that seeks
to address the limitations of both ablative resurfacing and nonablative
treatments. Many companies now offer versions of fractionated erbium or carbon
dioxide lasers. The purpose of this paper is to examine FLT for difficult to
treat applications such as melasma, acne scarring, atrophic scarring, striae
distensae, and deep rhytides. Fractional laser therapy is a truly novel approach
to many conditions, especially those with dermal pathology. Although published
peer review data is limited, the ability to effectively and safely treat these
conditions in all skin types appears to have been significantly enhanced with
this new modality. We are early in our scientific explorations of what is
possible with FLT.
PMID- 18038503
TI - Botulinum toxin type A enhances the outcome of fractional resurfacing of the
cheek.
AB - Fractional resurfacing and fractionally ablative (CO2) resurfacing have become
increasingly popular in recent years. They hold the promise of delivering skin
rejuvenation with fewer risks and less downtime than traditional ablative
resurfacing. Despite the popularity of the procedures and the trend toward
synergistic activity in aesthetic dermatology, there is little documentation
regarding the use of botulinum toxins and fractional resurfacing for the midface.
This report discusses the use of botulinum toxin type A and fractional
resurfacing for the cheek area. Although it is a limited, single case report, it
provides insight into the potential for this combination and may serve as a
stimulus for a large, well-controlled study to evaluate the use of toxins in this
and similar areas.
PMID- 18038501
TI - Photodynamic therapy update 2007.
AB - The use of photodynamic therapy (PDT) in the US has shown record growth in 2007
with more clinicians utilizing PDT for more clinical entities than ever before.
Research endeavors utilizing PDT in published clinical manuscripts have been slow
in 2007 and yet the use continues to rise significantly. This manuscript will
highlight the state of PDT in the US as 2007 comes to a close and focus on the
future of PDT as we move toward 2008.
PMID- 18038502
TI - Evaluating the efficacy in improving facial photodamage with a mixture of topical
antioxidants.
AB - This study evaluates the efficacy and tolerability of an investigational study
cream composed of 3 ingredients (green and white teas, mangosteen, and
pomegranate extract), Vitaphenol Skin Cream (La Jolla Spa MD, La Jolla CA), as
compared to a placebo cream in rejuvenating facial skin. Twenty healthy females
between the ages of 35 and 65 with demonstrable facial wrinkling, achieving a Rao
Goldman wrinkle scale score of 2 or above, applied either Vitaphenol Skin Cream
or placebo cream to a randomized half of their face twice daily for 60 days and
returned for follow-up after 2 weeks. Twice as many subjects indicated an
enhancement of skin texture (eg, reduction in pore size, roughness, and touch)
with the usage of Vitaphenol versus placebo. In all, 41% of the study subjects
preferred the half of their face that had been receiving Vitaphenol, while only
0.06% of the subjects favored the placebo side. PRIMOS images from periorbital
skin treated with Vitaphenol demonstrated an average improvement in skin
smoothness of 1 mm3, whereas skin treated with placebo showed an average decrease
in smoothness or an increase in skin roughness of 0.9 mm3. The addition of 3
antioxidants, green and white teas, mangosteen, and pomegranate, have an additive
effect to enhance the improvement of age-related changes in the skin.
PMID- 18038504
TI - Nanotechnology.
PMID- 18038506
TI - Strength in numbers: the power of > one.
PMID- 18038507
TI - As I see it.
PMID- 18038508
TI - Organizing for change.
PMID- 18038509
TI - The right to organize.
PMID- 18038510
TI - Diabetic muscle infarction: a poorly understood, rare complication of long
standing diabetes mellitus.
AB - Diabetic muscle infarction (DMI) is a rare complication of long-standing
diabetes. It does have a fairly characteristic clinical presentation and
appearance on magnetic resonance imaging. Despite several hypotheses, the
etiology of DMI has not been convincingly determined. A patient with DMI is
presented in this report. Analysis of his muscle biopsy suggests impaired nitric
oxide formation. We postulate that because nitric oxide is protective against
ischemia and/or reperfusion injury in cardiac muscle, insufficient production in
skeletal muscle could also predispose to the development of DMI.
PMID- 18038511
TI - Access barriers to dental care for Medicaid patients.
AB - OBJECTIVE: Indigent children have higher incidence of dental caries.
Recommendations are for preventive dental visits yearly, starting at age one
year. In spite of Medicaid coverage of such visits, utilization remains low. Our
interest was in understanding the reason for underutilization of dental services.
We hypothesized that such underutilization results from inadequate knowledge of
available coverage or of the need for early care. METHODS: Parents of 77 four
year-olds were surveyed at a university-affiliated pediatric clinic with dental
services on site. Parents were asked about their knowledge of Medicaid dental
coverage, of the need for early dental care, and frequency of care their child
received. Medical records since birth were reviewed. RESULTS: All parents were
aware of the Medicaid eligibility for services; 80% knew dental care was needed
by age one year. Only 69% of the children in the survey had received dental care
at our clinic; among the remaining 31%, one third reported never receiving dental
care, and two thirds stated that they received dental care elsewhere. Among those
receiving care in our clinic, 37% had initial visits between 12-23 months old.
Annual dental visits for one through four years old were made by 15% of the
children, while 25% were seen just once in the four-year interval. CONCLUSION:
Parents were aware of the availability and necessity of dental care in early
childhood, yet few of their children received the recommended prophylactic
visits. Medical care providers caring for children play an important role as
advocates of early and regular prophylactic dental visits.
PMID- 18038512
TI - Tobacco cessation treatment knowledge, attitudes and practices of Kentucky
physicians.
AB - Kentucky continues to report the highest adult smoking rates in the nation, and
this persistent public health problem leads to substantial morbidity, mortality,
and economic costs for the state. Given that physician intervention has been
shown to increase cessation rates, the Kentucky Cancer Program developed a self
study continuing education program for physicians to promote the implementation
of effective tobacco cessation treatment strategies. As a preliminary component,
a pre-program survey was administered to participants to measure current
knowledge, attitudes, and practices regarding the treatment of tobacco use and
dependence. Results from this survey offered an opportunity to describe and
analyze the areas in which physicians were utilizing tobacco cessation treatment
approaches consistent with clinical practice guidelines as well as areas in which
further training and resources were needed to improve clinical tobacco cessation
treatment practices. Overall, physicians accepted their primary role in tobacco
cessation treatment and acknowledged a moderate level of comfort with this role.
They also reported implementing several of the recommended "5 A's" from the
Treating Tobacco Use and Dependence: Clinical Practice Guideline. Further
examination of the data, however, revealed that knowledge, attitudes, and
practices were weaker regarding specific, formal, and systematic implementation
of tobacco cessation treatment. Effective training and resources would provide
physicians with the tools necessary to intervene with tobacco users more
consistently and to reduce the health and economic burden of tobacco use and
dependence in Kentucky.
PMID- 18038513
TI - To err is human, communication is divine.
PMID- 18038514
TI - The National Cancer Institute's Cancer Information Service: an untapped resource
for Kentucky health professionals.
PMID- 18038515
TI - Intravascular device management--an update.
PMID- 18038516
TI - Nurse practitioner roles--an exercise in professionalism, safety and quality.
PMID- 18038517
TI - Intensive care nurses' perceptions of brain death.
AB - Research during the last 2 decades has revealed significant confusion or lack of
acceptance and inconsistent application of the brain death concept within the
medical and nursing professions. The aim of this naturalistic and descriptive
study was to investigate the extent to which a sample of 40 Australian intensive
care nurses regarded brain death as a meaningful conception of death. In contrast
with the majority of the literature pertaining to health care professionals'
perceptions of brain death which has focused upon clinical knowledge, the study
elicited the expression of personal beliefs. The study utilised a structured
interview method with nurses from seven metropolitan intensive care units (ICUs).
Transcript analysis revealed five categories of perception constituting a
spectrum ranging from complete acceptance to complete rejection, with almost half
(48%, n = 19) the sample regarding the brain dead patient as less than completely
meaningfully dead. Rather than supporting the literature's suggestion that non
acceptance of the medico-legally recognised brain death notion is, necessarily,
evidence of professional ignorance, the findings suggest the participants holding
these perceptions were generally well-informed about brain stem function and
brain death diagnosis. The study affirms the importance of supportive workplace
environments which facilitate the expression of dissonant perceptions and
proposes that educators and managers must acknowledge these dissonances.
PMID- 18038519
TI - Check x-ray quiz. Classical posterior rib notching that occurs with coarctation
of the aorta.
PMID- 18038518
TI - Registered nurses' self-perceived level of competence following completion of a
specialist graduate certificate.
AB - Utilising the Competency Standards for Specialist Critical Care Nurses (CSSCCN)
as a framework, this study sought to examine the perceived level of competence
(PLC) of the graduates of a Graduate Certificate in Intensive Care Nursing
(GCICN) and the level at which the GCICN influenced the graduates' PLC. A rating
scale of 1-5 and an open-ended questionnaire were utilised. The graduates' mean
PLC in the competency 'engaging in research' was rated as the lowest and
'recognising own abilities and professional competence' as the highest. Graduates
of 1991-1994 rated their PLC on all the competencies lower in comparison to
graduates of 1995-1997. Graduates' PLC on enabling and clinical problem solving
domains were rated better than the reflective and leadership domains. A
significant correlation was demonstrated between the graduates' PLC and their
perceptions as to the course's influence on their PLC.
PMID- 18038521
TI - Opportunities to grow on research successes.
PMID- 18038520
TI - Long-term risk factor control after a cardiac rehabilitation programme.
AB - This study examined the hypothesis that Phase II cardiac rehabilitation
participants (CRP) had better long-term risk factor control, self-rated
perception of health and return to work rates than non-participants (NP) between
18 and 36 months post myocardial infarction (MI). It was a comparative study in a
550 bed hospital. Approximately half of both groups did not achieve a total
cholesterol (TC) of 5.5 mmol/L or less. Compared with NP, CRP were significantly
more likely to have a TC < = 6.5 mmol/L (7% vs. 28%) (p = 0.006). NP with TC >
6.5 mmol/L were significantly less likely to be on treatment (p = 0.002). CRP
were more likely to regularly exercise than NP (79% vs. 61%) (p = 0.038). The
success rate for blood pressure targets, return to work rates and self-rated
perception of health were similar in both groups. In conclusion, CRP had better
long-term control of some risk factors than NP. The study provides comparative
longer-term patient outcomes after an Australian cardiac rehabilitation (CR)
programme and forms the basis for further outcome measurement.
PMID- 18038522
TI - The development of a nurse practitioner.
PMID- 18038523
TI - Research priorities for Australian critical care nurses: do we need them?
AB - The development of research priorities for critical care nurses has been
previously examined from the perspective of both Australian and international
critical care nursing experts. A variety of techniques have been used to
determine research priorities for critical care nursing, however, a clear
articulation of research priorities for Australian critical care nurses, which
are both timely and encompass all aspects of critical care nursing practice, is
lacking. Research priorities previously determined in Australia and elsewhere
identify similar clinical research priorities such as nutritional support,
infection control, supporting respiratory and cardiac function, and follow-up of
critically ill patients. However, differences between the few available studies
exist, possibly because of the changing nature of critical care nursing practice.
Despite the identification of research priorities for critical care nursing in
the past, there is little evidence to demonstrate a direct effect on research
output in these areas. Future development of research priorities for Australian
critical care nurses needs to be carefully considered. It is essential that
identification of such research priorities be done within the context of their
intended use.
PMID- 18038524
TI - Staff perceptions on the use of a sedation protocol in the intensive care
setting.
AB - Sedation protocols are increasingly being investigated as a method of achieving
improved patient outcomes whilst guiding the decision making of both nursing and
medical practitioners. However, only a limited number of studies have
investigated the perceptions of staff towards a sedation protocol during its
implementation. This study was designed to survey the perceptions of staff
regarding the implementation of a sedation protocol in an Australian intensive
care unit (ICU). Questionnaires were distributed to all multidisciplinary team
members who had used the sedation protocol. The response rate was 50% (n=70). The
questionnaire combined the use of visual analogue scales plus a comments section
to obtain qualitative data. The results revealed that staff perceived sedation
management to be enhanced with the use of a protocol and therefore should be
incorporated into routine clinical practice. Staff perceived that providing clear
guidelines that facilitated decision making and assisted beginner practitioners
enhanced sedation management. In addition, there was a perceived improvement in
the patient outcomes, including a decrease in the frequency of over-sedation
resulting in a reduced ICU stay. Positive perceptions may assist in the
introduction of other interventional protocols. Other protocols may target areas
where variability in clinical decision making exists, despite research evidence
that supports specific therapeutic interventions. Further studies addressing
protocol implementation for clinical interventions are warranted in other ICU
settings.
PMID- 18038525
TI - Post discharge problems in women recovering from coronary artery bypass graft
surgery.
AB - This study was conducted to describe the types and frequency of problems
Australian women experience when recovering at home in the first 6 weeks
following coronary artery bypass graft (CABG) surgery and the relationship
between symptom experience and psychological distress. A convenience sample of 52
women (mean age 66.31 years, range 53-79 years) who had uncomplicated CABG
surgery was selected from two tertiary hospitals in Sydney. A descriptive design
was used with information related to post-operative problems collected by
telephone interview at 1, 3 and 6 weeks post discharge using a semistructured
questionnaire. Psychological distress was assessed at 12 weeks post discharge
using the Hospital Anxiety and Depression Scale (HADS). Responses were
categorised, collapsed and described using frequencies and percentages.
Relationships were assessed by Spearman's r. The most common problems in the
first and third weeks post discharge were sleeplessness and nausea or poor
appetite and chest incision pain. Although problems improved over the first 6
weeks post-operatively, approximately one-quarter of the women still reported
chest incision pain and almost 40% reported problems with leg wounds and oedema.
The number of problems experienced at 6 weeks was significantly correlated with
depression at 12 weeks. These findings support the importance of a preoperative
education programme that includes anticipation of physical problems in the
immediate post-operative period and a follow-up of female patients in the early
transition period following hospital discharge.
PMID- 18038526
TI - Cardiopulmonary resuscitation guidelines: what next?
PMID- 18038527
TI - Using 'soft solutions' to meet today's hard challenges in critical care.
PMID- 18038528
TI - Chest x-ray quiz. Atelectasis of the right upper lobe.
PMID- 18038529
TI - Multicentre study of delirium in ICU patients using a simple screening tool.
AB - Traditionally, intensive care unit (ICU) delirium was viewed as benign and was
under-diagnosed in the absence of ICU-appropriate screening tools. Research
suggests that up to half of all ICU patients experiencing delirium will continue
to do so after discharge to the ward, and half of those experiencing delirium in
the ward will die within 1 year of delirium diagnosis. ICU-specific screening
tools are now available. The purpose of this study was to identify the incidence
of delirium in ICU and explore its associations to clinical factors and outcomes.
A secondary aim was to evaluate the usefulness of the intensive care delirium
screening checklist (ICDSC). A total of 185 patients in six ICUs in Australia and
New Zealand were screened for delirium using the ICDSC over two 12-hour periods
per day for the duration of their ICU admission. Some 84 patients (45%) developed
delirium. Development of delirium was associated with increased severity of
illness (acute physiology and chronic health evaluation--APACHE II--and
sequential organ failure assessment--SOFA), ICU length of stay (LOS), and use of
psycho-active drugs. Delirious patients showed no statistically significant
difference in ICU and hospital mortality rates, nor prolonged hospital LOS. The
ICDSC was found to be user-friendly. The incidence of delirium, observed
characteristics and outcomes for patients admitted to Australian and New Zealand
ICUs for > 36 hours without any history of altered mental state fell in the mid
range and were generally consistent with previous literature. An ICU-specific
delirium assessment, such as the ICDSC, should be included in routine ICU
observations to minimise under-diagnosis of this serious phenomenon.
PMID- 18038530
TI - Life beyond severe traumatic injury: an integrative review of the literature.
AB - It is only recently that recognition of the serious and debilitating sequelae of
trauma has prompted exploration of outcomes beyond survival, such as disability,
health status and quality of life. This paper aims to review the literature
describing outcomes following severe traumatic injury to provide clinicians with
a greater understanding of the recovery trajectory following severe trauma and
highlight the issues faced by those recovering from such injury. Electronic
databases, published reference lists and the Internet were searched to identify
relevant literature. The heterogeneous nature of published literature in this
area prohibited a systematic approach to inclusion of papers in this review.
Trauma survivors report significant sequelae that influence functional status,
psychological wellbeing, quality of life and return to productivity following
severe injury. Key themes that emerge from the review include: current trauma
systems which provide inadequate support along the recovery trajectory;
rehabilitation referral which is affected by geographical location and provider
preferences; a long-term loss of productivity in both society and the workplace;
a high incidence of psychological sequelae; a link between poor recovery and
increased drug and alcohol consumption; and valued social support which can
augment recovery. Future research to evaluate interventions which target the
recovery needs of the severely injured patients is recommended. Particular
emphasis is required to develop systematic, sustainable and cost-effective follow
up to augment the successes of existing acute trauma services in providing high
quality acute resuscitation and definitive trauma management.
PMID- 18038531
TI - A phenomenological study of medically induced unconsciousness in intensive care.
AB - Critically ill patients who require mechanical ventilation in intensive care
units (ICUs) are usually sedated with drugs such as Morphine and Midazolam in
order to facilitate ventilation, relieve pain and lower metabolic demands.
Participants in this research were sedated to the point of 'medically induced
unconsciousness' in that they were non eye opening nor responsive to verbal
directives. A purposive sample of five individuals who had experienced the
phenomenon of 'medically induced unconsciousness' were interviewed indepth. These
interviews were transcribed verbatim and then analysed using a Husserlian
phenomenological approach. Three essences were revealed within the data, each
with associated themes. These essences were utter helplessness, cognition and
succour. Utter helplessness was linked to: an inability to communicate either
verbally or physically; pain during procedures; a sense of threat to personal
safety; depersonalisation; loss of control and a sense of loneliness. Rather than
an alteration of cognition, the themes that emerged within this second essence
revealed attempts by participants to understand the experiences associated with
'medically induced unconsciousness'. These themes were: dreams; dissociation of
self from the physical body; time-space relatedness and chaos. The final essence
to emerge was that of succour. This essence portrays some of the more positive
aspects of the experience. The themes revealed were: security in the ventilator;
comfort in voices; human presence; painfree and purpose. Participants in this
study were experiencing many things as they lay unresponsive on their beds in the
ICU. The absence of physical responses should not be misinterpreted to mean that
cognitive processes are not occurring. Participants appeared to be attempting to
make sense of their immediate environment through their dreams.
PMID- 18038532
TI - Who's best practice is it anyway?
PMID- 18038533
TI - Assessing clinical practice using the ACCCN Competency Standards: a valid tool?
PMID- 18038534
TI - Transfer out of intensive care: a qualitative exploration of patient and family
perceptions.
AB - OBJECTIVE: To examine perceptions of ICU transfer held by patients and their
family members, focusing specifically on those aspects of transfer perceived as
difficult and those perceived as helpful. DESIGN: Descriptive qualitative case
study design. SETTING: General ICU of a large regional Australian teaching
hospital. PATIENTS: A total of 7 patients and 6 family members were purposefully
recruited at one-month post-discharge from hospital. Participants were selected
for their ability to recall ICU transfer, the involvement of family members and
their ability to articulate their experiences. MAIN OUTCOME MEASURES: Two focus
groups (one for patients and one for families) were conducted in the hospital
setting, aimed at capturing the individual and collective perceptions of transfer
out of intensive care. RESULTS: Four themes emerged from the data that reflected
the complex and emotional nature of transfer out of intensive care. These themes
included (1) a sense of sudden abandonment (2) pervasive feelings of
vulnerability and helplessness, (3) a loss of importance and (4) ambivalence
about the experience. CONCLUSIONS: The need for ICU nurses, ward nurses and
affiliated healthcare professionals to provide emotional support throughout ICU
transfer is the most significant implication of the study. Strategies to provide
this support must be developed, implemented and evaluated.
PMID- 18038535
TI - Dealing with death: an audit of family bereavement programs in Australian
intensive care units.
AB - Patient death in Intensive Care Units (ICU) can be sudden and unexpected, leading
to emotionally charged situations and life changing circumstances for family
members. Supporting families during and after this critical period is
particularly challenging for ICU nurses who often feel dissatisfied with the way
they deal with the situation. Bereavement programs in various areas of nursing
have been reported to be beneficial in promoting normal grief patterns. There is,
however, a lack of research in the area of evaluation of bereavement programs in
adult ICUs. This paper presents the results of an Australia-wide audit on current
practices in the area of bereavement programs within adult ICUs. Surveys were
sent to 117 adult Australian ICUs; 99 surveys were returned completed (84.6%
response rate). It was identified that most surveyed units offer minimal
components of bereavement programs, such as viewing of the deceased and
communicating with family members. Less than one third (n=26) provide additional
follow-up services in the form of telephone calls and sympathy cards or referral
to additional services. Ten units employ some form of program evaluation. Verbal
feedback from staff and families is the primary assessment method. Over half of
responding ICUs indicated they are considering or interested in providing a
bereavement program in their unit. This study highlights the need for research
based data to support the introduction or deletion of strategies for bereavement
programs using family-centred outcome measures. ICU nurses are interested in this
area of clinical practice and require considerable support. It is recommended
that this support can come via postgraduate and on-going education, hospital
policies and procedures.
PMID- 18038537
TI - Best practice in stabilisation of oral endotracheal tubes: a systematic review.
AB - Mechanical ventilation of patients in intensive care units is common practice.
Artificial airways are utilised to facilitate ventilation and the endotracheal
tube (ETT) is most commonly used for this purpose. The ETT must be stabilised to
optimise ventilation and avoid displacement or unplanned extubation. Tube
movement is a major factor in causing airway trauma. A destabilised tube can
cause fatal complications. A systematic review was conducted to identify and
analyse the best available evidence on ETT stabilisation to determine which
stabilisation method resulted in reduced tube displacement and the least amount
of unplanned or accidental extubations. The types of stabilisations included one
or a combination of the following methods: twill or cotton tape, adhesive tape,
gauze, or a manufactured device. All relevant randomised controlled and quasi
experimental studies of ETT stabilisation practices, identified through
electronic and hand searching, were assessed for inclusion in the study. One
published randomised controlled trial and six published quasi-experimental
studies met the inclusion and exclusion criteria and were retrieved. Data were
extracted independently by two reviewers. Results of the systematic review showed
that no single method of ETT stabilisation could be identified as superior for
minimising tube displacement and unplanned or accidental extubations. Rigorous
randomised controlled trials with clearly identified and described ETT
stabilisation methods are required to establish best practice. In addition,
comparative research to evaluate cost effectiveness and nursing time requirements
would also be of significant benefit to critical care nursing practice.
PMID- 18038536
TI - A survey of sedation assessment and management in Australian and New Zealand
paediatric intensive care patients requiring prolonged mechanical ventilation.
AB - INTRODUCTION: A retrospective analysis of sedation management for children
receiving prolonged ventilation in one Australian paediatric intensive care unit
(PICU) revealed no identifiable pattern in sedation management and an inadequacy
in the sedation scoring system. Therefore, the investigators sought to explore
the current practice of sedation in critically ill children in PICUs across
Australia and New Zealand. METHOD: This study used a mail-out survey to audit
sedation management within the eight dedicated Australian and New Zealand PICUs.
RESULTS: 100% of the units surveyed replied (n=8). There were a total of 6,133
admissions to 8 Australian and New Zealand PICUs, where 3036 (49.5%) required
ventilation. Of these children, 888 (29.2%) required ventilation > or =72 hours.
Only 4 units had written guidelines for sedation management. A combined sedation
regime of benzodiazepines and opioids was employed in six units. Administration
and titration of sedation agents was managed by nursing staff alone in six units.
All units indicated that they aimed to achieve a 'moderate level' of sedation.
Two units used designated assessment tools for sedation and withdrawal
assessment. One unit utilised Bispectral Index (BIS) monitoring. CONCLUSION:
There were similarities observed in the methods and types of sedation agents used
within Australian and New Zealand PICUs. However, only half of the units had
guidelines for sedation management, and most units did not use validated
paediatric scales to assist staff in assessing patient sedation and pain levels.
Therefore it is recommended that a standardised approach to sedation assessment
and management of critically ill children requiring prolonged ventilation be
developed and tested.
PMID- 18038539
TI - [Characteristic of the etiologic structure of acute enteric infections in adults
based on the data from infectious diseases hospital].
AB - Study of the etiologic spectrum of sporadic cases of causative agents of acute
enteric infections (AEI) in 753 patients aged 15-85 years old using polymerase
chain reaction (PCR) was performed in the infectious diseases hospital during 12
months. It was shown that detection of the causative agents of AEI by PCR was 2.2
times more effective than routine microbiologic diagnostics supplemented with
test of feces on rotavirus by ELISA method and allowed to detect etiologic agent
in 54.3% of the patients. Viral etiology of AEI was determined in 22.6% of the
patients. The most significant causative agents of AEI comparable on the rate of
detection with salmonella and shigella were genotype 2 noroviruses and group A
rotaviruses which were isolated in 10.4 and 8.9% of the patients respectively.
Seasonal change of dominating viral pathogens causing diarrheal diseases was
noted: predominance of group A rotaviruses during winter-spring period,
noroviruses--during spring-summer months, and astroviruses--during fall and in
the beginning of winter.
PMID- 18038538
TI - [Etiologic role of Corynebacterium non diphtheriae in patients with different
pathology].
AB - Bacteriologic examination of 1589 patients showed that, aside from C.
diphtheriae, 11% of acute upper respiratory tract infections were caused by other
Corynebacterium species. Such bacteria can cause infections of various
localizations (bronchitis, pyelonephritis, urethritis, colpitis, dermatitis,
arthritis, etc.). C. pseudodiphtheriticum and C. xerosis were isolated from
clinical specimens most frequently. Corynebacterium spp. have adhesive,
hemolytic, hemagglutinating, and neuraminidase activity; some of them are highly
pathogenic. The most virulent, were following species: C. diphtheriae, C.
pseudotuberculosis, C. urealyticum, and C. ulcerans. Corynebacterium non
diphtheriae were frequently isolated from clinical specimens in association with
staphylococci and streptococci. In such cases, factors of pathogenicity and
resistance to antibiotics were more pronounced. Strains isolated with association
with other bacteria have lost susceptibility to tetracycline, oleandomycin,
penicillin, and erythromycin. It is important to be vigilant about bacteria from
Corynebacterium genus in clinical settings, and thoroughly study their biologic
characteristics, especially in immunocompromised patients.
PMID- 18038540
TI - [Diagnostic value of persistent characteristics of staphylococci during their
carriage].
AB - Comparative assessment of information value of significant persistent
characteristics of staphylococci in state of carriage was made, and the
usefulness of these characteristics for creating diagnostic models was defined.
One hundred and fifty strains of Staphylococcus aureus and S. epidermidis
isolated from healthy persons with subsequent differentiation of carriage types
were used in the study. It has been established that strains isolated from
resident carriers more often have more markedly expressed factors of persistence.
Ranking the factors of persistence of staphylococci according to their
information value during carriage allowed to identifythe leading sign among them-
anti-carnosine activity. Using factor analysis, obtained signs were united in the
single complex defining bacterial carriage, which allows to build a mathematical
model permitting to diagnose staphylococcal carriage with 95% probability.
PMID- 18038541
TI - [Antimicrobial susceptibility and molecular mechanisms of resistance to beta
lactams of gram-negative microorganisms--causative agents of nosocomial
infections].
AB - Profiles and mechanisms of resistance to beta-lactam antibiotics of isolates of
Gram-negative microorganisms, which are causative agents of infections in
Intensive Care Unit of hospital surgery department, were studied. Two hundred and
ten clinical isolates were studied: Pseudomonas aeruginosa--86 strains (40.9%),
Acinetobacter baummanii--45 strains (21.4%), Klebsiella pneumoniae--52 strains
(24.8%), Escherichia coli--23 strains (11%), Enterobacter spp.--4 strains (1.9%).
Profiles of antibiotic resistance were studied by the method of serial
microdilutions; detection of most widespread and clinically significant genes of
beta-lactamases of Gram-negative bacteria was performed by polymerase chain
reaction. Carbapenems and cefoperazone/sulbactam were the most active
antibiotics. Local features of distribution of beta-lactamase coding genes (TEM,
SHV, CTX) in K. pneumoniae and E. coli isolates were revealed. Eleven strains of
P. aeruginosa resistant to carbapenems and possessing genetic determinants of VIM
group, which codes metallo-beta-lactamases, were isolated. Obtained data allows
to assess the parameters of resistance to beta-lactam antibiotics and to reveal
the main mechanisms of such resistance in etiologic agents of nosocomial
infections, that, in its turn, allows to choose preparations for etiotropic
therapy.
PMID- 18038542
TI - [Duration of viral RNA circulation in blood of patients with hepatitis A].
AB - Duration of hepatitis A virus (HAV) RNA circulation in blood of patients with HA
was assessed and compared with intensity of cytolytic syndrome. Detection of
viral RNA was performed by RT-PCR method with specific primers to VP1/P2A region
of HAV genome. 54 blood serum samples from 40 patients were prospectively studied
on the presence of HAV RNA. The latterwas detected in 53.7% of serum samples. The
greatest number of positive results of HAV RNA detection in blood of the patients
with HA was obtained from 8th to 21st day of illness (77.4%). Prolonged viremia
(42+/-9 days) was observed in more than 20% of the patients. The maximal time of
HAV RNA daetection in blood serum amounted 74 days (period of follow-up). HAV RNA
was present in almost all patients with AIAT activity higher than 500 U/l
regardless of duration of illness.
PMID- 18038543
TI - [Molecular-epidemiological characteristic and possible origin of Vibrio cholerae
non O1/non O139 with complete and limited set of virulence genes].
AB - Study of molecular-epidemiological characteristics of Vibrio cholerae non O1/non
O139 serogroup with complete and limited set of virulence genes was performed.
Differences of their genes composition as compared to these of O1 serogroup
(classic and El Tor biovars) were revealed, which points to their origin from
avirulent environmental cholera vibrios.
PMID- 18038544
TI - [Epidemiologic and etiologic characteristic of enterovirus infections in
Khabarovsk region].
AB - Results of epidemiologic, virologic, and serologic studies of enterovirus
infections in Khabarovsk region from 1975 to 2006 were analyzed. Patterns of
epidemic process of these infections were established: periodic change of
dominating type of pathogen in the population; onset of the large epidemic peaks
of incidence during emergence of circulation of new for the given area serotypes
of enteroviruses; possibility of realization of several routes of virus
transmission. Role of water factor in the progress of the epidemic process was
revealed. Etiology of the large epidemic rise of aseptic meningitis incidence in
Khabarovsk region in 2006 was established--the leading pathogens were ECHO
viruses serotypes E6 and E30.
PMID- 18038545
TI - [Paralytic poliomyelitis in Russian Federation in 1998-2005].
AB - From 1998 through 2005 3,294 cases of acute flaccid paralysis (AFP) including 93
cases with clinical picture of poliomyelitis were registered in Russian
Federation. From the latter cases 91 were classified as vaccine-associated
paralytic poliomyelitis (VAPP): 66 were VAPP cases in oral poliomyelitis vaccine
(OPV) recipients and 25--VAPP cases in contacts. VAPP rate was 1 case per 1.6
million of distributed OPV doses, 1 case per 2.2 million doses for OPV
recipients, and 1 case per 186,000 doses for recipients of 1st OPV dose in
children aged < 1 year. Majority of VAPP cases in recipients occurred after 1st
dose (89.4%) and in contacts--in non-vaccinated children (76%). Mean interval
between OPV administration and onset of VAPP in recipients was 21 days. Children
aged < 1 year were predominant among VAPP cases (92.4% among recipient VAPP
cases, and 80% among contact VAPP cases). Majority of the patients had
unfavorable health status including defects of immunity. Most of poliovirus
strains isolated from VAPP cases belonged to type 3 (52.9%) whereas to type 2 and
1--29.8% and 17.4% of strains respectively. All VAPP cases were associated with
vaccine-derived polioviruses. A highly diverged poliovirus type 1 (2.65% of
nucleotide substitutions in VP1 region) was isolated from patient with contact
VAPP. Formation of poliovirus-neutralizing serum antibodies in children with VAPP
including persons with immunodeficiency reflects the ability of the organism to
produce specific antiviral immune response.
PMID- 18038546
TI - [Protective properties of certain external proteins of group B streptococci].
AB - On the basis of genes, which control synthesis of externally localized proteins
of group B streptococci (bac and scaAB), recombinant polypeptides P6 and ScaAB
were obtained. Data on protective activity of these polypeptides during
experimental infection of immunized mice as well as in opsonophagocytic test on
cultivated peritoneal macrophages are presented. It has been shown that
protective effect of specific antibodies to P6 was dependent from intensity of
immune response. Titer of specific IgG to P6 equal 1:25000 was protective for
mice during challenge with LD50. During sublethal challenge level of humoral
immunity determined both rate of microorganism elimination and degree of decrease
of concentration of streptococci in the spleen. Recombinant polypeptide ScaAB
also had marked protective activity and protective titer ScaAB-specific IgG was
significantly lower compared with the first polypeptide (1:1600). It has been
established that both types of antibodies have opsonizing activity against
different strains of group B streptococci. Opsonizing properties of antibodies to
P6 were restricted to Bac protein-producing streptococci whereas specificity of
antibodies to ScaAB was not restricted by type and group borders. Opsonization of
both group B and group A streptococci was revealed. It has been established that
protective efficacy mediated by antibodies was dependent not only from their
opsonizing characteristics but also from availability of protein antigens, which
under certain conditions can be shielded by capsular polysaccharide. It has been
assumed that vaccine preparation developed on the basis of polypeptides P6 and
ScaAB is promising for further research.
PMID- 18038547
TI - [Assessment of immunity and allergy after vaccination with dry combined anthrax
vaccine].
AB - Study of humoral immune response and allergy in recipients of dry combined
anthrax vaccine was performed. Immune response was assessed by antibody titers to
protective antigen and by index of preventive properties of blood serum (PPS) of
recipients. Relation of index of PPS and antibody titers in blood serum of the
donors was established. Distribution of erythrocyte antigens in recipients of
live dry and combined anthraxvaccines depending on blood group, Rh-factor, and
age was studied. It has been shown that 80% of recipients of dry combined anthrax
vaccine formed potent immunity with its high level lasted for 8 months. Study of
allergenic properties of the combined anthrax vaccine using registration of
neutrophils chemiluminescence in vivo showed low level of sensitization of
vacinees.
PMID- 18038548
TI - [Pertussis toxin and cross-reactive antigens in dynamics of Bordetella pertussis
cultivation].
AB - Cultures of Bordetella pertussis from phases of exponential growth, retarded
growth and from stationary phase were obtained during periodic dynamic
cultivation. Preparations for intravenous immunization of rabbits were made from
these cultures. Levels of IgG to pertussis toxin, cell walls preparations from 12
bacterial species, 4 organo-specific antigens, and 7 organospecific human
antigens were measured in obtained sera. It was shown that higher levels of IgG
to pertussis toxin were found in sera of rabbits immunized with cultures from
exponential growth phase whereas decrease of this level in 8 times was observed
in sera of rabbits immunized with cultures from retarded growth phase or end of
stationary phase. After immunization with culture from exponential growth phase
increase of IgG levels to cross-reactive antigens was not observed compared to
levels of these antibodies in control sera obtained before immunization. After
immunization with cultures from retarded growth phase or end of stationary phase
increase of IgG levels to preparations of cell walls of Staphylococcus aureus, S.
epidermidis, Pseudomonas aeruginosa, Klebsiella pneumoniae, to denaturated DNA,
elastin, and renal and liver microsomal fractions was detected compared to
control sera. Described data can substantiate usefulness of obtaining the most
specific diagnostic sera and test-systems using cultures of B. pertussis from the
phase of exponential growth.
PMID- 18038549
TI - [Analysis of cytokines in seminal plasma and blood sera of patients with chronic
prostatitis during immunotherapy with natural complex of cytokines and
antimicrobial peptides].
AB - Levels of pro- and anti-inflammatory cytokines (TNFalpha, IL-6, IL-8, IL-10) were
studied in blood sera and seminal plasma of healthy volunteers and patients with
chronic bacterial prostatitis (CBP) or chronic abacterial prostatitis (CABP).
Assessment of effect of immunotropic drug Superlymph with direct antimicrobial
action for treatment of mentioned groups of patients was performed. It was shown
that seminal plasma of patients with CBP and CABP contained higher levels of IL-6
compared with healthy subjects. IL-8 level was increased in small part of
patients with CBP and CABP. Changes in cytokine status of patients with CBP and
CABP that occurred during treatment and manifested in decrease of proinflammatory
cytokines levels (IL-6 and IL-8) and increase of antiinflammatory cytokine level
(IL-10) point to reduction of inflammatory process in prostate. Clinical effect
of complex treatment in patients with CBP considering eradication of pathogen and
shortening of duration of antibacterial treatment amounted 95%. Monotherapy of
patients with CABP with Superlymph was effective in 72%.
PMID- 18038550
TI - [Role of nuclear factor-kappaB in providing interactions of human neutrophils and
epithelial cells from oral cavity with Candida albicans].
AB - Contribution of intracellular signal pathways associated with nuclear factor
kappaB (NF-kappaB) in realization of interactions of human neutrophils and
epithelial cells from oral cavity with Candida albicans was studied. Supression
of NF-kappaB in epitheliocytes resulted in decreased adsorption of C. albicans to
buccal cells (buccal mucosa). Inhibition of NF-kappaB led to decrease in receptor
dependent oxidative activity of neutrophils in systems with native and IgG
opsonized C. albicans as well as to increase of phagocytic activity during
contact with C3b-/iC3b-opsonized C. albicans. It has been concluded that NF
kappaB participates in regulation of specific interactions of neurophils and
epitheliocytes with C. albicans.
PMID- 18038551
TI - [Real-time multiplex PCR for rapid detection of enteroviruses, adenoviruses and
hepatitis A virus in clinical specimens].
AB - Real-time multiplex polymerase chain reaction (PCR) with internal positive
control (IPC) was developed for simultaneous detection of adenoviruses (AV),
enteroviruses (EV) and hepatitis A virus (HAV). Primes and probes labeled with
different fluorophores (FAM, R6G, ROX, and Cy5) and able to detect up to four
viral RNAs (DNAs) with high specificity in a single tube in real-time PCR were
designed. Sensitivity and specificity of the method was estimated using cultural
strains of 8 serotypes of EV, 5 serotypes of AV and 2 clinical specimens
containing HAV. Sensitivity of the method for detection of polioviruses types 1,
2, and 3 (Sabin vaccine strains) was 0.5--1 TCID50 per reaction mixture. Thirty
clinical specimens were analyzed by the multiplex PCR with and without IPC, and
by mono-specific PCR. Comparison of these methods with cultural one revealed
results agreement in 86.7% in case of multiplex PCR with IPC and in 100% in case
of multiplex PCR without IPC and mono-specific PCR. This method can be used for
rapid diagnostics of enteric viral infections as well as for determination of
viral contamination level of water. As intermediate results of the study the
methods for quantitative assessment of HAV, AV, and EV nucleic acids were
developed which are convenient tools for the control of antiviral therapy
effectiveness.
PMID- 18038552
TI - [Experimental grounds for the effectiveness of ciprofloxacin and oxytocin
combined use].
AB - In order to determine the influence of combined use of ciprofloxacin and oxytocin
on susceptibility of microflora to antibiotics as well as level of microbial anti
lysozyme (ALA) and anti-complement activity (ACA) 148 anaerobic and aerobic
microorganisms were isolated from 80 patients with purulent infections of soft
tissues. Susceptibility of staphylococci, streptococci, and enterobacteria to the
most commonly used antibiotics was assessed by agar-diffusion method with
standard disks. ALA and ACA of the isolated strains were defined by routine
methods. Minimal inhibitory concentration (MIC) of ciprofloxacin and oxytocin for
isolated pathogens were determined according to standards of NCCLS. Influence of
oxytocin on MIC of ciprofloxacin was determined as well as inhibitory effect of
the latter on factors of bacterial persistence. Decrease of MIC of ciprofloxacin
as well as increase of its inhibitory effect on pathogens' ALA and ACA when it
used in combination with oxytocin were noted. Usefulness of combined use of
ciprofloxacin and oxytocin for treatment of different infections has been
proposed.
PMID- 18038553
TI - [Plasmid analysis of bacteria of Bacillus genus used in the development of
probiotics].
AB - Presence of plasmid-contained strains in probiotics prepared on the basis of
Bacillus bacteria was assessed. Plasmid analysis was performed by the method
based on neutralization of bacterial suspension after alkaline treatment with
lithium chloride. Presence of 4-6 plasmids with molecular weight from 1 to 75 MDa
was revealed in 4 commercially available probiotics. Since plasmids can
facilitate transfer of genes of the antimicrobial resistance to pathogenic
microorganisms it was recommended to perform thorough search of probiotic
microorganisms without plasmids or ensure the elimination of R-plasmids in start
cultures for the most promising biopreparations.
PMID- 18038554
TI - [Changes in electrophysical characteristics of Azospirillum brasilense Sp7 cells
during their interaction with polyclonal antibodies].
AB - Electrooptical characteristics of Azospirillum brasilense Sp7 cells during their
specific interaction with polyclonal rabbit antibodies were studied. Dependence
of optical density of cell suspension during electroorientation of cells from
frequency of orienting field in interval 10, 100, 250, and 500 kHz was evaluated.
Itwas shown that electrooptical (EO) characteristics of bacterial suspensions
change during interaction of A. brasilense cells with antibodies, and maximal
changes occur when frequency of oriented field amounts 100-250 kHz. During
interaction of A. brasilense Sp7 with strain-specific polyclonal antibodies in
the presence of Escherichia coli K-12 and Pseudomonas putida C-11 decrease of
amplitude of analytic signal was observed but detection of A. brasilense Sp7
cells was possible. Possibility of detection of microorganisms by EO analysis
during their interaction with antibodies was shown.
PMID- 18038555
TI - [State of several parts of immune system in mice, infected by enterotoxigenic
bacteria of Enterobacter genus].
AB - Influence of thermolabile enterotoxin bacteria of Enterobacter genus on the
immune system of mice was studied. Assessment of phagocytic functions of the
immune system as well as antigen-presenting functions of macrophages during
infection with enterotoxin-producing strains of bacteria from Enterobacter genus
revealed pleiotropic effect of the toxin which is characterized by inhibition of
antigen-presenting and processing functions of macrophages.
PMID- 18038556
TI - [Immunologic parameters of monkeys infected by urogenital mycoplasmas].
AB - In monkeys contained in captivity conditions in open-air cages or in group cages
human mycoplasmas are often detected: antigens of Mycoplasma hominis in blood
serum were revealed in 33.3% of cases, and antibodies to it--in 15.6% of cases.
IgM to M. hominis were detected more often than IgG. In 8 monkeys both types of
immunoglobulins were detected. Rates of detection of Ureaplasma urealyticum
antigens and specific antibodies were 43.1% and 31.1% respectively, and IgG were
found more frequently than IgM (in 22 cases both types of immunoglobulins were
revealed). High rates of M. hominis and U. urealyticum antigens and antibodies
detection in blood serum of both healthy monkeys and monkeys with urogenital
tract diseases show prevalence of human mycoplasmas carriage among monkeys
contained in captivity conditions.
PMID- 18038557
TI - [Immunity to antigens of nontypeable Haemophilus influenzae strains].
AB - Nonencapsulated (nontypeable) Haemophilus influenzae (NTHi) is a Gram-negative
coccobacillus colonizing upper respiratory tract of most healthy people and
causing such diseases as otitis media, sinusitis, exacerbations of chronic
obstructive pulmonary disease, and bronchitis. NTHi may cause systemic infection.
As a result, over the past decade the bacterium has been the subject of intense
research. However immune response to NTHi has not been well characterized. Data
on research of immune response to NTHi are presented.
PMID- 18038558
TI - [The importance of S. P. Botkin's scientific ideas for 21th century medicine].
PMID- 18038559
TI - [Botkin, an outstanding doctor and master of diagnosis, in the eyes of his
friends and patients].
PMID- 18038560
TI - [The role of the Chronobiology and Chronomedicine Problem Board of Russian
Academy of Medical Sciences in the development of internal medicine (on the
occasion of its 25th anniversary) ].
PMID- 18038561
TI - [Correction of androgen deficiency in elderly patients].
AB - In spite of its prevalence, age-related androgen deficiency has not been studied
in full. Androgen deficiency is associated with a lot of age-related diseases
(coronary artery disease, arterial hypertension, obesity, diabetes mellitus,
osteoporosis etc). The level of testosterone in men gradually decreases beginning
at the age of 30 to 40 years. Age-related hypogonadism results in an increase in
the frequency of cardiovascular diseases and cardiovascular mortality. Low
testosterone level is associated with dyslipidemia, atherosclerosis, reduction of
fibrinolysis, insulinoresistance, and abdominal obesity. Physiological doses of
androgen preparations are supposed to have a positive effect on various chains of
metabolism and improve the course of diseases in men.
PMID- 18038562
TI - [Prevention of and coping with professional stress].
AB - The article reviews publications dedicated to stress, especially professional
one. The authors describe a severe form of stress, emotional burning-out
syndrome, which is often found in doctors and nurses dealing with patients
suffering from mental disorders, oncological diseases, AIDS, and those who are
treated in intensive care units. Recommendations concerning stress prevention and
medication support able to prevent or lower pathological consequences of chronic
working stress are given.
PMID- 18038563
TI - [Prevalence of cholelithiasis in different regions].
AB - The review characterizes the prevalence of cholelithiasis in Russia and other
countries taking into account the evolution of diagnostic methods from necropsy
to billiary tract ultrasonography. The authors demonstrate the dynamics of
cholelithiasis prevalence in different world's regions, climate-geographic zones,
urban and rural areas, as well as the growth of cholelithiasis incidence in
Russia and the world during the 20th century. The results of clinical and
epidemiological studies on the prevalence of cholelithiasis among aborigines and
non-aborigines of Chukotka, Republic of Sakha (Yakutia), Khakasia, and North and
South America are given.
PMID- 18038564
TI - [Erythropoietin in clinical practice].
AB - In numerous studies, erythropoietin (EP) has been shown to be a "universal"
protective tissue cytokine, and EP receptors have been shown to exist in a lot of
tissues. The pleiotropic effects of EP (the anti-inflammatory effect,
angiogenesis, anti-apoptosis etc.) make clinical application of EP (especially
recombinant EP, REP, and EP analogs without erythropoietic activity) promising in
different diseases. Possibilities provided by REP application in neurology,
cardiology, hematology, oncology, and other clinical areas are being studied
intensively. Clinical studies of EP are now solitary, and they should be
continued; new EP analogs with specified qualities and selective mechanisms of
action should be developed. This review discusses the modern state of EP
investigation and possibilities provided by its clinical application.
PMID- 18038565
TI - [The chronobiological conception of the development of acute myocardial
infarction].
AB - The subjects, 1048 patients with acute myocardial infarction (AMI), were examined
in order to study the chronobiological periodicity of acute coronary
catastrophes. The study established that the probability of AMI was the lowest on
Sundays and Saturdays, equally higher on Mondays, Wednesdays, and Thursdays,
lower on Tuesdays, and the highest on Fridays. Analysis of circannian AMI
incidence demonstrates that its probability decreases in September and increases
in February, March, May, and June. In August and November, the incidence of
different AMI forms differs: transmural forms prevail in August, while large
focal ones prevail in November.
PMID- 18038566
TI - [On the use of statins in patients with chronic cardiac insufficiency].
AB - Today, the necessity to use hypolipidemic agents belonging to the group of
statins as means of primary and secondary prevention of coronary artery disease
(CAD) and its complications is not doubted. The results of numerous large studies
conducted in many different countries during the last 10 to 15 years confirm this
statement. The appropriateness of statin application to patients with chronic
cardiac insufficiency (CCI) is still under discussion, because there are no
sufficient data on whether hypolipidemic therapy is able to improve the prognosis
in this category of patients. The authors conducted a long-term research into the
use of statins in combination with basic combined therapy in patients with CCI
complicating the course of CAD. A reverse correlation between the levels of total
cholesterol, low-density protein cholesterol, and the degree of CCI severity was
demonstrated. Long-term continuous therapy of CCI patients with a combination of
medications including statins did not worsen the clinical symptoms. However,
hypolipidemic therapy should be administered to CCI patients on a differentiated
basis, taking into account the initial state of lipid profile and the severity of
the underlying disease.
PMID- 18038567
TI - [The clinical features of arterial hypertension complicated by an old ischemic
cerebral stroke].
AB - The course of arterial hypertension (AH) was evaluated retrospectively in 306
patients, who had undergone annual hospital examination and treatment during the
previous five years. After five years, AH had got complicated with ischemic
cerebral stroke (ICS) in 147 patients (group 1). AH had not got complicated with
ICS in 149 patients (group 2). These patients had been observed for another five
years. By the end of the study, 98 patients had remained in group 1, and 100
patients had remained in group 2. Mean term of observation had been 10.3 +/- 0.8
years in group 1, and 10.1 +/- 0.9 years in group 2. Clinico-anamnestic analysis
revealed the following peculiarities of the course of AH complicated by ICS: a
longer AH course before the development of ICS; faster deterioration of AH in a
period of 10 years; faster deterioration of chronic cardiac insufficiency and
discirculatory encephalopathy; more frequent combination with coronary heart
disease and carbohydrate exchange; more frequent development of transitory
ischemic attacks (TIA); TIA became more frequent before the development of ICS;
in 70% of group 1 patients TIA was located in the place of a future ICS. The
authors suggest that slowly and quickly progressing AH forms should be
differentiated according to the progression of the risk of cardiovascular
complications.
PMID- 18038568
TI - [The effects of various factors on long-term outcomes of non-stable stenocardia].
AB - The article presents the results of one-year observation of 472 patients who
experienced non-stable stenocardia in 2003-2004. During this period, exacerbation
of coronary artery disease with or without acute coronary catastrophe was noted
in every third patient. Thirty-three cases of acute coronary pathology were
registered. Year lethality was 27.3%, with acute myocardial infarction as the
leading cause of death. By step-wise diagnostic regression method it was proved
that old myocardial infarction was the only factors that had a significant
negative effect on the long-term prognosis of non-stable stenocardia.
PMID- 18038569
TI - [Early and progressing alcohol cardiomyopathy].
AB - The subjects of the study were 10 young men who had taken about 40 g of alcohol
(group 1) and 26 ones who had taken more than 80 g (group 2) one day before the
hospitalization. Heart changes on days 3, 4, and 5 after the alcohol intake were
studied using ECG, radiography, and ultrasonography; some functional tests
reflecting hepatic and renal condition were performed as well. No changes were
found in group 1, while certain functional ECG changes were revealed in group 2
patients; these changes were associated with acute toxic alcohol myocardial
dystrophy. Group 3 consisted of subjects aged 53 +/- 1 years who had been taking
120 to 160 g of alcohol a week systematically for not less than 5 to 8 years.
These subjects displayed signs of mental depression and progressing alcohol toxic
cardiomyopathy (constant atrial fibrillation, cardiac blockade, cardial
enlargement, and signs of circulatory insufficiency). Four subjects died as a
result of alcohol abuse.
PMID- 18038570
TI - [The condition of external respiratory mechanics in patients with type 1
diabetes].
AB - External respiratory mechanics was studied in 141 patients with type 1 diabetes
mellitus (DM1) and 36 healthy controls using computed analysis of flow-volume
loop and total body plethysmography. The DM1 patients were divided into 4 groups:
group 1 consisted of patients without clinical signs of microangiopathic
complications; groups 2 and 3 consisted of patients with initial and advanced
manifestations of late diabetic syndrome (LDS), respectively; group 4 consisted
of patients suffering from severe endocrinopathy with end-stage chronic renal
failure. The velocity and volume parameters in groups 1 and 2 did not differ
significantly from those in the controls. Significant reduction in the vital
capacity, forced expiratory volume in one second, and total lung capacity was
noted in patients with advanced LDS and uremia. Forced expiratory volume in one
second decreased in proportion to reduction in lung vital capacity, which did not
cause Tiffno index to leave the reference range. The authors came to the
conclusion that DMI causes restrictive ventilatory defect, associated with
advanced clinical manifestations of microangiopathic alterations.
PMID- 18038571
TI - [The effect of intravenous laser irradiation of blood on the system hemodynamics
of patients with chronic obstructive bronchitis exacerbation].
AB - The aim of the study was to evaluate the effects of intravenous laser irradiation
of blood (ILIB) on system hemodynamics in patients with chronic obstructive
bronchitis (COB) exacerbation. Ninety-seven patients with COB exacerbation were
divided into two comparable groups. The 47 patients of the control group received
conventional medication, while the 50 patients of the main group received the
same therapy plus ILIB, which consisted of 10 everyday 20-min procedures. Central
hemodynamic variables were measured prior to and after the treatment. The results
demonstrated higher effectiveness of COB treatment when ILIB was applied and that
ILIB itself had a positive effect on system circulation in COB exacerbation by
changing hyperkinetic hemodynamics into normokinetic one. The study shows that it
is appropriate to include ILIB into treatment of COB.
PMID- 18038572
TI - [The diagnostic value of the detection of hepatic fibrosis serum markers in
chronic viral hepatitis].
AB - The diagnostic significance of serum markers of fibrosis was investigated in 92
patients with chronic viral hepatitis (CVH) by studying the collagenolythic
activity of blood, proteasic inhibitor activity, collagen metabolism products
(oxyproline fraction), and fibronectin. At the same time, the patients underwent
puncture biopsy of the liver, which made it possible to determine the degree of
process activity and the stage of its chronization. As the degree of fibrosis
grew, the collagenase serum activity increased significantly, while the alpha1
proteinase inhibitor activity fell, the content of oxyproline (its fractions)
increased, and the fibronectin level decreased. Hence, the measurement of the
noted parameters allows for noninvasive diagnostics of CVH stages.
PMID- 18038573
TI - [Osteoarthrosis of the knee joints in climacteric women with excessive body
weight or obesity].
AB - The purpose of the study was to evaluate body weight (BW) effect on the frequency
and clinical manifestations of knee joint osteoarthrosis (KJOA) in climacteric
women with excessive BW or obesity. The subjects of this case control study were
divided into three groups: group 1 consisted of 283 climacteric women with normal
BW; group 2 consisted of 283 women with excessive BW; 283 obese women constituted
group 3. All the three groups were comparable by age and menopause duration. The
diagnosis of KJOA was established according to American Rheumatology Association
criteria. Joint pain intensity was assessed using 100-mm visual analog scale.
Lequesne algofunctional index was used to determine functional limitations. Lipid
and carbohydrate exchange parameters were studied as well. The frequency of KJOA
was significantly higher in group 2 (47.3%) and group 3 (56.1%) vs. group 1
(39.9%). Abdominal obesity was significantly more frequent in patients with KJOA
(32.2%). Obesity was associated with an increased risk of KJOA (relative risk =
1.91; 95% confidence interval = 1.37-2.67), but not other variants of
osteoarthrosis. Thus, in Russian population of climacteric women the frequency of
KJOA was significantly higher in those with excessive body weight or obesity vs.
women with normal body weight. Abdominal obesity was found to be an independent
risk factor of KJOA, but not other localizations of osteoarthrosis.
PMID- 18038574
TI - [The characterization of the intestinal microflora in patients with extrahospital
pneumonia].
AB - One hundred and sixty patients, including 130 patients with extrahospital
pneumonia (EHP), were examined. The control group consisted of 30 practically
healthy subjects. All the EHP patients (86 males and 44 females aged 18 to 70
years) underwent complex clinicolaboratory examination. The results of ECG and
chest radiography were taken into consideration in addition to complaints,
disease history, and objective parameters to characterize the degree of EHP and
the phase of the process. The qualitative and quantitative compositions of the
intestinal microflora were studied according to recommendations of USSR Public
Health Ministry (1987) using Russian nutrient media and reagents. The degree of
dysbacteriosis was determined according to the classification by I. B. Kuvayeva
et al. (1991), supported by other researchers. Some qualitative and quantitative
changes in the obligatory and facultative intestinal microflora were found in
42.3% of EHP patients. Intestinal dysbacteriosis was revealed in 8.3% of patients
with mild EHP, in 34.8% of patients with moderate EHP, 52.8% of patients with
severe EHP, 86.1% of patients with protracted EHP, and 64.5% of patients with
complicated EHP. Thus, EHP in most patients was accompanied by more or less
severe intestinal microflora disturbances, which frequently were of latent
character. The degree of dysbacteriosis tended to grow along with the severity of
the disease.
PMID- 18038575
TI - [The place of the biopsy and morphological study of hepatic tissue in children
and adults in the clinician's practice].
AB - The authors analyze their own (more than 2500 manipulations in children and
adults) and foreign experience in hepatic biopsy, and describe the most wide
spread techniques of puncture biopsy, paying special attention to complications
of the manipulation and ways of their prevention. Indications and contra
indications to biopsy in children and adults are defined; the role of
morphological studies in diagnostics of chronic hepatic diseases is discussed.
PMID- 18038576
TI - [Teaching nephrologists modern techniques of radiodiagnostics of renal diseases].
PMID- 18038577
TI - [Cirrhotic cardiomyopathy].
AB - Modern studies have shown that hepatic cirrhosis (HC) is accompanied by moderate
cardiac dysfunction. The character and degree of these changes do not depend on
the etiology of HC and manifest by an increased ventricular wall thickness and
diastolic dysfunction, which deteriorate with ascite and physical labor, as well
as basal hyperdynamic systolic dysfunction with pathologic response to physical
stress. The latter decreases physical tolerance, which contributes to the
development of chronic fatigue syndrome and lowered working ability in HC
patients. Cardiovascular changes get reversed in 6 to 12 months after hepatic
transplantation.
PMID- 18038578
TI - [Treatment of arterial hypertension: current conception].
PMID- 18038579
TI - [Changes of spiroergometric parameters in males under 30 years of age with a
hypertensive reaction of arterial pressure to graduated exercise].
AB - AIM. To study changes in blood pressure (BP) and spiroergometric parameters in 18
30-year-old males with normal BP at rest having different reactions of BP to
graduated exercise. MATERIAL AND METHODS: Forty two male examinees performed
treadmill-test according to R. Bruce protocol with measurements of BP, ECG
parameters and gas composition of exhaled air. RESULTS: The treadmill test has
shown that 20 (47.6%) males had a normotensive reaction of BP to exercise, 22
(52.4%)--a hypertensive reaction. The latter had higher systolic and/or diastolic
BP levels than those normal for performance of treadmill test. Significantly
higher were also parameters of pulmonary ventilation, oxygen pulse in combination
with large consumption of O2 and expiration of CO2. CONCLUSION: The presence of
significant differences by spiroergometric indices in males with normotensive and
hypertensive reactions of BP to exercise but normal BP at rest reflects metabolic
shifts at early stages of hypertension in the latter.
PMID- 18038580
TI - [Administration of cardioselective beta-adrenoblockers in patients with arterial
hypertension and/or ischemic heart disease associated with bronchoobstructive
syndrome].
AB - AIM: To assess efficacy and safety of selective beta-adrenoblockers (BAB)
metoprolol succinate and nebivolol in patients with arterial hypertension (AH)
and/or IHD associated with bronchoobstructive syndrome (BOS). MATERIAL AND
METHODS: Fifty patients suffering from AH and/or IHD associated with BOS in
chronic obstructive pulmonary disease (COPD) and/or bronchial asthma (BA) of any
severity in remission received BAB. Safety of BAB was evaluated by dynamics of
respiratory symptoms and bronchial patency. An antihypertensive effect of BAB was
assessed by the data of 24-h monitoring of BP, an antianginal one--by dynamics of
clinical symptoms, amount of nitrates taken and exercise tolerance (6-min walk).
The results of Holter ECG monitoring and an antiarrhythmic effect of BAB were
also studied. RESULTS; Metoprolol succinate and nebivolol did not deteriorate
bronchial patency (baseline FEV1--81.08 +/- 22.57%, at the end of the study-
84.58 +/- 23.72% and 71.12 +/- 19.95, 73.19 +/- 21.70, respectively) in COPD
and/or BA. Both drugs showed high antihypertensive efficacy as monotherapy and in
combined therapy. Anginal attacks severity and frequency reduced significantly.
The need in nitrosorbide fell noticeably, exercise tolerance increased
significantly. Metoprolol succinate and nebivolol have a good anti-arrythmic
effect. CONCLUSION: Metoprolol succinate and nebivolol are safe in patients with
bronchoobstructive syndrome and AH and/or IHD in the presence of cardiovascular
indications; these drugs can be used in patients with severe COPD and BA as well
as their exacerbations unrelated to administration of beta-adrenoblocker.
PMID- 18038582
TI - [Five-year results of implementation of the federal target program Prevention and
Treatment of Arterial Hypertension in Russian Federation (2002-2006)].
PMID- 18038581
TI - [Pharmacokinetics of enalapril and metoprolol in hypertensive patients with
hepatic pathology].
AB - AIM: To examine characteristics of pharmacokinetics and pharmacodynamics of
enalapril and metoprolol in hypertensive patients with gastrointestinal diseases
to make relevant corrections in the treatment. MATERIAL AND METHODS: The study
included 36 hypertensive patients with steatosis, hepatic cirrhosis and ulcer.
All the patients received metoprolol or enalapril. Concentrations of metoprolol
and enalaprilate (active enalapril metabolite) were determined with high
performace liquid chromatography. The findings gave grounds for calculation of
mean drug retention time (MRT) and area under curve "concentration-time" (AUC).
Efficacy of the drugs was estimated by the data of 24-h blood pressure
monitoring. RESULTS: Hypertensive patients with hepatic diseases given enalapril
exhibited lowering of maximal concentration (C(max)) of enalaprilate and
prolongation of time of its reaching (T(max)) compared to ulcer patients. MRT and
AUC were increased in hepatic cirrhosis patients treated with enalapril and
metoprolol. Metoprolol C(max) in this group of patients was higher than in the
controls. Blood pressure monitoring showed that enalapril therapy was more
effective in ulcer patients vs patients with liver diseases. Metoprolol treatment
of hypertensive patients with hepatic cirrhosis resulted in development of
bradycardia. CONCLUSION: In hypertensive patients with liver diseases on
enalapril therapy its metabolite production may appear insufficient for
therapeutic effect and higher dose may be needed. Metoprolol in the treatment of
hypertensive patients with hepatic cirrhosis should be used with caution because
of disturbance of its metabolism and possible cumulative effects.
PMID- 18038583
TI - [Prospective assessment of a training effect in correction of endothelial
dysfunction and atherosclerosis risk factors in students (results of examination
of Tomsk male students)].
AB - AIM: To assess a training effect correcting endothelial dysfunction (ED),
neurovegetative status and atherosclerosis risk factors (ARF) in male students.
MATERIAL AND METHODS: Ninety healthy students aged 18-25 years with ARF and ED
were followed up after bicycle exercise (BE) 3 times a week: 30 males did BE for
1 month, 30--for 2 months and 30--for 3 months. Blood lipids, exercise tolerance
(ET), parameters of cardiointervalography, dopplerangiography of the brachial
artery and rheovasography of the forearm at rest and in tests with reactive
hyperemia, hyperventilation were estimated immediately after, 1 and 3 months
after BE. RESULTS: Two-month BE forms adequate structural trace the effect of
which can be partially found 3 months later. Three-month BE tells on adaptation
as it produces proatherogenic shifts in blood lipids. After the two-month cycle
of BE positive effects regress in the following order: normalization of blood
lipids, improvement of vegetovascular reactivity, high exercise tolerance and
endothelium-related vasodilation. CONCLUSION: Two-month exercise is effective and
safe, forms adaptive reserve of the body, structural effect of which partially
persists for 3 months.
PMID- 18038584
TI - [Phenotypical features of a heterozygous form of familial hypercholesterolemia].
AB - AIM: To conduct a quantitative and qualitative analysis of phenotypical
manifestations in patients with a heterozygous form of familial
hypercholesterolemia (FHC) and to reveal factors involved in their development.
MATERIAL AND METHODS: A total of 247 patients with a clinical diagnosis of
heterozygous FHC participated in the trial. Clinical manifestations of the
disease in men and women were analysed and compared. Blood lipids were compared
to those in the controls. A correlation analysis was used to reveal correlations
between symptoms of the disease and lipid levels in the blood. RESULTS: Tendon
xanthomas were most frequent (79%) clinical sign with location primarily in
Achilles' tendon. Incidence of basic clinical manifestations increased with age
and significantly correlated with LDLP cholesterol. Two clinical signs were seen
in 1/3 of the patients, three--in 13% (sex differences were insignificant). Mean
levels of total cholesterol and LDLP serum cholesterol in heterozygous patients
were 1.9 and 2.5 times higher than in the controls. Total cholesterol was
significantly higher in women. A mean level of HDLP cholesterol was significantly
lower while triglycerides were higher than in the control group. The disease
symptoms manifested in men 5 years earlier than in women, FHC was diagnosed in
men 7.5 years earlier. CONCLUSION: Patients with heterozygous FHC are
characterized by higher levels of LDLP cholesterol, lower level of HDLP
cholesterol and higher triglycerides in the serum than in healthy controls. Sex
related differences by severity and prevalence of basic symptoms in heterozygous
FHC patients were not found. The time of clinical symptoms appearance and
diagnosis evidences for more rapid progression of the disease in men.
PMID- 18038585
TI - [New facts about pathogenesis of atrial fibrillation: correlation between changes
in bioelectric brain activity and recurrence of atrial fibrillation paroxysms].
AB - AIM: Determination of neurophysiological features of the disease course in
patients with paroxysmal atrial fibrillation (AF); pathogenetic validation of use
and assessment of therapeutic efficacy of clonazepam (an atypical agonist of
benzodiazepine receptors) in combined antiarrhythmic therapy. MATERIAL AND
METHODS: The study group consisted of 31 patients with paroxysmal AF free of
severe organic changes of the myocardium with twice a week paroxysms, on the
average, treated ineffectively with beta-adrenoblockers, amiodaron, sotalol,
etacisine, allapinin or combination of the above drugs. A comparative group
consisted of 10 patients with perpetual arrhythmia. Fifteen healthy subjects
entered the control group. Electroencephalograms were made on the unit Brain
Surfing (Russia). Compression-spectral analysis was conducted with utilization of
Fourier's algorithm in different periods of the disease for calculation of the
absolute (mcV2/Hz) spectral power of the teta- (4.0-7 Hz), alpha (8-13 Hz) and
beta-rhythm (14-18 Hz). Clonazepam was given in a dose 1.5 mg/day in addition to
insufficiently effective anti-arrhythmic therapy. Holter ECG monitoring was
carried out initially and in therapy with clonazepam. RESULTS: The spectral power
of alpha-, beta- and teta-rhythm of patients with paroxysmal AF exhibits
significant cyclic fluctuations depending on the disease course period. In attack
free period AF patients differ from healthy subjects by a significant fall of
spectral power of beta-rhythm indicating functional deficiency of the reticular
formation in this disease. 0-24 hours before AF paroxysm spectral power of all
the rhythms rose greatly reflecting marked functional disintegration of
nonspecific brain systems realizing psychovegetative regulation. At AF paroxysm
spectral power of alpha- and beta-rhythm significantly decreased while that of
teta-rhythm grew (activation of the lymbic complex). 0-24 h after paroxysm
spectral power of alpha- and beta-rhythm continued to fall, of teta-rhythm-
sharply fell. Spectral EEG characteristics in this period maximally approached
those of the control group. Clonazepam treatment decreased the paroxysms two
times and more in 58.1% patients. Holter ECG showed associated reduction in the
number of supraventricular extrasystoles by 81.9%. The compression-spectral ECG
analysis revealed a 12% enhancement of beta-rhythm spectral power showing
lessening of functional disintegration of nonspecific brain systems. CONCLUSION:
The course of paroxysmal AF is characterized by functional disintegration of
nonspecific brain systems (thalamo-cortical, lymbic and mesencephalic reticular
formation) which is maximally evident before AF paroxysm and attenuates after it.
Cyclic changes in functional activity are a neurogenic factor realizing readiness
of the atria to fibrillation. An atypical agonist of benzodiazepine receptors
clonazepam effectively influences neurogenic mechanisms provoking AF paroxysms.
PMID- 18038586
TI - [Calcified aortal stenosis and osteoporosis: bone metabolism of systemic calcium
metabolism in the elderly].
AB - AIM: To examine bone tissue, systemic calcium metabolism and bone remodeling in
patients with calcified aortal stenosis of degenerative origin (DAS). MATERIAL
AND METHODS: The examination of 310 patients (mean age 73.2 years) was made with
application of questioning, echocardiography, double energetic x-ray
absorptionmetry, measurements of C-telopeptides, osteocalcin, vitamin D,
parathyroid hormone with solid phase enzyme immunoassay. RESULTS: Bone tissue
mineral density (BTMD) was abnormal in 71% of examinees (osteopenia--40%,
osteoporosis--31%). Vitamin D concentration under 50 nmol/l was in 76.3%,
parathyroid hormone elevated above 100 pg/ml in 15.8% patients. CONCLUSION: The
severity of lumbar spine affection depended on severity of calcinosis of aortic
valve, was associated with lowering of osteosynthetic activity and was not
associated with the presence of osteoporosis risk factors, constitutional
parameters, calcium metabolism changes. This suggests the existance of a special
form of BTMD disorder in elderly patients with aortal stenosis.
PMID- 18038587
TI - [Characteristics of cardiomyopathy in recessive X-linked myodystrophy with a
rapid and slow course].
AB - AIM: To specify cardiomyopathy development in two types of myodystrophy--with
complete and partial absence of dystrophin--for detection of the role of genetic
and environmental impacts. MATERIAL AND METHODS: Clinical, genetic and
electrophysiological examinations, test for creatinphosphokinase (CPK) were made
in 113 patients with recessive X-linked Duchenne myodystrophy (DMD) aged 7 to 20
years and with Bekker's myodystrophy (BMD) aged 18 to 60 years. The control group
consisted of 112 males matched by age and antropometric parameters. RESULTS: The
patients were diagnosed to have left ventricular (LV) diastolic dysfunction of
pseudonormal or restrictive type (in BMD patients dystrophy was less severe)
without changes in LV geometry. There was dissociation between the lesion of the
skeletal muscles and myocardial dystrophy showing a special role of dystrophin in
the myocardium. Echocardiographic examination revealed early signs of heart
trouble. CONCLUSION: Development of cardiomyopathy is characterized by
development of diastolic dysfunction, then addition of excentric hypertrophy,
later systolic dysfunction arises and growing dilation. Partial absence of
dystrophin in BMD patients explains less severe structural and functional cardiac
affection. The main marker of the course of myodystrophy is CPK. This parameter
helps to evaluate the progress of the pathological processes in the skeletal
muscles and myocardium. Electrocardiographic examination of these patients must
be supplemented with echocardiography.
PMID- 18038588
TI - [Detection of structural changes of large arteries by characteristics of
peripheral pulse wave: comparison with high resolution ultrasonic investigation].
AB - AIM: To compare high-resolution ultrasound of major arteries and the method of
vascular stiffness evaluation by digital volume pulse photoplethysmography after
sublingual nitroglycerin in diagnosis of structural vascular changes. MATERIAL
AND METHODS: The comparison of the two methods was made in 110 volunteers (mean
age 31.9 +/- 11.5 years). The study protocol included measurement of blood
pressure (BP), blood lipids and glucose, evaluation of IMT of the carotid
arteries by ultrasonography, baseline stiffness index (SIbl) and after sublingual
nitroglycerin (SIng) by photoplethysmography. Reproducibility of
photoplethysmography was evaluated in 20 volunteers (mean age 20.3 +/- 1.4 years)
with repeated measurement after 1 week. The results were tested in 40 volunteers
of different age groups. RESULTS: There were significant correlations of IMT,
SIbl and SIng with risk factors and close interrelations of these parameters.
SIng better explained variability of IMT compared to SIbl (r = 0.79, R2 = 0.62, p
< 0.001 versus r = 0.67, R2 = 0.45, p < 0.001, respectively). Relations of IMT
with risk factors were lost after correction for SIng. Reproducibility of SIng
was higher than SIbl (+/- 5.1% versus +/- 10.9%, respectively) and than those for
IMT found in the literature. Mean IMT values for random sample evaluated by
ultrasonography and calculated by estimated equation of linear regression for
SIng and IMT did not differ significantly (0.576 +/- 0.087 mm versus 0.570 +/-
0.074 mm, p = 0.44; r = 0.71, p < 0.001, mean difference 0.007 +/- 0.051 mm).
CONCLUSION: The method of vascular stiffness measurement is comparable with
ultrasonography in terms of vessel structure evaluation and possesses higher
reproducibility. The test with nitroglycerin substantially increases its
diagnostic potential and reproducibility.
PMID- 18038589
TI - [Clinical picture and treatment of bronchial asthma comorbid with cardiovascular
diseases].
AB - AIM: To evaluate prevalence of cardiovascular diseases (CVD) in bronchial asthma
(BA) patients and effects of CVD on BA course. MATERIAL AND METHODS: Register
data for 2005 have been analysed for 5104 BA outpatients living in Krasnoyarsk
city (pulmonary ventilation, ECG, echocardiography, duration of BA, number of
exacerbations for the last 12 months, number of hospitalizations, disability, BA
complications, BA and CVD treatment). RESULTS: Combination of BA with CVD was
observed in 88.3% patients. Severe and moderate BA was in 55 and 44% patients,
respectively. 64% BA patients were invalids. CVD were diagnosed more often in
patients with detected BA. CVD were treated without allowances for BA. The latter
was treated without consideration of its severity and GYNA recommendations.
CONCLUSION. To control BA, it is necessary to check up pulmonary function
regularly, to diagnose comorbid cardiovascular diseases early, prescrive adequate
treatment and teach patients to comply with recommendations.
PMID- 18038590
TI - [Polymorphic variants of a surfactant protein C gene in patients with chronic
obstructive pulmonary disease].
AB - AIM: To investigate effects of polymorphic variants of surfactant protein C gene
(SFTPC) on the course of the disease in patients with chronic obstructive
pulmonary disease (COPD). MATERIAL AND METHODS: Sixty COPD patients were examined
with COPD of stage 0-IV. The following parameters were studied: smoking index
(SI), bronchial patency, lung pathology by the findings of high resolution
computed tomography, polymorphisms of SFTPC gene by the data of polymerase chain
reaction (PCR) followed by mass-spectrometric detection. A trend was found to
correlation between a polymorphic variant of A138C and COPD severity (p = 0.056).
A CC genotype occurred more frequently in patients with COPD stages III and IV. A
significant correlation exists between a polymorphic variant of A186G and the
disease course severity (p = 0.23). Genotypes AA or AG are more typical for
patients with COPD stage 0, I and II; GG genotype--stage III and IV. Genotype CC
SFPTC A138C and genotype GG SFTPC A186G are significantly associated with
pulmonary emphysema (p = 0.004 and p = 0.01, respectively). CONCLUSION:
Polymorphic variants of SFTPC affect COPD course.
PMID- 18038591
TI - [Myxoma of the left atrium: a case report].
PMID- 18038592
TI - [Prevention of venous thrombosis and pulmonary artery thromboembolism in non
surgical patients: specification of indications].
PMID- 18038593
TI - [Clinical implications of coronary stents coated with drugs].
PMID- 18038594
TI - [HCV-infection and cardiovascular diseases].
PMID- 18038595
TI - [Cardiac resynchronizing therapy in the treatment of chronic cardiac failure].
PMID- 18038596
TI - [Neuroleukemia in adult patients with acute lymphoblastic leukemia: problems and
perspectives. Part 1. Specific features of diagnosis and neurorecurrence risk
factors].
PMID- 18038597
TI - [Evidence-based pharmacotherapy and pulmonology: ways of quality achievement].
PMID- 18038598
TI - [Familial sarcoidosis].
AB - The paper analyzes cases of familial sarcoidosis that have occurred in the Ryazan
regional tuberculosis dispensary in the past 10 years. Certain patterns in the
course of the disease have been revealed in blood relatives.
PMID- 18038599
TI - [Medical students as an independent tuberculosis-risk group].
AB - Of fundamental importance is the detection of tuberculosis among its higher risk
population groups. This applies in full measure to medical students. The rates of
Mycobacterium tuberculosis infection, its risk, tuberculin sensitivity, and
incidence were studied in 2499 students from different higher educational
establishments. During the study years, there was a significant increase in these
parameters in medical students during study years as compared to humanitarian
students, which allows medical students to be considered as an independent social
tuberculosis-risk group.
PMID- 18038600
TI - [Use of valvular bronchoblocation in the complex treatment of patients with
disseminated pulmonary tuberculosis complicated by pulmonary hemorrhage].
AB - The results of treatment are analyzed in 102 patients with disseminated pulmonary
tuberculosis complicated by pulmonary hemorrhage. The authors have developed and
clinically tested a procedure for arresting pulmonary hemorrhage by creating
therapeutic hypoventilation and atelectasis of a lung portion, in which there is
a source of bleeding, by using valvular bronchoblocation of the draining
bronchus. The application of valvular bronchoblocation to patients with pulmonary
bleeding enhances the efficiency of complex treatment and reduces mortality by
4.9 times and a need for emergency and urgent surgical interventions by 7.4
times.
PMID- 18038602
TI - [Hemodynamic features in persons with posttuberculosis pulmonary changes].
AB - The specific intravascular and central hemodynamic features were studied in 42
patients with pronounced posttuberculous changes in the lung in comparison to a
group of healthy individuals without a history of tuberculosis. Along with
clinical, functional, and electrocardiographic studies, the authors used
echocardiography. End ventricular and atrial systolic and diastolic areas, end
left ventricular systolic and diastolic volume, ejection fraction, stroke and
cardiac indices were determined. Dilation of the right ventricle and right atrium
and their increased specific contractility were ascertained in patients with
pronounced posttuberculous changes. At the same time hypertrophy of the right
ventricular wall was rarely observed. This gives grounds to regard dilatation of
the right ventricle as an earlier sign of evolving chronic cor pulmonale that its
hypertrophy. There were no changes in the left ventricle, left atrium, ejection
fraction, stroke and cardiac indices, which was explained by the absence of
intoxication and toxic infective action in the persons clinically recovered from
tuberculosis.
PMID- 18038601
TI - [Nitric oxide metabolism in the inclusion of N-acetylcysteine into the complex
therapy of patients with community-acquired pneumonia].
AB - Thirty-five patients with community-acquired pneumonia were examined. Studies of
red blood cells and expired air condensate revealed significant nitric oxide
metabolic disturbances in them. In a group of 17 patients, the use of N
acetylcysteine in the complex therapy resulted in the normalization of most
parameters that characterized nitric oxide metabolism (nitrates, nitrites,
peroxynitrite, NADP-H-diaphorase, and nitrate reductase activity). The positive
changes were less significant in the control group receiving mucaltin instead of
N-acetylcysteine. The established regularities in the balance change of nitric
oxide metabolism in blood and expired air condensate at the height of the disease
and positive changes during therapy including N-acetylcysteine suggest that
nitric oxide plays an important role in the pathogenesis of community-acquired
pneumonia.
PMID- 18038603
TI - [Immunological correction in progressive pulmonary tuberculosis].
AB - The authors used their immunological correction method to treat 154 patients with
progressive pulmonary tuberculosis. Of them, 38 patients received standard
antituberculosis therapy (Group 1), 39 had additionally paravasal lymphotrophic
immunological correction (Group 2), and 38 took antituberculous drugs in
accordance with individual regimens (Group 3), and 39 patients were treated by
individual regimens during immunological correction (Group 4). After termination
of the basic course of chemotherapy, clinical cure was achieved in 61.1, 76.3,
72.2, and 94.7%, respectively (p < 0.05). During the basic course, mortality was
11.1, 5.3, and 5.5% in the first three groups. By taking into account the
immunological status of patients with caseous pneumonia, disseminated, and
multicavernous pulmonary tuberculous lesion, immunological correction is
indicated early in the basic course of therapy.
PMID- 18038604
TI - [The specific features of the serum pattern in patients with pulmonary
tuberculosis].
AB - The specific features of the serum pattern were studied in 340 tuberculosis
patients with different forms and phases of a specific process, by using wedge
dehydration. In patients with tuberculosis, the predominant serum morphological
type was established to be an ordered one with the radial pattern being preserved
(50.3%) (r = 0.95); out of the pathological masses, toxic plaques (77.65%) and
protein zone folds (66.2%) were prevalent (r = 0.98). In patients with
circumscribe pulmonary tuberculosis and the productive course of the process,
there was a preponderance of an ordered serum morphological type and indistinct
markers of intoxication and lung tissue sclerosis. Disseminated tuberculosis with
decay and complications was marked by a depressive serum morphological type
[37.6% (r = 0.72)], severe metabolic disturbances, and markers of intoxication
and lung tissue sclerosis.
PMID- 18038605
TI - [Organization of early detection of nephrotuberculosis in risk groups].
AB - The present capacities of early detection of nephrotuberculosis in risk groups
are considered in detailed. For this, a total of 1150 patients, including 650
from general health care facilities and 500 from tuberculosis dispensaries, were
examined. Comprehensive examination patients from risk groups revealed
nephrotuberculosis in 135 (11.7%) patients. The most patients with
nephrotuberculosis were identified in the tuberculosis dispensaries (60.7%)
whereas these amounted to 39.3% in the general health care facilities. The data
of medical history, renal ultrasonography, and urinalysis for Mycobacterium
tuberculosis play an important role in the early detection of nephrotuberculosis.
The leading techniques in detecting patients with nephrotuberculosis in the risk
groups are currently X-ray and ultrasonographic studies that identify 60.5 and
55.7% patients, respectively. The developed clinical, laboratory, and
ultrasonographic studies in the tuberculosis dispensaries and general health care
facilities considerably enhance the diagnostic capacities of detecting
nephrotuberculosis.
PMID- 18038606
TI - [Current methods for correcting the volume of hemithorax after pneumonectomy for
tuberculosis].
PMID- 18038607
TI - [Tanatogenesis in patients with caseous pneumonia in relation to sex].
AB - The purpose of the present investigation was to study the composition of patients
with caseous pneumonia (CP), its causes, and fetal outcomes in relation to sex. A
hundred and ninety-eight patients, including 139 males and 59 females, were
examined. There was a high proportion of socially dysadapted persons particularly
among males. The females were most needy. For this reason, late tuberculosis with
complications as CP (83.3%) or CP as an independent nosological entity was
originally detectable in half of the cases. Low survival rates and small lengths
of hospital stay were observed. These patients frequently developed specific
lesions to other organs (lymph nodes (46%, hematogenic dissemination in every
four, etc.) and nonspecific complications, such as edema of the brain or lung,
cor pulmonale, etc. In addition to CP, the direct cause of death is other fatal
complications in half of the patients. The females proved to be more susceptible.
PMID- 18038608
TI - [Organization of antituberculous care at the municipal level. Section 1.
Introduction into the course].
PMID- 18038609
TI - [Pain versus activity and fatigue in adolescents hospitalized because of cancer
and rheumatoid diseases].
AB - INTRODUCTION: Chronic disease in adolescence is followed by many negative effects
of somatic and psychosocial nature. These effects can be observed especially in
oncological and rheumatologic diseases. This is due not only to the character of
the disease, its chronic course, but also aggressive treatment. The objective of
this work was to evaluate relationship between pain experience and sleep, fatigue
and physical, social and intellectual functioning of teenage patients. MATERIAL
AND METHODS: 124 adolescents, 14 to 20 years old, hospitalized because of cancer
and juvenile rheumatoid arthritis participated in the study. Level of experienced
pain was measured with VAS--Visual Analog Scale and NRS--Numeric Rating Scale.
Quality of sleep was assessed with Polish version of Melzacks Questionnaire.
Fatigue and activity were assessed with a questionnaire of our own construction.
RESULTS: Pain was a significant symptom accompanying rheumatologic and
oncological disease, although the sources of pain experience were different.
Significant percentage of participants suffered from sleep disruption and
activity impairment. An important relationship between increase of pain intensity
and sleep disruption in oncological patients was found. Significant relationships
between pain intensity vs. fatigue and also pain intensity vs. functioning were
identified. CONCLUSIONS: Pain, fatigue and sleep disruption account for important
factors in rheumatologic and oncological diseases. They also cause decrease in
physical, social and mental functioning of teenage patients. Results show that
there is a significant relationship between outcomes of disease, its treatment
and impact on functioning and developmental course of adolescents. Care delivered
to those patients must be integrated and involve multidisciplinary factors.
PMID- 18038611
TI - [Beneficial effects of statins and angiotensin converting enzyme inhibitors on
aggregability of red blood cells in hypertensive patients].
AB - This study was designed to investigate changes in aggregability of red blood
cells of patients with essential hypertension and concomitant
hypercholesterolemia (total cholesterol level > 5.0 mmol/l) following the use of
angiotensin converting enzyme inhibitors (ACE-I) along with or without inhibitor
of reductase HMG-CoA (statin). Under standard pharmacotherapy (beta-receptor
anatgonist, calcium chanel antagonist, and diuretic) aggregability of RBC in
hypertensive patients was significantly increased in spite of the treatment.
However, in patients receiving additionally ACE-I spontaneous aggregation of RBC
was attenuated. We found that antihypertensive therapy consisting of ACE-I along
with statin improved erythrocyte aggregation nearly to the level of normotensive
and normocholesterolemic patients. Interestingly, this improvement of blood
rheology was observed prior to statin-induced lowering of total cholesterol.
PMID- 18038610
TI - [Cytoimmunological changes in the bronchoalveolar lavage in asbestos exposure
patients].
AB - Bronchoalveolar lavage (BAL) fluid in the group of 19 patients with the confirmed
exposure to asbestos was investigated. The cytological and immunocytochemical
methods as well as computer morphometry were used in this study. In the groups
studied the differences in cell numbers and BAL cell activity were found,
especially in the monocytes and macrophages group of cells.
PMID- 18038612
TI - [Pathomechanisms of functional gastroparesis].
AB - Functional diseases of the stomach include visceral hypersensitivity, gastric
dysrhythmias, dysfunction of gastric fundus and acommodation, functional diseases
of antrum and gastroparesis. A common symptom of this diseases is dyspepsia. A
background of dyspepsia state functional and organic abnormalities of upper
gastrointestinal tract. The most serious abnormality is gastroparesis. In this
review we provide an overview of functional gastroparesis focusing on
postoperative and hormonal related gastric dysfunction.
PMID- 18038613
TI - Antral gastric motility impairement and autonomic nervous system dysfunction in
patients with pancreatic cancer (preliminary results).
AB - The aim of the study was to evaluate the influence of pancreatic carcinoma on
gastric motility and autonomic system activity in patients before surgery.
Patients with histologically confirmed pancreatic cancer were studied. Our
results show that gastric dysrrhythmias are continuously present in patients with
pancreatic cancer both with and without gastric emptying delay. Antral
distribution of meal suggests the presence of impaired proximal gastric response
to meal due to diminished autonomic nervous system function and impaired
myoelectric gastric activity in patients with pancreatic cancer.
PMID- 18038614
TI - [Menopausal changes in circadian heart rate variability].
AB - OBJECTIVES: Presence of cardiovascular symptoms in perimenopausal period is
suggested to be associated with the decrease of cardiac autonomic nervous system
(cANS) regulatory function. AIM: Aim of the study was to evaluate whether
menopause affects circadian heart rate variability (HRV). METHOD: 42 healthy
women were divided into two equal groups: premenopausal (age 43 +/- 7 years) and
postmenopausal (age 53 +/- 3 years, 3.25 +/- 1.6 years after the last
menstruation). Menopausal status was verified by plasma estradiol and FSH
activities. In both groups the outpatient 24-hrs ECG recordings were carried out.
The HRV parameters (SDNN, pNN50, r-MSSD, nLF, nHF) were analysed within the day
time (6 a.m. - 10 p.m.) and the night-time (10 p.m. - 6 a.m.) ECG. RESULTS: Day
time recordings showed higher SDNN (128 +/- 23 vs. 104 +/- 36, p = 0.01), pNN50
(18 +/- 8.3 vs. 7 +/- 4.4 p < 0.001), nLF (74 +/- 11 vs. 33 +/- 5 p < 0.01) in
the premenopausal patients and higher nHF in the postmenopausal women (39 +/- 3.4
vs. 26 +/- 11, p < 0.01). In the night-time recordings we observed higher pNN50
(24 +/- 20 vs. 13 +/- 10, p = 0.01) and nLF (66.5 +/- 17 vs. 37 +/- 5.8, p <
0.01) in the premenopausal patients with nHF higher in the postmenopausal group
(44 +/- 5.7 vs. 31.4 +/- 17, p < 0.01). In the premenopausal women higher r-MSSD
and nHF values were observed at the night time (respectively p = 0.03 and p =
0.04). Simultaneously higher night-time values of pNN50 (p = = 0.03) and nHF (p =
0.001) were noted in the postmenopausal group. CONCLUSIONS: Lower time HRV
parameters suggest decreased parasympathetic regulation of the heart. Lower nLF
indicates impairment of the arterial baroreceptor reflexes, whereas the increase
of nHF requests further studies.
PMID- 18038615
TI - [The autonomic nervous system function in benign prostatic hyperplasia].
AB - BPH is responsible for lower urinary tract symptoms (LUTS). Pathogenesis of
prostatic overgrowth is multifactorial. It has been suggested that the autonomic
nervous system (ANS) may also be involved. The aim of the study was to evaluate
the role of the ANS activity in pathogenesis of BPH. The study was done in 13 men
with LUTS secondary to BPH. ANS activity was assessed based on heart rate
variability (HRV) measurement in resting conditions and after simulation with
deep breathing (DB test) and the tilt test. LUTS (with IPSS scale), quality of
life, waist-hip ratio and objective measures of benign prostatic hyperplasia
(BPH), were evaluated. Correlation for LF/HF ratio vs. BPH variables was
calculated. Results of the study showed sympathetic overactivity and impaired
parasympathetic response to stimulation in both DB and tilt test.
PMID- 18038616
TI - Aspirin augments the concentration of endogenous hydrogen sulfide in mouse brain
and liver.
AB - Intraperitoneal injections of lysine acetylsalicylate (L-ASA, aspirin) in a dose
of 10 mg during 5 consecutive days to BALB/c and B10.PL mice increased the
concentration of endogenous hydrogen sulfide in their livers. The rise of
hydrogen sulfur levels was shown also in brains of BALB/c females and B10.PL
males, however in BALB/c male brains there is no statistically significant
difference. The mechanism of aspirin action on H2S concentration is discussed.
PMID- 18038617
TI - [Epizootiology of baculoviruses].
AB - Analysis of published data demonstrated that the process of baculovirus epizootic
depends on a set of various factors. The efficiency of the host-parasite
interaction depends on the virus biological activity, multiplicity of infection,
biological properties and physiological state of the insect, properties of the
food plants, and environmental factors. Based on the data obtained by highly
sensitive methods of molecular biology, this review summarizes the discussion of
the source of viral infection in baculovirus epizootics. These data shed light on
the mechanisms underlying the development of epizootic, a relatively
underexplored natural phenomenon.
PMID- 18038618
TI - [Bacillus cereus is a microbial decomposer of 2,4-dichlorophenol].
AB - A microorganism degrading 2,4-dichlorophenol isolated from an aeration pond of
the Baikal Pulp and Paper Mill was identified as Bacillus cereus BIP507 based on
morphological and physiological characters as well as 16s rDNA sequencing. This
microorganism proved able to degrade high 2,4-dichlorophenol concentrations (up
to 560 microM).
PMID- 18038619
TI - [Effect of phytohormones on plant regeneration in callus culture of Iris ensata
Thunb].
AB - The effect of phytohormones on plant regeneration in callus culture of Iris
ensata Thunb. was studied. 2,4-Dichlorophenoxyacetic acid (2,4-D) proved to be
the most convenient auxin for the induction and subculturing of morphogenic
callus. Biogenic calluses were induced from isolated embryos at the wax-ripeness
stage in MS medium supplemented with 2,4-D (1.0-2.0 mg/l) and kinetin (0.2-0.5
mg/l). Changes in the medium hormonal composition favor the development of
adventitious structures. The setting of vegetative bugs took place in the
presence of 6-benzylaminopurine, while the development of shoots and root
primordia was observed after 2,4-D replacement with indoleacetic acid (2.0 mg/l).
The root initiation in regenerating plants required a hormone-free medium. The
properties of seedling growth from native regenerating I. ensata were studied.
Analysis of the shoot structure demonstrated that in vitro cultured plants are at
the juvenile stage.
PMID- 18038620
TI - [Salicylic acid induces resistance to Septoria nodorum Berk. in wheat].
AB - The effect of salicylic acid (SA) on oxalate oxidase and peroxidase activities
and hydrogen peroxide (H2O2) production in leaf cells has been studied in wheat
of the susceptible cultivar Zhnitsa infected by the fungus Septoria nodorum, a
pathogen of wheat leaf blotch. The results show that fungal hyphae spread into
interstices between mesophyll cells and that infected tissues contain H2O2.
Treatment with SA results in enhanced H2O2 production in mesophyll cells, which
is due to activation of oxalate oxidase and peroxidase in the cell wall. It is
proposed that the modulating effect of SA on oxide reductase activities is
involved in the induction of protective response to fungal infection in wheat
plants.
PMID- 18038621
TI - [Patterns of individual growth of gray garden slug Deroceras reticulatum].
AB - Individual growth of gray garden slug Deroceras reticulatum was studied under
laboratory conditions in the period from collection to death. The studied
population demonstrated different patterns of growth. Three groups of slugs were
recognized: (1) slugs that reached the maximum weight after 4 months of raring,
after which their weight rapidly decreased (the life span in culture was 6-7
months); (2) slugs that have not reached the maximum weight until death (the life
span was 6-7 months); (3) slugs that reached the maximum weight after 7-8 months,
after which their weight oscillated with a trend to decrease (the life span was
about 10 months). The obtained data were approximated by the equation of finite
growth.
PMID- 18038622
TI - [Influence of precocene II on the sensory system of antennae and mouthparts in
larvae of the fruit tree tortricid Archips podana Scop. (Lepidoptera:
Tortricidae)].
AB - The influence of precocene II, an antijuvenile agent, on morphological characters
of the chemoreceptor apparatus of antennae and mouthparts was studied in fifth
instar A. podana larvae. Treatment with different doses of precocene was
performed at the egg stage. It proved to cause changes in the form and number of
basiconic sensilla on the maxillary palps and galea and in the size of basiconic
sensilla on the second and third antennal segments. The results are discussed
with respect to the influence of precocenes on the insect sensory system and the
role of the juvenile hormone in regulation of its development.
PMID- 18038623
TI - [Study of protein metabolism of herring gulls (Larus argentatus Pontop.) infected
by trematode Himasthla larina (Trematoda: Echinostomatidae)].
AB - The values and dynamics of some indices of protein metabolism were studied in
herring gulls Larus argentatus infected with trematode Himasthla larina in
natural populations and in experiment. These indices were compared in infected
and uninfected birds. Trematode infection considerably affected host protein
metabolism irrespective of the age; however, the changes were more pronounced in
nestlings. Increased concentration of gamma-globulins, modified albumin, and
circulating immune complexes was observed in plasma of infected herring gulls.
The experiments demonstrated the most significant changes in protein metabolism
of herring gulls 8-11 days after infection with trematode H. larina.
PMID- 18038624
TI - [Effect of intrahippocampal kainic acid on the behavior of rats and functional
state of mitochondria in brain structures].
AB - Cognitive processes and functional state of mitochondria in brain structures of
Wistar rats were studied after intrahippocampal injection of kainic acid, an
agonist of glutamate receptors. A single administration of 0.25 microg kainic
acid into the dorsal part of the left and right hippocampi affected task
retrieval and decreased inhibition of unrewarded responses. The injection of 0.75
microg kainic acid induced recurrent seizures and completely disorganized animal
behavior. The functional state of mitochondria, as an important marker of
excitotoxicity, was studied after intrahippocampal injections of kainic acid in
the same doses. Kainic acid at 0.25 microg proved to activate the oxidative
phosphorylation in hippocampal mitochondria. A higher (epileptogenic) dose of
kainic acid inhibited mitochondrial respiration in the frontal cortex, but had an
insignificant effect on mitochondrial respiration in the hippocampus. The
disturbed interaction between the hippocampal system and frontal cortex after
kainic acid administration can be the main factor of the revealed cognitive
dysfunctions.
PMID- 18038625
TI - [Comparative analysis of analgesic activities of dermorphin, [DPro6]-dermorphin,
and their C-terminal tripeptides].
AB - Analgesic activities of dermorphin (DM), [DPro6]-DM, and their C-terminal
tripeptides were comparatively studied. Analgesic activity was evaluated in tail
flick, hot plate, tail pinch, formalin, and acetic acid writhing tests describing
different levels of organization of pain sensitivity. Intraperitoneal
administration of the peptides decreased the pain threshold in all these tests.
The C-terminal tripeptides DM(5-7) and [DPro6]-DM(5-7) demonstrated analgesics
activity comparable or sometimes higher than that of the full-length molecules.
The effect of DM, [DPro6]-DM, and C-terminals fragments DM(5-7) and [DPro6]-DM(5
7) decreased after co-administration with naloxone, which points to the opioid
nature of analgesic activity of the peptides.
PMID- 18038626
TI - [Effect of antioxidant beta-(4-hydroxy-3,5-ditertbutylphenyl) propionic acid
(phenosan) on the development of malignant neoplasms].
AB - The effect of different doses of synthetic antioxidant beta-(4-hydroxy-3,5
ditertbutylphenyl)propionic acid (phenosan) on the development of spontaneous
leukemia in AKR mice was studied. The drug efficiency was determined from the
survival curves, animal life spans, and the incidence of leukemia. Phenosan
exhibited a pronounced antitumor activity at therapeutic (10(-4) mol/kg, 4
administrations) and ultra-low (10(-14) mol/kg, 4 administrations) doses. The
dose of 10(-4) mol/kg proved most efficient to increase the life span of the
shortlived subpopulation, while the dose of 10(-14) mol/kg increased the life
span of the long-lived subpopulation. The ultra-low dose of the drug seems
promising as a prophylactic agent.
PMID- 18038627
TI - [Soil microarthropods and macrofauna in monsoon tropical forests of Cat Tien and
Bi Dup-Nui Ba National Parks, Southern Vietnam].
AB - The abundance, biomass, vertical distribution, and taxonomic composition of soil
invertebrates (springtails, macrofauna, and termites) were studied in forest
formations differing in edaphic and climatic conditions: lowland forests
dominated by Lagerstroeomia spp. or Dipterocarpus spp. in the Cat Tien National
Park and in a mountain pine (Pinus kesiya) forest on the Da Lat Plateau, southern
Vietnam. In the lowland forests, springtails had a relatively low density (10000
12000 ind./m2), but their diversity was high (41-43 species in each forest). The
density of large soil invertebrates (without ants and termites) reached 500-700
ind./m2 at a biomass of approximately 30 g/m2 (with earthworms accounting for up
to 230 ind./m2 and 19-28 g/m2). Among termites, species of the genera Macrotermes
and Odontotermes were dominant. Their total biomass in some areas exceeded 15-20
g/m2. In the mountain pine forest, the total biomass of soil macrofauna was
approximately 11 g/m2, the abundance and diversity of springtails were low (7500
ind./m2, 28 species), and wood-destroying species of the genera Schedorhinotermes
sp. and Coptotermes sp. dominated among termites.
PMID- 18038628
TI - [Species structure and dynamics of bat communities (Chiroptera: Vespertilionidae)
hibernating in artificial caves of Samara Luka].
AB - Long-term data on the composition and absolute and relative abundance of eight
bat species hibernating in artificial caves of Samara Luka are considered. About
13,000 hibernating individuals are annually accounted there. The relative
abundance of the species decreased in the following order: Myotis brandtii (35%),
Plecotus auritus (20%), M. daubentonii (13%), M. mystacinus (13%), Eptesicus
nilssonii (8%), M. dasycneme (8%), and M. nattereri (2%). Singular E. serotinus
were recorded not every year. The number of hibernating animals in pits was
proportional to their size and the number of shelters. Bats used previously
chosen shelters and were never found in adjacent caves. The maximum species
diversity (H') and evenness (E) were observed in bat communities in medium-sized
caves. One of the main factors of increasing abundance of hibernating bats in
abandoned pits is their gradual colonization by the animals.
PMID- 18038629
TI - [Transformation of soil invertebrate complex after surface fires of different
intensity].
AB - The effect of surface fires of different intensity on soil invertebrates was
evaluated in central taiga Scotch pine forests in the Yenisey Region of Siberia.
In the period of 4-5 years, the abundance and ecological and trophic structure of
sandy podzol population was almost completely restored after surface fires of
medium and low intensity. The recovery process was decelerated after high
intensity fires.
PMID- 18038630
TI - [Comparative study of hemostatic properties of the complex and mixture of high
molecular weight heparin and adenosine triphosphate].
AB - Anticoagulant and nonenzymatic fibrinolytic activities increased in blood plasma
of 6-7-month-old rats after repeated intramuscular administration of the heparin
adenosine triphosphate complex (G-ATP). The mixture of heparin and ATP had no
fibrin depolymerizing activity in vitro. Repeated intramuscular administration of
the mixture had anticoagulant effect although it was 1.5-1.6 times less
pronounced compared to the complex. A higher anticoagulant and fibrinolytic
efficiency of the G-ATP complex compared to the mixture is concluded.
PMID- 18038631
TI - [Vertical structure of bacterial communities in peats of the Yakhroma River
floodplain].
AB - The abundance and taxonomic structure of soil bacterial communities have been
studied in different geomorphological parts of the Yakhroma floodplain. It has
been found that the numbers of bacteria reach a peak in calcareous peat soil
under forest near the floodplain terrace, decreasing to a minimum in soddy
alluvial soil near the riverbed. All soils are characterized by the presence of
different ecological-trophic bacterial groups capable of peat destruction.
Seasonal dynamics of the structure of bacterial communities and, in some soil
types, its spatial dynamics accounted for by changes in the botanical structure
of peat across its profile have been revealed. All peat soils in the floodplain
have high contents of organic matter and neutral pH and, therefore, are favorable
biotopes for the development of saprotrophic bacteria. This, in turn, largely
accounts for high productivity and stability of this agroecosystem as a whole.
PMID- 18038632
TI - [Annual production of moss layer in dark coniferous forests of Ket-Chulym Forest
District (by the example of Moss Hylocomium splendens)].
AB - The biological production of the moss layer was analyzed in dark coniferous
stands in progressive succession in the southern taiga in West Siberia. The rate
of organic matter production by mosses changed from 15-22.2 g/(m2 y) in 50-90
year-old fir forests to 51.6 g/(m2 y) in 170-year-old mixed Siberian pine-spruce
fir stands. In forest phytocenosis that were formed with species replacement
(after cuttings with understory clearing), the annual moss production (net
primary production) ranged from 2.8 to 20.6 g/(m2 y). The annual moss cover
production amounted to 35-36% of the moss photosynthetic biomass irrespective of
the type of native stand progressive succession and the stand age.
PMID- 18038633
TI - [Motor activity of infusoria: fundamental and applied aspects].
AB - The article considers morpho-functional organization of the cilia, locomotor
organelle of the infusoria, and demonstrates the complicity of locomotor behavior
of these protista. The problem of control of locomotion of infusoria is whole
organism in discussed; and conclusion is drawn that system of control of
movements could be multilevel and include receptor, afferent, central, efferent
and effector units. In this context the macronucleus, could act as a central
integrator and coordinator of the locomotor behavior being closely connected with
periphery by dynamic elements of cytoskeleton. The eradication of infusoria
parasitizing in humans and animals by interrupting of locomotion of the protista
is also discussed.
PMID- 18038634
TI - [Evolutonary modifications of molecular structure of ATP-synthase gamma-subunit].
AB - The ATP-synthase gamma-subunit (FoF1) belongs to the rotor part of this
oligomeric complex. Catalytic hydrolysis of adenosine triphosphate (ATP) is
accompanied by rotation of gamma-polypeptide inside the sphere formed by six
subunits (alphabeta)3 of the enzyme. The gamma-subunit regulates ATPase and ATP
synthase activities of the FoF1. In the present work, evolutionary and reverse
changes of this regulatory polypeptide and their effect on properties of the
enzyme are studied. It is suggested that elongation of the gamma-subunit globular
part had resulted from the atpC intragene duplication in the process of adaptive
evolution. The evolved fragment participates in light regulation of the
chloroplast ATP-synthase.
PMID- 18038635
TI - [Characteristics of proteinase digestive function in invertebrates--inhabitants
of cold seas].
AB - Digestive proteinases of various taxa of invertebrates of the Northern seas have
been studied: crustaceans Paralithodes camtchaticus, Pandalus borealis; molluscs
Chlamys islandicus, Buccinum undatum, Serripes groenlandicus, and echinoderms
Strongylocentrotus droebachiensis, Cucumaria frondosa, Asterias rubens, and
Grossaster papposus. The presence of two proteolytic activity peaks in the acid
(pH 2.5-3.5) and low alkaline zones (pH 7.5-8.5) and a similar proteinase
spectrum have been revealed in digestive organs of the studied animals. The
proteolytic activity in digestive organs of the Barents Sea invertebrates exceeds
significantly that of terrestrial homoiothermal animals, which seems to be an
extensive compensation for poor differentiation of the digestive system and for
low substrate specificity of the enzymes as well as for cold conditions of the
habitat. The principal qualitative difference between vertebrates and
invertebrates consists in that the latter have no pepsin activity, but do have
the cathepsin activity that is absent in vertebrate digestive organs.
Contribution to the acid proteolysis is made by lysosomal cathepsins, rather than
by pepsins. Activity in the alkaline and neutral pH zones is provided by serine
proteinases. In digestive cavities of invertebrates, hydrolysis of proteins and
mechanical processing of food occur only in the low alkaline zone, whereas acid
proteolysis has intracellular lysosomal localization.
PMID- 18038636
TI - [Activities of proteinases in invertebrate animals--potential objects of fish
nutrition. Effects of temperature, pH, and heavy metals].
AB - Differences in the degree of separate and combined effects of temperature, pH,
and heavy metals (zinc, copper) on the trypsin- and chymotrypsin-like proteinase
activities have been established in the whole body of some invertebrate animals -
potential objects of fish nutrition: pond snail Lymnaeae stagnalis, orb snail
Planorbis purpura, zebra mussel Dreissena polymorpha, oligochaetae Tubifex sp.
and Lumbriculus sp. in total, chironomid larvae Chironimus sp. and Ch. riparus,
as well as crustacean zooplankton. It has been shown that enzymes of the
potential victim at a low temperature can compensate low activity of intestinal
proteinases of fish bentho- and planktophages.
PMID- 18038637
TI - [Comparative study of bile-acid composition in the bile of the European vendace
Coregonus albula L. and whitefish Coregonus lavaretus L. under conditions of
technogenic water pollution].
AB - Changes of the bile acid composition were studied in bile of the vendace
Coregonus albula L. and the whitefish Coregonus lavaretus L. under effect of
sewers of an ore mining and processing plant, the main pollutin agents of the
sewers being heavy metals. The revealed variability of the bile acid composition
indicates different species-related sensitivity to the studied pollutants as well
as a lower resistance of males as compared with females to this type of
pollution. Mechanisms of effects of industrial sewers on the bile formation
processes and a possibility of using parameters of the steroid lipid metabolism
at evaluation of the state of water ecosystems are discussed.
PMID- 18038638
TI - [Changes of physiological and biochemical characteristics of rat erythrocytes
after blood loss].
AB - In experiments of Wistar male rats, changes are studied of erythrocyte
hematological, biochemical (activitities of transport ATPases), and rheological
properties (capability for aggregation and deformability) 7 days after
bloodletting of 12-15 % of the total blood mass. It has been shown that alongside
with an elevation of erythrocyte volume and of the number of immature cells -
reticulocytes, there was a statistically significant increase of Na,K-ATPase and
Ca-ATPase activities in the whole erythrocytes and their membrane preparations -
ghosts, the increment of activity in the case of Na,K-ATPase being essentially
higher in the whole cells. This indicates the appearance of an enzyme activator
inside the erythrocytes. There are also revealed a decrease of firmness of
erythrocyte aggregates, a deceleration of spontaneous aggregation, and an
increase of index of erythrocyte deformability. The conclusion is made that
changes of erythrocyte rheological properties are interconnected with changes of
the Na,K-ATPase activity and are directed to optimization of blood circulation in
large vessels and capillary network.
PMID- 18038639
TI - [Comparative study of the "membrane reserve" of blood cells of reptiles and
mammals].
AB - Based on the method of hypoosmotic loads, the value of membrane reserve and its
use by blood cells of reptiles and mammals have been studied. It has been shown
that lymphocytes of the both animal species have the highest reserve of
plasmalemma, while frog heterophils - the lowest one. A significantly lower part
of the membrane reserve participates in formation of phagosomes by mammalian
neutrophils as compared with amphibian erythrocytes.
PMID- 18038640
TI - [Morphological manifestations of astrocyte local functional activation produced
by a short-term total brain ischemia].
AB - The goal of this work was to study changes structural and cytochemical
organization of rat hippocampus activated astrocytes after a brief total brain
ischemia. By methods of immunocytochemical determination of proteins of
intermediate filaments, in was established that 7 days after the ischemia the
functional activation of dorsal hippocampus astrocytes is morphologically
manifested both as changes of size and shape of the cells and their processes and
as accumulation of the intermediate filament proteins -GFAP and nestin. Two
populations of the activated astrocytes are formed - more dispersed GFAP-positive
astrocytes and nestin-positive astrocytes located predominantly in the area of
massive death of nerve cells. The obtained data allow suggesting that the
postischemic activation of astrocytes is accompanied by their acquistition of
properties characteristic of immature cells of the nervous tissue; however, the
absence of morphological signs of dedifferentiation does not permit these cells
to be considered responsible for reparational neurogenesis in hippocampus.
PMID- 18038641
TI - [Effect of swinging on EEG of rats of juvenile age in the wakefulness state].
AB - Simultaneous recording of the EEG activity of superficial cortical and deep
(caudate nucleus, dorsal hippocampus, anterior hypothalamus) brain parts has been
performed for the first time after a 2-h swinging of frequency of 0.2 Hz in
Wistar rats of juvenile age. Swinging was produced on a 4-bar parallel swing.
Using a Neuron-Spectr electroencephalograph and a Diana program, normalized power
spectra of wave EEG components, synchronization coefficients, and coefficients of
cross-correlation between bioelectrical potentials of various brain structures
were determined. After a 2-h swinging, the mean value of normalized power of slow
waves of delta-diapason in hypothalamus and hippocampus was found to increase
statistically significantly, while normalized power of fast waves of alpha- and
beta1-diapasons in hippocampus decreased (p < 0.05). A statistically significant
increase of synchronization coefficient was observed in hypothalamus and
hippocampus. Changes of coefficients of cross-correlation between hypothalamus
and hippocampus and other brain strictures were of the oppositely directed,
individual character. In the parietal occipital brain cortex and in caudate
nucleus, the changes of the EEG spectral composition also were of individual
character. The obtained results on the whole correspond to data about an
enhancement of the EEG low-frequency rhythms at swinging and agree with the
resonance hypothesis of motion sickness.
PMID- 18038642
TI - [The number of active Purkinje cells in the microelectrod track as an indicator
of maturity of the cerebellum motor function in precocial- and altricial-born
animals].
AB - In experiments on 5 age groups of anesthetized guinea pigs (from newborns to 4
weeks of postnatal ontogenesis), activity of cerebellum Purkinje cells (PC) (IV
VII lobules of cerebellar vermis) was studied in the single track of
microelectrode passing through cell layers. It has been shown that as early as
several hours after birth, in the superficial layer of cerebellar cortex, there
are recorded occasional background-active, but functionally mature PC represented
by simple and complex spikes and accordingly reflecting synaptic PC activation by
afferent inputs of mossy and climbing fibers. The functional manifestation of the
guinea pig motor behavior at this period of ontogenesis is act of their standing.
At this period of ontogenesis, in the newborn and one-day old guinea pigs, from 1
to rarely 11 active PC are recorded, on average, in the single microelectrode
track. At the one-week age, the highest number active PC in the track somewhat
increases, predominantly at the expense of the mean from the total number of the
cells in the track. In the 2-week old guinea pigs the mean number of active PC in
the track somewhat falls, while in the 4-week old and adult animals exceeds
again, although slightly of the maximal number of PC in the track of newborn
animals. The relatively high number of active PC at the very initial period of
postnatal ontogenesis can indicate importance of motor function in the congenital
food-procuring reflex.
PMID- 18038643
TI - [Retina mediators in fresh-water mollusc Lymnaeae stagnalis].
AB - Retrograde staining of the Lymnaeae stagnalis retina with neurobiotin has shown
that most photoreceptor cells send axons to optic nerve without intermediate
contacts. A part of these photoreceptors have immunireactivity to glutamate that
possibly provides synaptic transmission of visual signal to central neurons.
Other photoreceptors stained through optic nerve seem to have different
transmitter systems. In some retina cell, but not in optic nerve fibers,
immunoreactivity to pigment-dispersing hormone has been revealed. In tissues
surrounding the eye cup numerous serotonin-containing fibers are present, a part
of them penetrating the retina basal layer. Some of them belong to central
neurons responsible for efferent innervation of the pond snail eye. It is
suggested that the serotoninergic innervation as well as the cell containing the
pigment-dispersing hormone are included in the mechanism of regulation of light
sensitivity of the mollusc eye.
PMID- 18038644
TI - [Neutrophin NGF protects glial cells, but not stretch receptor neurons of the
crayfish Astacus astacus from photooxidative stress].
PMID- 18038645
TI - [Mechanisms of adaptive regulation of early development of Anuran amphibians
dwelling the anthropogenically polluted water bodies].
AB - Comparison of the gastrulation in three Anuran species has shown the possibility
of evolution of earlier morphogenesis directed to adaption to such new
environmental factor as anthropogenic pollution of water bodies. Changing
proportion of variability and correlation of the developing embryonic structures
causes changes in the character of morphogenetic processes to the point of
discoordinated development and formation of heterochronies and/or increased death
rate. In the studied amphibian species, the increase of the concordent
development in different populations resulted either from increased general
correlation of morphogenesis or from the emergence of a group of traits with more
interdependent development. Mechanisms of early development regulation comply
with a single scheme, which indicates the nonspecificity of early embryogenesis
reaction based on epigenetic regulation mechanisms.
PMID- 18038646
TI - On the power of some binomial modifications of the Bonferroni multiple test.
AB - Widely used in testing statistical hypotheses, the Bonferroni multiple test has a
rather low power that entails a high risk to accept falsely the overall null
hypothesis and therefore to not detect really existing effects. We suggest that
when the partial test statistics are statistically independent, it is possible to
reduce this risk by using binomial modifications of the Bonferroni test. Instead
of rejecting the null hypothesis when at least one of n partial null hypotheses
is rejected at a very high level of significance (say, 0.005 in the case of n =
10), as it is prescribed by the Bonferroni test, the binomial tests recommend to
reject the null hypothesis when at least k partial null hypotheses (say, k =
[n/2]) are rejected at much lower level (up to 30-50%). We show that the power of
such binomial tests is essentially higher as compared with the power of the
original Bonferroni and some modified Bonferroni tests. In addition, such an
approach allows us to combine tests for which the results are known only for a
fixed significance level. The paper contains tables and a computer program which
allow to determine (retrieve from a table or to compute) the necessary binomial
test parameters, i.e. either the partial significance level (when k is fixed) or
the value of k (when the partial significance level is fixed).
PMID- 18038647
TI - [The response of the genomic pattern of transposable elements TE412 to
quantitative trait selection in Drosophila melanogaster].
AB - The results of four selection-genetic experiments aimed at the genetic
transformation of the quantitative trait controlled by the radius incompletus
gene of Drosophila melanogaster are given. Directional (s+) and (s-)-selection
was conducted. At the of end all the experiments, in (s+)-selection the radial
vein of the wing was restored to the wild phenotype, in (s-)-selection complete
elimination of the radial vein took place. In four variants of selection,
different TE412 pattern was formed under (s+)-selection and (s-)-selection in
final generations. Correlation coefficient between (s+)-selection and (s-)
selection is -0.576, p < 0.001. At the same time, correlation coefficient between
two independent replications of (s+)-selection is 0.912, p < 0.001, and of (s-)
selection, 0.946, p < 0.001. Thus, the availability of associated response to the
selection of a quantitative trait and to the TE412 pattern is experimentally
proved. Three hypotheses of the possible TE behaviour under selection are
discussed.
PMID- 18038648
TI - [The microbial loop in the planktonic communities in lakes with various trophic
status].
AB - The structure of planktic trophic chains was studied in eight lakes of European
Russia and five lakes in Central Asia. The lakes differed in the level of
productivity, morphometric parameters, and the type of agitation and
mineralization. It is found that the microbial loop of picophototrophic
organisms, bacteria, heterotrophic flagellates, infusoria, and viruses
constitutes 12.3-64.7% of the total plankton biomass. Positive correlation
between the biomass of microbial community and the primary production of
phytoplankton is observed, whereas no relation is revealed between the share of
microorganisms in the plankton biomass and the trophic status of the water body.
The presence of a great number of cladocerans decreased the role of the microbial
loop in the structural organization of the planktic community. Heterotrophic
flagellates consuming 3-81% of daily bacterial production were the principal
cause of bacteria elimination only in some of the studied water bodies.
PMID- 18038650
TI - [The comparative aspects of spatial ecology of lizards exemplified by the toad
headed lizards (Reptilia, Agamidae, Phrynocephalus)].
AB - The possibility of analysis of phylogenetic parameters of the spatial
distribution of populations is discussed by an example of the agamid toad-headed
lizards (Phrynocephalus). Summarizing both original and published data on the
individual home ranges and the relocation of individuals of 30 populations from
12 species showed that differentiation of the type of spatial distribution is
weak in toad-headed lizards. This observation confirms the idea that this clade
of agamids is phylogenetically young and relatively recently radiated. At the
interspecific level, positive correlation between home range size and body size
was observed in the studied group. Such spatial parameters, shared by all toad
headed lizards, as relatively large size and weakly structured individual home
ranges can be explained by the peculiarities of their reproduction features and
their foraging mode. The individual type of space-usage in toad-headed does not
fit the traditional scheme dividing all the lizards into the territorial Iguania
and the nonterritorial Autarchoglossa.
PMID- 18038649
TI - [Interrelation between the flower structure and composition of the pollinator
groups for Dipsacaceae and Asteraceae with externally similar anthodia].
AB - The competitive relations between members of phylogenetically distant plant
families Asteraceae (Centaurea and Cirsium) and Dipsacaceae (Knautia and Succisa)
with purple anthodia, sharing a common wide range of pollen vectors and competing
for them, were studied. The composition of pollen vectors is somewhat different
in different plant species. Only bumble-bees, the most effective pollinators,
were observed visiting every studied plants species. Syrphidae flies,
Lepidoptera, Coleoptera, and some other insects were also observed in different
proportions. The principal importance for pollination of the corolla tube size,
correlating with the size of insect mouthparts, and the additional importance of
particular traits of the inflorescence are confirmed. Convergent similarity of
the aspect of anthodia in two species of different families is shown to be based
on different structural and functional features. Insect pollinators are the
factor of anthodia convergence. The plant species studied are divided into the
following three groups, according to the proportion of bumble-bees among pollen
vectors and to the range of species-specific pollinators; species coadapted to
one pollinator taxon; species coadapted to two or three pollinator taxa; and
species coadapted to many pollinator taxa. Asteraceae species in general (with
the exception for Cirsium arvense) are characterized by constant contacts with a
narrower range of pollinators than Dipsacaceae species (and Cirsium arvense),
characterized by wider range of pollinators. Among flowering plants with similar
anthodia, the tighter structural coadaptations of Asteraceae with their effective
pollinators provide their greater competitive ability as compared to Dipsacaceae.
PMID- 18038651
TI - [Formation of immune proteasomes and development of the immune system in
mammalian ontogenesis].
AB - Current concepts of the structure of immune proteasomes and their role in immune
response have been considered. The main attention has been paid to the formation
of immune proteasomes in secondary lymphoid and nonlymphoid organs during
ontogenesis of mammals. The causes of ineffective formation of immune system in
early postnatal development have been discussed.
PMID- 18038652
TI - [Molecular-genetic mechanisms of the effect of developmental hormones in
insects].
AB - A review of the available data on molecular mechanisms underlying the regulation
of gene expression by the developmental hormone ecdysone and juvenile hormone.
Heterodimer ESP/USP is the main ecdysone receptor in D. melanogaster. Structures
similar to ESP/USP were found in other insects. The information about molecular
genetic mechanisms of the effect of juvenoids is less definite. It has been
proposed that the juvenile hormone in insects is a modulator of the ecdysone
effect.
PMID- 18038653
TI - [Proliferative zones in the brain of the Amur sturgeon fry. Interactions with
neuromeres and migration of secondary matrix zones].
AB - Neurogenesis in the forebrain region was studied in the Amur sturgeon Acipenser
schrenki fry using immunocytochemical marking of the proliferative nuclear
antigen. The brain zones with high proliferative activities were located at the
brain ventricle surface facing the periventricular cavity. In addition to the
periventricular zone of primary proliferation, several secondary proliferative
zones were found in the forebrain region of the Amur sturgeon.
PMID- 18038654
TI - [Spatial-temporal dynamics of morphogenetic blastoderm potencies in early
embryogenesis of the loach].
AB - The degree of differentiation of axial structures (notochord, neuroectoderm, and
somites) in 24-hour explants (a total of 380) of the loach embryonic blastoderm
was determined on histological sections according to a developed scale of
estimates. Before the beginning of epiboly, axial structures were formed only
from fragments of the dorsal sector of the blastoderm marginal zone. Its other
sectors acquired the capacity of forming axial structure only with the beginning
of epiboly, as the germ ring was formed in the marginal zone, unlike the cells
outside the germ ring. The degree of differentiation of axial structures in the
dorsal sector of marginal zone increased reliably with the appearance of
embryonic shield, i.e. area of the convergence of cell flows. Here, statistically
significant regional differences in morphogenetic potencies of the marginal zone
first appeared, which corresponded to the differences in prospective significance
of its materials; notochord and neuroectoderm better differentiate from the
dorsal sector material, while somites better differentiate from the ventral
sector material. Thus, distribution of morphogenetic potencies reflects precisely
the spatial-temporal dynamics of collective movement of the blastoderm cells
during the normal course of morphogenesis.
PMID- 18038655
TI - [Development of the model of the cell cycle synchronization in early embryos of
Danio rerio (Teleostei)].
AB - An attempt was undertaken to develop a model system based on artificial cell
cycle synchronization by means of reversible mitosis blocking in zebrafish
embryos for studying the role of cell cycle synchrony in embryogenesis.
Dechorionized and intact embryos at the stages of 512-cell blastula and 75%
epiboly were treated with nocodazole and then washed within several times of
exposure. When working on dechorionized embryos, we succeeded to obtain complete
block mitosis in the presence of low nocodazole concentrations: 0.5-1.0
microg/ml. Block of mitosis was relieved in all experimental series within a
certain time after the beginning of washing. This inertia depended on both
nocodazole concentration and duration of treatment. The nocodazole elimination
was significantly accelerated only after five (or more) changes of washing medium
containing DMSO. As a result, the conditions were established for obtaining a
parasynchronous cell population in the zebrafish gastrulas with a peak of mitosis
up to 17.2%.
PMID- 18038656
TI - [Detection of carotenoids in the vitreous body of the human eye during prenatal
development].
AB - Carotenoids were found for the first time in the vitreous body of human eye
during the fetal period from week 15 until week 28. Their maximum content was
timed to week 16-22. No carotenoids were found the vitreous body of 31-week
fetuses, as well as adult humans, which corresponds to the published data. It was
shown using HPLC that chromatographic characteristics of these carotenoids
correspond to those of lutein and zeaxanthin, characteristic pigments of the
retinal yellow macula.
PMID- 18038657
TI - [Ultraweak emissions of the developing Xenopus laevis eggs and embryos].
AB - We measured ultraweak emissions of the Xenopus laevis eggs and embryos during
normal development and under the influence of stress factors in a spectral range
of 250 to 800 nm using a photomultiplier. The registered emissions were analyzed
by several basic characteristics: mean intensity, histograms, kurtosis, linear
trends, and Fourier spectra. We followed relationships between these parameters
and developmental stage, as well as the number of individuals in optic contact
with each other. The ultraweak emissions did not differ from the background at
all developmental stages according to the mean intensity. But Fourier analysis
revealed the reliable presence of a number of spectral lines of ultraweak
emission, predominantly in the ranges of 10-20 and 30-40 Hz, in the embryos at
developmental stages 2 to 11. The intensity of ultraweak emissions reliably
decreased within the first 10 min after egg activation and fertilization, as well
as in the case of optic interaction between groups of embryos. Sharp cooling,
increase in osmotic medium pressure, and transfer in a Ca(2+)- and Mg(2+)-free
medium induced a short term (approximately 1-5 min) increase in the mean
intensity of ultraweak emission. We studied specific features of ultraweak
emissions from different parts of the embryo. The intensity of emission from the
animal part of early blastula exceeded those from the vegetal area and entire
embryo. Separated fragments of the lateral ectoderm at the neurula stage had
higher mean intensities of ultraweak emission than intact embryos at the same
developmental stages.
PMID- 18038658
TI - [Changes in EEG coherence during tests for nonverbal (figurative) creativity].
PMID- 18038659
TI - [Changes in the power and coherence spectra of the EEG rhythmic components during
solution of a verbal creative task of overcoming a stereotype].
PMID- 18038660
TI - [Mismatch negativity as a characteristic of the distinguishing locating capacity
of the human auditory system].
PMID- 18038661
TI - [The subjective auditory space of epileptic patients with lesions in both the
temporal cortical area and the hippocampus].
PMID- 18038662
TI - [EEG markers of the disturbed systemic brain activity in hypoxia].
PMID- 18038663
TI - [Complex of rehabilitation measures for compensation of psychoemotional stress
consequences].
PMID- 18038664
TI - [Differential sphygmogram of the radial artery in the evaluation of the heart
pumping function].
PMID- 18038665
TI - [Quick adaptation of the myocardium and autonomic nervous regulation of the
cardiac rhythm in 10- to 11-year-old children operating a computer].
PMID- 18038666
TI - [Using spiroarteriocardiorhythmography as a functional test for estimating the
state of the cardiorespiratory system in adults and children].
PMID- 18038667
TI - [Dynamic oscillatory circuit of the capillary hemodynamic regulation].
PMID- 18038668
TI - [Effects of various hyperbaric gas mixtures on metabolic parameters of human
blood].
PMID- 18038669
TI - [Correction of venous congestion in abdominal organs under antiorthostatic
conditions].
PMID- 18038670
TI - [Age-dependent development of muscular activity energetics: summary of a 30-year
study. III. Effect of endogenous and exogenous factors on development of the
skeletal muscle energetics].
PMID- 18038671
TI - [Relationships of inflammatory activity with biochemical parameters of the blood
and sympathovagal balance in young athletes].
PMID- 18038672
TI - [Physiological role of the functions of pattern recognition receptors in
immunology].
PMID- 18038673
TI - [Nontraditional approaches to biomedical screening (multifactorial diseases).
Communication I].
PMID- 18038674
TI - [The possible use of new technologies for treating some diseases at the atomic
molecular level].
PMID- 18038675
TI - [Aleksei Nikolaevich Bakh: a great scientist and founder of domestic
biochemistry].
PMID- 18038676
TI - [Initiation and inhibition of free-radical processes in biochemical peroxidase
systems: a review].
AB - The role of complexes containing oxygen or peroxide in monooxygenase systems and
models thereof, as well as in peroxidase- and quasi-peroxidase-catalyzed
processes, has been reviewed. Pathways of conversion of these intermediate
complexes involving single-electron (radical) and two-electron (heterolytic)
mechanisms are dealt with. Coupled peroxidase-catalyzed oxidation of aromatic
amines and phenols is analyzed; inhibition and activation of peroxidase-catalyzed
reactions are characterized quantitatively. Oxidation of chromogenic substrates
(ABTS, OPD, and TMB) in the presence of phenolic inhibitors or polydisulfides of
substituted phenols is characterized by inhibition constants (Ki, micromol).
Activation of peroxidase-catalyzed oxidation of the same substrates is
characterized by the degree (coefficient) of activation (alpha, M(-1)), which was
determined for 2-aminothiazole, melamine, tetrazole, and its 5-substituted
derivatives. Examples of applied use of peroxidase-catalyzed enzyme and model
systems are given (oxidation of organic compounds, chemical analysis, enzyme
immunoassay, tests for antioxidant activity of biological fluids).
PMID- 18038677
TI - [Reactive oxygen species and the strategy of the antioxidant defense in fungi: a
review].
AB - The level of reactive oxygen species (ROS) in the cell regulates the growth and
differentiation of the fungal organism. This review considers the pathways of
generation of the primary ROS and the defense methods used by fungi and yeasts
against them as well as the involvement of thiol compounds in the antioxidant
defense of the fungal cell. It is demonstrated that the adaptation of fungi to
oxidative stress is tightly connected with the redox-dependent changes in the
activities of antioxidant defense components.
PMID- 18038679
TI - [Laccase-mediator systems and their applications: a review].
AB - The mechanism of operation of laccase-mediator systems (LMSs) in xenobiotic
degradation mediated by "true" redox mediators and laccase enhancing agents is
considered. Structural formulae of most common laccase mediators and compounds
that can be used as agents enhancing the enzyme operation are presented. Examples
of LMS application in biotechnology are described.
PMID- 18038678
TI - [Oxidation processes at initial stages of interaction of root nodule bacteria
(Rhizobium leguminosarum) and pea (Pisum sativum L.): a review].
AB - A possible physiological mechanism of legume-Rhizobium symbiosis, consisting in
regulation of the intensity of oxidation processes by the microsymbiont in
response to infection with Rhizobium, was analyzed using our own and published
data. The results used in the analysis included data on the content of reactive
oxygen species (O2*-, and H2O2), activity of antioxidant enzymes (superoxide
dismutase, catalase, and peroxidase), and intensity of lipid peroxidation
proceeding with the involvement of lipophilic phenolic compounds of the
microsymbiont.
PMID- 18038680
TI - [Hybrid Mn-peroxidases from basidiomycetes: a review].
AB - The Mn-peroxidase from the fungus Panus tigrinus 8/18 is a hybrid enzyme. It
catalyzes both Mn2+-dependent and Mn2+-independent oxidation of organic
substrates. The spectral properties of intermediates and the pathway of the
catalytic cycle are typical of hybrid Mn-peroxidases. The enzyme catalyzes the
"oxidase" reaction (NADH oxidation) without peroxide and with the presence of
Mn2+, which takes part in hydrogen peroxide production via Mn3+ and preserves the
enzyme from inactivation. With the presence of organic mediators, the hybrid Mn
peroxidase oxidizes nonphenolic compounds: aromatic alcohols and a nonphenolic
lignin model compound. The degree of conversion of 2,4,6-trichlorophenol is
higher with the presence of l-hydroxybenzotriazole.
PMID- 18038681
TI - [Dissimilatory nitrate reduction in fungi under conditions of hypoxia and anoxia:
a review].
AB - Recent progress in studies of anaerobic nitrate reduction and nitrous oxide
formation in fungi has been reviewed. Current understanding of the biochemistry
of nitrate and nitrite reduction to nitrous oxide and ammonium under oxygen
limitation is presented, with emphasis on patterns of fungal co-denitrification,
properties of the enzymes involved, and prevalence of nitrate respiration among
fungal species.
PMID- 18038682
TI - [Use of soybean peroxidase for the enzyme immunoassay of sulfamethoxipyridazine
in milk].
AB - An enzyme immunoassay with colorimetric detection of sulfamethoxipyridazine
(SMP), the most widely used sulfamide, was developed with the soybean anionic
peroxidase as an enzyme marker. The range of SMP detection is 1.3-63.0 ng/ml with
a detection limit of 0.4 ng/ml. The root square deviation of detection results
did not exceed 6%. It was demonstrated that 0.15% casein added to the working
buffer prevented the effect of the milk matrix on the detection. The results
obtained demonstrate that the assay developed is promising, displaying a
sensitivity that exceeds the maximum permissible concentration of sulfamides in
milk (100 microg/l) by several orders of magnitude.
PMID- 18038683
TI - [Antioxidant enzyme complex of tissues of the bivalve Mytilus galloprovincialis
Lam. under normal and oxidative-stress conditions: a review].
AB - The results of studies of tissue specifics of the enzymatic antioxidant complex
of the bivalve Mytilus galloprovincialis Lam. are summarized. It is shown that
the highest oxidative load is experienced by gills. The antioxidant complex of
gills largely depends on environmental conditions than on the mollusk's state,
which allows this tissue to be used for ecological diagnostics. A decrease in the
content of carotenoids in tissue s suppressed the activities of the key enzymes
of antiradical defense-superoxide dismutase (SOD) and catalase-and is accompanied
by a decrease in the reduced glutathione (GSH) pool. The state of the antioxidant
complex of molluscan tissues under conditions of natural (spawning) and
artificial (exposure to a cationic surfactant) oxidative stress was studied.
PMID- 18038684
TI - What is psychocutaneous medicine?
PMID- 18038685
TI - Successful treatment of auricular pseudocyst using a surgical bolster.
PMID- 18038686
TI - Re. Floating lipoma: an unusual presentation of a common tumor.
PMID- 18038687
TI - What is your diagnosis? Neonatal lupus erythematosus.
PMID- 18038688
TI - Aquatic antagonists: sponge dermatitis.
PMID- 18038689
TI - Unilateral facial angiofibromas without other evidence of tuberous sclerosis:
case report and review of the literature.
AB - Bilateral facial angiofibromas are common cutaneous manifestations of tuberous
sclerosis, an autosomal-dominant disease characterized by hamartomas of multiple
organs. Papules in patients with tuberous sclerosis typically appear between 4
and 10 years of age, becoming more extensive during puberty before stabilizing.
We present a 28-year-old man with unilateral facial angiofibromas, which may
represent a segmental form of tuberous sclerosis.
PMID- 18038690
TI - Pancreatic panniculitis associated with acinic cell adenocarcinoma: a case report
and review of the literature.
AB - Pancreatic panniculitis represents a rare skin manifestation of underlying
pancreatic pathology. The clinical presentation of the condition is remarkably
consistent and privy to several unique clinical and histopathologic findings. We
report a case of a 50-year-old white woman with pancreatic panniculitis and newly
diagnosed pancreatic acinic cell adenocarcinoma. The clinical and histopathologic
features, underlying causes, and treatments are reviewed.
PMID- 18038691
TI - Proteus syndrome: 2 case reports and a review of the literature.
AB - Proteus syndrome is a rare condition that has a variable clinical presentation.
The syndrome is characterized by asymmetric disproportionate enlargement of the
limbs, skull, or vertebrae, associated with a number of cutaneous lesions.
Herein, the clinical findings of 2 patients with Proteus syndrome are presented
and the current clinical diagnostic criteria are reviewed.
PMID- 18038692
TI - Chronic arsenicism from Chinese herbal medicine.
AB - Chronic arsenicism is associated with cutaneous manifestations, including
palmoplantar keratoses, pigmentary anomalies, and nonmelanoma skin cancer. It
occurs most commonly following exposure to inorganic arsenic in contaminated
drinking water or occupational contact, though medicinal exposure also has been
reported. We present a case of a Chinese woman living in the United States with
cutaneous manifestations of chronic arsenicism due to a 5-year history of Chinese
herbal medicine ingestion.
PMID- 18038693
TI - Disseminated histoplasmosis in a patient with AIDS: case report and review of the
literature.
AB - Disseminated histoplasmosis was uncommon prior to the AIDS epidemic, and
cutaneous eruption rarely was seen. Since the onset of the worldwide AIDS
epidemic, histoplasmosis has become a more common opportunistic fungal infection
and should be considered in the differential diagnosis of mucocutaneous lesions
in patients with AIDS in endemic areas. We report a case of classic disseminated
histoplasmosis in a patient with AIDS and discuss the epidemiology, clinical
presentation, pathogenesis, laboratory and histopathologic findings, and
treatment options for disseminated histoplasmosis.
PMID- 18038694
TI - Necrotizing sarcoid granulomatosis with skin and pulmonary involvement.
AB - We present a rare case of necrotizing sarcoid granulomatosis (NSG) with skin and
pulmonary involvement. NSG with extrapulmonary involvement occurs infrequently,
and reports involving skin manifestations in NSG are even more rare.
PMID- 18038695
TI - Laptop computer--associated erythema ab igne.
AB - A 40-year-old woman presented with an asymptomatic reticulated eruption on the
thighs. After an extensive workup, she was diagnosed with erythema ab igne caused
by laptop computer use. The eruption ultimately cleared several months after
discontinuation of direct placement of the laptop computer on her thighs.
Erythema ab igne is becoming increasingly associated with exposure to modern heat
sources. A thorough history of patients with suspicious lesions should include
questioning for contact with alternative heat sources to avoid an unnecessary
workup for this condition.
PMID- 18038696
TI - Lipedematous alopecia: spongy scalp syndrome.
AB - Lipedematous scalp and lipedematous alopecia are rare and closely related
entities of unknown etiology Almost all cases have occurred in black women. We
report a case of lipedematous alopecia that is characterized by a boggy spongy
thickening of the scalp, an increase in subcutaneous fat, short hairs, patchy
alopecia, scarring, atrophy, and depigmentation. The histologic finding of an
increase in subcutaneous adipose tissue is notable.
PMID- 18038697
TI - Gender differences in dermatologists' annual incomes.
AB - Careful examination of the relationship between dermatologists' gender and their
incomes has not been conducted. We sought to determine the association between
gender and the net annual incomes of dermatologists after controlling for
physician work effort, provider characteristics, and practice characteristics. We
conducted a retrospective analysis of survey data collected from 266 actively
practicing office-based dermatologists who self-identified as white, lived in the
United States, graduated from US medical schools, and responded to the annual
American Medical Association (AMA) survey of physicians between 1992 and 2002.
White female dermatologists reported seeing 21% fewer patients and working 16%
fewer annual hours than white male dermatologists. White female dermatologists
had practiced medicine for fewer years than white male dermatologists, were more
likely to be employees as opposed to having an ownership interest in the
practice, and were equally likely to be board certified. After adjustment for
work effort, provider characteristics, and practice characteristics, the mean
annual income of white female dermatologists was $215,311, or $81,746 (28%) lower
than white male dermatologists (95% CI, $138,098 lower to $25,393 lower; P=.005).
Our findings were limited to white dermatologists and to analysis of data
collected in the surveys; we were not able to examine alternative explanations
for the income disparities that we found. During the 1990s, female gender was
associated with lower annual incomes among dermatologists practicing in the
United States. Researchers should further explore the relationship between the
gender and incomes of physicians to determine what additional factors might cause
the differences that we found.
PMID- 18038699
TI - Short-term corticosteroids and avascular necrosis: medical and legal realities.
AB - Short-term corticosteroids (CSs), most commonly defined as short-term prednisone
(STP) 40 to 60 mg or its equivalent, either tapered or level, prescribed over a
few days to 3 weeks (total dose, 400-600 mg), often are used to treat acute and
self-limiting diseases. Serious side effects, though uncommon, can occur;
however, they receive little attention. The literature contains scattered case
reports and legal cases that highlight the relationship between STP and avascular
necrosis (AVN). The orthopedic literature finds that CSs are one of the most
common causes of AVN. An Internet search of AVN identifies several commercial
pages prepared for lawyers that describe references and supplies relevant to
initiating lawsuits. Court cases consistently find that patients must be informed
of treatment risks and options. Informed consent and thorough documentation are
required when prescribing STP.
PMID- 18038698
TI - Rituximab therapy in severe juvenile pemphigus vulgaris.
AB - Juvenile pemphigus vulgaris (PV) is a rare and often misdiagnosed condition.
Although PV frequently is severe in children, a substantial portion of the
morbidity and mortality associated with juvenile PV has been attributed to
treatment. This report demonstrates the efficacy of rituximab therapy in juvenile
PV. We report 2 cases and review the literature. Rituximab treatment was
effective in helping to control 2 recalcitrant cases of juvenile PV without
inducing the adverse effects associated with other adjuvant therapies. Rituximab
should be considered when treating resistant cases of PV in pediatric populations
to avoid the long-term side effects of other immunosuppressive treatments.
PMID- 18038700
TI - Microcystic adnexal carcinoma arising within a nevus sebaceus.
AB - Nevus sebaceus (NS) is a congenital skin lesion arising on the face and scalp
that has been linked to the development of various carcinomas. We describe a case
of microcystic adnexal carcinoma (MAC) arising in an NS on the scalp of a 62-year
old man. Excisional skin biopsy and hematoxylin and eosin stains were performed
to examine the specimen. Serial sections revealed papillomatosis typical of NS,
with focal changes consistent with syringocystadenoma papilliferum. Adjacent to
the syringocystadenoma papilliferum was an area containing small epithelial
islands that extended focally into the subcutaneous layer. The cystic islands
were embedded in a desmoplastic stroma with poor circumscription, consistent with
MAC. This case presents a rare finding of MAC within an NS.
PMID- 18038701
TI - The role of ketoconazole in seborrheic dermatitis.
AB - Although the prominent broad-spectrum activity of ketoconazole was reported in
the early 1980s, its effect against Malassezia species was most pronounced; thus,
it was developed for the treatment of various skin infections in which a link
with these fungal species was proposed. Later, a number of ancillary properties
were described for ketoconazole that comprised its anti-inflammatory,
antiseborrheic, and antiproliferative profile. The incorporation of ketoconazole
in an adapted vehicle could further promote its efficacy. Recently, a new
formulation-an anhydrous gel containing ketoconazole 2%-was launched in which all
of the ancillary properties were optimized.
PMID- 18038702
TI - Graves' disease therapy in children: truth and inevitable consequences.
PMID- 18038703
TI - Autoimmune type 2 diabetes mellitus and rational classification.
PMID- 18038704
TI - Juvenile autoimmune thyroiditis.
AB - Autoimmune thyroiditis is a frequent cause of goiter in children and studies
point to the increasing prevalence of juvenile autoimmune thyroiditis (JAT) in
children and adolescents. Clinically, JAT can manifest, depending on the presence
or absence of goiter, as either a goitrous form or atrophic form. Both are
characterized by the presence of thyroid antibodies in serum, with the goitrous
form being more common in children. Recent evidence suggests that thyroid
autoimmunity originates from an interaction of genetic, endogenous and
environmental factors which end up activating thyroid-specific autoreactive T
cells in susceptible children. In addition to underlying genetic/HLA
predisposition, factors including sex hormones, glucocorticoids, low birth
weight, radiation and drugs may play a role in thyroid autoimmunity. Patients
with JAT can present due to thyroid enlargement or symptoms arising due to
hypothyroidism. Asymptomatic enlargement of the thyroid gland is a common
presenting complaint, especially in older children and adolescents. Thyroid
function can vary from euthyroidism to subclinical or overt forms of
hypothyroidism and less commonly hyperthyroidism. Accordingly, patients can be
symptomatic. There is considerable debate regarding the management of patients
with euthyroidism or subclinical hypothyroidism. Available evidence indicates the
presence of residual goiter in endemic form and a high prevalence of JAT in
children. It is suggested that children should be screened for goiter as part of
school health examinations, and goitrous children should be monitored for thyroid
function.
PMID- 18038705
TI - Natal teeth and neonatal transient pseudohypoparathyroidism in a newborn.
PMID- 18038706
TI - Clinical course of pediatric and adolescent Graves' disease treated with
radioactive iodine.
AB - The use of radioactive iodine (RAI) therapy in children and adolescents with
Graves' disease is increasing; however, few data exist to guide dosing in this
population. We aimed to determine the clinical course, failure rate and factors
associated with failure of RAI for pediatric Graves' disease. A retrospective
chart review from a tertiary care pediatric endocrinology clinic (1990-2003)
identified 22 patients (12.7 +/- 4.0 years at diagnosis) with Graves' disease
treated with RAI after initial pharmacological therapy. Patients received a
calculated dose of RAI (0.1 mCi/g thyroid tissue, adjusted for 6-h radio-iodine
uptake). Twenty-seven percent (95% CI 11-50%) remained hyperthyroid and required
a second dose. If the first RAI was successful, the average time to
hypothyroidism was 2.96 +/- 1.05 months. There were no statistically significant
differences between those successfully treated with one dose and those requiring
re-treatment. This high failure rate indicates a need to examine dosing of RAI in
this age group.
PMID- 18038707
TI - Prevalence and clinical features of type 1.5 diabetes mellitus in children.
AB - AIM: To classify children with diabetes mellitus as type 1, 1.5 or 2, based on
strict criteria, and then compare their features and treatment. METHODS: In this
retrospective study, all children with diabetes mellitus in our clinic with
antibody status available (n = 120) were reclassified as type 1, 1.5 or type 2
based on status of antibodies to the pancreas and presence of obesity and/or
acanthosis nigricans, and their features compared. RESULTS: Sixty-four percent of
type 2 patients were reclassified as type 1.5. Type 1.5 patients had
significantly lower BMI SDS, blood pressure and acanthosis nigricans than type 2
patients. They had a higher insulin requirement (0.82 +/- 0.44 U/kg/day) than
type 1 (0.72 +/- 0.35 U/kg/day) or type 2 (0.28 +/- 0.3 U/kg/day) patients. Total
cholesterol, HDL-cholesterol, ALT and AST significantly worsened from type 1 to
1.5 to type 2 patients. CONCLUSIONS: Type 1.5 diabetes mellitus should be
considered among obese adolescents presenting as type 2, as their clinical course
is more aggressive and insulin requirement higher.
PMID- 18038708
TI - Characterization of insulin resistance syndrome in children and young adults.
When to screen for prediabetes?
AB - CONTEXT: Insulin resistance syndrome (IRS) is associated with the development of
type 2 diabetes mellitus (DM2). However, it is unclear which individuals with
insulin resistance will develop DM2. AIM: To study the prevalence of IRS in
childhood and to identify the group with the highest risk of further progression
to DM2. METHODS: In a cross-sectional study, 86 obese individuals underwent an
intravenous glucose tolerance test (IVGTT). Insulin resistance index (Si(IVGTT)),
acute insulin response (AIR) and disposition index (DI) were calculated from
IVGTT. RESULTS: For analysis the participants were divided into insulin-sensitive
(IS) (n = 25, 13.3 +/- 5.9 yr) and insulin-resistant (IR) groups on the basis of
having an Si(IVGTT) greater or lesser than 4.5 x 10(-4) mU/ml/min, respectively.
The IR group was then subdivided according to DI, with the standard cut-off value
of 0.13 min(-1), into compensated IR (CIR) (n = 37, 13.0 +/- 3.5 yr) and
decompensated IR (DIR) (n = 24, 21.9 +/- 12.6 yr) groups. The frequency of IRS
was 43% in children, 78% in adolescents and 83.6% in adults. Decompensated
insulin response first appeared during adolescence. The frequency of
decompensation increased from 22% in adolescence to 67% in adulthood. The DIR
group had increased triglycerides (TG) and urinary free cortisol levels.
CONCLUSIONS: The frequency and severity of IR increases with age. Decompensation
first presents in adolescence with low AIR and elevated TG. Decompensated
adolescents are the group at highest risk for further progression to DM2.
PMID- 18038709
TI - Factors contributing to sex assignment and reassignment decisions in Turkish
children with 46,XY disorders of sex development.
AB - BACKGROUND: Sex assignment decisions for children with disorders of sex
development (DSD) should be based on integrative assessments of relevant
biological and psychosocial characteristics. AIM: To investigate the factors that
contributed to sex assignment decisions for children with 46,XY DSD. PATIENTS:
Sixty-one children recruited from a clinical sample were evaluated. METHODS:
Findings of endocrinological and psychiatric evaluations were entered into a
logistic regression analysis. RESULTS: Gender identity was the strongest
correlate of assigned sex. External genital under-virilization, sex announced at
birth and toy/ activity preferences were predominant predictors. Twelve children,
six of whom were prepubertal, were reassigned to male sex. CONCLUSIONS:
Psychological factors seem to be as influential on sex reassignment decisions as
are endocrinological and social factors, especially if the disorder is diagnosed
at an older age. Prepubertal gender conversion is possible, which implies the
importance of follow-up during childhood.
PMID- 18038710
TI - Growth and development are similar in VLBW children born appropriate and small
for gestational age: an interim report on 97 preschool children.
AB - AIM: To investigate growth and development in a cohort of children born with very
low birth weight (VLBW) treated at a single tertiary neonatal unit. METHODS: We
studied 97 children born between January 1995 and July 1997 with BW <1,500 g. At
follow-up (mean age 3.7 years) anthropometric data and data on neurological
status, motor, speech and language development were collected. Small for
gestational age (SGA) was defined as weight and/or length at birth <10th
percentile; shortness at follow-up was defined as height <10th percentile.
RESULTS: Comparison was made between the appropriate for gestational age (AGA) (n
= 46) and SGA (n = 51) groups. At follow-up, 23 AGA and 35 SGA children were
short, had a smaller head circumference (-1.9 vs -0.8 SDS), were lighter at birth
(BW -1.3 vs -0.7 SDS), and had a higher rate of broncho-pulmonary dysplasia (BPD)
(28 vs 12); no differences in neonatal characteristics or neurological status
were evident. A higher frequency of motor delay occurred in the 'short' group.
Short children also had a smaller head circumference (HC) (-1.6 vs -0.7). Short
SGA children had a higher frequency of BPD, smaller HC (-2.1 vs -1.0), and a
slightly higher proportion of suspicious neurological findings, motor delay, and
speech and language delay (n.s.). CONCLUSIONS: Preterm VLBW infants, whether AGA
or SGA at birth, face the risk of being short at preschool age. Height outcome is
probably influenced by postnatal factors. Our data also suggest that short
stature is associated with developmental difficulties in this population.
PMID- 18038711
TI - Serum ghrelin concentration, fasting time and feeding in infants.
AB - BACKGROUND: Data on hormonal feeding control in infants in the first months of
life according to the kind of feeding are scanty. AIM: To evaluate whether serum
ghrelin could be involved in feeding behaviour control of breast-fed (BF) and
formula fed (FF) infants. METHODS: We studied 50 AGA healthy term infants aged 1
6 months of age. Serum ghrelin concentration was determined by RIA. Fasting time
(measured as the difference between the time of the last meal and the time of
blood collection) and number of meals were recorded. RESULTS: A positive
correlation between serum ghrelin levels and fasting time emerged in FF infants
(r = 0.752; p <0.001) but not in BF infants (r = 0.345; p = 0.072). CONCLUSIONS:
Circulating ghrelin concentration correlates positively with fasting time in FF
infants; these infants have higher serum ghrelin concentration, longer fasting
time and fewer meals than BF infants. These observations suggest a possible
influence of early feeding on mechanisms regulating satiety and feeding
behaviour.
PMID- 18038712
TI - Recurrent hypoglycemic seizures and obesity: delayed diagnosis of an insulinoma
in a 15 year-old boy--final diagnostic localization with endosonography.
AB - Insulinoma in children and adolescents is extremely rare. In adults diagnosis is
frequently delayed due to frequent neuropsychiatric symptoms that are
misunderstood. Diagnostic localization is sometimes extremely difficult. We
present a case of insulinoma with onset of symptoms at the age of 12.5 years.
Diagnosis was made very soon after the first symptoms, but diagnostic
localization was delayed, since conventional MRI did not reveal the insulinoma.
The patient suffered from recurrent hypoglycemic seizures and gained 54 kg in
weight until diagnostic localization was made with abdominal MRI, octreotate-PET
and finally successful endosonography. A solitary insulinoma in the pancreatic
tail was enucleated laparoscopically.
PMID- 18038713
TI - A new DAX-1 mutation in a family with a case of neonatal adrenal insufficiency
and a sibling with adrenal hypoplasia and sudden death at 3 years of age.
AB - Adrenal hypoplasia congenita (AHC) is a hereditary disorder that leads to adrenal
insufficiency and hypogonadotropic hypogonadism (HHG) in childhood. The gene
responsible for the X-linked form of AHC, DAX1 (dosage-sensitive sex-reversal,
AHC, on the X-chromosome, gene 1)/NR0B1, encodes for a nuclear factor which lacks
the characteristic zinc finger DNA-binding domain that is highly conserved in
nuclear receptors. Deletions and point mutations in the DAX1 gene have been
described in more than 70 AHC families. We present the clinical and genetic data
of two brothers affected by AHC. We report a new DAX1 gene mutation in a family
with two affected members: one with neonatal adrenal insufficiency, and a sibling
with adrenal hypoplasia and sudden death at 3 years old. The NR0B1/DAX1 gene was
amplified in three PCR fragments from the patient's and mother's gDNA extracted
from peripheral lymphocytes. Sequencing revealed a novel single nucleotide
deletion in codon 419 from exon 2 that resulted in a frameshift and a stop codon
17 nucleotides downstream (c.1256 delA). The mother was heterozygous for this
mutation. In conclusion, a novel DAX-1 mutation was detected in two family
members with different phenotype: one live infant with adrenal hypoplasia, his
mother, and probably his dead brother.
PMID- 18038714
TI - Effect of metformin and rosiglitazone in a prepubertal boy with Alstrom syndrome.
AB - Alstrom syndrome (AS) is an autosomal recessive disorder characterized by
progressive pigmentary retinopathy, sensorineural hearing loss, fatty liver
infiltration, obesity, insulin resistance and early-onset type 2 diabetes
mellitus (DM2). Early onset of insulin resistance and DM2 are key components of
this syndrome. AIM: To study the effect of early initiation of the insulin
sensitizer metformin combined with rosiglitazone in a patient with AS with
impaired glucose tolerance. PATIENT: An 8 year-old boy with AS presented with
acanthosis nigricans and insulin resistance at the age of 6 years. He had
progressive excessive weight gain from 9 months of age. By the age of 1 year he
developed photosensitivity, blindness and nystagmus. At the age of 5.5 years, his
body mass index (BMI) was above the 95th percentile. He developed impaired
glucose tolerance at 6 years of age and treatment with metformin was initiated.
After 8 months of treatment with metformin he developed DM2. The dose of
metformin was increased, and rosiglitazone added. METHODS: A 2-hour oral glucose
tolerance test (OGTT) and a rapid intravenous glucose tolerance test (IVGTT) were
performed before treatment was initiated, after treatment with metformin and at
the end of 1 year of combination therapy with metformin and rosiglitazone to
calculate quantitative insulin sensitivity check index (QUICKI) and acute insulin
response (AIR). For mutation analysis, all exons and splice site sequences of the
ALMS1 gene were amplified and sequenced. RESULTS: Metformin treatment alone at
the stage of impaired glucose tolerance did not prevent progression to DM2.
However, metformin at a higher dose and in combination with rosiglitazone
resulted in improvement of pancreatic beta-cell function, shown by markedly
improved first-phase insulin response to glucose measured by AIR. The patient was
found to have two heterozygous nonsense mutations in ALMS1, 8008 C-->T Ter,
R2670X, and 11449 C-->T Ter, Q3817X. These alterations cause premature stops and
result in a truncated ALMS1 protein. CONCLUSION: We suggest that early initiation
of combined therapy comprising a high dose of metformin plus rosiglitazone may be
valuable in managing insulin resistance and DM2 in children with AS.
PMID- 18038715
TI - Predictable cementation of esthetic restorations: part I--principles of adhesion.
AB - Cementation is a multifaceted procedure in restorative and esthetic dentistry,
principally involving the adaptation of cement to the tooth surface in a manner
that prevents the detachment of a restoration during patient function. In each
procedure, the goal of the practitioner is to create a lasting bond and marginal
adaptation of the luting cement between the indirect restoration and the
remaining tooth structure. This article highlights the relevant principles of
adhesion and presents criteria aimed at improving clinicians' decision making
while providing daily patient care.
PMID- 18038716
TI - Predictable cementation of esthetic restorations: part II--selection criteria and
guidelines for implementation.
AB - Patient demand for esthetic dentistry has increased clinicians' use of composite
resin luting agents for cementing both intra- and extracoronal restorations. The
cementation process is key to the success of such treatment to prevent
microleakage, ensure sufficient retention of the restoration to the tooth
substrate, and provide the physical strength required of the restoration. Recent
advances in adhesive technology have expanded the options available to the
practitioner when selecting a restorative material and luting cement, and new
guidelines must be understood accordingly.
PMID- 18038717
TI - Predictable elastomeric impressions in advanced fixed prosthodontics: a
comprehensive review.
AB - Despite advances in dental material technology, the predictable procurement of
accurate impressions for the fabrication of complex fixed prosthodontic
restorations remains an elusive objective. The technical challenges and potential
negative sequelae are exponentially magnified in advanced applications that
involve multiple abutments and preparatory phases. A protocol for consistently
achieving accurate impressions with the use of various impression materials and
automatic instrumentation is presented and illustrated with multiple clinical
examples. The technique is capable of yielding reliable results in extensive
cases and requires minimal support from auxiliary personnel.
PMID- 18038718
TI - Understanding anesthesia guidelines for improved patient awareness.
PMID- 18038719
TI - Anterior restoration utilizing novel all-ceramic materials.
PMID- 18038720
TI - The evolving e-practice. Predictable visualization and imaging using three
dimensional cone beam technologies.
PMID- 18038721
TI - Changing the perception of root canal therapy.
PMID- 18038722
TI - Direct inlay restorations: utilization of sonic preparation technology in
conjunction with ceramic inserts.
AB - Although glass inserts have enabled the use of direct restorative procedures for
the placement of inlays and onlays, these techniques are often unable to address
elementary patient concerns (i.e., aesthetics, fit, expense). The advent of a
novel process that standardizes preparation design and facilitates the use of
advanced restorative materials may provide an alternate means of providing
acceptable treatment. This article highlights the use of a sonic preparation
system with ceramic inserts to develop aesthetic direct inlay restorations that
demonstrate the qualities traditionally exhibited by indirect ceramic materials.
PMID- 18038723
TI - Methods to improve the clinical effectiveness of current dentin-enamel bonding
agents.
PMID- 18038725
TI - Why does President Bush oppose the expansion of SCHIP?
PMID- 18038724
TI - Bone rehabilitation to achieve optimal aesthetics.
AB - Treatment involving oral implants has established a high success rate, including
implant survival on a long-term basis. The challenge facing the clinicians today
is to achieve an optimal long-term aesthetic result. To address this challenge,
the volume of the underlying hard and soft tissue must be restored either prior
to or simultaneously with the implant placement. The learning objective of this
article is to review the critical biologic and clinical criteria essential in
achieving a predictable success in aesthetic enhancement of the implant site.
PMID- 18038726
TI - Coping with stressful events: influence of parental alcoholism and race in a
community sample of women.
AB - The study explores the role of race and differences in coping among 290 white
women and black women with and without alcoholic parents, addressing two
questions: (1) Does coping vary by parental alcoholism or race? and (2) How is
coping in adulthood affected by childhood stressors and resources and by
adulthood resources? Standardized self-administered questionnaires (Coping
Responses Inventory and the Children of Alcoholics Screening Test) measuring
approach and avoidant coping methods were used. Collateral information was
obtained from siblings who completed questionnaires focused on parental drinking,
parental psychiatric history, and key childhood events. Women with alcoholic
parents and black women more often reported avoidant coping. Women with negative
childhood family environments and a lack of adolescent social support more often
reported avoidant coping responses. Self-esteem was associated with a higher
score on active cognitive coping and a lower score on avoidant coping. Findings
of greater use of avoidant coping by women with alcoholic parents contrast with
other studies showing no differences in coping, or very small group differences.
The fact that all women in this sample lived with two parents in childhood may be
one explanation.
PMID- 18038727
TI - HIV/AIDS case managers and client HIV status disclosure: perceived client needs,
practices, and services.
AB - People living with HIV/AIDS often need assistance in deciding whether or how to
disclose their HIV status to others, and case managers are in a unique position
to offer this assistance. The current study surveyed 223 case managers providing
services to people living with HIV/ AIDS in NewYork State. The survey was
conducted anonymously, and case managers were sampled at the agency level.
Results showed that two-thirds of case managers routinely discuss disclosure
issues with their HIV-positive clients. However, case managers often felt that
they lacked the resources to provide assistance with disclosure decisions, and 66
percent of those who routinely discuss disclosure issues had not received
training in assisting with disclosure to sex and injection drug-sharing partners.
HIV disclosure issues were also seen by case managers as only one of many
pressing issues facing their HIV-positive clients; other pressing issues were
housing, food, medical care, mental health treatment, and preventing HIV
transmission. These results indicate a need for training and resources to
facilitate HIV status disclosure assistance services offered by case managers.
PMID- 18038728
TI - An integrated, multidimensional treatment model for individuals living with HIV,
mental illness, and substance abuse.
AB - The challenge of providing effective treatment services for the growing
population of HIV-positive individuals who are also dually diagnosed with
substance use and mental disorders has only recently been recognized as an
important public health concern affecting both HIV treatment and prevention. This
article describes a treatment model that was created for a study of integrated
treatment for HIV-positive individuals with substance use and mental disorders.
The treatment model was based on the transtheoretical model of behavior change as
well as evidence-based practices that are widely used in the treatment of
individuals dually diagnosed with substance use and mental disorders. The model
involved collaboration between medical and behavioral health care professionals
and emphasized the importance of goal reinforcement across disciplines.
Furthermore, it included the development and enhancement of client motivation to
modify medical and behavioral health-risk behaviors using individual readiness
for change and offered comprehensive care addressing a continuum of client needs
that may influence treatment outcomes. Treatment modalities included individual
therapy, group therapy, and psychiatric medication management. This treatment
intervention was associated with positive outcomes in the integrated treatment
study and can be adapted for use in a variety of psychiatric or medical treatment
settings.
PMID- 18038729
TI - Diabetes and adult day health services.
AB - The purpose of this study is to provide a profile of individuals with diabetes
who receive services in adult day centers. This exploratory study uses an
administrative data set (N = 280) from five programs in central Ohio to examine
four areas: demographics, health and mental health, financial and social
resources, and disenrollment status. Older adults with diabetes were more likely
to be African American and younger than other clients; had more diagnoses,
limitations with activities of daily living, and hospitalizations; and were at
greater nutritional risk at intake. These older adults also relied more on public
funding, primary caregivers from the immediate family, and transportation
assistance, and they paid less for participation in the day program. The two
groups did not differ in length of program stay or reasons for disenrollment.
Adult day centers serve a number of individuals with diabetes with unique needs
and risks, providing an important location to test innovative and culturally
responsive approaches to disease management. Caregivers are important partners in
adult day services utilization and in diabetes management. Targeting public
funding for diabetes care within adult day centers is recommended.
PMID- 18038730
TI - Not just a middle-class affliction: crafting a social work research agenda on
postpartum depression.
AB - Postpartum depression (PPD) is a major mental health disorder that affects at
least 13 percent of new mothers and has detrimental consequences for populations
that are of concern to social workers, such as low-income women, women of color,
young women, and single mothers. Despite the relevance of PPD to multiple social
work problems and populations, the social work literature contains sparse
information about the causes of, consequences of, and treatments for PPD among
vulnerable groups of new mothers. In this article, the authors review the
literature on PPD with specific attention to the sociocultural dimensions of the
disorder, barriers to treatment, and the relationship of PPD to social work
theory and practice. The authors argue that social workers should set an agenda
for PPD research that draws on the profession's biopsychosocial perspective,
advances a culturally competent understanding of the experience of PPD, and
informs social work practice with diverse groups of new mothers.
PMID- 18038731
TI - Bringing it all back home: social work and the challenge of returning veterans.
PMID- 18038732
TI - Social justice, respect, and meaning-making: keys to working with the homeless
elderly population.
PMID- 18038733
TI - The making of a resource center for homeless people in San Francisco's Mission
District: a community collaboration.
PMID- 18038734
TI - The new 80.
PMID- 18038735
TI - Starving with age.
PMID- 18038736
TI - Fatal missteps.
PMID- 18038737
TI - Geriatric gamers.
PMID- 18038738
TI - Physician autonomy in the age of accountability.
PMID- 18038739
TI - The memory keepers.
PMID- 18038740
TI - Coming of age.
PMID- 18038741
TI - Candles, dishwashers and Alzheimer's disease.
PMID- 18038742
TI - Genes, aging, and prospects for extended life span.
AB - Our current understanding of the genetics of aging stems largely from 2 decades
of research involving animal models. The research is yielding evidence that aging
is a complicated process involving multiple genes and their interactions. It is
also showing that aging and life span can be manipulated. This article highlights
findings from these studies and discusses their implications. It also highlights
other research on humans and aging. The author makes the point that genetic
research will likely lead to longer human life spans but that change will be
incremental.
PMID- 18038743
TI - Sleep complaints in the geriatric patient.
AB - Difficulty sleeping is a frequent complaint of elderly patients. But poor sleep
is not a normal part of aging. This article discusses the most common sleep
problem among older adults-insomnia, its causes, and potential treatments
including over-the-counter and prescription medications and behavioral treatments
such as relaxation therapy, sleep hygiene, stimulus control, sleep restriction,
and cognitive and behavioral therapies.
PMID- 18038744
TI - Age-related hearing loss.
AB - Age-related hearing loss or presbycusis is hearing loss that progressively
worsens with age. With the expected increase in the number of elderly in the
United States, the problem is anticipated to be increasingly common, and the
impact widely felt in coming years. This article discusses the causes and
mechanisms of this type of hearing loss and current research that may lead to new
treatments.
PMID- 18038745
TI - Making child health care a political football.
PMID- 18038746
TI - A tale of tears: finding the human touch in CKD care.
PMID- 18038748
TI - Western habits in Mexico spur increase in diabetes.
PMID- 18038747
TI - It's about time.
PMID- 18038749
TI - 2005 CPMs regarding vascular access create opportunites for improving care.
PMID- 18038750
TI - Surveillance techniques: mathematical model shows frequent testing needed during
graft surveillance.
PMID- 18038751
TI - Autosomal recessive PKD in the early years.
PMID- 18038752
TI - Experience and evidence: is there enough to support funding daily in-center
dialysis?
AB - The dialysis community has increasingly shown the health benefits of short daily
hemodialysis (sDHD) at home. While this appears mainly suitable for younger and
more independent patients, it seems likely that older and frailer patients would
benefit from short daily dialysis as well. Humber River Regional Hospital has an
in-center daily dialysis program in Canada, offering services to 31 patients. The
experiences of patients, challenges for the health care team, and funding issues
are discussed and point toward a promising future for in-center daily dialysis.
However, a need for studies of greater quality and quantity is outlined as a
major obstacle in gaining widespread support for in-center daily dialysis from
the funders of dialysis care.
PMID- 18038753
TI - Should the Medicare ESRD program fund daily and nocturnal hemodialysis?
AB - A recently published paper concluded that funding for conventional hemodialysis
(CHD) should be maintained and that the newer methods of short daily (sDHD) and
nocturnal home hemodialysis (NHHD) be denied funding through Medicare until a
randomized control trial (RCT) on the benefits of sDHD and/or NHHD are complete.
This conclusion is irrespective of the fact that RCT methodology has never been
required of CHD itself and irrespective that a host of observational studies (OS)
have already confirmed comparative outcome and cost benefit from these novel
regimens. It begs the question: How can any RCT of dialysis modality, frequency,
duration, location, and lifestyle impact ever be fairly (or ethically) completed?
It also invokes a classic Catch-22 funding argument--funding should not be
accorded without a fair and ethical RCT, yet a fair and ethical RCT of widely
disparate lifestyle-impacting dialysis modalities is effectively impossible.
Meanwhile, the better observational outcomes and cost-efficiencies of sDHD and
NHHD remain tantalizingly attainable. It is time to recognize that a RCT may not
be the best way to evaluate complex dialysis modalities and that available data
is adequate to developing funding models.
PMID- 18038754
TI - Reuse vs. single use: is the tide shifting?
PMID- 18038755
TI - How to protect accounts receivables from medical partner and employee lawsuits.
PMID- 18038756
TI - Key changes to the Stark II final rule. Part 1.
PMID- 18038757
TI - Factors affecting postural stability of healthy young adults.
AB - The objective of this paper was to examine the relationship between body
balancing functions and body characteristics, motor abilities and reaction time.
Subjects were 33 university students and 11 professional basketball players
sorted into four groups of athletic and non-athletic women and men. Each group
consisted of eleven subjects. The body height, weight was measured and the body
mass index (BMI) calculated. A bioelectrical device computed the body fat (%).
Static and dynamic motor tests, as well as static and dynamic balance tests were
used. The reaction time (RT) to sound and light stimuli was measured. The
regression analysis of the data revealed significant linear relationship between
the amplitude of body sways (BS) and BMI in all groups. Also high correlation was
found between back muscle strength and BS in all groups except the non-athletic
women. Negative correlation was found between endurance capacity and BS in
basketball players, i.e. at higher endurance capacity smaller amplitude BS
occurred (r = -0.620, p < 0.04). The RT values showed significant correlations
with BS only in the basketball players (r = 0.620, p < 0.04). It is concluded
that increase in BMI, back muscle strength and endurance capacity is associated
with better postural stability. Some motor abilities (hip flexibility, vertical
jumping) show no significant correlations with body balancing, while other motor
performances (static hanging) and RT values correlate well with BS only in the
well-trained elite basketball players.
PMID- 18038758
TI - Human submandibular gland (HSG) cell line as a model for studying salivary gland
Ca2+ signalling mechanisms.
AB - The human submandibular gland cell line (HSG) has been used as a model for
studying the molecular mechanisms of salivary cells. The aim of this study was to
investigate some aspects of salivary Ca2+ signalling. We focused on the presence
and function of specific molecular markers of salivary cells to see whether this
cell line retained normal salivary characteristics, despite the neoplastic
changes. We detected the M3 acetylcholine receptor and intracellular salivary
amylase mRNA with RT-PCR. Carbachol treatment caused a rapid, transient elevation
of [Ca2+]i, showing that the cholinergic receptors are functional in HSG cells.
Protein kinase C activation by phorbol-esther PMA, prior to carbachol treatment,
inhibited the normal Ca2+ signalling pathway in HSG cells. Using selective
antagonists, we also identified the dominant muscarinic receptor subtype M3 on
HSG cells. We also observed that functional extracellular purinergic receptors
were present on HSG cells and coupled to intracellular Ca2+ signalling. Our
results suggested that the coupling mechanisms of these receptors remained
relatively intact despite the neoplastic transformation. This enables us to use
this cell line to model the role of muscarinic and purinergic control of salivary
gland function, cell proliferation and differentiation.
PMID- 18038759
TI - Enzymatic activities in brains of diabetic rats treated with vanadyl sulphate and
sodium tungstate.
AB - The hypothesis of the present study was that diabetes mellitus might affect brain
metabolism. Streptozotocin (STZ)-induced diabetic rats, treated with vanadyl
sulphate (V) and sodium tungstate (T) were employed to observe the aspartate
aminotransferase (AST), alanine aminotransferase (ALT) and creatine kinase (CK)
activities in brain homogenates. Significant increases in AST, ALT and CK
activities were found in diabetic brain homogenates against controls, suggesting
increments of transamination in brain and/or increases in cell membrane
permeability to these enzymes. The increase in brain CK possibly expresses
alterations in energy production. The decrease in CK activity caused by V and T
treatment in diabetic rats suggests that both agents tend to normalize energy
consumption. It is also possible that V and T-induced hypoglycemic effects cause
metabolic alterations in brain.
PMID- 18038760
TI - Methyl jasmonate induced apoptosis in human prostate carcinoma cells via 5
lipoxygenase dependent pathway.
AB - Methyl jasmonate--a plant stress hormone with striking resemblance to
lipoxygenase products have been reported to induce apoptosis in several cancers.
However, 5-HETE--a product of the lipoxygenase pathway has been implicated in
human prostate cancer progression and yet possible interaction between methyl
jasmonate and the lipoxygenase pathway has not been reported, thus, leaving some
unanswered questions on the mechanism(s) of action by methyl jasmonate. Using
cytotoxicity and flow cytometry assays (BrdU assay) as well as fluorescence
microscopy, we investigated the effects of the methyl jasmonate on the
proliferation of human prostate adenocarcinoma cell lines (DU-145, PC-3) in vitro
and the potential interaction between methyl jasmonate and the lipoxygenase
pathway. Methyl jasmonate (MJ) significantly (p = 0.01) inhibited the
proliferation of human prostate carcinoma cells in dose- and kinetic-dependent
manners and showed specific interaction with 5-lipoxygenase (5-LOX) enzyme
pathway. Flow cytometric analyses and fluorescence microscopy confirmed that the
inhibition of proliferation was via the induction of apoptosis. Based on our
findings, it can be proposed that the interaction of methyl jasmonate with 5
lipoxygenase pathway may participate in the observed anticarcinogenic property.
PMID- 18038761
TI - Active component of a GnRH based vaccine binds to natural GnRH receptors in
Dunning R3327-G cell line and human prostate carcinoma vesicles.
AB - An alternative approach to immunization with native GnRH for the inhibition of
gonadotropin secretion and gonadal function is the use of peptidomimetics such as
peptides of GnRH that could serve as vaccines when these are joined to more
immunogenic molecules. The GnRH sequence of many species is well-known. Reported
consensual aminoacid sequence of mammals is: Glu-His-Trp-Ser-Tyr-Gly-Leu-Arg-Pro
Gly. Most of the known GnRH sequences, including birds, fish and reptiles, have
the Glycin amino acid residue at position 6. Glycin is the smallest amino acid,
and confers flexibility to the polypeptide chain. Glycin substitution by Prolin,
to confer rigidity, and the coupling to a Tetanic Toxoid T helper epitope (GnRHm1
TT), increases considerably the immune response towards the autolog GnRH when it
is administered using an oily adjuvant. In the present study we also investigate
the capacity of GnRHm1-TT (active component of a GnRH based vaccine) to bind the
natural GnRH receptor in Dunning R3327-G cell line and vesicles of different
tissues when administered without an adjuvant. Results showed that GnRHm1-TT do
not lose the binding ability to natural GnRH receptor in vitro and in vivo. We
also demonstrated that this molecule behaves as a GnRH agonist analog when
administered intraperitoneally to Wistar rats.
PMID- 18038762
TI - Amentoflavone, a biflavonoid from Biophytum sensitivum augments lymphocyte
proliferation, natural killer cell and antibody dependent cellular cytotoxicity
through enhanced production of IL-2 and IFN-gamma and restrains serum sialic acid
and gamma glutamyl transpeptidase production in tumor - bearing animals.
AB - Modulation of immune response is highly relevant in tumor cell destruction. The
present study is focused on the effect of amentoflavone, a biflavonoid from
Biophytum sensitivum on cell-mediated immune responses in normal and tumor
bearing control animals. Tumor was induced in BALB/c mice by intraperitoneal
injection of Ehrlich ascites carcinoma cells. Treatment of amentoflavone
significantly enhanced natural killer cell activity in normal (42.8% cell lysis)
and tumor bearing animals (48.2% cell lysis) on the fifth day, which was much
earlier compared to tumor-bearing control animals (20.2% cell lysis on day 9).
Antibody-dependent cellular cytotoxicity was also increased in amentoflavone
treated normal (41% cell lysis on day 9) and tumor bearing animals (43.8% cell
lysis on day 9) compared to untreated tumor bearing control animals (maximum of
15.2% cell lysis on day 13). Amentoflavone administration could significantly
enhance the mitogen-induced splenocyte, thymocyte, and bone marrow cell
proliferation. Treatment of amentoflavone significantly elevated the production
of interleukin-2 and interferon-gamma in normal and Ehrlich ascites carcinoma
bearing animals. Moreover amentoflavone treatment significantly reduced the
elevated levels of serum sialic acid and serum gamma glutamyl transpeptidase
activity in tumor bearing animals.
PMID- 18038764
TI - EGFR inhibitor-mediated apoptosis in solid tumors.
AB - The epidermal growth factor receptor (EGFR) plays an important role in the
development and progression of solid tumors. Growing evidence suggests that EGFR
activation also mediates resistance to chemotherapy and radiation therapy.
Studies elucidating the biochemical basis of these observations have demonstrated
that EGFR inhibition down-modulates mitogen-activated protein kinase (MAPK) or
PI3-K/Akt-dependent survival pathways in many tumor types and is associated with
a proapoptotic shift in Bcl-2 expression and/or activation. Although research to
date has focused on well-characterized survival pathways, other pathways in the
complex EGFR signaling network may also be involved in tumor survival. Whereas
suppressing EGFR signaling may be insufficient to fully induce apoptosis, it may
prime neoplastic cells for apoptosis induced by other cytotoxic stimuli.
Preclinical and clinical data show that inhibition of EGFR, together with
enhanced induction of apoptosis, may counter resistance to chemotherapy and
radiation therapy, both of which have been shown to induce EGFR-dependent
survival responses. Further study of EGFR-modulated apoptotic pathways may
facilitate the rational development of improved combination regimens.
PMID- 18038763
TI - The effect of Tc-99m-labeled MDM2 antisense oligonucleotide on gene expression in
human breast cancer MCF-7 cells.
AB - To investigate the effect of radiolabed mouse double minute 2 (MDM2) antisense
oligonucleotide on gene expression in human breast cancer MCF-7 cells, an
antisense oligonucleotide (ASON) targeting MDM2 mRNA was synthesized and
radiolabeled with 99Tcm. The labeling efficiency, radiochemical purity, and the
ability of labeled ASON to hybridize to the sense oligonucleotides (SON) were
investigated. To study whether the antisense probe hybridizes to respective
sequence on MDM2 mRNA strand after radiolabeling, cells were incubated with
radiolabeling oligonucleotides antisense oligonucleotide (0, 100, 500 nm/L) or
mismatch oligonucleotide (ASONM) (500 nm/L) for 24 h, in the presence of
Lipofectin 2000. RT-PCR and Western blotting was carried out to measure the MDM2
mRNA and protein levels. The antisense oligonucleotide was radiolabeled with the
bifunctional chelator HYNIC at the labeling efficiency of 57.2 +/- 2.98% (n = 5)
and the mismatch oligonucleotide was 56.3 +/- 3.01% (n = 5). The radiochemical
purity was above 95% and labeled antisense oligonucleotide has the ability to
hybridize to the sense oligonucleotide. The levels of mRNA and protein have
significant differences in different concentration groups. The oligonucleotide
can be successfully radiolabeled, and specially hybridized to the MDM2 mRNA and
inhibit gene expression intensively as compared to mismatch oligonucleotide. This
method will be very useful in the in vivo investigation of tumor targeting.
PMID- 18038765
TI - Development of polyclonal antibodies specific to ATP-binding cassette
transporters human ABCG4 and mouse Abcg4: site-specific expression of mouse Abcg4
in brain.
AB - In our recent study on seeking new mouse ATP-binding cassette (ABC) transporters
of the G subfamily, we succeeded in cloning mouse Abcg4 from a cDNA library of
mouse brain, and we characterized the tissue-specific expression and chromosomal
localization of the mouse Abcg4 gene. To further characterize the physiological
function of mouse Abcg4 protein and to compare its function with that of ABCG2,
in the present study, we developed polyclonal antibodies against mouse Abcg4 and
established the Abcg4-expression system. To raise antibodies, we selected three
different epitope peptides that correspond to the amino acid residues of 46-60,
465-479, and 600-613 in mouse Abcg4 protein. The antibody raised against the
epitope encoding the amino acids 46-60 was found to be specific to mouse Abcg4,
exhibiting a band with molecular weight of 63,000 on immunoblotting, whereas this
band was dose-dependently diminished by adding the corresponding epitope peptide
into the immunoblot medium. Use of the antibody for immunoblot detection in mouse
normal tissues revealed that the Abcg4 protein is expressed in brain, spleen, and
testis. Immunohistochemical studies showed that mouse Abcg4 is site-specifically
expressed in the cerebral cortex and medulla of mouse brain. These results
suggest that mouse Abcg4 plays a certain physiological role in the brain. It is
of importance to note that the sequence of amino acids 46-60 is completely
identical between mouse Abcg4 and human ABCG4. Thus, this antibody is applicable
to the detection of human ABCG4 as well as mouse Abcg4.
PMID- 18038766
TI - Nrf2-dependent and -independent induction of ABC transporters ABCC1, ABCC2, and
ABCG2 in HepG2 cells under oxidative stress.
AB - Nrf2, an NF-E2-related transcription factor, plays a critical role in
transcriptional upregulation of many target genes, including those for
metabolizing enzymes and transporters essential for cellular defense in response
to oxidative and/or electrophilic stress. In the present study, we have studied
the potential involvement of Nrf2 in induction of human ABC transporter genes
under oxidative stress. We created a real-time PCR primer set to quantitatively
investigate the induction of human ABC transporters by a redox-active compound
tert-butylhydroquinone (tBHQ) in HepG2 cells. We found that mRNA levels of ABCC1,
ABCC2, ABCC3, and ABCG2 were significantly elevated in dose- and time-dependent
manners. Translocation of Nrf2 into the nuclei occurred concomitantly with the
induction of ABCC1 and ABCC2 as well as both heavy and light chains of gamma
glutamylcysteine synthetase (gamma-GCSh and gamma-GCSI) during tBHQ treatments.
To examine the potential involvement of Nrf2 in upregulation of the ABC
transporters, we treated cells with siRNA to knockdown the expression of Nrf2.
Under such Nrf2-knockdown conditions, tBHQ-induced mRNA levels of ABCC2 and ABCG2
were significantly suppressed as were mRNA levels of gamma-GCSh and gamma-GCSI.
Interestingly, however, the elevated mRNA level of ABCC1 was little affected by
Nrf2 siRNA treatment. We also addressed the involvement of Keap1, which is a
negative regulator of Nrf2 by retrieving it in the cytoplasm. When HepG2 cells
were treated with Keap1-specifc siRNA, a significant increase was observed in
mRNA levels of ABCC1, ABCC2, and ABCG2 as well as gamma-GCSI, suggesting that
induction of ABCC2 and ABCG2 by tBHQ is mediated by the Nrf2/Keap1 system,
whereas the induction of ABCC1 may involve a Keap1-dependent but Nrf2-independent
mechanism.
PMID- 18038767
TI - [A case of antimony resistant kala-azar cured with amphotericin B].
PMID- 18038768
TI - [Inheritance and development of "traditional" parasitology].
PMID- 18038769
TI - [Cloning, expression and analysis of the heat shock protein of Cryptosporidium
andersoni].
AB - OBJECTIVE: To clone and express the partial encoding sequence of Mr 70,000 heat
shock protein of Cryptosporidium andersoni (CaHSP70) in Escherichia coli and
identify the recombinant protein. METHODS: Total RNA was extracted from oocysts
of C. andersoni isolated from Xuzhou, Jiangsu (XZ-BOV). The CaHSP70 gene was
amplified by RT-PCR. The PCR product was cloned and then subcloned into pET28a
vector, and the recombinant plasmids were transformed into E.coli BL21(DE3)
subsequently. The expressed protein induced by IPTG was purified and identified
by SDS-PAGE and Western blotting, and was further analyzed by relevant
bioinformatics softwares. The specific IgG antibodies in mice immunized by
rCaHSP70 were detected by Western blotting and ELISA respectively. RESULTS: The
deduced amino acid sequence showed to be identical with that of C. andersoni Mr
70,000 heat shock protein (HSP70). The recombinant protein expressed in the form
of inclusion body was about Mr 43,000. It could be recognized by anti-His G
labeled HRP antibodies and all the sera from mice infected with C. andersoni and
children infected with C. parvum as well as sera from mice immunized with
rCaHSP70 respectively. The rCaHSP70 possibly had multiple domains and potential
antigenic determinants. Phylogenetic analysis showed that XZ-BOV and C. andersoni
were in the same clade. ELISA showed that the level of specific antibodies
against rCaHSP70 in immunized BALB/c and C57BL/6 mice was significantly higher
than that of mice before immunization. CONCLUSION: The recombinant plasmid pET28a
CaHSP70 has been constructed. The purified rCaHSP70 exhibits high antigenicity
and seems a potential candidate antigen for immunodiagnosis of cryptosporidiosis.
PMID- 18038770
TI - [Anti-Trichinella antibody level in muscle juice of experimentally infected
mice].
AB - OBJECTIVE: To detect the anti-Trichinella antibody level in muscle juice of
experimentally infected mice and their correlation with serum antibodies.
METHODS: Two hundred and eighty-eight Kunming mice were randomly divided into 3
groups (96 mice each), each mouse was inoculated with 100, 300 or 500 muscle
larvae of T spiralis, respectively. Anti-Trichinella antibodies in serum and
muscle juice taken weekly up to 18 weeks post-infection (wpi) were detected by
ELISA using T. spiralis muscle larval excretory-secretory (ES) antigens. Thirty
mice were inoculated with T. spiralis muscle larvae(500 larvae each). The muscle
samples taken in 6 wpi were kept in plastic containers and conserved at 4 degrees
C for 7 days or at -20 degrees C for 20 weeks for detecting anti-Trichinella
antibodies later. RESULTS: Anti-Trichinella antibodies in muscle juice of the
mice infected with 100, 300 or 500 larvae were detected in 4, 3 and 3 wpi, with
antibody positive rate of 87.5%, 50% and 87.5% respectively. In the three groups
of mice, the antibody positive rate of muscle juice increased gradually after
infection and up to 100% in 6, 4 and 4 wpi, and the antibody level reached its
peak in 8 wpi with an absorbance value of 0.43, 0.49 and 0.52 respectively.
Thereafter, the antibody level decreased slightly, but the positive rate was
still 100% and lasted to 18 wpi when the experiment was ended. The antibody level
in muscle juice showed significant positive correlation with serum antibodies at
different time intervals after infection in three groups (r100=0.940, r300=0.970,
r500=0.983, P<0.05). The absorbance value of muscle samples conserved at 4
degrees C for 7 d and 1 d was the same (0.53) (F=0.250, P>0.05), and those
conserved at -20 degrees C for 8 wk and 1 wk was 0.46 and 0.50 respectively,
showing that the antibody level in muscle juice did not decreased considerably
after the muscle samples were frozen at -20 degrees C for 8 weeks (F=2.273,
P>0.05). The absorbance value of Trichinella-infected muscle conserved at -20
degrees C for 10 wk decreased to 0.43, with significant difference from that
conserved at -20 degrees C for 1 wk, but the positive rate was also 100%, and
antibodies were detected in all muscle samples conserved at -20 degrees C for 20
weeks when the experiment was ended. CONCLUSION: When animals died or were
slaughtered and serum samples could not be collected, muscle juice can be
collected from fresh, cool and frozen meat and used as a substitute sample for
detecting anti-Trichinella antibodies.
PMID- 18038771
TI - [Effectiveness of routinely used assays for the diagnosis of schistosomiasis
japonica in the field].
AB - OBJECTIVE: To evaluate the effectiveness of routinely used assays for
schistosomiasis diagnosis in the field. METHODS: From late November to early
December 2005, 6-65 years old inhabitants from 3 endemic villages were examined
by Kato-Katz technique (3 thick smears) and nylon bag sedimentation/hatching
method. At the same time, dipstick dye immunoassay(DDIA), fast enzyme linked
immunosorbent assay (F-ELISA), indirect haemagglutination test A (IHA-A) and B (
IHA-B) were carried out in parallel RESULTS: 1864 people were examined by stool
examination with an average positive rate of 9.7%. The missing rate of DDIA was
relatively stable in medium and heavily endemic areas of schistosomiasis. The
missing rate of nylon bag sedimentation/hatching method was 25% and relatively
stable when the number of eggs per gram of feces(EPG) was larger than 100. The
average positive rate of DDIA, F-ELISA, IHA-A and IHA-B was 47.8%, 50.0%, 66.3%
and 40.1% respectively. Using stool examination as the gold standard, the
sensitivity of DDIA, F-ELISA, IHA-A and IHA-B was 75.3%, 65.8%, 85.6% and 76.0%;
and the specificity was 55.1%, 51.7%, 35.7% and 63.6%, respectively. Among the
four sero-diagnostics, the specificity, Youden index, positive likelihood rate
and coincidence of IHA-B were the highest. CONCLUSION: Kato-Katz method is more
stable and effective than nylon bag sedimentation/hatching method in medium and
heavily endemic areas of schistosomiasis japonica. The sensitivity and
specificity of these four diagnosis kits are lower than 90%.
PMID- 18038772
TI - [Potential risks for transmission of schistosomiasis caused by mobile population
in Shanghai].
AB - OBJECTIVE: To understand the potential risk for schistosomiasis transmission
caused by introduction of infection source from mobile population in Shanghai.
METHODS: Field investigation was conducted in the suburb of Shanghai City by
screening the mobile population living in Shanghai for more than 1 month and over
1 years old in a procedure of interviewing, serum indirect hemagglutination (IHA)
test, and then fecal examination to detect the eggs with nylon sedimentation
approach for those IHA positives. RESULTS: Among 2,931 mobile people
investigated, 1,575 were male (53.74%) and 1356 were female(46.26%); 138 out of
2931 were positive in IHA test (4.71%). 1938 (66.12%) out of 2 931 came from
Schistosoma japonicum-endemic provinces and its positive rate in mobile
population (5.99%) was significantly higher than those from the transmission
interrupted provinces (2.6%) (chi2=10.28, P<0.01), and those from non-endemic
provinces (1.68%) (chi2=12.86, P<0.01). The 138 IHA positives all showed negative
in fecal examination. In accordance with the serum positive rate and egg
infection rate in the national reporting system in 2004, it was estimated that
there would be about 13 356 and 1 699 potential serum positive cases respectively
from endemic area and transmission controlled area, and about 2,168 and 255 egg
positive cases from the two kind areas respectively, majority of the cases were
from Anhui Province. CONCLUSION: Schistosomiasis transmission risks potentially
exist in Shanghai suburb due to the introduction of infected mobile people from
other endemic provinces, and a surveillance system and quick response are needed
for the possible re-emergence of the disease.
PMID- 18038773
TI - [Apoptosis of human leukemia K562 cell in vitro induced by Toxoplasma gondii].
AB - OBJECTIVE: To investigate whether the Toxoplasma gondii can inhibit proliferation
of human leukemia K562 cells and/or induce apoptosis of the cells in vitro.
Methods K562 cells (5x10(4)/ml) were harvested at mid-exponential phase and
planted in 96 well plates with 100 microl each and in 50 ml culture bottles, 1.5
ml each. The cells were treated for 48 hours with different concentration of
Toxoplasma tachyzoites. Growth inhibition rate was measured with MTT method.
Apoptosis was detected through following ways: fluorescence microscopy with
Hoechst 33 258 staining was used for observing the change of cell morphology,
agarose electrophoresis was used to detect the DNA changes and FCM was used to
observe sub-diploid. RESULTS: Toxoplasma can inhibit proliferation of K562 cells.
K562 cells treated with Toxoplasma presented an inhibition rate of 17%, 28%, 48%,
50% and 55% under the tachyzoite concentration of lxl0(4), 2x10(4), 4x 10(4),
8x10(4) and 16xl0(4)/ml respectively, with a significant difference to the
control (t=3.606, 5.918, P<0.05; t=9.171, 7.841 and 7.067, P<0.01). Cell
contraction and apoptotic bodies were observed under fluorescence microscope. DNA
fragment was shown through agarose electrophoresis. Flow cytometric analysis
showed an apoptosis peak at 48h. The apoptosis rate was 5.53%, 7.12%, 10.34%,
21.14% and 29.68% respectively. CONCLUSION: Toxoplasma gondii inhibits
proliferation and induces cell apoptosis in K562 cells in vitro.
PMID- 18038774
TI - [Changes of CD4+CD25+ T cells in the spleen of mice infected with Toxoplasma
gondii].
AB - OBJECTIVE: To observe the changes of CD4+CD25+ regulatory T cells in the spleen
of mice infected with T.gondii. METHODS: Twenty-eight female C57BL/6 mice were
randomly divided into four groups. Three groups of mice were inoculated
intraperitoneally with 10(4) tachyzoites in 200 microl sterile PBS. At 2, 4 and 6
days post-infection, the spleens were removed. The expression level of Foxp3 mRNA
in splenic CD4+ T cells was quantitated by real-time PCR. The percentage of
CD4+CD25+ regulatory T cells in CD4+ T cells was determined by flow cytometry,
and the absolute numbers of splenic CD4+CD25 - regulatory T cells and CD4+ T
cells were assessed. The fourth group was injected intraperitoneally with 200
microl sterile PBS as control. RESULTS: The relative mRNA level of Foxp3 in
splenic CD4+ T cells at day 4 (1.89+/-0.23) and day 6 (1.79+/-0.24) post
infection was significantly higher than control (1.00+/-0.12) (P< 0.01). After an
initial up-regulation at 2 days post-infection (15.07%+/-2.73%) (P<0.05), the
proportion of CD4+CD25+ regulatory T cells in CD4+ T cells at day 4 (24.29%+/
3.19%) and day 6 (19.80%+/-2.66%) post-infection was significantly higher than
control (11.58%+/-2.04%) (P<0.01). At day 6 post-infection, both the percentage
of splenic CD4+ T cells in splenocytes(5.49%+/-l.71%) and absolute number of CD4+
T cells (1.71+/-0.44)x106 greatly decreased(P<0.01). CONCLUSION: The proportion
of splenic CD4+CD25+ regulatory T cells in CD4+ T cells has been up-regulated
following T. gondii infection, which is mainly due to a great reduction of CD4+ T
cells in the spleen.
PMID- 18038775
TI - [Production and identification of chicken egg yolk antibodies against soluble egg
antigen of Schistosoma japonicum].
AB - OBJECTIVE: To produce and purify egg yolk immunoglobulin against soluble egg
antigen (SEA) of Schistosoma japonicum, and evaluate its specificity and
sensitivity. METHODS: 25-week old hen was intravenously and subcutaneously
immunized with SEA of Schistosoma japonicum for 4 times. Each hen was first
immunized with 60 microg SEA and subsequent injections were performed at 10-day
intervals with 30 microg SEA. IgY was extracted from eggs of hen 35 d after the
first inoculation by WD (water-dilution) method, eggs from non-immunized hen were
used as negative control. The protein concentration of IgY was measured by BCA
method, and IgY was analyzed by SDS-PAGE and Western blotting. SEA-based ELISA
was used to evaluate the specificity and sensitivity of the IgY. RESULTS: 61 mg
IgY was extracted from one egg. The results of SDS-PAGE and Western blotting
demonstrated that the IgY contained one major protein band with molecular weight
of 130,000 and could be recognized by SEA. Specific IgY could be immediately
detected by SDS-PAGE and ELISA in the eggs laid by the hens from 10 days after
the first immunization. On day 31 after the primary immunization, the antibody
titer reached 1:1 600. 2.4 ng/ml SEA was detected by IgY based-sandwich ELISA,
which indicated a high sensitivity of the purified IgY. CONCLUSION: Anti-SEA IgY
with high specificity and sensitivity has been obtained and purified.
PMID- 18038776
TI - [Ciliated protozoan in sputum of a pneumonia patient].
PMID- 18038777
TI - [Enzyme histochemistry: the effect of META-Li on Oncomelania hupensis].
AB - OBJECTIVE: To explore the killing mechanism of META-Li against Oncomelania
hupensis by observing the change of enzyme activity in snail tissue. METHODS:
Sixty snails were divided into 2 groups. Snails in experiment group were immersed
in META-Li (100 mg/L) for 2d and soft tissue was separated for frozen sections.
Histochemical staining for the enzymes CCO, LDH, SDH, AChE and NOS was done by
routine method and the average grey density was measured under microscopy. Tissue
sections of 10 snails were used to detect grey density for each enzyme. Snails
without META-Li treatment served as control. RESULTS: The enzyme activity of CCO
and AChE in the experiment group was significantly lower than that in the control
(t=12.26, P<0.01), that of LDH and NOS in the experiment group was significantly
higher than that in the control (t=3.41, P<0.05). There was no significant
difference on the enzyme activity of SDH between the two groups (t=0.51, P>0.05).
CONCLUSION: The snail-killing effect of META-Li may be relevant to the enzyme
activity in energy metabolism and the blocking of the nerve transmission.
PMID- 18038778
TI - [Bioinformatics analysis for the structure and function of lactate dehydrogenase
from Schistosoma japonicum].
AB - OBJECTIVE: To predict the structure and function of SjLDH using bioinformatics
method. METHODS: By online analysis at bioinformatics websites such as NCBI
(http://www.ncbi.nlm.nih.gov/) and Expasy (http://cn.expasy. org/), and employing
software packages such as Vector NTI suite and PCgene to do multi-sequence
homological alignment, phylogenetic analysis, secondary structure and topological
prediction, homology modeling of tertiary structure, antigenic epitope analysis,
etc. RESULTS: Same conservative sites and key catalytic sites existed among SjLDH
and LDHs from other species. Similarity of SjLDH compared to CsLDH, TvLDH and
HsLDH was 75%, 17%, 58%-60% respectively. Phylogenetic analysis demonstrated that
the evolution relation between SjLDH and DmLDH was closer than the relation
between SjLDH and CeLDH, the relationship between SjLDH and HsLDH-B, -C was
closer than HsLDH-A. Three transmembrane regions were found, the region of 98aa
106aa in three hydrophilic regions located outside of membrane was inferred as
the major antigen epitope. This antigen epitope had significant difference with
LDHs from protozoon (Pf., Tg., Tv.) and had 1-3 amino acid residue difference
with MmLDH, HsLDH-A, -B, -C, and was the same with CsLDH. One of the key
catalytic residues and substrate (pyruvate) binding loop were located in this
region. Tertiary structure demonstrated that 98aa-106aa was on the surface of the
protein and formed a substrate binding loop, other two key catalytic sites were
at the position near the loop. CONCLUSION: The prediction implied that LDH was an
ideal molecule for phylogenetic analysis; SjLDH might be a potential molecular
target for immunodiagnosis, anti-schistosome drug and vaccine development.
PMID- 18038779
TI - [In vitro observation on effect of nitric oxide on exflagellation of Plasmodium
yoelii].
AB - OBJECTIVE: To observe the effect of nitric oxide (NO) on exflagellation of
malaria parasite. METHODS: The level of parasitemia and gametocytaemia in DBA/2
mice infected with Plasmodium yoelii 17XL was measured by scanning Giemsa-stained
blood smears, and the NO level in culture supernatant of splenocytes was checked
using Griess reaction. The mice were injected with different doses of NO donor
(NOC5) on day 4 post-infection, and control mice were injected with NOC5
precursor. On day 6 post-infection, mice were injected with NOS inhibitor (L
NMMA), and control mice were injected with D-NMMA and PBS, respectively. Blood
samples were collected from tail vein of mice before injection, 30 and 60 min
after being injected with NOC5 and NOC5 precursor, 4 and 8 h after being injected
with L-NMMA, D-NMMA, and PBS respectively. Exflagellation number of gametocytes
in blood culture was counted under microscope. Results The NO level in culture
supernatant of splenocytes from mice on day 4 and 6 post-infection was 16.5
mmol/L and 30.4 mmol/L, and exflagellation number was 11.33 and 0.66,
respectively. The number of exflagellation in parasitized erythrocytes, obtained
from mice on day 4 post-infection, was 5.33 and 2.66, respectively, 30 and 60 min
after injection of 1 mg NO donor (NOC5), significantly lower than that of the
control (P<0.01). The number of exflagellation in parasitized erythrocytes
derived from mice on day 6 post-infection was 1.83, 8 h after the injection of
NOS inhibitor (L-NMMA), which was significantly higher than that of the control
(P<0.01). CONCLUSION: NO is a major effector molecule resulting in natural
transmission-blocking of malaria parasite by directly inhibiting exflagellation
of male gametocytes.
PMID- 18038780
TI - [Study on the variation of lymphocytes and cytokines in patients with
echinococcosis granulosus].
AB - OBJECTIVE: To investigate the variation of lymphocytes and cytokines in patients
with cystic echinococcosis (CE). METHODS: 80 CE patients who were diagnosed for
the first time (60 of Han and 20 of Uygur nationality), and 37 patients who were
to accept the second surgical operation(24 Han and 13 Uygur nationality) were
included in the study. The peripheral lymphocytes of patients before operation
were analyzed by flow cytometry (FCM) to detect T and B lymphocytes, NK cells
bearing surface markers, as well as Thl cytokine IFN-gamma and Th2 cytokine IL-4
in the cytoplasm of lymphocytes. 179 healthy persons served as control. RESULTS:
In the group of Han patients who were diagnosed for the first time, the
percentage of total T cells(CD3+) was lower than the control (P<0.05), while
among patients accepting the second operation, the ratio of total T cells showed
no difference to the control. For the helper T cells (CD3+/CD4+), NK cells
(CD3+/CD16,56+) and B cells (CD3+/CD19+), their ratio were significantly lower in
both groups than the control (P<0.01), but their cytotoxic T cells (CD3+/CD8+)
were higher than the control. In Uygur patients diagnosed for the first time, B
cell ratio was lower than that in control (P<0.05). The NK cell level in both
groups of patients was lower than control (P<0.01 and P<0.05 respectively). The
level of ThO and Th1 showed no statistical difference among the three groups
(P>0.05). The Th2 level was significantly higher in the first diagnosed patients
than control (P<0.01), but no statistical difference between the group with the
second operation and the other two groups. CONCLUSION: The immune status of
echinococcosis patients is inhibited and the level of Th1 and Th2 shows
difference in the first and second operation groups.
PMID- 18038781
TI - [Sensitivity, specificity and stability of the Tag-primer nested/multiplex PCR
for malaria diagnosis].
AB - OBJECTIVE: To improve the sensitivity, specificity and stability of the Tag
primer nested/multiplex PCR for malaria diagnosis. METHODS: Filter paper blood
samples were collected from 30 non-malaria fever patients and 20 infectious
disease patients (common cold, influenza, typhoid, hepatitis, etc.). Four ml
blood each taken from one falciparum malaria patient and one vivax malaria
patient was serially diluted. Healthy blood sample was used as negative control.
Improved direct heating method was used to prepare DNA template. The cytochrome
oxidase gene (coxI) located in mitochondrion was selected as target gene.
Relevant web resources and software (PUBMED, NCBI-BLAST, Mfold server and Primer
Premier 5.0) were employed to design and optimize Tag-primer nested/multiplex PCR
(UT-PCR) which was used to test all blood samples. RESULTS: A 611 bp band and a
255 bp band were seen in serially diluted infected blood samples (1,000, 100, 10
and 1 parasite/microl) from P.f and P.v patient tested by UT-PCR. The detection
limit of either P. falciparum or P. vivax reached 1 parasite/microl, and the
tested blood samples of non-malaria fever patients, patients with other
infectious diseases and healthy persons were all negative. Consistent results of
each sample in more than 3 duplicated tests were obtained. CONCLUSION: The
optimized Tag-primer nested/multiplex PCR shows high sensitivity, specificity and
stability in malaria diagnosis.
PMID- 18038783
TI - [Study on 5S rDNA sequence of two isolates of Trichinella from Guangxi].
AB - OBJECTIVE: To analyze 5S ribosomal DNA (5S rDNA) sequences of two Trichinella
isolates from Guangxi. METHODS: The fragments of 5S rDNA were obtained by PCR
from the isolates of Debao and Nandan, and sequencing was made for the PCR
products. Homogeneity, genetic distance matrix and phylogenetic tree were
analyzed by related software. 5S rDNA sequences of the two isolates were compared
separately with those of Trichinella species in GenBank. RESULTS: 5S rDNA
sequences of three Trichinella isolates (Debao, Nandan and T. spiralis) showed
the same length at 695 bp. There were 4 variable positions. The homogeneities of
Debao and Nandan isolates with T. spiralis were 99.0% and 99.1% respectively. The
homogeneities between Debao isolate and Nandan isolate was 98.8%. Compared with
other Trichinella isolates in GenBank, they were all less than 94.2%. The
evolutionary distance among isolates of Debao and Nandan and T. spiralis was
0.014. Meanwhile, the evolutionary distances between the Guangxi isolates and
other Trichinella isolates in GenBank were more than 0.056. Phylogenetic tree
analysis revealed that two isolates of Guangxi and T. spiralis located at the
same node, revealing a close relationship. Bootstrap confidence values in two
phylogenetic trees were 96 and 99, respectively. CONCLUSION: The two Trichinella
isolates of Guangxi show a high homogeneity with T. spiralis, locate at the same
nodes in phylogenetic tree,suggesting that the Debao and Nandan Trichinella
isolates be identified as T. spiralis.
PMID- 18038782
TI - [Study on immuno-effect with GRA4 or SAG2 gene recombinant BCG vaccine of
Toxoplasma gondii].
AB - OBJECTIVE: To compare the immuno-protection induced by the recombinant BCG
vaccine of Toxoplasma gondii GRA4 gene (rBCG-GRA4) and SAG2 gene (rBCG-SAG2) in
BALB/c mice. METHODS: 108 SPF BALB/c mice were divided into 6 groups: PBS, BCG,
rBCG, rBCG-GRA4, rBCG-SAG2 and rBCG-GRA4+SAG2, each with 18 mice. Each mouse was
injected by 100 microl corresponding materials for 2 times. Blood was taken from
tail vein before inoculation. 4,6 and 8 weeks after inoculation, spleen was moved
and blood was taken from orbit vein of 3 mice from each group for the detection
of cytokines, IgG and IgM antibodies, T lymphocyte subgroups and transformation
efficiency. 3 weeks after the last inoculation, 9 mice from each group were
challenged intraperitoneally with 50 tachyzoites of T. gondii RH strain and their
survival time was observed. RESULTS: rBCG vaccine of T. gondii induced immune
response. The value of CD3+ CD4+/CD3+CD8+ of group BCG-GRA4+SAG2 was the highest
(14.06+/-1.17) in the 4th week; the IgG titer in the BCG-GRA4+SAG2 group was the
highest (0.18+/-0.02) in the 6th week and the IgM titer in the BCG-SAG2 group was
the highest (0.82+/-0.05) in the 8th week. The average survival time of the mice
in BCG-SAG2 group was about 8.61 days after challenged with tachyzoites, and that
of the PBS control group, 7.33 days. The average survival time in the 3 immunized
groups was one day longer than that of the control. CONCLUSION: The rBCG vaccine
of T. gondii shows certain immuno-protection in mice.
PMID- 18038784
TI - [Factors affecting malaria outbreak in Congjiang county of Guizhou Province].
AB - OBJECTIVE: To make a field investigation on the affecting factors of malaria
outbreak in a village of Congjiang County, Guizhou Province. METHODS: The
investigation was made in August, 2006. Filter paper dry blood samples were taken
for indirect fluorescent antibody test (IFAT) from all the 495 residents above 1
year-old in the village where an outbreak of malaria was reported.
Questionnairing was conducted in 423 villagers over 10 years-old, covering
malaria history in the past 2 years, knowledge on malaria and its control, use of
mosquito nets, and out-door sleeping habit. Data on febrile outpatients were
collected from the records of the township health center for analyzing the
compliance of the patients in seeking medical services. Mosquito collecting by
human-bait before mid-night, and in mosquito nets and cattle pens in early
morning was performed for mosquito composition and man-biting rate. RESULTS: Re
examination of the 42 positive blood smears confirmed 12 positives of P. vivax
infection. The malaria incidence in 18 d was 2.1%, including 4 cases clinically
diagnosed. The antibody positive rate of IFAT in the population was 8.7% (43/495)
with a positive GMRT of 20.6, overall GMRT of 10.6; the IFAT positive rate in the
age group of under 5 was 7.5% (3/40) with a GMRT of 25.1. The rate of seeking
medical advice among febrile patients was 81.3% (118/145), 78.8% (93/118) of
which being in the village clinic. The average time of going to a doctor after
fever was 3.9 days, 37.4% (195/521) and 3.3% (17/521) were in 4-6 days and over
10 days respectively, with the longest 26 days. The average knowledge rate on
malaria was 25.5% (108/423), with 17.1%, 29.2% and 40.0% in the groups of
illiteracy, primary school and high school education respectively. A statistical
significance was found between primary school/high school education and the
illiteracy (P<0.01). The average rate of using mosquito nets was 31.0% (131/423),
out-door sleeping rate was40.7% (172/423). The radical cure rate in 2004 and 2005
was 68.2% (15/22) and 48.3% (14/29) respectively. In addition to Anopheles
sinensis, An. anthropophagus and An. minimus also existed in rooms and nets with
a man-biting rate of 0.0566 and 0.0755 respectively. CONCLUSIONS: Three species
of anopheline mosquitoes are the important transmitting vectors. Poor self
protection, outdoor sleeping habit, delayed examination and treatment, and
irregular chemotherapy among the residents are the main factors resulting malaria
outbreak.
PMID- 18038785
TI - [An epidemiological survey on echinococcosis in Zhiduo County of Qinghai
Province].
AB - The survey was carried out in July, 2006 in Zhiduo County. The IHA and ELISA
positive rate in human population was 4.5% (42/933) and 8.2% (76/931)
respectively. Ultrasonography revealed a morbidity of 3.4% (33/979) with 3.2%
Echinococcus granulosus and 0.2% of E. multilocularis respectively. Animal
dissection showed an infection rate of 15.1% (14/93) in pikas with one infected
by E. shiquicus proved by molecular biology. Coproantigen rate by ELISA was 62%
(12/193) in dogs and 35.7% (5/14) in wolves. The results indicated that Zhiduo
County is a mixed endemic area for echinococcosis.
PMID- 18038786
TI - [Study on the feasibility for ARIMA model application to predict malaria
incidence in an unstable malaria area].
AB - OBJECTIVE: To explore the application of seasonal time series ARIMA model in
prediction of malaria incidence in an unstable malaria area. METHODS: SPSS13.0
software was used to construct the ARIMA model based on the monthly malaria
incidence of Huaiyuan and Tongbai counties in Huaihe River Valley, from Jan. 1998
to Dec. 2005, with consideration of residual un-correlation and conclusion.
Akaike's information criterion (AIC) and Bayesian information criterion (BIC)
were used to confirm the fitness of model. The constructed model was then applied
to predict the monthly malaria incidence in 2006 and the incidence from ARIMA
model was compared with the actual incidence, so as to evaluate the model's
validity. Malaria incidence of 2007 was predicted by ARIMA model based on malaria
incidence from 1998 to 2006. RESULTS: Statistics assisted estimation of the
significance of the fitted autoregressive and seasonal moving average
coefficients (AR1=0.512, SMA1=0.609, P<0.01). ARIMA (1,0,0)(0,1,1)12 model, with
AIC=67.01, BIC= 71.87 and white noise for predicting error, exactly fitted the
incidence of the previous monthly incidence from Jan. 1998 to Dec. 2005, and the
predicted monthly incidence in 2006 by the model was consistent with the actual
incidence. Malaria incidence of 2007 would be 106.50/100 000, with a peak
incidence during July and October. CONCLUSION: The model of ARIMA seems to be an
appropriate model to fit exactly the changes of malaria incidence and to predict
the future incidence trend, with a high prediction precision of short term time
series.
PMID- 18038787
TI - [Malaria complicated with HIV infection: 9 cases].
PMID- 18038788
TI - [Application of reverse vaccinology in Schistosoma vaccine development: advances
and prospects].
AB - OBJECTIVE: Current advances in reverse vaccinology based on the principle of
"sequence-structure-function" and such integrated platform technologies as
immunoinformatics, computer-aid design, and various high-throughput omics
(including genomics, transcriptomics and proteomics) may pave a new way for the
discovery of candidate vaccine molecules against schistosomiasis. Both
theoretical prediction and experimental approaches conventionally used in the
field of reverse vaccinology are briefly introduced in this review; and the
applications of these approaches to screening and confirming candidate
Schistosoma vaccine molecules are also summarized. Furthermore, potential
research prospects of the application of reverse vaccinology to Schistosoma
vaccine development are discussed by simulating immune effect mechanisms of
immunization with radiation-attenuated cercaria vaccine in animal hosts and
naturally acquired immunity in human population.
PMID- 18038789
TI - [An analysis on papers published by the National Institute of Parasitic Diseases
in 2002-2006].
AB - OBJECTIVE: To partially evaluate the scientific and technological activities of
the Institute of Parasitic Diseases, China CDC, through publication analysis.
METHODS: Information on the papers published in the last 5 years was collected
since the renaming of the Institute in 2002. Number, category and being cited
frequency of the publications were analyzed using the data of 2002 as baseline.
RESULTS: 272 papers were published at 48 national and international periodicals
during 2002-2006. The total number, the number of papers published at the core
journals and at the SCI journals all increased in the year 2003-2006.
Publications on research, review and report occupied 54.8%, 36.0% and 15.4%
respectively, covered schistosomiasis, malaria, echinococcosis, filariasis,
visceral leishmaniasis, food-borne and soil-transmitted parasitic infections, and
newly emerging parasites with 44.5% and 15.4% on schistosomiasis and malaria
respectively. 87.9%, 11.0% and 1.1% of the articles were published at the
national, international and local journals respectively. The balance rate for the
trends of papers submitted in 2002 was 6.5%, and 10.2%-15.4% in 2003-2006. 34 of
the 272 papers were included in SCI journals. Retrieval through the web of
knowledge revealed that 187 citations were found in the SCI papers with an
average of 5.5; 6 papers were cited for more than 9 times each, occupying 27.3%
of the overall citations, the highest being 84 citations. There was an unbalanced
distribution of the publications among the departments of the Institute.
CONCLUSION: The results indicate that the research direction and content are in
line with the tasks of the Institute and with the scientific merits of disease
control; the level of research is increasing and some of the publications exert
certain impact at home and abroad.
PMID- 18038790
TI - [Construction of eukaryotic expression plasmids with paramyosin gene of periodic
Brugia malayi].
AB - Total RNA was extracted from periodic Brugia malayi Specific primers were
designed on the basis of known sequences of paramyosin gene from B. malayi
(BmPmy). The desired gene was amplified by PCR technique from cDNA. The PCR
products were purified and cloned into plasmid pGEM-T by T-A cloning method,
transformed into Escherichia coli (E. coli) strain DH5alpha. The recombinant
plasmids were screened and identified by digestion with restriction enzyme and
PCR amplification. The right gene fragments encoding BmPmy in positive clones for
prokaryotic and eukaryotic expression plasmids were digested with restrictive
endonuclease, and were subcloned into pcDNA3.1(+). The recombinant eukaryotic
plasmid (pcDNA3.1-BmPmy) was then transfected into COS-7 cells. The transient
expression of BmPmy was examined with RT-PCR. BmPmy mRNA was highly expressed in
transfected COS-7 cells.
PMID- 18038791
TI - [Comparative study on polytene chromosomes of two isolates of Simulium
quinquestriatus].
AB - The salivary glands were exposed and isolated from the larvae of Simulium
quinquestriatus and stained in carbol fuchsin, squashed between slide and
coverslide. Slides were examined and photographed under microscope to measure the
polytene chromosomes. Systematic analysis was made. Results indicated that the
number of the polytene chromosomes of both isolates is three. The main
characteristic chromosomal structures are homologized. Only the banding types of
II L are different.
PMID- 18038792
TI - [Dot enzyme-linked immunosorbent assay for detection of serum antibody to
Blastocystis hominis in humans].
AB - Serum and stool samples were collected from 322 undergraduate students in medical
school. Using stool in vitro cultivation as golden standard, 178 cases were found
Blastocystis hominis positive and 144 were negative. Dot-ELISA was used to
examine the serum samples with a sensitivity of 92.1% (164/178) and specificity
of 97.1% (141/144). This revealed that dot-ELISA can be used for antibody
detection against Blastocystis hominis.
PMID- 18038793
TI - [Malaria epidemic situation in Jiangsu Province in 2006].
AB - In 2006, there were 767 reported malaria cases in Jiangsu Province with an
incidence of 1.07 per thousand and increased by 16.57% in comparison to the
previous year. Positive rate of blood examination in local febrile patients was
0.08% (293/361 896) but 1.23% (251/204 40) in mobile population (P<0.01). Cases
with relapses occupied 9.00% of the total. The density of Anopheles sinensis was
0.61 per net and increased by 110% more than the year 2005 (0.29/net). It is
indicated that the increase of A. sinensis density has been the main factor for
malaria recurrence in the area north of Huaihe River in the Province.
PMID- 18038794
TI - [Historical review on the development of medical parasitology in China during the
years of 1871-2006].
AB - The present review deals with the representative research papers on human
parasites and parasitic diseases in China over the past hundred years (1871
2006). As the views focused on the development of the medical parasitology, the
historical background and progressive characters in the period of fermentation,
origination, and expansion have been discussed. The check list of the first cases
of human parasitic diseases reported in China during 1871-2006 contained 128
species of parasitic pathogens, and among them 38 species were the newly
revisional records. The citation from Faust's paper (1923) proved that previous
record of "the first case of Eurytrema pancreaticum from Hongkong" was an
absurdly mistake. The human infections of Diphyllobothrium latum, Toxocara canis,
and Triodontophorus minor discovered by Lin (1924) from Beijing were the first
records in the country. A doubtful malaria case reported from Chongqing by Hung
(1944) should be revised as the first case of babesiosis in China. The above
presented examples suggest that the truthful record of parasitic pathogens is an
important base for the discovery history of parasitic diseases. With comments on
the research progress of human parasitic diseases in different historical stages,
it seems that the trends of medical parasitology development in China have been
synchronous with the research activities in the area.
PMID- 18038795
TI - [Preparation of five ginkgolic acid monomers and their molluscicidal effects
against Oncomelania hupensis].
AB - OBJECTIVE: To investigate the molluscicidal activities of the ginkgolic acid(GA)
monomers isolated and purified from GAs. METHODS: Five monomers of GAs from the
sarcotesta of Ginkgo biloba. were extracted by petrol ether, separated by silica
gel column chromatography, purified by semi-prepared reversed-phased HPLC, and
identified by LC-MS analysis. The molluscicidal activities of GAs and their
monomers against Oncomelania hupensis were determined as referring to the WHO
guidelines for laboratory molluscicidal test. RESULTS: The five purified
ginkgolic acid monomers were GA(13:0), GA(15:0), GA(15:1), GA(17:1) and GA(17:2),
with a side chain of 13, 15, 17 alkyl or ethylenic radicals res pectively on
their benzene loop. The five monomer proportions to the total GAs were 17.6%,
3.2%, 52.3%, 23.3% and 3.6% respectively. The order of molluscicidal activities
for the five monomers was as follows:
GA(13:0)>GA(15:1)>GA(15:0)>GA(17:1)>GA(17:2), and their LC50 for snails was 20.79
mg/L, 22.28 mg/L, 33.76 mg/L, 51.89 mg/L, and 59.10 mg/L respectively after
immersion for 24 hours. Two monomers, GA(13:0), and GA(15:1) inhibited the
snails' climbing up significantly. CONCLUSION: The molluscicidal activities of
GAs may be dependent on the monomer's structure with different number of carbon
molecules and double-bonds on the side carbon-chain. The two monomers, GA(13:0)
and GA(15:1), are mainly responsible for the molluscicidal activities of GAs and
both effectively inhibit snails' climbing up as well. GA(15:0) also shows certain
molluscicidal activity.
PMID- 18038797
TI - [Development and identification of the multiple B cell epitope antigens of
Schistosoma japonicum].
AB - OBJECTIVE: To develop multiple B cell epitope antigens of Schistosoma japonicum
and evaluate their antigenicity. METHODS: Bioinformatics software BioSun was used
to predict B cell epitopes from Sj22.6, Sj14-3-3 and Sj26. The predicted epitopes
P2, P6 and P7 were ligated to construct P2-P6-P7 and P6-P2-P7 multiepitope in
random order, a 6 amino acid linker inserted between epitopes. Recombinant
plasmids containing the two multiepitopes identified by enzyme digestion and
sequencing were transformed into E. coli BL21. The expressed recombinant fusion
proteins of E. coli BL21 induced with IPTG were purified with Ni2+ chelating
HiTrap HP column. Their antigenicity was evaluated with Western-blotting. RESULT:
The two multiple B cell epitopes P2-P6-P7 and P6-P2-P7 were successfully cloned
into pET-32c(+) plasmid and fusion proteins were expressed. SDS-PAGE showed a
single band and both of the recombinant fusion proteins were with Mr 20 400. The
two proteins reacted with the sera of schistosomiasis patients but not with that
of healthy people. CONCLUSION: Two multiple B cell epitope antigens were
developed with potential diagnosis value.
PMID- 18038796
TI - [The cleavage activity of GCV transfer vector-mediated hammerhead ribozyme for
KRR1 in vitro transcript].
AB - OBJECTIVE: To detect the cleavage activity of Giardia canis virus (GCV) transfer
vector-mediated hammerhead ribozyme for KRR1 in vitro transcript. METHODS:
Giardia, a most primitive eukaryote, has KRR1 protein responsible for ribosome
biosynthesis. cDNA encoding hammerhead ribozyme flanked with various lengths of
antisense RNA was cloned into a viral vector pGCV634/GFP/GCV2174 derived from the
genome of GCV, KRzS flanked with 21 nt KRR1 antisense RNA on each arm, or KRzL
flanked with 288 nt and 507 nt KRR1 antisense RNA. At the same time, two control
groups were established: PKR without the inserted ribozyme, and TRzL flanked with
324 nt and 380 nt triosephosphate isomerase (Tim) antisense RNA. The cleavage
activity of GCV transfer vector-mediated hammerhead ribozyme for KRR1 in vitro
transcript was then analyzed by absolute real-time quantitative RT-PCR. RESULTS:
The in vitro cleavage activities on KRR1 mRNA of the two ribozyme KRzS or KRzL
were 74.0% and 81.1% respectively by the absolute real-time quantitative RT-PCR.
The two control groups, PKR or TRzL, showed no effect on KRR1 mRNA in vitro.
CONCLUSION: The GCV transfer vector-mediated hammerhead ribozyme shows a high
cleavage activity for KRR1 in vitro transcript, which demonstrates the
feasibility of using a viral vector to express a ribozyme targeted at a specific
mRNA in Giardia to reduce the expression of a specific gene.
PMID- 18038798
TI - [Intranasal immunization with mucosal complex vaccine protects mice against
Toxoplasma gondii].
AB - OBJECTIVE: To study the mucosal and systemic immune response after intranasal
immunization with mucosal complex vaccine for Toxoplasma gondii, and to observe
the protective effect on mice. METHODS: The mucosal complex vaccine was made of
soluble tachyzoite antigen (STAg) and cholera toxin (CT), which were mixed and
dissolved in PBS (1 ml PBS containing 1 mg STAg and 50 microg CT). Fifty-two
BALB/c mice were randomly divided into two groups: immunized group and control.
Mice were intranasally immunized with 20 microl mucosal complex vaccine (20
microg STAg and l microg CT) per mouse twice at an interval of two weeks, while
the control mice were given PBS solution instead. Six mice of each group were
killed by dislocation of cervical vertebra on day 14 after the last immunization.
The specific IgG antibodies in serum and IgA in feces were detected by ELISA.
Lymphocytes in spleen, Peyer's patches (PP) and intestinal intraepithelial
lymphocyte (IEL) were isolated and counted. Percentage of CD4+ and CD8+ T cells
was determined by immunocytochemistry. Other mice were challenged
intragastrically each with 4 x 10(4) tachyzoites of RH strain Toxoplasma gondii
on day 14 after the last immunization. Their health condition was observed and
the number of tachyzoites in liver and brain was determined microscopically on
the 30 th day after challenge. RESULTS: IgG antibodies in serum and IgA
antibodies in feces of immunized mice were higher than the control (P < 0.05).
Lymphocytes in spleen, PP and IEL significantly increased after immunization (P <
0.01). The CD4+ and CD8+ T cells were both higher than that of the control (P <
0.05) in spleen and PP. The number of CD8+ T cells in IEL increased significantly
(P < 0.01), and the ratio of CD4+ and CD8+ T cells was reversed with significance
(P < 0.05). On the day 30 after challenge, the survival rate of immunized mice
was higher than that of control (P < 0.05), while the tachyzoite load in liver
and brain was significantly smaller respectively. CONCLUSION: Intranasal
inoculation with mucosal complex vaccine effectively induces the mucosal and
systemic immune response, and protects mice against Toxoplasma gondii.
PMID- 18038799
TI - [Cloning and expression of a bradyzoite-specific gene of Toxoplasma gondii and
immunoreactive analysis on the recombinant antigen].
AB - OBJECTIVE: To clone and express bradyzoite antigen 1 (BAG1) gene of T. gondii,
and analyze the immunoreactivity of the recombinant product. METHODS: The
differentiation of T. gondii RH strain tachyzoites into bradyzoites was induced
in vitro, and the coding sequence of BAG1 was amplified from bradyzoites by RT
PCR. The PCR product was analyzed by sequencing. The BAG1 coding sequence was
further subcloned into the plasmid pET32a(+). The plasmid pET32a(+) -BAG1 was
then transformed into BL21(DE3) to express after IPTG induction. The expression
product was purified with Ni-NTA agarose and the purified BAG1 was further
analyzed by Western blotting and ELISA. RESULTS: BAG1 cDNA was amplified from
bradyzoites. After IPTG induction, BAG1 was expressed in a fusional form in E.
coli. Western blotting showed that the purified recombinant protein could be
specifically recognized by sera from mice chronically infected by T. gondii B36
strain. ELISA showed that the positive rate of T. gondii IgG antibodies of 350
human sera detected by the recombinant BAG1 (17.4%) was higher than by
recombinant SAGI (12.6%) (P < 0.05). CONCLUSION: The expressed recombinant BAG1
shows a specific immunoreactivity.
PMID- 18038800
TI - [Enzyme change in bronchoalveolar lavage fluid of pneumocystis pneumonia rats and
the effect of garlicin treatment].
AB - OBJECTIVE: To study the change of enzymes and effect of garlicin treatment on the
change in bronchoalveolar lavage fluid (BALF) of rats with Pneumocystis carinii
pneumonia (PCP). METHODS: Wistar rats were injected intramuscularly continually
with dexamethasone to establish the rat model of PCP. The experimental rats
(group A) were injected intramuscularly with garlicin at a dose of 10 mg/(kg x d)
for 5 days in the 3rd, 6th and 9th week respectively, and SMZ/TMP therapy group
(B), PCP infected group (C) and normal group (D) were established as controls.
Three days after the last treatment, the rats of all groups were killed and BALF
was collected without contamination and enzymes AST, ALF, CHE, ALP, LDH, CK,
CKMB, HBDH, AFU, 5'NT, ADA were examined. RESULTS: The ALP level in group C
[(573.41 +/- 350.63)U/L] was significantly higher than that in group D [(210.56
+/- 114.41) U/L] (q = 4.682, P < 0.01), group A [(392.07 +/- 217.57) U/L] (q =
3.851, P < 0.05), and group B [(325.21 +/- 180.65) U/L] (q = 4.380, P < 0.01);
the level of CK, CKMB and 5'NT in group C [948.94 +/- 403.43, 489.47 +/- 254.46
and (6.76 +/- 3.11) U/L respectively] was higher than those in group D [426.22 +/
319.00, 213.33 +/- 144.54 and (3.22 +/- 1.20) U/L] (q = 4.696, 3.784, 3.812, P<
0.05); there was no significant difference in the level of AST, ALT, CHE, LDH,
HBDH, AFU and ADA among the four groups (F = 1.852, 0.958, 2.470, 1.423, 1.178,
1.342, 0.611, P > 0.05). CONCLUSIONS: The level of ALP, CK, CKMB but the ALP
level decreases distinctly after the garlicin and 5'NT increases evidently in
BALF of PCP infected rats, but the ALP level decreases distinctly after the
garlicin treatment.
PMID- 18038801
TI - [Retrieving eco-environment factors relevant to Oncomelania snail distribution
based on QuickBird image].
AB - OBJECTIVE: To estimate snail distribution by using high spatial resolution
QuickBird image on the basis of retrieving the eco-environment factors relevant
to snail distribution. METHODS: Combined with the well-positioned ground data of
Oncomelania snails, the meter-level high spatial resolution QuickBird image was
used to retrieve the eco-environment factors related to snail distribution in
Jiangxin village of Dangtu county, Anhui Province. The factors included
vegetation (vegetation index and vegetation cover ratio) and soil (soil texture,
soil cover type and humidity). A qualitative analysis was made by using principle
component analysis, K-T transformation and supervision classification methods to
retrieve the eco-environment factors. The vegetation index NDVI (Normalized
Difference Vegetation Index) and MSAVI (Modified Soil Adjustment Vegetation
Index) were calculated, and LAI (Leaf area index) and F (vegetation cover ratio)
were retrieved. Information from QuickBird data and corresponding ground data
were then used to analyze the relationship between snail distribution and
environmental factors by using ArcGIS and statistical software. RESULTS: Snail
data were received from 153 ground distribution spots and a GIS database on
spacial distribution of snails was established. This database covered snail
density, NDVI, MSAVI, LAI(NDVI), LAI(MSAVI), F(NDVI), F(MSAVI), PCA-1, PCA-2, PCA
3, KT-1, KT-2 and KT-3. Statistical analysis showed that the snail density could
be estimated by LAINDVI and FMSAVI quantitatively based on the following
regression model: Y = -3.919 + 1.22 LAI(MSVI) + 16.076 F(MSAVI). Decision index
of the regression model was 0.2. CONCLUSIONS: A quantitative regression model
between Oncomelania snail distribution and environmental variables retrieved from
QuickBird images has been established, which may have a wide application
prospect. KT-1, KT-2 and KT-3. Statistical analysis showed that the snail density
could be estimated by LAINDVI and FMSAVI quantitatively based on the following
regression model: Y = -3.919 + 1.22 LAI(MSAVI) + 16.076 F(MSAVI). Decision index
of the regression model was 0.2. CONCLUSIONS: A quantitative regression model
between Oncomelania snail distribution and environmental variables retrieved from
QuickBird images has been established, which may have a wide application
prospect.
PMID- 18038802
TI - [Investigation of mosquito abundance and composition around the Rare Birds
National Nature Reserve of Yancheng, Jiangsu Province].
AB - OBJECTIVE: To investigate the mosquito abundance and their relative species
composition within and outside the Rare Birds National Nature Reserve of
Yancheng, Jiangsu Province. METHODS: Sampling was carried out between May and
Oct. 2004 at two weeks interval in two foci (the Reserve and nearby residential
district) in Sheyang County. Mosquitoes were collected with the modified CDC
light trap. Density was calculated, and species were identified. Environmental
temperatures, rainfall and relative humidity were monitored during the study.
RESULTS: A total of 40,912 mosquitoes were captured in the two foci. The sampled
mosquitoes were identified as 4 species belonging to three genera (Anopheles
sinensis, Culex pipiens pallens, Cx. tritaeniorhynchus, and Armigeres
subalbatus). The most abundant mosquito species was An. sinensis and Cx pipiens
pallens, which accounted for 97.7% of the whole number. 92% and 8% of the total
amount of mosquitoes were collected from the nature reserve and residential
district respectively. The most abundant species in the nature reserve and
residential district was An. sinensis (60.6%) and Cx. pipiens pallens (76%),
respectively. Within the nature reserve, there were two peaks occurred in adult
abundance, in mid- and late July and mid-Sept. The abundance of mosquitoes in the
area was positively correlated to the temperature (r = 0.765, P = 0.005).
CONCLUSION: The wetland is an ideal breeding place for An. sinensis and Cx
pipiens pallens. The peaks of mosquito abundance are in mid- and late July and
mid-Sept. It is of importance to carry out surveillance on mosquito vectors with
pathogen-transmitting potential.
PMID- 18038803
TI - [Cloning and optimized prokaryotic expression of a pbmag-1 cDNA fragment from
Plasmodium berghei ANKA].
AB - OBJECTIVE: To clone and express a novel gene cDNA fragment, pbmag-1, from
Plasmodium berghei ANKA strain. METHODS: The cDNA sequence of pbmag-1 was
obtained from the GenBank of P. berghei ANKA genomic databases, with which a pair
of primers was designed and RT-PCR was used to get a cDNA fragment of the gene
from the parasite. The expanded cDNA 3' fragment of the gene was obtained by 3'
RACE using the oligo dT primer and a set of specific primers. The intact cDNA 3'
fragment was cloned into a prokaryotic expressional vector and transformed into
the BL21-(DE3)-RIL strain of Escherichia coli. The recombinant protein of PbMAg-1
was expressed with an optimized strategy and used to immunize mice. RESULTS: The
pbmag-1 cDNA fragment obtained was 1341 bp in length, A/T rich (73%) and with a
correct 3' end sequence. By Western blot, the anti-serum of mice immunized with
the recombinant protein of PbMAg-1/GST, which was expressed as inclusion bodies,
specifically recognized a band with Mr 64,000 molecule from the protein extracts
of P. berghei-infected mouse erythrocytes. CONCLUSION: The pbmag-l cDNA sequence
with intact 3' has been obtained, which will be used for further study on its
role in the immune response of P. berghei infection.
PMID- 18038804
TI - [Construction of the recombinant secretion type BCG-Eg95 vaccine of Echinococcus
granulosus].
AB - OBJECTIVE: To construct the recombinant secretion type BCG-Eg95 vaccine of
Echinococcus granulosus (rsBCG-Eg95). METHODS: BCG-Ag85B signal sequence with 117
bp and Eg95 gene with 471 bp were amplified from the genome of BCG and pGEX-4T
Eg95 by PCR, respectively. BCG-Ag85B signal coding gene and Eg95 gene were cloned
into E. coli-BCG shuttle-vector pMV261 to get the recombinant plasmid pSMEg95,
which was confirmed by restriction endonuclease digestion, PCR amplification and
gene sequencing. These recombinant plasmids were introduced into BCG by
electroporation for the construction of rsBCG-Eg95 vaccine. The rsBCG-Eg95
positive clones were screened by Kan+ and identified by PCR amplification.
RESULTS: BCG-Ag85B signal sequence coding gene and Eg95 coding gene were
successfully cloned into pMV261, which was confirmed by restriction endonuclease
digestion, PCR amplification and sequencing of the plasmid pSMEg95. The plasmids
were introduced into BCG and confirmed as the recombinant secreting BCG-Eg95
vaccine of E. granulosus (rsBCG-Eg95). CONCLUSION: The recombinant secretion type
BCG-Eg95 vaccine (rsBCG-Eg95) of E. granulosus with BCG-Ag85B signal sequence and
Eg95 gene has been constructed.
PMID- 18038805
TI - [Detection of mite allergens in the dust of filter-net and air of air-conditioned
room].
AB - OBJECTIVE: To detect mite allergens in the dust of air conditioner filter-net and
floating air in room. METHODS: Samples were collected from rooms of asthma
patient and normal families with or without air conditioner. Der p1, Der f1 and
Der 2 were determined by two monoclonal antibody-based ELISA. RESULTS: In asthma
patient families, the concentration of airborne Der p1, Der f1 and Der 2 was
(0.23 +/- 0.13), (2.62 +/- 1.08), (0.93 +/- 0.41) ng/m3, and (0.56 +/- 0.25),
(4.74 +/- 1.22), (2.33 +/- 0.64) ng/m3 respectively before and after the air
conditioner switched on, all showing a significant difference (P < 0.05). In
families without asthma patient, the concentration of Der p1, Der f1 and Der 2
was (0.33 +/- 0.11), (11.50 +/- 3.08) and (2.10 +/- 0.80) ng/m3, and (0.63 +/-
0.23), (19.80 +/- 4.30) and (3.60 +/- 1.00) ng/m3 respectively before and after
the air conditioner switched on, also showing a significant difference (P <
0.05). From the filter-net dust of air conditioner in family with allergic asthma
patient, the concentration of Der p1, Der f1 and Der 2 was (0.52 +/- 0.19), (3.34
+/- 0.63), (2.53 +/- 0.65) microg/g dust, while that of normal families was (1.30
+/- 0.35), (5.16 +/- 0.92), (3.47 +/- 1.13) microg/g dust respectively. The
concentration of Der f1 and Der 2 at both asthma families and normal families was
higher than 2 microg/g, an allergen concentration threshold. CONCLUSION: Mite
allergens exist in the filter-net dust of air conditioner, which may be an
important source of indoor allergens and a cause of the increasing prevalence of
allergic asthma.
PMID- 18038806
TI - [Construction and expression of prokaryotic expression plasmids of Pneumocystis
carinii p55 antigen gene fragment].
AB - OBJECTIVE: To construct prokaryotic recombinant expression plasmid carrying
Pneumocystis carinii Mr 55 000 antigen (p55) gene fragment and express the
recombinant protein. METHODS: P. carinii pneumonia (PcP) rat models were
established by subcutaneous injection of dexamethasone for 14 weeks. Total RNA
was extracted from lung of P. carinii rat and p55 antigen gene fragment was
cloned by RT-PCR, which was identified by sequencing. The 690 bp fragment was
cloned to pGEX-4T-1, the recombinant plasmid was screened and identified by
restriction analysis and PCR. The recombinant plasmid was finally induced with
IPTG to express a new fusion protein, and the products were analyzed by SDS-PAGE
and Western blot. RESULTS: A fragment of 690 bp was obtained by RT-PCR. The
recombinant pGEX-4T-1/690 was constructed. SDS-PAGE revealed that the molecular
weight of the recombinant protein was approximately Mr 62,000, the maximum amount
of the fusion protein produced was 11.6% of the total protein. The recombinant
protein can be recognized by GST antibody and by the sera from P. carinii
infected rats using Western blotting. CONCLUSION: Prokaryotic expression plasmid
pGEX-4T-1/690 has been constructed and the recombinant fusion protein shows
antigenicity.
PMID- 18038807
TI - [Clinical observation on 25 cases of severe angiostrongyliasis cantonensis].
AB - OBJECTIVE: To summarize the clinical features of severe angiostrongyliasis
cantonensis (AC) patients. METHODS: Clinical data on symptoms, physical signs,
auxiliary examination and prognosis of 25 severe AC patients hospitalized in June
Sept 2006 were analyzed. RESULTS: Epidemiologically, all cases had eaten uncooked
fresh water snails. One of the early symptoms was fever (16 cases, 64.0%),
including 8 cases with low-grade fever, 7 cases with mid-range fever, and 1 case
high fever. Nervous system manifestation: (1) All cases had headache, entire
headache (56.0%) or partial (44.0%), especially in occipitalis. (2) Patients had
distinct degree neck rigidity, with negative pathologic reflex; 12 cases had
nausea and vomiting (48.0%). (3) 20 cases (80.0%) had skin paresthesia, 5 had
severe pain and hyperalgia on skin; 3 cases with skin numbness, and 2 with
thermohypesthesia. (4) 11 cases (44.0%) appear distinct degree depraved vision; 3
cases had photophobia, 5 with blur vision, 1 each with diplopia, defect of field
vision or bug sign, respectively. (5) Nasolabial groove became shallow and
distortion of commissure in 4 cases (16.0%), and 2 cases (8.0%) couldn't close up
eyelid. (6) 4 cases had sustained or curative tinnitus. Laboratory examination
showed that eosinophilic granulocytes increased in both peripheral blood and
cerebrospinal fluid. Skull MRI for 14 cases revealed linear enhancement in local
meninx or abnormal enhancement in cerebral parenchyma. Chest CT examination in 7
cases showed nodule shadow and spot flaky ground-glass shadow in lungs. One and 3
months after being discharged from hospital, 12 patients (48.0%) still had
sequelaes--7 cases had tingling sensation on skin, 1 case had temperature
sensation dysfunction on the skin of chest and abdomen, 3 cases had headache
occasionally, and 1 case still had defect of field vision. CONCLUSION: Central
nervous system has been impaired in the angiostrongyliasis cantonensis patients
who may need a longer convalescent period.
PMID- 18038808
TI - [Regulation mechanism of variation in Plasmodium falciparum var gene family].
AB - This is a review on the new progress in the study of regulation mechanism of
Plasmodium falciparum Var gene family. The mutually exclusive expression system
caused expression only one in 60 var genes while others were silenced. It was
regulated on the transcriptional level mainly through three pathways: non-coding
DNA elements, chromatin structure and perinuclear localization.
PMID- 18038809
TI - [Research and perspectives in parasitology].
AB - This article reviews the recent achievements in parasitology including new
diagnostic techniques, molecular mechanism of parasitic pathogenesis, drug
resistance, antigenic variation, parasite genomics and proteomics. The
perspective development in the area is also discussed.
PMID- 18038810
TI - [Ultrasonic diagnosis of clonorchiasis sinensis].
AB - This is to retrospectively review and summarize the ultrasonic images of 214
patients who were diagnosed as clonorchiasis and received treatment. The major
changes in ultrasonography were found in gallbladder and hepatic bile duct.
Flocculent echos in the gallbladder were the characteristic feature, which
disappeared after chemotherapy. The wall of hepatic bile duct became thicker and
shaggy in most patients. These changes improved quite slowly after treatment.
Ultrasonography is of value in the diagnosis of clonorchiasis sinensis
PMID- 18038811
TI - [Epidemiological survey on Echinococcus infection in animals in Qinghai
Province].
AB - Parasitological and dissection methods were used to confirm Echinococcus
infection and echinococcosis in animals, and to understand the epidemiological
situation in final and intermediate animal hosts in Qinghai Province. Three
Echinococcus species were found in the Province including E. granulosus, E.
multilocularis and E. shiquicus. The prevalence of hydatid disease showed a
tendency of increase with an average rate of over 50% in yaks and sheep. Higher
prevalence in wild animals as intermediate host was found in the southern
plateau, while that in final hosts such as dogs and wolves, the prevalence was
higher in the area of Qilian mountain. There is a complex chain of life cycle of
the parasites among animals, which poses a threat to the health of human
population. Effective measures to control the disease in animals are urgently
needed.
PMID- 18038812
TI - [Cloning and construction of nucleic acid vaccine of FABP gene cDNA from
Echinococcus granulosus].
AB - Specific primers were designed according to published nucleotide sequence of FABP
(fatty acid binding protein) gene in the GenBank database. The kozak sequence
(CCACC) was introduced at the upstream of initiator. The total RNA was extracted
from protoscoleces of Echinococcus granulosus (Inner Mongol isolate). The FABP
gene cDNA fragment was amplified by RT-PCR and cloned into pMD19-T vector for
sequencing and analyzing. The cloned FABP gene cDNA was with 402bp. The ORF
encoded 133 amino acids. The amplified cDNA fragment was subcloned into pCDNA3.1
(+) vector. The results showed that the nucleic acid vaccine candidate pcDNA-FABP
NM has been constructed.
PMID- 18038813
TI - [Determination of trace elements in hair of mice infected with Trichinella
spiralis].
AB - Mice were divided into 3 groups: heavy infection group with 80 mice each was fed
with 400 muscle larvae of Trichinella spiralis, light infection group with 60
mice each was fed by 200 larvae, and uninfected control (60 mice) . The content
of Cu, Zn and Fe in the dorsal hair samples was measured in the week of 1, 3, 5,
7, 9, 11, 13 and 15 after infection. Results indicated that the content of Zn, Cu
and Fe in the two experimental groups reduced considerably in comparison to the
control (P < 0.05), especially for that of Zn and Cu. Lower content was found in
the heavily infected mice than in those with light infection (P < 0.05).
PMID- 18038814
TI - [Solid medium-filter paper cultivation of Ancylostoma caninum larvae].
AB - Consisted of beef extract (3 grams), peptone (10 grams), sodium chloride (5
grams), agar (20 grams) and distilled water, the solid medium was used with
filter paper for cultivation of the larvae of Ancylostoma caninum. The method
shows higher detection rate and simpler operation than the traditional test tube
filter paper culture technique.
PMID- 18038815
TI - Safe patient handling and movement: time for a culture change.
PMID- 18038816
TI - Surviving shift work.
PMID- 18038817
TI - Nurses set to make decisions on CPR.
PMID- 18038818
TI - The NHS is treating its job applicants with disrespect.
PMID- 18038819
TI - People tell me they pay their taxes and so can specify the treatment they want.
PMID- 18038820
TI - Bringing stroke out of the shadows.
PMID- 18038821
TI - Pulling together in general practice.
PMID- 18038822
TI - HPV vaccination of girls to help prevent cervical cancer.
PMID- 18038823
TI - Bowel care. Part 4--Administering an enema.
PMID- 18038824
TI - Understanding Meniere's disease 1: causes and diagnosis.
AB - This is part 1 of a two-part unit on Meniere's disease and tinnitus. It examines
the definition and symptoms of Meniere's, its causes, incidence and risk factors.
It identifies the difficulties faced by patients, as well as the problems
healthcare professionals have in making this diagnosis.
PMID- 18038825
TI - Emergency oxygen for respiratory patients.
AB - Oxygen is prescribed for hypoxaemic patients to increase alveolar oxygen tension
and decrease the effort of breathing. Although principally life saving, in
certain circumstances it can be lethal if prescribed and/or administered
incorrectly. To ensure safe, effective delivery of oxygen, health professionals
dealing with the administration, titration and monitoring of oxygen therapy
should understand the principles that underpin its use. Carol Kelly and Anne
Riches discuss administering oxygen therapy in the acute situation.
PMID- 18038826
TI - Understanding why we use spirometry: part one.
AB - Rachel Booker discusses why spirometry is used and the interpretation of results.
In part two she describes the procedure for using spirometry.
PMID- 18038827
TI - Carrying out accurate spirometry testing: part 2.
AB - Rachel Booker describes the procedure for using spirometry.
PMID- 18038828
TI - The role of asthma link nurses for schools.
AB - Debra Forster and Claire Winser discuss how collaborative working in an asthma
service has improved the care of children with asthma in Nottingham.
PMID- 18038829
TI - Get connected.
PMID- 18038830
TI - The importance of good teamwork.
PMID- 18038831
TI - Making an impact. Interview by Ruth Williams.
PMID- 18038832
TI - Developing hardiness in nurse managers.
PMID- 18038833
TI - Cleaning up sterile services.
PMID- 18038834
TI - Ten steps to writing curricula vitae.
PMID- 18038835
TI - Using systematic reviews.
PMID- 18038836
TI - Will next year's pay award help nurses to catch up with other workers?
PMID- 18038837
TI - Libyan jail ordeal.
AB - In July this year, five Bulgarian nurses and a trainee doctor were finally
released from a Libyan prison where they had been held for eight years. All were
accused of deliberately infecting more than 400 children with HIV.
PMID- 18038838
TI - Body and soul.
AB - The rewards from nursing and the ministry are not dissimilar. It is argued that
it is impossible to minister to the body without ministering to the soul.
PMID- 18038839
TI - The forgotten disease.
AB - Polio still affects the lives of the survivors, causing problems if they need
investigations or hospital treatment.
PMID- 18038840
TI - NHS not as 'Sicko' as we thought.
PMID- 18038841
TI - Employment experiences of older nurses and midwives in the NHS.
AB - AIM: To examine the employment experiences of older nurses and midwives working
in the NHS. METHOD: A total of 27 semi-structured telephone interviews were
conducted with nurses and midwives to identify positive and negative aspects of
their working lives in the NHS. The interviewees were selected from a potential
pool of 87 nurses and midwives who had consented to be involved in an earlier
part of the study. Data were analysed using QSR NVivo 7.0. FINDINGS: Positive and
negative issues were identified as having an impact on the quality of working
life. These included: access to training, change and Agenda for Change (AfC),
quality of management, work demands, patient/colleague contact and nursing and
midwifery as a career. CONCLUSION: This study highlighted a number of issues
relevant to older nurses and midwives that warrant further study and attention.
These include access to training and continuing professional development, issues
relating to change and AfC, and general work demands including workload,
resources and morale. The ability of staff to remain healthy, committed and able
to deliver quality care can be compromised in cases where the staff experience is
negative.
PMID- 18038842
TI - Providing pain relief for patients in the emergency department.
AB - This article examines literature on pain relief in the emergency department (ED).
The term 'emergency department' has been substituted for 'accident and emergency'
for the purposes of international continuity. Much of the available research
paints a negative picture of pain assessment and management in this setting.
However, there is evidence of positive developments in clinical practice that
have the potential to improve the patient's experience in the ED.
PMID- 18038843
TI - Wound closure using adhesive strips.
AB - This article outlines the use of adhesive strips which may be suitable for
closing many superficial wounds, pre-tibial lacerations and surgical wounds
requiring support.
PMID- 18038844
TI - An overview of antibiotic therapy.
AB - Antibiotics are one of the most widely prescribed group of drugs in the UK.
However, their widespread use has resulted in antibiotic resistance and
unnecessary prescribing. This article provides an overview of antibiotic therapy,
including the principles and modes of action of drug treatment, prescribing
criteria and the issue of antibiotic resistance.
PMID- 18038845
TI - Epidural analgesia.
PMID- 18038846
TI - No students for me.
PMID- 18038847
TI - Happy to stay in Lebanon.
PMID- 18038848
TI - Know yourself, know your patients.
PMID- 18038849
TI - Scope of practice issues: dental hygienists and dental assistants.
PMID- 18038850
TI - Overview of the Frew settlement and new fee increases.
PMID- 18038851
TI - We need you to become a Medicaid provider.
PMID- 18038853
TI - Texas State Board of Dental Examiners: legislation.
PMID- 18038852
TI - The First Dental Home Project: an opportunity for Texas to improve access to
dental care.
PMID- 18038854
TI - The changing face of the Texas State Board of Dental Examiners.
PMID- 18038855
TI - State taxes: legislature fine-tunes revised franchise tax; allocates additional
funding for future property tax cuts.
PMID- 18038856
TI - TDA 2009: continuing the focus on advocacy.
PMID- 18038857
TI - Meet the consultants to the Council on Legislative and Regulatory Affairs.
PMID- 18038858
TI - Intra-operative digital photography with the dental operating microscope.
PMID- 18038859
TI - Long-term care expenses: plan for them.
PMID- 18038860
TI - Oral and maxillofacial pathology case of the month. Myofibroma.
PMID- 18038861
TI - Education policy is health policy.
PMID- 18038862
TI - The future of property tax exemption for nonprofit health care organizations.
PMID- 18038863
TI - How much is enough? An evidence-based framework for setting Medicaid payment
rates.
AB - This article proposes an evidence-based framework for Medicaid programs to use in
setting payment levels for any health care service. The purpose of payment is
seen from the beneficiary's perspective, that is, enabling access to quality
services. The approach is to measure access as directly as possible, to make
findings about payment adequacy, and to take action in a way that maximizes the
return (i.e., access to quality care) on Medicaid's investment. This approach
differs from both the theoretical ideal and from much of the past practice in
Medicaid rate setting. The paper offers examples of evidence, findings, and
actions.
PMID- 18038864
TI - Why do Hispanics have so little employer-sponsored health insurance?
AB - This paper investigates low rates of employer health insurance coverage among
Hispanics using national data from the Community Tracking Study Household Survey.
Interview language served as a proxy for the degree of assimilation. Findings
indicate that English-speaking Hispanics are more similar to whites in their
labor market experiences and coverage than they are to Spanish-speaking
Hispanics. Spanish-speakers' very low human capital (including their inability to
speak English) results in much less access to job-based insurance. Though less
important, Spanish-speaking Hispanics' demand for employer-sponsored insurance
appears lower than that of English-speaking Hispanics or whites. Results suggest
that language and job training may be the most effective way to bolster
Hispanics' insurance coverage.
PMID- 18038865
TI - The relative importance of worker, firm, and market characteristics for
racial/ethnic disparities in employer-sponsored health Insurance.
AB - The characteristics of an individual, the local labor market, and the firm where
an individual is employed each may be associated with racial and ethnic
disparities in employer-sponsored insurance (ESI). This study estimates two
models to determine the relative effects of each of these three sets of
characteristics on the likelihood a worker has a job with ESI. One model has two
outcomes: the job comes with ESI or not. The other model has five possible
outcomes: the individual is not offered ESI and is uninsured, the individual is
not offered ESI and is insured; the individual is offered ESI but turns it down
and is uninsured; the individual is offered ESI but turns it down and is insured;
and the individual is offered ESI and accepts. Findings indicate that individual
characteristics and firm characteristics are more likely to have significant and
substantial effects on the probability that a person has ESI, while the effects
of market characteristics appear to be conveyed through firm characteristics.
Being African American or Hispanic is not significantly associated with having
ESI in the two-outcomes model, but in the five-outcomes model each is associated
significantly with being uninsured, either because the person has not been
offered ESI or has declined offered coverage. Clearly, examining more nuanced
outcomes is more informative about the role of race and ethnicity in why working
people are uninsured.
PMID- 18038866
TI - Individual health insurance within the family: can subsidies promote family
coverage?
AB - This paper examines the role of price in health insurance coverage decisions
within the family to guide policy in promoting whole family coverage. We analyze
the factors that affect individual health insurance coverage among families, and
explore family decisions about whom to cover and whom to leave uninsured. The
analysis uses household data from California combined with abstracted individual
health plan benefit and premium data. We find that premium subsidies for
individual insurance would increase family coverage; however, their effect likely
would be small relative to their implementation cost.
PMID- 18038867
TI - Effects of specialty hospitals on the financial performance of general hospitals,
1997-2004.
AB - Hospital specialization has become a controversial topic, culminating in a
moratorium issued in 2003 by Congress directing the Centers for Medicare and
Medicaid Services to cease payments to new physician-owned specialty hospitals
for those Medicare and Medicaid patients referred by physicians with a financial
interest in the facility. This paper focuses on one important economic question:
does the presence of specialty hospitals in a market affect general hospitals'
financial performance? We estimate longitudinal fixed-effects models for a
national panel of short-term acute care hospitals for the period 1997 though
2004; models are estimated for general hospital patient-care revenue, costs, and
operating margins. We find that the presence of one or more new or established
specialty hospitals in a market has a negative effect on general hospital costs
and a positive effect on general hospital operating margins. Results, which were
consistent across several different modeling approaches, imply that the presence
of specialty hospitals encourages greater efficiency on the part of incumbent
general hospitals, and the existence of profits attracts market entry. Our
findings question the contention that competition from specialty hospitals harms
general hospitals financially.
PMID- 18038868
TI - Hospital inefficiency: what is the impact of membership in different types of
systems?
AB - The primary objective of this study is to assess whether systematic differences
in inefficiency are associated with hospital membership in different types of
systems. We employed the Battese/Coelli simultaneous stochastic frontier analysis
(SFA) technique to estimate hospital cost inefficiency. Mean estimated
inefficiency was 8.42%. Membership in different types of systems was related to
estimated cost inefficiency (p < .05). Compared to hospitals that were members of
centralized health systems, membership in centralized physician/insurance or
decentralized systems was associated with decreased inefficiency; membership in
independent systems was associated with increased inefficiency.
PMID- 18038869
TI - Genetic discrimination in health insurance: current legal protections and
industry practices.
AB - Most states have enacted genetic nondiscrimination laws in health insurance, and
federal legislation is pending in Congress. Scientists worry fear of
discrimination discourages some patients from participating in clinical trials
and hampers important medical research. This paper describes a study of medical
underwriting practices in the individual health insurance market related to
genetic information. Underwriters from 23 companies participated in a survey that
asked them to underwrite four pairs of hypothetical applicants for health
insurance. One person in each pair had received a positive genetic test result
indicating increased risk of a future health condition--breast cancer,
hemochromatosis, or heart disease--for a total of 92 underwriting decisions on
applications involving genetic information. In seven of these 92 applications,
underwriters said they would deny coverage, place a surcharge on premiums,or
limit covered benefits based on an applicant's genetic information.
PMID- 18038870
TI - Long-term results of a phase-I/II study of sequential high-dose chemotherapy with
autologous stem cell transplantation in the initial treatment of aggressive non
Hodgkin's lymphoma.
AB - AIMS AND BACKGROUND: To improve the survival of patients with aggressive non
Hodgkin's lymphoma, we evaluated a risk-adapted therapeutic approach using high
dose (HD) or conventional-dose (CD) chemotherapy (CT) for poor-risk and good-risk
patients, respectively. METHODS: Twenty patients were treated in each group. In
both groups, the first chemotherapy cycle consisted of dexamethasone,
vincristine, ifosfamide, and etoposide. Thereafter, the CD or HD patients
received 3 or 2 cycles of dexamethasone, vincristine, epirubicin, and
cyclophosphamide, respectively, followed by 1 cycle of dexamethasone,
carboplatin, and etoposide. In the HD group cyclophosphamide, epirubicin,
carboplatin, and etoposide were dose-escalated by a factor of 6, 3, 3, and 3,
respectively, as compared to the CD group, and autologous peripheral blood stem
cells were administered after each HD-CT cycle. RESULTS: Grade III-IV toxicities
were neutropenia and thrombocytopenia (100%), anemia (55%), and stomatitis (30%)
in patients with HD-CT, and neutropenia (90%) in patients with CD-CT. One toxic
death occurred in a patient with HD-CT. The overall response rate was 100% in HD
CT patients, including 70% complete remissions, and 80% in CD-CT patients,
including 60% complete remissions. The 10-year overall survival was 55% for
patients with HD-CT and 80% for patients with CD-CT. CONCLUSIONS: The risk
adapted treatment approach showed tolerable toxicities and was associated with
encouraging results.
PMID- 18038871
TI - Cancer chemotherapy near the end of life: the time has come to set guidelines for
its appropriate use.
AB - AIMS AND BACKGROUND: This study retrospectively analyzes the use of chemotherapy
in patients who died of advanced cancer either after having been in care at the
Medical Oncology Unit (MOU) of the University Hospital of Bologna, Italy, or
after having been assisted in their terminal disease phase by the Bologna
Oncological Hospice at Home (OHH) of the Associazione Nazionale Tumori (ANT)
Italia Foundation. In the latter group, the prescription and delivery of
chemotherapy had been performed by doctors of medical oncology departments other
than the MOU. RESULTS: Between January 2003 and September 2005, 793 deaths of
patients were recorded (MOU: 312; OHH: 481). At least one cycle of chemotherapy
had been received by 445 patients (56.1%). The most common cancer types were lung
cancer (26.7%), colorectal cancer (14.8%), and breast cancer (11.2%). At the time
of the last chemotherapy (I-CT), the median age of the patients was 68 years
(range, 22-98 years) and the median KPS was 70 (range, 40-100). The median
interval between I-CT and death was 71 days (range, 1-1913 days). One hundred and
one patients (22.7%) had received their I-CT in the last 30 days of their life,
86% of them having intermediately chemosensitive (71%) or chemoresistant (14%)
tumors. The I-CT in the last month of life was first line in 56% of cases and
consisted of costly new-generation drugs in 36.6% of cases. CONCLUSIONS: The
study suggests the urgent need to lay down guidelines for the appropriate use of
chemotherapy in advanced cancer patients with a short life expectancy.
PMID- 18038872
TI - Mesenchymal chondrosarcoma. An analysis of patients treated at a single
institution.
AB - BACKGROUND: We analyzed clinical and treatment-related factors influencing the
outcome of patients with mesenchymal chondrosarcoma (MC). Twenty-six patients
(median age, 31 years) were identified using the Tumor Center and Chemotherapy
Department database of the study institute. METHODS: Patients received surgery
(24 patients) and/or radiotherapy (5 patients), and chemotherapy (12 patients).
RESULTS: After a median follow-up of 48 months (7-237 months) 10 patients were
alive. The 10-year overall survival (OS) was 27% in those who achieved complete
surgical remission and 0% in those who did not (P = 0.0007). A worse 10-year
probability of OS was observed in patients who were metastatic at presentation
(metastatic 0%, localized 31%, P = 0.02), in patients with soft tissue MC (soft
tissue MC 0%, bone MC 29%, P = 0.06) and in hemangiopericytoma-like MC
(hemangiopericytoma-like MC 0%, Ewing's-like MC 33.5%, P = 0.9). In those
patients who achieved complete surgical remission, the 10-year DFS was 76% for
those who received chemotherapy and 17% for those who did not (P = 0.008).
CONCLUSIONS: Our experience confirmed the importance of complete surgical
remission in MC treatment and suggests that the addition of chemotherapy should
offer a benefit in terms of DFS. Due to the rarity of MC, multicentrer studies
are needed to identify the most active chemotherapy regimen.
PMID- 18038873
TI - Late relapse in testicular germ cell tumors.
AB - AIMS AND BACKGROUND: Analysis of patients with late relapse of testicular germ
cell tumors (GCTs) with reports on clinicopathological features and outcomes.
METHODS: We identified all patients diagnosed with testicular GCTs at our
Institute between 1988 and 2004 who developed relapse > or = 24 months after
completion of primary therapy. A retrospective case-note review was performed to
extract clinical, pathological, treatment and outcome data. RESULTS: Six patients
(1.25%) developed late relapse. All patients presented with stage I disease and
were classified as "good risk" according to the International Germ Cell Consensus
Classification. Mean time to late relapse was 48 months. Markers at late relapse
were normal in all patients. Relapse was confined to retroperitoneal sites in
five patients and located in the mediastinum in one patient. Five patients were
managed by chemotherapy alone while one underwent combined treatment with surgery
followed by chemotherapy. All patients obtained a complete response and all
remained free from recurrence with a mean follow-up of 115 months. CONCLUSIONS:
The incidence of late relapse in this small series is low. Chemonaive patients
with late relapse were successfully salvaged with chemotherapy alone or surgical
excision followed by cisplatin-based chemotherapy. The optimal duration of follow
up in patients with testicular GCTs is not known and practice varies widely. At
our Institute we advise lifelong follow-up of all patients with malignant GCTs of
the testis.
PMID- 18038874
TI - The variability of applicator position among high dose rate intracavitary
brachytherapy applications in cervical cancer patients treated with ring & tandem
applicators.
AB - OBJECTIVE: To evaluate the interindividual and intraindividual applicator
position variability in high dose rate ring and tandem intracavitary
brachytherapy applications in locally advanced cervical cancer. PATIENTS AND
METHODS: Eight patients with locally advanced cervical cancer formed the study
population. They had been treated in Dokuz Eylul University Department of
Radiation Oncology between the years 2000 and 2005 with high dose rate
intracavitary brachytherapy using ring and tandem applicators. The 3-dimensional
geometric variation of the applicator center in craniocaudal, mediolateral and
anteroposterior directions was determined on the basis of bony reference points
in 24 pairs of orthogonal films obtained in the conventional simulator. Then the
following evaluations were performed: 1) the applicator position variability in
all applications (interindividual variability), 2) the intraindividual applicator
position variability relative to the first application, 3) the intraindividual
applicator position variability relative to the average of three applications.
Among the potential factors that might influence the reproducibility of ring and
tandem applications, age, stage, the period between external radiotherapy and
brachytherapy were evaluated by univariate analysis. RESULTS: Standard deviation
of interindividual applicator variability was 3.83 mm in craniocaudal, 0.39 mm in
mediolateral and 2.86 mm in anteroposterior directions. The standard deviation of
intraindividual variability relative to the first application was 1.91 mm in
craniocaudal, 0.4 mm in mediolateral, and 4.26 mm in anteroposterior directions.
The standard deviation of intraindividual variability relative to the average of
three applications was 0.95 mm in craniocaudal, 1.86 mm in mediolateral, and 1.24
mm in anteroposterior directions. According to univariate analysis, no factor
influenced applicator position variability. CONCLUSIONS: In order to extract
definitive conclusions about factors that affect positional reproducibility of
ring and tandem applicators, studies are needed that include larger numbers of
patients.
PMID- 18038875
TI - Patterns of care for brachytherapy in Europe, in Spain and Italy: comparative
results.
AB - AIMS AND BACKGROUND: The aim of the study was to compare the facilities for
brachytherapy in two similar countries, as are Italy and Spain. This survey
reports the differences in the use of brachytherapy in these countries. METHODS:
The data regarding brachytherapy was obtained in 2002 by means of a specially
designed web site, because Internet allowed the access to the questionnaire in an
easy and safe way. In the first edition of the survey, only the countries in
which more than 50% of its centers had completed the questionnaire were included.
Among these countries there were Italy and Spain. RESULTS: Data was available in
127 centers in Italy and 72 centers in Spain, where 35 and 39, respectively,
provided brachytherapy. Although both countries had a similar number of
radiotherapy patients and the average number of radiotherapy patients per center
was similar in both countries (P = 0.29), there were several differences related
to brachytherapy. For instance, the mean number of radiation oncologists
regularly performing brachytherapy per center was higher in Spain (P = 0.001).
There were also differences in the average number of patients per center in the
following tumor sites: breast (P < 0.001) and gynaecological (P < 0.001). In
fact, the average number of patients per center who were treated with
brachytherapy in these tumor sites was higher in Spain than Italy. CONCLUSIONS:
Although the estimated workload of the radiation oncologists, physicists and
radiation technologists was higher in Spain, no statistically significant
differences were found in the average number of patients per center for the
following tumor sites: bronchus, head and neck, intracoronary, esophagus,
prostate, rectum and skin. Several differences in the use of the brachytherapy
procedures applied were also found.
PMID- 18038876
TI - Benefit on biochemical control of adjuvant radiation therapy in patients with
pathologically involved seminal vesicles after radical prostatectomy.
AB - AIMS AND BACKGROUND: To determine whether there is a benefit for biochemical
control with adjuvant radiation therapy to the surgical bed following radical
prostatectomy in patients with seminal vesicle invasion and pathologically
negative pelvic lymph nodes (pT3b-pT4 pN0). METHODS: We retrospectively reviewed
the clinical records of radical prostatectomy patients treated between 1995 and
2002. A total of 66 patients with seminal vesicle invasion were identified: 45 of
these patients received adjuvant radiation therapy and 21 were observed.
Radiation therapy was initiated within 4 months of prostatectomy. Median dose was
66 Gy (range, 60-70 Gy). Median follow-up from the day of surgery was 40.6 months
(mean, 41.5; range, 12-99). Biochemical recurrence was defined as the first value
> or = 0.2 ng/ml. RESULTS: At two years, the proportion of patients free from
biochemical recurrence was 80% in patients who received adjuvant radiation
therapy versus 54% for those not given radiation therapy (P = 0.036). Actuarial
biochemical recurrence at 5 years was 59% vs 41% for the radiation therapy and no
radiation therapy groups, respectively. On univariate Cox regression model, the
hazard of biochemical failure was also associated with a detectable (> or = 0.2
ng/ml) postsurgical prostate-specific antigen (P = 0.02) prior to radiation
therapy. Pathological T stage (pT3b vs pT4), Gleason score, primary Gleason
pattern and positive surgical margins were not significantly associated with
biochemical recurrence. The hazard of biochemical failure was around 85% lower in
the radiation therapy group than in the observation group (P = 0.002).
CONCLUSIONS: Data from the present series suggest that adjuvant radiation therapy
for patients with seminal vesicle invasion and undetectable (< or = 0.2 ng/ml)
postoperative prostate-specific antigen significantly reduces the likelihood of
biochemical failure.
PMID- 18038877
TI - Breast cancer diagnostic methods: screen-detected and clinical cases. An Italian
survey of women's experiences.
AB - AIMS AND BACKGROUND: The real practice of breast cancer diagnosis and treatment
is often very different from guideline recommendations. Screening programs should
minimize deviations from "best practice". The aim of the study was to compare
cases detected by screening programs with cases that were not part of the public
screening program, analyzing the diagnostic pathways from the first suspected
breast problem to therapeutic intervention for breast cancer. METHODS: We
interviewed a random sample of 268 women aged 49-70 years in three Italian
regions (Lazio, Tuscany, Basilicata) who were treated for breast cancer,
stratified by screening participation. RESULTS: In the nonscreened group, 48% of
women were symptomatic and 50% were diagnosed by preventive tests. The proportion
of women treated within 30 days of diagnosis was significantly lower in the
screened group, 21.3% vs 35.8% (P = 0.0003). The mean number of tests
(mammography, ultrasonography, cytology and histology) performed in the screened
group was significantly higher than in the nonscreened group, 3.7 vs 2.8 (P =
0.001). The percentage of women treated without a preoperative histological or
cytological diagnosis was lower in the screened group than in the nonscreened
group, 14% vs 25% (P = 0.024). CONCLUSION: Participation in screening programs
seems to lead to more appropriate disease management even for breast cancer cases
treated at the same hospital.
PMID- 18038878
TI - Tumor vs non-tumor origin of occult and obscure gastrointestinal bleeding
requiring hospitalization.
AB - AIMS AND BACKGROUND: Occult/obscure gastrointestinal bleeding is often
problematic to diagnose. It often leads to delayed treatment, a particularly
dangerous circumstance when tumor origins are involved. This study reports the
six-year experience of an Italian Surgery Center in the identification of the
nature (tumor versus non tumor) and site of origin (upper, middle, lower
gastrointestinal tract) of occult/obscure bleeding requiring hospitalization, as
well as in its treatment. METHODS: Diagnostic instrumental examinations employed
were: esophagogastroduodenoscopy, rectocolonoscopy, computerized tomography small
bowel follow-through examination, capsule endoscopy, biopsy, angiography,
abdominal computerized tomography scans and ultrasound. Therapies included:
interventional radiology and surgery. RESULTS: Thirty-five cases of obscure and
27 cases of occult bleeding were examined; all received a definite diagnosis
during hospitalization. In the cases with obscure bleeding the diagnosis was
inflammatory bowel disease (n = 7), angiodysplasia (5 gastric, 2 duodenal, 2
jejunal, 3 ileal, 4 right colon), small bowel tumors (4 non-Hodgkin lymphomas, 1
leiomyoma, 6 adenocarcinomas), and gastric metaplasia of Meckel's diverticulum (n
= 1). There were significantly more nontumor lesions than tumors (P < 0.005), and
a middle tract source was significantly more frequent than upper/lower tract
sources (P < 0.0001). Intestinal resections were performed for all small bowel
tumors (8 laparotomic, 3 laparoscopic), 5 angiodysplasias, all cases of
inflammatory bowel disease and gastric metaplasia of Meckel's diverticulum;
arterial embolization was performed for 11 angiodysplasias. In the cases with
occult bleeding the diagnosis was sigmoid colon polyps in 6 (treatment,
endoscopic polypectomy) and right colon cancer in 21 (treatment, right
hemicolectomy). There were significantly more tumors than nontumor lesions (P <
0.0001); all derived from the lower gastrointestinal tract. In all cases, the
interventions resolved the bleeding completely. CONCLUSIONS: The results show
that more than 50% of cases with obscure/occult bleeding requiring
hospitalization are motivated by malignant gastrointestinal tumors, 34% of which
are located in the small bowel, usually a difficult tract to explore. Thanks to
modern technology, however, their diagnosis and treatment can nowadays be
promptly and successfully achieved.
PMID- 18038879
TI - Her2/neu expression by reverse transcriptase-polymerase chain reaction in the
peripheral blood of prostate cancer patients.
AB - BACKGROUND/AIMS: Evaluation of Her2/neu expression in the peripheral blood
mononuclear cell fraction of prostate cancer patients by RT-PCR may afford an
opportunity for the detection of circulating tumor cells and thus serve as a
marker of micrometastatic disease. METHODS: We studied Her2/neu expression by
reverse transcriptase-polymerase chain reaction in peripheral blood mononuclear
cell fraction samples of 21 controls and serially in 43 patients with prostate
cancer. RESULTS: None of the 21 controls expressed Her2/neu whereas 23.25% (95%
CI, 11.75-38.63) of the patients were positive at entry into the study, and
65.11% (95% CI, 49.07-78.99) of them had at least one positive result during the
follow-up period. Her2/neu positivity at study entry did not correlate
significantly with PSA level, Gleason score, clinical stage or time to PSA
progression. When we analyzed only patients with advanced disease, we observed a
trend towards a shorter time to PSA progression in patients with at least one
positive Her2/neu result during the follow-up (log-rank test, P = 0.08).
CONCLUSIONS: We conclude that Her2/neu expression in the peripheral blood
mononuclear cell fraction of prostate cancer patients is frequent and therefore
this assay may potentially be useful to detect the presence of micrometastatic
disease in men with prostate cancer and for monitoring patients enrolled in
trastuzumab-based therapeutic protocols.
PMID- 18038880
TI - Low frequency of p53 and k-ras codon 12 mutations in non-small cell lung
carcinoma (NSCLC) tumors and surgical margins.
AB - AIMS AND BACKGROUND: Lung cancer is one of the most common cancers and has became
a predominant cause of cancer-related death throughout the world. The k-ras codon
12 mutation, which is the most common lung cancer mutation, is found in 15 to 30%
of all lung cancers. Furthermore, the p53 gene has a very important role in the
biological properties of tumor cells, and it is mutated in about 50% of non-small
cell lung cancers. Residual tumor cells remain in surgical margins diagnosed as
tumor free by histopathological techniques, and they can play a role in forming
any local recurrence. Molecular methods may be exploited that are sensitive
enough to detect small numbers of tumor cells. METHODS: In the present study, we
examined p53 gene mutations and k-ras codon 12 mutations from the tumor samples
and surgical margins of 34 non-small-cell lung cancer patients. P53 gene
mutations were analyzed by single strand conformational polymorphism analysis,
heterodublex analysis and DNA sequencing. K-ras codon 12 mutations were analyzed
by the mutagenic PCR-restricted fragment length polymorphism method. RESULTS: A
p53 mutation was detected only in primary tumors of 3 out of 34 patients (8.82%).
These mutations were clustered in exon 5. Moreover, a k-ras codon 12 mutation was
detected in both the primary tumor and the surgical margin tissues of 2 out of 34
patients (5.88%). CONCLUSIONS: The detected mutation rate was low, in the range
given in the literature. We think that different mechanisms related to other
genes and individual genetic differences might play a role in cancer formation in
our study group. We believe that molecular studies are necessary to identify
biomarkers and to determine genetic alterations in histopathologically normal
surgical margins.
PMID- 18038881
TI - Curative surgery for gastric cancer in the elderly: treatment decisions, surgical
morbidity, mortality, prognosis and quality of life.
AB - AIMS AND BACKGROUND: Surgical risk is deemed to be higher in the aged population
because there are often comorbidities that may affect the postoperative result.
This consideration is important for the treatment decision-making for gastric
cancer in the elderly. The aim of this study was to identify factors influencing
mortality, morbidity, survival and quality of life after curative surgery for
gastric cancer in patients aged 75 years and older, and to plan their appropriate
management. METHODS AND STUDY DESIGN: From January 1993 to December 2004, 135
patients underwent surgery at our department because of gastric cancer. Ninety
four of these patients (69.6%) underwent potentially curative gastrectomy. A
cross-sectional study of 23 patients aged 75 years and older and 71 younger
patients who underwent curative gastrectomy was carried out: patient
characteristics, tumor characteristics, management, morbidity, mortality,
survival, and quality of life were evaluated. RESULTS: Elderly patients had
significantly more comorbidities and a poorer nutritional status than younger
patients. The surgical procedures were similar in both groups and the overall
morbidity rate was 27.9% and the overall mortality rate 8.5%. Medical mortality
was significantly higher in elderly patients, and the presence of comorbidities
was the only independent factor affecting mortality. The 5-year survival rate was
56.2% in the older group versus 62.1% in the younger group and tumor stage was
the only prognostic factor influencing survival. Quality of life after surgery
was similar in both groups. The significantly better postoperative functional
outcome after subtotal gastrectomy suggested a better compliance of elderly
patients with subtotal than total gastrectomy. CONCLUSIONS: In the elderly,
surgical strategies must be modulated on the basis of comorbidities, tumor stage
and future quality of life. Since elderly patients have no worse prognosis than
younger patients, age is not a contraindication to curative resection for gastric
cancer. Subtotal gastrectomy should be the procedure of choice mainly in elderly
patients as it offers better quality of life.
PMID- 18038882
TI - Development and validation of a patient-physician relationship index in the
advanced cancer setting.
AB - BACKGROUND: The quality of the relationship between patient and medical staff is
crucial in oncological settings. We have developed and validated a short, self
administered questionnaire to measure patients' satisfaction with their
relationship with the physician: the Patient-Physician Relationship Index (PPRI).
MATERIALS AND METHODS: Content validity was evaluated by a two-stage (development
and judgment) process. One hundred and nine cancer patients with metastatic
disease were assessed during the second cycle of chemotherapy and 59 were
reassessed eight weeks later. Quality of life and anxiety and depression were
also evaluated. RESULTS: The PPRI is monofactorial. Cronbach's alpha coefficient
was 0.81. Sensitivity to change was shown by the correlation with changes in
patients' conditions. The PPRI scores were only weakly correlated with the
majority of the EORTC and HAD subscale scores. CONCLUSION: The PPRI is an easy-to
use, self-administered questionnaire, developed in a population of patients with
advanced cancer. It has good internal consistency and sensitivity to change.
PMID- 18038883
TI - When should trastuzumab be stopped after achieving complete response in HER2
positive metastatic breast cancer patients?
AB - We report the case of a woman with HER2-positive metastatic breast cancer who
achieved prolonged complete remission of multiple liver metastases after
treatment with weekly trastuzumab plus paclitaxel but relapsed in the brain soon
after stopping trastuzumab maintenance therapy which had been prosecuted for
almost three years. In the absence of randomized trials, the optimal duration of
trastuzumab administration after achieving complete remission of metastatic
breast cancer remains questionable.
PMID- 18038884
TI - Adenomyoepithelioma of the breast.
AB - Adenomyoepithelioma of the breast is an uncommon tumor characterized by the
presence of both epithelial and myoepithelial cells; its first full description
was published in 1970 by Hamperl. A 45-year-old woman presented a left breast
lump that had been palpable for 4 weeks. There was no family history of breast
cancer. Neither axillary nor supraclavicular lymph nodes were palpable.
Craniocaudal mammography showed a 1.6 cm, well-defined nodule with several
punctate intranodular calcifications in the subareolar region of the left breast.
Color Doppler sonogram showed an ovoid, well-defined, homogeneous hypoechoic
subareolar nodule with prominent peripheral vessels in the 6 o'clock position of
the left breast. Operation was arranged and intraoperative frozen section
examination revealed proliferation of round, oval or tubular glandular elements
with intervening islands and bands of polygonal myoepithelial cells.
Adenomyoepithelioma of the breast was the impression. Wide excision with adequate
removal of the tumor and preservation of the left breast contour was successfully
achieved. The diagnosis of adenomyoepithelioma of the breast was confirmed by
immunohistochemical studies.
PMID- 18038885
TI - Primary neuroendocrine carcinoma of the breast: a case report.
AB - Primary neuroendocrine carcinoma of the breast is an extremely rare tumor. We
present our experience of primary neuroendocrine carcinoma of the breast in a 76
year-old woman. Surgical biopsies from breast and axillary lymphadenopathy showed
a neuroendocrine carcinoma. Immunohistochemical staining of tumor cells with
GCDFP15, NSE and chromogranin were positive. Computed tomography scans of the
chest and abdomen showed no lesion for metastasis or another primary origin.
Adjuvant hormone therapy was given, since the tumor was immunohistochemically
receptor positive.
PMID- 18038886
TI - Neuroendocrine carcinomas of the upper airways: a small case series with
histopathological considerations.
AB - Neuroendocrine carcinomas are rare tumors. In the head and neck region they are
most common in the larynx, where they represent 0.5-1% of epithelial cancers.
Diagnosis requires the recognition of the typical neuroendocrine architecture and
morphology and the immunohistochemical confirmation of neuroendocrine
differentiation. In the 1991 WHO classification laryngeal neuroendocrine
carcinomas have been divided into carcinoids, atypical carcinoids, small cell
carcinomas and paragangliomas. Atypical carcinoids in the head and neck region
usually show an aggressive behavior analogous to poorly differentiated
carcinomas, and are resistant to chemo- and radiotherapy. For this reason, it was
recently proposed to change their designation to "moderately differentiated
neuroendocrine carcinomas". We present the clinical and histopathological
features of 2 moderately differentiated neuroendocrine carcinomas of the larynx,
one large cell poorly differentiated neuroendocrine carcinoma of the oropharynx,
and one small cell carcinoma of the minor salivary glands of the tongue. The
patient with small cell carcinoma was free from disease 26 months after radical
surgery, while the other patients showed liver, lung and bone metastases 18, 26
and 24 months after the diagnosis despite radical surgery or concomitant intra
arterial chemotherapy and radiotherapy.
PMID- 18038887
TI - Malignant fibrous histiocytoma associated with coxofemoral arthrodesis.
AB - The discovery of biomaterials led to their use in the manufacture of implants for
biomedical applications. In vivo, no metal or alloy is completely inert. The
potential toxicity of some of the metals most frequently employed in the
manufacture of orthopedic implants has been reported. Their carcinogenic
potential has been evaluated in experimental animal models. However, few reports
have discussed the potential development of malignant tumors associated with
prosthetic structures in humans. The present study documents a case of
intraosseous sarcoma that developed in the vicinity of a metallic prosthesis 43
months after a coxofemoral arthrodesis with metallic pins and screws. With this
report the authors seek to contribute to the understanding of the potential
toxicity and risks of using metallic implants. Since metallic implants employed
in the rehabilitation of osteo-muscular-articular disorders usually remain in the
organism for long periods of time, the need to monitor the metallic structures
and the adjacent tissues is extremely relevant.
PMID- 18038888
TI - Solitary fibrous tumor of the anterior mediastinum: a rare extrapleural neoplasm.
AB - Solitary fibrous tumors are uncommon spindle cell neoplasms originally thought to
be restricted to the pleura. We describe a 62-year-old woman who presented with
stridor and an anterior mediastinal mass. At thoracotomy, a 10.5 x 6.5 x 5.5 cm,
circumscribed, firm mediastinal mass demonstrated no direct cardiac or pulmonary
involvement. The tumor consisted of spindle cells organized in a patternless
pattern with collagenous stroma and hemangiopericytoma-like vessels. Spindle
cells were immunoreactive for CD34, CD99, desmin, vimentin and bcl-2 protein and
a diagnosis of mediastinal solitary fibrous tumor was confirmed. The differential
diagnosis of mediastinal solitary fibrous tumors is extensive and includes
spindle cell thymoma, sarcomatoid carcinoma, malignant mesothelioma, inflammatory
myofibroblastic tumor, peripheral nerve sheath tumors and various sarcomas.
Despite their rarity in the mediastinum, solitary fibrous tumors can be
recognized by their classic patternless morphology and immunophenotypic pattern.
Their accurate classification is important, as solitary fibrous tumors are
intermediate (rarely metastasizing) neoplasms that require complete surgical
excision and long-term clinical follow-up for optimum therapy.
PMID- 18038889
TI - Bone marrow metastasis of desmoplastic small round cell tumor.
AB - Desmoplastic small round cell tumor is an extremely rare and highly aggressive
neoplasm. It usually arises as a single mass or multiple masses in the abdominal
cavity, characterized by diffuse peritoneal implants, involvement of regional
lymph nodes, and liver and lung metastases. However, bone marrow metastasis has
rarely been reported in the literature. We present a case of clinically
symptomatic bone marrow metastasis in a 25-year-old woman with a diagnosis of
desmoplastic small round cell tumor originating from the pelvic cavity.
PMID- 18038890
TI - Nonsurgical treatment of an incompletely excised primary adenocarcinoma of
nonpigmented ciliary epithelium.
AB - Primary adenocarcinoma of the nonpigmented ciliary epithelium (NPCE) is a very
rare disease and the majority of acquired cases were treated by enucleation. We
report the case of a 19-year-old man who had an adenocarcinoma arising from the
NPCE. The tumor was found incidentally due to changed pupil shape. An excisional
biopsy was performed and histopathological examination showed primary
adenocarcinoma of the NPCE with a positive resection margin. Because of the
positive resection margin and to save the eye, radiotherapy rather than
enucleation was performed, followed by chemotherapy. Two years after the
diagnosis, the patient continues to be followed up without evidence of relapse or
cataract change. Our case suggests that adjunctive radiotherapy should be
considered as a sight-saving treatment modality for primary malignant tumors of
the NPCE.
PMID- 18038891
TI - Primary squamous cell carcinoma of the thyroid: immunohistochemical profile and
literature review.
AB - BACKGROUND: Squamous cell carcinoma accounts for 1% of primary thyroid
malignancies and is characterized by a rapidly unfavorable outcome. CASE
PRESENTATION: A 64-year-old woman presented with a painless mass in the left
neck, coexisting with thyroid goiter. Total thyroidectomy with lymphadenectomy
was performed and a primary thyroid squamous cell cancer was confirmed
histologically after excluding any other possible primary malignancies. The
tumor's immunohistochemical profile was explored using a large panel of
antibodies. The tumor featured a positive immunoreaction to cytokeratins 7-19 and
to squamous cell carcinoma antigen. Low-molecular-weight cytokeratins 5-6 and
epithelial membrane antigen were also expressed. The neoplasm's proliferative
index (Mib1) was 60%. No immunostaining was detected for cytokeratins 10-20,
thyroglobulin, TTF-1, CD5, galectin-3 or p53. CONCLUSIONS: This case of primary
thyroid squamous cell carcinoma immunohistochemically profiled using a large
panel of immunoreactions may offer useful information on the histological
differentiation of thyroid squamous cell carcinoma from other (uncommon) thyroid
carcinomas and the distinction between primary and secondary thyroid cancers.
PMID- 18038893
TI - Chemoradiotherapy in high-grade gliomas.
PMID- 18038892
TI - Solid-cystic pseudopapillary tumor of pancreas: description of two cases and
literature review.
AB - The authors report the cases of two young female patients aged 17 and 27 years
who underwent surgery for a rare tumor of the pancreas, Frantz's tumor or solid
cystic pseudopapillary tumor. Solid-cystic pseudopapillary tumor of the pancreas
is a rare tumor, accounting for 2.7% of pancreatic exocrine tumors. About 90% of
these tumors occur in young women and they can reach very large dimensions. Due
to their rareness and behavior, they are often associated with diagnostic and
therapeutic problems. In most cases surgical treatment is curative and neither
chemotherapy nor radiotherapy should be added. In the few cases where surgery is
not possible, radiotherapy can be used because these tumors appear to be
radiosensitive.
PMID- 18038894
TI - [News on ASCO 2007. Sunitinib in the treatment of metastatic renal carcinoma and
gastrointestinal stromal tumors].
PMID- 18038895
TI - [How is the treatment changing in metastatic carcinoma of the colorectum].
PMID- 18038896
TI - A new monoterpene glycoside from the roots of Paeonia lactiflora increases the
differentiation of osteoblastic MC3T3-E1 cells.
AB - A new monoterpene glycoside, 6'-O-beta-D-glucopyranosylalbiflorin (1), and four
known compounds; albiflorin (2), 6'-O-benzoylalbiflorin (3), paeoniflorin (4) and
benzoyl paeoniflorin (5), were isolated from the methanolic extract of the roots
of Paeonia lactiflora Pall.. Their chemical structures were completely elucidated
using a combination of 2D NMR techniques (COSY, HMQC and HMBC) and HRESI-MS
analyses. To investigate the bioactivities of these compounds, their effects on
the differentiation of osteoblastic MC3T3-E1 cells were tested. Compound 1 (0.01
10 microM) significantly increased the alkaline phosphatase activity and nodules
mineralization of MC3T3-E1 cells compared to those of the control (P<0.05). These
results suggest that newly isolated compound 1 has a direct stimulatory effect on
bone formation in vitro and may contribute to the prevention for osteoporosis.
PMID- 18038897
TI - Synthesis and three-dimensional qualitative structure selectivity relationship of
3,5-disubstituted-2,4-thiazolidinedione derivatives as COX2 inhibitors.
AB - In our effort for synthesis of selective COX2 inhibitors, certain new 2,4
thiazolidinedione derivatives were synthesized. It necessitates preparation of
potassium salt of 2,4-thiazolidinedione 2, which condensed with intermediate 4a.
The resulting 3-[2-(4-methylphenyl)-2-oxo-l-phenylethyl]-2,4-thiazolidinedione 8
was condensed with appropriate aldehyde to afford compounds 10a, 10i-l, 10o and
10p. Compounds (9a-l, 10a-n, 10p, 11 and 12) were obtained through the
preparation of 5-arylmethylidene-2,4-thiazolidinediones 6a-p and reaction of its
potassium salt 7a-p with compounds 4a, 4b, and 5. Some compounds displayed
significant analgesic activity as compared to reference standards. The anti
inflammatory activity of the synthesized compounds revealed that intermediate 8
and compounds 9c, 10c and 10d showed good results. Compound 10c produced no
significant mucosal injury. HipHop methodology of Catalyst program was used to
build up hypothetical model of selective COX2 inhibitors followed by fitting the
synthesized compounds to this model. Compounds 10c and 10d were suspected to be
promising selective COX2 inhibitors. Also, compounds (6c, 8, 9a,c,d,k, 10a,c,d,k,
11 and 12) were docked into COX1 and COX2 X-ray structures, using DOCK6 program.
Docking results suggested that several of these derivatives are active COX
inhibitors with a significant preference for COX2.
PMID- 18038898
TI - Alternative and improved syntheses of highly potent and selective A3 adenosine
receptor agonists, Cl-IB-MECA and thio-Cl-IB-MECA.
AB - Improved syntheses of potent and selective A3 adenosine receptor agonists, Cl-IB
MECA and thio-Cl-IB-MECA were accomplished from cheap stating material, D-ribose.
New synthetic methods were found to be superior to old methods from the viewpoint
of use of cheap starting material, number of steps, and overall yields.
PMID- 18038899
TI - Structure-activity relationships of 6-hydroxy-7-methoxychroman-2-carboxylic acid
N-(substituted)phenylamides as inhibitors of nuclear factor-kappaB activation.
AB - A series of 6-hydroxy-7-methoxychroman-2-carboxylic acid N
substitutedphenylamides (2a-n) were synthesized and their ability to inhibit
nuclear factor-kappaB activity was evaluated in lipopolysaccharide (LPS)
stimulated macrophage RAW 264.7 cells. While compounds bearing -OH, or -OCH3
substituents were inactive, compounds with -CH3, -CF3, or -Cl substituents were
potent inhibitors (IC50: 6.0-60.2 microM). The most active compound, 2n,
contained a 4-Cl substituent on the phenyl ring and was four times more potent
than the compound KL-1156.
PMID- 18038900
TI - Apoptosis-mediated cytotoxicity of ouabain, digoxin and proscillaridin A in the
estrogen independent MDA-MB-231 breast cancer cells.
AB - We examined the effects of three cardiac glycosides, ouabain, digoxin and
proscillaridin A, on the proliferation of estrogen independent MDA-MB-231 breast
cancer cells. In terms of reduction in cell viability, the compounds rank for
both 24 h and 48 h of incubation in MDA-MB-231 cells in the order proscillaridin
A > digoxin > ouabain. Digoxin for 24 h and 48 h of incubation in MDA-MB-231
cells proved to be only slightly more potent than ouabain, with IC50 values of
122 +/- 2 and 70 +/- 2 nM, respectively, compared to 150 +/- 2 and 90 +/- 2 nM
for ouabain. In contrast, proscillaridin A, was much more active and showed a
high level of cytotoxic potency, IC50 51 +/- 2 and 15 +/- 2 nM for 24 h and 48 h
of incubation, respectively. The concentrations of digoxin, ouabain and
proscillaridin A needed to inhibit [3H]thymidine incorporation into DNA by 50%
(IC50) in MDA-MB-231 cells for 24 h of incubation were found to be 124 +/- 2 nM,
142 +/- 2 nM, and 48 +/- 2 nM, respectively. In the present study, we
demonstrated that ouabain, digoxin, and proscillaridin A induce apoptosis in MDA
MB-231 cells by increasing free calcium concentration and by activating caspase
3.
PMID- 18038901
TI - Estrogen attenuates cardiac ischemia-reperfusion injury via inhibition of calpain
mediated bid cleavage.
AB - Although several studies have shown that the administration of 17beta-estradiol
(estrogen) is cardioprotective to ischemia-reperfusion (I/R), the molecular
mechanisms are largely unknown. Therefore, we investigated the effects of
estrogen on myocardial I/R injury in rat that were sham operated (Sham),
ovariectomized (OVX), or ovariectomized and then given estrogen supplementation
(OE). Langendorff-perfused rat hearts were subjected to I/R stimuli and the
effects of estrogen were examined on cardiac performance. Additionally, we
examined the mechanism of estrogen-mediated inhibition of apoptosis. Depression
in cardiac contractile function and an increment of calpain activity were
observed during I/R in the OVX rats. Estrogen replacement recovered cardiac
contractile function and attenuated calpain activity, Bid cleavage, and caspases
activities. Through in vitro assay using cardiomyocytes, we demonstrated that
addition of H2O2 (100 microM) significantly increased calpain activity, which was
attenuated by estrogen. Moreover, calpain activity was inhibited by calpain
inhibitors such as ALLN or leupeptin, but not by caspase-8 inhibitor peptide.
These results suggest that estrogen protects the heart against I/R injury through
the decrease of calpain activity, Bid cleavage and caspase-8 activity. These
apoptotic mechanisms may play a critical role on I/R-associated cardiac damage.
PMID- 18038902
TI - Inhibition of inducible prostaglandin E2 production and cyclooxygenase-2
expression by curdione from Curcuma zedoaria.
AB - Overproduction of prostaglandins has been considered in mediation of inflammation
and carcinogenic process. On this line, the inhibitors of prostaglandin
biosynthetic enzyme cyclooxygenase (COX) have played a role of anti-inflammatory
and cancer chemopreventive agents. In our continuous efforts to search anti
inflammatory and chemopreventive agents from natural products, bioassay-guided
fractionation led to the isolation of curdione from the rhizome of Curcuma
zedoaria with the inhibitory effect on the production of prostaglandin E2 in
lipopolysaccharide (LPS)-stimulated mouse macrophage RAW 264.7 cells in a
concentration-dependent manner (IC50 = 1.1 microM). Mechanistic studies suggest
that the suppression of cyclooxygenase-2 (COX-2) mRNA expression is, at least in
part, involved in this inhibitory activity of curdione.
PMID- 18038903
TI - Influence of polyphenolic compounds isolated from Rubus coreanum on catecholamine
release in the rat adrenal medulla.
AB - The aim of the present study was to investigate whether polyphenolic compounds
isolated from wine brewed from Rubus coreanum MIQUEL (PCRC) may affect the
release of catecholamine (CA) from the isolated perfused rat adrenal medulla, and
to establish its mechanism of action. PCRC (20-180 microg/mL) perfused into an
adrenal vein for 90 min dose- and time-dependently inhibited the CA secretory
responses evoked by acetylcholine (ACh, 5.32 mM), high K+ (a direct membrane
depolarizer, 56 mM), DMPP (a selective neuronal nicotinic Nn receptor agonist,
100 microM) and McN-A-343 (a selective muscarinic M1 receptor agonist, 100
microM). Also, in the presence of PCRC (60 microg/mL), the secretory responses of
CA evoked by Bay-K-8644 (a L-type dihydropyridine Ca2+ channel activator, 10
microM), and cyclopiazonic acid (a cytoplasmic Ca2+-ATPase inhibitor, 10 microM)
were significantly reduced, respectively. In the simultaneous presence of PCRC
(60 microg/mL) and L-NAME (an inhibitor of NO synthase, 30 microM), the
inhibitory responses of PCRC on the CA secretion evoked by ACh, high K+, DMPP,
and Bay-K-8644 were considerably recovered to the extent of the corresponding
control secretion compared with the inhibitory effect of PCRC alone. Taken
together, these results obtained from the present study demonstrate that PCRC
inhibits the CA secretory responses from the isolated perfused adrenal gland of
the normotensive rats evoked by stimulation of cholinergic (both muscarinic and
nicotinic) receptors as well as by direct membrane-depolarization. It seems that
this inhibitory effect of PCRC is exerted by inhibiting both the calcium influx
into the rat adrenal medullary chromaffin cells and the uptake of Ca2+ into the
cytoplasmic calcium store partly through the increased NO production due to the
activation of nitric oxide synthase (NOS), which are at least relevant to the
direct interaction with the nicotinic receptor itself. It is also thought that
PCRC might be effective in prevention of cardiovascular disease.
PMID- 18038904
TI - Effects of Korean mistletoe lectin (Viscum album coloratum) on proliferation and
cytokine expression in human peripheral blood mononuclear cells and T
lymphocytes.
AB - The anti-cancer activity of mistletoe has been ascribed to a combination of
cytotoxic and immunological effects. We previously showed that Korean mistletoe
lectin (Viscum album L. var. coloratum agglutinin, VCA) can stimulate IFN-gamma
production and modulate proliferation in murine splenocytes. In this study, we
investigated the effects of VCA on human peripheral blood mononuclear cells
(hPBMC) and T-lymphocytes. The addition of VCA resulted in a significant
inhibition of proliferation at higher concentrations (at 2-8 ng/mL, 1-8 ng/mL in
hPBMC and T-lymphocytes, respectively) but an induction at lower concentrations
(at 4-16 pg/mL, 4-32 pg/mL in hPBMC and T-lymphocytes, respectively). Further
studies were carried out to determine if the pro-proliferative or anti
proliferative activity exhibited by VCA was correlated with apoptosis and
cytokine secretion. As a result, the apoptotic cell number increased to 26% after
48 h of VCA treatment (10 ng/mL) in the presence of anti-CD3/CD28 antibodies. On
the other hand, without anti-CD3/CD28 antibody stimulants, VCA did not arrest
cell cycle. In addition, it was shown that VCA could induce IL-2 secretion was
dose-dependently increased by VCA in stimulated (anti-CD3/CD28 antibodies) (at
0.25-2 ng/mL) and non-stimulated (at 3-25 pg/mL) human T-lymphocytes. Also, at
low and non-toxic concentrations of VCA, the RT-PCR result confirmed the release
of pro-inflammatory cytokines such as IL-1alpha, IL-1beta, IL-6, IL-8, and IFN
gamma. These data may suggest new perspective to modulate the balance between
cell growth, cytokine production and programmed cell death therapeutically.
PMID- 18038905
TI - Immunosuppressive effect of silibinin in experimental autoimmune
encephalomyelitis.
AB - Silibinin is the major pharmacologically active compound of silymarin, the
Silybum marianum fruit extract. Hepatoprotective activities of
silibinin/silymarin are well-known, and recent studies demonstrated their anti
inflammatory and anti-carcinogenic effects which are due to inhibition of the
transcription factor NF-kappaB. Based on this knowledge, we hypothesized that
silibinin could be effective in the treatment of multiple sclerosis (MS) and so
we tested its immunosuppressive effect in experimental autoimmune
encephalomyelitis (EAE), the MS animal model. The process of spinal cord
demyelination and inflammation were observed and T cell migration was determined
by FACS analysis. The results showed that silibinin significantly reduced the
histological signs of demyelination and inflammation in EAE. Since cytokines play
an important role in inflammatory disease, the proliferative response and
cytokine production were examined in lymphocytes from spleens and lymph nodes. We
demonstrated that silibinin Ag-nonspecifically down-regulated the secretion of
pro-inflammatory Th1 cytokines and up-regulated the anti-inflammatory Th2
cytokines in vitro. Silibinin also dose-dependently inhibited the production of
Th1 cytokines ex vivo. These results indicate that silibinin is both
immunosuppressive and immunomodulatory.
PMID- 18038906
TI - Moving cell bodies: understanding the migratory mechanism of facial motor
neurons.
AB - Facial branchiomotor (FBM) neurons innervate facial musculature to control facial
and jaw movement, which is crucial for facial expressions, speaking, and eating.
FBM neurons are one of the largest populations among cranial motor neuronal class
forming distinct nucleus in the hindbrain. To construct functional FBM neuronal
system, a variety of cellular and molecular mechanisms play a role during
embryonic development and thereby present a good framework for understanding the
principles of neural development. Over the past decade, genetic approaches in
mice and zebrafish have provided a better understanding of molecular pathways for
FBM neuron development. This review will focus on regulatory mechanisms for cell
body movement of FBM neurons, one of the unique features of FBM neuronal
development. First, I will describe the basic anatomy of hindbrain, organization
of cranial motor neurons, and developmental sequence of FBM neurons in
vertebrates. Next, I will focus on the migratory process of FBM neurons in detail
in conjunction with recent genetic evidence for underlying regulatory mechanisms,
candidate environmental signals, and transcription factors for FBM neuronal
development.
PMID- 18038907
TI - N-acetylcysteine prevents LPS-induced pro-inflammatory cytokines and MMP2
production in gingival fibroblasts.
AB - Periodontitis is an inflammatory process that ultimately results in tooth loss.
Although the primary etiologic agent for periodontitis is bacteria, the majority
of periodontal tissue destruction is thought to be caused by an inappropriate
host response. Reactive oxygen species (ROS) have been known to be involved in
periodontal tissue destruction. We treated human gingival fibroblasts with
lipopolysaccharide (LPS) obtained from E. coli and the periodontopathogens
Actinobacillus actinomycetemcomitans and Porphyromonas gingivalis, and examined
their inflammatory responses in the presence and absence of the antioxidant N
acetylcysteine (NAC). LPS enhanced ROS production, as well as, expression of pro
inflammatory cytokines such as interleukin-1beta, interleukin-6, interleukin-8
and tumor necrosis factor-alpha, and the production and activation of MMP2. NAC
suppressed all LPS-induced inflammatory responses examined, suggesting that LPS
induced ROS may play a major regulatory role in these responses in gingival
fibroblasts. In addition, NAC prevented LPS-induced activation of p38 MAPK and
JNK but not phosphorylation and subsequent degradation of IkB. These results
indicate that NAC exerts anti-inflammatory effects in LPS-stimulated gingival
fibroblasts, functioning at least in part via down-regulation of JNK and p38 MAPK
activation. Furthermore, this work suggests that antioxidants may be useful in
adjunctive therapies that complement conventional periodontal treatments.
PMID- 18038908
TI - Anti-oxidative and photo-protective effects of coumarins isolated from Fraxinus
chinensis.
AB - Free radicals and reactive oxygen species (ROS), which are generated by UV
irradiation, may cause serious injury to skin cell membranes, DNA and functional
proteins. In addition, these agents stimulate the expressions of matrix
metalloproteinases (MMPs), which can degrade most components of the extracellular
matrix (ECM), including collagen. In order to develop new anti-photoaging agents,
five major components from the extract of Fraxinus chinensis extract (FCE) were
identified. Two of the major components of FCE were found to be esculin (11.2%)
and esculetin (1.9%). FCE (IC50: 50.0 microg/mL 1, 1-diphenyl-2-picrylhydrazyl
(DPPH); 19.8 microg/mL, superoxide anion radical) and esculetin (IC50: 2.1
microg/mL DPPH; 0.6 microg/mL, superoxide anion radical) showed strong
antioxidative activities. Of the compounds tested, esculetin showed the strongest
scavenging activity against DPPH radicals, followed by superoxide anions from the
xanthine/xanthine oxidase system. The intracellular ROS scavenging activity
showed that oxidation of 5-(6-)-chloromethyl-2', 7'-dichlorodihydrofluorescein
diacetate (CM-H2DCFDA) was effectively inhibited by esculetin, with potent free
radical scavenging activity was also shown in UVB-irradiated human dermal
fibroblasts (HDFs). Moreover, treatment of UVA-irradiated HDFs with esculetin
resulted in dose-dependent decreases in the expression levels of MMP-1 mRNA and
protein. From these results, FCE and one of its components, esculetin, were
predicted to be potentially useful as ingredients in cosmetics for protecting
against photoaging.
PMID- 18038909
TI - Purification and biochemical properties of phospholipase D (PLD57) produced by
Streptomyces sp. CS-57.
AB - Streptomyces sp. CS-57, which was isolated from Korean soil, was found to produce
phospholipase D (PLD57) as an extracellular enzyme when cultured in medium
containing 2% glucose, 1.5% yeast extract, 0.5% trypton, and 0.1% calcium
carbonate at 28 degrees C, and 160-rpm. PLD57 was purified using Sepharose CL-6B
column chromatography, and DEAE-Sepharose CL-6B ion exchange column
chromatography. The specific activity of the purified enzyme increased 6.7 fold
with 3% recovery. The purified enzyme was then analyzed using 12% SDS-PAGE, which
revealed that the molecular mass of the purified enzyme was 55 kDa. PLD57 showed
both hydrolytic (H) and transphosphatidylation (T) activity, and the optimum
temperatures of these activities were found to be 45 degrees C and 35 degrees C,
respectively. Similarly, both of these activities were found to be optimal at a
pH of 7.5. In addition, even after being heat treated at 45 degrees C for up to 2
h, the enzyme activity remained at 100%, and the H-activity was found to be
stable at a pH of 6 to 8. Further, enzyme activity occurred in the presence of
EDTA, indicating that metal ions are not required for their activity, although
some metal ions did marginally increase the activity. Enzyme activity also
increased by 75% in the presence of Triton-X 100 at a concentration of 0.375 %;
however, none of the other detergents evaluated in this study were found to
enhance enzyme activity.
PMID- 18038910
TI - Protective effects of puerarin on carbon tetrachloride-induced hepatotoxicity.
AB - Puerarin, the main isoflavone glycoside found in the root of Pueraria lobata, has
been used for various medicinal purposes in traditional Chinese medicine for
thousands of years. The purpose of this study was to investigate the protective
effects of puerarin against hepatotoxicity induced by carbon tetrachloride (CCl4)
and the mechanism of its hepatoprotective effect. In mice, pretreatment with
puerarin prior to the administration of CCl4 significantly prevented the
increased serum enzymatic activity of alanine aspartate aminotransferase and
hepatic malondialdehyde formation in a dose-dependent manner. In addition,
pretreatment with puerarin significantly prevented both the depletion of reduced
glutathione (GSH) content and the decrease in glutathione S-transferase (GST)
activity in the liver of CCl4-intoxicated mice. Hepatic GSH levels and GST
activity were increased by treatment with puerarin alone. CCl4-induced
hepatotoxicity was also prevented, as indicated by liver histopathology. The
effects of puerarin on cytochrome P450 (CYP) 2E1, the major isozyme involved in
CCl4 bioactivation, were also investigated. Treatment of the mice with puerarin
resulted in a significant decrease in the CYP2E1-dependent aniline hydroxylation
in a dose-dependent manner. Consistent with these observations, the CYP2E1
protein levels were also lowered. Puerarin exhibited anti-oxidant effects on
FeCl2-ascorbate induced lipid peroxidation in mouse liver homogenates, and on
superoxide radical scavenging activity. These results suggest that the protective
effects of puerarin against the CCl4-induced hepatotoxicity possibly involve
mechanisms related to its ability to block CYP-mediated CCl4 bioactivation,
induction of GST activity and free radical scavenging effects.
PMID- 18038912
TI - Mechanism of apoptosis induced by apigenin in HepG2 human hepatoma cells:
involvement of reactive oxygen species generated by NADPH oxidase.
AB - Although plant-derived flavonoids have been reported to have anti-cancer
activities, the exact mechanism of these actions is not completely understood. In
this study we investigated the role for reactive oxygen species (ROS) as a
mediator of the apoptosis induced by apigenin, a widespread flavonoid in plant,
in HepG2 human hepatoma cells. Apigenin reduced cell viability, and induced
apoptotic cell death in a dose-dependent manner. In addition, it evoked a dose
related elevation of intracellular ROS level. Treatment with various inhibitors
of the NADPH oxidase (diphenylene iodonium, apocynin, neopterine) significantly
blunted both the generation of ROS and induction of apoptosis induced by
apigenin. These results suggest that ROS generated through the activation of the
NADPH oxidase may play an essential role in the apoptosis induced by apigenin in
HepG2 cells. These results further suggest that apigenin may be valuable for the
therapeutic management of human hepatomas.
PMID- 18038913
TI - Formulation and evaluation of less-painful clarithromycin lipid microspheres.
AB - Lipid microspheres (LMs) have recently been use as drug carriers for intravenous
use due to its low toxicity, good physiological tolerance and the reduction of
the drug related side-effect. In this study, clarithromycin was incorporated in
LMs, in an attempt to reduce the pain caused by intravenous use. The composition
of the drug loaded LMs was clarithromycin 0.5%, oil phase 10%, soybean
phospholipid 2%, egg lecithin 0.5%, Sodium oleate 0.1%. Clarithromycin LMs was
prepared by high pressure homogenization method at 80 MPa for 8-10 cycles. The
mean particle size and surface charge of LMs were 208.7+/-7.8 nm and -23.6+/-2.3
mv respectively. Clarithromycin entrapment efficiency in LMs was 66.4%. Drug
loaded LMs was stable during the storage time at 6+/-2 degrees C for 9 month, and
the particle size and zeta-potential did not change significantly. Drug
concentration in LMs was 5 mg/mL, and there was no drug degradation during long
term storage. Animal tests (rat paw lick test and rabbit ear vein irritation
test) were used to evaluate the pain reduction of clarithromycin LMs compared
with that of clarithromycin aqueous solution. These results suggest that the LM
system is a promising option to replace clarithromycin aqueous solutions as an
intravenous treatment.
PMID- 18038911
TI - Anti-inflammatory mechanisms of apigenin: inhibition of cyclooxygenase-2
expression, adhesion of monocytes to human umbilical vein endothelial cells, and
expression of cellular adhesion molecules.
AB - The aim of this study was to clarify the anti-inflammatory mechanism of apigenin.
Apigenin inhibited the collagenase activity involved in rheumatoid arthritis (RA)
and suppressed lipopolysaccharide (LPS)-induced nitric oxide (NO) production in a
dose dependent manner in RAW 264.7 macrophage cells. Pretreatment with apigenin
also attenuated LPS-induced cyclooxygenase-2 (COX-2) expression. In addition,
apigenin profoundly reduced the tumor necrosis factor-alpha (TNF-alpha)-induced
adhesion of monocytes to HUVEC monolayer. Apigenin significantly suppressed the
TNF-alpha-stimulated upregulation of vascular cellular adhesion molecule-1 (VCAM
1)-, intracellular adhesion molecule-1 (ICAM-1)-, and E-selectin-mRNA to the
basal levels. Taken together, these results suggest that apigenin has significant
anti-inflammatory activity that involves blocking NO-mediated COX-2 expression
and monocyte adherence. These results further suggest that apigenin may be useful
for therapeutic management of inflammatory diseases.
PMID- 18038914
TI - Mixed micellar nanoparticle of amphotericin B and poly styrene-block-poly
ethylene oxide reduces nephrotoxicity but retains antifungal activity.
AB - Mixed micellar nanoparticle consisting of amphotericin B (AmB) and poly styrene
block-poly ethylene oxide (PS-block-PEO) was prepared by high pressure
homogenizer. Nephrotoxicity of the nanoparticle was investigated along with
antifungal activity and self-aggregation status of the drug in the nanoparticle.
Nephrotoxicity was markedly reduced when AmB was intravenously administered to
rats as mixed micellar nanoparticle with PS-block-PEO in terms of transmission
electron microscopy of tubular cells and creatinine clearance. Antifungal
activity of AmB was not altered when the drug was in the form of mixed micellar
nanoparticle compared to both conventional formulation and AmB micelle treated by
same procedure without PS-block-PEO. Self-aggregation status of AmB molecules
revealed monomeric in the mixed micellar nanoparticle with PS-block-PEO up to the
therapeutic level of the drug (1-3 mM). The reduced nephrotoxicity of AmB in
mixed micellar nanoparticle may be associated with the existence of the drug as
monomeric form in the nanoparticle. Based on our result, formulation of AmB as
mixed micellar nanoparticle with PS-block-PEO may be a promising alternative for
the treatment of fungal diseases in patients who are at risk of renal
dysfunction.
PMID- 18038916
TI - Pulmonary arterial hypertension secondary to chronic lung diseases: pathogenesis
and medical treatment.
AB - Pulmonary artery hypertension secondary to chronic lung diseases is a clinical
entity with no specific symptoms that can develop as a result of parenchymal lung
disorders (COPD-emphysema, sleep apnea syndrome, diffuse parenchymal lung
diseases, etc.) and pulmonary vascular disorders (vasculitis, sarcoidosis, etc.).
In the clinical history of these chronic and invalidating diseases, pulmonary
vasculature goes through various degenerative and/or proliferative changes,
responsible of the pulmonary arterial hypertension appearance. The rise in
pulmonary artery pressure can be subtle and the progression from an asymptomatic
disease to a more severe syndrome is often common in all forms of secondary
pulmonary arterial hypertension. Etiopathology of pulmonary artery hypertension
secondary to chronic lung diseases is based on one or more of the following
mechanisms: hypoxic vasoconstriction, decreased area of pulmonary vascular bed,
volume/pressure overload. In these forms, the above three mechanisms show common
mediators, all responsible of disease progression but singularly potential
reversible. Therapies for secondary pulmonary artery hypertension consist
primarily on the treatment of the underlying disease. Therapy is most effective
when initiated prior to the onset of irreversible pulmonary vascular damage. In
the last two decades, new medical treatments (prostacyclins, endothelin receptor
antagonists, phosphodiesterase inhibitors) for pulmonary arterial hypertension
have been available for the sporadic and the secondary to systemic sclerosis
forms. The role of these drugs in the other forms of pulmonary arterial
hypertension has not been well studied yet. This review will go through the
pathogenesis and the several therapeutic approaches for pulmonary artery
hypertension secondary to chronic pulmonary diseases or pulmonary vasculature
disorders.
PMID- 18038915
TI - A liquid chromatographic method for the determination of histamine in
immunoglobulin preparation using solid phase extraction and pre-column
derivatization.
AB - An immunoglobulin (IgG) preparation with micro-amount of histamine fixed on the
active protein fraction has been used to increase the resistance to allergic
reactions. However, excessive histamine may cause hypo- or hypertension,
headache, or anaphylactic shock and so the histamine content of the drug is
strictly controlled by a regulation: 0.15 microg of histamine dihydrochloride is
allowed for 12 mg of immunoglobulin. In this study, a liquid chromatographic
method to determine micro-amount of histamine in the pharmaceutical was developed
and validated. This method include a sample cleanup by a solid phase extraction
(SPE) using a polystyrene-divinyl benzene (PS-DVB) polymeric sorbent and high
performance liquid chromatography after precolumn fluorescent labeling of the
histamine with o-phthaldialdehyde. The drug sample was loaded to the SPE
cartridge after adjusting to pH 9.5. After successive washings of the cartridge
with water and 30% aqueous methanol, histamine was then eluted with 100 mM sodium
acetate (pH 9.5)-methanol (20:80, v/v). An aliquot from the eluate was labeled
with o-phthaldialdehyde-mercaptoethanol (OPA-ME) for fluorescence detection at
the excitation maximum of 340 nm and emission maximum of 450 nm. HPLC analysis
was performed on a phenyl-hexyl column with an acetonitrile-phosphate buffer (pH
6.8; 50 microM) (35:65, v/v) as the mobile phase. The retention times of
histamine and 3-methylhistamine (IS) were approximately 7.2 and 9.5 min,
respectively. The quantitation range was between 0.01-0.2 mg/mL of histamine
showing good linearity (r=0.9996). This analytical method would provide a
potential mean for the strict control of histamine content in the pharmaceutical
product.
PMID- 18038917
TI - Comparative analysis of DR and DQ alleles occurrence in sarcoidosis and
tuberculosis in the same ethnic group: preliminary study.
AB - BACKGROUND: Pathologic similarities between sarcoidosis (SA) and tuberculosis
(TB) suggest M. tuberculosis antigen(s) as causative agents. It seems likely that
in the genetically different predisposed hosts, the same antigen(s) may cause the
development of sarcoid or tuberculous Th1 response. AIM AND MATERIALS/METHODS: To
test a difference in haplotypes associated with both diseases, we compared the
distribution of DRB1, DQA1 and DQB1 alleles in 45 SA patients, 62 TB patients and
in 143 healthy volunteers, using a PCR-SSP method. RESULTS: Our results revealed
that DRB1*03/*11, DQB1*02, DQA*0501 in Stage I of SA with Lofgren's syndrome (Ls)
and DRB1*15, DQA1*0102/*0103 in Stage II of SA were more common, whereas
DQA1*0102 (Ls) and DRB1*16/*04/*08, DQB1*03/*04/*05/*06, DQA1*0301 (Ls, Stage II)
were less common than in the controls. Nevertheless, after Bonferroni correction,
only DRB1*04, DQB1*02/*03/*05/*06, DQA1*0102/*0301/*0501 differed significantly.
In TB group, DRB1*16/*14, DQB1*05, DQA1*0303 were more frequent and DRB1*11,
DQB1*02, DQA1*0201/*0505 less frequently present as compared to the controls, but
frequency of DRB1*16, DQB1*02/*05 and DQA1*0303/*0505 only was significantly
different after correction. After correction in both Stages of SA, DRB1*11 was
more common and DRB1*16/*04/*14, DQB1*03/*05, DQA1*0301/0302/*0303 were less
frequent than in the TB group. DQB1*02, DQA1*0201/*0501 (Ls) and DRB1*15/*13
(Stage II) were more frequently present in SA than in TB, but after correction,
only DRB1*15, DQB1*02, DQA1*0501 were significantly different. CONCLUSIONS: We
identified associations of HLA class II alleles in SA and TB with expression
pattern specific and different for each group. In most cases, in SA patients
frequency of HLA class II alleles occurrence is opposite to the frequency in TB
patients.
PMID- 18038918
TI - Enhanced proliferation and decreased apoptosis in lung lavage cells of
sarcoidosis patients.
AB - BACKGROUND: Sarcoidosis is a systemic autoimmune disease where an inflammatory
reaction involving alveolar macrophages, T-helper lymphocytes, and epitheloid
cells is mounted against unknown antigens. A genetic predisposition for
sarcoidosis is supposed by studies in twins, by geographical and racial
distribution. In the current investigation we compared the expression patterns
between slow onset and acute sarcodosis using a whole-genome cDNA array. METHODS:
Bronchoalveolar lavage was performed in six patients with slow onset sarcoidosis
and four patients with acute sarcoidosis (Lofgren's disease) and obtained cells
were used for gene expression profiling. The results were confirmed by RT- and
Taqman-PCR. In addition, protein expression was examined on paraffin sections of
sarcoid granulomas by immunohistochemistry. RESULTS: In T-helper lymphocytes and
alveolar macrophages we found an upregulation of genes belonging to the
phosphoinositol-3-kinase/v-akt murine thymoma viral oncogene homolog/signal
transducer and activator of transcription 3 pathway, as well as a downregulation
of genes of the extrinsic and intrinsic apoptotic signaling cascades. In addition
an upregulation of the genes encoding fatty acid binding protein 4 and 5, as well
as peroxisome proliferative activated receptor delta in Lofgren's disease was
detected. Differences in gene expression between slow onset sarcoidosis and
Lofgren's syndrome were found mainly within genes of the major histocompatibility
complex. CONCLUSIONS: In sarcoidosis enhanced cell proliferation and decreased
apoptosis result in accumulation and prolonged survival of antigen-primed T
helper lymphocytes and activated macrophages. This is enhanced in Lofgren's
disease, probably by hyper-stimulation via the peroxisome proliferation
signaling, providing a larger pool of antigen-primed immune cells.
PMID- 18038919
TI - A double-blinded, randomized, placebo-controlled trial of infliximab in subjects
with active pulmonary sarcoidosis.
AB - STUDY OBJECTIVES: To evaluate the safety and tolerability of infliximab in the
treatment of active pulmonary sarcoidosis, and to provide an initial assessment
of the efficacy of infliximab in the treatment of active pulmonary sarcoidosis.
DESIGN: Double-blind, randomized, placebo-controlled phase II study. SETTING:
Multicenter. PATIENTS: Active Radiographic Stage II, III, and IV active pulmonary
sarcoidosis despite corticosteroids or previous intolerance to corticosteroids.
INTERVENTION: Infliximab 5mg/kg (group I) or placebo (group II) at weeks 0 and 2
and open-label infliximab 5mg/kg for all subjects at weeks 6 and 14.
MEASUREMENTS: Pulmonary function, chest radiographs, dyspnea stage, SF-36.
RESULTS: Mean vital capacity (VC) at wk 0 was 2.47 +/- 0.2 (group I) and 2.37 +/-
0.31 (group II). At 6 weeks the mean +/- SD relative change in VC compared to
baseline was 15.22 +/- 9.91% for group I (n=13) and 8.39 +/- 3.33% for group II
(n=6) (p=0.65). Four patients had serious adverse events, including decreased WBC
and elevated CPK (1 patient), pneumonia (1 patient), cellulitis, acute renal
failure, pulmonary embolus and death (1 patient), and visual field defect (1
patient). CONCLUSIONS: Infliximab may improve VC in patients with active PS
resistant to steroids. Larger scale, longer term studies will be needed to assess
both safety and efficacy.
PMID- 18038920
TI - Long term prognosis of sarcoidosis in Arabs and Asians: predictors of good
outcome.
AB - BACKGROUND: The prognosis of sarcoidosis is variable and often difficult to
predict. Our aim was to identify predictors of good prognosis in Arabs and Asians
with sarcoidosis. METHODS: Data on patients with sarcoidosis followed up for at
least 3 years in two major hospitals in Kuwait were collected retrospectively for
the period 1983 to 1995 and prospectively from 1995. RESULTS: Of the total 115
patients, 60% were females and 80% were Arabs. Majority, 86.9%, of the patients
had either Stage I or II disease. Forty-five (43.7%) were followed up for 3 to 5
years, 43 (41.7%) for 5 to 9 and 15 (14.6%) for 10 or more years while 12 were
lost to follow up. Good prognosis was seen in 53 (51%), intermediate in 33(32%)
and poor in 17 (17%) patients. Two patients (1.9%) died. Good prognosis was
observed in 74.4% of patients with Stage I, 40% of patients with Stage II and
16.7% with stage III disease, p = 0.001. In addition, presence of arthralgia
predicted a good prognosis, p = 0.014. Hypercalcemia was noted only in patients
with poor or intermediate outcome. Gender, ethnicity, and presence of erythema
nodosum were not predictors of prognosis in our patients. Multivariate logistic
regression analysis confirmed that early stage of the disease [OR (95 %CI), 6.1
(2.3-15.7), p = 0.001] and presence of arthralgia, [OR (95%CI), 4.5 (1.3-15.4), p
= 0.02] were predictors of good prognosis. CONCLUSION: Presence of arthralgia and
early stage of the disease were the most important predictors of good prognosis.
Sex, age, ethnicity and presence of erythema nodosum did not influence the
prognosis.
PMID- 18038921
TI - Chronic beryllium disease among dental technicians in Israel.
AB - BACKGROUND AND AIM: The potential risks of beryllium use in the dental industry
have been recognized for some time. This is the first case series that focuses on
the effect of a number of potentially harmful effects of substances that induce
lung disease among dental technicians with emphasis on beryllium as a major risk
factor in the work environment of dental technicians. METHODS: All the dental
technicians consecutively recruited to this study had past occupational exposure
to beryllium. They were evaluated in order to confirm immunological evidence of
beryllium exposure for differential diagnosis between sarcoidosis and chronic
beryllium disease (CBD). They were tested for beryllium sensitization by the
beryllium lymphocyte proliferation test (BeLPT), and underwent lung function and
induced sputum (IS) studies. Each had earlier undergone a comprehensive
evaluation that included high-resolution computerized tomography, bronchoscopy
and transbronchial biopsy to establish the final diagnosis of their condition.
RESULTS: There were 24 enrollees (mean age 49.7 +/- 13.7 years, 17 males, 7
females) of whom 12 (50%) had positive BeLPT findings and were finally diagnosed
as suffering from CBD, 7 (29%) had negative BeLPT findings and were diagnosed as
suffering from another pulmonary pathology (sarcoidosis, chronic obstructive
pulmonary disease, rejection of transplanted lung), and 5 (20.8%) had negative
BeLPT findings and were diagnosed as being free of pulmonary disease. CONCLUSION:
This case series study demonstrates that dental technicians are exposed to
beryllium and various other occupational dusts and chemicals and are at high risk
of developing CBD and other lung diseases. Our findings emphasize the need for
awareness of the medical community to detect occupation related diseases in this
profession.
PMID- 18038922
TI - Pulmonary arterial hypertension in ANCA-associated vasculitis.
AB - BACKGROUND AND AIM OF THE WORK: Pulmonary arterial hypertension (PAH) is a severe
condition characterized by chronic obstruction of small pulmonary arteries
leading to progressive right heart failure and ultimately death. Inflammatory
mechanisms may play an important part in the origin or progression of the disease
in a subset of patients. Whereas PAH is well known complication of some
connective tissue diseases, it is a rare condition associated with systemic
vasculitis. In the present report, we describe 4 cases of anti-neutrophil
cytoplasmic antibodies (ANCA)-related systemic vasculitis (3 with Wegener's
granulomatosis and 1 with microscopic polyangiitis) associated with PAH. METHODS:
We describe the clinical features of both ANCA-associated systemic vasculitis and
PAH. RESULTS: PAH was diagnosed after the onset of the systemic vasculitis in 3
cases. In 1 case, the systemic vasculitis was active at the diagnosis of PAH and
treatment of the vasculitis led to a significant improvement of PAH. In the 2
other patients, PAH occurred while the vasculitis was inactive. The remaining
patient, for whom Wegener's granulomatosis was diagnosed 2 years after PAH was
documented, died because of a vasculitis treatment-related side effect.
CONCLUSIONS: Systemic vasculitides have to be added to the conditions associated
with PAH. The underlying pathophysiological mechanisms of this association have
still to be firmly established. It is probable that some PAH are due, at least in
part, to direct pulmonary arteries involvement by the vasculitic process.
PMID- 18038923
TI - Thrombocytopenia in sarcoidosis.
AB - BACKGROUND: Haematological manifestations in sarcoidosis are uncommon. The
prevalence of thrombocytopenia in sarcoidosis is not well assessed. AIM: To
describe the main characteristics and outcome of sarcoidosis associated with
thrombocytopenia. METHODS: We described 2 personal cases and a complete record of
all reports of thrombocytopenia in sarcoidosis was persuaded through a medline
multi language computer search from 1972 until now. RESULTS: CASES REPORTS: In
the first observation the clinical course was similar to immune thrombocytopenic
purpura. Steroids were efficient. In the second, we have reported the first used
of Rituximab in thrombocytopenia in sarcoidosis with a partial success. REVIEW OF
THE LITERATURE: We identified three main physiopathological mechanisms among the
31 cases collected. Hypersplenism or splenomegaly was found in ten cases,
granulomas in bone marrow were found in only four. Auto-immune thrombocytopenic
purpura was suspected in the other cases. 23 patients had been treated with
steroids, which proved effective in 21 cases (in association with intravenous
immunoglobulin(IV-ig) or anti-D. Among the five cases for which steroids were non
efficient, subsequent splenectomy allowed normalization of platelets count.
Splenectomy was performed in seven cases, as a first intention treatment for five
patients, and successful in four. One patient died of massive haemorrhage during
the surgery. Among the 5 patients treated with IV-Ig, 4 had a complete response.
CONCLUSION: Different physiopathological mechanisms are responsible of
thrombocytopenia in sarcoidosis. Granulomas in bone marrow or hypersplenism may
be involved. Immune thrombocytopenic purpura must be suspected in all other
cases. Steroids remain the most effective treatment, and must be proposed in
first intention.
PMID- 18038924
TI - Alveolar hemorrhage in a patient with sarcoidosis.
PMID- 18038925
TI - Cardiac performance in the prognosis of interstitial lung diseases.
PMID- 18038926
TI - Growth characteristics of the Ross 708 broiler chicken.
AB - A growth trial was conducted with the Ross 708 broiler chicken to corroborate the
relationships between changes in the growth curve (7 to 35 days) and in vitro
metabolic parameters. These in vitro parameters also included estimates of the
expression of certain genes regulating proteins implicated with regulation of
lipogenesis. Birds were fed diets containing 24% protein from 0 to 14 days of
age, 21% from 14 to 26 days of age and 18% protein until 35 days of age. Birds
were selected and killed at ages corresponding to protein changes. Dual X-ray
absorptiometry (DXA) was used to approximate body composition of birds at day 35.
The switch from the starter protein level of 24% crude protein to the only
slightly lower protein grower diet (21% crude protein) increased both in vitro
lipogenesis and malic enzyme activity. A similar observation was noted when the
birds were switched to the 18% crude protein finisher diet. These same switches
also elicited initial increases in malic enzyme, fatty acids synthase and acetyl
CoA carboxylase gene expression that were not sustained following adaptation to
the dietary change. Data also show that DXA can be used to estimate body
composition of this type of bird.
PMID- 18038927
TI - Modeling the effects of prenatal exposure to aspirin on the postnatal development
of rat brain.
AB - Three growth models were used to examine the effects of prenatal exposure to
aspirin on the postnatal development of brain parts. A total of 60 pregnant rats
which were divided into three experimental groups and a control group were
exposed to aspirin doses of 12.5, 25, 37.5 mg/kg, and distilled water,
respectively. The brain parts of 200 rat pups starting from the first week after
birth until the fifth week were weighted and the length and width of the cerebrum
and cerebellum were measured to determine the parameters of the growth models.
The results indicated that the three models successfully predicted the growth of
the different brain parts and that aspirin decreased the total brain weight,
cerebrum length and width, and decreased the cerebellum length and width at
aspirin dose of 37.5 mg/kg. Further analysis is needed to investigate if aspirin
effects were carried out through its role in inhibiting prostaglandin production
and consequently affecting the activity of the hypothalamus-pituitary axis.
PMID- 18038929
TI - The busy season.
PMID- 18038928
TI - In vivo evaluation of changes in body composition of transgenic mice expressing
the myostatin pro domain using dual energy X-ray absorptiometry.
AB - Over expression of the pro domain of myostatin (MLC-pro) interferes with
myostatin function, thus promoting muscle growth. The purpose of this study was
to use dual energy X-ray absorptiometry (DXA) to monitor, in vivo, the course of
changes in body composition of control and MLC-pro transgenic (TG) mice between
10 and 91 days of age. MLC-pro TG (n = 32) and littermate control (n = 28) mice
were produced by mating G-3 male TG mice with non-TG females. At days 10, 20 and
weekly thereafter to day 62, and finally at day 91, the mice were anesthetized
and scanned by DXA. By day 34, the body weight of the male TG mice was more than
that of the control mice and was accompanied by a larger lean mass (LM) and a
lower percentage of fat (%F) (P < 0.05). At day 91, the male TG mice had 15.6%
greater body weight, 19.4% more LM, 22.4% lower %F, 11.5% more bone mineral, and
4.4% higher bone density (P < 0.05). The lower %F in the TG mice was due mainly
to an increase in LM, rather than reduced FM. Measurements of the TG female mice
were not different (P > 0.05) from those of control female mice. A region-of
interest analysis was used to provide a separate measure of the hind limb. By
using DXA, this study determined the onset and degree of differences in body
composition of MLC-pro TG and littermate control mice.
PMID- 18038930
TI - Fighting lies on a patient's Internet blog.
PMID- 18038931
TI - Threatening words and veiled threats.
PMID- 18038932
TI - Hey, new dentists: say "yes" to Michigan!
PMID- 18038933
TI - More hot tips for effective time management.
PMID- 18038934
TI - How can you give back to the community?
PMID- 18038935
TI - Make it your association!
PMID- 18038936
TI - MDA membership: stick with it!
PMID- 18038937
TI - The MDA at a glance.
PMID- 18038938
TI - Peer review: a premier member benefit.
PMID- 18038939
TI - Compensation tactics to attract and keep the best staff.
PMID- 18038940
TI - Probiotic and prebiotic influence beyond the intestinal tract.
AB - Probiotics and prebiotics have long been appreciated for their positive
influences on gut health. Research on the mechanisms and effects of these agents
shows that their impact reaches beyond the intestine. Effects on the microecology
and pathology of the oral cavity, stomach, and vaginal tract have been observed.
Likely mediated through immune influences, systemic effects such as reduced
severity of colds or other respiratory conditions, impact on allergy incidence
and symptoms, and reduced absences from work or daycare have also been noted.
These observations, among others, suggest a broader spectrum of influence than
commonly considered for these unique substances.
PMID- 18038941
TI - Cranberries (Vaccinium macrocarpon) and cardiovascular disease risk factors.
AB - The American cranberry (Vaccinium macrocarpon) is one of the three commercially
important fruits native to North America. Cranberries are a particularly rich
source of phenolic phytochemicals, including phenolic acids (benzoic,
hydroxycinnamic, and ellagic acids) and flavonoids (anthocyanins, flavonols, and
flavan-3-ols). A growing body of evidence suggests that polyphenols, including
those found in cranberries, may contribute to reducing the risk of cardiovascular
disease (CVD) by increasing the resistance of LDL to oxidation, inhibiting
platelet aggregation, reducing blood pressure, and via other anti-thrombotic and
anti-inflammatory mechanisms. Research regarding the bioactivity of cranberries
and their constituents on risk factors for CVD is reviewed.
PMID- 18038942
TI - Editor's comment. The science and policy feature of this issue.
PMID- 18038943
TI - Folic acid fortification and supplementation--good for some but not so good for
others.
AB - Evidence has established the protective effect of folic acid (FA) fortification
and periconceptional supplementation on neural tube defects (NTDs). Folic acid
fortification and periconceptional supplementation of women may reduce the risk
of certain childhood cancers in their offspring. However, recent human studies
have suggested that FA supplementation and fortification may promote the
progression of already existing, undiagnosed, preneoplastic and neoplastic
lesions, thereby corroborating earlier observations from animal and in vitro
studies. Following the success of mandatory FA fortification on the reduction of
NTD rates in the United States and Canada, several countries are currently
considering whether or not, and at what dose, to institute FA fortification.
Future debates and decisions regarding FA fortification should take into
consideration all potential adverse effects and dose-responses of such a measure
because it may be associated with very serious consequences for many generations.
In addition to careful monitoring of adverse effects, preclinical and population
based studied are warranted in order to determine the efficacy, safety, and
potential deleterious effects of FA fortification and supplementation on cancer
risk and other health outcomes.
PMID- 18038944
TI - Food fortification with folic acid: has the other shoe dropped?
AB - Folic acid (FA) supplementation effectively reduces the rates of children born
with neural tube defects (NTDs). Currently, 42 nations practice mandatory FA
fortification to combat NTD. In addition to NTD, FA fortification may also have
salutary effects on the incidence of orofacial cleft birth defects and have
secondary benefits in reducing serum homocysteine concentrations and stroke
mortality. However, a recent note of caution has been raised concerning a
possible negative effect of mandatory FA fortification on the incidence of
colorectal cancer.
PMID- 18038945
TI - Parallel and serial search in haptics.
AB - We propose a model that distinguishes between parallel and serial search in
haptics. To test this model, participants performed three haptic search
experiments in which a target and distractors were presented to their fingertips.
The participants indicated a target's presence by lifting the corresponding
finger, or its absence by lifting all fingers. In one experiment, the target was
a cross and the distractors were circles. In another, the target was a vertical
line and the distractors were horizontal lines. In both cases, we found a serial
search pattern. In a final experiment, the target was a horizontal line and the
distractors were surfaces without any contours. In this case, we found a parallel
search pattern. We conclude that the model can describe our data very well.
PMID- 18038946
TI - Similarity structure in visual speech perception and optical phonetic signals.
AB - A complete understanding of visual phonetic perception (lipreading) requires
linking perceptual effects to physical stimulus properties. However, the talking
face is a highly complex stimulus, affording innumerable possible physical
measurements. In the search for isomorphism between stimulus properties and
phoneticeffects, second-order isomorphism was examined between theperceptual
similarities of video-recorded perceptually identified speech syllables and the
physical similarities among the stimuli. Four talkers produced the stimulus
syllables comprising 23 initial consonants followed by one of three vowels. Six
normal-hearing participants identified the syllables in a visual-only condition.
Perceptual stimulus dissimilarity was quantified using the Euclidean distances
between stimuli in perceptual spaces obtained via multidimensional scaling.
Physical stimulus dissimilarity was quantified using face points recorded in
three dimensions by an optical motion capture system. The variance accounted for
in the relationship between the perceptual and the physical dissimilarities was
evaluated using both the raw dissimilarities and the weighted dissimilarities.
With weighting and the full set of 3-D optical data, the variance accounted for
ranged between 46% and 66% across talkers and between 49% and 64% across vowels.
The robust second-order relationship between the sparse 3-D point representation
of visible speech and the perceptual effects suggests that the 3-D point
representation is a viable basis for controlled studies of first-order
relationships between visual phonetic perception and physical stimulus
attributes.
PMID- 18038947
TI - Harmonic expectation and affect in Western music: effects of attention and
training.
AB - We investigated the effects of selective attention and musical training on the
processing ofharmonic expectations. In Experiment 1, participants with and
without musical training were required to respond to the contour of melodies as
they were presented with chord progressions that were highly expected, slightly
unexpected, or extremely unexpected. Reaction time and accuracy results showed
that when attention was focused on the melody, musically trained participants
were still sensitive to different harmonic expectations, whereas participants
with no musical training were undifferentiated across expectation conditions. In
Experiment 2, participants were required to listen holistically to the entire
chord progression and to rate their preference for each chord progression.
Results from preference ratings showed that all the participants, with or without
musical training, were sensitive to manipulations of harmonic expectations.
Experiments 3 and 4 showed that changing the speed of presentation of chord
progressions did not affect the pattern of results. The four experiments together
highlight the importance of attentional focus in musical training, especially as
it relates to the processing of harmonic expectations.
PMID- 18038948
TI - Attentional mechanisms in visual signal detection: the effects of simultaneous
and delayed noise and pattern masks.
AB - The attentional cuing effects in detection and some discrimination tasks depend
on the use of backward masks and on the presence of external noise in the
display. These effects have been attributed to an interruption masking mechanism,
which terminates stimulus processing prematurely, and an external noise exclusion
mechanism, which minimizes the perceptual effects of noise. To test whether the
dependencies on masking and external noise are expressions of a single mechanism,
observers detected grating patch stimuli, masked with noise masks or pattern
masks, presented either simultaneously or after a delay of 60-90 msec. Contrary
to an external noise exclusion account, but consistent with an interruption
masking account, cuing effects were largest when the masks were delayed. However,
weaker cuing effects were obtained with simultaneous masks, contrary to an
interruption masking account. These results suggest that attentional effects in
simple visual judgments are affected by mechanisms of both kinds.
PMID- 18038949
TI - What influences visual search efficiency? Disentangling contributions of
preattentive and postattentive processes.
AB - The present experiments introduce a new search technique for disentangling
contributions of preattentive guidance and postattentive template matching to
search efficiency. Participants performed searches (for negative or positive
faces in Experiment 1; pop-out search in Experiment 2; conjunction search in
Experiment 3) under either standard viewing conditions or a new restricted
viewing condition in which items were occluded by black placeholders and revealed
only when a participant moved the mouse pointer over the black square. Under full
viewing conditions, search performance can be aided by both preattentive and
postattentive mechanisms, whereas the mouse-contingent search relies solely on
postattentive template-matching processes. Results demonstrate the utility of
this new methodology for distinguishing contributions of preattentive guidance
and postattentive template-matching processes in ambiguous search situations.
Furthermore, application of the new restricting viewing method to search for
emotionally expressive faces suggested that emotional information is processed
preattentively and influences the allocation of focal attention.
PMID- 18038950
TI - Impact of contingency manipulations on accessory stimulus effects.
AB - Accessory tone stimuli facilitate response performance despite being irrelevant
for the current task. In order to investigate which processes are affected by
accessory stimuli, we presented accessory tones in a simple response time (RT)
task while varying the contingencies between accessory stimulation and either
responses(Experiment 1) or stimulus conditions (Experiment 2). Accessory tones
speeded up responding to a larger degree when they were conjointly presented
within go compared with no-go trials. In contrast, contingency variation with
stimulus conditions did not alter the impact of accessory stimuli. Additionally,
accessory tones increased response force. Thus, we conclude that in simple RT
tasks accessory tones influence response-related stages such as response
selection and response execution rather than perceptual processes.
PMID- 18038951
TI - Natural scene categorization with minimal attention: evidence from negative
priming.
AB - Research has demonstrated that objects in natural scenes are categorized without
the deployment of attention. However, in these types of studies, participants
were required to directly respond to peripherally presented scenes, which might
lead some participants to move their attention. If this is the case, the above
conclusion concerning natural scenes may not be valid. We investigated this issue
by using a negative priming (NP) paradigm in which participants did not directly
respond to peripheral stimuli. Our results showed NP effect from ignored stimuli
in natural scene categorization, but neither in letter discrimination (Experiment
1) nor in line-drawing categorization (Experiment 2). In addition, NP effects
were observed even when probe stimuli were words (Experiments 3A and 3B). These
findings suggest that people can categorize objects in natural scenes with
minimal attention, that this process is specific to natural scenes, and that it
is based on the semantic information of the images.
PMID- 18038952
TI - The effect of amplitude modulation on intelligibility of time-varying sinusoidal
speech in children and adults.
AB - Although researchers are currently studying auditory object formation in adults,
little is known about the development of this phenomenon in children. Amplitude
modulation has been suggested as one of the characteristics of the speech signal
that allows auditory grouping. In this experiment, we evaluated children (4 to 13
years of age) and adults to examine whether children's ability to use amplitude
modulation (AM) in perception of time-varying sinusoidal (TVS) sentences is
different from that of adults, and whether there are developmental changes. We
evaluated performance on recognition of TVS sentences (unmodulated, amplitude
comodulated at 25, 50, 100, and 200 Hz, and amplitude-modulated using conflicting
frequencies). Overall, the youngest children performed more poorly than did older
children and adults. However, difference scores, defined as the percentage of
phonemes correct in a given modulation condition minus the percentage correct for
the unmodulated condition, showed no significant effects of age. Unlike the
findings of previous studies (Carrell & Opie, 1992), these results support the
ability of modulation with conflicting frequencies to improve intelligibility.
The present study provides evidence that children and adults receive the same
benefits (or decrements) from amplitude modulation.
PMID- 18038953
TI - Stimulus and response priming in rapid serial visual presentation: evidence for a
dissociation.
AB - Two experiments investigated the capacity demands of stimulus and response
priming in a rapid serial visual presentation (RSVP) task. Three targets were
presented in a stream of visual symbols: The first two (T1 and T2) required an
unspeeded manual response at the end of the trial, but the third (T3) called for
an immediate,speeded manual response. T2 and T3 either were identical (fully
compatible), required the same response (response compatible), or required
different responses (incompatible). Priming in the fully compatible condition
depended strongly on successful identification of the priming stimulus, whereas
response-based priming was observed regardless of whether the prime could be
reported or not. These findings suggest that stimulus coding and response coding
are automatic processes, unaffected by attentional capacity constraints, followed
by capacity-limited stimulus consolidation and response selection. Moreover, even
though response codes are activated automatically upon stimulus processing, both
types of codes act, and affect behavior, independently.
PMID- 18038954
TI - Ignorance is bliss: the role of observer expectation in dynamic spatial tuning of
the attentional focus.
AB - When two sequential targets (T1, T2) are inserted in an RSVP stream of
distractors, perception of T2 is impaired at intertarget lags shorter than 700
msec. Paradoxically, this deficit disappears when T2 is presented directly after
T1 (lag-1 sparing). Visser, Bischof, and Di Lollo (1999) found that lag-1 sparing
occurs only when T1 and T2 are presented in the same stream. In contrast, Shih
(2000) obtained lag-1 sparing with targets insepa-rate streams. Four experiments
addressed this inconsistency and revealed lag-1 sparing with targets in different
streams, but only when observers had no foreknowledge of T1's location. We
hypothesized that when T1 location is known, attention is focused narrowly on
that stream; if T2 then appears in the other stream it is missed, and lag-1
sparing does not occur. When T1 location is not known, attention is focused
broadly, encompassing both streams, and lag-1 sparing ensues.
PMID- 18038955
TI - Hits and false positives in face matching: a familiarity-based dissociation.
AB - In recognition memory for unfamiliar faces, performance for target-present items
(hits) does not correlate with performance for target-absent items (false
positives), a result which runs counter to the more usual mirror effect. In this
paper we examinesubjects' performance on fac e matching, a nd demonstrate no
relationship-between performance on matching items and performance on nonmatching
items. This absence of a mirror effect occurs for multidistractor, 1-in-10
matching tasks (Experiment 1) and for simple paired-item tasks (Experiment 2). In
Experiment 3 we demonstrate that matching familiar faces produces a strong mirror
effect. However, inverting the familiar faces causes the association to disappear
once more (Experiment 4). We argue thatfamiliar and unfamiliar faces are
represented in qualitatively different ways.
PMID- 18038956
TI - Nonverbal arithmetic in humans: light from noise.
AB - Animal and human data suggest the existence of a cross-species system of analog
number representation (e.g., Cordes, Gelman, Gallistel, & Whalen, 2001; Meeck &
Church, 1983), which may mediate the computation of statistical regularities in
the environment (Gallistel, Gelman, & Cordes, 2006). However, evidence of
arithmetic manipulation of these nonverbal magnitude representations is sparse
and lacking in depth. This study uses the analysis of variability as a tool for
understanding properties of these combinatorial processes. Human subjects
participated in tasks requiring responses dependent upon the addition,
subtraction, or reproduction of nonverbal counts. Variance analyses revealed that
the magnitude of both inputs and answer contributed to the variability in the
arithmetic responses, with operand variability dominating. Other contributing
factors to the observed variability and implications for logarithmic versus
scalar models of magnitude representation are discussed in light of these
results.
PMID- 18038957
TI - In sight, out of mind: the role of eye movements in the rapid resumption of
visual search.
AB - Three experiments investigated the role of eye movements in the rapid resumption
of an interrupted search. Passive monitoring of eye position in Experiment 1
showed that rapid resumption was associated with a short distance between the eye
and the target on the next-to-last look before target detection. Experiments 2
and 3 used two different methods for presenting the target to the point of eye
fixation on some trials. If eye position alone is predictive, rapid resumption
should increase when the target is near fixation. The results showed that gaze
contingent targets increased overall search success, but that the proportion of
rapid responses decreased dramatically. We conclude that rather than depending on
a high-quality single look at a search target, rapid resumption of search depends
on two glances; a first glance in which a hypothesis is formed, and a second
glance in which the hypothesis is confirmed.
PMID- 18038958
TI - Dividing attention between two different categories and locations in rapid serial
visual presentations.
AB - When two targets are embedded in a rapid serial visual presentation (RSVP) stream
of distractors, perception of the second target is impaired if the intertarget
lag is relatively short (less than 500 msec). This phenomenon, called attentional
blink, has been attributed to a temporal inability of attentional resources.
Nevertheless, a recent study found that observers could monitor two RSVP streams
concurrently for up to four items presented in close succession, suggesting a
much larger visual capacity limit. However, such high-capacity performance could
be obtained by a rapid shift of attention, rather than concurrent monitoring of
multiple locations. Therefore, the present study examined these alternatives.
Results from six experiments indicate that observers can concurrently monitor two
noncontiguous locations, even when targets and distractors are from different
categories, such as digits, English alphabet letters, Japanese characters, and
pseudocharacters. These results can be explained in terms of a modified input
filtering model in which a multidimensional attentional set can be flexibly
configured at different spatial locations.
PMID- 18038959
TI - The absence of an auditory-visual attentional blink is not due to echoic memory.
AB - The second of two targets is often missed when presented shortly after the first
target--a phenomenon referred to as the attentional blink (AB). Whereas the AB is
a robust phenomenon within sensory modalities, the evidence for cross-modal ABs
is rather mixed. Here, we test the possibility that the absence of an auditory
visual AB for visual letter recognition when streams of tones are used is due to
the efficient use of echoic memory, allowing for the postponement of auditory
processing. However, forcing participants to immediately process the auditory
target, either by presenting interfering sounds during retrieval or by making the
first target directly relevant for a speeded response to the second target, did
not result in a return of a cross-modal AB. Thefindings argue against echoic
memory as an explanation for efficient cross-modal processing. Instead, we
hypothesized that a cross-modal AB may be observed when the different modalities
use common representations, such as semantic representations. In support of this,
a deficit for visual letter recognition returned when the auditory task required
a distinction between spoken digits and letters.
PMID- 18038960
TI - Preparation for horizontal or vertical dimensions affects the right-left
prevalence effect.
AB - When stimulus and response simultaneously vary in both horizontal and vertical
dimensions, the stimulus-response compatibility effect is often larger for the
horizontal dimension. We investigated the role of preparation for each dimension
in this right-left prevalence. In Experiment 1, tasks based on horizontal and
vertical dimensions were mixed in random order, and the relevant dimension in
each trial was cued with a variable cue-target stimulus onset asynchrony (SOA). A
right-left prevalence effect was observed only when participants prepared for the
upcoming task. Experiment 2 replicated the absence of the prevalence effect for
the simultaneous presentation of cue and target using a fixed SOA of 0 msec. In
Experiment 3, the right-left prevalence emerged with a 0-msec SOA when
participants prepared for e achdimension basedon its frequency. These
resultssuggest that participants' internal set can be greater for the horizontal
dimension, leading to the right-left prevalence effect.
PMID- 18038961
TI - The concavity effect is a compound of local and global effects.
AB - Using a change detection paradigm, Barenholtz, Cohen, Feldman, and Singh (2003)
found that changes in concave regions of a contour are more easily detected than
changes in convex regions. In a series of three experiments, we investigated this
concavity effect using the same paradigm. We observed the effect in wire-like
stimuli as well as in silhouettes (Experiment 1) and in complex, smoothed images
as opposed to angular polygons (Experiment 2). We also observed a systematic
effect of the magnitude of the change (Experiment 1). Furthermore, we find that
the effect cannot be attributed to either local or global processing effects, but
rather to a combination of both "mere" concaveness and an effect due to changes
in the perceived part structure of the stimulus object (Experiment 3). For our
data analysis, we used a nonparametric bootstrap method, which greatly increases
sensitivity (compared to more traditional analyses like ANOVA).
PMID- 18038962
TI - The perception of scatterplots.
AB - Four experiments investigated the perception of correlations from scatterplots.
All graphic properties, other than error variance, that have been shown to affect
subjective but not objective correlation (r) were held constant. Participants in
Experiment 1 ranked 21 scatterplots according to the magnitude of r. In
Experiments 2 and 3, participants made yes/no judgments to indicate whether a
scatterplot was high (signal) or low (noise). Values of r for signal and noise
scatterplots varied across participants. Differences between correlations for
signal and for noise scatterplots were constant in r in Experiment 2, and
constant in r2 in Experiment 3. Standard deviations of the ranks in Experiment 1
and d' values in Experiments 2 and 3 showed that discriminability increased with
the magnitude of r. In Experiment 4, faculty and graduate students in psychology
and sociology made point estimates of r for single scatterplots. Estimates were
negatively accelerated functions of objective correlation.
PMID- 18038963
TI - Polyisoprenylated benzoylphloroglucinol derivatives from Hypericum sampsonii.
AB - Bioassay-directed fractionation using multidrug-resistant (MDR) Staphylococcus
aureus resulted in the isolation of four new polyprenylated benzophloroglucinol
derivatives, sampsoniones N-Q (1-4), and four known compounds, 7-epiclusianone
(5) and sampsoniones B, L, and R, from the roots of Hypericum sampsonii. The
structures of these compounds were established by analysis of spectroscopic data,
and the structures of 4 and 5 were determined by single-crystal X-ray diffraction
crystallography. In the bioassay, 7-epiclusianone (5) showed promising activity
with a minimum inhibitory concentration (MIC) of 7.3 microM against the NorA
overexpressing MDR S. aureus strain SA-1199B; the positive control antibiotic
norfloxacin showed activity at MIC 100 microM.
PMID- 18038964
TI - Fluorometric identification of 5-methylcytosine modification in DNA: combination
of photosensitized oxidation and invasive cleavage.
AB - An efficient fluorometric detection system of DNA methylation has been developed
by a combination of a photooxidative DNA cleavage reaction with 2-methyl-1,4
naphthoquinone (NQ) chromophore and an invasive cleavage reaction with human Flap
endonuclease-1. Enzymatic treatment of a mixture of photochemically fragmented
target oligodeoxynucleotides (ODNs) at 5-methylcytosine mC) and hairpin-like
probe oligomer possessing a fluorophore (F) and a quencher (D) resulted in a
dramatic enhancement of fluorescence. In contrast, fluorescence emission for the
ODN containing cytosine but not mC at the target sequence was extremely weak. In
addition, by monitoring the fluorescence change, this system allows for the
detection of mC in DNA at subfemtomole amounts. This system would provide a
highly sensitive protocol for determining the methylation status in DNA by
fluorescence emission.
PMID- 18038965
TI - Novel near-infrared fluorescent integrin-targeted DFO analogue.
AB - Desferrioxamine (DFO), a siderophore initially isolated from Streptomyces
pilosus, possesses extraordinary metal binding properties with wide biomedical
applications that include chelation therapy, nuclear imaging, and
antiproliferation. In this work, we prepared a novel multifunctional agent
consisting of (i) a near-infrared (NIR) fluorescent probe-cypate; (ii) an
integrin alpha vbeta3 receptor (ABIR)-avid cyclic RGD peptide, and (iii) a DFO
moiety, DFO-cypate-cyclo[RGDfK(approximately)] (1, with approximately
representing the cypate conjugation site at the side chain of lysine; f is d
phenylalanine). Compound 1 and two control compounds, cypate
cyclo[RGDfK(approximately)] ( 2) and cypate-DFO ( 3), were synthesized by modular
assembly of the corresponding protected RGD peptide cyclo[R(Pbf)GD(OBut)fK] and
DFO on the dicarboxylic acid-containing cypate scaffold in solution. The three
compounds exhibited similar UV-vis and emission spectral properties. Metal
binding analysis shows that DFO as well as 1 and 3 exhibited relatively high
binding affinity with Fe(III), Al(III), and Ga(III). In contrast to Ga(III), the
binding of Fe to 1 and 3 quenched the fluorescence emission of cypate
significantly, suggesting an efficient metal-mediated approach to perturb the
spectral properties of NIR fluorescent carbocyanine probes. In vitro, 1 showed a
high ABIR binding affinity (10 (-7) M) comparable to that of 2 and the reference
peptide cyclo(RGDfV), indicating that both DFO and cypate motifs did not
interfere significantly with the molecular recognition of the cyclic RGD motif
with ABIR. Fluorescence microscopy showed that internalization of 1 and 2 in ABIR
positive A549 cells at 1 h postincubation was higher than 3 and cypate alone,
demonstrating that incorporating ABIR-targeting RGD motif could improve cellular
internalization of DFO analogues. The ensemble of these findings demonstrate the
use of multifunctional NIR fluorescent ABIR-targeting DFO analogues to modulate
the spectral properties of the NIR fluorescent probe by the chelating properties
of DFO and visualize intracellular delivery of DFO by receptor-specific peptides.
These features provide a strategy to explore the potential of 1 in tumor imaging
and treatment as well as some molecular recognition processes mediated by metal
ions.
PMID- 18038966
TI - Novel, orally effective cyanide antidotes.
AB - A series of prodrugs of 3-mercaptopyruvate (3-MP), the substrate for the enzyme 3
mercaptopyruvate/cyanide sulfurtransferase (3-MPST) that converts cyanide to the
nontoxic thiocyanate, which are highly effective cyanide antidotes, have been
developed. These prodrugs of 3-MP are unique in being not only orally
bioavailable, but may be administered up to an hour prior to cyanide as a
prophylactic agent and are both rapid- or slow-acting when given parenterally.
PMID- 18038967
TI - Cannabilactones: a novel class of CB2 selective agonists with peripheral
analgesic activity.
AB - The identification of the CB2 cannabinoid receptor has provided a novel target
for the development of therapeutically useful cannabinergic molecules. We have
synthesized benzo[ c]chromen-6-one analogs possessing high affinity and
selectivity for this receptor. These novel compounds are structurally related to
cannabinol (6,6,9-trimethyl-3-pentyl-6 H-benzo[ c]chromen-1-ol), a natural
constituent of cannabis with modest CB2 selectivity. Key pharmacophoric features
of the new selective agonists include a 3-(1',1'-dimethylheptyl) side chain and a
6-oxo group on the cannabinoid tricyclic structure that characterizes this class
of compounds as "cannabilactones." Our results suggest that the six-membered
lactone pharmacophore is critical for CB2 receptor selectivity. Optimal receptor
subtype selectivity of 490-fold and subnanomolar affinity for the CB2 receptor is
exhibited by a 9-hydroxyl analog 5 (AM1714), while the 9-methoxy analog 4b
(AM1710) had a 54-fold CB2 selectivity. X-ray crystallography and molecular
modeling show the cannabilactones to have a planar ring conformation. In vitro
testing revealed that the novel compounds are CB2 agonists, while in vivo testing
of cannabilactones 4b and 5 found them to possess potent peripheral analgesic
activity.
PMID- 18038968
TI - (S)-N-{3-[1-cyclopropyl-1-(2,4-difluoro-phenyl)-ethyl]-1H-indol-7-yl}
methanesulfonamide: a potent, nonsteroidal, functional antagonist of the
mineralocorticoid receptor.
AB - A novel, potent series of indole analogs were recently developed as MR
antagonists, culminating in 14. This compound represents the first MR antagonist
in this class of molecules, exhibiting picomolar binding affinity and in vivo
blood pressure lowering at pharmaceutically relevant doses.
PMID- 18038969
TI - Dual inhibitors of inosine monophosphate dehydrogenase and histone deacetylases
for cancer treatment.
AB - Mycophenolic acid (MPA), an inhibitor of IMP-dehydrogenase (IMPDH), is used
worldwide in transplantation. Recently, numerous studies showed its importance in
cancer treatment. Consequently, MPA entered clinical trials in advanced multiple
myeloma patients. Suberoylanilide hydroxamic acid (SAHA), a potent
differentiation agent acting through inhibition of histone deacetylases (HDACs),
was recently approved for treatment of cutaneous T cell lymphoma. We report
herein the synthesis of dual inhibitors of IMPDH and HDACs. We found that
mycophenolic hydroxamic acid (9, MAHA) inhibits both IMPDH (Ki=30 nM) and HDAC
(IC50=5.0 microM). A modification of SAHA with groups known to interact with
IMPDH afforded a SAHA analogue 14, which inhibits IMPDH (Ki=1.7 microM) and HDAC
(IC50=0.06 microM). Both MAHA (IC50=4.8 microM) and SAHA analogue 14 (IC50=7.7
microM) were more potent than parent compounds as antiproliferation agents. They
were also significantly more potent as differentiation inducers.
PMID- 18038970
TI - Nonsteroidal glucocorticoid agonists: tetrahydronaphthalenes with alternative
steroidal A-ring mimetics possessing dissociated
(transrepression/transactivation) efficacy selectivity.
AB - The synthesis and biological activity of tetrahydronaphthalene derivatives
coupled to various heterocycles are described. These compounds are potent
glucocorticoid receptor agonists with efficacy selectivity in an NFkappaB
glucocorticoid receptor (GR) agonist assay (representing transrepression effects)
over an MMTV GR agonist assay (representing transactivation effects). Quinolones,
indoles, and C- and N-linked quinolines are some of the heterocycles that provide
efficacy selectivity. For example, the isoquinoline 49D1E2 has NFkappaB agonism
with pIC50 of 8.66 (89%) and reduced efficacy in MMTV agonism (6%), and the
quinoline 55D1E1 has NFkappaB agonism with pIC50 of 9.30 (101%) and reduced
efficacy in MMTV agonism with pEC50 of 8.02 (47%). A description of how a
compound from each class is modeled in the active site of the receptor is given.
PMID- 18038971
TI - Nuclear magnetic resonance fragment-based identification of novel FKBP12
inhibitors.
AB - Peptidyl-prolyl cis-trans isomerases are a group of cytosolic enzymes initially
characterized by their ability to catalyze the cis-trans isomerization of
peptidyl-prolyl bonds. This represents a significant event for protein folding
because cis-proline introduces critical bends within the protein conformation.
FK506-binding proteins (FKBPs) represent one of the three families of enzymes
sharing peptidyl-prolyl cis-trans isomerase activity. Inhibitors of FKBP12, in
particular, have potent neurotrophic properties both in vivo and in vitro. Here,
we describe a fragment-based unbiased nuclear magnetic resonance drug discovery
approach for the identification of novel classes of chemical inhibitors against
FKBP12. Compared to FK506, the fragment-based FKBP12 inhibitors developed herein
possess significant advantages as drug candidates.
PMID- 18038972
TI - Isoflavone glycitein diminished plasma cholesterol in female golden Syrian
hamsters.
AB - The soybean isoflavones, daidzein, genistein, and glycitein, were hypothesized to
act as cholesterol-lowering components, separate from soy protein. Pure synthetic
daidzein, genistein, or glycitein (0.9 mmol/kg diet) or a casein-based control
diet was fed to groups of 10 female Golden Syrian hamsters for 4 weeks. Hamsters
fed glycitein had significantly lower plasma total (by 15%) and non-HDL (by 24%)
cholesterol compared with those fed casein (P<0.05). Daidzein and genistein's
effects on these lipids did not differ from the effects of either casein or
glycitein. Plasma HDL cholesterol and triglyceride concentrations were not
significantly affected by dietary treatments. The percentage of urinary recovery
of the ingested dose of each isoflavone was glycitein>daidzein>genistein (33.2%,
4.6%, 2.2%, respectively), with the apparent absorption of glycitein
significantly greater than that of the other isoflavones. These data suggest that
glycitein's greater cholesterol-lowering effect was due to its greater
bioavailability, as reflected in its urinary recovery compared with that of the
other isoflavones.
PMID- 18038973
TI - Monovarietal extra virgin olive oils: correlation between thermal properties and
chemical composition.
AB - Thermal properties of monovarietal extra virgin olive oils were evaluated by
means of differential scanning calorimetry (upon cooling) and related to their
chemical composition (triacylglycerols, diacylglycerols, total and free fatty
acids, oxidation status). The overall crystallization enthalpy did not
significantly differ among samples and did not account for the differences
observed in chemical compositions. On the contrary, a higher degree of
unsaturation in the lipid profile induced a shift of the crystallization onset
towards lower temperatures and narrowing of the crystallization temperature
range. The presence of triacylglycerol lysis and lipid oxidation products shifted
the crystallization towards higher temperatures and the phase transition
developed over a larger temperature range. Differential scanning calorimetry
thermograms were deconvoluted into three constituent exothermic peaks for all
samples. The area of the two lower-temperature exotherms was found to be
statistically correlated with the amount of triunsaturated and monosaturated
triacylglycerols present in the oil. Thermal properties of extra virgin olive oil
were found to be affected by oil chemical composition.
PMID- 18038974
TI - Inhibition of low-density lipoprotein oxidation and oxidative burst in
polymorphonuclear neutrophils by caffeic acid and hispidin derivatives isolated
from sword brake fern (Pteris ensiformis Burm.).
AB - Several antioxidant compounds have been previously identified from sword brake
fern (Pteris ensiformis Burm.) by DPPH bleaching and Trolox equivalent
antioxidant capacity (TEAC) analyses. Among the isolates, 7-O
caffeoylhydroxymaltol 3-O-beta-D-glucopyranoside and hispidin 4-O-beta- D
glucopyranoside [6-(3,4-dihydroxystyryl)-4-O-beta-D-glucopyranoside-2-pyrone]
were two new compounds. The aim of this study is to elucidate the possible effect
of the aqueous extract of sword brake fern (SBF) and these two compounds in
preventing atherosclerosis. The results demonstrated that SBF and these two
compounds strongly inhibited Cu2+-mediated low-density lipoprotein (LDL)
oxidation measured by thiobarbituric acid-reactive substances assay (TBARS),
conjugated diene production, and relative electrophoretic mobility. The
commercial antioxidant dl-alpha-tocopherol showed lower antioxidant activity than
these two compounds at the same molecular concentration. SBF and these two
compounds also suppressed N-formylmethionyl-leucylphenylalanine (fMLP)-stimulated
reactive oxygen species (ROS) production in human polymorphonuclear neutrophils
(PMN). These findings indicate that sword brake fern may prevent atherosclerosis
via inhibition of both LDL oxidation and ROS production.
PMID- 18038975
TI - Calcium effects on the functionality of a modified whey protein ingredient.
AB - The primary objective for this study addressed the effects of supplemental
calcium on the functional properties of a modified whey protein ingredient
(mWPC), prepared by acidification to pH 3.35, followed by extended heat
treatment, gelation, and spray drying. In the presence of added calcium (mWPC
Ca2+), protein solutions showed increased thickening capacity, especially under
refrigeration temperatures, compared to dispersions made with mWPC alone. A
rheological assessment included the determination of (i) power law parameters,
(ii) viscoelastic properties, and (iii) the effects of heating and cooling on
these protein systems. The sodium dodecyl sulfate-polyacrylamide gel
electrophoresis (SDS-PAGE) banding profile suggested that various disulfide
linked molecular forms of beta-lactoglobulin, bovine serum albumin, and
immunoglobulin were likely formed during manufacturing of the mWPC ingredient
based on the patterns obtained when electrophoresis was performed in the absence
of beta-mercaptoethanol compared to those observed with commercial WPC samples.
An enhanced water-holding capacity was measured in mWPC-Ca2+ dispersions.
Differential scanning calorimetry established that the addition of calcium salts
caused a 2-fold increase in the amount of bound or unfreezeable water compared to
mWPC controls. The physical appearance of the network structure varied
significantly upon visualization with scanning electron microscopy, in which case
the formation of large, rounded, spherical structures was noted in mWPC-Ca2+
samples, ascribed to an increased surface tension caused by the higher salt
content. Ultimately, such attributes may afford distinct advantages for whey
based ingredients intended for application within food systems, especially under
cold processing conditions.
PMID- 18038976
TI - The role of lysine amino nitrogen in the biosynthesis of mousy off-flavor
compounds by Dekkera anomala.
AB - Mousy off-flavor is an insidious and economically disastrous microbiologically
derived spoilage characteristic of wine and other fermented beverages. Tainted
wines are rendered unpalatable and there is currently no satisfactory procedure
for removal of the off-flavor. Here we report the confirmation of that both d-
and l-lysine can act as a precursor for the formation of mousy off-flavor N
heterocycles. Further, through the use of stable isotope feeding experiments, we
could establish that a pentylamine group from lysine is incorporated into the
piperideine moiety of two off-flavor N-heterocycles. A biochemical pathway for
the formation of mousy off-flavor compounds is proposed.
PMID- 18038977
TI - Detection of primary and secondary oxidation products by Fourier transform
infrared spectroscopy (FTIR) and 1H nuclear magnetic resonance (NMR) in sunflower
oil during storage.
AB - The oxidation of sunflower oil, stored in closed receptacles at room temperature
for a period of 10 years, was monitored using Fourier transform infrared
spectroscopy (FTIR) and 1H nuclear magnetic resonance (NMR). The objective was to
understand the evolution of the oxidation process in sunflower oil under the
conditions above mentioned. These techniques provide information about the
oxidative status of several oil samples and the primary and some of the secondary
oxidation products formed in the oxidation process. The results obtained show
that, under these conditions, sunflower oxidation takes place in a different way
to that at higher temperatures with aeration. The 1H NMR spectra show that in the
first oxidation stages of the process only hydroperoxides supporting cis, trans
conjugated double bonds are formed and that at more advanced stages
hydroperoxides having trans, trans-conjugated double bonds are generated, with
the latter always being in a smaller proportion than the former. In addition, the
presence of hydroxy derivatives supporting cis, trans-conjugated double bonds
among the primary oxidation compounds is shown for the first time. Also, from
early oxidation stages onward and unlike the process at 70 degrees C with
aeration, it is noticeable that 4-hydroxy- trans-2-alkenals are formed in much
higher proportions than 4-hydroperoxy- trans-2-alkenals. This fact could be
associated with the presence of hydroxy derivatives with cis, trans-conjugated
double bonds among the primary oxidation products and the limited concentration
of oxygen during the oxidation. Furthermore, relationships between some oxidation
conditions and the oxidation level of the samples were statistically analyzed.
PMID- 18038978
TI - Hypolipidemic effects of Citrus bergamia Risso et Poiteau juice in rats fed a
hypercholesterolemic diet.
AB - Citrus bergamia Risso et Poiteau fruits have been traditionally utilized, in
Calabria (Italy), as a popular remedy for their hypolipidemic properties. C.
bergamia juice total phenol content (2474.35+/-38 microg/mL) was evaluated by the
Folin-Ciocalteu method; moreover, HPLC analysis led to the identification of
naringin (520 ppm), neoeriocitrin (370 ppm), and neohesperidin (310 ppm). The
present study was designed to investigate the hypolipidemic effects of C.
bergamia juice and its protective effect on liver of hyperlipidemic rats. Chronic
administration of C. bergamia (1 mL/rat/day) provoked a significant reduction in
serum cholesterol, triglycerides, and low-density lipoprotein (LDL) levels and an
increase in high-density lipoprotein (HDL) levels; moreover, histopathological
observations showed, in rats submitted to C. bergamia treatment, a protection of
hepatic parenchyma. In addition, fecal neutral sterols and fecal bile acid
excretion was found to be increased after C. bergamia treatment. These results
suggest that the hypocholesterolemic effect of C. bergamia may be mediated by the
increase in fecal neutral sterols and total bile acids excretion. In addition to
the hypolipidemic effect, the juice shows radical scavenging activity in the
diphenylpicrylhydrazyl (DPPH) test; probably the two effects are related. These
observations suggest that the positive intake of C. bergamia may reduce the risk
of some cardiovascular diseases through its radical scavenging function and
hypocholesterolemic action.
PMID- 18038979
TI - Heat-killed cells of lactobacilli skew the immune response toward T helper 1
polarization in mouse splenocytes and dendritic cell-treated T cells.
AB - It is believed that probiotics play an important role for the health of the host,
including modulation of immune responses. Most studies have focused on the
immunomodulatory effects of viable cells of lactic acid bacteria; however, we
investigated those of heat-killed cells of lactic acid bacteria in this study. We
first observed the effects on immune functions via stimulating splenocytes with
three heat-killed Lactobacillus strains. Furthermore, we also investigated the
effect of mouse dendritic cells (DCs) treated with these heat-killed
Lactobacillus strains on T cell responses. The results showed that these
Lactobacillus strains were able to stimulate cell proliferation and interleukin
(IL)-10, IL-12 p70, and interferon (IFN)-gamma production but not transforming
growth factor (TGF)-beta in splenocytes. In addition, these heat-killed
Lactobacillus strains also stimulated high-level secretion of IL-12 p70 in DCs
and switched T cells to T helper (Th) 1 immune responses, as evidenced by the
elevated secretion of IFN-gamma but not IL-5, IL-13, and TGF-beta. These results
showed that lactobacilli play a potentially important role in modulating immune
responses and allergic reactions.
PMID- 18038980
TI - Fatty acid, triacylglycerol, phytosterol, and tocopherol variations in kernel oil
of Malatya apricots from Turkey.
AB - The fatty acid, sn-2 fatty acid, triacyglycerol (TAG), tocopherol, and
phytosterol compositions of kernel oils obtained from nine apricot varieties
grown in the Malatya region of Turkey were determined ( P<0.05). The names of the
apricot varieties were Alyanak (ALY), Cataloglu (CAT), Cologlu (COL),
Hacihaliloglu (HAC), Hacikiz (HKI), Hasanbey (HSB), Kabaasi (KAB), Soganci (SOG),
and Tokaloglu (TOK). The total oil contents of apricot kernels ranged from 40.23
to 53.19%. Oleic acid contributed 70.83% to the total fatty acids, followed by
linoleic (21.96%), palmitic (4.92%), and stearic (1.21%) acids. The s n-2
position is mainly occupied with oleic acid (63.54%), linoleic acid (35.0%), and
palmitic acid (0.96%). Eight TAG species were identified: LLL, OLL, PLL, OOL+POL,
OOO+POO, and SOO (where P, palmitoyl; S, stearoyl; O, oleoyl; and L, linoleoyl),
among which mainly OOO+POO contributed to 48.64% of the total, followed by
OOL+POL at 32.63% and OLL at 14.33%. Four tocopherol and six phytosterol isomers
were identified and quantified; among these, gamma-tocopherol (475.11 mg/kg of
oil) and beta-sitosterol (273.67 mg/100 g of oil) were predominant. Principal
component analysis (PCA) was applied to the data from lipid components of apricot
kernel oil in order to explore the distribution of the apricot variety according
to their kernel's lipid components. PCA separated some varieties including ALY,
COL, KAB, CAT, SOG, and HSB in one group and varieties TOK, HAC, and HKI in
another group based on their lipid components of apricot kernel oil. So, in the
present study, PCA was found to be a powerful tool for classification of the
samples.
PMID- 18038981
TI - Production and characterization of films from cotton stalk xylan.
AB - Composite film production based on cotton stalk xylan was studied, and the
mechanical and physical properties of the films formed were investigated. Xylan
and lignin were separated from cellulose by alkali extraction and, then, lignin
was removed using ethanol washing. Self-supporting continuous films could not be
produced using pure cotton stalk xylan. However, film formation was achieved
using 8-14% (w/w) xylan without complete removal of lignin during xylan
isolation. Keeping about 1% lignin in xylan (w/w) was determined to be sufficient
for film formation. Films were produced by casting the film-forming solutions,
followed by solvent evaporation in a temperature (20 degrees C) and relative
humidity (40%) controlled environment. The elastic modulus and hypothetical
coating strength of the films obtained by using 8% xylan were significantly
different from the ones containing 10-14% xylan. The water vapor transfer rates
(WVTR) decreased with increasing xylan concentration, which made the films
thicker. The glycerol addition as an additional plasticizer resulting in more
stretchable films having higher WVTR and lower water solubility values. As a
result, film production was successfully achieved from xylan, which was extracted
from an agricultural waste (cotton stalk), and the film-forming effect of lignin
on pure xylan has been demonstrated.
PMID- 18038982
TI - Oxidative DNA damage preventive activity and antioxidant potential of Stevia
rebaudiana (Bertoni) Bertoni, a natural sweetener.
AB - At 0.1 mg/mL, the ethyl acetate extract (EAE) of the crude 85% methanolic extract
(CAE) of Stevia rebaudiana leaves exhibited preventive activity against DNA
strand scission by *OH generated in Fenton's reaction on pBluescript II SK (-)
DNA. Its efficacy is better than that of quercetin. The radical scavenging
capacity of CAE was evaluated by the DPPH test (IC50=47.66+/-1.04 microg/mL). EAE
was derived from CAE scavenged DPPH (IC50=9.26+/-0.04 microg/mL), ABTS+
(IC50=3.04+/-0.22 microg/mL) and *OH (IC50=3.08+/-0.19 microg/mL). Additionally,
inhibition of lipid peroxidation induced with 25 mM FeSO 4 on rat liver
homogenate as a lipid source was noted with CAE (IC50=2.1+/-1.07 mg/mL). The
total polyphenols and total flavonoids of EAE were 0.86 mg gallic acid
equivalents/mg and 0.83 mg of quercetin equivalents/mg, respectively. Flavonoids,
isolated from EAE, were characterized as quercetin-3-O-arabinoside, quercitrin,
apigenin, apigenin-4-O-glucoside, luteolin, and kaempferol-3-O-rhamnoside by LC
MS and NMR analysis. These results indicate that Stevia rebaudiana may be useful
as a potential source of natural antioxidants.
PMID- 18038983
TI - Apple peel as a contributor to whole fruit quantity of potentially healthful
bioactive compounds. Cultivar and year implication.
AB - On the basis of the fresh weight of apple fruit and its peel and the
concentration of bioactive compounds, the total quantity of L-cysteine,
glutathione, ascorbate, flavonols, and anthocyanins as well as phenolics was
evaluated in a wide range of cultivars and two growing seasons. Apple peel as a
contributor to the entire apple quantity of the examined components considerably
differed in relation to the investigated compounds and was also highly cultivar
dependent. A great amount of flavonols was found in apple peel (approximately
40%), followed by ascorbate (approximately 30%) and total phenolics
(approximately 20%), while the lowest contribution was assessed for thiols
(approximately 11% and 14% for L-cysteine and total quantity of glutathione,
respectively), based on average values for both years. Seasonal variations in the
quantity of antioxidants was more pronounced in apple peel, whereas the
contribution of apple peel to the whole fruit was predominantly affected by the
genotype. A very high positive correlation existed between apple peel and the
whole fruit quantity of antioxidants.
PMID- 18038984
TI - In vitro digestibility of the cancer-preventive soy peptides lunasin and BBI.
AB - Lunasin and BBI (Bowman Birk protease inhibitor) are bioactive soy peptides that
have been shown to be effective suppressors of carcinogenesis in in vitro and in
vivo model systems. Since they are subject to digestion in the gastrointestinal
tract, we investigated here the stabilities of lunasin and BBI to digestion in
vitro by simulated intestinal fluid (SIF) and simulated gastric fluid (SGF).
Samples containing lunasin and BBI of varying purities were subjected to in vitro
digestion by SIF and SGF at different times and analyzed by Western blot. While
the pure BBI reaction is stable after SIF and SGF digestions, the purified
lunasin from soybean and synthetic lunasin are easily digested after 2 min in
both in vitro digestions. In contrast, lunasin from soy protein containing BBI is
comparatively stable after SIF and SGF digestions. Both lunasin and BBI are able
to internalize into the cell and localize in the nucleus even after digestion,
suggesting that some of the peptides are intact and bioactive. These data suggest
that BBI plays a role in protecting lunasin from digestion when soy protein is
consumed orally. The role of other soy protease inhibitors such as Kunitz Trypsin
Inhibitor (KTI) cannot be excluded from these experiments.
PMID- 18038985
TI - Changes in the concentrations of free fatty acid, monoacylglycerol, and
diacylglycerol in the subcutaneous fat of Iberian ham during the dry-curing
process.
AB - Changes in diacylglycerols, monoacylglycerols, and free fatty acid composition of
subcutaneous fat of six Iberian hams during the dry-cured process were
investigated. In addition, an analytical method for simultaneous quantification
of diacylglycerols, monoacylglycerols, and free fatty acid by solid-phase
extraction-gas chromatography was developed. The different molecular species of
free fatty acids, monoacylglycerols, and diacylglycerols and 1,2- and 1,3-isomers
of diacylglycerols have been described for the first time in this type of sample.
A logarithmic increase of the 1,3-diacylglycerol profile throughout the
processing time has been found, reaching a balance value of 62% around 500 days.
The formation of diacylglycerol isomers takes place, although the 1,3-/1,2
diacylglycerol ratio increases during the process to 1.65 due to isomerization of
the 1,2-form toward the 1,3-form. The profiles of monoacyl- and diacylglycerols
and free fatty acids follow the same trend. The experimental values of free fatty
acid are greater than theoretical prediction, probably due to phospholipid and
monoacylglycerol hydrolysis.
PMID- 18038986
TI - Changes in the fatty acid profile of the subcutaneous fat of swine throughout
fattening as affected by dietary conjugated linoleic acid and monounsaturated
fatty acids.
AB - The fatty acid profile of the subcutaneous fat of pigs and its evolution
throughout fattening as affected by dietary conjugated linoleic acid (CLA),
monounsaturated fatty acids (MUFA), and their interaction (CLAxMUFA) were
studied. Three levels (0, 1, and 2%) of an enriched CLA oil (28% cis-9, trans-11
and 28% trans-10, cis-12 CLA) were combined with two levels of MUFA (low, 19%
average; and high, 39% average) for pig feeding (288 gilts). Subcutaneous shot
biopsies were taken from 48 animals at the beginning of the trial (S1, 70 kg), 14
days later (S2, 80 kg), and at slaughter (S3, 107 kg). Inclusion of CLA in the
diet caused an increase during fattening in cis-9, trans-11 CLA, trans-10, cis-12
CLA, and saturated fatty acids (SFA) contents of pig backfat and a decrease in
MUFA and polyunsaturated fatty acids (PUFA). MUFA supplementation also led to a
MUFA enrichment of backfat. The interaction CLAxMUFA affected the SFA content.
The rates of accumulation of CLA isomers, SFA, and MUFA throughout the trial did
not follow a linear behavior, such rates being higher from S1 to S2 than from S2
to S3. These rates were also influenced by dietary CLA and MUFA levels. The
increase in the ratio of saturated to unsaturated fatty acids of backfat caused
by dietary CLA might be balanced by supplementation of pig diets with MUFA.
PMID- 18038987
TI - Acid gelation properties of heated skim milk as a result of enzymatically induced
changes in the micelle/serum distribution of the whey protein/kappa-casein
aggregates.
AB - Changes in the acid gelation properties of skim milk as a result of variations in
the micelle/serum distribution of the heat-induced whey protein/kappa-casein
aggregates, induced by the combination of heat treatment and limited renneting,
were investigated. No dramatic change in the zeta potential or the isoelectric
point of the casein micelles was suggested, whether the aggregates were all
attached to the casein micelle or not. Fluorescence intensity measurement using 8
anilino-1-naphthalenesulfonic acid (ANS) showed that the heat-induced aggregates
were highly hydrophobic. Dynamic oscillation viscosimetry showed that acid
gelation using glucono-delta-lactone (GDL) started at a higher pH value in
prerenneted milk. However, no change in the gelation profile of skim milk could
be related to the proportion of aggregates bound to the surface of the casein
micelles. The results support the idea of an early interaction between the serum
aggregates and the casein micelles on acidification.
PMID- 18038988
TI - Changes in the antioxidative property of herring (Clupea harengus) press juice
during a simulated gastrointestinal digestion.
AB - The aqueous fraction (press juice, PJ) from herring muscle was recently shown to
inhibit hemoglobin-mediated oxidation of washed fish mince lipids during ice
storage. As a first step to evaluate potential in vivo antioxidative effects from
herring PJ, the aim of this study was to investigate whether herring PJ retains
its antioxidative capacity during a simulated gastrointestinal (GI) digestion.
Press juice from whole muscle (WMPJ) and light muscle (LMPJ) was mixed with
pepsin solution followed by stepwise pH adjustments and additions of pancreatin
and bile solutions. Digestive enzymes were removed from samples by
ultrafiltration (10 kDa). Before, during, and after digestion, samples were
analyzed for their peptide content and for antioxidative properties with the
oxygen radical absorbance capacity (ORAC) and the low-density lipoprotein (LDL)
oxidation assays. From 0 to 165 min of digestion, the content of <10 kDa peptides
in WMPJ and LMPJ samples increased 12- and 7-fold, respectively. Further, both
samples got approximately 12.5 times higher ORAC values and gave rise to
approximately 1.3-fold increased lag phase in Cu2+-induced LDL oxidation. The
largest changes in peptide content, ORAC values, and LDL oxidation inhibition
occurred between 30 and 75 min of digestion, indicating that these parameters
might be interrelated. When comparing analytical data obtained after 165 min of
digestion with data obtained from analyses of native nondigested PJs, it was
found that the data on peptide content, ORAC, and LDL oxidation from digested PJs
were 64-69%, 121-161%, and 112-115%, respectively, of those of nondigested PJs.
The study thus showed that enzymatic breakdown of PJ proteins under GI-like
conditions increases the peroxyl radical scavenging activity and the potential to
inhibit LDL oxidation of herring PJs. These data provide a solid basis for
further studies of uptake and in vivo activities of herring-derived aqueous
antioxidants.
PMID- 18038989
TI - Changes in polyphenolic content and radical-scavenging activity of sweet potato
(Ipomoea batatas L.) during storage at optimal and low temperatures.
AB - Polyphenolic content and radical-scavenging activities (RSA) of four sweet potato
(Ipomoea batatas L.) cultivars were characterized after storage at optimal (15
degrees C) or low temperature (5 degrees C) for 0, 13, 26, and 37 days. The
polyphenolic content increased during storage in three cultivars but not in
'Murasakimasari'. The change in 1,1-diphenyl-2-picrylhydrazyl radical-scavenging
activity (DPPH-RSA) correlated very well with polyphenolic content. The increases
in polyphenolics and the RSA in 'Benimasari' were significantly greater during
storage at 5 degrees C than at 15 degrees C. The main polyphenolic components in
all cultivars were chlorogenic acid (ChA) and 3,5-di-O-caffeoylquinic acid (3,5
diCQA). ChA level increased more at 5 degrees C than at 15 degrees C, whereas
that of 3,5-diCQA was greater at 15 degrees C. Caffeoylquinic acids and RSA in
'Murasakimasari', which contains a large amount of anthocyanin in flesh tissue,
were extremely high at the beginning of storage and remained nearly constant or
decreased over time. A non-caffeoylquinic acid component that increased during
storage, especially in 'J-Red' at 15 degrees C, was purified by successive
chromatographic steps. The isolate was identified as caffeoyl sucrose [CSu, 6-O
caffeoyl-(beta- d-fructofuranosyl-(2-->1))-alpha-D-glucopyranoside] by fast atom
bombardment-mass spectroscopy (FAB-MS), infrared spectroscopy (IR), and nuclear
magnetic resonance spectroscopy (NMR). These results suggest that storage under
cultivar-dependent, controlled temperature is one approach for increasing
desirable physiologic function associated with RSA of polyphenolic compounds in
sweet potato roots.
PMID- 18038990
TI - Evolution of phenolic compounds and antioxidant activity during malting.
AB - Two barley varieties, Gan4 and Hamelin, were malted to investigate the evolution
of phenolic compounds and antioxidant activity during malting. The antioxidant
activity was evaluated with DPPH radical scavenging activity, ABTS radical cation
scavenging activity, reducing power, and metal chelating activity. Results showed
that malting had significant influences on individual and total phenolic contents
as well as antioxidant activities of two barley varieties. The contents of some
phenolic compounds and the antioxidant activities decreased significantly during
steeping and the early stages of germination and then increased remarkably during
the later stages of germination and subsequent kilning. The most phenolic
compounds identified in barley were (+)-catechin and ferulic acid, which both
changed significantly during malting. Moreover, results from the Pearson
correlation analysis showed that there were good correlations among DPPH radical
scavenging activity, ABTS radical cation scavenging activity, reducing power,
total phenolic content and sum of individual phenolic contents during malting.
PMID- 18038991
TI - Variability in the release of free and bound hydroxycinnamic acids from diverse
malted barley (Hordeum vulgare L.) cultivars during wort production.
AB - Volatile phenols have long been recognized as important flavor contributors to
the aroma of various alcoholic beverages. The two main flavor-active volatile
phenols in beer are 4-vinylguaiacol and 4-vinylphenol. They are the
decarboxylation products of the precursors ferulic acid and p-coumaric acid,
respectively, which are released during the brewing process, mainly from malt. In
this study, the variability in the release of free and ester-bound
hydroxycinnamic acids from nine malted barley ( Hordeum vulgare L.) varieties
during wort production was investigated. A large variability between different
barley malts and their corresponding worts was observed. Differences were also
found between free ferulic acid levels from identical malt varieties originating
from different malt houses. During mashing, free hydroxycinnamic acids in wort
are both water-extracted and enzymatically released by cinnamoyl esterase
activity. Esterase activities clearly differ between different barley malt
varieties. Multiple linear regression analysis showed that the release of ferulic
acid during mashing did not depend only on the barley malt esterase activity but
also on the amount of ester-bound ferulic acid initially present in the wort and
on its endoxylanase activity. The study demonstrates the importance of selecting
a suitable malt variety as the first means of controlling the final volatile
phenol levels in beer.
PMID- 18038992
TI - Lupine induced "crooked calf disease" in Washington and Oregon: identification of
the alkaloid profiles in Lupinus sulfureus, Lupinus leucophyllus, and Lupinus
sericeus.
AB - Several lupines (Lupinus spp.) present on western U.S. rangelands contain
alkaloids that are teratogenic to livestock and cause congenital birth defects in
calves (crooked calf disease). Periodically, large losses of calves due to lupine
induced "crooked calf disease" occur in northern Oregon and eastern Washington
state. Five lupine populations from this area representing three species (L.
leucophyllus, L. sulfureus, and L. sericeus) were evaluated taxonomically and by
gas chromatography/mass spectrometry, and the major alkaloids in each lupine
species were identified. The teratogenic alkaloid anagyrine was present in both
of the lupine species responsible for the high outbreaks in east-central
Washington and northeastern Oregon. However, the alkaloid profiles of the two
lupines identified as L. leucophyllus were dissimilar, as were the alkaloid
profiles of the two lupines identified as L. sulfureus. Botanical classification
is not sufficient to determine potential teratogenicity, and it must be followed
by chemical characterization to determine risk to livestock.
PMID- 18038993
TI - Cancer-preventive peptide lunasin from Solanum nigrum L. inhibits acetylation of
core histones H3 and H4 and phosphorylation of retinoblastoma protein (Rb).
AB - Lunasin, a unique 43 amino acid, 4.8 kDa cancer-chemopreventive peptide initially
reported in soybean and now found in barley and wheat, has been shown to be
cancer-chemopreventive in mammalian cells and in a skin cancer mouse model
against oncogenes and chemical carcinogens. To identify bioactive components in
traditional herbal medicines and in search for new sources of lunasin, we report
here the properties of lunasin from Solanum nigrum L. (SNL), a plant indigenous
to northeast Asia. Lunasin was screened in the crude extracts of five varieties
of the medicinal plants of Solanaceae origin and seven other major herbal plants.
An in vitro digestion stability assay for measuring bioavailability was carried
out on SNL crude protein and autoclaved SNL using pepsin and pancreatin. A
nonradioactive histone acetyltransferase (HAT) assay and HAT activity
colorimetric assay were used to measure the inhibition of core histone
acetylation. The inhibitory effect of lunasin on the phosphorylation of
retinoblastoma protein (Rb) was determined by immunoblotting against phospho-Rb.
Lunasin isolated from autoclaved SNL inhibited core histone H3 and H4
acetylation, the activities of the HATs, and the phosphorylation of the Rb
protein. Lunasin in the crude protein and in the autoclaved crude protein was
very stable to pepsin and pancreatin in vitro digestion, while the synthetic pure
lunasin was digested at 2 min after the reaction. We conclude that lunasin is a
bioactive and bioavailable component in SNL and that consumption of SNL may play
an important role in cancer prevention.
PMID- 18038994
TI - Impact of physicochemical characteristics on the oxidative stability of fish oil
microencapsulated by spray-drying.
AB - The aim of the present research was to identify principal parameters determining
the oxidative stability of microencapsulated fish oil. Microcapsules were
prepared by spray-drying using different types of n-octenylsuccinate-derivatized
starch, gum Arabic, sugar beet pectin, sodium caseinate, and/or glucose syrup.
Two principal components to classify the different microcapsules accounting for
up to 79% of the variance were identified. The principal components were
determined by physicochemical parameters reflecting the emulsifying ability of
the encapsulant and the drying behavior of the parent emulsion. Microcapsules,
which were identified by principal component analysis to be significantly
different, exhibited a low stability upon storage, showing that the principal
components and, thus, the underlying physicochemical parameters analyzed in the
present study are correlated with core material stability.
PMID- 18038995
TI - Verification of silage type using near-infrared spectroscopy combined with
multivariate analysis.
AB - The ability to authenticate the feed given to animals has become a major
challenge in animal production, where the diet fed to the animal is one of the
most important production factors affecting the composition of milk and meat from
cattle, sheep, and goats. Hence, there is currently an increased consumer demand
for information on herbivore production factors and particularly the animal diet.
The aim of this study was to evaluate the reliability and accuracy of near
infrared (NIR) reflectance spectroscopy as a tool to verify and authenticate the
type of silage used as fed for ruminants. Grain silage (GrS, n = 94), grass and
legume silage (GLegS, n = 121), and sunflower silage (SunS, n = 50) samples were
collected from commercial farms and analyzed in the visible and NIR regions (400
2500 nm) in a monochromator instrument in reflectance. Principal component
analysis (PCA), partial least-squares discriminant analysis (PLS1-DA), and linear
discriminant analysis (LDA) models were used as methods to verify the different
silage types. The classification models based on the NIR data correctly
classified more than 90% of the silage samples according to their type. The
results from this study showed that NIR spectra combined with multivariate
analysis could be used as a tool to objectively authenticate silage samples used
as a feed for ruminants.
PMID- 18038996
TI - Ability of surface-active antioxidants to inhibit lipid oxidation in oil-in-water
emulsion.
AB - Lipid oxidation in dispersed lipids is prevalent at the oil-water interface where
lipid hydroperoxides are decomposed into free radicals by transition metals. Free
radical scavenging antioxidants are believed to be most effective in lipid
dispersions when they accumulate at the oil-water interface. The surface activity
of antioxidants could be increased by their conjugation to hydrocarbon chains. In
this study, p-hydroxyphenylacetic acid (HPA) was conjugated with either a butyl
or dodecyl group. The HPA conjugates were more effective at decreasing
interfacial tension than unconjugated HPA, indicating that they were able to
adsorb at lipid-water interfaces. However, free HPA was a more effective
antioxidant than butyl and dodecyl conjugates in Menhaden oil-in-water emulsions
as determined by both lipid hydroperoxides and thiobarbituric acid reactive
substances. The increased antioxidant activity of free HPA could be due to its
more effective free radical scavenging activity and its higher concentration in
the lipid phase of oil-in-water emulsions in the presence of surfactant micelles
where it can act as a chain-breaking antioxidant.
PMID- 18038997
TI - Isolation and identification of two lipid transfer proteins in pomegranate
(Punica granatum).
AB - Lipid transfer proteins (LTPs) are a family of low molecular mass (7-9 kDa)
polypeptides, the members of which share 35-95% sequence homology. These proteins
are widely distributed throughout the plant kingdom and are receiving attention
for their biochemical characteristics and biological activity. LTPs are indeed
studied in different research fields varying from allergy to food technology, and
numerous molecules belonging to this class are progressively being identified and
investigated. Proteins from pomegranate juice were fractioned by cation exchange
chromatography and analyzed by SDS-PAGE. Two proteins were identified as putative
LTPs on the basis of their molecular weights and their electrophoretic behaviors
under reducing and nonreducing conditions. Finally, proteins were purified and
characterized by mass spectrometry. This analysis confirmed that the two
polypeptides are LTPs on the basis of an amino acid sequence common to LTPs from
other plant sources and cysteine content. The two proteins, named LTP1a and
LTP1b, showed similar molecular masses but different immunological profiles when
immunodetected with rabbit antibodies specific for Pru p 3 and human IgE from a
patient suffering from pomegranate allergy. The demonstration of the existence of
two immunologically unrelated LTPs in pomegranate confirms the variability and
the complexity of the plant LTP family. This should be taken into account when
the role of these proteins as elicitors of allergies to fruits is investigated
and could help to explain the contradictory literature data on pomegranate
allergy.
PMID- 18038998
TI - Structure-antifungal activity relationship of cinnamic acid derivatives.
AB - A structure-antifungal activity relationship (SAR) study of 22 related cinnamic
acid derivatives was carried out. Attention was focused on the antifungal
activities exhibited against Aspergillus flavus, Aspergillus terreus, and
Aspergillus niger. (E)-3-(4-methoxy-3-(3-methylbut-2-enyl)phenyl)acrylic acid
(16) exhibited antifungal activity against A. niger, comparable to that of
miconazole and a significant antifungal effect against A. flavus and A. terreus
as well. A structure-activity relationship (SAR) study of related cinnamic acid
derivatives has allowed a model to be proposed for the recognition of the minimal
structural requirements for the antifungal effect in this series.
PMID- 18038999
TI - Effect of polymer amphiphilicity on loading of a therapeutic enzyme into
protective filamentous and spherical polymer nanocarriers.
AB - Rapid clearance and proteolysis limit delivery and efficacy of protein
therapeutics. Loading into biodegradable polymer nanocarriers (PNC) might protect
proteins, extending therapeutic duration, but loading can be complicated by
protein unfolding and inactivation. We encapsulated active enzymes into methoxy
poly(ethylene glycol- block-lactic acid) (mPEG-PLA) PNC with a freeze-thaw double
emulsion ( J. Controlled Release 2005, 102 (2), 427-439). On the basis of
concepts of amphiphile self-assembly, we hypothesized that the copolymer block
ratio that controls spontaneous curvature would influence PNC morphology and
loading. We examined PNC yield, shape, stability, loading, activity, and protease
resistance of the antioxidant enzyme, catalase. PNC transitioned from spherical
to filamentous shapes with increasing hydrophobic polymer fraction, consistent
with trends for self-assembly of lower MW amphiphiles. Importantly, one diblock
copolymer formed filamentous particles loaded with significant levels of protease
resistant enzyme, demonstrating for the first time encapsulation of an active
therapeutic enzyme into filamentous carriers. PNC morphology also greatly
influenced its degradation, offering a new means of controlled delivery.
PMID- 18039000
TI - Functionalization of poly(oligo(ethylene glycol) methacrylate) films on gold and
Si/SiO2 for immobilization of proteins and cells: SPR and QCM studies.
AB - Thin films of a biocompatible and nonbiofouling poly(oligo(ethylene glycol)
methacrylate) ( pOEGMA) with various thicknesses were formed on gold and Si/SiO 2
substrates by a combination of the formation of self-assembled monolayers (SAMs)
terminating in bromoester-an initiator of atom transfer radical polymerization
(ATRP)-and surface-initiated ATRP. After the formation of the pOEGMA films,
terminal hydroxyl groups of side chains divergent from the methacrylate backbones
were activated with N, N'-disuccinimidyl carbonate (DSC), and the DSC-activated
pOEGMA films were reacted with (+)-biotinyl-3,6,9-trioxaundecanediamine (Biotin
NH 2) to form biotinylated pOEGMA films. By surface plasmon resonance experiments
with the target protein (streptavidin) and model proteins (fibrinogen and
lysozyme), we verified that the resulting films showed the enhanced signal-to
noise ratio ( approximately 10-fold enhancement) for the biospecific binding of
streptavidin compared with the biotinylated substrate prepared from carboxylic
acid-terminated SAMs. Quartz crystal microbalance measurements were also carried
out to obtain the surface coverage of streptavidin and fibrinogen adsorbed onto
the biotinylated pOEGMA films with various thicknesses and to investigate the
effect of film thicknesses on the biospecific binding of streptavidin. Both the
binding capacity of streptavidin and the signal-to-noise ratio of
streptavidin/fibrinogen were found to be saturated at the 20 nm thick pOEGMA
film. In addition, to demonstrate a wide applicability of the pOEGMA films, we
constructed micropatterns of streptavidin and cells by microcontact-printing
biotin-NH 2 and poly- l-lysine onto the DSC-activated pOEGMA films, respectively.
PMID- 18039001
TI - Hyaluronate-covered nanoparticles for the therapeutic targeting of cartilage.
AB - Hyaluronic acid (HA) has a high affinity for the CD44 receptor present at the
surface of articular cells, particularly of chondrocytes. HA-covered polylactide
nanoparticles containing bioactive compounds such as HA and chondroitin sulfate
(CS) were thus prepared in order to achieve a controlled delivery targeted to
cartilage cells after injection near articular alterations/erosions. Such
nanoparticles (diameter = 700 nm) were prepared by double emulsion/solvent
evaporation, using amphiphilic derivatives of HA, as stabilizer of the secondary
emulsion. These nanoparticles were incubated with articular cells, and several
tests were carried out. First, they proved that the nanospheres provoked no
decrease in cell viability, even after 72 h of contact. Second, a confocal
microscopy analysis on fluorescent HA-covered particles showed that they were
captured by articular cells, while with those covered with poly(vinyl alcohol),
the uptake was far lower. Third, a scattering electron microscopy analysis proved
that the HA-coated nanoparticles were localized in the cell intracytoplasmic
area.
PMID- 18039002
TI - Thermal dependence of thermally induced protein spherulite formation and growth:
kinetics of beta-lactoglobulin and insulin.
AB - Amyloid fibril forming proteins have been related to some neurodegenerative
diseases and are not fully understood. In some such systems, these amyloid
fibrils have been found to form radially oriented spherulite structures. The
thermal dependence of formation and growth of these spherulite structures in two
model protein systems, beta-lactoglobulin and insulin at low pH aqueous and high
temperature conditions, have been monitored with time-lapse optical microscopy
and quantified. A population-based polymerization reaction model was developed
and applied to the experimental data with excellent agreement. While spherulites
in the insulin solutions formed and grew at approximately 25x the rate of
spherulites in the beta-lactoglobulin solutions, the temperature dependence and
activation energies of both systems were found to be very similar to one another,
suggesting that the underlying rate-limiting mechanisms for both formation and
growth are consistent across the two systems. The similarity of both of these
amyloid fibril forming protein systems provides confidence in their use as model
systems for extrapolating understanding to similar systems involved in
neurodegenerative diseases.
PMID- 18039003
TI - Carrageenan as an efficient drug release modifier for ethylcellulose-coated
pharmaceutical dosage forms.
PMID- 18039004
TI - Structure and mechanical properties of hydroxypropylated starch films.
AB - Films of acid-hydrolyzed hydroxypropylated pea starch with average molecular
weight M w ranging from 3.3 x 10 (4) g/mol to 1.6 x 10 (6) g/mol were prepared
from 25% (w/w) solution by casting. The structure of the films was investigated
by means X-ray diffraction and calorimetry, evidencing a B-type crystalline
structure. In similar drying conditions, 25 degrees C and 40% of relative
humidity, the crystallinity varied from 24% for the low molecular weight (A5) to
almost none for the highest molecular weight (A160). The influence of the drying
temperature was also investigated. A reduction of the crystallinity from 16% to
almost none was found when increasing temperature from 25 to 65 degrees C. The
glass transition temperature ( T g) at different water contents was determined.
The difference of T g between the first and the second scan was interpreted by
changes in the water distribution between phases into the B-type crystalline
structure. Mechanical properties of the films determined by tensile tests and by
DMTA in the glassy state showed no effect of the average molecular weight or of
crystallinity. In contrast, thermomechanical experiments by DMTA showed that the
average molecular weight of the sample influenced the mechanical relaxation and
the moduli in the rubbery state.
PMID- 18039005
TI - PCL-PEG-based nanoparticles grafted with GRGDS peptide: preparation and surface
analysis by XPS.
PMID- 18039006
TI - Genetic engineering of self-assembled protein hydrogel based on elastin-like
sequences with metal binding functionality.
AB - Recombinant DNA methods have been exploited to enable the creation of protein
based block copolymers with programmable sequences, desired properties, and
predictable three-dimensional structures. These advantages over conventional
polymer counterparts facilitate the utility of this new class of biomaterials in
a wide range of applications. In this project, we exploited the environmental
application of protein-based block copolymers based on elastin-like protein (ELP)
sequences. Triblock copolymers containing charged and hydrophobic segments were
synthesized. Chain lengths of each segment were manipulated in order to maintain
a gelation point below room temperature. Polyhistidine sequences were
successfully incorporated into the hydrophilic segment without disruption of the
self-assembled hydrogel formation. The microscopic structure was further
investigated using laser confocal microscopy. The metal binding capability and
capacity of resulting hydrogel were studied to demonstrate the functionality of
polyhistidine and its environmental application for heavy metal removal.
Reversibility of metal binding was demonstrated, indicating the cost
effectiveness of this hydrogel. Significantly, we envision that this versatile
strategy of incorporating functional groups within a 3-D protein network provides
new possibilities in creation of biomaterials with great control over structure
property relationships.
PMID- 18039007
TI - Specificity and mobility of biomacromolecular, multivalent constructs for
cellular targeting.
AB - Effective targeting of drugs to cells requires that the drug reach the target
cell and interact specifically with it. In this study, we synthesized a
biomacromolecular, multivalent construct intended to target glioblastoma tumors.
The construct was created by linking three dodecapeptides, reported to bind the
alpha 6beta1 integrin, with poly(ethylene glycol) linkers. The construct is
intended to be delivered locally, and it demonstrates a more homogeneous and more
rapid perfusion profile in comparison with quantum dots. The binding specificity
of the construct was investigated by using glioblastoma cells and normal human
astrocyte cells. The results reveal qualitative differences in binding between
glioma and normal human astrocyte cells, with a moderate increase in binding
avidity due to multivalency (0.79 microM for the trivalent construct versus 4.28
microM for the dodecapeptide). Overall, biomacromolecular constructs appear to be
a promising approach for targeting with high biocompatibility, good perfusion
abilities, and specificity.
PMID- 18039008
TI - Extraction and precipitation of chitosan from cell wall of zygomycetes fungi by
dilute sulfuric acid.
AB - A new method was developed in this work for extraction of chitosan from the
zygomycetes cell wall. It is based on the temperature-dependent solubility of
chitosan in dilute sulfuric acid. Chitin is soluble in neither cold nor hot
dilute sulfuric acid. Similarly chitosan is not soluble at room temperature but
is dissolved in 1% H 2SO 4 at 121 degrees C within 20 min. The new method was
developed to measure the chitosan content of the biomass and cell wall. The
procedures were investigated by measuring phosphate, protein, ash, glucuronic
acid, and degree of acetylation. The cell wall derivatives of fungus Rhizomucor
pusillus were then examined by this new method. The results indicated 8% of the
biomass as chitosan. After treatment with NaOH, the alkali-insoluble material
(AIM) contained 45.3% chitosan. Treatment of AIM with acetic acid resulted in
16.5% acetic-acid-soluble material (AcSM) and 79.0% alkali- and acid-insoluble
material (AAIM). AcSM is usually cited as pure chitosan, but the new method shows
major impurities by, for example, phosphate. Furthermore, AAIM is usually
considered to be the chitosan-free fraction, whereas the new method shows more
than 76% of the chitosan present in AIM is found in AAIM. It might indicate the
inability of acetic acid to separate chitosan from the cell wall.
PMID- 18039009
TI - Ecdysteroids from the Antarctic tunicate Synoicum adareanum.
AB - Five new ecdysteroids, hyousterones A-D (2-5) and abeohyousterone (6), have been
isolated from the Antarctic tunicate Synoicum adareanum along with the known
ecdysteroid diaulusterol B (1). Hyousterones B (3) and D (5) are unusual
ecdysteroids in bearing the 14beta-hydroxyl group, and abeohyousterone
incorporates the 13(14-->8) abeo steroid skeleton, reflecting a rearrangement of
the steroid C/D ring system. Abeohyousterone has moderate cytotoxicity toward
several cancer cell lines. Hyousterones bearing the 14alpha-hydroxy group (2 and
4) were weakly cytotoxic, while the 14beta-hydroxy hyousterones (3 and 5) were
devoid of cytotoxicity. The 14beta-hydroxy function may be a thermodynamic
pathway to the 13(14-->8) abeo steroid skeleton. Hyousterones, abeohyousterone,
and diaulusterol B are the first ecdysteroids reported from tunicates.
PMID- 18039010
TI - Alkaloids with human delta-opioid receptor binding affinity from the Australian
rainforest tree Peripentadenia mearsii.
AB - Three new pyrrolidine alkaloids, peripentonine A-C ( 2- 4), one known pyrrolidine
alkaloid, peripentadenine ( 1), and one novel indolizidine alkaloid, mearsamine (
5), were isolated from the leaves of Peripentadenia mearsii and their structures
determined by 1D and 2D NMR spectroscopy. Peripentonines A ( 2) and B ( 3) were
isolated as a 1:1 mixture of inseparable diastereomers. Mearsamine ( 5) contains
a novel tricyclic ring system. Peripentadenine and peripentonines A/B and C
showed receptor binding affinity for the human delta-opioid receptor with IC 50
values of 11.4, 69.2, and 30.9 microM, respectively. Mearsamine did not bind to
the delta-opioid receptor.
PMID- 18039011
TI - Brandisianins A-F, isoflavonoids isolated from Millettia brandisiana in a
screening program for death-receptor expression enhancement activity.
AB - In a screening study for natural products with tumor-selective apoptosis-inducing
properties, six new isoflavonoids (1- 6), named brandisianins A-F, respectively,
have been isolated from a MeOH extract of the dried leaves of Millettia
brandisiana, together with five known compounds. The structures of the new
compounds were elucidated by spectroscopic data interpretation. Among these
compounds, brandisianin D (4) exhibited death-receptor 5 expression enhancement
activity in a luciferase assay based in DLD-1/ SacI cells. The results suggest
that brandisianin D (4) might overcome TRAIL-resistance by an increase in DR5
expression.
PMID- 18039012
TI - Ptilomycalin D, a polycyclic guanidine alkaloid from the marine sponge Monanchora
dianchora.
AB - A new polycyclic guanidine alkaloid, ptilomycalin D, and the known crambescidic
acid were isolated from the marine sponge Monanchora dianchora collected in Nosy
Be, northwest of Madagascar, in the Indian Ocean. The structures were elucidated
using 1- and 2-D NMR and MS data, and their biogenetic implications are
discussed.
PMID- 18039013
TI - Inhibition of depurinating estrogen-DNA adduct formation by natural compounds.
AB - Specific metabolites of estrogens, catechol estrogen-3,4-quinones, if produced in
relatively large amounts, can become chemical carcinogens by reacting with DNA to
form predominantly depurinating DNA adducts. Estradiol (E2)-3,4-quinone (Q)
reacts with DNA to form predominantly the depurinating DNA adducts, 4
hydroxyestradiol (OHE2)-1-N3Ade and 4-OHE 2-1-N7Gua. The depurinating adducts
induce mutations by error-prone repair. We have conducted a study in which
selected natural chemopreventing agents, N-acetylcysteine (NAcCys), melatonin,
reduced lipoic acid, and resveratrol, have been tested for their ability to
prevent the reaction of E(2)-3,4-Q with DNA. When DNA was incubated with E(2)-3,4
Q or lactoperoxidase-activated 4-OHE2 in the presence of an antioxidant, the
formation of the N3Ade and N7Gua adducts was reduced. E(2)-3,4-Q or
lactoperoxidase-oxidized 4-OHE 2 (87 microM final concentration) was incubated
with calf-thymus DNA and one of the antioxidants at different ratios (1:0, 1:0.3,
1:1, and 1:3 with respect to E(2)-3,4-Q or 4-OHE2) at 37 degrees C. After 10 h,
the DNA was precipitated, and the supernatant was analyzed by using
ultraperformance liquid chromatography/tandem mass spectrometry (LC/MS/MS). As
anticipated, resveratrol and melatonin did not affect the formation of the
depurinating adducts when E(2)-3,4-Q was reacted with DNA in their presence. On
the other hand, NAcCys and lipoic acid (reduced form) showed a significant
inhibition of the formation of the depurinating adducts by E(2)-3,4-Q. With
reaction of lactoperoxidase-activated 4-OHE2 with DNA, resveratrol achieved the
highest level of inhibition, NAcCys and reduced lipoic acid produced moderate
inhibition, and melatonin had the least inhibition. These results demonstrate
that all four selected compounds can inhibit the formation of depurinating
estrogen-DNA adducts and set the stage for studies of their ability to inhibit
adduct formation and malignant transformation in mammary epithelial cells. This
approach is highly useful for identifying agents to prevent the initiation of
human cancers, especially breast and prostate cancer.
PMID- 18039015
TI - Sphingosine-1-phosphate signaling and the skin.
AB - Sphingolipids have long been viewed as rather passive structural components of
cellular membranes. More recently, it has become evident that metabolism of
sphingomyelin yields several lipid mediators that evoke diverse and specific
responses in different cell types. One sphingomyelin derivate, sphingosine-1
phosphate (S1P), has attracted particular attention for its effect on epidermal
cells, which differs from those on most other cell types. S1P inhibits
keratinocyte proliferation and induces keratinocyte differentiation and
migration, suggesting a role for S1P in the re-epithelialization of wounds. The
migratory response involves the phosphorylation and activation of Smad3. In
epithelial tumors, S1P signaling has been linked with potential oncogenic
effects, but has also been found to inhibit metastasis in a mouse melanoma model.
S1P promotes endothelial cell survival, acts as a chemoattractant for vascular
cells, and exerts a protective effect on the endothelial barrier. Conversely, S1P
receptor knockout leads to embryonic lethality mainly due to impaired vascular
maturation. S1P presumably modulates peripheral T-lymphocyte levels by
stimulating their egress from lymphoid organs rather than by promoting T-cell
proliferation. The S1P analog FTY720 (fingolimod) acts as a functional antagonist
by inhibiting lymphocyte egress, and thus holds great promise as an
immunosuppressant drug for the prevention of allograft rejection and treatment of
T-lymphocyte-driven inflammatory skin diseases, such as lupus erythematosus,
psoriasis, and atopic dermatitis. Topical use of S1P and other sphingosine
compounds is also under investigation, particularly for the treatment of acne
vulgaris.
PMID- 18039016
TI - Eyebrow loss: clinical review.
AB - Loss of eyebrow hair may come to the attention of the dermatologist as a
presenting complaint or as an unexpected finding on routine physical examination.
Because eyebrows serve important cosmetic and social roles, their loss can be
quite distressing to patients. While eyebrow loss may be an isolated finding of
no medical consequence, it may also be an initial manifestation of a systemic
condition. Loss of eyebrows has numerous causes including primary dermatoses,
endocrinopathies, autoimmune conditions, infections, neoplasms, trauma, exogenous
agents, and genetic diseases. We present a systemic review of eyebrow loss,
including an algorithm that can be used to direct the work-up of patients
presenting with this condition.
PMID- 18039017
TI - Palmar erythema.
AB - Palmar erythema (PE), an often overlooked physical finding, is due to several
physiologic or systemic pathologic states. PE can exist as a primary physiologic
finding or as a secondary marker of systemic pathology. Primary or physiologic PE
can be due to heredity, occurs in at least 30% of pregnant women as a result of
associated alterations in the function of the skin and its microvasculature, or
may be a diagnosis of exclusion (i.e. idiopathic PE). Secondary PE from systemic
pathology encompasses a wide range of disease states. Twenty-three percent of
patients with liver cirrhosis, from varying causes, can manifest PE as a result
of abnormal serum estradiol levels. Patients with a rare neonatal liver disease
such as Wilson disease and hereditary hemochromatosis may exhibit PE along with
the other systemic manifestations of the genodermatoses. PE has been reported to
occur in >60% of patients with rheumatoid arthritis and is associated with a
favorable prognosis. Up to 18% of patients with thyrotoxicosis and 4.1% of
patients with diabetes mellitus can have PE. This cutaneous manifestation of
diabetes occurs more often than the more classic diseases such as necrobiosis
lipoidica diabeticorum (0.6%). PE can be seen in early gestational syphilis and
among patients with human T-lymphotrophic virus-1-associated myelopathy. Drug
induced PE with hepatic damage has been documented with use of amiodarone,
gemfibrozil, and cholestyramine, while topiramate and albuterol (salbutamol) have
been reported to cause PE in the setting of normal liver function. Fifteen
percent of patients with both metastatic and primary brain neoplasms may have PE.
Increased levels of angiogenic factors and estrogens from solid tumors have been
postulated as the cause of PE in such cases. Erythema ab igne can mimic PE, and
patients with atopic diathesis are more likely to have PE than matched control
subjects. Smoking and chronic mercury poisoning are environmental causes of PE.No
treatment of primary PE is indicated. If medication is the cause of PE, the drug
responsible should be discontinued if possible. Identification of PE related to
underlying disorders should be followed by treatment of the underlying condition.
In light of the numerous etiologies of PE, this article reviews the current
literature and provides a framework to help guide the clinician in determining
the cause of PE in patients presenting with this finding.
PMID- 18039018
TI - Comparable efficacy and safety of various topical formulations of terbinafine in
tinea pedis irrespective of the treatment regimen: results of a meta-analysis.
AB - BACKGROUND: Terbinafine has been widely used with major success as a topical
antifungal therapy for tinea pedis (athlete's foot). Its efficacy and safety have
been validated in several clinical trials, which have demonstrated clinical
efficacy for the drug after only 1 week of treatment when applied once daily, a
reflection of the high fungicidal potency of the drug and its ability to form a
depot in the upper skin layer. To improve patients' compliance further, a
terbinafine-containing film-forming solution has been developed for single-dose
therapy of athlete's foot. This novel formulation delivers terbinafine in high
amounts and for a prolonged period of time into the skin, making one-shot
treatment feasible. Over the past years there have been a variety of trials
evaluating use of topical terbinafine addressing different pharmaceutical
formulations, treatment durations, and application frequencies, but a detailed
meta-analysis of these trials has not been conducted to date. OBJECTIVE: The
present study is the first meta-analytic evaluation of the available data on the
efficacy (clinical and mycologic cure rates) and safety (adverse events) of all
topical forms of terbinafine for the treatment of tinea pedis. METHODS: An
international, systematic literature search of 12 electronic databases (including
MEDLINE, EMBASE, and Cochrane databases) using a pre-specified search strategy
was conducted in March 2006. This meta-analysis included only randomized
controlled trials in which terbinafine had been used for topical treatment of
tinea pedis in comparison with placebo or an active control. Studies of all
available topical formulations of terbinafine, frequencies of application, and
durations of treatment were included. RESULTS: Of 100 identified articles
published between 1990 and 2006, 19 met the criteria for analysis. These 19
studies involved 2899 patients with clinical and mycologic diagnoses of tinea
pedis (nine placebo-controlled trials and ten active-controlled trials). Efficacy
analysis demonstrated that the mycologic cure rate was significantly superior
with terbinafine compared with placebo (relative risk [RR] 3.17; p < 0.001). No
significant differences in efficacy were found amongst different formulations of
terbinafine, treatment durations, or frequencies of application. Comparable
results were obtained with respect to clinical cure rate for terbinafine compared
with placebo (RR 2.75; p < 0.001). Comparison of the efficacy of terbinafine
versus active control indicated a nonsignificant difference in favor of
terbinafine with regard to mycologic cure rate (RR 1.03; p = 0.423) and clinical
cure rate (RR 1.09; p = 0.11). The median duration of treatment was also shorter
with terbinafine (1 week) compared with active controls (2 weeks). Analysis of
the placebo-controlled studies showed that there was no significant difference in
the risk of adverse events with terbinafine compared with placebo (RR 1.34; p =
0.34). Likewise, no significant differences in adverse events were found between
terbinafine and active controls (RR 1.08; p = 0.72). CONCLUSION: Terbinafine is
very well tolerated in any topical pharmaceutical formulation and also has high
efficacy as a cure for tinea pedis, irrespective of type of pharmaceutical
formulation, treatment duration, and frequency of application, including the
recently established one-shot regimen. In addition, terbinafine has an apparently
unique advantage over other antifungal agents with respect to the required
duration of treatment for tinea pedis.
PMID- 18039019
TI - Non-melanocytic benign skin tumors in children.
AB - BACKGROUND: Dermatologists often attend children with benign skin tumors and
cysts. The decision to perform dermatologic surgery in children may be difficult
to make, especially in cases of benign tumors. OBJECTIVE: The objective of this
study was to determine the nature of non-melanocytic benign skin tumors amenable
to dermatologic surgery in children. METHODS: Histopathologic studies of skin
tumors in children treated by our department between January 2004 and December
2005 were studied. Malignant and melanocytic tumors were excluded. Age, sex, type
of tumor, diagnostic category, site, size, reason for removal, type of
anesthesia, and any other associated disorders were recorded. RESULTS: The
records revealed that 121 patients presented 129 non-melanocytic benign skin
tumors (73 in boys and 56 in girls). A total of 27 different anatomopathologic
diagnoses were found. The most frequent was pilomatrixoma with 27 cases (20.9%),
followed by infundibular cyst with 14 (10.9%), and molluscum contagiosum with 13
(10.1%). Tumors were located on the head and neck (45.7%), trunk (34.1%), and
limbs (20.1%). The most frequently affected age group was children aged 11-14
years, which included 50 patients (38.8%). The main type of anesthesia used was
local in 54.6% of the cases, sedation plus local anesthesia in 39.7%, and general
anesthesia in 5.7%. The reasons that led to removal of the tumors were: increase
in the size of the tumor (49%); various types of discomfort, such as severe
itching or pain (30%); parental concern (4%); diagnostic uncertainty (16%); and
esthetic reasons (1%). CONCLUSION: There is a wide diversity of non-melanocytic
benign skin tumors in children, some of which require surgical treatment.
Pilomatrixomas appear to be the most frequent benign tumors; there are also high
frequencies of infundibular cysts, pyogenic granulomas, and viral tumors. Most
can be removed under local anesthesia, with or without sedation.
PMID- 18039020
TI - Comparative study of 2% sertaconazole solution and cream formulations in patients
with tinea corporis, tinea pedis interdigitalis, or a corresponding candidosis.
AB - BACKGROUND: Based on the results of numerous preclinical and clinical studies,
sertaconazole can be considered a safe and effective drug for the treatment of
fungal skin infections. OBJECTIVE: The objective of the study was to compare the
efficacy of a solution containing 2% sertaconazole with the well established 2%
sertaconazole cream formulation in patients with tinea corporis, tinea pedis
interdigitalis, or a corresponding candidosis. METHODS: This was a prospective,
open-label, randomized, controlled, parallel-group, multicenter, noninferiority
therapy study. Patients received either sertaconazole solution or cream twice
daily for 28 days. The full analysis set comprised 160 patients in the solution
group and 153 patients in the cream group. The primary efficacy parameter was a
combination of culture test result and total clinical score. Efficacy was defined
by eradication of the pathogen and reduction of the total clinical score between
pretreatment and the final visit. RESULTS: Efficacy was documented in 90.6% of
patients using the solution and 88.9% of those using the cream (full analysis
set). No adverse events occurred. CONCLUSION: Solution and cream formulations of
2% sertaconazole applied for 28 days were associated with comparable efficacy and
safety in the treatment of fungal skin infections.
PMID- 18039021
TI - Multinodular cutaneous spread in neuroendocrine tumor of the breast : an unusual
presentation.
AB - Carcinoid tumors are the most common type of neuroendocrine tumors with an
incidence of 1.5 per 100 000 of the population. Skin manifestations of carcinoid
tumors include those associated with the carcinoid syndrome and sequelae from
metastatic disease. Carcinoid tumors in the breast, which were first described in
1977, are rare and may present either as primary or metastatic lesions. The
existence of primary breast carcinoid tumors is controversial, however, and, if
they do exist, would account for <1% of primary breast cancers. We report the
case of a 76-year-old woman who presented to the M.D. Anderson Cancer Center with
a long-standing history of a breast lump. Core biopsy of the mass and left
axillary lymph node aspiration revealed neuroendocrine tumor of the breast, which
stained positive for synaptophysin and chromogranin. Subsequently, the patient
developed a left-sided pleural effusion, and a further work-up revealed
metastases to the lung parenchyma and pleural space. Three years after her
diagnosis, she complained of a persistent, erythematous thickening of skin over
the surface of her left inferior breast, which had been present for 1 year. On
examination, multiple erythematous grouped nodules arranged in an oval pattern
were present. A punch biopsy from one of the nodules revealed invasive low-grade
carcinoma with neuroendocrine features similar to those in her prior breast core
biopsy. The tumor was seen to be infiltrating the dermis. This is a unique case
of a neuroendocrine tumor of the breast with cutaneous spread. The number of
reported cases of neuroendocrine tumors with cutaneous involvement remains small.
PMID- 18039022
TI - Severe sporotrichoid fish tank granuloma following infliximab therapy.
AB - Mycobacterium marinum is an atypical mycobacterium usually found in non
chlorinated water. It rarely disseminates, except in the setting of a severely
immunosuppressed patient, and usually follows a sporotrichotic type of
distribution. We report the case of a 45-year-old man who had ankylosing
spondylitis and was receiving infliximab and isoniazid for latent tuberculosis.
The patient presented with a 5-month history of painful erythematous and
suppurative nodules and abscesses on the right upper extremity. M. marinum was
not isolated in cultures and histologic findings together with clinical
examination provided evidence of sporotrichoid-like fish tank granuloma. The
patient was treated with rifampin (rifampicin) and ethambutol for 8 months and
responded satisfactorily while continuing to receive infliximab. In accordance
with data in the published literature, isoniazid proved ineffective in preventing
M. marinum infection in this patient. While mycobacterial complications of tumor
necrosis factor-alpha (TNFalpha) inhibitor therapy are well established, our case
appears to be the first reported instance of M. marinum infection in a patient
taking infliximab. As anti-TNFalpha agents become increasingly used for a variety
of conditions, awareness of the potential infectious complications associated
with use of these agents will be vital for clinicians.
PMID- 18039023
TI - Chronic bullous disease of childhood in a patient with acute lymphoblastic
leukemia: possible induction by a drug.
AB - Linear IgA disease is characterized by the presence of linear IgA deposits in the
basement membrane zone of the skin, and circulating basement membrane zone
antibodies are detected in 80% of cases. The disease occurs in both adults and
children, and is designated adult linear IgA disease in the former and chronic
bullous disease of childhood (CBDC) in the latter. We describe a 5-year-old boy
with acute lymphoblastic leukemia in remission, in whom CBDC developed after
treatment with trimethoprim/sulfamethoxazole (cotrimoxazole). To our knowledge,
this is the first reported case of possible drug-induced CBDC.
PMID- 18039024
TI - Asymptomatic papules and tumors of the face, neck, and trunk combined with renal,
intestinal, and prostatic malignancies.
PMID- 18039025
TI - Phenotypic mutation rates and the abundance of abnormal proteins in yeast.
AB - Phenotypic mutations are errors that occur during protein synthesis. These errors
lead to amino acid substitutions that give rise to abnormal proteins. Experiments
suggest that such errors are quite common. We present a model to study the effect
of phenotypic mutation rates on the amount of abnormal proteins in a cell. In our
model, genes are regulated to synthesize a certain number of functional proteins.
During this process, depending on the phenotypic mutation rate, abnormal proteins
are generated. We use data on protein length and abundance in Saccharomyces
cerevisiae to parametrize our model. We calculate that for small phenotypic
mutation rates most abnormal proteins originate from highly expressed genes that
are on average nearly twice as large as the average yeast protein. For phenotypic
mutation rates much above 5 x 10(-4), the error-free synthesis of large proteins
is nearly impossible and lowly expressed, very large proteins contribute more and
more to the amount of abnormal proteins in a cell. This fact leads to a steep
increase of the amount of abnormal proteins for phenotypic mutation rates above 5
x 10(-4). Simulations show that this property leads to an upper limit for the
phenotypic mutation rate of approximately 2 x 10(-3) even if the costs for
abnormal proteins are extremely low. We also consider the adaptation of
individual proteins. Individual genes/proteins can decrease their phenotypic
mutation rate by using preferred codons or by increasing their robustness against
amino acid substitutions. We discuss the similarities and differences between the
two mechanisms and show that they can only slow down but not prevent the rapid
increase of the amount of abnormal proteins. Our work allows us to estimate the
phenotypic mutation rate based on data on the fraction of abnormal proteins. For
S. cerevisiae, we predict that the value for the phenotypic mutation rate is
between 2 x 10(-4) and 6 x 10(-4).
PMID- 18039026
TI - Where have all the interactions gone? Estimating the coverage of two-hybrid
protein interaction maps.
AB - Yeast two-hybrid screens are an important method for mapping pairwise physical
interactions between proteins. The fraction of interactions detected in
independent screens can be very small, and an outstanding challenge is to
determine the reason for the low overlap. Low overlap can arise from either a
high false-discovery rate (interaction sets have low overlap because each set is
contaminated by a large number of stochastic false-positive interactions) or a
high false-negative rate (interaction sets have low overlap because each misses
many true interactions). We extend capture-recapture theory to provide the first
unified model for false-positive and false-negative rates for two-hybrid screens.
Analysis of yeast, worm, and fly data indicates that 25% to 45% of the reported
interactions are likely false positives. Membrane proteins have higher false
discovery rates on average, and signal transduction proteins have lower rates.
The overall false-negative rate ranges from 75% for worm to 90% for fly, which
arises from a roughly 50% false-negative rate due to statistical undersampling
and a 55% to 85% false-negative rate due to proteins that appear to be
systematically lost from the assays. Finally, statistical model selection
conclusively rejects the Erdos-Renyi network model in favor of the power law
model for yeast and the truncated power law for worm and fly degree
distributions. Much as genome sequencing coverage estimates were essential for
planning the human genome sequencing project, the coverage estimates developed
here will be valuable for guiding future proteomic screens. All software and
datasets are available in and , -, and -, and are also available from our Web
site, http://www.baderzone.org.
PMID- 18039027
TI - An evolutionary-network model reveals stratified interactions in the V3 loop of
the HIV-1 envelope.
AB - The third variable loop (V3) of the human immunodeficiency virus type 1 (HIV-1)
envelope is a principal determinant of antibody neutralization and progression to
AIDS. Although it is undoubtedly an important target for vaccine research,
extensive genetic variation in V3 remains an obstacle to the development of an
effective vaccine. Comparative methods that exploit the abundance of sequence
data can detect interactions between residues of rapidly evolving proteins such
as the HIV-1 envelope, revealing biological constraints on their variability.
However, previous studies have relied implicitly on two biologically unrealistic
assumptions: (1) that founder effects in the evolutionary history of the
sequences can be ignored, and; (2) that statistical associations between residues
occur exclusively in pairs. We show that comparative methods that neglect the
evolutionary history of extant sequences are susceptible to a high rate of false
positives (20%-40%). Therefore, we propose a new method to detect interactions
that relaxes both of these assumptions. First, we reconstruct the evolutionary
history of extant sequences by maximum likelihood, shifting focus from extant
sequence variation to the underlying substitution events. Second, we analyze the
joint distribution of substitution events among positions in the sequence as a
Bayesian graphical model, in which each branch in the phylogeny is a unit of
observation. We perform extensive validation of our models using both simulations
and a control case of known interactions in HIV-1 protease, and apply this method
to detect interactions within V3 from a sample of 1,154 HIV-1 envelope sequences.
Our method greatly reduces the number of false positives due to founder effects,
while capturing several higher-order interactions among V3 residues. By mapping
these interactions to a structural model of the V3 loop, we find that the loop is
stratified into distinct evolutionary clusters. We extend our model to detect
interactions between the V3 and C4 domains of the HIV-1 envelope, and account for
the uncertainty in mapping substitutions to the tree with a parametric bootstrap.
PMID- 18039028
TI - A universal mechanism ties genotype to phenotype in trinucleotide diseases.
AB - Trinucleotide hereditary diseases such as Huntington disease and Friedreich
ataxia are cureless diseases associated with inheriting an abnormally large
number of DNA trinucleotide repeats in a gene. The genes associated with
different diseases are unrelated and harbor a trinucleotide repeat in different
functional regions; therefore, it is striking that many of these diseases have
similar correlations between their genotype, namely the number of inherited
repeats and age of onset and progression phenotype. These correlations remain
unexplained despite more than a decade of research. Although mechanisms have been
proposed for several trinucleotide diseases, none of the proposals, being disease
specific, can account for the commonalities among these diseases. Here, we
propose a universal mechanism in which length-dependent somatic repeat expansion
occurs during the patient's lifetime toward a pathological threshold. Our
mechanism uniformly explains for the first time to our knowledge the genotype
phenotype correlations common to trinucleotide disease and is well-supported by
both experimental and clinical data. In addition, mathematical analysis of the
mechanism provides simple explanations to a wide range of phenomena such as the
exponential decrease of the age-of-onset curve, similar onset but faster
progression in patients with Huntington disease with homozygous versus
heterozygous mutation, and correlation of age of onset with length of the short
allele but not with the long allele in Friedreich ataxia. If our proposed
universal mechanism proves to be the core component of the actual mechanisms of
specific trinucleotide diseases, it would open the search for a uniform treatment
for all these diseases, possibly by delaying the somatic expansion process.
PMID- 18039029
TI - A model of bacterial intestinal infections in Drosophila melanogaster.
AB - Serratia marcescens is an entomopathogenic bacterium that opportunistically
infects a wide range of hosts, including humans. In a model of septic injury, if
directly introduced into the body cavity of Drosophila, this pathogen is
insensitive to the host's systemic immune response and kills flies in a day. We
find that S. marcescens resistance to the Drosophila immune deficiency (imd)
mediated humoral response requires the bacterial lipopolysaccharide O-antigen. If
ingested by Drosophila, bacteria cross the gut and penetrate the body cavity.
During this passage, the bacteria can be observed within the cells of the
intestinal epithelium. In such an oral infection model, the flies succumb to
infection only after 6 days. We demonstrate that two complementary host defense
mechanisms act together against such food-borne infection: an antimicrobial
response in the intestine that is regulated by the imd pathway and phagocytosis
by hemocytes of bacteria that have escaped into the hemolymph. Interestingly,
bacteria present in the hemolymph elicit a systemic immune response only when
phagocytosis is blocked. Our observations support a model wherein peptidoglycan
fragments released during bacterial growth activate the imd pathway and do not
back a proposed role for phagocytosis in the immune activation of the fat body.
Thanks to the genetic tools available in both host and pathogen, the molecular
dissection of the interactions between S. marcescens and Drosophila will provide
a useful paradigm for deciphering intestinal pathogenesis.
PMID- 18039030
TI - Theme and variations in the evolutionary pathways to virulence of an RNA plant
virus species.
AB - The diversity of a highly variable RNA plant virus was considered to determine
the range of virulence substitutions, the evolutionary pathways to virulence, and
whether intraspecific diversity modulates virulence pathways and propensity. In
all, 114 isolates representative of the genetic and geographic diversity of Rice
yellow mottle virus (RYMV) in Africa were inoculated to several cultivars with
eIF(iso)4G-mediated Rymv1-2 resistance. Altogether, 41 virulent variants
generated from ten wild isolates were analyzed. Nonconservative amino acid
replacements at five positions located within a stretch of 15 codons in the
central region of the 79-aa-long protein VPg were associated with virulence.
Virulence substitutions were fixed predominantly at codon 48 in most strains,
whatever the host genetic background or the experimental conditions. There were
one major and two isolate-specific mutational pathways conferring virulence at
codon 48. In the prevalent mutational pathway I, arginine (AGA) was successively
displaced by glycine (GGA) and glutamic acid (GAA). Substitutions in the other
virulence codons were displaced when E48 was fixed. In the isolate-specific
mutational pathway II, isoleucine (ATA) emerged and often later coexisted with
valine (GTA). In mutational pathway III, arginine, with the specific S2/S3 strain
codon usage AGG, was displaced by tryptophane (TGG). Mutational pathway I never
arose in the widely spread West African S2/S3 strain because G48 was not
infectious in the S2/S3 genetic context. Strain S2/S3 least frequently overcame
resistance, whereas two geographically localized variants of the strain S4 had a
high propensity to virulence. Codons 49 and 26 of the VPg, under diversifying
selection, are candidate positions in modulating the genetic barriers to
virulence. The theme and variations in the evolutionary pathways to virulence of
RYMV illustrates the extent of parallel evolution within a highly variable RNA
plant virus species.
PMID- 18039032
TI - Activation of inflammation/NF-kappaB signaling in infants born to arsenic-exposed
mothers.
AB - The long-term health outcome of prenatal exposure to arsenic has been associated
with increased mortality in human populations. In this study, the extent to which
maternal arsenic exposure impacts gene expression in the newborn was addressed.
We monitored gene expression profiles in a population of newborns whose mothers
experienced varying levels of arsenic exposure during pregnancy. Through the
application of machine learning-based two-class prediction algorithms, we
identified expression signatures from babies born to arsenic-unexposed and
exposed mothers that were highly predictive of prenatal arsenic exposure in a
subsequent test population. Furthermore, 11 transcripts were identified that
captured the maximal predictive capacity to classify prenatal arsenic exposure.
Network analysis of the arsenic-modulated transcripts identified the activation
of extensive molecular networks that are indicative of stress, inflammation,
metal exposure, and apoptosis in the newborn. Exposure to arsenic is an important
health hazard both in the United States and around the world, and is associated
with increased risk for several types of cancer and other chronic diseases. These
studies clearly demonstrate the robust impact of a mother's arsenic consumption
on fetal gene expression as evidenced by transcript levels in newborn cord blood.
PMID- 18039031
TI - Genetic variation and population structure in native Americans.
AB - We examined genetic diversity and population structure in the American landmass
using 678 autosomal microsatellite markers genotyped in 422 individuals
representing 24 Native American populations sampled from North, Central, and
South America. These data were analyzed jointly with similar data available in 54
other indigenous populations worldwide, including an additional five Native
American groups. The Native American populations have lower genetic diversity and
greater differentiation than populations from other continental regions. We
observe gradients both of decreasing genetic diversity as a function of
geographic distance from the Bering Strait and of decreasing genetic similarity
to Siberians--signals of the southward dispersal of human populations from the
northwestern tip of the Americas. We also observe evidence of: (1) a higher level
of diversity and lower level of population structure in western South America
compared to eastern South America, (2) a relative lack of differentiation between
Mesoamerican and Andean populations, (3) a scenario in which coastal routes were
easier for migrating peoples to traverse in comparison with inland routes, and
(4) a partial agreement on a local scale between genetic similarity and the
linguistic classification of populations. These findings offer new insights into
the process of population dispersal and differentiation during the peopling of
the Americas.
PMID- 18039033
TI - Extragenic accumulation of RNA polymerase II enhances transcription by RNA
polymerase III.
AB - Recent genomic data indicate that RNA polymerase II (Pol II) function extends
beyond conventional transcription of primarily protein-coding genes. Among the
five snRNAs required for pre-mRNA splicing, only the U6 snRNA is synthesized by
RNA polymerase III (Pol III). Here we address the question of how Pol II
coordinates the expression of spliceosome components, including U6. We used
chromatin immunoprecipitation (ChIP) and high-resolution mapping by PCR to
localize both Pol II and Pol III to snRNA gene regions. We report the surprising
finding that Pol II is highly concentrated approximately 300 bp upstream of all
five active human U6 genes in vivo. The U6 snRNA, an essential component of the
spliceosome, is synthesized by Pol III, whereas all other spliceosomal snRNAs are
Pol II transcripts. Accordingly, U6 transcripts were terminated in a Pol III
specific manner, and Pol III localized to the transcribed gene regions. However,
synthesis of both U6 and U2 snRNAs was alpha-amanitin-sensitive, indicating a
requirement for Pol II activity in the expression of both snRNAs. Moreover, both
Pol II and histone tail acetylation marks were lost from U6 promoters upon alpha
amanitin treatment. The results indicate that Pol II is concentrated at specific
genomic regions from which it can regulate Pol III activity by a general
mechanism. Consequently, Pol II coordinates expression of all RNA and protein
components of the spliceosome.
PMID- 18039035
TI - The detection of fear-relevant stimuli: are guns noticed as quickly as snakes?
AB - Potentially dangerous stimuli are important contenders for the capture of visual
spatial attention, and it has been suggested that an evolved fear module is
preferentially activated by stimuli that are fear relevant in a phylogenetic
sense (e.g., snakes, spiders, angry faces). In this study, a visual search task
was used to test this hypothesis by directly contrasting phylogenetically
(snakes) and ontogenetically (guns) fear-relevant stimuli. Results showed that
the modern threat was detected as efficiently as the more ancient threat. Thus,
both guns and snakes attracted attention more effectively than neutral stimuli
(flowers, mushrooms, and toasters). These results support a threat superiority
effect but not one that is preferentially accessed by threat-related stimuli of
phylogenetic origin. The results are consistent with the view that faster
detection of threat in visual search tasks may be more accurately characterized
as relevance superiority effects rather than as threat superiority effects.
PMID- 18039036
TI - How emotional auditory stimuli modulate time perception.
AB - Emotional and neutral sounds rated for valence and arousal were used to
investigate the influence of emotions on timing in reproduction and verbal
estimation tasks with durations from 2 s to 6 s. Results revealed an effect of
emotion on temporal judgment, with emotional stimuli judged to be longer than
neutral ones for a similar arousal level. Within scalar expectancy theory (J.
Gibbon, R. Church, & W. Meck, 1984), this suggests that emotion-induced
activation generates an increase in pacemaker rate, leading to a longer perceived
duration. A further exploration of self-assessed emotional dimensions showed an
effect of valence and arousal. Negative sounds were judged to be longer than
positive ones, indicating that negative stimuli generate a greater increase of
activation. High-arousing stimuli were perceived to be shorter than low-arousing
ones. Consistent with attentional models of timing, this seems to reflect a
decrease of attention devoted to time, leading to a shorter perceived duration.
These effects, robust across the 2 tasks, are limited to short intervals and
overall suggest that both activation and attentional processes modulate the
timing of emotional events.
PMID- 18039034
TI - Genomic and functional studies of Drosophila sex hierarchy regulated gene
expression in adult head and nervous system tissues.
AB - The Drosophila sex determination hierarchy controls all aspects of somatic sexual
differentiation, including sex-specific differences in adult morphology and
behavior. To gain insight into the molecular-genetic specification of
reproductive behaviors and physiology, we identified genes expressed in the adult
head and central nervous system that are regulated downstream of sex-specific
transcription factors encoded by doublesex (dsx) and fruitless (fru). We used a
microarray approach and identified 54 genes regulated downstream of dsx.
Furthermore, based on these expression studies we identified new modes of DSX
regulated gene expression. We also identified 90 and 26 genes regulated in the
adult head and central nervous system tissues, respectively, downstream of the
sex-specific transcription factors encoded by fru. In addition, we present
molecular-genetic analyses of two genes identified in our studies, calphotin
(cpn) and defective proboscis extension response (dpr), and begin to describe
their functional roles in male behaviors. We show that dpr and dpr-expressing
cells are required for the proper timing of male courtship behaviors.
PMID- 18039037
TI - Aging and goal-directed emotional attention: distraction reverses emotional
biases.
AB - Previous findings reveal that older adults favor positive over negative stimuli
in both memory and attention (for a review, see Mather & Carstensen, 2005). This
study used eye tracking to investigate the role of cognitive control in older
adults' selective visual attention. Younger and older adults viewed emotional
neutral and emotional-emotional pairs of faces and pictures while their gaze
patterns were recorded under full or divided attention conditions. Replicating
previous eye-tracking findings, older adults allocated less of their visual
attention to negative stimuli in negative-neutral stimulus pairings in the full
attention condition than younger adults did. However, as predicted by a cognitive
control-based account of the positivity effect in older adults' information
processing tendencies (Mather & Knight, 2005), older adults' tendency to avoid
negative stimuli was reversed in the divided attention condition. Compared with
younger adults, older adults' limited attentional resources were more likely to
be drawn to negative stimuli when they were distracted. These findings indicate
that emotional goals can have unintended consequences when cognitive control
mechanisms are not fully available.
PMID- 18039038
TI - Clearing the mind: a working memory model of distraction from negative mood.
AB - The present research examined whether and how loading working memory can
attenuate negative mood. In three experiments, participants were exposed to
neutral, weakly negative, or strongly negative pictures followed by a task and a
mood scale. Working memory demands were varied by manipulating task presence
(Study 1), complexity (Study 2), and predictability (Study 3). Participants in
all three experiments reported less negative moods in negative trials with high
compared to low working memory demand. Working memory demands did not affect mood
in the neutral trials. When working memory demands were high, participants no
longer reported more negative moods in response to strongly negative pictures
than to weakly negative pictures. These findings suggest that loading working
memory prevents mood-congruent processing, and thereby promotes distraction from
negative moods.
PMID- 18039039
TI - Processing of observed pupil size modulates perception of sadness and predicts
empathy.
AB - Facial autonomic responses may contribute to emotional communication and reveal
individual affective style. In this study, the authors examined how observed
pupillary size modulates processing of facial expression, extending the finding
that incidentally perceived pupils influence ratings of sadness but not those of
happy, angry, or neutral facial expressions. Healthy subjects rated the valence
and arousal of photographs depicting facial muscular expressions of sadness,
surprise, fear, and disgust. Pupil sizes within the stimuli were experimentally
manipulated. Subjects themselves were scored with an empathy questionnaire.
Diminishing pupil size linearly enhanced intensity and valence judgments of sad
expressions (but not fear, surprise, or disgust). At debriefing, subjects were
unaware of differences in pupil size across stimuli. These observations
complement an earlier study showing that pupil size directly influences
processing of sadness but not other basic emotional facial expressions.
Furthermore, across subjects, the degree to which pupil size influenced sadness
processing correlated with individual differences in empathy score. Together,
these data demonstrate a central role of sadness processing in empathetic emotion
and highlight the salience of implicit autonomic signals in affective
communication.
PMID- 18039040
TI - Facial dynamics as indicators of trustworthiness and cooperative behavior.
AB - Detecting cooperative partners in situations that have financial stakes is
crucial to successful social exchange. The authors tested whether humans are
sensitive to subtle facial dynamics of counterparts when deciding whether to
trust and cooperate. Participants played a 2-person trust game before which the
facial dynamics of the other player were manipulated using brief (<6 s) but
highly realistic facial animations. Results showed that facial dynamics
significantly influenced participants' (a) choice of with whom to play the game
and (b) decisions to cooperate. It was also found that inferences about the other
player's trustworthiness mediated these effects of facial dynamics on cooperative
behavior.
PMID- 18039042
TI - Judgments of emotion from spontaneous facial expressions of New Guineans.
AB - The claim that specific discrete emotions can be universally recognized from
human facial expressions is based mainly on the study of expressions that were
posed. The current study (N=50) examined recognition of emotion from 20
spontaneous expressions from Papua New Guinea photographed, coded, and labeled by
P. Ekman (1980). For the 16 faces with a single predicted label, endorsement of
that label ranged from 4.2% to 45.8% (mean 24.2%). For 4 faces with 2 predicted
labels (blends), endorsement of one or the other ranged from 6.3% to 66.6% (mean
38.8%). Of the 24 labels Ekman predicted, 11 were endorsed at an above-chance
level, and 13 were not. Spontaneous expressions do not achieve the level of
recognition achieved by posed expressions.
PMID- 18039043
TI - Functional magnetic resonance imaging responses relate to differences in real
world social experience.
AB - Although neuroimaging techniques have proven powerful in assessing neural
responses, little is known about whether scanner-based neural activity relates to
real-world psychological experience. A joint functional magnetic resonance
imaging (fMRI)/experience-sampling study investigated whether individual
differences in neurocognitive reactivity to scanner-based social rejection
related to: (a) moment-to-moment feelings of social rejection during real-world
social interactions ("momentary social distress") and (b) the extent to which
these momentary feelings corresponded with end-of-day global assessments of
social disconnection ("end-of-day social disconnection"). Individuals who showed
greater activity in regions associated with affective and pain processing (dorsal
anterior cingulate cortex, amygdala, periaqueductal gray) during scanner-based
social rejection reported feeling greater momentary social distress during their
daily social interactions. In contrast, individuals who showed greater activity
in regions associated with memory and self-referential memory encoding
(hippocampus, medial prefrontal cortex) showed a stronger correspondence between
momentary social distress and end-of-day social disconnection, such that greater
momentary social distress was associated with greater end-of-day social
disconnection. These findings complement previous work showing a dissociation
between momentary and retrospective reports of affect and suggest that these
processes rely on dissociable neural systems.
PMID- 18039045
TI - Under what conditions can human affective conditioning occur without contingency
awareness? Test of the evaluative conditioning paradigm.
AB - The role of conscious cognitive processes in human affective conditioning remains
controversial, with several theories arguing that such conditioning can occur
without awareness of the conditioned stimulus (CS)-unconditioned stimulus (UCS)
contingency. One specific type of affective conditioning in which unaware
conditioning is said to occur is "evaluative conditioning." The present
experiment tested the role of contingency awareness by embedding an evaluative
conditioning paradigm in a distracting masking task while obtaining, in addition
to subjective ratings of affect, both psychophysiological (skin conductance and
startle eyeblink) and indirect behavioral (affective priming) measures of
conditioning, along with a trial-by-trial measure of awareness from 55 college
student participants. Aware participants showed conditioning with all of the
measures; unaware participants failed to show conditioning with all measures. The
behavioral, neurophysiological, and therapeutic implications of these findings
are discussed.
PMID- 18039046
TI - Brain activation while forming memories of fearful and neutral faces in women and
men.
AB - Event-related functional MRI (fMRI) was used to assess brain activity during
encoding of fearful and neutral faces in 12 women and 12 men. In a subsequent
memory analysis, the authors separated successful from unsuccessful encoding of
both types of faces, based on whether they were remembered or forgotten in a
later recognition memory test. Overall, women and men recruited overlapping
neural circuitries. Both sexes activated right-sided medial-temporal regions
during successful encoding of fearful faces. Successful encoding of neutral faces
was associated with left-sided lateral prefrontal and right-sided superior
frontal activation in both sexes. In women, relatively greater encoding related
activity for neutral faces was seen in the superior parietal and parahippocampal
cortices. By contrast, men activated the left and right superior/middle frontal
cortex more than women during successful encoding of the same neutral faces.
These findings suggest that women and men use similar neural networks to encode
facial information, with only subtle sex differences observed for neutral faces.
PMID- 18039047
TI - Emotions over time: synchronicity and development of subjective, physiological,
and facial affective reactions to music.
AB - Most people are able to identify basic emotions expressed in music and experience
affective reactions to music. But does music generally induce emotion? Does it
elicit subjective feelings, physiological arousal, and motor reactions reliably
in different individuals? In this interdisciplinary study, measurement of skin
conductance, facial muscle activity, and self-monitoring were synchronized with
musical stimuli. A group of 38 participants listened to classical, rock, and pop
music and reported their feelings in a two-dimensional emotion space during
listening. The first entrance of a solo voice or choir and the beginning of new
sections were found to elicit interindividual changes in subjective feelings and
physiological arousal. Quincy Jones' "Bossa Nova" motivated movement and laughing
in more than half of the participants. Bodily reactions such as "goose bumps" and
"shivers" could be stimulated by the "Tuba Mirum" from Mozart's Requiem in 7 of
38 participants. In addition, the authors repeated the experiment seven times
with one participant to examine intraindividual stability of effects. This
exploratory combination of approaches throws a new light on the astonishing
complexity of affective music listening.
PMID- 18039048
TI - The prototypical pride expression: development of a nonverbal behavior coding
system.
AB - This research provides a systematic analysis of the nonverbal expression of
pride. Study 1 manipulated behavioral movements relevant to pride (e.g., expanded
posture and head tilt) to identify the most prototypical pride expression and
determine the specific components that are necessary and sufficient for reliable
recognition. Studies 2 and 3 tested whether the 2 conceptually and empirically
distinct facets of pride ("authentic" and "hubristic"; J. L. Tracy & R. W.
Robins, 2007a) are associated with distinct nonverbal expressions. Results showed
that neither the prototypical pride expression nor several recognizable variants
were differentially associated with either facet, suggesting that for the most
part, authentic and hubristic pride share the same signal. Together these studies
indicate that pride can be reliably assessed from nonverbal behaviors. In the
Appendix, the authors provide guidelines for a pride behavioral coding scheme,
akin to the Emotion Facial Action Coding System (EMFACS; P. Ekman & E. Rosenberg,
1997) for assessing "basic" emotions from observable nonverbal behaviors.
PMID- 18039049
TI - The influence of sad mood on cognition.
AB - Neuroimaging has identified an overlapping network of brain regions whose
activity is modulated by mood and cognition. Studies of depressed individuals
have shown changes in perception, attention, memory, and executive functions.
This suggests that mood has a pervasive effect on cognition. Direct evidence of
the effect of sad mood on cognition is surprisingly limited, however. Published
studies have generally addressed a single cognitive ability per study because the
fleeting nature of laboratory-induced mood precludes extended testing, and robust
findings are limited to mood effects on memory for emotional stimuli. In this
study, sad mood was induced and prolonged, enabling the effects of mood to be
assessed for an array of abilities, including those that share neural substrates
with sad mood and those affected by depression. Sad mood affected memory for
emotional words and facial emotion recognition, but not the other processes
measured, with a significant nonuniformity of effect over tasks. These results
are consistent with circumscribed effects of sad mood on certain emotion-related
cognitive processes, but not on cognition more generally.
PMID- 18039051
TI - "Just stop thinking about it": effects of emotional disengagement on children's
memory for educational material.
AB - Children regulate negative emotions in a variety of ways. Emotion education
programs typically discourage emotional disengagement and encourage emotional
engagement or "working through" negative emotions. The authors examined the
effects of emotional disengagement and engagement on children's memory for
educational material. Children averaging 7 or 10 years of age (N=200) watched
either a sad or an emotionally neutral film and were then instructed to
emotionally disengage, instructed to engage in problem solving concerning their
emotion, or received no emotion regulation instructions. All children then
watched and were asked to recall the details of an emotionally neutral
educational film. Children instructed to disengage remembered the educational
film better than children instructed to work through their feelings or children
who received no emotion regulation instructions. Although past research has
indicated that specific forms of emotional disengagement can impair memory for
emotionally relevant events, the current findings suggest that disengagement is a
useful short-term strategy for regulating mild negative emotion in educational
settings.
PMID- 18039052
TI - Context matters: the benefits and costs of expressing positive emotion among
survivors of childhood sexual abuse.
AB - Positive emotions promote adjustment to aversive life events. However,
evolutionary theory and empirical research on trauma disclosure suggest that in
the context of stigmatized events, expressing positive emotions might incur
social costs. To test this thesis, the authors coded genuine (Duchenne) smiling
and laughter and also non-Duchenne smiling from videotapes of late-adolescent and
young adult women, approximately half with documented histories of childhood
sexual abuse (CSA), as they described the most distressing event of their lives.
Consistent with previous studies, genuine positive emotional expression was
generally associated with better social adjustment two years later. However, as
anticipated, CSA survivors who expressed positive emotion in the context of
describing a past CSA experience had poorer long-term social adjustment, whereas
CSA survivors who expressed positive emotion while describing a nonabuse
experience had improved social adjustment. These findings suggest that the
benefits of positive emotional expression may often be context specific.
PMID- 18039053
TI - Physical abuse amplifies attention to threat and increases anxiety in children.
AB - Two experiments using event-related potentials (ERPs) examined the extent to
which early traumatic experiences affect children's ability to regulate voluntary
and involuntary attention to threat. The authors presented physically abused and
nonabused comparison children with conflicting auditory and visual emotion cues,
posed by children's mothers or a stranger, to examine the effect of emotion,
modality, and poser familiarity on attention regulation. Relative to controls,
abused children overattended to task-relevant visual and auditory anger cues.
They also attended more to task-irrelevant auditory anger cues. Furthermore, the
degree of attention allocated to threat statistically mediated the relationship
between physical abuse and child-reported anxiety. These findings indicate that
extreme emotional experiences may promote vulnerability for anxiety by
influencing the development of attention regulation abilities.
PMID- 18039054
TI - Anger, disgust, and presumption of harm as reactions to taboo-breaking behaviors.
AB - Three experiments investigated the relationship between the presumption of harm
in harmfree violations of creatural norms (taboos) and the moral emotions of
anger and disgust. In Experiment 1, participants made a presumption of harm to
others from taboo violations, even in conditions described as harmless and not
involving other people; this presumption was predicted by anger and not disgust.
Experiment 2 manipulated taboo violation and included a cognitive load task to
clarify the post hoc nature of presumption of harm. Experiment 3 was similar but
more accurately measured presumed harm. In Experiments 2 and 3, only without load
was symbolic harm presumed, indicating its post hoc function to justify moral
anger, which was not affected by load. In general, manipulations of harmfulness
to others predicted moral anger better than moral disgust, whereas manipulations
of taboo predicted disgust better. The presumption of harm was found on measures
of symbolic rather than actual harm when a choice existed. These studies clarify
understanding of the relationship between emotions and their justification when
people consider victimless, offensive acts.
PMID- 18039055
TI - A bumpy train ride: a field experiment on insult, honor, and emotional reactions.
AB - The present research examined the relationship between adherence to honor norms
and emotional reactions after an insult. Participants were 42 Dutch male train
travelers, half of whom were insulted by a confederate who bumped into the
participant and made a degrading remark. Compared with insulted participants with
a weak adherence to honor norms, insulted participants with a strong adherence to
honor norms were (a) more angry, (b) less joyful, (c) less fearful, and (d) less
resigned. Moreover, insulted participants with a strong adherence to honor norms
perceived more anger in subsequent stimuli than not-insulted participants with a
strong adherence to these norms. The present findings support a direct
relationship among insult, adherence to honor norms, and emotional reactions.
PMID- 18039057
TI - Incidental sadness biases social economic decisions in the Ultimatum Game.
AB - Recent dual-process models of decision making have suggested that emotion plays
an important role in decision making; however, the impact of incidental moods
(i.e., emotions unrelated to the immediate situation) on decisions remains poorly
explored. This question was investigated by inducing 2 basic emotional states
(amusement or sadness) that were compared with a neutral-emotion control group.
Decision making was assessed with a well-studied social task, the Ultimatum Game.
In this task, participants had to make decisions to either accept or reject
monetary offers from other players, offers that varied in their degree of
unfairness. Emotion was induced with short movie clips. Induced sadness
interacted with offer fairness, with higher sadness resulting in lower acceptance
rates of unfair offers. Induced amusement was not associated with any significant
biases in decision making. These results demonstrate that even subtle incidental
moods can play an important role in biasing decision making. Implications of
these results in regards to the emotion, cognitive neuroscience, and clinical
literatures are discussed.
PMID- 18039058
TI - Fearful expressions gain preferential access to awareness during continuous flash
suppression.
AB - Rapid evaluation of ecologically relevant stimuli may lead to their preferential
access to awareness. Continuous flash suppression allows assessment of affective
processing under conditions in which stimuli have been rendered invisible due to
the strongly suppressive nature of dynamic noise relative to static images. The
authors investigated whether fearful expressions emerge from suppression into
awareness more quickly than images of neutral or happy expressions. Fearful faces
were consistently detected faster than neutral or happy faces. Responses to
inverted faces were slower than those to upright faces but showed the same effect
of emotional expression, suggesting that some key feature or features in the
inverted faces remained salient. When using stimuli solely representing the eyes,
a similar bias for detecting fear emerged, implicating the importance of
information from the eyes in the preconscious processing of fear expressions.
PMID- 18039060
TI - Stress, menopause and vulnerability for psychiatric illness.
PMID- 18039061
TI - Treatment of vasomotor symptoms in the menopausal transition and
postmenopausally: psychiatric comorbidity.
AB - This article aims to educate the nonpsychiatric as well as the psychiatric
clinician on the impact of vasomotor symptoms in women with comorbid psychiatric
problems and the challenges of treating vasomotor symptoms in these women. The
pathophysiology, prevalence and common risk factors associated with disturbing
hot flashes in the menopausal transition are reviewed. Hormonal, nonhormonal and
behavioral treatment options of vasomotor symptoms for these women are discussed.
Special pharmacokinetic implications for hormonal treatment of those women on
anticonvulsant medications for the treatment of their mood disorders, on
tamoxifen and/or with high or low sex hormone-binding globulin are examined. An
in-depth discussion of mood and the menopausal transition, theoretical mechanisms
for mood problems with the symptomatic menopause and the impact of stress on the
symptomatic menopause are found elsewhere in this clinical review series on
psychiatric illness, stress and the symptomatic menopause.
PMID- 18039062
TI - Assessment and treatment for insomnia and fatigue in the symptomatic menopausal
woman with psychiatric comorbidity.
AB - Studies and treatments for the symptomatic menopausal woman with sleep complaints
have been reviewed elsewhere. This article, as part of the clinical review series
on the comorbid symptomatic menopausal woman, aims to examine the evidence for
diagnosis and treatment of women who present with distressing sleep symptoms that
they attribute to menopause. The etiology of these symptoms may be a psychiatric
disorder, a pre- or co-existing problem with sleep, or a dynamic interaction
among one of these and/or a symptomatic menopause. The relationship between sleep
disturbance and cognitive complaints, mood problems, fatigue and low energy will
be reviewed. The new research on sleep, clinical consequences of insomnia of
various types, the impact of sleep disturbance on morbidity and functioning--in
the context of the midlife woman in the menopausal transition--will be explored
along with the evidence for different treatment strategies for these sleep
problems.
PMID- 18039063
TI - Arthralgias, bodily aches and pains and somatic complaints in midlife women:
etiology, pathophysiology and differential diagnosis.
AB - Somatic symptoms characterized by arthralgias, bodily aches and pains,
musculoskeletal pain and joint pain have been investigated in a number of
menopause and depression studies. Although depression is one of the most common
causes of bodily aches and pains, and arthralgias, these same symptoms are also
commonly associated with a natural menopause, surgical menopause and menopause
induced by chemotherapy in breast cancer treatment. Somatic symptoms in the
absence of definitive medical diagnoses result in these patients receiving
various diagnoses and labels--'medically unexplained symptoms', 'worried well',
as well as various Diagnostic and Statistical Manual of Mental Disorders (4th
edition) somatoform diagnoses. Osteoarthritis and joint pain increase in
prevalence from premenopausal- to menopausal-aged women with hormonal change
implicated in their etiology. The current research on the relationships among
menopause, depression, nociceptive mechanisms, perception and pain in the
distressed midlife patient is discussed. The amelioration and management of pain
symptoms in the menopausal and postmenopausal woman, with or without comorbid
depression, have been elusive and difficult problems for clinicians. Familiarity
with the differential diagnosis, pathophysiology and evidence-based treatment for
such patients is crucial to their proper care.
PMID- 18039064
TI - Role of psychiatric comorbidity on cognitive function during and after the
menopausal transition.
AB - While cognitive complaints are common during the menopausal transition,
measurable cognitive decline occurs infrequently, often due to underlying
psychiatric or neurological disease. To clarify the nature, etiology and evidence
for cognitive and memory complaints during midlife, at the time of the menopausal
transition, we have critically reviewed the evidence for impairments in memory
and cognition associated with common comorbid psychiatric conditions, focusing on
mood and anxiety disorders, attention-deficit disorder, prolonged stress and
decreased quantity or quality of sleep. Both the evidence for a primary effect of
menopause on cognitive function and contrarily the effect of cognition on the
menopausal transition are examined. Impairment in specific aspects of executive
function is explored. Evaluation and treatment strategies for the symptomatic
menopausal woman distressed by changes in her day-to-day cognitive function with
or without psychiatric comorbidity are presented.
PMID- 18039065
TI - Impact of clinician and patient attitudes on clinical decision making for the
symptomatic menopausal woman with or without comorbidity.
AB - Culture, individual health beliefs and distressing symptoms frequently determine
women's perceptions of their menopausal transitions. Women's perceptions of
mental health problems and the acceptability of different interventions greatly
affect if and what a woman is willing to try as a treatment option and whether or
not she will accept the possibility that her menopausal symptoms represent a
comorbidity with a diagnosis, such as depression or anxiety. These perceptions
have a significant impact on women's choices with regard to health practices, as
well as on whether or not they will seek out medical care for their distressing
symptom(s). Working with a woman's beliefs, sharing decision making, and
empowering her through health education are all critical aspects of the treatment
of the patient with comorbid perimenopausal symptoms, regardless of their
etiology.
PMID- 18039066
TI - Series overview and introduction.
AB - Studies and treatments for the symptomatic menopausal woman have been reviewed
elsewhere. The aim of this clinical review series is to examine the evidence for
the diagnosis and treatment of the woman who presents with distressing symptoms
that she attributes to menopause, whose actual etiology may be a psychiatric
disorder, a pre- or co-existing problem such as sleep or cognitive problems, or a
dynamic interaction among one of these and a symptomatic menopause. This series
of articles will review new research on somatic symptoms of depression, the
depression continuum and its impact on morbidity and functioning, treatment
issues related to remission of depression, cognitive decline or impairment
secondary to a mood disorder, sleep problems in women and their impact on well
being and functioning, and attention and working memory problems in women. These
will all be reviewed in the context of the vulnerable female patient and her
experience of increased or new distressing symptoms during her menopausal
transition. Recommendations for the diagnosis and management of women with
psychiatric comorbidity and a symptomatic menopause are discussed.
PMID- 18039067
TI - Nomenclature and endocrinology of menopause and perimenopause.
AB - The early and late perimenopausal transition is characterized by changing cycle
length as well as by menopausal symptoms in some women, including increasing hot
flashes and night sweats. Breast tenderness decreases as women enter the late
transition. This review, as part of the clinical reviews on the menopausal woman
with comorbidity, covers the endocrine phenomena of perimenopause, terminology
and the observed clinical characteristics of the transition. It should be noted
that the definitions covering this period vary between publications. The average
duration of perimenopause is approximately 5A years. The earliest detectable
hormonal change is a fall in ovarian secretion of inhibinA B, with a subsequent
rise in follicle-stimulating hormone and maintained or increased levels of
estradiol. As women transit the perimenopause, cycle irregularity increases, with
the more frequent occurrence of prolonged ovulatory and anovulatory cycles.
Levels of follicle-stimulating hormone and estradiol fluctuate increasingly and
luteal function declines. Vasomotor symptoms tend to be most frequent around the
time of final menses. The perimenopause is thus a time of cycle and hormone
variability and single hormone measurements provide little useful information,
with the clinical history being the most appropriate method of assessing
menopausal status. This information will be very helpful to the clinician
treating the concerned and symptomatic patient. It will also aid clinicians to
avoid unnecessary laboratory testing and help them educate their patients about
their perimenopause.
PMID- 18039068
TI - Women, anxiety and mood: a review of nomenclature, comorbidity and epidemiology.
AB - Women experience a high prevalence of mood and anxiety disorders, and comorbidity
of mood and anxiety disorders is highly prevalent. Both mood and anxiety
disorders disturb sleep, attention and, thereby, cognitive function. They result
in a variety of somatic complaints. The mood disorder continuum includes minor
depression, dysthymia, major depression and bipolar disorder. Chronobiological
disorders, such as seasonal affective disorder as well as premenstrual dysphoric
disorder, occur in some women, with comorbid seasonal affective disorder and
premenstrual dysphoric disorder in just under half of these individuals [1] .
Early life experience, heritability, gender, other psychiatric illness, stress
and trauma all interact dynamically in the development of mood and anxiety
disorders. The epidemiology, nomenclature and clinical diagnostic issues of these
illnesses in midlife woman are reviewed.
PMID- 18039070
TI - The menopause transition: the next neuroendocrine frontier.
PMID- 18039069
TI - Collaborative depression care, screening, diagnosis and specificity of depression
treatments in the primary care setting.
AB - The identification, referral and specific treatment of midlife patients in
primary care who are distressed by mood, anxiety, sleep and stress-related
symptoms, with or without clinically confirmed menopausal symptoms, are
confounded by many structural issues in the delivery of women's healthcare.
Diagnosis, care delivery, affordability of treatment, time commitment for
treatment, treatment specificity for a particular patient's symptoms and patient
receptiveness to diagnosis and treatment all play roles in the successful
amelioration of symptoms in this patient population. The value of screening for
depression in primary care, the limitations of commonly used screening
instruments relative to culture and ethnicity, and which clinical care systems
make best use of diagnostic screening programs will be discussed in the context
of the midlife woman. The Sequenced Treatment Alternatives to Relieve Depression
(STAR*D) program illustrates the relatively high rate of unremitted patients,
regardless of clinical setting, who are receiving antidepressants. Nonmedication
treatment approaches, referred to in the literature as 'nonsomatic treatments',
for depression, anxiety and stress, include different forms of cognitive
behavioral therapy, interpersonal therapy, structured daily activities,
mindfulness therapies, relaxation treatment protocols and exercise. The
specificity of these treatments, their mechanisms of action, the motivation and
time commitment required of patients, and the availability of trained
practitioners to deliver them are reviewed. Midlife women with menopausal
symptoms and depression/anxiety comorbidity represent a challenging patient
population for whom an individualized treatment plan is often necessary.
Treatment for depression comorbid with distressing menopausal symptoms would be
facilitated by the implementation of a collaborative care program for depression
in the primary care setting.
PMID- 18039071
TI - Neurobehavioral impact of menopause on mood.
AB - The menopausal transition is a time of risk for mood change ranging from distress
to minor depression to major depressive disorder in a vulnerable subpopulation of
women in the menopausal transition. Somatic symptoms have been implicated as a
risk factor for mood problems, although these mood problems have also been shown
to occur independently of somatic symptoms. Mood problems have been found to
increase in those with a history of mood continuum disorders, but can also occur
de novo as a consequence of the transition. Stress has been implicated in the
etiology and the exacerbation of these mood problems. Estrogen and add-back
testosterone have both been shown to positively affect mood and well-being. In
most cases, the period of vulnerability to mood problems subsides when the
woman's hormonal levels stabilize and she enters full menopause.
PMID- 18039072
TI - Role of stressful life events and menopausal stage in wellbeing and health.
AB - Stress plays an essential role in the development, continuation and exacerbation
of mood problems throughout a woman's life. It exacerbates somatic symptoms of
menopause, increasing the risk of recurrence of mood disorders, as well as of a
mood disorder de novo throughout the lifespan and specifically in the menopausal
transition. Chronic stress affects the hypothalamic-pituitary axis, hypothalamic
pituitary-ovarian axis, the proinflammatory cytokines and cardiovascular risk.
The current evidence for the potential interactions between acute stress, chronic
stress, childhood stress and victimization, and individual susceptibility to the
development of depression and/or anxiety in response to stressful life events,
are reviewed in the context of the increasing data on the association of these
and a symptomatic menopausal transition. Strategies for the optimal approach for
clinicians to evaluate and treat the symptomatic perimenopausal patient with
stressful life events and comorbid mood disorders are presented.
PMID- 18039073
TI - Pharmacokinetic/pharmacodynamic indices: are we ready to use them in daily
practice?
PMID- 18039074
TI - NIH doubles funding for San Francisco AIDS research centers.
PMID- 18039075
TI - Canada and the USA: Escherichia coli O157:H7.
PMID- 18039076
TI - Pafuramidine for Pneumocystis jiroveci pneumonia in HIV-infected individuals.
AB - Pneumocystis jiroveci pneumonia remains one of the major worldwide contributors
to the morbidity and mortality of those with HIV infection. The mainstay of
therapy for treatment is trimethoprim-sulfamethoxazole (TMP-SMX); however TMP-SMX
may be associated with significant side effects and intolerability. In addition,
TMP-SMX has a moderate pill burden with three- to four-times daily dosing
schedule. Patients unable to tolerate TMP-SMX are confronted with either
parenteral therapy or other oral agents that may be less efficacious or are
associated with potential serious adverse reactions. Pafuramidine (DB289) is an
orally bioavailable prodrug of furamidine (DB75), an investigational diamidine
that is less toxic than previous diamidines such as pentamidine. To date, human
trials suggest that pafuramidine is well tolerated overall and has clinical
activity against Pneumocystis pneumonia. In this article, we review the available
data for the use of pafuramidine in Pneumocystis pneumonia.
PMID- 18039077
TI - Standard dosing regimen of liposomal amphotericin B is as effective as a high
loading dose for patients with invasive aspergillosis: AmBiLoad trial.
AB - Invasive mold infections continue to account for significant morbidity and
mortality in immunocompromised patients; outcomes are dependent on both
underlying host factors and appropriate therapy. The antifungal armamentarium has
gradually increased during the past, with liposomal amphotericin B (L-AMB) being
an important representative. Still, the question of what dose to use - a maximum
tolerated or a minimum effective - has yet to be answered. On this basis, a
randomized trial comparing a high-loading dose regimen with a standard dosing of
L-AMB (AmBiLoad trial) for primary therapy of mold infections was initiated. No
significant differences in response between the treatment groups were detected,
although recipients of the 10-mg/kg daily dose experienced higher rates of
nephrotoxicity and hypokalemia. Uncontrolled malignancy and allogeneic stem cell
transplantation were significantly associated with poor survival. This article
analyzes the study, discusses the rationale and the results and concludes that
this study supports the routine application of L-AMB.
PMID- 18039078
TI - Community-acquired pneumonia: is it time to shorten the antibiotic treatment?
PMID- 18039079
TI - Cephalosporins in overcoming beta-lactamase-producing bacteria and preservation
of the interfering bacteria in the treatment of otitis, sinusitis and
tonsillitis.
AB - The treatment of upper respiratory tract infections (URTIs) is complicated by the
resurgence of beta-lactamase-producing bacteria (BLPB) and the absence of
interfering bacteria. BLPB can have a direct pathogenic impact in causing the
infection as well as an indirect impact through their ability to produce the
enzyme beta-lactamase. BLPB may not only survive penicillin therapy but can also
protect other penicillin-susceptible bacteria from penicillin. In this review,
the clinical in vitro and in vivo evidence supporting the role of these organisms
in the increased failure rate of penicillin in eradication of otitis, sinusitis
and pharyngo-tonsillitis is outlined and the implication of that increased rate
on the management of infections is discussed. Bacteria with interference
capability of potential respiratory pathogens can prevent colonization and
subsequent invasion by these organisms. These include alpha-hemolytic
streptococci, nonhemolytic streptococci and Prevotella and Peptostreptococcus
spp. Treatment with antimicrobials can affect the balance between the interfering
organisms and potential pathogens. The role of bacterial interference in URTIs
and its effect on their treatment is discussed. The use of some of the
cephalosporins that are able to overcome the effect of BLPB and preserve the
beneficial interfering bacteria can overcome and modulate these phenomena and
achieve better cure of URTIs.
PMID- 18039080
TI - Alternative mechanisms of action of cationic antimicrobial peptides on bacteria.
AB - Cationic antimicrobial peptides are a novel type of antibiotic offering much
potential in the treatment of microbial-related diseases. They offer many
advantages for commercial development, including a broad spectrum of action and
modest size. However, despite the identification or synthetic production of
thousands of such peptides, the mode of action remains elusive, except for a few
examples. While the dogma for the mechanism of action of antimicrobial peptides
against bacteria is believed to be through pore formation or membrane barrier
disruption, some peptides clearly act differently and other intracellular target
sites have been identified. This article presents an updated review of how
cationic antimicrobial peptides are able to affect bacterial killing, with a
focus on internal targets.
PMID- 18039081
TI - Update on prevalence and treatment of methicillin-resistant Staphylococcus aureus
infections.
AB - The prevalence of methicillin-resistant Staphylococcus aureus (MRSA) is
characterized by variations (sometimes extreme) by country and geographic region.
The conventional association of MRSA with healthcare settings has been upset by
the emergence of community-associated MRSA infections in many areas. With this
surge in MRSA comes a renewed interest in alternative agents to vancomycin for
treatment of MRSA infections, including older drugs, such as clindamycin,
doxycycline and trimethoprim- sulfamethoxazole. Newer agents, such as linezolid
and daptomycin, are aiming to improve on the poor cure rates found with
vancomycin in serious MRSA infections, but definitive studies showing superiority
of these drugs are not yet available. Finally, the drug-development pipeline
contains a number of agents for the treatment of MRSA infections, including
enhanced glycopeptides (dalbavancin, oritavancin and telavancin) and anti-MRSA
cephalosporins (ceftobiprole). As MRSA becomes the 'new normal' in many areas,
clinicians will have to sort out the proper role of a dozen or more anti-MRSA
drugs.
PMID- 18039082
TI - Treatment of neurobrucellosis: what is known and what remains to be answered.
AB - Neurological involvement is one of the most perplexing and diverse presentation
complications of brucellosis, a worldwide prevalent zoonosis. This review
presents the current knowledge available from medical literature and discusses
the shortcomings of the existing data. A proposed regimen should include
doxycycline and rifampicin and, with the benefit of doubt, ceftriaxone, since its
high concentration in the cerebrospinal fluid may offer significant efficacy
against the pathogen. Corticosteroids have been used in specialized situations.
The use of streptomycin is discouraged owing to its questionable ability to
penetrate into the cerebrospinal fluid and its potential neurotoxicity that may
perplex the clinical presentation. Treatment duration should be individualized in
the absence of an adequate cut-off index.
PMID- 18039083
TI - Treatment of anaerobic infection.
AB - Anaerobic bacteria are the predominant flora in the normal human skin and mucous
membranes and are, therefore, a common cause of endogenous infections. Since
anaerobic infections are generally polymicrobial, where anaerobes are mixed with
aerobic organisms, therapy should provide coverage of both types of pathogens.
The isolation of anaerobes requires appropriate methods of collection,
transportation and cultivation of specimens. The lack of use of any of these
methods can lead to inadequate recovery of anaerobes and inappropriate therapy.
Treatment of anaerobic infection is complicated by the slow growth of these
organisms and the growing resistance of anaerobic bacteria to antimicrobials. The
primary role of antimicrobials is to limit the local and systemic spread of
infection. Surgical drainage is of primary importance. This includes debriding of
necrotic tissue, draining the pus, improving circulation, alleviating obstruction
and increasing tissue oxygenation. The most effective antimicrobials against
anaerobic organisms are metronidazole, the carbapenems (imipenem, meropenem and
ertapenem), chloramphenicol, the combinations of a penicillin and a beta
lactamase inhibitor (ampicillin or ticarcillin plus clavulanate, amoxicillin plus
sulbactam, and piperacillin plus tazobactam), tigecycline and clindamycin.
PMID- 18039084
TI - Controlling pathogenic inflammation to fungi.
AB - The balance between pro- and anti-inflammatory signaling is a prerequisite for
successful host-fungal interactions. Although inflammation is an essential
component of the protective response to fungi, its dysregulation may
significantly worsen fungal diseases and limit protective, antifungal immune
responses. The newly described Th17 developmental pathway may play an
inflammatory role previously attributed to uncontrolled Th1 cell responses. The
capacity of regulatory T cells to inhibit aspects of innate and adaptive
antifungal immunity, including functional Th17 antagonism, is required for
protective tolerance to fungi. Indoleamine 2,3-dioxygenase and tryptophan
catabolites contribute to such a homeostatic condition by providing the host with
immune defense mechanisms adequate for protection, without necessarily
eliminating fungal pathogens - which would impair immune memory - or causing an
unacceptable level of tissue damage. These new findings provide a molecular
connection between the failure to resolve inflammation and lack of antifungal
immune resistance, and point to strategies for immune therapy of fungal
infections that attempt to limit inflammation in order to stimulate an effective
immune response.
PMID- 18039085
TI - Treatment of cryptococcosis in the setting of HIV coinfection.
AB - The HIV pandemic has been associated with a rise in the prevalence of primary and
recurrent cryptococcosis. Evidence-based treatment algorithms exist for the use
of antifungal drugs and maintaining normal intracranial pressure in HIV-infected
hosts with cryptococcal meningitis. Further investigation is needed for the
treatment of cases with refractory infections and cryptococcosis-related immune
reconstitution syndrome, along with the optimal use of adjuvant therapies.
Primary and secondary prevention strategies remain at the crux of global control
strategies for cryptococcal disease.
PMID- 18039086
TI - Antimicrobial prevention and therapy for travelers' infection.
AB - International journeys are increasing and more than 70 million people from
industrialized countries cross the borders of tropical countries every year. More
than 50% of them will suffer from some form of infectious illness, ranging from
mild travelers' diarrhea to severe dengue fever to fatal malaria, with a wide
spectrum of microbiological entities. Travel-related respiratory infections,
including TB, and sexually transmitted infections are also increasingly reported.
Awareness of travel-related risk is not always adequate among international
travelers. Specific training on travel medicine-related issues, as well as better
diagnostic facilities for imported diseases, is crucial for physicians and nurses
in industrialized countries.
PMID- 18039087
TI - Host-microbe interaction: mechanisms of defensin deficiency in Crohn's disease.
AB - Defensins are endogenous antibiotics with microbicidal activity against Gram
negative and -positive bacteria, fungi, enveloped viruses and protozoa. A
disturbed antimicrobial defense, as provided by Paneth and other epithelial cell
defensins, seems to be a critical factor in the pathogenesis of Crohn's disease,
an inflammatory disease of the intestinal tract. Different direct and indirect
mechanisms leading to a breakdown of antimicrobial barrier function include
direct changes in defensin gene numbers (e.g., copy number polymorphism), genetic
mutations in pattern-recognition receptors (e.g., nucleotide-binding
oligomerization domain 2) and, as described recently, a differentiation problem
of epithelial stem cells mediated by the wingless type (Wnt) pathway. Knowledge
regarding the regulation and biology of defensins provides an attractive target
to open up new therapeutic avenues.
PMID- 18039088
TI - Acne vulgaris: lasers, light sources and photodynamic therapy--an update 2007.
AB - Inflammatory acne vulgaris remains one of the most common dermatologic disorders
seen in clinical practice. Medical therapy remains the gold standard for therapy
but recent advances have shown that a variety of lasers and light sources may be
useful in the treatment of inflammatory acne vulgaris. In addition, the use of
20% 5-aminolevulinic acid has found a useful niche in the treatment of moderate
to-severe inflammatory acne vulgaris.
PMID- 18039091
TI - Adventures in hepatocarcinogenesis.
AB - Neoplasia is a heritably altered, relatively autonomous growth of tissue.
Hepatocarcinogenesis, the pathogenesis of neoplasia in liver, as modeled in the
rat exhibits three distinct, quantifiable stages: initiation, promotion, and
progression. Simple mutations and/or epigenetic alterations may result in the
irreversible stage of initiation. The stage of promotion results from selective
enhancement of cell replication and selective inhibition of cellular apoptosis of
initiated cells dependent on the genetic and/or epigenetic alterations of the
latter. The irreversible stage of progression results from initial karyotypic
alterations that evolve into greater degrees of genomic instability. The initial
genomic alteration in the transition from promotion to progression may involve
primarily epigenetic mechanisms driven by epigenetic and genetic alterations
fixed during the stage of promotion.
PMID- 18039092
TI - Endocrine functions of adipose tissue.
AB - Obesity is a risk factor for type 2 diabetes, dyslipidemia, and cardiovascular
disease. Dissection of the molecular mechanisms underlying obesity and its
relationship to insulin resistance and the metabolic syndrome are essential for
developing new strategies for prevention and treatment of these disorders. Both
excess adipose tissue and lack of adipose tissue cause insulin resistance and
dyslipidemia, suggesting that normal fat is required for the maintenance of
systemic glucose and lipid homeostasis. Recent advances in obesity research have
led to the recognition that adipose tissue is an active endocrine organ that
secretes multiple bioactive factors termed adipokines. Secretion of adipokines
provides a link between adipose tissue lipid accumulation and the metabolic
function of other tissues such as liver and muscle. Dysregulation of adipokines
is emerging as an important mechanism by which adipose tissue contributes to
systemic insulin resistance and metabolic disease.
PMID- 18039093
TI - Endometrial carcinoma.
AB - Endometrial carcinoma, a common malignancy of the female genital tract, is
composed of a number of tumor types with different light-microscopic features,
molecular genetic alterations, and prognoses. In addition, hormonal influences
significantly impact growth regulatory pathways and interact with genetic
alterations in the pathogenesis of at least some types of endometrial carcinoma.
These factors have complicated the analyses of endometrial carcinoma, but over
the past decades, awareness of the different types of endometrial carcinoma, in
addition to careful clinicopathological studies, molecular analyses, and animal
studies of the biological underpinnings of the different tumor types, has
increased. We present the current understanding of endometrial carcinoma, from a
molecular vantage point, highlighting what are presently thought to be the
fundamental pathways involved in the development and progression of the major
types of endometrial carcinoma.
PMID- 18039094
TI - Muscle diseases: the muscular dystrophies.
AB - Dystrophic muscle disease can occur at any age. Early- or childhood-onset
muscular dystrophies may be associated with profound loss of muscle function,
affecting ambulation, posture, and cardiac and respiratory function. Late-onset
muscular dystrophies or myopathies may be mild and associated with slight
weakness and an inability to increase muscle mass. The phenotype of muscular
dystrophy is an endpoint that arises from a diverse set of genetic pathways.
Genes associated with muscular dystrophies encode proteins of the plasma membrane
and extracellular matrix, and the sarcomere and Z band, as well as nuclear
membrane components. Because muscle has such distinctive structural and
regenerative properties, many of the genes implicated in these disorders target
pathways unique to muscle or more highly expressed in muscle. This chapter
reviews the basic structural properties of muscle and genetic mechanisms that
lead to myopathy and muscular dystrophies that affect all age groups.
PMID- 18039095
TI - Pathobiology of neutrophil transepithelial migration: implications in mediating
epithelial injury.
AB - Neutrophil (also known as polymorphonuclear leukocyte, or PMN) transepithelial
migration and accumulation at mucosal surfaces is a hallmark of many inflammatory
conditions. This process correlates directly with clinical disease activity and
epithelial injury. Currently, the mechanisms that define PMN epithelial
interactions during an inflammatory response are not completely understood. This
review provides an overview of the consequences of PMN infiltration into
epithelial tissues and highlights molecular details of PMN epithelial
interactions during transmigration. A better understanding of this process will
likely provide new insights into developing organ-specific treatment strategies
to reduce the deleterious consequences of epithelial inflammation.
PMID- 18039096
TI - Von Hippel-Lindau disease.
AB - von Hippel-Lindau disease, which is characterized by an increased risk of
hemangioblastomas, clear cell renal carcinomas, and pheochromocytomas, is caused
by inactivating mutations of the VHL tumor suppressor gene. The VHL gene product,
pVHL, has multiple functions, but the best documented, and the one most clearly
linked to tumor development, relates to its role as the substrate recognition
module of a ubiquitin ligase complex that targets hypoxia-inducible factor (HIF)
for destruction. pVHL function is often compromised in sporadic kidney cancers,
and inhibitors of the HIF-responsive growth factor (vascular endothelial growth
factor) are active against this disease. pVHL, by inhibiting atypical protein
kinase C and hence JunB, also affects neuronal survival, as do the products of
the other genes linked to familial pheochromocytoma or paraganglioma (NF1, RET,
SDHB, SDHC, and SDHD). It is hypothesized that tumor-associated alleles of these
genes allow primitive sympathoadrenal precursors to escape developmental culling,
and that such cells are at increased risk of forming tumors.
PMID- 18039097
TI - Cancer stem cells: at the headwaters of tumor development.
AB - According to the cancer stem cell hypothesis, only a subpopulation of cells
within a cancer has the capacity to sustain tumor growth. This subpopulation of
cells is made up of cancer stem cells, which are defined simply as the population
of cells within a tumor that can self-renew, differentiate, and regenerate a
phenocopy of the cancer when injected in vivo. Cancer stem cells have now been
prospectively isolated from human cancers of the blood, breast, and brain, and
putative cancer stem cells have been identified from human skin, bone, and
prostate tumors and from multiple established mammalian cancer cell lines.
Furthermore, researchers are actively seeking cancer stem cells in every human
cancer type. We present the current scientific evidence supporting the cancer
stem cell hypothesis and discuss the experimental and therapeutic implications of
the discovery of human cancer stem cells.
PMID- 18039098
TI - Neurofibromatosis.
AB - As familial cancer syndromes, the neurofibromatoses exhibit complex phenotypes,
comprising a range of tumor and nontumor manifestations. Although the three
recognized forms of neurofibromatosis (NF1, NF2, and schwannomatosis) all feature
the development of nervous system tumors, their underlying genetic bases are
clearly distinct. The most prominent common feature of all three is the
appearance of Schwann cell-initiated tumorigenesis of the peripheral nervous
system. Recent progress in delineating the molecular function of the NF1- and NF2
encoded proteins, together with the development and use of manipulable mouse
models, has led to important advances in understanding the pathogenesis of many
features of neurofibromatosis. An important outcome of the study of
neurofibromatosis-associated tumorigenesis has been insight into the more general
molecular and cellular bases of nervous system tumors.
PMID- 18039099
TI - Malaria: mechanisms of erythrocytic infection and pathological correlates of
severe disease.
AB - Malaria is an ancient disease that continues to cause enormous human morbidity
and mortality. The life cycle of the causative parasite involves multiple tissues
in two distinct host organisms, mosquitoes and humans. However, all the clinical
symptoms of malaria are a consequence of infection of human erythrocytes. An
understanding of the basic mechanisms that govern parasite invasion, remodeling,
growth, and reinvasion of erythrocytes and the complex events leading to tissue
pathology may yield new diagnostics and treatments for malaria. This approach is
revealing a more complete picture of the most serious syndrome associated with
this infection-cerebral malaria. We focus on the most recent understanding of the
molecular basis of infection, summarize our finding from an ongoing pediatric
cerebral malaria autopsy study in Malawi, and integrate these insights to
malarial pathology.
PMID- 18039100
TI - VEGF-A and the induction of pathological angiogenesis.
AB - Tumors, wounds, and chronic inflammatory disorders generate a new vascular supply
by a process known as pathological angiogenesis. Whereas formation of the normal
blood vasculature requires the interaction of many different agonists and
inhibitors, including vascular endothelial growth factor-A (VEGF-A) and other
members of the vascular permeability factor/VEGF family, pathological
angiogenesis is a cruder, simpler process that can be replicated by a single VEGF
A isoform, VEGF-A(164/5). VEGF-A(164/5) induces the formation of several
distinctly different types of new blood vessels that differ from normal blood
vessels with respect to organization, structure, and function. Elucidating the
properties of these new vessels has led to a better understanding of angiogenesis
and will hopefully lead to new approaches to antiangiogenic therapy.
PMID- 18039101
TI - In vivo pathology: seeing with molecular specificity and cellular resolution in
the living body.
AB - The emerging tools of in vivo molecular imaging are enabling dynamic cellular and
molecular analyses of disease mechanisms in living animal models and humans.
These advances have the potential to dramatically change a number of fields of
study, including pathology, and to contribute to the development of regenerative
medicine and stem cell therapies. The new tools of molecular imaging, which have
already had a tremendous impact on preclinical studies, hold great promise for
bringing important and novel information to the clinician and the patient. These
approaches are likely to enable early diagnosis, rapid typing of molecular
markers, immediate assessment of therapeutic outcome, and ready measures of the
extent of tissue regeneration after damage. However, the full impact of these new
techniques will be determined by our ability to translate them to the clinic and
to develop a general strategy that integrates them with other advances in
molecular diagnostics and molecular medicine.
PMID- 18039102
TI - Cell-based therapy for myocardial ischemia and infarction: pathophysiological
mechanisms.
AB - Cell-based cardiac repair has emerged as an attractive approach to preventing or
reversing heart failure resulting from myocyte dysfunction-e.g., due to
infarction-and to enhancing the development of collaterals in patients with
symptoms of myocardial ischemia. These two problems involve both overlapping and
differing mechanisms, and these differences must be considered in cell-based
therapies. In terms of myocardial dysfunction due to infarction, only committed
cardiomyocytes have been shown to form new myocardium that is electrically
coupled with the host heart. Despite this, multiple cell populations appear to
improve function of the infarcted heart, including many that are clearly
nonmyogenic. In terms of myocardial ischemia, although cell-based strategies
improve ischemia in animal models, clinical trials to date have not shown
robustly beneficial results. We review the evidence for potential mechanisms
underlying the benefits of cell transplantation in the heart and discuss the
clinical contexts in which they may be relevant.
PMID- 18039103
TI - Cystic disease of the kidney.
AB - This review focuses on the mechanisms that underlie the development of human
renal cystic diseases. A pathological, clinical, and pathophysiological overview
is given. Initial analysis of the cell biology of inappropriate
hyperproliferation accompanied by fluid secretion of cyst-lining epithelia has
been followed by the elucidation of fundamental defects in epithelial polarity,
cell-matrix and cell-cell interactions, and apoptosis, all of which are
discussed. Identification of the genes and proteins responsible for several renal
cystic diseases has led to a more complete understanding of defects in renal
developmental programming, differentiation, and morphogenesis, all of which
underlie cystic diseases of the kidney.
PMID- 18039104
TI - Pathobiology of pulmonary hypertension.
AB - A variety of conditions can lead to the development of pulmonary arterial
hypertension (PAH). Current treatments can improve symptoms and reduce the
severity of the hemodynamic abnormality, but most patients remain quite limited,
and deterioration in their condition necessitates a lung transplant. This review
discusses current experimental and clinical studies that investigate the
pathobiology of PAH. An emerging theme is the consideration of ways in which one
might reverse the advanced occlusive structural changes in the pulmonary
circulation causing PAH. The current debate concerning the role of regeneration
through stem cells is presented. This review also highlights investigations in a
number of laboratories relating the pathobiology of PAH to mutations causing loss
of function of bone morphogenetic protein receptor II in patients with familial
PAH, as well as sporadic cases.
PMID- 18039105
TI - Body traffic: ecology, genetics, and immunity in inflammatory bowel disease.
AB - The abundant bacteria and other microbial residents of the human intestine play
important roles in nutrient absorption, energy metabolism, and defense against
microbial pathogens. The mutually beneficial relationship of host and commensal
microbiota represents an ancient and major coevolution in composition and mutual
regulation of the human mucosa and the resident microbial community. Inflammatory
bowel disease (IBD) is a set of chronic, relapsing inflammatory intestinal
diseases in which rules of normal host-microbial interaction have been violated.
This review considers the components of this host-microbial mutualism and the
ways in which it is undermined by pathogenic microbial traits and by host immune
and epithelial functions that confer to them susceptibility in patients with IBD.
Recent advances in understanding the genetics of IBD and the immunology of host
microbial interaction are opening new strategies for treatments that target host
susceptibility, candidate microbial pathogens, and intestinal ecology.
PMID- 18039106
TI - A pathologist's odyssey.
PMID- 18039107
TI - Immunobiology and pathogenesis of viral hepatitis.
AB - Among the many viruses that are known to infect the human liver, hepatitis B
virus (HBV) and hepatitis C virus (HCV) are unique because of their prodigious
capacity to cause persistent infection, cirrhosis, and liver cancer. HBV and HCV
are noncytopathic viruses and, thus, immunologically mediated events play an
important role in the pathogenesis and outcome of these infections. The adaptive
immune response mediates virtually all of the liver disease associated with viral
hepatitis. However, it is becoming increasingly clear that antigen-nonspecific
inflammatory cells exacerbate cytotoxic T lymphocyte (CTL)-induced
immunopathology and that platelets enhance the accumulation of CTLs in the liver.
Chronic hepatitis is characterized by an inefficient T cell response unable to
completely clear HBV or HCV from the liver, which consequently sustains
continuous cycles of low-level cell destruction. Over long periods of time,
recurrent immune-mediated liver damage contributes to the development of
cirrhosis and hepatocellular carcinoma.
PMID- 18039108
TI - The pathogenesis of Helicobacter pylori-induced gastro-duodenal diseases.
AB - Helicobacter pylori is the main cause of peptic ulceration, distal gastric
adenocarcinoma, and gastric lymphoma. Only 15% of those colonized develop
disease, and pathogenesis depends upon strain virulence, host genetic
susceptibility, and environmental cofactors. Virulence factors include the cag
pathogenicity island, which induces proinflammatory, pro-proliferative epithelial
cell signaling; the cytotoxin VacA, which causes epithelial damage; and an
adhesin, BabA. Host genetic polymorphisms that lead to high-level pro
inflammatory cytokine release in response to infection increase cancer risk.
Pathogenesis is dependent upon inflammation, a Th-1 acquired immune response and
hormonal changes including hypergastrinaemia. Antral-predominant inflammation
leads to increased acid production from the uninflamed corpus and predisposes to
duodenal ulceration; corpus-predominant gastritis leads to hypochlorhydria and
predisposes to gastric ulceration and adenocarcinoma. Falling prevalence of H.
pylori in developed countries has led to a falling incidence of associated
diseases. However, whether there are disadvantages of an H. pylori-free stomach,
for example increased risk of esosphageal adenocarcinoma, remains unclear.
PMID- 18039109
TI - Molecular pathology of malignant gliomas.
AB - Malignant gliomas, the most common type of primary brain tumor, are a spectrum of
tumors of varying differentiation and malignancy grades. These tumors may arise
from neural stem cells and appear to contain tumor stem cells. Early genetic
events differ between astrocytic and oligodendroglial tumors, but all tumors have
an initially invasive phenotype, which complicates therapy. Progression
associated genetic alterations are common to different tumor types, targeting
growth-promoting and cell cycle control pathways and resulting in focal hypoxia,
necrosis, and angiogenesis. Knowledge of malignant glioma genetics has already
impacted clinical management of these tumors, and researchers hope that further
knowledge of the molecular pathology of malignant gliomas will result in novel
therapies.
PMID- 18039110
TI - Tumor stroma and regulation of cancer development.
AB - Abstract In the past 25 years, a majority of cancer studies have focused on
examining functional consequences of activating and/or inactivating mutations in
critical genes implicated in cell cycle control. These studies have taught us a
great deal about the functions of oncogenes and tumor suppressor genes and the
signaling pathways regulating cell proliferation and/or cell death. However, such
studies have largely ignored the fact that cancers are heterogeneous cellular
entities whose growth is dependent upon reciprocal interactions between
genetically altered "initiated" cells and the dynamic microenvironment in which
they live. This review highlights the aspects of cancer development that, like
organogenesis during embryonic development and tissue repair in adult mammals,
are regulated by interactions between epithelial cells, activated stromal cells,
and soluble and insoluble components of the extracellular matrix.
PMID- 18039111
TI - Neurodegenerative diseases: new concepts of pathogenesis and their therapeutic
implications.
AB - Abstract Neurodegenerative diseases as diverse as Alzheimer's, Parkinson's, and
Creutzfeldt-Jakob disease share a common pathogenetic mechanism involving
aggregation and deposition of misfolded proteins, which leads to progressive
central nervous system disease. Although the type of aggregated protein and the
regional and cellular distribution of deposition vary from disease to disease,
these disorders may all be linked by similar pathways of protein aggregation with
fibril formation and amyloid deposition. This perspective on pathogenesis
suggests that a wide variety of neurodegenerative diseases can be grouped
mechanistically as brain amyloidoses, an outlook that yields novel insights into
potential therapeutic approaches that may be applicable across the broad spectrum
of neurodegenerative disease.
PMID- 18039112
TI - The endothelium as a target for infections.
AB - The endothelial cells lining vascular and lymphatic vessels are targets of
several infectious agents, including viruses and bacteria, that lead to dramatic
changes in their functions. Understanding the pathophysiological mechanisms that
cause the clinical manifestations of those infections has been advanced through
the use of animal models and in vitro systems; however, there are also abundant
studies that explore the consequences of endothelial infection in vitro without
supporting evidence that endothelial cells are actual in vivo targets of
infection in human diseases. This article defines criteria for considering an
infection as truly endothelium-targeted and reviews the literature that offers
insights into the pathogenesis of human endothelial-target infections.
PMID- 18039113
TI - Genetic regulation of cardiogenesis and congenital heart disease.
AB - Developmental heart disorders are the most common of all human birth defects and
occur in nearly one percent of the population. Survivors of congenital heart
malformations are an increasing population, and it is becoming clear that genetic
mutations that cause developmental anomalies may result in cardiac dysfunction
later in life. This review highlights the progress in understanding the
underlying molecular basis for cardiac formation and how disruption of the
intricate steps of cardiogenesis can lead to congenital heart defects. The
lessons learned from examining the early steps of heart formation are essential
for informing the prevention of malformations and their long-term consequences,
as well as for approaches to guide stem cells into cardiac lineages.
PMID- 18039114
TI - Regulation of lung inflammation in the model of IgG immune-complex injury.
AB - Modern techniques of cell and molecular biology have rapidly uncovered the
mechanisms underlying inflammatory injury of the lung. This expanding knowledge
(which includes an understanding of complement, cell surface receptors, cytokines
and chemokines, transcription factors, oxidants, proteinases, and endogenous
inhibitors, as well as the role of leukocyte adhesion-promoting molecules) has
provided new insights into the inflammatory system in general, as well as in the
context of lung injury. In this review, we summarize recent progress in
understanding the regulation of lung inflammation by using immunoglobulin G (IgG)
immune complex-induced lung injury as a model. These studies have provided
information on the role of various inflammatory mediators and their sequence of
engagement. Insights into potential interventional approaches for the suppression
of inflammatory processes in humans have emerged from those studies.
PMID- 18039115
TI - Integrative biology of prostate cancer progression.
AB - Prostate cancer displays considerable clinical, morphological, and biological
heterogeneity. Classical genetic techniques have provided only limited
information about the pathogenesis of prostate cancer progression. Nevertheless,
several candidate genes and pathways have been implicated in prostate cancer
development. High-throughput techniques have exponentially expanded the number of
candidate genes, including some whose role in prostate cancer pathogenesis has
been studied. However, the techniques used to study the prostate cancer genome,
transcriptome, and proteome generate massive amounts of data that have yet to be
integrated and explored. To move beyond candidate gene identification and develop
a comprehensive understanding of cancer pathogenesis, integrative approaches need
to analyze this data on a global level. This review addresses candidate genes
involved in prostate cancer pathogenesis in a biological and clinical context and
demonstrates how integrated analysis of high-throughput data augments our
understanding of prostate cancer.
PMID- 18039116
TI - KSHV infection and the pathogenesis of Kaposi's sarcoma.
AB - Kaposi's sarcoma (KS) has long been suspected of having an infectious etiology on
the basis of its unusual epidemiology, histopathology, and natural history.
Nearly a decade ago, a novel herpesviral genome was discovered in KS biopsies,
and since that time strong epidemiologic evidence has accumulated correlating
infection with this KS-associated herpesvirus (KSHV, also known as human
herpesvirus 8) with the development of the disease. Here we review the evidence
linking KSHV infection to KS risk and discuss current notions of how KSHV gene
expression promotes the development of this remarkable neoplasm. These studies
show that both latent and lytic viral replicative cycles contribute significantly
but differently-to KS development. The studies also highlight mechanistic
differences between oncogenesis caused by KSHV and that caused by its distant
relative Epstein-Barr virus.
PMID- 18039117
TI - Inflammation and atherosclerosis.
AB - Atherosclerosis, the cause of myocardial infarction, stroke, and ischemic
gangrene, is an inflammatory disease. The atherosclerotic process is initiated
when cholesterol-containing low-density lipoproteins accumulate in the intima and
activate the endothelium. Leukocyte adhesion molecules and chemokines promote
recruitment of monocytes and T cells. Monocytes differentiate into macrophages
and upregulate pattern recognition receptors, including scavenger receptors and
toll-like receptors. Scavenger receptors mediate lipoprotein internalization,
which leads to foam-cell formation. Toll-like receptors transmit activating
signals that lead to the release of cytokines, proteases, and vasoactive
molecules. T cells in lesions recognize local antigens and mount T helper-1
responses with secretion of pro-inflammatory cytokines that contribute to local
inflammation and growth of the plaque. Intensified inflammatory activation may
lead to local proteolysis, plaque rupture, and thrombus formation, which causes
ischemia and infarction. Inflammatory markers are already used to monitor the
disease process and anti-inflammatory therapy may be useful to control disease
activity.
PMID- 18039118
TI - Lung cancer preneoplasia.
AB - From histological and biological perspectives, lung cancer is a complex neoplasm.
Although the sequential preneoplastic changes have been defined for centrally
arising squamous carcinomas of the lung, they have been poorly documented for the
other major forms of lung cancers, including small cell lung carcinoma and
adenocarcinomas. There are three main morphologic forms of preneoplastic lesions
recognized in the lung: squamous dysplasias, atypical adenomatous hyperplasia,
and diffuse idiopathic pulmonary neuroendocrine cell hyperplasia. However, these
lesions account for the development of only a subset of lung cancers. Several
studies have provided information regarding the molecular characterization of
lung preneoplastic changes, especially for squamous cell carcinoma. These
molecular changes have been detected in the histologically normal and abnormal
respiratory epithelium of smokers. Two different molecular pathways have been
detected in lung adenocarcinoma pathogenesis: smoking-associated activation of
RAS signaling, and nonsmoking-associated activation of EGFR signaling; the latter
is detected in histologically normal respiratory epithelium.
PMID- 18039119
TI - Pathogenesis of nonimmune glomerulopathies.
AB - Nonimmune glomerulopathies are an area of significant research. This review
discusses the development of focal segmental glomerulosclerosis, with particular
attention to the role of the podocyte in the initiation of glomerulosclerosis and
the contribution to glomerulosclerosis from capillary hypertension and soluble
factors such as transforming growth factor beta, platelet-derived growth factor,
vascular endothelial growth factor, and angiotensin. The effects of these factors
on endothelial and mesangial cells are also discussed. In addition, we review our
current understanding of the slit diaphragm (a specialized cell junction found in
the kidney), slit diaphragm-associated proteins (including nephrin, podocin,
alpha-actinin-4, CD2-associated protein, and transient receptor potential channel
6), and the role of these proteins in glomerular disease. We also discuss the
most recent research on the pathogenesis of collapsing glomerulosclerosis, human
immunodeficiency virus associated nephropathy, Denys-Drash, diabetic nephropathy,
Alport syndrome, and other diseases related to the interaction between the
podocyte and the glomerular basement membrane.
PMID- 18039120
TI - Spectrum of Epstein-Barr virus-associated diseases.
AB - The association between Epstein-Barr virus (EBV) and a large number of benign and
malignant diseases is unique among DNA viruses. Within infected tissues, proteins
that are expressed during the normal lytic and latent viral life cycle lead to
cellular alterations that contribute to these EBV-associated diseases. Although
the early events of EBV infection are poorly understood, increasing knowledge of
the viral processes that govern viral latency has shed light upon the potential
mechanisms by which EBV infection can lead to cellular transformation. Our
current understanding of the role of EBV in the development of Burkitt lymphoma,
Hodgkin lymphoma, nasopharyngeal carcinoma, and other EBV-associated diseases is
discussed.
PMID- 18039121
TI - Calcium in cell injury and death.
AB - Loss of Ca(2+) homeostasis, often in the form of cytoplasmic increases, leads to
cell injury. Depending upon cell type and the intensity of Ca(2+) toxicity, the
ensuing pathology can be reversible or irreversible. Although multiple
destructive processes are activated by Ca(2+), lethal outcomes are determined
largely by Ca(2+)-induced mitochondrial permeability transition. This form of
damage is primarily dependent upon mitochondrial Ca(2+) accumulation, which is
regulated by the mitochondrial membrane potential. Retention of the mitochondrial
membrane potential during Ca(2+) increases favors mitochondrial Ca(2+) uptake and
overload, resulting in mitochondrial permeability transition and cell death. In
contrast, dissipation of mitochondrial membrane potential reduces mitochondrial
Ca(2+) uptake, retards mitochondrial permeability transition, and delays death,
even in cells with large Ca(2+) increases. The rates of mitochondrial membrane
potential dissipation and mitochondrial Ca(2+) uptake may determine cellular
sensitivity to Ca(2+) toxicity under pathological conditions, including ischemic
injury.
PMID- 18039122
TI - Genetics of soft tissue tumors.
AB - Sarcomas form a highly diverse group of rare tumors that are derived from
connective tissue. More than 100 different malignant and benign soft tissue
neoplasms can be recognized by histologic examination. Few diagnostic markers
exist, and the cell of origin for many soft tissue tumors is unknown. The
accurate diagnosis of many of these tumors therefore remains a challenge. The
study of sarcomas has yielded many insights that can be applied to other
neoplasms such as carcinoma. For example, the success of the treatment of
gastrointestinal stromal tumor with Imatinib has led to an increased effort to
find targeted therapies for other malignancies. Here we describe the known
molecular changes in a number of sarcomas and focus on novel scientific
approaches that can be expected to lead to improved diagnosis, prognostication,
and therapy of sarcoma.
PMID- 18039123
TI - Severe sepsis and septic shock: the role of gram-negative bacteremia.
AB - Abstract Although Gram-negative bacteria have often been implicated in the
pathogenesis of severe sepsis and septic shock, how they trigger these often
lethal syndromes is uncertain. In particular, the role played by blood-borne
bacteria is controversial. This review considers two alternatives. In the first,
circulating Gram-negative bacteria induce toxic reactions directly within the
vasculature; in the second, the major inflammatory stimulus occurs in local
extravascular sites of infection and circulating bacteria contribute little to
inducing toxic responses. Evidence for each alternative is found in the
literature. Bacteremia and severe sepsis are not so closely linked that the most
striking cases can be a model for the rest. Intravascular and extravascular
triggers may warrant different approaches to prevention and therapy.
PMID- 18039124
TI - Proteases in parasitic diseases.
AB - Parasitic diseases represent major global health problems of immense proportion.
Schistosomiasis, malaria, leishmaniasis, Chagas disease, and African sleeping
sickness affect hundreds of millions of people worldwide, cause millions of
deaths annually, and present an immense social and economic burden. Recent
advances in genomic analysis of several of the major global parasites have
revealed key factors involved in the pathogenesis of parasite diseases. Among the
major virulence factors identified are parasite-derived proteases. This review
focuses on the direct role of proteases in disease pathogenesis. Well
characterized examples of the roles proteases play in pathogenesis include their
involvement in invasion of the host by parasite migration through tissue
barriers, degradation of hemoglobin and other blood proteins, immune evasion, and
activation of inflammation.
PMID- 18039125
TI - Modeling morphogenesis and oncogenesis in three-dimensional breast epithelial
cultures.
AB - Three-dimensional (3D) epithelial culture systems recreate the cardinal features
of glandular epithelium in vivo and represent a valuable tool for modeling breast
cancer initiation and progression in a structurally appropriate context. 3D
models have emerged as a powerful method to interrogate the biological activities
of cancer genes and oncogenic pathways, and recent studies have poignantly
illustrated their utility in dissecting the emerging role of tensional force in
regulating epithelial tissue homeostasis. We review how 3D models are being used
to investigate fundamental cellular and biophysical mechanisms associated with
breast cancer progression that have not been readily amenable to traditional
genetic or biochemical analysis.
PMID- 18039127
TI - The origins of medulloblastoma subtypes.
AB - Childhood tumors containing cells that are morphologically and functionally
similar to normal progenitor cells provide fertile ground for investigating the
links between development and cancer. In this respect, integrated studies of
normal cerebellar development and the medulloblastoma, a malignant embryonal
tumor of the cerebellum, have proven especially fruitful. Emerging evidence
indicates that the different precursor cell populations that form the cerebellum
and the cell signaling pathways that regulate its development likely represent
distinct compartments from which the various subtypes of medulloblastoma arise.
Definitive characterization of each medulloblastoma subtype will undoubtedly
improve treatment of this disease and provide important insights to the origins
of cancer.
PMID- 18039128
TI - The relevance of research on red cell membranes to the understanding of complex
human disease: a personal perspective.
AB - Molecular analysis in the service of research on human disease has finally come
of age, as the chapters within this volume testify. Many technical advances,
among them the development of recombinant DNA and its many applications, opened
the way to study cells and processes that were unapproachable in the 1960s, when
I first began my research career. The state of molecular biological studies at
that time limited studies of human cell membrane proteins to experimental
material most available and accessible, making the human erythrocyte membrane the
favored target. I describe here how studies of red blood cell membrane proteins
evolved and how results from those studies still inform present-day research.
PMID- 18039126
TI - Notch signaling in leukemia.
AB - Recent discoveries indicate that gain-of-function mutations in the Notch1
receptor are very common in human T cell acute lymphoblastic leukemia/lymphoma.
This review discusses what these mutations have taught us about normal and
pathophysiologic Notch1 signaling, and how these insights may lead to new
targeted therapies for patients with this aggressive form of cancer.
PMID- 18039129
TI - Autophagy: basic principles and relevance to disease.
AB - Autophagy is a process by which cytoplasmic components are sequestered in double
membrane vesicles and degraded upon fusion with lysosomal compartments. In yeast,
autophagy is activated in response to changes in the extracellular milieu.
Depending upon the stimulus, autophagy can degrade cytoplasmic contents
nonspecifically or can target the degradation of specific cellular components.
Both of these have been adopted in higher eukaryotes and account for the
expanding role of autophagy in various cellular processes, as well as contribute
to the variation in cellular outcomes after induction of autophagy. In some
cases, autophagy appears to be an adaptive response, whereas under other
circumstances it is involved in cell death. In mammals, autophagy has been
implicated in either the pathogenesis or response to a wide variety of diseases,
including neurodegenerative disease, chronic bacterial and viral infections,
atherosclerosis, and cancer. As the basic molecular pathways that regulate
autophagy are elucidated, the relationship of autophagy to the pathogenesis of
various disease states emerges.
PMID- 18039130
TI - The aging brain.
AB - Aging is accompanied by cognitive decline in a major segment of the population
and is the primary risk factor for Alzheimer's disease and other prevalent
neurodegenerative disorders. Despite this central role in disease pathogenesis
and morbidity, the aging of the brain has not been well understood at a molecular
level. This review seeks to integrate what is known about age-related cognitive
and neuroanatomical changes with recent advances in understanding basic molecular
mechanisms that underlie aging. An important issue is how normal brain aging
transitions to pathological aging, giving rise to neurodegenerative disorders.
Toxic protein aggregates have been identified as potential contributory factors,
including amyloid beta-protein in Alzheimer's disease, tau in frontotemporal
dementia, and alpha-synuclein in Parkinson's disease. However, current models of
pathogenesis do not explain the origin of the common sporadic forms of these
diseases or address the critical nexus between aging and disease. This review
discusses potential approaches to unifying the systems biology of the aging brain
with the pathogenesis of neurodegeneration.
PMID- 18039131
TI - Molecular biology and pathogenesis of viral myocarditis.
AB - Myocarditis is a cardiac disease associated with inflammation and injury of the
myocardium. Several viruses have been associated with myocarditis in humans.
However, coxsackievirus B3 is still considered the dominant etiological agent.
The observed pathology in viral myocarditis is a result of cooperation or
teamwork between viral processes and host immune responses at various stages of
disease. Both innate and adaptive immune responses are crucial determinants of
the severity of myocardial damage, and contribute to the development of chronic
myocarditis and dilated cardiomyopathy following acute viral myocarditis.
Advances in genomics and proteomics, and in the use of informatics and
biostatistics, are allowing unbiased initial evaluations that can be the basis
for testable hypotheses about virus pathogenesis and new therapies.
PMID- 18039132
TI - The role of hypoxia in vascular injury and repair.
AB - Although the terms ischemia and hypoxia are often used interchangeably, they
represent distinct processes that result in different modulatory effects at the
cellular level. Hypoxia is a reduction in oxygen delivery below tissue demand,
whereas ischemia is a lack of perfusion, characterized not only by hypoxia but
also by insufficient nutrient supply. Hypoxia can be either acute or chronic, and
both are centrally regulated by hypoxia-inducible factor, a transcription factor
that governs the expression of key response genes such as vascular endothelial
growth factor and erythropoietin. Whereas severe chronic hypoxia can cause cell
death, less-severe hypoxia can protect against subsequent damage, a phenomenon
known as hypoxic conditioning. Several important processes are characterized by
hypoxia, including ischemia-reperfusion, tumor growth and progression,
inflammation, myocardial ischemia, and a number of ocular pathologies.
PMID- 18039134
TI - Airway smooth muscle in asthma.
AB - Airway smooth muscle plays a multifaceted role in the pathogenesis of asthma. We
review the current understanding of the contribution of airway myocytes to airway
inflammation, airway wall remodeling, and airflow obstruction in this prevalent
disease syndrome. Together, these roles make airway smooth muscle an attractive
target for asthma therapy.
PMID- 18039135
TI - The osteoclast: friend or foe?
AB - Bone is a dynamic organ constantly remodeled to support calcium homeostasis and
structural needs. The osteoclast is the cell responsible for removing both the
organic and inorganic components of bone. It is derived from hematopoietic
progenitors in the macrophage lineage and differentiates in response to the tumor
necrosis factor family cytokine receptor activator of NF kappa B ligand. alpha v
beta 3 integrin mediates cell adhesion necessary for polarization and formation
of an isolated, acidified resorptive microenvironment. Defects in osteoclast
function, whether genetic or iatrogenic, may increase bone mass but lead to poor
bone quality and a high fracture risk. Pathological stimulation of osteoclast
formation and resorption occurs in postmenopausal osteoporosis, inflammatory
arthritis, and metastasis of tumors to bone. In these diseases, osteoclast
activity causes bone loss that leads to pain, deformity, and fracture. Thus,
osteoclasts are critical for normal bone function, but their activity must be
controlled.
PMID- 18039137
TI - Gene expression profiling of breast cancer.
AB - DNA microarray platforms for gene expression profiling were invented relatively
recently, and breast cancer has been among the earliest and most intensely
studied diseases using this technology. The molecular signatures so identified
help reveal the biologic spectrum of breast cancers, provide diagnostic tools as
well as prognostic and predictive gene signatures, and may identify new
therapeutic targets. Data are best presented in an open access format to
facilitate external validation, the most crucial step in identifying robust,
reproducible gene signatures suitable for clinical translation. Clinically
practical applications derived from full expression profile studies already in
use include reduced versions of microarrays representing key discriminatory genes
and therapeutic targets, quantitative polymerase chain reaction assays, or
immunohistochemical surrogate panels (suitable for application to standard
pathology blocks). Prospective trials are now underway to determine the value of
such tools for clinical decision making in breast cancer; these efforts may serve
as a model for using such approaches in other tumor types.
PMID- 18039136
TI - Pancreatic cancer.
AB - The past two decades have witnessed an explosion in our understanding of
pancreatic cancer, and it is now clear that pancreatic cancer is a disease of
inherited (germ-line) and somatic gene mutations. The genes mutated in pancreatic
cancer include KRAS2, p16/CDKN2A, TP53, and SMAD4/DPC4, and these are accompanied
by a substantial compendium of genomic and transcriptomic alterations that
facilitate cell cycle deregulation, cell survival, invasion, and metastases.
Pancreatic cancers do not arise de novo, and three distinct precursor lesions
have been identified. Experimental models of pancreatic cancer have been
developed in genetically engineered mice, which recapitulate the multistep
progression of the cognate human disease. Although the putative cell of origin
for pancreatic cancer remains elusive, minor populations of cells with stem-like
properties have been identified that appear responsible for tumor initiation,
metastases, and resistance of pancreatic cancer to conventional therapies.
PMID- 18039138
TI - The pathology of influenza virus infections.
AB - Influenza viruses are significant human respiratory pathogens that cause both
seasonal, endemic infections and periodic, unpredictable pandemics. The worst
pandemic on record, in 1918, killed approximately 50 million people worldwide.
Human infections caused by H5N1 highly pathogenic avian influenza viruses have
raised concern about the emergence of another pandemic. The histopathology of
fatal influenza virus pneumonias as documented over the past 120 years is
reviewed here. Strikingly, the spectrum of pathologic changes described in the
1918 influenza pandemic is not significantly different from the histopathology
observed in other less lethal pandemics or even in deaths occurring during
seasonal influenza outbreaks.
PMID- 18039140
TI - Molecular pathobiology of gastrointestinal stromal sarcomas.
AB - Gastrointestinal stromal tumors (GISTs) form an interesting group of sarcomas
whose unique pathobiology provides a model of how molecularly targeted
therapeutics can have a major impact on patient welfare. Approximately 85% of
GISTs are driven by oncogenic mutations in either of two receptor tyrosine
kinases: KIT or platelet-derived growth factor receptor alpha. We review the
pivotal relationship between specific mutations in these kinase genes, the origin
and pathologic spectrum of GISTs, and the response of these tumors to treatment
with kinase inhibitors such as imatinib and sunitinib. Mechanisms of resistance
to kinase inhibitor therapy are discussed, and targets for the next generation of
therapeutics are considered. The rapid evolution in our understanding of GISTs,
which stems directly from the close alliance of basic and clinical researchers in
the field, illustrates the growing role of the molecular classification of solid
tumors in the development of modern oncological treatments.
PMID- 18039141
TI - Molecular biology and pathology of lymphangiogenesis.
AB - The lymphatic vasculature is essential for the maintenance of tissue fluid
balance, immune surveillance, and adsorption fatty acids in the gut. The
lymphatic vessels are also crucially involved in the pathogenesis of diseases
such as tumor metastasis, lymphedema, and various inflammatory conditions.
Attempts to control or treat these diseases have drawn a lot of interest to
lymphatic vascular research during the past few years. Recently, several markers
specific for lymphatic endothelium and models for lymphatic vascular research
have been characterized, enabling great technical progress in lymphatic vascular
biology, and many critical regulators of lymphatic vessel growth have been
identified. Despite these significant achievements, our understanding of the
lymphatic vessel development and pathogenesis is still rather limited. Several
key questions remain to be resolved, including the relative contributions of
different pathways targeting lymphatic vasculature, the molecular and cellular
processes of lymphatic maturation, and the detailed mechanisms of tumor
metastasis via the lymphatic system.
PMID- 18039139
TI - Endoplasmic reticulum stress in disease pathogenesis.
AB - The endoplasmic reticulum (ER) is the site of synthesis and folding of membrane
and secretory proteins, which, collectively, represent a large fraction of the
total protein output of a mammalian cell. Therefore, the protein flux through the
ER must be carefully monitored for abnormalities, including the buildup of
misfolded proteins. Mammalian cells have evolved an intricate set of signaling
pathways from the ER to the cytosol and nucleus, to allow the cell to respond to
the presence of misfolded proteins within the ER. These pathways, known
collectively as the unfolded protein response, are important for normal cellular
homeostasis and organismal development and may also play key roles in the
pathogenesis of many diseases. This review provides background information on the
unfolded protein response and discusses a selection of diseases whose
pathogenesis involves ER stress.
PMID- 18039142
TI - Applications of proteomics to lab diagnosis.
AB - Detailed and comprehensive characterization of proteins is a major goal of
proteomics. This goal has become more realistic today with the latest high
resolution mass spectrometers capable of faster sequencing in a high-throughput
fashion and with the emergence of new techniques such as protein and peptide
microarrays. A promising area for discovery is the application of these advanced
mass spectrometric and other quantitative proteomic methodologies to laboratory
diagnosis. This review focuses on the role of proteomics in the development of
new laboratory diagnostics and the implications for routine diagnosis and
monitoring of diseases.
PMID- 18039144
TI - Kidney transplantation: mechanisms of rejection and acceptance.
AB - We describe the molecular and cellular mechanisms believed to be responsible for
the rejection of renal allografts, including acute T cell-mediated rejection,
acute antibody-mediated (humoral) rejection, rejection mediated by the innate
immune system, and chronic rejection. We present mechanisms of graft acceptance,
including accommodation, regulation, and tolerance. Studies in animals have
replicated many pathologic features of acute and chronic rejection. We illuminate
the pathogenesis of human pathology by reflection from experimental models.
PMID- 18039145
TI - Dependence of neovascularization mechanisms on the molecular microenvironment.
AB - In vivo vascularization of implanted (bio)artificial constructs is essential for
their proper function. Vascularization may rely on sprouting angiogenesis,
vascular incorporation of bone marrow-derived endothelial cells (BMDECs), or
both. Here we investigated the relative contribution of these 2 mechanisms to
neovascularization in a mouse model of a foreign body reaction (FBR) to
subcutaneously implanted Dacron and in hind limb ischemia (HLI) in relation to
the molecular microenvironment at these neovascularization sites.
Neovascularization was studied in C57Bl/6 mice reconstituted with enhanced green
fluorescent protein (EGFP) transgenic bone marrow. Sprouting angiogenesis,
detected using nuclear incorporation of bromodeoxyuridine in endothelial cells
was present in both models, whereas vascular incorporation of EGFP(+) BMDECs was
restricted to HLI. In HLI, the presence of a pro-angiogenic molecular
microenvironment comprising vascular endothelial growth factor, fibroblast growth
factor 2, and granulocyte colony-stimulating factor corroborated the importance
of these factors for vascular BMDEC incorporation, whereas this microenvironment
was absent in FBR. Enhanced mobilization of BMDECs by granulocyte-macrophage
colony-stimulating factor administration or by combining HLI and FBR with Dacron
did not induce incorporation of BMDECs in FBR neovessels. We conclude that the
efficacy of BMDEC-based therapy is not generally warranted, but it depends on the
molecular microenvironment in the targeted tissue.
PMID- 18039147
TI - Rorschach Comprehensive System data for a sample of 190 Japanese nonpatient
children at five ages.
AB - This study is a part of our series of studies of nonpatient, Japanese children.
In total, 346 children were administered the Rorschach. Thirty-two children had
fewer than 10 responses, 112 children had more than 10 but fewer than 14
responses, and 12 children were maladjusted or mentally retarded, for a total of
156. In this study, we analyzed the remaining 190 children not excluded by the
above criteria in the following age groups: 5 years (n = 24), 8 years (n = 43), 9
years (n = 42), 12 years (n = 42), and 14 years (n = 39). Japanese children
showed few responses generally, with an average of 18 ~ 20 responses. In addition
to having fewer responses, other features of Japanese children are high Lambda,
low EA, very high X-%, and low X+%. The fact that the Rorschach test depends on
verbal expression may be one factor in all these features, and we should consider
this study in view of these Japanese cultural factors. Interrater reliability
findings and Rorschach Comprehensive System (CS; Exner, 1995; Matsumoto, 2003;
Matsumoto et al., 2002) data are presented.
PMID- 18039143
TI - The inflammatory response to cell death.
AB - When cells die in vivo, they trigger an inflammatory response. The ensuing
hyperemia, leak of plasma proteins, and recruitment of leukocytes serve a number
of useful functions in host defense and tissue repair. However, this response can
also cause tissue damage and contribute to the pathogenesis of a number of
diseases. Given the key role of inflammation in these processes, it is important
to understand the underlying mechanisms that drive this response. Injured cells
release danger signals that alert the host to cell death. Some of these molecules
are recognized by cellular receptors that stimulate the generation of
proinflammatory mediators. Other molecules released by dead cells stimulate the
generation of mediators from extracellular sources. The resulting mediators then
orchestrate the inflammatory response, eliciting its various vascular and
cellular components. Dead cells also release danger signals that activate
dendritic cells and promote the generation of immune responses to antigens. Here
we review what is presently known about the sterile inflammatory response and its
underlying mechanisms.
PMID- 18039148
TI - Rorschach Comprehensive System data for a sample of 108 normative subjects from
The Netherlands.
AB - The current study is part of a two-wave normative data collection study with the
Rorschach Comprehensive System (CS; Exner, 2003) in The Netherlands. The first
data wave yielded 108 valid Rorschach protocols for which descriptive data on CS
variables were calculated. Interrater reliability between two raters for 10
response segments on a subset of 24 protocols was good to excellent. The findings
show that mean values for a number of important CS variables, such as EA,
Egocentricity index, and WDA% in the Dutch sample are highly similar to those
found in a recent U.S. study by Shaffer and colleagues (2007/this issue). On the
other hand, the Dutch sample contains a higher percentage of subjects with
positive scores on the DEPI and CDI, compared with the Shaffer et al. data, but
to an even greater extent in comparison with Exner's (2001) nonpatient data. We
argue, on the basis of findings from psychiatric epidemiology, that the exclusion
of subjects with a history of mental disorder or mental health treatment from
Rorschach normative data bases yields a dataset that is nonrepresentative of the
general population.
PMID- 18039149
TI - Rorschach Comprehensive System data for a sample of 233 adult nonpatients from
Peru.
AB - This study is composed of 233 adult nonpatients, all from Peru.
Inclusion/exclusion criteria are described. Interrater reliability statistics at
the response level are presented along with scores for the Rorschach
Comprehensive System (CS; Exner & Erdberg, 2005). Considering the results of this
investigation, the researcher suggests a line of multicultural research. The
differences between the United States and Peru strengthen the psychometric and
qualitative value of this line of investigation.
PMID- 18039150
TI - Rorschach Comprehensive System data for a sample of 309 adult nonpatients from
Portugal.
AB - Three hundred and nine nonpatient adults, evenly distributed according to sex,
age, educational level, and residence, took part in the Rorschach test study
carried out in Portugal. The sample was drawn from selected regions of the
country and was balanced for population density in urban and rural areas. Test
administration was controlled through the services of psychologists familiar with
the Rorschach Comprehensive System (CS; Exner, 1993). Results indicate that, in
Portugal (Pires, 2000), level of education is the variable that seems to have the
largest effect on Rorschach responding.
PMID- 18039151
TI - Rorschach Comprehensive System data for a sample of 90 adult nonpatients from
Argentina.
AB - This study is composed of 90 nonpatient adults, ages 16 to 65, all from Buenos
Aires and its suburban area. All of the data were gathered by the author.
Inclusion and exclusion criteria are described and interrater reliability
statistics at the response level are presented along with findings for the
Rorschach Comprehensive System (CS; Exner, 2003). Results show that several
variables yield values that deviated from the nonpatient ranges proposed by
Exner, and these findings suggest the need for further exploration.
PMID- 18039152
TI - Rorschach Comprehensive System data for a sample of 357 Portuguese children at
five ages.
AB - Eighteen examiners, well trained in the Comprehensive System (CS; Exner, 2003),
administered the Rorschach to 357 Portuguese children, in the first through fifth
grades, attending schools located in Lisbon and the surrounding neighborhood.
Coding was done by 5 of the examiners, each one having more than 5 years of
experience with the CS. For this study, coding was reviewed by the authors. Five
records were randomly selected from each age group to assess intercoder
reliability. Janson and Olsson's (2004) iota was used to assess reliability of
the main variable categories. Results are high, with iota ranging from 0.87 to
0.98 across the coding categories. CS variables are presented and key data were
chosen and reviewed. A discussion of some data and their comparison with
corresponding American data are made, permitting some interesting developmental
and cross-cultural questions to be addressed.
PMID- 18039153
TI - Rorschach Comprehensive System data for a sample of 111 adult nonpatients from
Romania.
AB - In this study Rorschach data from a Romanian sample of 111 respondents was
collected and analyzed in a first attempt to establish national norms. The
protocols were collected in a 5-year period (2002-2006) by the author. Interrater
reliability statistics are presented for a sample of 20 cases, along with scores
for the Rorschach Comprehensive System (CS; Exner, 1993). These results can be
used for cross-cultural comparisons of the CS.
PMID- 18039154
TI - Rorschach Comprehensive System data for a sample of 517 adults from Spain
(Barcelona).
AB - This study is composed of 517 adult voluntary participants, from Barcelona and
its surroundings, all of whom are from Spain, Barcelona, and Catalonia. The study
builds on a previously published report for a smaller sample (N = 250; see
Alvarez, Baeza, Campo, Garcia, Guardia, et al., 1993) and is the result of
collaboration between students and professors at the Barcelona Rorschach School
(Catalan Rorschach Society). Our aim is to offer local data that should help to
understand possible differences with existing reference data for the Rorschach
Comprehensive System (CS; Exner, 2005), which is the system that has been taught
at this school since 1978. Inclusion and exclusion criteria are described and
interrater reliability statistics at the response level are presented, along with
scores for the CS, followed by a discussion and very brief comparison with other
international reference samples.
PMID- 18039155
TI - A new U.S. adult nonpatient sample.
AB - A project to collect an American adult nonpatient sample for the Rorschach
Comprehensive System (CS; Exner, 2001) took place between 1999 and 2005, and this
article presents data for 450 participants in the project. Inclusion criteria,
demographic information, and interrater agreement statistics are described.
Findings for most of the CS variables are similar to earlier Rorschach Workshops
samples, but some differences emerged and their implications for modifying
interpretive guidelines are discussed.
PMID- 18039156
TI - Rorschach Comprehensive System data for a sample of 283 adult nonpatients from
the United States.
AB - This article presents an update on adult nonpatients living in central California
previously described by Shaffer, Erdberg, and Haroian (1999). In this study an
additional 160 nonpatients were administered the Rorschach for a total sample
size of 283. Graduate students enrolled in a 2-year Rorschach research seminar
administered the Rorschach (Exner, 1995), WAIS-R (Weschler, 1981), and MMPI-2
(Butcher, Dahlstrom, Graham, Tellegen, & Kaemmer, 1989), and were provided with
extensive supervision and ongoing quality control. All protocols were recoded by
the first two authors and a psychologist with extensive Rorschach experience.
Exclusion criteria are described. Interrater reliability statistics at the
response level are presented along with scores for Rorschach Comprehensive System
(CS), WAIS-R, and MMPI-2 variables.
PMID- 18039157
TI - Rorschach Comprehensive System data for a sample of 52 older adult nonpatients
from the United States.
AB - This study is composed of 52 older adults, ages 60 to 80, all from the United
States. In addition to being administered the Rorschach (Rorschach, 1942), all
participants also were administered the Wechsler Adult Intelligence Scale-Revised
(WAIS-R; Weschler, 1981), the Minnesota Multiphasic Personality Inventory-2 (MMPI
2; Butcher, Dahlstrom, Graham, Tellegen, & Kaemmer, 1989), the Neuro Cognitive
Status Examination (NCSE; Kiernan, Mueller, Langston, & Van Dyke, 1987), and the
Trail Making Test Part B (Reitan, 1958; Reitan & Wolfson, 1993). Examiners
included the principal author as well as three other trained examiners. Inclusion
criteria are described. Interrater reliability statistics at the response level
are presented along with scores for the Rorschach Comprehensive System (CS;
Exner, 1995).
PMID- 18039158
TI - Rorschach Comprehensive System data for 100 nonpatient children from the United
States in two age groups.
AB - Building on our previously published study (Hamel, Shaffer, & Erdberg, 2000),
which provided data on 100 nonpatient children aged 6 to 12 from the United
States, we here provide reference data for two more homogeneous age subgroups: 6
to 9 (N = 50) and 10 to 12 (N = 50). Inclusion criteria are described, and
expanded interrater reliability statistics at the response level are presented
along with scores for the Rorschach Comprehensive System (CS; Exner, 2001) at
each age grouping. In addition to the children being administered the Rorschach,
their parents were given the Conners' Parent Rating Scale-93 (CPRS-93; Conners,
1989), and these results are presented as well.
PMID- 18039159
TI - Rorschach Comprehensive System data for a sample of 42 nonpatient Mexican
American children from the United States.
AB - This study is composed of 42 Mexican American children, ages 8 to 10 years, all
from the United States. In addition to the children being administered the
Rorschach, they also were administered the Children's Hispanic Background Scale
(CHBS) and the Children's Personality Questionnaire (CPQ). The principal author
was the sole examiner. Inclusion criteria are described. Interrater reliability
statistics at the response level are presented along with scores for the
Rorschach Comprehensive System (CS; Exner, 1995).
PMID- 18039160
TI - Rorschach Comprehensive System data for a sample of 37 nonpatient/nondelinquent
adolescents from the United States.
AB - This study is composed of 37 American adolescents, ages 15 to 17 years of age,
all from the United States. The principal author was the sole examiner. Inclusion
criteria are described. Interrater reliability statistics at the response level
are presented along with scores for the Rorschach Comprehensive System (CS;
Exner, 2003). The results revealed differences from the published norms on
variables that reflect poor form quality, fewer popular responses, more attention
to detail, more poor human relationship responses, and a more simplistic,
affective-free approach to the environment, among others.
PMID- 18039161
TI - The impact of administration and inquiry on Rorschach Comprehensive System
protocols in a national reference sample.
AB - We investigated the impact of administration and inquiry skills on Rorschach
Comprehensive System (CS; Exner, 1974, 1991, 1993) protocols collected for the
Italian adult nonpatient reference sample. The same research team collected CS
protocols on two occasions. The initial reference sample (N = 212; Lis, Rossi, &
Priha, 1998) was collected under the supervision of experienced psychologists who
carefully studied CS administration and scoring procedures (Exner, 1986, 1990,
1993). The second sample (N = 101; Lis, Zennaro, Calvo, & Salcuni, 2001) was
collected after the team obtained additional and sustained CS training from
Rorschach workshops certified instructors. Both samples were scored, reliably but
they showed large differences on many codes, with protocols from the second
sample being richer and more complex than the first. The results indicate that
administration skills can have a dramatic impact on CS protocols and may
contribute to variations in samples collected by different investigators.
Training standards should be devised to insure uniform administration procedures
are followed when collecting CS protocols.
PMID- 18039162
TI - Introduction to the JPA special supplement on International Reference Samples for
the Rorschach Comprehensive System.
AB - This Introduction provides an overview to the JPA Special Supplement on
International Reference Samples for the Rorschach Comprehensive System (CS;
Exner, 2001). It contains a history of this project and a table including all of
the lead authors, their country and the type and size of their sample.
Suggestions for conducting this type of research are offered, including
information on normative vs. nonpatient samples, inclusion/exclusion criteria,
the use of collateral instruments, and concerns relative to representativeness.
Reliability and coding concerns are addressed, and information on the Popular
response in Japan are reviewed. Finally, trends within and across the data are
highlighted.
PMID- 18039163
TI - Rorschach Comprehensive System data for a sample of 128 adult nonpatients from
Australia.
AB - This article examines data collected for the study by Greenway and Milne (2001),
which investigated the association between the Capacity for Control and Stress
Tolerance cluster of the Rorschach Comprehensive System (CS; Exner, 1995) and the
ability of individuals to take control of their internal states -- emotional,
cognitive and those related to their actions and reactions. The data consisted of
the Rorschach protocols of 128 participants in an urban, community sample,
collected by graduate students in the second year of a master's degree in
counseling psychology. All of these students had been trained thoroughly in
administering the Rorschach and had experience in practicing psychological
assessment and counseling for between 8 and 11 months in professional settings.
The exclusion criteria are described and the interrater reliability statistics
for several CS variables as well as descriptive statistics are presented.
PMID- 18039164
TI - Toward international normative reference data for the comprehensive system.
AB - We build on the work of all the authors contributing to this Special Supplement
by summarizing findings across their samples of data, and we also draw on samples
published elsewhere. Using 21 samples of adult data from 17 countries we create a
composite set of internationally-based reference means and standard deviations
from which we compute T-scores for each sample. Figures illustrate how the scores
in each sample are distributed and how the samples compare across variables in
eight Rorschach Comprehensive System (CS; Exner, 2003) clusters. The adult
samples from around the world are generally quite similar, and thus we encourage
clinicians to integrate the composite international reference values into their
clinical interpretation of protocols. However, the 31 child and adolescent
samples from 5 countries produce unstable and often quite extreme values on many
scores. Until the factors contributing to the variability among these samples are
more fully understood, we discourage clinicians from using many CS scores to make
nomothetic, score-based inferences about psychopathology in children and
adolescents.
PMID- 18039166
TI - Rorschach Comprehensive System data for a sample of 100 adult nonpatients from
the Belgian French-speaking community.
AB - This article presents normative data for the Rorschach Comprehensive System (CS)
on 100 adult nonpatients from the Belgian French-speaking community. We compare
our Belgian sample to a French one (Sultan et al., 2004), data of Exner's (Exner
& Erdberg, 2005) and a Californian sample (Shaffer, Erdberg, & Haroian, 1999).
Each sample's specific characteristics are explored, as well as findings provided
by sample-specific comparisons. Exner's data, ultimate normative reference for
the CS, differ significantly from the three other samples for many variables.
Cultural factors, examiner's level of experience, as well as participants'
selection and recruitment, presumably flow into calculated differences between
the four samples. Our findings indicate the necessity for constituting normative
data for different communities, as well as the indispensability of examiners'
familiarity with the CS, in order to provide reliable clinical information.
PMID- 18039167
TI - Rorschach Comprehensive System data for a sample of 409 adult nonpatients from
Brazil.
AB - This is a normative study with 409 adult nonpatients living in the state of Sao
Paulo, Brazil. The Rorschach was administered by a team of nine psychologists;
eight had had further training in the Rorschach method by the Brazilian Rorschach
Society and one intensively was prepared by the project coordinator. Of the study
participants, 200 lived in the state capital (Sao Paulo) and the other 209 were
in other large and small cities in the state, including a coastal city and one in
the mountains. Previous psychological or psychiatric treatments were criteria for
exclusion. Each protocol was coded independently by two examiners, and then
agreement of the two codings was checked. Differences between the two codings
were discussed in a meeting of the whole team, which was supervised by the
project coordinator to guarantee codification quality control. Upon completion of
the codings, an analysis of examiner differences was undertaken, the results of
which are in the text. Interrater reliability statistics among examiners were
calculated, including percentage of agreement and kappa. Reliability statistics
among examiners at the response level are presented as are Comprehensive System
(CS; 1999, 2003) findings.
PMID- 18039168
TI - Rorschach Comprehensive System data for a sample of 141 adult nonpatients from
Denmark.
AB - A sample (n = 141) of Danish nonpatients 25-50 years of age, never hospitalized
with a psychiatric diagnosis and currently employed, was demographically
representative of two geographical areas of Copenhagen with different social
strain. The sample, as well as 45 persons not currently employed, was tested with
the Rorschach (Exner, 1995), MMPI-2 (Butcher, Dahlstrom, Graham, Tellegen, &
Kaemmer, 1989), Word Association Test (Ivanouw, 1999b), WAIS Comprehension
subtest (Hess, 1974), and SCL-90-R (Olsen, Mortenson, & Bech, 2006). Half of the
persons contacted volunteered for the study. There was no difference in rate of
volunteering between a standard no-feedback condition and a feedback condition;
the latter, however, tended to attract more psychologically resourceful persons.
The employed persons tended to appear healthier than the not employed. Response
style of the subjects, quality of the Rorschach protocols, reliability of
scoring, and the effect of the data being grouped on geographical area and
examiner were examined. Form level, color, texture, cooperative movement, and EA
were lower than in the Comprehensive System (CS; n = 450) sample, but higher than
in nine international nonpatient Rorschach studies. Unique for the Danish sample
was a low number of animal movement answers. The Rorschach data showed women to
be healthier than men. Differences in Rorschach variables based on educational
level were small.
PMID- 18039169
TI - Rorschach Comprehensive System data for a sample of 75 Danish 9-year-old
children: a pilot study.
AB - This article provides a normative study documenting how 75 Danish nonpatient 9
year-old children respond to the Rorschach test. The children were selected
randomly from nine different parts of Denmark and tested with the Rorschach by 10
different psychologists. All examiners were familiar with the Comprehensive
System (CS; Exner, 2003), and before the data collection they participated in a 3
day workshop performed by the research group that focused on administration,
inquiry, and scoring issues. Among the results are an average R of 23.6 and an
average Lambda of 2.01 (median = 1.18). Slightly more than 60% of the sample had
an Avoidant style (63%); 41% had a CDI of 4 or 5; and 33% were positive on the
HVI. In terms of perception and thinking, average values were .44 for X+%, .27
for X-%, and 9.4 for the WSum6.
PMID- 18039170
TI - Rorschach Comprehensive System data for a sample of 343 adults from Finland.
AB - This article combines Rorschach Comprehensive System (CS; Exner, 1990, 1993) data
from four projects conducted in Finland between 1990 and 1995. The projects
studied a stratified random sample of Finnish nonpatients, a cohort of twins, a
group of elderly men, and a random sample collected to investigate sleep
difficulties. The 343 records from these four studies provide a representative
survey of Rorschach responding throughout the Finnish population.
PMID- 18039171
TI - Rorschach Comprehensive System data for a sample of 98 adult nonpatients from
Greece.
AB - Ninety-eight adult nonpatients from Greece were administered the Rorschach
according to the Rorschach Comprehensive Systems (CS; Exner, 2003) procedures.
These participants were of Greek heritage, were older than 21 years of age, and
resided in Greece. Participants were residents of an urban area, Athens, as well
as residents of provincial areas including smaller cities and villages. The
examiner was a native Greek and of Greek heritage and administered the protocols
in Greek. Exclusion criteria are described. Interrater reliability statistics at
the response level are presented along with scores for CS variables.
PMID- 18039172
TI - Rorschach Comprehensive System data for a sample of 150 adult nonpatients from
Israel.
AB - This study is composed of 150 adult nonpatients, all from Israel, who were
administered the Rorschach. Inclusion/exclusion criteria are described. Senior
graduate students from the clinical psychology program at Bar-Ilan University
administered the Rorschach and were provided with extensive supervision and
ongoing quality control. Interrater reliability statistics at the response level
are presented along with scores for the Rorschach Comprehensive System (CS;
Exner, 2005).
PMID- 18039173
TI - Rorschach Comprehensive System data for a sample of 506 adult nonpatients from
Argentina.
AB - This study is composed of 506 nonpatient adults, ages 18 to 65, all from
Argentina. The data were gathered by five examiners, who tested most of the
participants at their work site. Inclusion and exclusion criteria are described
and interrater reliability statistics at the response level are presented along
with findings for the Rorschach Comprehensive System (CS; Exner, 1994).
PMID- 18039174
TI - Rorschach Comprehensive System data for a sample of 41 adult nonpatients from
Israel.
AB - This study presents data concerning Rorschach protocols of 41 Jewish Israeli
students. The sample includes 20 males and 21 females, ranging in age from 19 to
35, who were selected from a larger sample of 50 undergraduates by applying
psychiatric exclusion criteria. The results concerning the main Rorschach
Comprehensive System's (CS; Exner, 2003) measures of distress (D and Adj D
scores, S-Constellation, and DEPI) revealed substantial elevation. These results
are analyzed in relation to the constant threat of terror, which the participants
are exposed to within the context of the ongoing political conflict in the Middle
East. The analysis is supported by data concerning a new Rorschach index, the
Reality-Fantasy scale (RFS; Tibon, Handelzalts, & Weinberger, 2005), which
applies the CS to psychoanalytic conceptualization of transitional space.
PMID- 18039175
TI - Rorschach Comprehensive System data for a sample of 249 adult nonpatients from
Italy.
AB - This project provides information on how Italian adult nonpatients perform on the
Rorschach test administered and scored following Rorschach Comprehensive System
(CS) guidelines (Exner, 1995). Lis, Zennaro, Calvo, and Salcuni (2001) reported
previously initial data for 101 of the nonpatients who were administered this
instrument between July 1998 and February 2001 by graduate and postgraduate
students in a 2-year research course at the Psychotherapy School of the
University of Padua. The current study extends that previous work and includes
information on an additional 148 participants gathered between April 2001 and
March 2004, for a total of 249 individuals. Exclusion criteria are described and
interrater reliability statistics at the response level for scoring segments are
reported using both percent of agreement and iota. Comprehensive System findings
are presented.
PMID- 18039176
TI - Rorschach Comprehensive System data for two samples of nonpatient children from
Italy: 75 aged 5-7 years and 148 aged 8-11 years.
AB - This project provides information on how nonpatient children perform on the
Rorschach test, administered and scored following Exner's guidelines (1995). Lis,
Parolin, Zennaro, and Mazzeschi (2001) previously reported initial data for 70
nonpatient children living in Italy who were administered this instrument by
graduate and postgraduate students in a 2-year research course at the
Psychotherapy School of the University of Padua between July 1998 and February
2001. The current study is an extension of that work and includes information on
an additional 153 participants gathered between November 2002 and December 2006.
The total number of participants includes 223 individuals, 75 5-7-year-old
children in the first level of elementary school, and 148 8-11-year-old children
in the second level of elementary school. Exclusion criteria are described, and
interrater reliability statistics at the response level for scoring segments are
reported using percent agreement and iota. Rorschach Comprehensive System (CS)
findings are presented.
PMID- 18039177
TI - Rorschach Comprehensive System data for a sample of 116 preadolescent and 117
adolescent nonpatients from Italy.
AB - This project provides information on how preadolescent and adolescent nonpatients
perform on the Rorschach test, administered and scored following Exner's
guidelines (2003). Lis, Salcuni, Parolin, and Superchi (2001) reported previously
initial data for 51 adolescent nonpatients living in Italy who were administered
this instrument by graduate and postgraduate students in a 2-year research course
at the Psychotherapy School of the University of Padua, between July 1998 and
February 2001. The current study is an extension of that work and includes
information on additional adolescent nonpatients and preadolescent nonpatients,
gathered between April 2001 and December 2006. The study thus includes
information on 233 participants, 116 preadolescents (aged 12-14) and 117
adolescents (aged 15-18). Individuals were excluded if they had a major medical
illness in the past 6 months, any psychiatric hospitalization, psychological
treatment within the past 2 years, any felony conviction, or psychological
testing within the past year. Interrater reliability statistics at the response
level for scoring segments are reported both with percentage of agreement and
iota. Rorschach Comprehensive System (CS) findings are presented.
PMID- 18039178
TI - Rorschach Comprehensive System data for a sample of 240 adult nonpatients from
Japan.
AB - This article presents Rorschach Comprehensive System (CS; Exner, 1995) results
for 240 adult nonpatients living in the central area of Tokyo, Japan.
Inclusion/exclusion criteria are described. Interrater reliability statistics at
the response level are presented along with scores for CS variables.
PMID- 18039180
TI - Molecular identification of three Arabidopsis thaliana mitochondrial
dicarboxylate carrier isoforms: organ distribution, bacterial expression,
reconstitution into liposomes and functional characterization.
AB - Screening of the Arabidopsis thaliana genome revealed three potential homologues
of mammalian and yeast mitochondrial DICs (dicarboxylate carriers) designated as
DIC1, DIC2 and DIC3, each belonging to the mitochondrial carrier protein family.
DIC1 and DIC2 are broadly expressed at comparable levels in all the tissues
investigated. DIC1-DIC3 have been reported previously as uncoupling proteins, but
direct transport assays with recombinant and reconstituted DIC proteins clearly
demonstrate that their substrate specificity is unique to plants, showing the
combined characteristics of the DIC and oxaloacetate carrier in yeast. Indeed,
the Arabidopsis DICs transported a wide range of dicarboxylic acids including
malate, oxaloacetate and succinate as well as phosphate, sulfate and thiosulfate
at high rates, whereas 2-oxoglutarate was revealed to be a very poor substrate.
The role of these plant mitochondrial DICs is discussed with respect to other
known mitochondrial carrier family members including uncoupling proteins. It is
proposed that plant DICs constitute the membrane component of several metabolic
processes including the malate-oxaloacetate shuttle, the most important redox
connection between the mitochondria and the cytosol.
PMID- 18039181
TI - Oxidation of myosin by haem proteins generates myosin radicals and protein cross
links.
AB - Previous studies have reported that myosin can be modified by oxidative stress
and particularly by activated haem proteins. These reactions have been implicated
in changes in the properties of this protein in food samples (changes in meat
tenderness and palatability), in human physiology (alteration of myocyte function
and force generation) and in disease (e.g. cardiomyopathy, chronic heart
failure). The oxidant species, mechanisms of reaction and consequences of these
reactions are incompletely characterized. In the present study, the nature of the
transient species generated on myosin as a result of the reaction with activated
haem proteins (horseradish peroxidase/H2O2) and met-myoglobin/H2O2) has been
investigated by EPR spectroscopy and amino-acid consumption, product formation
has been characterized by HPLC, and changes in protein integrity have been
determined by SDS/PAGE. Multiple radical species have been detected by EPR in
both the presence and the absence of spin traps. Evidence has been obtained for
the presence of thiyl, tyrosyl and other unidentified radical species on myosin
as a result of damage-transfer from oxidized myoglobin or horseradish peroxidase.
The generation of thiyl and tyrosyl radicals is consistent with the observed
consumption of cysteine and tyrosine residues, the detection of di-tyrosine by
HPLC and the detection of both reducible (disulfide bond) and non-reducible cross
links between myosin molecules by SDS/PAGE. The time course of radical formation
on myosin, product generation and cross-link induction are consistent with these
processes being interlinked. These changes are consistent with the altered
function and properties of myosin in muscle tissue exposed to oxidative stress
arising from disease or from food processing.
PMID- 18039179
TI - A role for PFK-2/FBPase-2, as distinct from fructose 2,6-bisphosphate, in
regulation of insulin secretion in pancreatic beta-cells.
AB - PFK-2/FBPase-2 (6-phosphofructo-2-kinase/fructose 2,6-bisphosphatase) catalyses
the formation and degradation of fructose 2,6-P(2) (fructose 2,6-bisphosphate)
and is also a glucokinase-binding protein. The role of fructose 2,6-P(2) in
regulating glucose metabolism and insulin secretion in pancreatic beta-cells is
unresolved. We down-regulated the endogenous isoforms of PFK-2/FBPase-2 with
siRNA (small interfering RNA) and expressed KA (kinase active) and KD (kinase
deficient) variants to distinguish between the role of PFK-2/FBPase-2 protein and
the role of its product, fructose 2,6-P(2), in regulating beta-cell function.
Human islets expressed the PFKFB2 (the gene encoding isoform 2 of the
PFK2/FBPase2 protein) and PFKFB3 (the gene encoding isoform 3 of the PFK2/FBPase2
protein) isoforms and mouse islets expressed PFKFB2 at the mRNA level [RT-PCR
(reverse transcription-PCR)]. Rat islets expressed PFKFB2 lacking the C-terminal
phosphorylation sites. The glucose-responsive MIN6 and INS1E cell lines expressed
PFKFB2 and PFKFB3. PFK-2 activity and the cell content of fructose 2,6-P(2) were
increased by elevated glucose concentration and during pharmacological activation
of AMPK (AMP-activated protein kinase), which also increased insulin secretion.
Partial down-regulation of endogenous PFKFB2 and PFKFB3 in INS1E by siRNA
decreased PFK-2/FBPase-2 protein, fructose 2,6-P(2) content, glucokinase activity
and glucoseinduced insulin secretion. Selective down-regulation of glucose
induced fructose 2,6-P(2) in the absence of down-regulation of PFK-2/FBPase-2
protein, using a KD PFK-2/FBPase-2 variant, resulted in sustained glycolysis and
elevated glucose-induced insulin secretion, indicating an over-riding role of PFK
2/FBPase-2 protein, as distinct from its product fructose 2,6-P(2), in
potentiating glucose-induced insulin secretion. Whereas down-regulation of PFK
2/FBPase-2 decreased glucokinase activity, overexpression of PFK-2/FBPase-2 only
affected glucokinase distribution. It is concluded that PFK-2/FBPase-2 protein
rather than its product fructose 2,6-P(2) is the over-riding determinant of
glucose-induced insulin secretion through regulation of glucokinase activity or
subcellular targeting.
PMID- 18039182
TI - Extracellular point mutations in FGFR2 result in elevated ERK1/2 activation and
perturbation of neuronal differentiation.
AB - Two independent gain-of-function point mutations (S252W and P253R) in the
extracellular region of the FGFR2 (fibroblast growth factor receptor 2) increase
the binding affinity for the growth factor. The effect of this enhanced growth
factor binding by these mutants is expected to be an increase in activation of
regular signalling pathways from FGFR2 as a result of more receptors being
engaged by ligand at any given time. Using PC12 (pheochromocytoma) cells as a
model cell system we investigated the effect of these mutations on protein
phosphorylation including the receptor, the activation of downstream signalling
pathways and cell differentiation. Our results show that the effects of both of
these extracellular mutations have unexpected intracellular phenotypes and
cellular responses. Receptor phosphorylation was altered in both the ligand
stimulated and unstimulated states. The mutants also resulted in differential
phosphorylation of a number of intracellular proteins. Both mutations resulted in
enhanced ERK1/2 (extracellular-signalregulated kinase1/2) activation. Although
ERK1/2 activation is believed to transduce signals resulting in cell
differentiation, this response was abrogated in the cells expressing the mutant
receptors. The results of the present study demonstrate that single extracellular
point mutations in the FGFR2 have a profound effect on intracellular signalling
and ultimately on cell fate.
PMID- 18039183
TI - Novel recombinant thrombolytic and antithrombotic staphylokinase variants with an
RGD motif at their N-termini.
AB - To develop a more potent thrombolytic agent, four Sak (staphylokinase) variants
were constructed, in which RGD (Arg-Gly-Asp) sequences are introduced into
diferent sites of the N-terminus of Sak. These variants were successfully
expressed in Escherichia coli DH5alpha as soluble cytoplasmic proteins in a 5
litre fermentor and accounted for more than 40% of the total cellular protein.
The expressed proteins were subsequently purified, employing a similar three-step
chromatographic purification process. SDS/PAGE and HPLC-MS analyses indicated
that the purified proteins were almost completely homogeneous, the purity of the
variants exceeding 95%. Further investigations into the properties of the Sak
variants showed that mutations at the N-terminus significantly affected N
terminal methionine excision, and serine residues at the N-terminus of Sak
appeared to play an important role in the process. Kinetic analysis of r-Sak
(recombinant Sak) and its variants using plasminogen as substrate indicated that
the mutations affected the proteolysis. In addition, a significant inhibitory
effect of the Sak variants at 2.0 muM was observed on the ADP-induced aggregation
of platelets compared with that of r-Sak, whether N-terminally cleaved or not
(P<0.05). Furthermore, the inhibitory activity of Sak variants after N-terminal
proteolysis was higher than that of native Sak variants.
PMID- 18039185
TI - Central nervous system birth defects in surgically treated infants in Sarajevo
region of Bosnia and Herzegovina.
AB - Congenital anomalies of the central nervous system (CNS) are common. The
prevalence of these anomalies shows considerable geographical variation and
female predominance. The aim of this work was to obtain the frequency of
different CNS congenital anomalies types and their sex distribution among cases
hospitalized in a Department of Neurosurgery, University of Sarajevo Clinics
Center, Bosnia and Herzegovina, during the period January 2001 to December 2004.
Retrospective study was carried out on the basis of the clinical records.
Standard methods of descriptive statistics were performed for the data analysis.
A total of 103 cases were surgically treated in the period from 2001 through
2004. Out of that number 56 (54.4%) were female patients, while 47 (46.6%) were
male patients. Seven different CNS birth defect types were found in this
investigation. These were: spina bifida (42 cases or 40.78%), congenital
hydrocephalus (35 cases or 33.98%), arachnoid cyst (15 cases or 14.56%), Dandy
Walker syndrome (5 cases or 4.85%), dermoid cyst (4 cases or 3.88%), one of
Arnold-Chiari syndrome (0.98%) and one of encephalocele (0.98%). According to
this investigation, CNS congenital birth defects were slightly higher in females
(54.4%). The most frequent types were spina bifida (40.78%) both in females
(22.33%) and in males (18.45%), hydrocephalus (33.98%) and arachnoid cyst
(14.56%). The anomalies of the other organ systems, associated with CNS anomalies
obtained in this investigation, were pes equinovarus, cheiloschisis, cardiomegaly
and palatoschisis. They were found in six cases (5.82%), equal in both sexes.
PMID- 18039186
TI - Research on spontaneously emerged chromosomal aberrations in the periphery blood
lymphocytes in cattle ('Busa' breed).
AB - Knowledge of spontaneous aberrations, namely, of their frequency in non
irradiated cells is of paramount importance not only in cytogenetic research, but
also in contemporary animal production. The paper deals with research on
spontaneously emerged chromosomal aberrations in the peripheral blood lymphocytes
in the cattle of 'Busa' breed. To obtain metaphase chromosomes the conventional
method of lymphocyte cultivation was used, albeit slightly modified and adapted
to the examined animals and the laboratory conditions. The research findings
indicate that a certain percent of spontaneously emerged chromosomal aberrations
of chromatid type (gap and break) have been found in the peripheral blood
lymphocytes in the cattle of 'Busa' breed.
PMID- 18039187
TI - N-terminal pro-brain natriuretic peptide (NT-proBNP) serum concentrations in
apparently healthy Bosnian women.
AB - Brain natriuretic peptide (BNP) is a cardiac hormone secreted predominantly from
the ventricles. This hormone is produced as pre-prohormone BNP (pro BNP), than
cleaved by corine to biologically active 32-aminoacid BNP and non-biologically
active N-terminal-pro brain natriuretic peptide (NTproBNP). NTproBNP has been
found to be a useful marker for the diagnosis of heart failure and left
ventricular systolic dysfunction. Recent studies showed that concentration of BNP
and NTproBNP predict cardiovascular disease in apparently healthy individuals but
their full screening characteristics are not firmly established. As NTproBNP
serum concentration is altered by numerous factors there are also interindividual
variations in NTproBNP values. There are no previous results for Bosnian
population so the aim of this study was to asses normal range of NTproBNP serum
concentrations in apparently healthy women using electrochemiluminescence
immunoassay (Elecsys, Roche Diagnostic). A group of 45, healthy females, aged
39.19 (+/-6.62), were enrolled in this study. Mean serum concentration of
NTproBNP was 60.32 (+/-36.25) pg/ml, with the range of 112,60 pg/ml (minimum
maximum: 13.6-126.00 pg/ml). We conclude that NTproBNP serum concentration in
apparently healthy Bosnian women was not different from the average values of
NTproBNP obtained on Europen's population. Thus, we suggest that the NTproBNP
serum upper cut off values measured by using electrochemiluminescence immunoassay
"ECLIA" (Elecsys 2010, Roche Diagnostic) for Bosnian females, aged < or =50
years, should be 155 pg/ml as reported by Roche Diagnostic.
PMID- 18039188
TI - Chromosome aberrations as bioindicators of environmental genotoxicity.
AB - Due to the exposure to various potentially genotoxic xenobiotics, derived from
recent war activities such as NATO air strikes with antitank ammunition
containing depleted uranium, we have evaluated chromosome aberrations in 84
peripheral blood samples from three local populations. One population sample
included 30 individuals who lived in the Sarajevo area during and after the war
(exposed to potential genotoxins), second population was presented with 26
employees of the tank repair facility in Hadzici (target of NATO air strikes),
and 28 inhabitants of Posusje (not exposed to war-related activities) were
treated as sample of control population. The mean of chromosome aberration
frequencies for the population from Hadzici was significantly higher than the
frequencies for the two other populations. Point bi-serial coefficient analysis
did not reveal any relationship between the frequencies of chromosome aberrations
and smoking habits or gender. Results suggest that depleted uranium could be a
risk factor for human health.
PMID- 18039189
TI - Antioxidant capacity in the lipophilic fraction of Alzheimer's brain tissues.
AB - The aim of this study was to investigate the antioxidant capacity (AC) in the
lipophilic fraction of postmortem motorcortex (MC), nucleus caudatus (NC) and
gyrus temporalis (GT) from controls (C) and Alzheimer's disease (AD) patients.
The initial samples consisted of 50 human brain tissues of AD and C. AC of the
different region of human brain were measured by using the fluorescent method of
the oxygen radical absorbance capacity (ORAC). Peroxyl and hydroxyl radical
generators were used in the analysis. All ORAC analysis were carried out on the
Perkin-Elmer spectrofluorometer LS 55 with fluorescent filters, Ex: 485 nm; Em:
520 nm. Final results were calculated using the differences between area under
the quenching curve of fluorescein (FL), blank and analyzed biological samples.
AC against peroxyl radicals (ORAC-ROO degrees ) of lipophilic fraction in MC of
AD was statistically significantly lower in comparison with MC of C (p < 0.008).
No changes in the AC against hydroxyl radicals (ORAC- degrees OH) of lipophilic
fraction of AD were found in comparison with C. Reduction of total protein in GT
of AD (p < 0.03) was found. The results showed that in the MC of AD brain the
balance between production of free radicals and the neutralization by a complex
antioxidant system is disturbed. The manual fluorescent method for AC
measurements proved to be sufficiently appropriate and sensitive for the AC
measurements of lipophilic fraction of postmortem brain tissues from different
patologic conditions.
PMID- 18039190
TI - Serum C-reactive protein concentration and measures of adiposity in patients with
type 2 diabetes mellitus.
AB - We investigated serum concentration of C-reactive protein (CRP) and measures of
adiposity in 30 patients with type 2 diabetes mellitus (15 male, 15 female) and
30 age and sex-matched apparently healthy subjects. CRP concentration was
determined by laser nephelometry (BN II Analyzer) and CardioPhase high
sensitivity CRP (DADE BEHRING) was used as reagent which consists of polystyrene
particles coated with mouse monoclonal antibodies to CRP. Results have shown that
serum CRP concentration in patients with type 2 diabetes mellitus was
statistically significantly higher compared to control group of healthy subjects
(p<0,05). Body mass index (BMI) correlated significantly with serum concentration
of CRP in patients with type 2 diabetes mellitus (r=0.614; p<0.001).
Statistically significant positive correlation was also found between waist to
hip ratio and serum CRP concentration in patients with type 2 diabetes mellitus
(r=0.426; p<0.05). Elevated serum CRP concentration in patients with type 2
diabetes mellitus is probably caused by the presence of chronic low-grade
inflammation in these patients. It is possible that determined increase of CRP
concentration reflects activation of innate immune system components in patients
with type 2 diabetes mellitus. Implications of established association between
measures of adiposity and serum CRP level in type 2 diabetes mellitus remain
unclear.
PMID- 18039191
TI - Influence of tablet splitting on content uniformity of
lisinopril/hydrochlorthiazide tablets.
AB - Dose-related adverse effects of medications are a major problem in modern medical
practice. The "correct" dose, based on drug company guidelines in package
inserts, may not be correct for many patients. Tablet splitting or dividing has
been an accepted practice for many years as a means of obtaining the prescribed
dose of medication. As model tablets for this investigation, two batches of
lisinopril- hydrochlorothiazide scored tablets labeled to contain 20/12.5 mg were
used. The aim of this study was to establish possible influence of tablet
splitting on content uniformity of lisinopril/hydrochlorthiazide tablets.
Determination of the content uniformity of lisinopril and hydrochlorthiazide in
our batches, was carried out by HPLC method. The results of content uniformity
studies for halves of tablets containing combination of lisinopril
hydrochlorthiazide (supposed to contain 50% of stated 20/12.5 mg in the whole
tablet) were: 49.60 +/-3.29% and 49.29+/-0.60 % (lisinopril); 50.33+/-3.50% and
50.69+/-1.95% (hydrochlorthiazide) for batch I and II, respectively. We can
conclude that the results obtained in this study support an option of tablet
splitting, which is very important for obtaining the required dosage when a
dosage form of the required strength is unavailable, and for better
individualization of the therapy.
PMID- 18039192
TI - Effect of biphasic insulin aspart 30 combined with metformin on glycaemic control
in obese people with type 2 diabetes.
AB - Combination therapy consisting of biphasic insulin aspart 30 bid with metformin
provide better glycaemic control in obese patients with diabetes mellitus type 2.
In our study, patients who were treated with 2550 mg of metformin, administered
in three daily doses had poor glycaemic control. Three months after switching
from metformin therapy to treatment with biphasic insulin aspart 30 + metformin
twice a day, glycaemic control improved with significant reduction in hemoglobin
HbA1c, fasting blood glucose and postprandial blood glucose levels. Biphasic
insulin aspart 30 in combination with metformin administered twice a day may be
recommended as a starting insulin treatment in obese diabetic persons whose
glycaemic control remained poor while on oral metformin therapy alone.
PMID- 18039193
TI - Echocardiographic monitoring of patients with heart failure.
AB - Twenty seven patients diagnosed as having systolic heart failure with Ejection
Fraction (EF) of less than 40% by echocardiography were monitored over a period
of 3 years. The monitored parameters included clinical symptoms, diastolic
dysfunction, therapies and survival during three years of treatment. The results
indicate a beneficial effect of treatment with high doses of ACE-inhibitors,
spironolactone and beta-blockers in improving clinical symptoms and diastolic
function. The survival rate was similar to that in the developed European
countries.
PMID- 18039194
TI - The effects of inducible nitric oxide synthase inhibitor L-N6-(1-iminoethyl)
lysine in gentamicin-induced acute tubular necrosis in rats.
AB - The aim of this study was to investigate the role of inducible nitric oxide
synthase (iNOS) in gentamicin-induced acute tubular necrosis in rats using the
iNOS inhibitor L-N6-(1-iminoethyl) lysine (L-NIL). Wistar rats, both sexes
(n=18), were equally divided into three groups. Gentamicin group received
intraperitoneally (i.p.) gentamicin in 0.9 % NaCl at a dose of 80 mg/kg/day for
five consecutive days. L-NIL+gentamicin group received L-NIL at a dose of 3 mg/kg
i.p. 36, 24 and 12 h before first dose of gentamicin. Control group received 0.9
% NaCl i.p. for five consecutive days at the equal volume as gentamicin group.
Griess reaction was used for determination plasma level of NO. Semiquantitative
histological analysis was used for the evaluation of kidney damage level. The
plasma NO level and the level of kidney damage were statistically higher in
gentamicin group in comparison to the control group (p=0.046). Application of L
NIL prior to gentamicin led to certain decrease in the plasma level of NO as well
as in the level of kidney damage. Application of L-NIL, prior to gentamicin
administration, did not provide complete protective effects of L-NIL on the
kidney, which was demonstrated on kidney sections. The lack of anticipated
protective effect of L-NIL on kidney tissue might be explained with the fact that
we have used L-NIL prior but not during/after gentamicin administration. It would
be necessary to examine the effects of L-NIL administration not only before, but
as well during and possibly after the administration of gentamicin.
PMID- 18039196
TI - No changes in serum concentrations of interleukin 10 (IL-10) and interferon gamma
(IF-gamma) before and after treatment of the thyroid eye disease (TED).
AB - TED is a severe eye disease leading in rare cases to decrease of sight, optic
nerve compression and blindness. Recently, significant progresses in
understanding the disease have been done. Nevertheless, the treatment of the
disease, especially in its severe form remains challenging. Glucocorticoids (GC)
have been the basis of the treatment for a long time. Orbital irradiation (OI)
and optical decompression (OD) are also used in managing the severe forms of TED.
Somatostatin, intravenous immunoglobulin have been also used, with conflicting
results. Regarding the potential for the treatment of TED with cytokine
antagonists, controlled clinical studies are not available. Since cytokines play
an important role in the pathogenesis of the TED, they seemed to be logical
choice for modern TED treatment. It has been shown that both Th1 (interleukin-2,
tumor necrosis factor gamma, interleukin gamma) and Th2 (interleukin -4, -5, -10)
profile T cells are activated in the TED. We therefore measured interleukin
gamma, IF-gamma and interleukin -10 (IL-10)(Th1 and Th2 pattern) to assess its
relationship to the course of the disease. This paper shows that both Th1 (IL-2)
and Th2 (IF-gamma) pathways represented by those two cytokines are not involved
(IL-10 before 2.29+/-5.23 and after treatment 3.77+/-8.44; IF gamma before 0.50+/
0.24 and after treatment 0.35+/-0.19). No relationship to the response to
treatment was found. GC resulted in positive response in 8/22 patients, OI (12
patients) given after CS therapy, resulted in a response in all patients.
Increase in proptosis, loss of visual acuity is spite of CS treatment prompted OD
in two patients, who both recovered visual acuity and proptosis fell under 25 mm
Hertel.
PMID- 18039195
TI - The role of inhalatory corticosteroids and long acting beta(2) agonists in the
treatment of patients admitted to hospital due to acute exacerbations of chronic
obstructive pulmonary disease (AECOPD).
AB - There is the question about the role of fixed combination of inhalatory
corticosteroids and long acting beta(2) agonists in the treatment of patients
admitted in hospital due to AECOPD. The objective of this study is to determine
the frequency of etiologic factors of AECOPD, to research the length of recovery
time and the time free from exacerbation due to AECOPD at the patients treated
with fixed combination inhalers containing F/S versus patients who were not
treated with this combination. This is retrospective-prospective, randomized,
clinical study with a sample size of 70 patients who admitted to hospital due to
AECOPD type I or II. Patients are randomized in two groups. Prospective group
from 36 patients have been treated with oral or parenteral corticosteroids 7-14
days, other medications and fixed combination inhalers containing a F/S. Second,
retrospective group from 34 patients have been treated with oral or parenteral
corticosteroids 7-14 days (in time when we didn't have fixed combination inhalers
containing a F/S) and other medications. In both groups (prospective and
retrospective) the most frequent etiological factors of AECOPD was bacterial
infection, after that viral infection, other factors as well as congestive heart
failure. Average recovery time for symptoms of AECOPD was statistically
significant shorter in group patients treated with fixed combination inhalers
containing F/S (prospective group) than in group treated without this fixed
combination. There are also significant differences in average number of days
need for recovery in subgroups of patients by etiological factors of AECOPD,
except in cases of AECOPD onset because of congestive heart failure. Average free
time from exacerbation at the patients treated with fixed combination inhalers
was statistically significant longer than in group of patients who were not
treated with this combination. In this study has demonstrated the presence of
pathogenic bacteria in 53% our patients hospitalized due to AECOPD. There were
26% patients whose exacerbation is signed as viral origin. 11% cases had
congestive heart failure. Average recovery time for non-viral AECOPD was 14.8
days and for exacerbations of viral origin 27.4 days. Average free time from
exacerbation at the patients treated with fixed combination inhalers containing a
F/S was statistically significant longer than in group of patients who were not
treated with this combination. There were no statistically significant
differences in average number of exacerbation during the year, between observed
groups.
PMID- 18039197
TI - Treatment of the spasticity in children with cerebral palsy.
AB - Botulinum toxin is a natural purified protein and one of the strongest biological
poisons--neurotoxin. It is produced by the bacterium Clostridium botulinum. Its
medical usage started in USA in 1981 and in Europe in 1992. There are seven
different immune types of the toxin: A, B, C1, D, E, F and G. Toxin types A and B
are used to decrease muscular spasticity. Botulinum toxin prevents the formation
of acetylcholine from cholinergic nerve tissues in muscles, which in the end
irreversibly destroys neuromuscular synapses. It is called temporary local
chemodenervation. It does not affect the synthesis of acetylcholine. As it
affects neuromuscular bond it also affects one of the symptoms of cerebral palsy-
spasticity. Decreasing the spasticity of children with cerebral palsy leads to
the improvement of conscious movements, muscles are less toned, passive mobility
is improved, orthosis tolerance is also improved, and the child is enabled to
perform easier and better motor functions such as crawling, standing and walking.
Since the action of Botulinum toxin is limited to 2-6 months, new neural
collaterals are formed and neuromuscular conductivity is reestablished which in
the end once again develops a muscular spasm. This leads to a conclusion that
botulinum toxin should again be applied into spastic muscles. It is very
important for good effect of Botulinum toxin to set the goals of the therapy in
advance. The goals include improvement of a function, prevention of contractions
and deformities, ease of care and decrease of pain for children with cerebral
palsy. After application of botulinum toxin, it is necessary to perform adequate
and intensive physical treatment with regular monitoring of effects. This work
shows a case of a boy with spastic form of cerebral palsy. After being
rehabilitated using Vojta therapy and Bobath concept and the conduct of certain
physical procedures, botulinum toxin is administered into his lower limbs'
muscles and kinesiotherapy is intensified. After the administration of botulinum
toxin significant functional improvement is noted.
PMID- 18039198
TI - Gastric pull-up reconstruction for the hypopharyngeal and cervical esophageal
carcinoma in small thoracic unit.
AB - The objective of this article is to review results of one surgical team for
gastric pull-up reconstruction for carcinoma of the hypopharynx and cervical
esophagus after pharyngolaryngo-esophagectomy in small thoracic unit. Between
July, 2004 and December 2005, four patients underwent pharyngolaryngo
esophagectomy and gastric pull-up reconstruction for carcinoma of hypopharynx and
esophagus. There were three female and one male patient with average age at the
time of surgery 47 years. The study analyzed complications and mortality in early
postoperative period after resections of the carcinoma. Squamous cell cancer in
all patients was confirmed. There was no in-hospital mortality and non-fatal
complications were occurred in 3 patients. It was recorded two year survival for
two patients. Pharyngolaryngo-esophagectomy and gastric pull-up reconstruction
can be performed for the patients with carcinoma of the hypopharynx and cervical
esophagus with an acceptable operative mortality and morbidity rate even in small
thoracic unit. Long term survival despite good early postoperative results still
remains poor.
PMID- 18039199
TI - Trend of Balkan endemic nephropathy patients on renal replacement therapy in
Bosnia from 2003 through 2005.
AB - The aim of this study is to evaluate epidemiological status of Balkan endemic
nephropathy (BEN) patients on renal replacement therapy (RRT) in Bosnia from 2003
through 2005. Incidence and prevalence rates of BEN, diabetes mellitus (DM) and
RRT population and proportion of BEN RRT population in total RRT population were
tracked in renal units covering the entire BEN endemic region in Bosnia. BEN
incidence and prevalence rates were 52; 34;48 and 262; 265, 292, respectively. DM
incidence and prevalence rates were 7; 13; 8 and 20; 28; 33, respectively. Total
RRT population incidence and prevalence rates were 89; 82; 79 and 424; 436; 473,
respectively. Proportions of incident BEN RRT population in incident total RRT
population and proportions of incident BEN RRT population in incident total RRT
population when incident diabetics were subtracted from incident total RRT
population were 0.58; 0.41; 0.61, and 0.63; 0.49; 0.67; respectively. Proportions
of prevalent BEN RRT population in prevalent total RRT population and proportions
of prevalent BEN RRT population in prevalent total RRT population when prevalent
diabetics were subtracted from prevalent total RRT population were 0.62; 0.61;
0.62, and 0.65; 0.65; 0.66, respectively. Trend of BEN RRT population was stable
in Bosnia from 2003 through 2005.
PMID- 18039200
TI - Fixed combination lisinopril plus hydro-chlorothiazide in the treatment of
essential arterial hypertension: an opened, multi-centre, prospective clinical
trial.
AB - The aim of this trial was to examine the efficacy and safety of antihypertensive
fixed combination lisinopril plus hydrochlorothiazide (Lopril H, Bosnalijek dd)
in the treatment of essential arterial hypertension. In our trial we included 297
patients, aged 54.65+/-9.6 years, with treated or untreated hypertension and with
high risk of cardiac events, in an opened trial of therapy based on lisinopril
plus hydrochlorothiazide. Upon the examination by physicians, patients were
divided into three groups in accordance with European Society of Cardiology
guidelines for the management of arterial hypertension. Patients from five
European countries were followed up for a period of 12 weeks. Duration of
treatment was 12 weeks. We adjusted daily doses of lisinopril plus
hydrochlorothiazide after every clinical examination and recorded adverse effects
of drugs. After 12 weeks of treatment, 288 patients (96%) were evaluated for
efficacy, tolerability and safety. In almost 81.5% patients with mild, moderate
and severe hypertension, we recorded a reduction in blood pressure to
approximately normal values SBP and DBP (140/90 mmHg). Drug-related side-effects
occurred in 11 patients (3.66%). The most commonly reported adverse effects
associated with lisinopril plus hydrochlorothiazide were cough (5) and dry mouth
(5). This research has proved good efficacy of fixed combination lisinopril plus
hydrochlorothiazide with more than 97% patients. Based on subjective estimation
by patients: this drug improved quality of life in all cases.
PMID- 18039201
TI - Guidelines for the antiviral therapy of hepatitis C virus carriers with normal
serum aminotransferase based on platelet counts.
AB - AIM: We aimed to identify the candidates for antiviral therapy, among patients
who are hepatitis C virus (HCV) carriers with normal serum aminotransferase
(ALT), focused on the inhibition of hepatocellular carcinoma (HCC). METHODS: Four
hundred and sixty-four HCV carriers with normal serum ALT and 129 HCV carriers
with persistently normal ALT (PNALT) and platelet (PLT) counts >/=150 000/muL who
received liver biopsies were enrolled. HCV carriers with normal serum ALT were
divided into four groups according to their ALT levels (=30 U/L or 31-40 U/L)
and PLT counts (>/=150 000/muL or <150 000/muL). RESULTS: In 129 HCV carriers
with PNALT, the rate of progression of fibrosis stage was 0.05/year and no HCC
was detected during the follow up for 10 years. Approximately 20% of patients
with ALT =40 U/L and PLT counts >/=150 000/muLwere at stage F2-3; however,
approximately 50% of patients with ALT = 40 U/L and PLT counts <150 000/muL
were at stage F2-4. An algorithm for the management of HCV carriers with normal
serum ALT was advocated based on ALT and PLT counts. CONCLUSION: The combination
of ALT and PLT counts is useful for evaluating the fibrosis stage in HCV carriers
with normal serum ALT. Most patients with PLT counts <150 000/muL are candidates
for antiviral therapy, especially those with ALT levels >/=31 U/L when we focus
on the inhibition of the development of HCC.
PMID- 18039202
TI - Development of evidence-based clinical guidelines for the diagnosis and treatment
of hepatocellular carcinoma in Japan.
AB - The Clinical Practice Guidelines for Hepatocellular Carcinoma (HCC), the first
evidence-based guidelines for the treatment of HCC in Japan, were compiled by an
expert panel supported by the Japanese Ministry of Health, Labour, and Welfare.
This set of guidelines covers six research fields: prevention, diagnosis and
surveillance, surgery, chemotherapy, transarterial chemoembolization, and
percutaneous local ablation therapy. A systematic review of the English medical
literature on HCC was performed, and a total of 7192 publications were extracted,
mainly from MEDLINE (1966-2002). After the second selection, 334 articles were
adopted for the guidelines to form 58 pairs of research questions and
recommendations. For the users' convenience, practical algorithms for the
surveillance and treatment of HCC were also created, which were based on evidence
from the selected articles forthe guidelines and modified according to the
current status of medical practice in Japan, where liver resection for HCC is
regarded as safe with less than 1% mortality and cadaveric donors for liver
transplantation are extremely difficult to obtain. The formation of the
guidelines and the outline of their contents are described. The Japanese HCC
guidelines may be useful in decision making at every clinical step, both for
patients and physicians. Although the main users of these guidelines are assumed
to be Japanese physicians, the accumulated evidence and interpretation in the
guidelines may attract universal attention.
PMID- 18039203
TI - Erratum.
PMID- 18039204
TI - Surgery for cardiac valves and aortic root without cardioplegic arrest ("beating
heart"): experience with a new method of myocardial perfusion.
AB - Simultaneous antegrade/retrograde warm blood perfusion with a beating heart has
not been previously reported as a mean of protecting hypertrophied hearts in
cardiac valve and aortic root surgeries. Similarly, beating heart mitral valve
surgery via the trans-septal approach with the aorta unclamped, is a novel
technique. We, herein, report a series of 346 patients with a variety of cardiac
pathologies who were operated upon utilizing a new modality of myocardial
perfusion. Among this group of patients, there were 55 patients who were
diagnosed with endocarditis of one or more valves. These patients were excluded
from this series of patients. Mean age was 59 +/- 12, and there were 196 (67.3%)
males and 95 (32.7%) females. There were six aortic root procedures, 90 mitral
valve replacements (MVR), 46 mitral valve repairs, 20 MVR+ coronary artery bypass
grafting (CABG), 28 tricuspid valve repairs, 106 aortic valve replacements (AVR),
17 AVR+CABG, and 8 AVR/MVR. Crude mortality for the group was 20 of 291 (6.8%).
Intra-aortic balloon pump utilization at time of weaning from cardiopulmonary
bypass was 6/291 (2.06%), and re-operation for bleeding was needed in 12 of 291
(4.1%) patients. Postoperative stroke occurred in 4 of 291 (1.3%) patients. In
these patients, the clinical diagnosis of stroke was made prior to surgery. This
initial experience with this new method of myocardial perfusion indicates that
results are at least comparable, if not superior, to conventional techniques
utilizing intermittent cold blood cardioplegia.
PMID- 18039205
TI - Improvement of outcomes after coronary artery bypass II: a randomized trial
comparing intraoperative high versus customized mean arterial pressure.
AB - BACKGROUND AND AIM OF THE STUDY: The objective of this randomized trial was to
compare the efficacy of two strategies of hemodynamic management during
cardiopulmonary bypass (CPB) on morbidity, mortality, cognitive complications and
deterioration in functional status. METHODS: Patients scheduled to undergo
primary elective CABG were eligible. In one group, mean arterial pressure target
during CPB was 80 mmHg ("high" MAP group); in the other group, MAP target was
determined by patients' pre-bypass MAP ("custom" MAP group). The principal
outcomes were mortality, major neurologic or cardiac complications, cognitive
complications or deterioration in functional status. RESULTS: Of 412 enrolled
patients, 36% were women, with overall mean age of 64.7 +/- 12.3 years. Duration
of bypass was identical for the two randomization groups. Overall complication
rates were similar: 16.5% of the high group and 14.6% of the custom group
experienced one or more neurologic, cardiac or cognitive complications. When only
cardiac and neurologic morbidity and mortality were considered, the rates were
11.7% and 12.6%, in the high and custom groups, respectively. The aggregate
outcome rate, including functional deterioration, was 31.6% in the high group and
29.6% in the custom group. CONCLUSIONS: There were no statistically significant
differences between the high MAP group and the custom MAP group for the combined
outcome of mortality cardiac, neurologic or cognitive complications, and
deterioration in the quality of life.
PMID- 18039206
TI - Aortic valve repair and root preservation by remodeling, reimplantation, and
tailoring: technical aspects and early outcome.
AB - OBJECTIVES: Evaluate aortic root preserving/sparing procedures for various
pathologies associated with ascending aortic aneurysms, including aortic valve
regurgitation. METHODS: From the end of 1990 through end of 2004, 388 patients
had aortic root preserving procedures (reimplantation 72, remodeling 77,
tailoring 239) +/- leaflet repair. Preoperatively, in-house grade aortic
regurgitation was 1(+) in 58, 2(+) in 110, 3(+) in 101, and 4(+) in 66.
Concurrent leaflet repairs were done in 197 (50.8%, Cabrol/Trusler commissure
stitch 158, leaflet plication 36, supracommissure stitch 42, leaflet resection
and repair 16, perforation repair 18, and debridement 11). Additional procedures
included arch repair in 227 (58%), coronary bypass in 83 (21.4%), elephant trunk
in 33 (8.5%), and minimally invasive approach in 30 (7.7%). Pathologies included
dissection in 140 (36%; 86 acute), Marfan syndrome in 39 (10%), bicuspid valve in
78 (20%), and degenerative aneurysm in 142 (36.6%). The CLASS (Commissure,
Leaflet, Annulus, Sinuses, Sinotubular) evaluation schema is described that is
used for selecting either reimplantation, remodeling, or tailoring of the aortic
root according to underlying pathology. RESULTS: Hospital survival was 97.4%
(378/388) and stroke occurred in 4.6% (18/388, four permanent, [1%]). On
postoperative echocardiography, patients had either no (0) or 1(+) regurgitation
(1(+)= 98);13 (3.4%) had 2(+). Three patients (1%) required reoperation for
aortic valve failure before discharge (two tailoring, one remodeling).
CONCLUSIONS: Excellent early results can be achieved by aortic root preserving
procedures and concurrent aortic valve leaflet repairs when appropriately
selected for a diverse class of pathologies.
PMID- 18039207
TI - Mild or moderate ischemic mitral regurgitation in patients undergoing off-pump
coronary artery bypass grafting.
AB - BACKGROUND: There has been a debate, whether mild or moderate ischemic mitral
regurgitation (IMR) should be repaired at the time of coronary bypass surgery. We
assessed the early and midterm outcomes of patients with mild or moderate IMR who
underwent isolated off-pump coronary artery bypass grafting (OPCAB). METHODS: We
retrospectively reviewed records of 602 patients who underwent OPCAB between
April 2001 and July 2004. Of those, 96 patients had mild or moderate MR (IMR
group), and 506 had none or trivial MR (no IMR group). Preoperative and
postoperative characteristics were compared between the groups. Furthermore,
fates of grade of MR and functional status were investigated in patients with
IMR. RESULTS: The preoperative mean New York Heart Association (NYHA) classes
were 2.7 +/- 1.0 and 2.4 +/- 0.9 in the IMR and no IMR groups, respectively (p <
0.01). Operative mortality was similar between groups (1.0% in the IMR group and
0.4% in no IMR group, p = 0.41). The cumulative survival rate for the IMR group
was 93.7% at 1 year and 90.1% at 4 years. The mean NYHA class was 1.1 +/- 0.4 (p
< 0.0001) at 28.8 +/- 11.4 months after operation for the IMR group. The mean
grade of mitral regurgitation diminished (from 2.2 +/- 0.4 to 1.1 +/- 0.6, p <
0.0001) soon after operation in patients with IMR, and remained so (1.1 +/- 0.8,
p < 0.0001) during the follow-up period. CONCLUSIONS: Mild or moderate IMR could
be improved with isolated OPCAB. The early and midterm functional outcomes of
these patients were favorable.
PMID- 18039208
TI - Impact of valve prosthesis-patient mismatch on intermediate-term outcome and
regression of left ventricular mass following aortic valve replacement with
mechanical prosthesis.
AB - BACKGROUND: The impact of aortic valve replacement (AVR) with prosthesis-patient
mismatch (PPM) on intermediate-term outcome and left ventricular mass (LVM)
regression in patients with aortic stenosis (AS) was investigated. METHODS: One
hundred fifty patients with AS (87 pure stenosis and 63 combined stenosis and
regurgitation) were classified into a PPM group (n = 34, indexed effective
orifice area (EOAI) >0.65 cm(2)/m(2) and < or =0.85 cm(2)/m(2); moderate PPM) and
a non-PPM group (n = 116, EOAI > 0.85). Mean age, mean and peak aortic pressure
gradient (PG) were not different between the groups (PPM, 99.7 +/- 37.2 and 54.9
+/- 23.2 mmHg; non-PPM, 95.9 +/- 29.2 and 54.4 +/- 16.0 mmHg). The absolute and
relative regression in indexed left ventricular mass (LVMI) was estimated by
preoperative and postoperative echocardiography (n = 98). RESULTS: Twelve
patients died (valve-related death in 7) during 5 years of follow-up. Comparing
the PPM and non-PPM groups, overall survival (78.7% vs. 87.8%) and survival free
from valve-related death (96.8% vs. 92.1%) were not significantly different. New
York Heart Association (NYHA) functional class improved in all patients and there
were no patients in class III or IV. The postoperative mean PG was 14.6 +/- 6.1
mmHg in the PPM group and 9.4 +/- 3.8 mmHg in the non-PPM group (p = 0.0005),
with an inverse correlation (r = -0.48, p < 0.0001) between EOAI and the
postoperative mean PG. However, there was no significant difference in the
absolute and relative LVMI regression between the two groups. Multiple linear
regression analysis was performed and higher preoperative LVMI and mean aortic PG
were independent predictors of greater LVMI regression after AVR. CONCLUSIONS:
Moderate PPM does not appear to alter LVMI regression, NYHA class, or
intermediate-term outcome in AS patients undergoing AVR with mechanical
prostheses. In multivariate analysis, preoperative LVMI and mean aortic PG were
important independent predictors of LVMI regression.
PMID- 18039209
TI - Clopidogrel is safe early after on- and off-pump coronary artery bypass surgery.
AB - OBJECTIVES: The goal of this study was to assess the safety of early
postoperative clopidogrel in patients undergoing on-pump and off-pump coronary
artery bypass graft (CABG) surgery. METHODS: Observational data was collected
prospectively on 117 consecutive patients who underwent primary multivessel CABG
between July 2002 and June 2005. When postoperative chest tube drainage was < or
=50 cc/h for 2 hours, daily clopidogrel (75 mg) and aspirin (81 mg) were
initiated in 63 patients (Group CA) versus aspirin alone in 54 patients (Group
A). Demographic, operative, and postoperative data were compared with linear
regression and propensity-score based techniques. RESULTS: Total chest tube
drainage and drainage after patients received antiplatelet agents were equivalent
between groups. Clopidogrel administration did not increase the incidence of
reexploration, transfusion, or the quantity of blood products transfused. No
mortality or extrathoracic bleeding occurred in either group, and there were no
differences in the incidence of adverse myocardial events or hospital length of
stay. CONCLUSIONS: When given according to a predefined postoperative protocol,
early postoperative clopidogrel can safely be administered in on-pump and off
pump CABG patients, without increasing the risk of bleeding complications.
Evaluation of the benefits of clopidogrel therapy early after CABG in a
randomized setting is ongoing.
PMID- 18039211
TI - Transposition of arch vessels and endovascular stenting of saccular aneurysm of
distal arch--a case report.
AB - Endovascular repair of thoracic aneurysms has emerged as an attractive
alternative especially in high-risk patients. However, the aortic curvature and
potential coverage of the epiaortic vessels limit the use of stent-grafts in
aneurysms located in the aortic arch. We report a case with a saccular aneurysm
in the distal arch and proximal descending aorta, where we have transposed the
epiaortic vessels to gain a longer proximal neck in the aortic arch to safely
deploy an endovascular stent.
PMID- 18039210
TI - Venous gas embolization during endoscopic vein harvesting for coronary artery
revascularization: a life-threatening event.
AB - A case of massive gas embolization during endoscopic vein harvesting is
presented. This potentially life-threatening condition should be promptly
diagnosed and treated. A brief review of the current literature is presented.
PMID- 18039212
TI - Mitral valve replacement for a severely calcified mitral annulus.
AB - We herein describe a surgical technique in a mitral valve replacement for a
hemodialysis patient presenting with mitral valve stenosis and severe mitral
annular calcification. Mitral annular calcification extending to the left
ventricular myocardium was resected using a cavitron ultrasonic surgical
aspirator (CUSA) to make a flat plane from the left atrium to the left ventricle.
An autologous pericardium was secured to the posterior left ventricular wall and
to the left atrial wall covering the mitral annulus for annular reconstruction.
In the posterior mitral annulus, the prosthetic valve was fixed onto this
pericardial patch. After the operation, the patient recovered well without any
embolic complications. The prosthetic valve functions normally without any
perivalvular leakage. Decalcification using the CUSA and the annular
reconstruction with a pericardial patch is therefore indicated in valve
replacement for patients with severe mitral annular calcification.
PMID- 18039213
TI - A safe technique of exposing of a "hidden" left anterior descending artery.
AB - We describe a safe, easy, and fast technique of exposing the left anterior
descending artery (LAD), when this is embedded under the myocardium or excessive
epicardial fat tissue, during coronary artery bypass grafting (CABG) or off-pump
coronary artery bypass (OPCAB). The vessel is opened as distal as possible, then
a fine intravascular probe is introduced retrogradely. Through palpation of the
tip, the course of LAD is confined together with the site of distal anastomosis
formation. The suggested technique minimizes the risk of injuring the vessel or
ventricles, reduces the ischemia-time, and allows the performance of anastomosis
as paroximal as possible in the cases of OPCAB with embedded LAD.
PMID- 18039215
TI - Hybrid approach facilitates use of a minimized CPB circuit and transfusion free
surgery in an extended Norwood stage II procedure.
AB - Intraoperative stent implantation into a stenotic left pulmonary artery branch
and surgical creation of a bidirectional Glenn anastomosis resulted in avoidance
of aortic cross-clamping and transection of the aorta for surgical patching of
the pulmonary artery. The hybrid approach thereby reduced the complexity of the
surgical procedure, facilitated the use of a minimized cardiopulmonary bypass
circuit, reduced the degree of hemodilution and blood trauma and resulted in
transfusion-free surgery and excellent clinical outcome in an 11 kg child.
PMID- 18039216
TI - Coronary bypass surgery in kawasaki disease in a four-year-old patient: case
report.
AB - Kawasaki disease is an acute vasculitis syndrome of unknown etiology that mainly
affects small and medium-sized arteries, particularly the coronary arteries. This
disease is rarely seen in infants and young people in Turkey. In this short
report, we present a four-year-old patient who has Kawasaki disease associated
with coronary artery aneurysm and underwent coronary bypass grafting.
PMID- 18039217
TI - Primary malignant fibrous histiocytoma of the heart with skeletal muscles
metastases.
AB - Malignant fibrous histiocytoma is an extremely rare primary malignant tumor of
the heart. It is usually diagnosed when it is locally aggressive or has already
metastasized. The prognosis is poor with an average survival time of one year. We
report a case of recurrent left atrial malignant fibrous histiocytoma initially
misdiagnosed as myxoma. The patient underwent repeated surgical resections
followed by chemotherapy. Despite adjuvant chemotherapy, 18 months after initial
diagnosis, definitive tumor relapse in left atrium was diagnosed. This is the
48th case of primary cardiac fibrous malignant histiocytoma reported in the
literature.
PMID- 18039218
TI - Trans-aortic repair of a sinus of valsalva aneurysm.
AB - Sinus of Valsalva aneurysms are rare and vary in their presentation and approach
of surgical repair. We report on a case of isolated right sinus of Valsalva
aneurysm that underwent successful excision and patch repair with individual
sutures placed through the annulus of the aortic valve.
PMID- 18039219
TI - A case of pneumopericardium following endomyocardial biopsy.
AB - Pneumopericardium is a very rare condition, less common than isolated
pneumothorax or pneumomediastinum. Since it can occasionally cause pericardial
tamponade, prompt recognition and treatment of this condition is lifesaving. Up
to now only one case of isolated pneumopericardium after endomyocardial biopsy
has been reported in a two-month boy with a dilated cardiomyopathy of unknown
origin. In the current case, we report a 25-year-old man who underwent orthotopic
heart transplantation three years ago in whom isolated pneumopericardium occurred
following endomyocardial biopsy performed via right internal jugular vein.
PMID- 18039220
TI - Effect of epicardial fat on ablation performance: a three-energy source
comparison.
AB - OBJECTIVES: To investigate the effect of epicardial fat on surgical atrial
fibrillation ablation performance using an in vitro model. Two tissue models were
employed to investigate standard penetration and maximal lesion depth performance
of bipolar radiofrequency (RF), microwave, and laser energy sources. METHODS:
Ventricular veal tissue was utilized in various thicknesses (3 mm, 5 mm, 7 mm, 15
mm). Epicardial fat was modeled by layering porcine fat (1 mm, 2 mm and 4 mm) on
moistened tissue. In each group, 8 to 10 lesions were created. Post ablation,
tissue samples were sectioned and ablation depth of each myocardial section
measured using 1% tetrazolium tetrachloride dye solution. RESULTS: The laser
energy source produced nearly 100% transmural lesions in almost all study groups
irrespective of myocardium thickness and fat thickness. The microwave device
maintained transmurality in all 3-mm and most 5-mm myocardium trials but fell to
near zero with all 7-mm myocardium trials. The bipolar RF maintained
transmurality only when no fat was applied. In the maximal lesion depth models,
the laser was capable of producing lesions >8 mm with no fat and >6 mm with
either 2 mm or 4 mm of fat present. The microwave produced lesions in the no fat
(>6 mm) and 2-mm (>4 mm) fat group. The bipolar RF produced 83% transmurality
with no fat and zero percent transmurality with 2 mm of fat present. CONCLUSIONS:
Epicardial fat can severely limit transmurality in energy sources that utilize
conductive heating. Laser energy was uniformly superior at producing both
transmural and deep lesions irrespective of the presence of fat.
PMID- 18039221
TI - Emergency endovascular stent graft repair of aorto-bronchial fistulas
postcoarctation repair.
AB - Cardiovascular complications following coarctation repair include aorto bronchial
fistulas (ABF) which if untreated are invariably fatal. Reoperative surgery is
associated with considerable mortality and morbidity. Endovascular stent
aortoplasty provides a relatively new and viable alternative. Two cases of ABF
post coarctation repair that presented with life threatening haemoptysis are
discussed. Endovascular repair appears safe and feasible in an emergency and may
become the preferred treatment modality in such cases.
PMID- 18039222
TI - Surgical intervention to remove an entrapped fractured guidewire during
angioplasty.
AB - Intra-coronary entrapment of angioplasty hardware is a rare complication of
coronary intervention. Such complication is potentially dangerous. We present two
cases of an entrapped fractured guidewire during angioplasty. They were managed
by urgent coronary bypass.
PMID- 18039223
TI - Mechanical prosthetic valve dysfunction causing pulsus alternans leading to
intermittent electromechanical dissociation: a case report and literature review.
AB - We describe a case of electromechanical dissociation (EMD) in a 62-year-old
female patient following insertion of a Medtronic Hall mitral valve prosthesis.
She initially developed pulsus alternans which led, over a few hours, to
intermittent electromechanical dissociation. Clinical and echocardiographic
findings are described. Emergency surgical intervention revealed a piece of
chorda wedged between the disc occluder and the valve ring. This case illustrates
clinical and Doppler echocardiographic features associated with a rare
presentation of an unusual perioperative complication of prosthetic mitral valve
dysfunction. We have also included a brief review of related literature.
PMID- 18039225
TI - Surgical options for refractory ventricular tachycardia.
AB - Ventricular tachycardia (VT) is most often treated with antiarrhythmic drug
therapy. When standard drugs fail, percutaneous, endocardial ablation guided by
electroanatomic mapping is usually curative. Occasionally, these options are
either unsuccessful or are not feasible, and surgical ablation is required.
Surgical ablation of VT employs electroanatomic mapping and a variety of ablation
strategies and technologies. The specific approach (endocardial vs. epicardial,
beating heart vs. arrested) and ablation device must be tailored to the patient's
anatomy and presentation. We present three cases to illustrate the range of
surgical options available for ablation of VT arising from different anatomic
foci.
PMID- 18039226
TI - Persistent left SVC with absent right SVC: a rare anomaly.
AB - A persistent left superior vena cava is an anomaly found in association with many
congenital heart disorders. However its presence along with absence of the right
superior vena cava is a very rare congenital anomaly. This anomaly has
implications in various interventional procedures and in cardiac surgery. We
present here a case with absence of the right SVC and a persistent left SVC found
in association with an ostium secundum atrial septal defect.
PMID- 18039227
TI - Atrial septal occluder device surveillance using 64 multi-slice computed
tomography.
PMID- 18039229
TI - Toxicity of chronic paracetamol ingestion.
PMID- 18039230
TI - Alcohol, drugs, and the adolescent brain.
PMID- 18039231
TI - Diagnosing paediatric multiple sclerosis versus acute disseminated
encephalomyelitis.
PMID- 18039232
TI - Localized and distant actions of BTX-A injections.
PMID- 18039233
TI - Intracranial pressure measurement in children.
PMID- 18039234
TI - Early relapse risk after a first CNS inflammatory demyelination episode:
examining international consensus definitions.
AB - The International Pediatric Multiple Sclerosis Study Group (IPMS) has recently
proposed consensus definitions for paediatric multiple sclerosis (MS) and related
disorders. The term 'acute disseminated encephalomyelitis' (ADEM) has been used
previously to describe any monophasic episode of disseminated demyelination. The
study group now propose that ADEM must be multifocal, polysymptomatic, and
include encephalopathy (as an essential requirement). An alternative diagnosis
for a first acute inflammatory event is 'clinically isolated syndrome' (CIS). A
CIS event may be either monofocal (such as isolated optic neuritis) or
multifocal, but cannot include encephalopathy. As with adults, children with two
or more discrete demyelinating events separated in time and space meet criteria
for MS. In children with MS, the demyelination events must not meet ADEM
criteria. To test the usefulness of these new criteria, a new cohort of 40
patients (18 males, 22 females; mean age 8 y [SD 4 y 4 mo]) with central nervous
system (CNS) demyelination were studied. Using IPMS definitions, the presenting
diagnosis was ADEM in 12 patients and CIS in 28 patients. At presentation,
patients with CIS were more likely to have intrathecal synthesis of oligoclonal
bands and fulfil KIDMUS MS magnetic resonance imaging criteria, compared with
patients with ADEM (p<0.025). Patients were followed-up for a mean of 2 years 2
months. Only one of 12 patients with ADEM went on to develop MS during the study
period, whereas 13 of 28 patients with CIS relapsed and fulfilled a diagnosis of
MS (p<0.025). The new diagnostic criteria for ADEM may be criticized for being
overly restrictive (particularly with encephalopathy being an essential
criterion), and it is suspected that many practising physicians will be of the
opinion that these new criteria will underdiagnose ADEM, and overdiagnose MS at
the expense of multiphasic ADEM. However, it is hoped that these new criteria may
improve prognostic specificity and provide uniformity to future paediatric CNS
demyelination research.
PMID- 18039235
TI - Neurological complications of cardio-facio-cutaneous syndrome.
AB - Cardio-facio-cutaneous syndrome (CFC) is a multiple congenital anomaly disorder
characterized by craniofacial dysmorphia, ectodermal abnormalities, congenital
heart defects, and developmental and growth delay. Neurological complications
associated with CFC remain to be clearly defined. Recent discovery of causative
mutations in genes of the MAPK pathway (BRAF, MEK1, and MEK2) now permit accurate
molecular diagnosis of CFC. The aim of the study was to characterize neurological
features of participants with molecularly-confirmed CFC. Medical records, and
laboratory and imaging data were reviewed for 39 mutation-positive individuals
with CFC. Participants with a clinical diagnosis of CFC but a negative result on
mutation screening of the BRAF, MEK1, and MEK2 genes were excluded from the
study. Mean age of participants was 9 years 4 months (range 18 mo-24 y); there
were 24 females and 15 males. Mutations in B RA F were present in 32
participants, MEK1 in five, and MEK2 in two participants. Hypotonia, motor delay,
speech delay, and learning disability were universally present in this cohort.
Macrocephaly was present in 13 participants, ptosis in 11, strabismus in 14, and
nystagmus in 11 of the 22 participants who underwent a neurological exam.
Corticospinal tract findings were present in seven participants. Ventriculomegaly
or hydrocephalus was present in 14 of 32 participants who underwent brain
imaging. Other findings on magnetic resonance imaging included prominent Virchow
Robin spaces (n=6), abnormal myelination (n=4), and structural anomalies (n=5).
Seizures were present in 15 participants. No specific genotype-phenotype
correlation was observed.
PMID- 18039236
TI - Feasibility of robotic-assisted locomotor training in children with central gait
impairment.
AB - Intensive, task-specific training enabled by a driven gait orthosis (DGO) may be
a cost-effective means of improving walking performance in children. A paediatric
DGO has recently been developed. This study was the first paediatric trial aimed
to determine the feasibility of robotic-assisted treadmill training in children
with central gait impairment (n=26; 11 females, 15 males; mean age 10 y 1 mo [SD
4 y]; range 5 y 2 mo-19 y 5 mo). Diagnoses of the study group included cerebral
palsy (n=19; Gross Motor Function Classification System Levels I-IV), traumatic
brain injury (n=1), Guillain-Barre syndrome (n=2), incomplete paraplegia (n=2),
and haemorrhagic shock (n=1), and encephalopathy (n=1). Sixteen children were in
patients and 10 were outpatients. Twenty-four of the 26 patients completed the
training which consisted of a mean of 19 sessions (SD 2.2; range 13-21) in the in
patient group and 12 sessions (SD 1.0; range 10-13) in the outpatient group. Gait
speed and 6-Minute Walking Test increased significantly (p<0.01). Functional
Ambulation Categories and Standing dimension (in-patient group p<0.01; outpatient
group p<0.05) of the Gross Motor Function Measure improved significantly. DGO
training was successfully integrated into the rehabilitation programme and
findings suggest an improvement of locomotor performance.
PMID- 18039237
TI - Increased efficacy and decreased systemic-effects of botulinum toxin A injection
after active or passive muscle manipulation.
AB - The effect of physical manipulation on the outcome of neurotoxin (NT) injection
was studied in a rat tibialis anterior (TA) model system where dorsiflexion
torque could be measured precisely. After determination of initial torque, all
rats received a one-time botulinum toxin A (BTX-A) injection (dose 6.0 units/kg
in a volume of 100 microL) into the TA midbelly. Four experimental groups were
studied: one group was subjected to BTX-A injection alone (BTX-A only, n=8), one
was subjected to BTX-A injection followed immediately by 10 isometric
contractions (ISO; n=9), and the third was subjected to BTX-A followed
immediately by 10 muscle passive stretch/release cycles (PS; n=10). After 1
month, maximum dorsiflexion torque of the injected and contralateral legs was
determined followed by quantification of TA fiber area. Post-injection torque was
significantly reduced by around 80% in all NT-treated extremities 1 month after
injection (p<0.05). While all NT-treated extremities demonstrated a significant
torque decrease relative to their pre-injection levels, ISO and PS groups
demonstrated significantly lower torques compared with the BTX-A only group which
received no physical manipulation (p<0.05) indicating greater efficacy. Perhaps
even more surprising was that the ISO and PS groups both demonstrated a
significantly smaller contralateral effect compared with the BTX-A only group
that received no manipulation (p<0.05) indicating a decreased systemic-effect.
Muscle fiber size generally correlated with dorsiflexion torque. These data
demonstrate that both neuromuscular activity (seen in the ISO group) and muscle
movement (seen in the PS group) increased the efficacy of BTX-A and decreased the
systemic side effects.
PMID- 18039238
TI - Psychometric characteristics of the Neonatal Oral-Motor Assessment Scale in
healthy preterm infants.
AB - We examined the reliability, validity, and responsiveness of the Neonatal Oral
Motor Assessment Scale (NOMAS) in healthy preterm infants. Feeding records of 147
infants (71 males, 76 females; gestational age [GA] 0.70) in the normal category for 32 to 35 weeks'PMA. Moderate correlations were
found between scores on the NOMAS and feeding performance for all age groups
except for PMA of >or= 36 weeks (absolute Spearman's r(s)=0.51-0.69), indicating
acceptable convergent validity. The NOMAS demonstrated moderate responsiveness to
changes in oral-motor skills in every 2-week period, ranging from 32 to 36
weeks'PMA (standard response mean greater than 0.5). This study demonstrated that
the normal and disorganized categories of the NOMAS are useful, with acceptable
psychometric properties, in assessing oral-motor function in preterm infants aged
32 to 35 weeks'PMA. Future research on infants with abnormal oral-motor skills is
needed to further validate psychometric properties of the dysfunction category of
the NOMAS.
PMID- 18039239
TI - Developmental coordination disorder in children with attention-deficit
hyperactivity disorder and physical therapy intervention.
AB - Although physical therapy (PT) is effective in improving motor function in
children with developmental coordination disorder (DCD), insufficient data are
available on the impact of this intervention in children with combined attention
deficit-hyperactivity disorder (ADHD) and DCD. This prospective study aimed to
establish the prevalence of DCD among a cohort of patients with ADHD,
characterize the motor impairment, identify additional comorbidities, and
determine the role of PT intervention on these patients. DCD was detected in
55.2% of 96 consecutive children with ADHD (81 males, 15 females), mostly among
patients with the inattentive type (64.3% compared with 11% of those with the
hyperactive/impulsive type, p<0.05). Mean age was 8 years 4 months (SD 2 y).
Individuals with both ADHD and DCD more often had specific learning disabilities
(p=0.05) and expressive language deficits (p=0.03) than children with ADHD only.
Twenty-eight patients with ADHD and DCD randomly received either intensive group
PT (group A, mean age 9 y 3 mo, SD 2 y 3 mo) or no intervention (group B, mean
age 9 y 3 mo, SD 2 y 2 mo). PT significantly improved motor performance (assessed
by the Movement Assessment Battery for Children; p=0.001). In conclusion, DCD is
common in children with ADHD, particularly of the inattentive type. Patients with
both ADHD and DCD are more likely to exhibit specific learning disabilities and
phonological (pronunciation) deficits. Intensive PT intervention has a marked
impact on the motor performance of these children.
PMID- 18039240
TI - Children with severe developmental disabilities and behavioral disorders have
increased special healthcare needs.
AB - We studied whether children with severe developmental disabilities (SDDs) who
have a comorbid behavioral disorder also have higher rates of special healthcare
needs (SHCNs). We used a matched-comparison control group design to establish
whether SHCNs were higher in children with SDDs with behavioral disorders versus
children with SDDs without behavioral disorders. Thirty-six children were matched
for age (mean 12 y 6 mo; range 5 y 2 mo-18 y 8 mo), sex (24 males, 12 females),
ethnicity (22 non-white), mental retardation level (22 moderate, eight severe,
six profound), and Diagnostic and Statistical Manual of Mental Disorders, 4th
edition axis I diagnosis (18 autism spectrum disorder, 10 specified syndrome,
eight mental retardation not otherwise specified). Measures included the
Achenbach Child Behavior Checklist, behavioral observation, health status
examination, and Childhood Health Questionnaire (CHQ). Children with SDDs with
behavioral disorders had significantly higher levels of SHCN, as measured by the
CHQ and health status examination. Children with SDDs with behavioral disorders
had a twofold higher incidence of SHCNs than children with SDDs without
behavioral disorders. No difference was observed in the number or types of
prescription medication that children received. The findings suggest that SHCNs
contribute to the occurrence and/or intensity of behavioral disorders in children
with SDD and may require interdisciplinary care coordination.
PMID- 18039241
TI - Encephalopathy with retinitis due to cat-scratch disease.
AB - Cat-scratch disease is one of several diseases known to be caused by Bartonella
species. Some infections due to Bartonella resolve spontaneously without
treatment with antibiotics, but in other cases the disease can be fatal without
treatment. This case study reports a 7-year-old male who presented with an
unexplained encephalopathy and unusual retinal findings associated with evidence
supporting infection by B. henselae. The 7-year-old male presented with a 2-week
history of general malaise and cervical lymphadenopathy progressing onto fever,
headache, vomiting, and confusion associated with meningism. Lumbar puncture
revealed a raised cerebrospinal fluid protein, low glucose, and raised white cell
count. Abnormal retinal findings and raised antibodies titres to B. quintana
indicated a diagnosis of cat-scratch disease. He was treated with azithromycin
orally for 3 weeks and made a complete recovery.
PMID- 18039242
TI - Measurement of intracranial pressure in children: a critical review of current
methods.
AB - Assessment of intracranial pressure (ICP) is essential in the management of acute
intracranial catastrophe to limit or actively reduce ICP. This article provides
background information and reviews the current literature on methods of measuring
ICP in children. Indications for ICP measurement are described for children with
traumatic brain injury, shunt insertion or malfunction, arachnoid cyst,
craniosynostosis, and prematurity. Various methods of ICP monitoring are
detailed: non-invasive, indirect (lumbar puncture, visual-evoked potentials,
fontanelle compression, and optic nerve sheath), and direct assessment
(ventricular cannulation, and epidural, subdural, and intraparenchymal devices).
Normal levels of ICP will depend on the age and position of the child during
monitoring. This article provides clinical and research-based evidence in this
area where there is currently limited guidance.
PMID- 18039243
TI - Systematic review of hyperbaric oxygen therapy for cerebral palsy: the state of
the evidence.
AB - A systematic review of the evidence was conducted on the benefits and adverse
effects of hyperbaric oxygen treatment (HBOT) for cerebral palsy (CP). Studies of
any HBOT regimen in patients with CP were included except for case reports and
case series. Electronic databases (e.g. MEDLINE, EMBASE), professional society
databases, and reference lists were searched to identify studies. Study quality
was assessed using predefined criteria relevant to the study design. Two
randomized controlled trials and four observational studies were identified. Best
evidence came from a randomized controlled trial which found that HBOT at 1.75
atmospheres (atm) and 1.3 atm of room air resulted in similar improvements in
motor function (5-6%). Other outcomes also indicated no difference between the
HBOT and room air. Observational studies reported improvements in motor function
to a similar degree. Other evidence was insufficient to clarify the benefits
and/or adverse effects of HBOT for CP. Both HBOT and pressurized room air
resulted in improvements in motor function compared with baseline. Similar
improvements were seen in the observational studies. Children undergoing HBOT
were reported to experience adverse events, including seizures and the need for
ear pressure equalization tube placement, but the incidence was unclear. Future
research is needed to determine the efficacy of pressurized room air or non
pressurized oxygen in equivalent amounts by mask, compared with standard
treatments.
PMID- 18039244
TI - The role of magnetic resonance imaging in furthering understanding of the
pathogenesis of cerebral palsy.
PMID- 18039246
TI - The use of nurses' and carers' observations in the identification of poststroke
depression.
AB - AIM: This paper is a report of a study to determine the accuracy and utility of
an observational screening tool (Signs of Depression Scale), when rated by nurses
and carers for detecting depression in patients who have recently had a stroke.
BACKGROUND: Depression following a stroke is common and adversely affects
recovery and rehabilitation. Identifying depression when patients have
communication and cognitive difficulties is especially problematic. Screening
tools which rely solely on observation may be beneficial in this group. METHOD: A
cross-sectional study of people admitted with an acute stroke compared a clinical
diagnosis of depression by a psychiatrist (the gold standard) with the Signs of
Depression Scale completed by nurses and carers. The agreement between nurses'
and carers' ratings was also explored. Data were collected over 10 months
(December 2004-October 2005). FINDINGS: Seventy-one patients were included in the
study, median age 70 [inter-quartile ranges (IQR) 59-76], including 40 (56.3%)
males. The psychiatrist classified 25/71 (35.2%) patients as depressed. Using the
recommended cut-point of 2 or more on the Signs of Depression Scale, the nurse
and carer respectively rated 27/71 (38.0%) and 18/30 (60.0%) patients as
potentially depressed. The proportion of patients correctly identified as
depressed by the test (sensitivity) when rated by nurses was 64%, and the
proportion of patients not depressed who were correctly identified by the test
(specificity) was 61%, whereas carers achieved sensitivity 90% and specificity
35%. The optimal cut-point for carers was higher at 4 or more. Inter-rater
agreement on the Signs of Depression Scale between nurses and carers was fair
(ICC = 0.43, 95% CI: 0.09-0.68). CONCLUSION: The Signs of Depression Scale is
easily completed by clinical staff, although we found the sensitivity when
completed by nurses to be low. Information from carers shows potential to improve
screening and it is important for nurses to value the knowledge and skills of
carers in detecting depression following a stroke. Further refinement of the
Signs of Depression Scale, with accompanying research, is required.
PMID- 18039245
TI - Physiological monitoring in acute stroke: a literature review.
AB - AIM: This paper is a report of a review of the literature that considers how
physiological parameters may affect outcome after stroke and the implications of
this evidence for monitoring. BACKGROUND: Throughout the world, the incidence of
first-ever stroke is approximately 200 per 100,000 people per year [Sudlow et al.
(1997). Stroke 28, 491]. Stroke is the third most common cause of mortality
[Sarti et al. (2000). Stroke 31, 1588] and causes 5.54 million deaths worldwide
[Murray & Lopez (1997). Lancet 349, 268]. Physiological monitoring is considered
a fundamental component of acute stroke care. Currently, the strength of evidence
to support its use and identify its components is unclear. Nurse-led
physiological assessment and subsequent interventions in acute stroke may have
the potential to improve survival and reduce disability. DATA SOURCES: Online
bibliographic databases from 1966 to 2007, including MEDLINE, EMBASE, CINAHL,
AMED, Cochrane and ZETOC, were searched systematically. We identified 475
published papers relating to blood pressure, oxygen saturation and positioning,
blood glucose and body temperature. REVIEW METHODS: Titles and abstracts were
reviewed independently by two reviewers and 61 relevant studies were read in
full. The quality of included studies was assessed and proformas were used to
record detailed data. A narrative synthesis described how the evidence from the
papers could inform our understanding of physiological parameters and their
association with outcome. RESULTS: Current evidence suggests that patient outcome
is worse when physiological parameters deviate from 'normal' in the acute phase
of stroke. CONCLUSIONS: The evidence supports the need for monitoring and
recording of blood pressure, oxygen saturation (including consideration of
positioning), blood glucose and body temperature in the acute phase of stroke.
This review has reinforced the importance of monitoring physiological parameters
in the acute phase of stroke and adds support to the recommendation that
monitoring should play a key role within nursing care.
PMID- 18039247
TI - Understanding dementia amongst people in minority ethnic and cultural groups.
AB - AIM: This paper is a report of a study to explore perceptions of ageing, dementia
and ageing-associated mental health difficulties amongst British people of
Punjabi Indian origin. BACKGROUND: People from ethnic minorities are often under
represented in mental health services. Contributing factors may include lack of
knowledge of dementia in these communities, lack of detection in primary care,
expectations of family care and lack of appropriate services. For this to change,
greater knowledge is needed about awareness and conceptualization of dementia in
minority ethnic communities. METHOD: A focus-group study was conducted between
2001 and 2003 with 49 English-, Hindi- and Punjabi-speaking British South Asians,
aged 17-61 years. Views of ageing and ageing-associated difficulties were
explored in initial groups. In a second set of groups, vignettes were used for
more specific exploration of awareness and understanding of dementia. Data were
subjected to thematic analysis. FINDINGS: Ageing was seen as a time of withdrawal
and isolation, and problems as physical or emotional; cognitive impairment was
seldom mentioned. There was an implication that symptoms of dementia partly
resulted from lack of effort by the person themselves and possibly from lack of
family care. Therefore people should overcome their own problems and family
action might be part of the solution. There was a sense of stigma and a lack of
knowledge about mental illness and services, alongside disillusionment with
doctors and exclusion from services. CONCLUSION: Health promotion and health
interventions delivered with respect for the cultural context are needed, as well
as education of healthcare professionals about South Asian conceptualizations of
dementia.
PMID- 18039248
TI - Staffing adequacy, supervisory support and quality of care in long-term care
settings: staff perceptions.
AB - AIM: This paper is a report of a study to explore relationships between perceived
care quality, self-assessed professional skills, and the perceptions of the
quality-related factors. BACKGROUND: The work in long-term care is more demanding
than in the past. The quality of care is strongly related to the well-being and
job satisfaction of staff. Those emerge in part through a perception of resources
allocated to caring and also through a perception of the quality achieved.
METHOD: Data were collected in Finland in 2002 using a questionnaire sent to the
nursing staff working in 112 wards in 40 long-term care institutions monitoring
their care with the Resident Assessment Instrument System. Institutions were
invited to participate the survey. The response rate was 70.2% (n = 1262). The
respondents represented 3.8% of nursing personnel working in long-term care
institutions. FINDINGS: Staff members who perceived staffing levels as inadequate
and supervisory support as insufficient had lower perceptions of their own
professional skills and the quality of care. Perceptions of empowering support
behaviour were more strongly associated to self-assessed skills and to perceived
care quality than perceptions of skills-oriented support activities. Staff
members with short professional training, older staff members and staff members
with long work experience in the unit had lower perceptions of their professional
skills than other groups. CONCLUSION: The perception of adequate staffing and of
sufficient supervisory support, especially empowering support increases the
probability of perceiving the care quality as good. If supervisors concern
themselves with staff members' perceptions, they can better identify the staffing
needs and also the support needs of personnel.
PMID- 18039249
TI - Adjustment to colostomy: stoma acceptance, stoma care self-efficacy and
interpersonal relationships.
AB - AIM: This paper is a report of a study to examine adjustment and its relationship
with stoma acceptance and social interaction, and the link between stoma care
self-efficacy and adjustment in the presence of acceptance and social
interactions. BACKGROUND: There have been significant advances in stoma
appliances and an increase in nurses specialising in stoma care. Despite this, a
large proportion of patients continue to experience adjustment problems, which
suggests that improvements in the management of the stoma are by themselves not
enough to enhance psychosocial functioning. Illness acceptance and interpersonal
relationships are widely reported as correlates of adjustment to chronic illness,
but these have not been specifically examined in patients with a colostomy.
Evidence of their association could offer stoma therapists alternative ways of
aiding adjustment. METHOD: Between 2000 and 2002, 51 patients with colostomies
provided demographic and clinical data and completed validated questionnaires to
measure acceptance of the stoma, relationship with others and stoma care self
efficacy 6 months after surgery. FINDINGS: Multiple regression analysis showed
that stoma care self-efficacy, stoma acceptance, interpersonal relationship and
location of the stoma were strongly associated with adjustment. The model
explained 77% of the variance. Stoma-care self-efficacy accounted for 57.5%, the
psychosocial variables 13% and location of the stoma 4.6%. The addition of
gender, which was not statistically significant (P > 0.05), explained a further
1.9% of the variance. CONCLUSION: Addressing psychosocial concerns should become
part of the care routinely given to stoma patients. We recommend more emphasis on
dispelling negative thoughts and encouraging social interactions.
PMID- 18039250
TI - The construction of men who are nurses as gay.
AB - AIM: This paper is a report of a study to examine the construction of the
stereotype of male nurses as gay, and to describe how this discourse impacts on a
group of New Zealand male nurses. BACKGROUND: A discourse stereotyping male
nurses as gay is accompanied by one which privileges hegemonic masculinity and
marginalizes homosexuality. METHOD: This social constructionist study drew on
data collected from existing texts on men, nursing and masculinity and interviews
with 18 New Zealand men conducted in 2003-2004. Discourse analysis, informed by
masculinity theory and queer theory, was used to analyse the data. FINDINGS:
Despite the participants' beliefs that the majority of male nurses are
heterosexual, the stereotype persists. A paradox emerged between the 'homosexual'
general nurse and the 'heterosexual' psychiatric nurse. The stigma associated
with homosexuality exposes male nurses to homophobia in the workplace. The
heterosexual men employed strategies to avoid the presumption of homosexuality;
these included: avoiding contact with gay colleagues and overt expression of
their heterosexuality. CONCLUSION: There is a paradox between widespread calls
for men to participate more in caring and discourses which stereotype male nurses
as gay and conflate homosexuality and sexual predation. These stigmatizing
discourses create a barrier to caring and, aligned with the presence of
homophobia in the workplace, deter men's entry into the profession and may be
important issues with respect to their retention. Nurse educators must ensure
that nurses are able to resist collusion with stigmatizing discourses that
marginalize men (and women) in the profession through the perpetration of gender
and sexual stereotypes.
PMID- 18039251
TI - Holding together: caring for clients undergoing assisted reproductive
technologies.
AB - AIM: This paper is a report of a study to investigate the roles and experiences
of nurses caring for clients undergoing assisted reproductive technologies.
BACKGROUND: Little has been written on nurses' experiences of assisted
reproductive technologies. Such interventions are emotionally fraught for
clients, and working in areas of high emotional intensity can also be emotionally
difficult for staff. Nurses are in a potentially unique position in the assisted
reproductive technology environment in that, unlike other professionals who move
in and out during the treatment cycle, they maintain a more constant contact with
the client. METHOD: A qualitative approach was taken and a convenience sample of
15 nurses from New Zealand was interviewed in 2005. Data were analysed using
interpretive description. FINDINGS: The overarching theme identified was that of
the potential role of the nurse to 'hold together' multiple components of the
assisted reproductive technology process: holding together clients' emotional and
physical experiences of assisted reproductive technologies; holding together the
roles of different specialist team members; and holding together personal own
emotions. It encompasses practices such as information-giving, interpreting,
supporting and advocating. CONCLUSION: Assisted reproductive technologies nurses
require recognition of their role and how it may positively contribute to
clients' experiences, as well as adequate preparation and ongoing support. Nurses
need to be educated in both the emotional and medical aspects involved in caring
for clients being treated with these technologies.
PMID- 18039252
TI - Characteristics of patient and healthcare service utilization associated with
inappropriate hospitalization days.
AB - AIM: This paper is a report of a study to examine the number of inappropriate
days of hospitalization and to identify the characteristics of patient and
healthcare service utilization associated with inappropriate hospital stays.
BACKGROUND: Inappropriate hospitalization stays are recognized as an important
indication of the misuse of healthcare services, but the published literature
shows inconsistent findings on factors influencing this. METHOD: A descriptive,
correlational study was carried out in September 2005, with a patient survey and
a review of patient records. Data were collected for 383 patients discharged from
eight general nursing care units in a tertiary teaching hospital in Korea.
Inappropriate hospitalization days were defined as inpatient days not requiring
continuous and active medical, nursing or paramedical treatment provided by
hospital services, and were judged using the Korean version of the Appropriate
Evaluation Protocol. Univariate and multiple regression analyses were performed
to determine factors associated with inappropriate hospitalization days.
FINDINGS: A total of 3076 hospitalization days were reviewed. The average
proportion that were inappropriate was 5.1% (+/-16.0) per patient, and 14.1% of
patients were determined to have had at least one inappropriate hospitalization
day. The most common reason judged as appropriate was need for nursing/life
support services. Statistically significant factors associated with inappropriate
stay included gender, age, primary disease, length of stay and ward bed occupancy
level during the patient's hospitalization. CONCLUSION: Managers should take into
account patient and clinical characteristics to promote better utilization of
hospital resources.
PMID- 18039253
TI - Emotional toil: psychosocial care in rural settings for patients with cancer.
AB - AIM: This paper is a report of a study to identify experienced rural nurses'
perceptions of key issues related to the provision of effective psychosocial care
for people with cancer in rural settings. BACKGROUND: A cancer diagnosis has a
major impact on psychological and emotional wellbeing, and psychosocial support
provided by nurses is an integral part of ensuring that people with cancer have
positive outcomes. Although, ideally, people with cancer should be managed in
specialist settings, significant numbers are cared for in rural areas. METHODS:
Using a qualitative descriptive approach, three focus groups were conducted in
2005 with 19 nurses in three hospitals in rural Victoria, Australia. FINDINGS:
Participants indicated that a key issue in providing psychosocial care to
patients with cancer in the rural setting was their own 'emotional toil'. This
Global Theme encapsulated three Organizing Themes- task vs. care, dual
relationships and supportive networks--reflective of the unique nature of the
rural environment. Nurses in rural Australia are multi-skilled generalists and
they provide care to patients with cancer without necessarily having specialist
knowledge or skill. The fatigue and emotional exhaustion that the nurses
described often has a major impact on their own well-being. CONCLUSION: In the
rural context, it is proposed that clinical supervision may be an important
strategy to support clinicians who face emotional exhaustion as part of their
cancer nursing role.
PMID- 18039254
TI - Nurse absenteeism and workload: negative effect on restraint use, incident
reports and mortality.
AB - AIM: This paper is a report of a study to assess the impact of nurse absenteeism
on the quality of patient care. BACKGROUND: Nurse absenteeism is a growing
management concern. It can contribute to understaffed units, staffing
instability, and other factors that could have a negative impact on patient care.
The impacts of absenteeism on the quality of nursing care have rarely been
studied. METHOD: Retrospective monthly data from incident reports and staffing
records in six inpatient units for 2004 were analysed. Dependent variables were
the numbers of restraints, alternatives to restraints, incident reports, deaths,
and length of stay. Explanatory variables were nurse absenteeism hours, patient
days per nursing staff, and interaction between these variables. Controls were
patient acuity and unit characteristics. Fixed effects regressions were analysed
as regular or negative binomial models. FINDINGS: Neither high Registered Nurse
absenteeism nor high patient load was related to restraint use when taken
separately. However, high Registered Nurse absenteeism was related to restraint
use when patient load was high. Registered Nurse absenteeism was related to a
lower use of alternatives to restraints. Incident reports were increased by high
patient load, but not absenteeism, or absenteeism given patient load. When both
patient load and absenteeism were high, deaths were higher also. CONCLUSION:
Absenteeism alone may not be a strong factor in lowering quality, but the
combination of high Registered Nurse absenteeism and high patient load could be a
factor. Staffing and absenteeism may be part of a vicious cycle in which low
staffing contributes to unit absenteeism, which contributes to low staffing, and
so on.
PMID- 18039255
TI - EPUAP classification system for pressure ulcers: European reliability study.
AB - AIM: This paper is a report of a study of the inter-observer reliability of the
European Pressure Ulcer Advisory Panel pressure ulcer classification system and
of the differential diagnosis between moisture lesions and pressure ulcers.
BACKGROUND: Pressure ulcer classification is a valuable tool to provide a common
description of ulcer severity for the purposes of clinical practice, audit and
research. Despite everyday use of the European Pressure Ulcer Advisory Panel
system, its reliability has been evaluated in only a limited number of studies.
METHODS: A survey was carried out between September 2005 and February 2006 with a
convenience sample of 1452 nurses from five European countries. Respondents
classified 20 validated photographs as normal skin, blanchable erythema, pressure
ulcers (four grades), moisture lesion or combined lesion. The nurses were
familiar with the use of the European Pressure Ulcer Advisory Panel
classification scale. RESULTS: Pressure ulcers were often classified erroneously
(kappa = 0.33) and only a minority of nurses reached a substantial level of
agreement. Grade 3 lesions were regularly classified as grade 2. Non-blanchable
erythema was frequently assessed incorrectly as blanchable erythema. Furthermore,
the differential diagnosis between moisture lesions and pressure ulcers appeared
to be complicated. CONCLUSION: Inter-observer reliability of the European
Pressure Ulcer Advisory Panel classification system was low. Evaluation thus
needs to focus on both the clarity and complexity of the system. Definitions and
unambiguous descriptions of pressure ulcer grades and the distinction between
moisture lesions will probably enhance clarity. To simplify the current
classification system, a reduction in the number of grades is suggested.
PMID- 18039256
TI - Nursing's ways of knowing and dual process theories of cognition.
AB - AIM: This paper is a comparison of nursing's patterns of knowing with the systems
identified by cognitive science, and evaluates claims about the equal-status
relation between scientific and non-scientific knowledge. BACKGROUND: Ever since
Carper's seminal paper in 1978, it has been taken for granted in the nursing
literature that there are ways of knowing, or patterns of knowing, that are not
scientific. This idea has recently been used to argue that the concept of
evidence, typically associated with evidence-based practice, is inappropriately
restricted because it is identified exclusively with scientific research. METHOD:
The paper reviews literature in psychology which appears to draw a comparable
distinction between rule-based, analytical cognitive processes and other forms of
cognitive processing which are unconscious, holistic and intuitive. FINDINGS:
There is a convincing parallel between the 'patterns of knowing' distinction in
nursing and the 'cognitive processing' distinction in psychology. However, there
is an important difference in the way the relation between different forms of
knowing (or cognitive processing) is depicted. In nursing, it is argued that the
different patterns of knowing have equal status and weight. In cognitive science,
it is suggested that the rule-based, analytical form of cognition has a
supervisory and corrective function with respect to the other forms. CONCLUSIONS:
Scientific reasoning and evidence-based knowledge have epistemological priority
over the other forms of nursing knowledge. The implications of this claim for
healthcare practice are briefly indicated.
PMID- 18039257
TI - In response to: Fu M.R., McDaniel R.W. & Rhodes V.A. (2007) Measuring symptom
occurrence and symptom distress: development of the Symptom Distress Index.
Journal of Advanced Nursing 59, 623-634.
PMID- 18039258
TI - In response to: Bradbury-Jones C., Sambrook S. & Irvine F. (2007) The meaning of
empowerment for nursing students: a critical incident study. Journal of Advanced
Nursing 59(4), 342-351.
PMID- 18039271
TI - Flow visualization through two types of aortic prosthetic heart valves using
stereoscopic high-speed particle image velocimetry.
AB - The number of candidates waiting for a heart valve replacement rises yearly. Even
though there is a trend toward implantation of biological valves or
reconstruction, the prosthetic heart valves (PHVs) are still commonly used for
implantation or as a part of cardiac assist devices in many countries worldwide.
However, the hemodynamic consequences of these valves are still not completely
understood. Unfortunately, these devices currently do not perform sufficiently on
a long-term basis and may lead to several complications, many of them are related
to fluid mechanical aspects. A novel method, stereoscopic high-speed particle
image velocimetry, was applied to quantify all three velocity components behind a
PHV in detailed time domain. In this study, we compared clinically used bileaflet
aortic prosthetic (ATS) valve and monoleaflet prototype of tilting disk PHV. The
absolute velocities calculated out of two and three velocity components were
compared to each other to estimate the overall difference in the desired region
of interest. The most significant discrepancies between the two- and three
component absolute velocities were found at the regions of Valsalva sinuses and
in a major jet stream of monoleaflet PHV.
PMID- 18039272
TI - Hemodialysis machine air detectors need not detect microbubbles.
PMID- 18039275
TI - Toll-like receptor and tumour necrosis factor dependent endotoxin-induced acute
lung injury.
AB - Recent studies on endotoxin/lipopolysaccharide (LPS)-induced acute inflammatory
response in the lung are reviewed. The acute airway inflammatory response to
inhaled endotoxin is mediated through Toll-like receptor 4 (TLR4) and CD14
signalling as mice deficient for TLR4 or CD14 are unresponsive to endotoxin.
Acute bronchoconstriction, tumour necrosis factor (TNF), interleukin (IL)-12 and
keratinocyte-derived chemokine (KC) production, protein leak and neutrophil
recruitment in the lung are abrogated in mice deficient for the adaptor molecules
myeloid differentiation factor 88 (MyD88) and Toll/Interleukin-1 receptor (TIR)
domain-containing adaptor protein (TIRAP), but independent of TIR-domain
containing adaptor-inducing interferon-beta (TRIF). In particular, LPS-induced
TNF is required for bronchoconstriction, but dispensable for inflammatory cell
recruitment. Lipopolysaccharide induces activation of the p38 mitogen-activated
protein kinase (MAPK). Inhibition of pulmonary MAPK activity abrogates LPS
induced TNF production, bronchoconstriction, neutrophil recruitment into the
lungs and broncho-alveolar space. In conclusion, TLR4-mediated,
bronchoconstriction and acute inflammatory lung pathology to inhaled endotoxin
are dependent on TLR4/CD14/MD2 expression using the adapter proteins TIRAP and
MyD88, while TRIF, IL-1R1 or IL-18R signalling pathways are dispensable. Further
downstream in this axis of signalling, TNF blockade reduces only acute
bronchoconstriction, while MAPK inhibition abrogates completely endotoxin-induced
inflammation.
PMID- 18039276
TI - Prenatal administration of vitamin A alters pulmonary and plasma levels of
vascular endothelial growth factor in the developing mouse.
AB - Vitamin A and the retinoids play a unique role in mammalian embryonic and foetal
development and are essential for both cellular differentiation and the
establishment of normal morphogenesis. Vascular endothelial growth factor (VEGF)
is a known potent mitogenic factor that plays a key role in lung development and
function maintenance. In order to contribute to a better knowledge of the
modulating effects of vitamin A in lung development, we investigated the effects
of the antenatal administration of vitamin A on VEGF expression in lungs and
plasma from foetuses and neonates. Pregnant mice were subjected to subcutaneous
administration of vitamin A on the 12th gestational day. The lungs and plasma
from foetuses and neonates were collected daily from the 15th gestational day
till the day of birth. Our results show that vitamin A modulates VEGF
concentrations both in lungs and plasma. Statistically significant differences
were observed at gestational days 15 (P = 0.004 for lungs; P < 0.0001 for
plasma), 16 (P < 0.0001 for lungs and plasma) and 18 (P < 0.0001 for lungs; P <
0.05 for plasma). Vitamin A tends to increase the expression of this factor in
the lung, particularly during the critical period of perinatal adaptation to
postnatal life. These effects seem to be spatial and temporally regulated, and
point out to the important role of vitamin A during lung development.
PMID- 18039277
TI - Pathology and virus dispersion in cynomolgus monkeys experimentally infected with
severe acute respiratory syndrome coronavirus via different inoculation routes.
AB - Severe acute respiratory syndrome-associated coronavirus (SARS-CoV) causes SARS.
The pathogenic mechanisms of SARS-CoV remain poorly understood. Six cynomolgus
monkeys were inoculated with the HKU39849 isolate of SARS-CoV via four routes.
After intranasal inoculation, the virus was isolated from respiratory swabs on
days 2-7 postinoculation (p.i.) and virus genome was detected in intestinal
tissues on day 7 p.i. Virus was not detected after intragastric inoculation.
After intravenous inoculation, infectious virus was isolated from rectal swabs,
and virus antigen was detected in intestinal cells on day 14 p.i. After
intratracheal (i.t.) inoculation, virus antigen-positive alveolar cells and
macrophages were found in lung and infectious virus was detected in lymphoid and
intestinal tissues. The peribronchial lymph nodes showed evidence of an immune
response. Lung tissue and/or fluid and/or the peribronchial lymph node of the
intratracheally inoculated animals had high TNF-alpha, IL-8 and IL-12 levels.
SARS lung lesions are only generated in monkeys by i.t. inoculation. The virus
appears to spread into and perhaps via the intestinal and lymphatic systems. It
has been suggested previously that viraemia may cause intestinal infections in
SARS patients.
PMID- 18039278
TI - Laser capture microdissection reveals dose-response of gene expression in situ
consequent to asbestos exposure.
AB - The genes that mediate fibroproliferative lung disease remain to be defined.
Prior studies from our laboratory showed by in situ hybridization and
immunohistochemistry that the genes coding for tumour necrosis factor alpha,
transforming growth factor beta, the platelet-derived growth factor A and B
isoforms, and alpha-1 pro-collagen are expressed in fibroproliferative lesions
that develop quickly after asbestos inhalation. These five genes, along with
matrix metalloproteinase 9, a collagenase found to be increased in several lung
diseases, are known to control matrix production and cell proliferation in humans
and animals. Here we show by laser capture microdissection that (i) The six genes
are expressed at significantly higher levels in the asbestos-exposed mice when
comparing the same anatomic regions 'captured' in unexposed mice. (ii) The
bronchiolar-alveolar duct (BAD) junctions, where the greatest number of fibres
initially deposit, were always significantly higher than the other anatomic
regions for each gene. The first alveolar duct bifurcation (ADB) generally was
higher than the second ADB, the ADBs were always significantly higher than the
airway walls and pleura, and the airway walls and pleura were generally higher
than the unexposed tissues. (iii) Animals exposed for 3 days always exhibited
significantly higher levels of gene expression at the BAD junctions and ADBs than
animals exposed for 2 days. To our knowledge, this is the first demonstration of
a dose-response to a toxic particle in situ, and this response appears to be
dependent on the number of fibres that deposits at the individual anatomic site.
PMID- 18039279
TI - Lysosomal acid lipase over-expression disrupts lamellar body genesis and alveolar
structure in the lung.
AB - The functional role of neutral lipids in the lung is poorly understood. Lysosomal
acid lipase (LAL) is a critical enzyme in hydrolysis of cholesteryl esters and
triglycerides to generate free fatty acids and cholesterol in lysosomes. Human
LAL was over-expressed in a doxycycline-controlled system in mouse respiratory
epithelial cells to accelerate intracellular neutral lipid degradation and
perturb the surfactant homeostasis in the lung. In this animal system, neutral
lipid concentrations of pulmonary surfactant were reduced in bronchoalveolar
lavage fluid (BALF) in association with decrease of surfactant protein C (SP-C)
gene expression. The size and the number of lamellar bodies in alveolar type II
epithelial cells (AT II cells) were significantly reduced accordingly. The number
of macrophages required for surfactant recycling in BALF was also significantly
reduced. As a result of these combinatory effects, emphysema of the alveolar
structure was observed. Taken together, neutral lipid homeostasis is essential
for maintenance of lamellar body genesis and the alveolar structure in the lung.
PMID- 18039280
TI - Matrix metalloproteinase-2, -9 and -13 are involved in fibronectin degradation of
rat lung granulomatous fibrosis caused by Angiostrongylus cantonensis.
AB - Pulmonary granuloma formation and fibrosis were experimentally induced in Sprague
Dawley strain rats by Angiostrongylus cantonensis. Increased protein levels of
matrix metalloproteinase (MMP)-2, -9, -13 and the imbalance between these enzymes
and metalloproteinase inhibitors, tissue inhibitors of MMPs (TIMP-1 and -2),
occur during granulomatous fibrosis. Activation of proteolytic enzymes (MMP-2, -9
and -13) and fibronectin degradation occur simultaneously. Furthermore, the
present study demonstrated that fibronectin avidly binds MMP-2, -9 or -13.
Immunohistochemical observations also showed the localization of MMP-13, TIMP-1
and -2 within the infiltrating leucocytes. These results suggest that MMP-2, -9
and -13 may participate in the fibronectin degradation of A. cantonensis-induced
granulomatous fibrosis.
PMID- 18039281
TI - What do measures of 'oral health-related quality of life' measure?
AB - The terms 'health-related quality of life' and 'quality of life' are now in
common use to describe the outcomes of oral health conditions and therapy for
those conditions. In addition, there has been a proliferation of measures
designed to quantify those outcomes. These measures, which were initially
designated as socio-dental indicators or subjective oral health indicators are
now more usually referred to as measures of oral health-related quality of life
(OH-QoL). This is based on the assumption that the functional and psychosocial
impacts they document must, of necessity, affect the quality of life. While this
assumption has been subject to critical scrutiny in medicine, this is not the
case with dentistry. Consequently, exactly what is being measured by indexes of
OH-QoL is somewhat unclear. Based on the debate between Gill and Feinstein and
Guyatt and Cook, we outline a number of criteria by means of which the construct
addressed by measures of OH-QoL may be assessed. These are concerned with how the
measures were developed and validated. These criteria are then used to appraise
five of the many measures that have been developed over the past 20 years--the
GOHAI, OHIP, OIDP, COHQoL and OH-QoL. The main conclusion is that while all
document the frequency of the functional and psychosocial impacts that emanate
from oral disorders they do not unequivocally establish the meaning and
significance of those impacts. Consequently, the claim that oral disorders affect
the quality of life has yet to be clearly demonstrated. Verifying this claim
requires further qualitative studies of the outcomes of oral disorders as
perceived by patients and persons, and the concurrent use of measures that more
explicitly address the issue of quality of life.
PMID- 18039282
TI - Statistical issues on the analysis of change in follow-up studies in dental
research.
AB - OBJECTIVE: To provide an overview to the problems in study design and associated
analyses of follow-up studies in dental research, particularly addressing three
issues: treatment-baselineinteractions; statistical power; and nonrandomization.
BACKGROUND: Our previous work has shown that many studies purport an interacion
between change (from baseline) and baseline values, which is often based on
inappropriate statistical analyses. A priori power calculations are essential for
randomized controlled trials (RCTs), but in the pre-test/post-test RCT design it
is not well known to dental researchers that the choice of statistical method
affects power, and that power is affected by treatment-baseline interactions. A
common (good) practice in the analysis of RCT data is to adjust for baseline
outcome values using ancova, thereby increasing statistical power. However, an
important requirement for ancova is there to be no interaction between the groups
and baseline outcome (i.e. effective randomization); the patient-selection
process should not cause differences in mean baseline values across groups. This
assumption is often violated for nonrandomized (observational) studies and the
use of ancova is thus problematic, potentially giving biased estimates, invoking
Lord's paradox and leading to difficulties in the interpretation of results.
METHODS: Baseline interaction issues can be overcome by use of statistical
methods; not widely practiced in dental research: Oldham's method and multilevel
modelling; the latter is preferred for its greater flexibility to deal with more
than one follow-up occasion as well as additional covariates To illustrate these
three key issues, hypothetical examples are considered from the fields of
periodontology, orthodontics, and oral implantology. CONCLUSION: Caution needs to
be exercised when considering the design and analysis of follow-up studies.
ancova is generally inappropriate for nonrandomized studies and causal inferences
from observational data should be avoided.
PMID- 18039283
TI - Gender differences in reported dental fear and fear of dental pain.
AB - OBJECTIVES: Gender differences in dental fear have been of increasing interest
among clinicians and researchers. The objectives of this study were to assess:
(i) gender differences in reports of global dental fear, global fear of dental
pain, and specific fear of dental pain; (ii) how the wording of questions about
specific fear of dental pain influences a subjective report, and (iii) the
interactions between gender differences and wording effects in the reports of
specific fear of dental pain. METHODS: A telephonic survey of 504 adult
Floridians was conducted in 2004. Data collected included six measures of
specific fear of dental pain, one measure of global fear of dental pain, one
measure of global dental fear, and demographic information. RESULTS: Women were
more likely to report global dental fear, global fear of dental pain, and
specific fear of dental pain than men, and both women and men were more likely to
report 'dread' of dental pain than 'fear' of dental pain. CONCLUSIONS: Our
findings suggest that: (i) there are gender differences in reports of dental fear
and fear of dental pain; and (ii) both men and women are more willing to express
their fearful feelings regarding dentistry using a more socially acceptable term.
PMID- 18039284
TI - Cultural factors and children's oral health care: a qualitative study of carers
of young children.
AB - OBJECTIVE: This qualitative study sought to identify cultural beliefs, practices
and experiences that influence access to preventive oral health care for young
children from different racial and ethnic groups. METHODS: Four to six focus
groups in each of the African-American, Chinese, Latino and Filipino communities
in San Francisco, California were included in the study. Participants were carers
of children aged 1-5 years. The 22 groups (n = 177 participants) were stratified
by carer's age and, except in the African-American community, by whether US or
non-US born. Sessions were conducted in different languages as needed. RESULTS:
Lack of knowledge and beliefs about primary teeth created barriers to early
preventive care in all groups. In Chinese groups more than others, health beliefs
regarding disease causation and prevention influenced access to preventive dental
care. In all groups, multiple family carers, especially elders, influenced access
to preventive care. Dental fear, whether derived from prevailing community
beliefs or personal negative dental experiences, greatly influenced attitudes
regarding accessing preventive care. CONCLUSION: There are both similarities and
differences between racial/ethnic groups in how cultural beliefs and experiences
influence young children's access to dental care and how it might be improved.
PMID- 18039285
TI - Psychosocial factors and early childhood caries among low-income African-American
children in Detroit.
AB - OBJECTIVES: This study sought to advance knowledge of the social determinants of
oral health, by examining how several specific maternal health beliefs,
behaviors, and psychosocial factors relate to young children's early childhood
caries (ECC) status in a lower-income African-American population. METHODS: Data
were collected by the Detroit Dental Health Project (NIDCR grant), a population
based study of 1021 African-American families with at least one child under 6
years of age and living in 39 low-income Census tracts in Detroit, Michigan.
Analyses were limited to 719 children aged 1-5 years and their biological
mothers, and conducted in SUDAAN to account for the complex sampling design.
Survey data included health belief scales on mothers' self-efficacy, feelings of
fatalism, knowledge about appropriate bottle use and children's oral hygiene
needs, brushing habits, psychosocial measures of depressive symptoms (CES-D),
parenting stress, and availability of instrumental social support. The child's
age, dental insurance status, dental visit history, and 1-week brushing frequency
were also included in the model. Children's ECC status, based on a dental
examination, was the main outcome. The dental team used the International Caries
Detection and Assessment System (ICDAS) criteria for caries detection. Each child
was classified as either caries-free or having ECC or severe ECC (S-ECC) based on
the case definition of ECC proposed by an expert panel for research purposes with
preschool-aged children. RESULTS: The dental team followed a specific examination
protocol and established reliable and consistent ratings of ECC based on the
ICDAS criteria. The inter-rater reliability kappa was 0.83 overall, and the intra
rater reliability kappa was 0.74 overall. One-third of the children had ECC, and
20% had severe ECC. Age of the child and lower parenting stress scores were each
positively associated with ECC, while higher education and income were
protective. Maternal oral health fatalism and knowledge of children's hygiene
needs were associated with ECC among preschool-aged children. ECC was higher
among younger children who had past restorative care. CONCLUSIONS: These findings
call attention to the high prevalence of ECC in this population and the need to
consider psychosocial as well as traditional risk factors in developing
interventions to reduce oral health disparities.
PMID- 18039286
TI - Dental caries and childhood obesity: roles of diet and socioeconomic status.
AB - OBJECTIVE: Our objective was to determine (a) if caries and obesity were
associated in a pediatric population and (b) if so, then to explore diet and
socioeconomic status as additional risk factors. METHODS: Subjects were recruited
at birth and are members of the Iowa Fluoride Study. Data such as parental age,
parental education levels and family incomes were obtained by questionnaire at
recruitment. Children's primary dentition was examined and their weight and
height measured at 4.5-6.9 years of age. Parental weight and height were measured
when children were 7.6-10.9 years of age. Beverage and nutrient intake patterns
were obtained from 3-day food and beverage diaries completed at 1, 2, 3, 4 and 5
years of age. RESULTS: Children with caries had lower family incomes, less
educated parents, heavier mothers and higher soda-pop intakes at 2, 3 and for 1-5
years than children without caries (P < 0.05). 'Overweight' children had less
educated fathers and heavier parents than 'normal' weight children (P < 0.05).
Children 'at risk' of overweight had higher caries rates than 'normal' or
'overweight' children (P < 0.05). In stepwise logistic regression models to
predict caries experience, soda-pop intakes were displaced by mother's education,
leaving 'at risk' of overweight and mother's education in the final model.
CONCLUSION: Caries and obesity coexist in children of low socioeconomic status.
Public health measures to improve dietary education and access to appropriate
foodstuffs could decrease the risk of both diseases.
PMID- 18039287
TI - Influences of market competition on dental care utilization under the global
budget payment system.
AB - OBJECTIVES: The degrees of market competition usually influence providers'
behaviors. This study investigated the influence of medical market competition on
the utilization of dental care under the global budget payment system. This study
also examined the relative factors that influence the utilization of dental care.
METHODS: This study used the healthcare sub-regions (HCSRs) in the healthcare net
as the observation units. The dataset was the National Health Insurance dental
care claim data from 1999 to 2002. The degree of market competition of dental
care was measured by the Herfindahl Index (HI). The influences of medical market
competition on the utilization of dental care were analyzed by multiple linear
regression analysis. RESULTS: When the market had a higher degree of competition,
people had a higher number of dental utilizations after controlling for the other
variables. When market competition increased by 1%, annual expenditures and
frequencies of dental care as well as frequencies of tooth-filling per person
increased by 0.4%. Thirty-three percent of dental expenditures could be explained
by increases in the degree of market competition. Females or highly educated
people had a positive correlation with dental utilization. However, the
agricultural population negatively correlated with dental utilization. Average
household income had no significant relationship with the frequency of dental
care but had a significant negative association with dental expenditures when
dental care was covered by health insurance. CONCLUSIONS: After the global budget
payment system for dental care was implemented, increases in dental care market
competition led to the increase in utilization of dental care services.
PMID- 18039288
TI - Osteoporosis and the general dental practitioner: reliability of some digital
dental radiological measures.
AB - OBJECTIVES: Dental radiographs are relatively inexpensive and are regularly made
of a large fraction of the adult population; therefore, they represent an
enormous potential as a screening tool for osteoporosis. Monitoring the
population by means of dual X-ray absorptiometry (DXA), which is currently the
most accepted method for diagnosing osteoporosis, involves enormous costs and
facilities. In previous studies, it was shown that the radiographic trabecular
pattern shows correlations with the bone mineral density (BMD) as measured by
DXA. The objective of this study was to assess the reproducibility of the
quantitative analysis of the trabecular pattern on dental radiographs. METHODS:
Six regions of interest were selected manually on three digital radiographic
images of 20 women. This process was performed 10 times resulting in 1200 image
samples. For each image sample 26 parameters were measured. The reliability of
the parameters was evaluated by means of Cronbach's alpha. RESULTS: Of the values
of Cronbach's alpha 83% is at least 0.9 and 99% is at least 0.8. CONCLUSIONS: The
measurements of the parameters used in this study are very reproducible.
Therefore, the manual selection of the regions of interest does not introduce
large amounts of noise. The imaging parameters potentially offer an accurate tool
for the prediction of BMD values.
PMID- 18039289
TI - The concept of validity in sociodental indicators and oral health-related quality
of-life measures.
AB - BACKGROUND: Most of the psychometric instruments used to measure quality of life
associated with oral impairment and disability from the perspectives of older
adults focus on negative experiences, and pay little attention to the possibility
of positive reactions to disablement. This oversight challenges the validity of
the instruments in current use, and raises questions about the process used to
validate them. OBJECTIVES: In this study, we consider the general attributes of
psychometric validity, and how they have been applied to oral health-related
instruments. CONCLUSIONS AND RECOMMENDATIONS: The psychometric characteristics
and predictive validity of existing dental instruments are still weak, probably
because the instruments fail to address the broad range of personal variables
that influence oral health, disability and quality of life. We recommend,
therefore, that a continuous process of validation be adopted to include: (1)
assessments of the theoretical framework supporting the instruments; (2)
evaluations of the focus and structure of the questions used; and (3)
enhancements of the prediction value of instruments applicable to oral health
related beliefs and behaviours.
PMID- 18039290
TI - Fluoride intake and urinary excretion in 6- to 7-year-old children living in
optimally, sub-optimally and non-fluoridated areas.
AB - OBJECTIVES: This study was designed to measure total intake, urinary excretion
and estimated retention of fluoride in children under customary fluoride intake
conditions, living in either fluoridated or low-fluoride areas of north-east
England. Subsidiary aims were to investigate the relationships between the
variables measured. METHODS: Using a randomized cluster design with schools as
the sampling units, four schools from a non-fluoridated area and two from a
fluoridated area were selected from the schools chosen to participate in the
study. Fluoride intake from diet and toothbrushing was assessed using a 3-day
food diary and fluoride analysis of expectorated saliva during toothbrushing.
Samples of all foods and drinks consumed were measured for fluoride content using
direct and indirect silicon-facilitated diffusion methods as appropriate. Urinary
fluoride excretion and urine volume were measured over 24 h and estimation of
fractional urinary fluoride excretion (FUFE) and fluoride retention made from
collected data. Following descriptive analysis of variables, Pearson's
correlations investigated relationships between fluoride content of home tap
water, daily fluoride intake, excretion and retention. RESULTS: Thirty-three
children completed the study: 18 receiving non-fluoridated water [mean = 0.08 (+/
0.03) mg F/l], nine sub-optimally fluoridated water [mean = 0.47 (+/-0.09) mg
F/l] and six optimally fluoridated water [mean = 0.82 (+/-0.13) mg F/l] at the
time of the study. Complete data on F intake, excretion and retention were
available for 29 children. Mean fluoride intake from diet and toothpaste ranged
from 0.031 (+/-0.025) mg/kg body weight (bw)/day for the low-fluoride area to
0.038 (+/-0.038) and 0.047(+/-0.008) mg/kg bw/day for sub-optimally and optimally
fluoridated areas respectively. Contribution of toothpaste to total fluoride
intake ranged from 3% to 93% with mean values of 57%, 35% and 47% for children
receiving low, sub-optimally and optimally fluoridated water respectively. FUFE
ranged from a mean of 32% (+/-13%) for the optimally fluoridated area to 44% (+/
33%) for the low-fluoride area. Fluoride retention was not correlated with the
fluoride concentration of home water supply, but was strongly positively
correlated (P < 0.001) with total daily fluoride intake. CONCLUSIONS: In an
industrialized country, total fluoride intake, urinary excretion and consequently
fluoride retention no longer reflect residence in a community with a non
fluoridated or fluoridated water supply. Fluoride toothpaste contributes a
significant proportion of total ingested fluoride in children, particularly in
low-fluoride areas.
PMID- 18039291
TI - Trends in the incidence, mortality, and survival rates of oral and pharyngeal
cancer in a high-risk area in Michigan, USA.
AB - OBJECTIVE: The aim of this analysis was to analyze the incidence, mortality and
survival rates for the city of Detroit and Wayne County, Michigan. In Detroit,
about four out of the five residents are African-Americans. METHODS: Rates and
standard errors on oral cancer incidence, survival, and mortality by county of
residence, race, gender, stage of diagnosis were provided by the Michigan Cancer
Surveillance Program, which is maintained by the Michigan Department of Community
Health. Rates were expressed per 100,000 individuals and age adjusted to reflect
the distribution of the USA population in 2000. Analysis for trends was conducted
by the authors using the National Cancer Institutes' joinpoint regression program
(version 3.0). RESULTS: In 1993-2002, the incidence rate of oral cancer in
Michigan (one of the 50 states in the USA) was 11.3 per 100,000, and in the city
of Detroit, it was 16.6. In African-American males in Michigan the incidence rate
was 24.3 per 100,000, one of the highest among all American males. The city of
Detroit, with 9% of the total state population, had 13.1% of all new cases.
Between 1993 and 2002, there was a drop in incidence rates in Michigan and
Detroit. However, there was an increase in mortality rates in Michigan and
Detroit between 2000 and 2002. In Detroit, the incidence and mortality rates of
'white' and African-American males were not different and the highest incidence
rates were found in adults between the ages of 50 and 74 years. In the state, the
peak incidence rates were found in adults 75 years or older. In 2000-2002,
residents of Detroit had the lowest percentage (28.3%) of cases detected at early
cancerous stage (in situ or localized) compared with rates in Michigan. African
Americans in Michigan had a 5-year survival rate of 34.9% compared with the state
average of 54.6%. CONCLUSIONS: African-American males in Detroit accounted for a
larger proportion of the oral cancer cases relative to their population size.
There was surprising similarity between the incidence and mortality rates of
African and 'white' Americans in Detroit. While the incidence rates have declined
in Michigan and Detroit, mortality rates have increased between 2000 and 2002.
This finding is contrary to national trends. In order to target programs to
prevent oral cancer, oral cancer statistics for in small areas or high-risk
populations should be evaluated separately.
PMID- 18039294
TI - How reliable is the current evidence looking at the efficacy of harm reduction
and motivational interviewing interventions in the treatment of patients with a
dual diagnosis?
AB - Current policy from the Department of Health advocates for an integrated approach
to treating patients with a dual diagnosis. However, pragmatic and clinically
effective brief interventions that can be delivered by nurses across mental
health settings remain underdeveloped. Motivational interviewing has had some
successful exposure in the field of dual diagnosis; however, harm reduction
remains unexplored both conceptually and in terms of clinical intervention. This
literature review examines the notion of harm reduction as a method of
identifying and reducing the harm associated with the misuse of drugs and alcohol
in relation to mental health problems. Currently there is a paucity of good
quality evidence for integrated interventions in the treatment of dually
diagnosed patients. Therefore, the papers are analysed in respect of their
methodological quality and contribution to the evidence base to inform both
future research and mental health nursing practice.
PMID- 18039292
TI - Caries risk factors in the permanent dentition of Tanzanian children: a cohort
study (1997-2003).
AB - OBJECTIVE: The aims of the cohort study were to study the association between
permanent dentition caries and malnutrition and other risk factors or indicators
in a group of children with little or no access to restorative and preventive
dental care. METHODS: The study was conducted at two primary schools in Dar es
Salaam, Tanzania in the period 1997-2003. One school recruits its children from
affluent families and the other school is attended by the children of poor
families. The children attended grade 1 at the first examination and had a mean
age of 7.6 years (SD = 0.4); when the study was completed, the mean age was 13.3
years. Two-hundred and eighteen children examined in 1997 were re-examined in
1999; 147 of the children were examined in 1997 as well as in 2003, and 122
children were examined in all three years. An age- and sex-based body mass index
(BMI) was computed to determine the nutritional status of each child. Each year,
the same standard methods were used to determine the count of lactobacilli and
mutans streptococci, stimulated flow rate and buffering capacity of saliva, and
caries. Risk ratios were computed with generalized linear models using the tooth
as the unit of analysis. RESULTS: Mean annual caries increment was 0.27 in the
period 1997-1999 and 0.80 in the period 1999-2003 with most children developing
no caries at all. Malnutrition at baseline in 1997 was insignificantly predictive
for the development of caries, whereas a low stimulated flow rate of saliva (< or
=0.7 ml/min) and a high count of lactobacilli (> or =100,000/ml) at baseline in
1997 were significantly associated. However, the generalized linear models for
the two time periods 1997-1999 and 1999-2003 presented a confusing picture with
different risk ratios and without consistency of the associations between the
exposure variables and the development of caries. In accordance with this
finding, the consistency of the exposure variables over time for the individuals
was very low. CONCLUSIONS: The results were inconclusive and left us with more
questions than answers. The findings do, however, support the view that our
methods for predicting caries are inappropriate or nonexistent.
PMID- 18039295
TI - Use of the HoNOSCA scale in the teamwork of inpatient child psychiatry unit.
AB - The aims of this study were to introduce the Health of the Nation Outcome Scales
for Children and Adolescents (HoNOSCA) into the clinical practice, to assess the
possibilities to use HoNOSCA in the interdisciplinary teamwork of child
psychiatric inpatient unit and to analyse differences in evaluation of HoNOSCA
among team members scoring different diagnostic groups of children. HoNOSCA was
translated into Lithuanian and team members were trained to use the scale. Inter
rater reliabilities between various pairs of specialists and between all members
of the team were calculated using intraclass correlations calculated for each
item of the scale and total score. Scoring differences in children with
externalized and internalized disorders between psychiatrists-psychologists
versus nurses-caretakers were analysed using t-test. The analysis showed that
intraclass correlations estimates of reliability of the HoNOSCA discharge scores
were highest for ratings by child psychiatrists-psychologists and nurses
caretakers. Analysis of ratings of patients with externalized and internalized
disorders types showed differences in scoring disruptive/aggressive behaviours,
self-care and family relationship scales. HoNOSCA had satisfactory inter-rater
reliability in clinical multidisciplinary practice of child psychiatric inpatient
unit. Implementing HoNOSCA in routine clinical practice and analysis of the
rating differences among the multidisciplinary team members was useful to
highlight possibilities for improvement of quality of services provided and
building more constructive teamwork.
PMID- 18039296
TI - The recovery alliance theory of mental health nursing.
AB - The recovery alliance theory (RAT) is a mid-range theory of mental health nursing
based on humanistic philosophy. The conception of the RAT was the outcome of
collaboration among service users, practising mental health nurses,
educationalists and managers and was developed in the context of a number of
political and social changes as well as changes in the mental health field. The
theory is composed of six constructs: humanistic philosophy, recovery,
partnership relation, strengths focus, empowerment and common humanity. The
derivation of three concepts from these constructs, namely coping, self
responsibility/control and working alliance, forms the basis for the translation
of the constructs into a system of mental health nursing practice [Partnership in
Coping system (PinC)]. The constructs underpinning the RAT were clearly
demonstrated in a preliminary trial of the PinC system.
PMID- 18039297
TI - Experiences in a group of grown-up children of mentally ill parents.
AB - Children of mentally ill parents have increased rates of psychopathology and
reduced adaptive functioning. However, there are very few studies examining the
subjective experiences of those children and their opinions concerning their
previous contact with psychiatric services. This study followed up a group of
children of former psychiatric inpatients by sending them a questionnaire asking
about their experiences. Thirty-six individuals responded. Answers were analysed
qualitatively by using manifest content analysis. Participants reported negative
experiences and lack of information and support from psychiatric care. They had
wanted more explanations and more support for themselves. Quantitative data are
used to establish the significance of the results.
PMID- 18039298
TI - Characteristics of patients who are violent to staff and towards other people
from a community mental health service in South East England.
AB - Professionals working in the public sector in the UK report widespread violence
towards staff working in areas such as health care, social services and
education. This study compares the characteristics of patients with and without a
history of violence in a large sample of patients attending a community mental
health service in South East England. The data were taken from a study of
comorbidity and cannabis use in a mental health trust covering a semi-rural
population of 250,000 people in Harlow and the surrounding area of South East
England. Key workers were interviewed using semi-structured questionnaires from
the Comorbidity of Substance Misuse and Mental illness in Community Mental Health
and Substance Misuse Services study. Rates of violence against health workers
were more than 20 times higher among those patients with a history of violence
(23.6% vs. 1%, P<0.001). Alcohol and drug use was more frequent in those who were
violent. Prevalence of comorbidity, schizophrenia and personality disorders was
high. Key workers' estimates suggested that there was no difference in
aggression, engagement or adherence to care plan among those with a history of
violence.
PMID- 18039299
TI - Nursing of young psychotic patients: analysis of work environments and attitudes.
AB - Being members of the team who spend most of their time in direct contact with
patient, nurses contribute considerably to observational and inpatient treatment
process. The aims of this study were to analyse working environment of
psychiatric nurses, attitudes, needs and emotional aspects while working with
young (15-35 years old) psychotic patients. Study population consisted of
psychiatric nurses working in all 15 inpatient psychiatry units in Vilnius.
Nurses filled in an anonymous questionnaire. Summary statistics using number of
observations, mean and SD were reported for quantitative variables, with absolute
and relative frequencies for categorical variables. Questionnaires were filled by
86 nurses. The mean working experience was 17 years in nursing and 14 years in
psychiatric nursing. Data analysis revealed that majority of nurses rated their
satisfaction with their job between average and high. Results showed that there
was a lack of in-service training programmes. What especially difficult was
nursing of young psychotic patients and required good professional knowledge,
experience and individual approach to each patient. Majority of nurses were fully
satisfied with their job and were interested in further continuous self
education. The major concern in working with young psychotic patients was
reassurance of personal and patient's safety.
PMID- 18039300
TI - Finding the plot.
PMID- 18039301
TI - Recovery and arts as activism.
PMID- 18039302
TI - Living in the borderlands; writing in the margins: an autoethnographic tale.
AB - A prerequisite to helping others is, arguably, some semblance of understanding of
one's own self. But, how does one do self in a way that satisfies the integrity
of psychotherapeutic theory, or the tenets of qualitative research? Moreover,
what are the implications for the morally marginalized and uncertain in an era of
epistemological and ontological certainty? These questions preface the raw data
that constitutes the bulk of this paper: messy-text emails, reflections and
comments from others, in relation to the breakdown experiences of two mental
health academics/practitioners/teachers/supervisors. The methodology is
autoethnography, thus the aim evocative. The textual presentation is in triple
column form: in the first is the accounts of the protagonists, Short and Grant;
the second contains reflections from friends and family, and the final is
Clarke's pan-theoretical reflections on both.
PMID- 18039303
TI - Experiences and constructions of art: a narrative-discourse analysis.
AB - A narrative-discourse analysis was conducted to study the narratives of mental
health service users talking about their engagement with art. The sample was
drawn from a group of people who had attended arts workshops organized by a
mental health service provider. Eleven people were interviewed and were asked to
tell the story of their involvement in art and its significance to their lives.
The data were analysed using a discourse analysis approach. Art is constructed as
therapeutic within an illness repertoire. Emotions are inseparable from creative
expression and identity claims are made in relation to being an artist.
PMID- 18039304
TI - Catching life: the contribution of arts initiatives to recovery approaches in
mental health.
AB - This paper draws on a qualitative study that was undertaken as part of a national
research study to assess the impact of participatory arts provision for people
with mental health needs. It explores how arts and mental health projects may
facilitate some of the key elements of what has been termed a 'recovery approach'
in mental health. It is argued that it is precisely these elements--the fostering
of hope, creating a sense of meaning and purpose, developing new coping
mechanisms and rebuilding identities--which are hard to standardize and measure,
yet may be the most profound and significant outcomes of participation in such
projects. Therefore, in the context of a growing emphasis on recovery-orientated
mental health services, while not necessarily being appropriate for all service
users, arts and mental health initiatives could make an essential contribution to
the future of mental health and social care provision.
PMID- 18039305
TI - Lifeworld, the arts and mental health nursing.
AB - Various manifestations of the arts have been employed in mental health care as
successful diversional and therapeutic interventions, and as an adjunct to mental
healthcare professional education. There is now a current groundswell of the use
of the arts and humanities in both the practice of research and the
representation and dissemination of findings. Here, we first point to the
potential ability of the arts that can be used to re-humanize the world of health
and social care and its underpinning sciences. Second, we highlight the nature
and relevance of this more aesthetic movement and its potential to enable
meaningful engagement with people in order to facilitate shared understandings of
concretely lived experiences. Finally, we use a long-standing philosophical
framework, the 'lifeworld', as an exemplar to demonstrate how the wholeness and
essence of human being can be revealed or shown through art. In doing so, we make
the tentative suggestion that phenomenology and the lifeworld approach may be a
useful philosophical framework for underpinning the use of arts in mental health
nursing.
PMID- 18039306
TI - Seeing the psychiatrist: an autoethnographic account.
AB - Autoethnography is a fairly recent approach to ethnography and one in which the
writer becomes the 'subject' of the study. It remains an approach under question
until more has been done in the field. In this account, I describe attending an
interview with a psychiatrist, as a patient. I map out the process I encountered
and offer, alongside the map, various critical and reflective comments. I offer a
summary of my 'findings' and close with a critical evaluation both of the method
and of the paper. Findings included: a comfortable and comforting 'setting up' of
the interview; a sense of moving into the 'patient role'; a clear indication of
how the interview would proceed; and empathic manner on the part of the
psychiatrist and a sound process of follow-through with my general practitioner.
In the critique section, I try to answer the question as to whether or not
authoethnography is self-indulgent and the degree to which it can or cannot help
others in understanding mental health issues. I remain uncertain about both the
method and its value. In the end, it is probably for the reader to answer these
questions.
PMID- 18039307
TI - People in glass houses.
PMID- 18039316
TI - Limitation of myocardial and endothelial injury of the rat heart graft after
preservation with Centre de Resonance Magnetique Biologique et Medicale (CRMB)
solution.
AB - Myocardial injury caused by prolonged storage compromises post-transplantation
contractile performance and induces endothelial injury. The aim of this study was
to compare a solution developed in our laboratory [Centre de Resonance Magnetique
Biologique et Medicale (CRMBM) solution] with a widely used solution (Celsior,
Genzyme, Saint Germain en Laye, France). Metabolic and contractile parameters as
well as indexes of endothelial injury were measured in a heterotopic rat heart
transplantation model with a 3-h ischaemia and a 1-h reperfusion. The two
solutions were randomly used for cardioplegia and graft preservation in six
experiments each. During reperfusion, developed pressure and rate pressure
product were higher with CRMBM compared with Celsior (P = 0.0002 and P = 0.0135,
respectively). Phosphocreatine and adenosine triphosphate (ATP) concentrations
after reperfusion were significantly higher with CRMBM (P = 0.0069 and P =
0.0053, respectively). Endothelial nitric oxide synthase (eNOS) and neuronal
nitric oxide synthase (nNOS) protein expression were decreased to the same extent
after reperfusion compared with baseline with CRMBM (P = 0.0001 and P < 0.0001,
respectively) and Celsior (P = 0.0007 and P < 0.0001, respectively). Total
nitrate concentration (NOx) was significantly increased after reperfusion with
CRMBM (P < 0.0001 versus baseline and P < 0.0001 versus Celsior). Na,K-ATPase
activity was decreased in both groups versus baseline after reperfusion (P <
0.0001 for CRMBM and P < 0.0001 for Celsior). We showed limitation of both
myocardial and endothelial damage with CRMBM compared with Celsior during
heterotopic rat heart transplantation in vivo.
PMID- 18039317
TI - Expected number of deaths in the liver transplantation waiting list in the state
of Sao Paulo, Brazil.
PMID- 18039318
TI - Unexpected low incidence of vertebral fractures in heart transplant recipients:
analysis of bone turnover.
AB - Heart transplantation (HTX) is associated with a reduction in bone mineral
density (BMD). Different markers of bone metabolism have been used, and the
applied immunosuppressive regimens have also changed over time. This study was
performed to re-investigate bone metabolism in HTX recipients. Twenty-five HTX
recipients were compared with 25 HTX candidates in respect of biochemical
parameters of bone metabolism, BMD, and the frequency of fractures for 1 year.
Osteopenia or osteoporosis was observed in approximately two-thirds of the HTX
recipients. Nevertheless, only three (12%) HTX recipients developed a vertebral
fracture within 1 year after transplantation; no peripheral fractures occurred.
Compared with HTX candidates, HTX recipients had lower serum levels of
osteocalcin, and higher serum levels of cross-linked-N-telopeptide of type I
collagen (NTX). In HTX recipients, osteocalcin initially reached a nadir,
increased during the first 3 months, and decreased thereafter. Bone-specific
alkaline phosphatase initially increased and then decreased. Serum levels of NTX
and parathyroid hormone remained high throughout the year. Despite a high bone
turnover, an unexpectedly low rate of vertebral fractures was registered.
Nevertheless, each fragility fracture is a serious complication and we need to
take steps to prevent this complication.
PMID- 18039319
TI - Routine fetal RHD genotyping with maternal plasma: a four-year experience in
Belgium.
AB - BACKGROUND: The objective was to evaluate the diagnostic value of RHD fetal
genotyping from the plasma of D- mothers as soon as 10 weeks' gestation in a
routine clinical practice in Belgium. STUDY DESIGN AND METHODS: A prospective
study was conducted between November 2002 and December 2006. DNA extraction was
performed in an automated closed tube system. Fetal RHD/SRY genotypes were
detected in the plasma of 563 pregnant mothers by real-time polymerase chain
reaction (PCR) targeting multiple exons 4, 5, and 10 of the RHD gene and
targeting an SRY gene sequence. These were compared to the D phenotypes
determined in the 581 babies they delivered. RESULTS: By combining amplification
of three exons, the concordance rate of fetal RHD genotypes in maternal plasma
and newborn D phenotypes at delivery was 100 percent (99.8% including one unusual
false-positive). The presence of nonfunctional RHD genes and the absence of a
universal fetal marker, irrespective of fetal sex, did not influence the accuracy
of fetal RhD status prediction. The RHD genotyping from 18 twin pregnancies was
also assessed. Five weak D women were excluded from the RHD fetal genotyping
prediction. Three discrepant results (0.5%) between predicted fetal genotype and
cord blood phenotype were not confirmed by the baby phenotypes from venipuncture
blood. CONCLUSION: Prenatal prediction of fetal RHD by targeting multiple exons
from the maternal plasma with real-time PCR is highly sensitive and accurate.
Over 4 years, this experience has highly modified our management of D- pregnant
women.
PMID- 18039320
TI - Tfm-AR modulates the effects of ApoE4 on cognition.
AB - Female mice are more susceptible to apolipoprotein E (apoE4)-induced cognitive
deficits than male mice. These deficits can be antagonized by stimulating
androgen receptors (ARs). To determine the role of AR in the cognitive effects of
apoE4, we backcrossed mutant mice with a naturally occurring defect in the AR
[testicular feminization mutant (tfm)] onto the Apoe-/- background to eliminate
mouse apoE gene resulting in non-functional AR, and crossed the tfm/Apoe-/-
female mice with apoE4 transgenic male mice. We behaviorally compared Apoe-/-,
apoE4, tfm, and tfm/apoE4 male mice. Apoe-/-, apoE4, and tfm mice showed
hippocampus-dependent novel location recognition but tfm/apoE4 mice did not. In
contrast, all groups showed hippocampus-independent novel object recognition.
Hippocampus-dependent learning and memory were also assessed in the water maze.
In the water maze probe trial following the second day of hidden platform
training, Apoe-/- and apoE4 mice showed spatial memory retention, but tfm and
tfm/ApoE4 mice did not. In the water maze, probe trial following the third day of
hidden platform training, Apoe-/-, apoE4, and tfm/Apoe-/- mice showed spatial
memory retention, but tfm mice did not. These data support an important role for
AR in protecting against the detrimental effects of apoE4 on hippocampus
dependent learning and memory.
PMID- 18039321
TI - Density-dependent recruitment in grassland small mammals.
AB - 1. Density dependence has an important influence on the dynamics of many species
of small mammals. To regulate population growth, density must affect negatively a
vital rate (e.g. fecundity); however, little is known about which vital rates are
most affected by density. 2. We used a long-term data set for five species of
rodents from north-eastern Kansas, USA to test for relationships between density
and the proportion of pregnant females, per capita fecundity and recruitment. We
estimated proportion of pregnant females using data collected in the field and
fecundity using data on survival, proportion of pregnant females and literature
based density-dependent litter size for each species. We used reverse capture
histories to estimate per-capita recruitment. 3. The proportion of pregnant
females was related positively to density in most species. Fecundity was related
negatively to density in the cotton rat (Sigmodon hispidus Say & Ord, 1825) and
not related to density in the four remaining species. Recruitment was related
negatively to density in all five species, although not all relationships were
statistically significant. 4. The signature of density-dependent recruitment was
strongest in the prairie vole [Microtus ochrogaster (Wagner, 1842)] and cotton
rat and less so in the remaining species. 5. Our analyses indicate that density
affects recruitment negatively in grassland small mammals either through a
reduction in immigration or reduced survival of nestlings. Models that seek to
include empirical estimates of density dependence may need to include immigration
in addition to survival and fecundity.
PMID- 18039322
TI - The interactive effects of parasites, disturbance, and productivity on
experimental adaptive radiations.
AB - Disturbance, productivity, and natural enemies are significant determinants of
the evolution of diversity, but their interactive effect remains unresolved. We
develop a simple, qualitative model assuming trade-offs between growth rate,
competitive ability and parasite resistance, to address the interactive effects
of these variables on the evolution of host diversity. Consistent with previous
studies our model predicts maximum diversity at intermediate levels of
disturbance and productivity in the absence of parasitism. However, parasites
break down these unimodal diversity relationships with productivity and
disturbance, as selection for parasite resistance reduces the importance of
growth rate-competitive ability trade-offs. We tested these predictions using the
bacterium Pseudomonas fluorescens, which undergoes an adaptive radiation into
spatial niche specialists under laboratory conditions. This is the first study of
adaptive radiation in response to experimental manipulation of the three-way
interaction between productivity, disturbance, and natural enemies. As
hypothesized, unimodal diversity relationships with disturbance and productivity
were weakened or disappeared in the presence of parasitic phages. This was the
result of phages increasing diversity at environmental extremes, by imposing
selection for phage-resistant variants, but decreasing diversity in less
stressful environments, probably through reductions in resource competition.
Phages had a net effect of increasing host diversity. Parasites and other natural
enemies are therefore likely to have a large effect in mitigating the influence
of other environmental variables on the evolution and maintenance of diversity.
PMID- 18039323
TI - The rate of genome stabilization in homoploid hybrid species.
AB - Homoploid hybrid speciation has been recognized for its potential rapid
completion, an idea that has received support from experimental and modeling
studies. Following initial hybridization, the genomes of parental species
recombine and junctions between chromosomal blocks of different parental origin
leave a record of recombination and the time period before homogenization of the
derived genome. We use detailed genetic maps of three hybrid species of
sunflowers and models to estimate the time required for the stabilization of the
new hybrid genome. In contrast to previous estimates of 60 or fewer generations,
we find that the genomes of three hybrid sunflower species were not stabilized
for hundreds of generations. These results are reconciled with previous research
by recognizing that the stabilization of a hybrid species' genome is not
synonymous with hybrid speciation. Segregating factors that contribute to initial
ecological or intrinsic genetic isolation may become stabilized quickly. The
remainder of the genome likely becomes stabilized over a longer time interval,
with recombination and drift dictating the contributions of the parental genomes.
Our modeling of genome stabilization provides an upper bound for the time
interval for reproductive isolation to be established and confirms the rapid
nature of homoploid hybrid speciation.
PMID- 18039324
TI - The evolution of restraint in bacterial biofilms under nontransitive competition.
AB - Theoretical and empirical evidence indicates that competing species can coexist
if dispersal, migration, and competitive interactions occur over relatively small
spatial scales. In particular, spatial structure appears to be critical to
certain communities with nontransitive competition. A typical nontransitive
system involves three competing species that satisfy a relationship similar to
the children's game of rock-paper-scissors. Although the ecological dynamics of
nontransitive systems in spatially structured communities have received some
attention, fewer studies have incorporated evolutionary change. Here we
investigate evolution within toxic bacterial biofilms using an agent-based
simulation that represents a nontransitive community containing three populations
of Escherichia coli. In structured, nontransitive communities, strains evolve
that do not maximize their competitive ability: They do not reduce their
probability of death to a minimum or increase their toxicity to a maximum. That
is, types evolve that exercise restraint. We show that nontransitivity and
spatial structure (in the form of localized interactions) are both necessary for
the evolution of restraint in these biofilms.
PMID- 18039325
TI - Rapid parasite adaptation drives selection for high recombination rates.
AB - The Red Queen hypothesis proposes that sex is maintained through selection
pressure imposed by coevolving parasites: susceptible hosts are able to escape
parasite pressure by recombining their genome to create resistant offspring.
However, previous theoretical studies have shown that the Red Queen typically
selects against sex unless selection is strong, arguing that high rates of
recombination cannot evolve when parasites are of low virulence. Here we show
that under the biologically plausible assumption of a severe fitness cost for
parasites that fail to infect, the Red Queen can cause selection for high
recombination rates, and that the strength of virulence is largely irrelevant to
the direction of selection for increased recombination rates. Strong selection on
parasites and short generation times make parasites usually better adapted to
their hosts than vice versa and can thus favor higher recombination rates in
hosts. By demonstrating the importance of host-imposed selection on parasites,
our findings resolve previously reported conflicting results.
PMID- 18039327
TI - Genetic, morphological, and ecological characterization of a hybrid zone that
spans a migratory divide.
AB - This study characterizes a hybrid zone that spans a migratory divide between
subspecies of the Swainson's thrush (Catharus ustulatus), a long distance
migratory songbird, in the Coast Mountains of British Columbia. To assess the
potential for a barrier to gene flow between the subspecies, I: (1) analyzed the
shape and width of genetic and morphological clines relative to estimates of
dispersal distance, (2) assessed the ratio of parental to hybrid genotypes across
the hybrid zone, (3) estimated population density across the hybrid zone, and (4)
compared the spatial relationship between the hybrid zone and an existing
environmental gradient. The results indicate that the hybrid zone is
characterized by mostly concordant character clines that are narrow relative to
dispersal, the absence of a hybrid swarm, and low population density at the
center of the zone. This hybrid zone and additional regions of contact between
these subspecies are found on the border between coastal and interior climatic
regions throughout the Pacific Northwest. An identified shift in the location,
but not the width, of the mtDNA cline relative to the nuclear clines is
consistent with asymmetrical hybridization. Neutral diffusion of populations
following secondary contact and hybrid superiority within an ecotone are
insufficient explanations for the observed patterns. The hypothesis that best
fits the data is that the Swainson's thrush hybrid zone is a tension zone
maintained by dispersal and ecologically mediated barriers to gene flow.
PMID- 18039328
TI - Sperm motility in Mytilus edulis in relation to mitochondrial DNA polymorphisms:
implications for the evolution of doubly uniparental inheritance in bivalves.
AB - Bivalves of the families Mytilidae, Unionidae, and Veneridae have an unusual mode
of mitochondrial DNA (mtDNA) transmission called doubly uniparental inheritance
(DUI). A characteristic feature of DUI is the presence of two gender-associated
mtDNA genomes that are transmitted through males (M-type mtDNA) and females (F
type mtDNA), respectively. Female mussels are predominantly homoplasmic with only
the F-type expressed in both somatic and gonadal tissue; males are heteroplasmic
with the M-type expressed in the gonad and F-type in somatic tissue for the most
part. An unusual evolutionary feature of this system is that an mt genome with F
coding sequences occasionally invades the male route of inheritance (i.e., a
"role reversal" event), and is thereafter transmitted as a new M-type.
Phylogenetic studies have demonstrated that the new or "recently masculinized" M
types may eventually replace the older or "standard" M-types over time. To
investigate whether this replacement process could be due to an advantage in
sperm swimming behavior, we measured differences in motility parameters and found
that sperm with the recently masculinized M-type had significantly faster
curvilinear velocity and average path velocity when compared to sperm with
standard M-type. This increase in sperm swimming speed could explain the multiple
evolutionary replacements of standard M-types by masculinized M-types that have
been hypothesized for the mytilid lineage. However, our observations do not
support the hypothesis that DUI originated because it permits the evolution of
mitochondrial adaptations specific to sperm performance, otherwise, the
evolutionarily older, standard M genome should perform better.
PMID- 18039326
TI - Rapid adaptive divergence in new world achillea, an autopolyploid complex of
ecological races.
AB - Adaptive evolution is often associated with speciation. In plants, however,
ecotypic differentiation is common within widespread species, suggesting that
climatic and edaphic specialization can outpace cladogenesis and the evolution of
postzygotic reproductive isolation. We used cpDNA sequence (5 noncoding regions,
3.5 kb) and amplified fragment length polymorphisms (AFLPs: 4 primer pairs, 1,013
loci) to evaluate the history of ecological differentiation in the North American
Achillea millefolium, an autopolyploid complex of "ecological races" exhibiting
morphological, physiological, and life-history adaptations to diverse
environments. Phylogenetic analyses reveal North American A. millefolium to be a
monophyletic group distinct from its European and Asian relatives. Based on
patterns of sequence divergence, as well as fossil and paleoecological data,
colonization of North America appears to have occurred via the Bering Land Bridge
during the Pleistocene (1.8 MYA to 11,500 years ago). Population genetic analyses
indicate negligible structure within North American A. millefolium associated
with varietal identity, geographic distribution, or ploidy level. North American
populations, moreover, exhibit the signature of demographic expansion. These
results affirm the "ecotype" concept of the North American Achillea advocated by
classical research and demonstrate the rapid rate of ecological differentiation
that sometimes occurs in plants.
PMID- 18039329
TI - Phylogenetic and geographic variation in host breadth and composition by
herbivorous amphipods in the family Ampithoidae.
AB - Predicting the host range for herbivores has been a major aim of research into
plant-herbivore interactions and an important model system for understanding the
evolution of feeding specialization. Among many terrestrial insects, host range
is strongly affected by herbivore phylogeny and long historical associations
between particular herbivore and plant taxa. For small herbivores in marine
environments, it is known that the evolution of host use is sculpted by several
ecological factors (e.g., food quality, value as a refuge from predators, and
abiotic forces), but the potential for phylogenetic constraints on host use
remains largely unexplored. Here, we analyze reports of host use of herbivorous
amphipods from the family Ampithoidae (102 amphipod species from 12 genera) to
test the hypotheses that host breadth and composition vary among herbivore
lineages, and to quantify the extent to which nonpolar secondary metabolites
mediate these patterns. The family as a whole, and most individual species, are
found on a wide variety of macroalgae and seagrasses. Despite this polyphagous
host use, amphipod genera consistently differed in host range and composition. As
an example, the genus Peramphithoe rarely use available macrophytes in the order
Dictyotales (e.g., Dictyota) and as a consequence, display a more restricted host
range than do other genera (e.g., Ampithoe, Cymadusa, or Exampithoe). The strong
phylogenetic effect on host use was independent of the uneven distribution of
host taxa among geographic regions. Algae that produced nonpolar secondary
metabolites were colonized by higher numbers of amphipod species relative to
chemically poor genera, consistent with the notion that secondary metabolites do
not provide algae an escape from amphipod herbivory. In contrast to patterns
described for some groups of phytophagous insects, marine amphipods that use
chemically rich algae tended to have broader, not narrower, host ranges. This
result suggests that an evolutionary advantage to metabolite tolerance in marine
amphipods may be that it increases the availability of appropriate algal hosts
(i.e., enlarges the resource base).
PMID- 18039330
TI - The health status burden of people with fibromyalgia: a review of studies that
assessed health status with the SF-36 or the SF-12.
AB - OBJECTIVE: The current review describes how the health status profile of people
with fibromyalgia (FM) compares to that of people in the general population and
patients with other health conditions. METHODS: A review of 37 studies of FM that
measured health status with the 36-item Medical Outcomes Study Short-Form Health
Survey (SF-36) or the 12-item Short-Form Health Survey (SF-12). RESULTS: Studies
performed worldwide showed that FM groups were significantly more impaired than
people in the general population on all eight health status domains assessed.
These domains include physical functioning, role functioning difficulties caused
by physical problems, bodily pain, general health, vitality (energy vs. fatigue),
social functioning, role functioning difficulties caused by emotional problems
and mental health. FM groups had mental health summary scores that fell 1
standard deviation (SD) below the general population mean, and physical health
summary scores that fell 2 SD below the general population mean. FM groups also
had a poorer overall health status compared to those with other specific pain
conditions. FM groups had similar or significantly lower (poorer) physical and
mental health status scores compared to those with rheumatoid arthritis,
osteoarthritis, osteoporosis, systemic lupus erythematosus, myofacial pain
syndrome, primary Sjogren's syndrome and others. FM groups scored significantly
lower than the pain condition groups mentioned above on domains of bodily pain
and vitality. Health status impairments in pain and vitality are consistent with
core features of FM. CONCLUSIONS: People with FM had an overall health status
burden that was greater in magnitude compared to people with other specific pain
conditions that are widely accepted as impairing.
PMID- 18039332
TI - The effect of heme on the conformational stability of micro-myoglobin.
AB - Micro-myoglobin, the isolated heme-binding subdomain of myoglobin, is a valuable
model system for the investigation of heme recognition and binding by proteins,
and provides an example of protein folding induced by cofactor binding.
Theoretical studies by molecular dynamics simulations on apo- and holo-micro
myoglobin show that, by contrast with the case of the full-length wild-type
protein and in agreement with earlier experimental evidence, the apo-protein is
not stably folded in a native-like conformation. With the cofactor bound,
however, the protein fragment maintains its folded conformation over 1.5 ns in
molecular dynamics simulations. Further inspection of the model structures
reveals that the role of heme in stabilizing the folded state is not only a
result of its direct interactions with binding residues (His93, Arg45 and Lys96),
but also derives from its shielding effect on a long-range electrostatic
interaction between Arg45 and Asp60, which, in the molecular dynamics
simulations, apparently triggers the unfolding process of apo-micro-myoglobin.
PMID- 18039331
TI - Human retinol dehydrogenase 13 (RDH13) is a mitochondrial short-chain
dehydrogenase/reductase with a retinaldehyde reductase activity.
AB - Retinol dehydrogenase 13 (RDH13) is a recently identified short-chain
dehydrogenase/reductase related to microsomal retinoid oxidoreductase RDH11. In
this study, we examined the distribution of RDH13 in human tissues, determined
its subcellular localization and characterized the substrate and cofactor
specificity of purified RDH13 in order to better understand its properties. The
results of this study demonstrate that RDH13 exhibits a wide tissue distribution
and, by contrast with other members of the RDH11-like group of short-chain
dehydrogenases/reductases, is a mitochondrial rather than a microsomal protein.
Protease protection assays suggest that RDH13 is localized on the outer side of
the inner mitochondrial membrane. Kinetic analysis of the purified protein shows
that RDH13 is catalytically active and recognizes retinoids as substrates.
Similar to the microsomal RDHs, RDH11, RDH12 and RDH14, RDH13 exhibits a much
lower Km value for NADPH than for NADH and has a greater catalytic efficiency in
the reductive than in the oxidative direction. The localization of RDH13 at the
entrance to the mitochondrial matrix suggests that it may function to protect
mitochondria against oxidative stress associated with the highly reactive
retinaldehyde produced from dietary beta-carotene.
PMID- 18039333
TI - Light optical precision measurements of the active and inactive Prader-Willi
syndrome imprinted regions in human cell nuclei.
AB - Despite the major advancements during the last decade with respect to both
knowledge of higher order chromatin organization in the cell nucleus and the
elucidation of epigenetic mechanisms of gene control, the true three-dimensional
(3D) chromatin structure of endogenous active and inactive gene loci is not
known. The present study was initiated as an attempt to close this gap. As a
model case, we compared the chromatin architecture between the genetically active
and inactive domains of the imprinted Prader-Willi syndrome (PWS) locus in human
fibroblast and lymphoblastoid cell nuclei by 3D fluorescence in situ
hybridization and quantitative confocal laser scanning microscopy. The volumes
and 3D compactions of identified maternal and paternal PWS domains were
determined in stacks of light optical serial sections using a novel threshold
independent approach. Our failure to detect volume and compaction differences
indicates that possible differences are below the limits of light optical
resolution. To overcome this limitation, spectral precision distance microscopy,
a method of localization microscopy at the nanometer scale, was used to measure
3D distances between differentially labeled probes located both within the PWS
region and in its neighborhood. This approach allows the detection of
intranuclear differences between 3D distances down to about 70-90 nm, but again
did not reveal clearly detectable differences between active and inactive PWS
domains. Despite this failure, a comparison of the experimental 3D distance
measurements with computer simulations of chromatin folding strongly supports a
non-random higher order chromatin configuration of the PWS locus and argues
against 3D configurations based on giant chromatin loops. Our results indicate
that the search for differences between endogenous active and inactive PWS
domains must be continued at still smaller scales than hitherto possible with
conventional light microscopic procedures. The possibilities to achieve this goal
are discussed.
PMID- 18039334
TI - Healing at fluoride-modified implants placed in wide marginal defects: an
experimental study in dogs.
AB - OBJECTIVE: To study the healing at fluoride-modified implants placed in wide
circumferential defects. MATERIAL AND METHODS: Six mongrel dogs were used. The
mandibular premolars and first molars were extracted. Three months later four
implants were placed in one side of the mandible of each dog. The control
implants (MicroThread) had a TiOblast surface, while the test implants
(OsseoSpeed) had a fluoride-modified surface. Two implants of each type were
placed. The marginal 50% of the prepared canal was widened using step drills.
Following installation a 1 mm wide gap occurred between the implant surface and
the bone wall in the defect. All implants were submerged. The installation
procedure was repeated in the opposite side of the mandible 4 weeks after the
first implant surgery. Two weeks later the animals were euthanized and block
biopsies containing the implant and surrounding tissues were prepared for
histological analysis. RESULTS: The histological analysis revealed that a
significantly larger area of osseointegration was established within the defect
at fluoride-modified implants than at implants with a TiOblast surface after 6
weeks of healing. Further, the degree of bone-to-implant contact within the
defect area was larger at fluoride-modified implants than at the TiOblast
implants. CONCLUSION: It is suggested that the fluoride-modified implant surface
promotes bone formation and osseointegration.
PMID- 18039335
TI - Multivariate study of factors influencing primary dental implant stability.
AB - OBJECTIVES: The purpose was to determine by multivariate analysis in a large
series of dental implants the variables associated with primary endosseous dental
implant stability (DIS). MATERIAL AND METHODS: A 10-year retrospective study was
conducted of 1084 Branemark implants placed in 316 patients. Clinical variables
(age, gender, smoking habit, and periodontal status), implant diameter, implant
length, and Periotest values (PTVs) were analyzed in bivariate and multivariate
studies in order to determine their influence on DIS, using a cut-off PTV value
of -2. RESULTS: The site of implant insertion showed the strongest association
with primary DIS failure among the study variables. Implants in the anterior
mandible had a 6.43-fold lower risk of primary DIS risk vs. those at other sites
[95% confidence interval (CI) 3.28-12.61], and implants in the maxillary had a
2.70-fold higher risk of primary DIS failure vs. those in the mandible (95% CI
1.82-4). Among other variables, females had a 1.54-fold higher risk of primary
DIS failure vs. males (95% CI 1.88-2.22) and implants <15 mm in length had a 1.49
fold higher risk of failure vs. longer implants (95% CI 1.09-2.04). CONCLUSION:
According to these findings, primary DIS failure is more likely in females, at
sites other than the anterior mandible, and with dental implants shorter than 15
mm, at least when non-threaded titanium implants are used. These data may be of
value in the identification of patients at a high risk of primary DIS failure
with immediate implant loading.
PMID- 18039336
TI - Re-osseointegration on rough implant surfaces previously coated with bacterial
biofilm: an experimental study in the dog.
AB - OBJECTIVE: The purpose of the study was to investigate whether osseointegration
can occur on rough implant surfaces that previously had been coated with
bacterial biofilm. MATERIALS AND METHODS: The premolars on both sides of the
mandible in four beagle dogs were extracted. Following 3 months healing, three
titanium implants Ti-Unite, Nobel Biocare were partially inserted in the left
side of each mandible. Some threads protruded from the tissues into the oral
cavity. Plaque accumulated on the exposed part of the implant. Following a 5-week
healing period, the contaminated parts of each implant were treated using three
different techniques: (1) swabbing with citric acid for 30 s followed by rinsing
with physiological saline, (2) cleansing with a toothbrush and physiological
saline for 1 min, and (3) swabbing with 10% hydrogen peroxide for 1 min followed
by rinsing with physiological saline. The treated implants and one pristine
implant (control) were installed to the full implant length on the contralateral
sides of the mandibles. Following 11 weeks of healing, the dogs were sacrificed
and biopsies were obtained. Ground sections were prepared for histomorphometric
analysis. RESULTS: All treatment modalities were associated with direct bone-to
implant contact on the portion of implant surface previously exposed to the oral
environment. CONCLUSIONS: The results demonstrate that rough surfaces, which were
plaque contaminated and cleaned by different methods, can re-osseointegrate.
PMID- 18039337
TI - Bone healing dynamics at buccal peri-implant sites.
AB - BACKGROUND: It is common belief that immediate implant placement into extraction
sites may act to preserve the alveolar process. The objective of this study was
to evaluate healing dynamics at buccal peri-implant sites in relation to the
dimensions of the alveolar ridge. METHODS: Bilateral, critical-size,
supraalveolar, peri-implant defects were created in 12 male Hound Labrador
mongrel dogs following surgical horizontal cut-down of the alveolar ridge. Each
jaw quadrant received three 10-mm titanium implants placed 5 mm into extraction
sites of the third and fourth premolar teeth leaving 5 mm in a supraalveolar
position. The mucoperiosteal flaps were advanced, adapted, and sutured for
primary intention healing. Bone fluorescent markers were administered at weeks 3
and 4 postsurgery, and pre-euthanasia. Incandescent, polarized, and fluorescent
light microscopies were used to assess the width of the buccal wall of the
alveolar ridge and local bone remodeling over the 8-week healing interval.
RESULTS: There was a significant association between the width of the buccal
alveolar ridge and extent of bone resorption evaluated by incandescent and
fluorescent light microscopy. A non-linear association was observed between the
buccal ridge width and resorption of the alveolar ridge. A 2-mm threshold was
established to account for this non-linearity. The strength of this association
was two times greater in specimens with a buccal ridge width <2 mm compared with
a wider ridge (beta=1.62 vs. 0.80) observed by fluorescent light microscopy.
Accordingly, mean buccal resorption was significantly greater when the ridge
width was <2 mm. Fluorescent light microscopy consistently showed greater buccal
resorption compared with incandescent light microscopy (P<0.05). Agreement
between the examination techniques was low (concordance correlation
coefficient=0.49), especially for higher values of buccal resorption. CONCLUSION:
When implants are placed into extraction sites, proximity to the buccal alveolar
crest appears a major consideration. The observations herein suggest that the
width of the buccal alveolar ridge should be at least 2 mm to maintain the
alveolar bone level. These observations likely have general implications for
implant placement using most surgical protocols.
PMID- 18039338
TI - SMILE: first observational prospective cohort study of migraine in primary care
in France. Description of methods and study population.
AB - The SMILE study was conducted among migraine patients consulting in primary care
in France. The first phase aimed to describe the study sample of patients at
entry to the study, especially emotional dimension (Hospital Anxiety and
Depression scale), functional impact (abridged Migraine Specific Questionnaire),
stress (Perceived Stress Scale) and coping behaviours (brief COPE inventory
avoidance subscale, Coping Strategies Questionnaire catastrophizing subscale), as
well as treatments used and their effectiveness and treatments prescribed at end
of consultation. Results indicate that consulting migraine patients suffer
frequent migraine attacks, exhibit substantial levels of anxiety, functional
impact and stress, and often use maladaptive coping strategies. Abortive
treatments appear ineffective in most patients (74%). Patients with more affected
psychometric variables and treatment ineffectiveness are more likely to be deemed
eligible for prophylactic treatment. These data highlight the seriousness of
migraine and maladjustment of patients consulting in primary care.
PMID- 18039339
TI - Prednisone vs. placebo in withdrawal therapy following medication overuse
headache.
AB - This proof-of-concept study evaluated the efficacy of prednisone for the
treatment of withdrawal symptoms in patients with medication overuse headache
(MOH) in a randomized, placebo-controlled, double-blind design. Twenty patients
were randomized and underwent in-patient withdrawal therapy. The total number of
hours with severe or moderate headache within the first 72 and 120 h was
significantly lower in the prednisone group. The results show that prednisone
might be effective in the treatment of medication withdrawal headache.
PMID- 18039340
TI - Efficacy of pregabalin in the treatment of trigeminal neuralgia.
AB - This prospective, open-label study aimed to evaluate the efficacy of pregabalin
treatment in patients suffering from trigeminal neuralgia with and without
concomitant facial pain. Fifty-three patients with trigeminal neuralgia (14 with
concomitant chronic facial pain) received pregabalin (PGB) 150-600 mg daily and
were prospectively followed for 1 year. The primary outcome was number of
patients pain free or with reduction of pain intensity by > 50% and of attack
frequency by > 50% after 8 weeks. Secondary outcome was sustained pain relief
after 1 year. Thirty-nine patients (74%) improved after 8 weeks with a mean dose
of 269.8 mg/day (range 150-600 mg/day) PGB: 13 (25%) experienced complete pain
relief and 26 (49%) reported pain reduction > 50%, whereas 14 (26%) did not
improve. Patients without concomitant facial pain showed better response rates
(32 of 39, 82%) compared with patients with concomitant chronic facial pain (7 of
14, 50%, P = 0.020). Concomitant chronic facial pain appears to be a clinical
predictor of poor treatment outcome. PGB appears to be effective in the treatment
of trigeminal neuralgia.
PMID- 18039341
TI - Dissecting cellulitis of the scalp responding to oral quinolones.
PMID- 18039342
TI - Successful treatment of palmoplantar arsenical keratosis with a combination of
keratolytics and low-dose acitretin.
PMID- 18039343
TI - Florid hyperplastic folliculitis on the chin in a male renal transplant patient.
PMID- 18039344
TI - Cellulitis due to Myroides odoratimimus in a patient with alcoholic cirrhosis.
PMID- 18039345
TI - Choroidal perfusion in eyes with untreated choroidal melanoma.
AB - PURPOSE: Previous studies indicate that ocular blood flow is altered in eyes with
choroidal melanoma. In the present study pulsatile ocular blood flow (POBF) was
assessed to investigate whether there exists a correlation between tumour size
and ocular blood flow parameters. METHODS: Twenty-two patients with unilateral
untreated choroidal melanoma were studied using two measurement techniques: POBF
was measured with a pneumotonometer and local foveal fundus pulsation amplitude
(FPA) by laser interferometry, and results were correlated. Tumour volume was
calculated using the ellipsoidal solid model and was correlated to POBF and FPA.
RESULTS: Levels of POBF and FPAs tended to be higher in tumour eyes compared with
unaffected eyes, but the differences did not reach the level of significance.
Both methods showed a high degree of correlation in unaffected eyes. In tumour
eyes the correlation was slightly less pronounced. There was no correlation
between tumour and POBF or FPA. CONCLUSIONS: Our results do not indicate
choroidal hyperperfusion in patients with choroidal melanoma. The clinical
usefulness of measuring POBF in tumour patients may be limited.
PMID- 18039346
TI - Visual prognosis and vitreous cytokine levels after arteriovenous sheathotomy in
branch retinal vein occlusion associated with macular oedema.
AB - PURPOSE: To investigate the relationship between vitreous levels of cytokines,
including interleukin-6 (IL-6) and vascular endothelial growth factor (VEGF), and
visual prognosis after pars plana vitrectomy (PPV) with arteriovenous sheathotomy
in patients with branch retinal vein occlusion (BRVO) associated with macular
oedema. METHODS: We studied 60 patients with logMAR visual acuity (VA) scores of
< 0.3 and visual impairment secondary to BRVO-associated macular oedema. All
patients underwent PPV with arteriovenous sheathotomy. At the time of PPV,
vitreous samples were collected from the operated eye and vitreous levels of VEGF
and IL-6 were measured. Best corrected VA (BCVA) (using a logMAR chart) and
foveal thickness (FT) (using optical coherence tomography) were monitored for up
to 6 months after PPV. RESULTS: Both BCVA and FT significantly improved after
PPV. According to multiple regression analysis, both the improvement in BCVA and
decrease in FT were closely related to the vitreous level of IL-6 but not to that
of VEGF. The vitreous level of VEGF was strongly correlated with duration of
BRVO. CONCLUSIONS: Both improvement in BCVA and decrease in FT were observed
after PPV in BRVO patients with macular oedema. Interestingly, these visual
prognoses strongly correlate with the vitreous level of IL-6, whereas the
duration of BRVO strongly correlates with the vitreous level of VEGF.
PMID- 18039347
TI - Success rates for switching to dorzolamide/timolol fixed combination in timolol
responders who are insufficiently controlled by latanoprost monotherapy.
AB - PURPOSE: We aimed to investigate the safety and efficacy of dorzolamide/timolol
fixed combination (DTFC) in timolol responders with ocular hypertension or open
angle glaucoma who switched to DTFC because of insufficient control on
latanoprost. METHODS: We carried out a prospective, open-label cohort study with
an active-historical control in which qualifying patients must have been treated
with latanoprost monotherapy for at least 4 weeks, must have demonstrated
insufficiently controlled intraocular pressure (IOP) (> or = 19 mmHg at 08.00
hours), and must have shown a decrease in IOP at 2 hours after timolol
instillation of > or = 3 mmHg or > or = 15%. Patients then began DTFC dosed at
08.00 hours and 20.00 hours and discontinued latanoprost. Patients were evaluated
again after 4 and 12 weeks. RESULTS: In 57 patients IOP was further reduced by
2.4 +/- 3.3 mmHg at 08.00 hours (p < 0.0001) and by 3.5 +/- 3.3 mmHg at 10.00
hours (p < 0.0001) after switching to DTFC. Responses to the Comparison of
Ophthalmic Medications for Tolerability (COMTol) questionnaire showed no
difference between DTFC and latanoprost for in terms of overall preference,
typical daily activities, limitation of activities, compliance, satisfaction or
quality of life (p > 0.05). However, greater frequency in burning and/or stinging
(p < 0.0001) and bitter taste (p < 0.0001) were observed with DTFC, whereas
unusual taste (p = 0.02) and itchy eyes (p = 0.05) were associated with
latanoprost. CONCLUSIONS: This study suggests that patients who are
insufficiently controlled on latanoprost monotherapy, and who are timolol
responders, can generally achieve further IOP reduction and similar tolerance
levels when changed to DTFC.
PMID- 18039348
TI - Accommodative esotropia following surgical treatment of infantile esotropia:
frequency and risk factors.
AB - PURPOSE: We aimed to examine the frequency of and risk factors for the
development of accommodative esotropia following surgical treatment for infantile
esotropia. METHODS: A total of 29 children were recruited. Potential risk factors
for the development of accommodative esotropia included: sex; angle of deviation
at initial and final visits; cycloplegic refractive error at initial and final
visits; increase in hyperopia; amblyopia; amblyopia treatment; age at surgical
treatment; pre- and postoperative latent nystagmus; dissociated vertical
deviation or inferior oblique muscle overaction; additional surgical procedures;
unstable alignment, and binocular sensory status. RESULTS: Overall, 14 (48.2%) of
29 children developed accommodative esotropia during the 36- to 132-month
postoperative follow-up period. Twelve (85.7%) of the 14 patients developed
refractive accommodative esotropia and two developed non-refractive accommodative
esotropia. The onset of accommodative esotropia occurred at a mean of 8.8 months
(range 6-24 months) after the initial surgical alignment. This corresponded to a
mean age of onset for accommodative esotropia of 43.2 months. We determined that,
among children with infantile esotropia, those who had hyperopia of > or = 3.0 D
and increasing hyperopia after surgery and those who did not develop dissociated
vertical deviation during the follow-up period were more likely to develop
accommodative esotropia. CONCLUSIONS: Children who have the established risk
factors should be followed closely for the development of accommodative
esotropia. The treatment of these children with appropriate glasses may prevent
the development of adverse effects of accommodative esotropia on sensory and
motor functions.
PMID- 18039349
TI - Vogt-Koyanagi-Harada syndrome in a Greenlandic Inuit.
AB - PURPOSE: To report a case of Vogt-Koyanagi-Harada syndrome (VKH) in an Inuit.
METHODS: We carried out a medical evaluation and human leucocyte antigen (HLA)
genotyping. RESULTS: A 36-year-old male Inuit developed severely decreased
vision, intense headache and vertigo over a 3-week period. Ocular examination
revealed panuveitis with bilateral serous retinal detachment and optic nerve head
oedema. There was no history of ocular trauma or evidence suggestive of other
disease entities. The patient responded well to high-dose systemic prednisolone.
Vitiligo presented late in the course. CONCLUSIONS: This case report describes
the first published case of VKH in a patient of Inuit ancestry. The patient was
homozygous for HLA-DR4, a genotype previously associated with VKH.
PMID- 18039350
TI - Intraocular pressure in an ophthalmologically normal Japanese population.
AB - PURPOSE: To investigate the distribution of intraocular pressure (IOP) measured
by Goldmann applanation tonometry (GAT) and factors correlating with IOP, with
special attention to age, in a large sample of ophthalmologically normal Japanese
subjects. METHODS: A total of 7313 subjects (2847 male, 4466 female) were
selected as a normal Japanese sample. The following exclusion criteria applied:
abnormal results suggestive of any eye diseases in slit-lamp examination, fundus
photography or visual field testing using frequency-doubling technology;
corrected visual acuity < 0.7, and contact lens wear within 1 week of study
examinations. All subjects were sourced from the Eye Disease Screening Service in
Tajimi City, which carried out a screening programme between September 2000 and
October 2001. All data, including subject age, gender, body mass index (BMI),
systolic blood pressure (BP), laterality, refraction, corneal radius and IOP
measured with GAT were collected from subject records. Central corneal thickness
(CCT) was measured by SP-2000P specular microscopy. Levels of IOP and correlating
factors were statistically analysed. RESULTS: Average IOP was 14.1 +/- 2.3 mmHg
(mean +/- standard deviation; n = 7313 x 2). Mean IOP levels in male and female
subjects were 14.1 +/- 2.3 mmHg and 14.1 +/- 2.2 mmHg, respectively. There was no
difference in IOP between male and female subjects. Multiple regression analysis
indicated that IOP had negative correlations with age, corneal radius and
refraction (p < 0.0001), and positive correlations with CCT, systolic BP and BMI
(p < 0.0001). CONCLUSIONS: In a large-scale population of ophthalmologically
normal Japanese subjects, IOP measured by GAT was significantly and negatively
correlated with age. It was also negatively correlated with corneal radius and
refraction, and positively with CCT, systolic BP and BMI.
PMID- 18039351
TI - Safety and pharmacokinetics of a recombinant factor VIII with pegylated liposomes
in severe hemophilia A.
AB - BACKGROUND: BAY 79-4980 is a sucrose-formulated recombinant factor VIII (rFVIII
FS) combined with pegylated liposomes to prolong activity. OBJECTIVES: To
investigate the safety, tolerability, bioavailability, pharmacokinetics and
pharmacodynamics of a single administration of BAY 79-4980 compared with standard
rFVIII-FS in patients with severe hemophilia A. METHODS: This randomized, double
blind study consisted of two crossover substudies comparing two doses of
liposomal rFVIII-FS with standard rFVIII-FS. Males (12-60 years) with severe
hemophilia A received a single infusion of standard rFVIII-FS (35 IU kg(-1))
followed by a single infusion of BAY 79-4980 (13 or 22 mg kg(-1) pegylated
liposomes) or vice versa, with 12 observation days and a 2-day washout period
between treatments. RESULTS: Twenty-six subjects were enrolled at two centers. No
serious adverse events were reported. Transient increases in complement C3a, but
not CH50, were seen in subjects receiving both the low- and high-liposome-dose
BAY 79-4980. Mild transient elevations of total and low-density lipoprotein
cholesterol were observed. There were no clinically significant differences in
clotting or laboratory parameters or in pharmacokinetic behavior between BAY 79
4980 and standard rFVIII-FS. The number of subjects with spontaneous bleeds on
days 1-14 postinfusion was low, and group comparisons were inconclusive.
CONCLUSIONS: Single-dose administration of BAY 79-4980 is well tolerated in
patients with severe hemophilia A. Plasma pharmacokinetics of FVIII cannot
explain the extended protection from bleeding observed previously with BAY 79
4980. Further studies of efficacy and long-term safety of chronic administration
are planned.
PMID- 18039354
TI - Comparative genomic analysis of the odorant-binding protein family in 12
Drosophila genomes: purifying selection and birth-and-death evolution.
AB - BACKGROUND: Chemoreception is a widespread mechanism that is involved in critical
biologic processes, including individual and social behavior. The insect
peripheral olfactory system comprises three major multigene families: the
olfactory receptor (Or), the gustatory receptor (Gr), and the odorant-binding
protein (OBP) families. Members of the latter family establish the first contact
with the odorants, and thus constitute the first step in the chemosensory
transduction pathway. RESULTS: Comparative analysis of the OBP family in 12
Drosophila genomes allowed the identification of 595 genes that encode putative
functional and nonfunctional members in extant species, with 43 gene gains and 28
gene losses (15 deletions and 13 pseudogenization events). The evolution of this
family shows tandem gene duplication events, progressive divergence in DNA and
amino acid sequence, and prevalence of pseudogenization events in external
branches of the phylogenetic tree. We observed that the OBP arrangement in
clusters is maintained across the Drosophila species and that purifying selection
governs the evolution of the family; nevertheless, OBP genes differ in their
functional constraints levels. Finally, we detect that the OBP repertoire evolves
more rapidly in the specialist lineages of the Drosophila melanogaster group (D.
sechellia and D. erecta) than in their closest generalists. CONCLUSION: Overall,
the evolution of the OBP multigene family is consistent with the birth-and-death
model. We also found that members of this family exhibit different functional
constraints, which is indicative of some functional divergence, and that they
might be involved in some of the specialization processes that occurred through
the diversification of the Drosophila genus.
PMID- 18039355
TI - Complete gene expression profiling of Saccharopolyspora erythraea using GeneChip
DNA microarrays.
AB - BACKGROUND: The Saccharopolyspora erythraea genome sequence, recently published,
presents considerable divergence from those of streptomycetes in gene
organization and function, confirming the remarkable potential of S. erythraea
for producing many other secondary metabolites in addition to erythromycin. In
order to investigate, at whole transcriptome level, how S. erythraea genes are
modulated, a DNA microarray was specifically designed and constructed on the S.
erythraea strain NRRL 2338 genome sequence, and the expression profiles of 6494
ORFs were monitored during growth in complex liquid medium. RESULTS: The
transcriptional analysis identified a set of 404 genes, whose transcriptional
signals vary during growth and characterize three distinct phases: a rapid growth
until 32 h (Phase A); a growth slowdown until 52 h (Phase B); and another rapid
growth phase from 56 h to 72 h (Phase C) before the cells enter the stationary
phase. A non-parametric statistical method, that identifies chromosomal regions
with transcriptional imbalances, determined regional organization of
transcription along the chromosome, highlighting differences between core and non
core regions, and strand specific patterns of expression. Microarray data were
used to characterize the temporal behaviour of major functional classes and of
all the gene clusters for secondary metabolism. The results confirmed that the
ery cluster is up-regulated during Phase A and identified six additional clusters
(for terpenes and non-ribosomal peptides) that are clearly regulated in later
phases. CONCLUSION: The use of a S. erythraea DNA microarray improved specificity
and sensitivity of gene expression analysis, allowing a global and at the same
time detailed picture of how S. erythraea genes are modulated. This work
underlines the importance of using DNA microarrays, coupled with an exhaustive
statistical and bioinformatic analysis of the results, to understand the
transcriptional organization of the chromosomes of micro-organisms producing
natural products.
PMID- 18039352
TI - Clathrin-independent internalization and recycling.
AB - The functionality of receptor and channel proteins depends directly upon their
expression level on the plasma membrane. Therefore, the ability to selectively
adjust the surface level of a particular receptor or channel protein is pivotal
to many cellular signaling events. The internalization and recycling pathway
plays a major role in the regulation of protein surface level, and thus has been
a focus of research for many years. Although several endocytic pathways have been
identified, most of our knowledge has come from the clathrin-dependent pathway,
while the other pathways remain much less well defined. Considering that clathrin
independent internalization may account for as much as 50% of the total endocytic
activity in the cell, the lack of such knowledge constitutes a major gap in our
efforts to understand how different internalization pathways are utilized and
coordinated. Recent studies have provided valuable insights into this area, yet
many more questions still remain. In this review, we will give a panoramic
introduction to the current knowledge of various internalization and recycling
pathways, with an emphasis on the latest findings that have broadened our view of
the clathrin-independent pathways. We will also dedicate one section to the
emerging studies of the clathrin-independent internalization pathways in neuronal
cells.
PMID- 18039356
TI - Semiquantitative RT-PCR measurement of gene expression in rat tissues including a
correction for varying cell size and number.
AB - BACKGROUND: Current methodology of gene expression analysis limits the
possibilities of comparison between cells/tissues of organs in which cell size
and/or number changes as a consequence of the study (e.g. starvation). A method
relating the abundance of specific mRNA copies per cell may allow direct
comparison or different organs and/or changing physiological conditions. METHODS:
With a number of selected genes, we analysed the relationship of the number of
bases and the fluorescence recorded at a present level using cDNA standards. A
lineal relationship was found between the final number of bases and the length of
the transcript. The constants of this equation and those of the relationship
between fluorescence and number of bases in cDNA were determined and a general
equation linking the length of the transcript and the initial number of copies of
mRNA was deduced for a given pre-established fluorescence setting. This allowed
the calculation of the concentration of the corresponding mRNAs per g of tissue.
The inclusion of tissue RNA and the DNA content per cell, allowed the calculation
of the mRNA copies per cell. RESULTS: The application of this procedure to six
genes: Arbp, cyclophilin, ChREBP, T4 deiodinase 2, acetyl-CoA carboxylase 1 and
IRS-1, in liver and retroperitoneal adipose tissue of food-restricted rats
allowed precise measures of their changes irrespective of the shrinking of the
tissue, the loss of cells or changes in cell size, factors that deeply complicate
the comparison between changing tissue conditions. The percentage results
obtained with the present methods were essentially the same obtained with the
delta-delta procedure and with individual cDNA standard curve quantitative RT-PCR
estimation. CONCLUSION: The method presented allows the comparison (i.e. as
copies of mRNA per cell) between different genes and tissues, establishing the
degree of abundance of the different molecular species tested.
PMID- 18039357
TI - Mechanical properties during healing of Achilles tendon ruptures to predict final
outcome: a pilot Roentgen stereophotogrammetric analysis in 10 patients.
AB - BACKGROUND: There are presently few methods described for in vivo monitoring of
the mechanics of healing human tendon ruptures, and no methods for prediction of
clinical outcome. We tested if Roentgen stereophotogrammetric analysis (RSA) can
be used to follow the restoration of mechanical properties during healing of
ruptured Achilles tendons, and if early measurements can predict clinical
results. METHODS: Achilles tendon repair was studied with RSA in 10 patients with
a total rupture. Tantalum beads were implanted in conjunction with surgical
repair. The patients were evaluated at 6, 12 and 18 weeks, and after 1 year. RSA
was performed with two different mechanical loadings, and the strain induced by
increasing load was measured. The transverse area was determined by ultrasound.
CT scan at 12 weeks confirmed that the tantalum beads were located within the
tendons. Functional testing was done after 1 year. A heel raise index was chosen
as primary clinical outcome variable. RESULTS: The strain was median 0.90, 0.32
and 0.14 percent per 100 N tendon force at 6 weeks, 18 weeks and one year
respectively. The error of measurement was 0.04 percent units at 18 weeks. There
was a large variation between patients, which appears to reflect biological
variation. From 6 to 18 weeks, there was a negative correlation between increase
in transverse area and increase in material properties, suggesting that healing
is regulated at the organ level, to maximize stiffness. Modulus of elasticity
during this time correlated with a heel raise index at one year (Rho = 0.76; p =
0.02). CONCLUSION: We conclude that the RSA method might have potential for
comparing different treatments of Achilles tendon ruptures.
PMID- 18039358
TI - Serendipitous diagnosis of aortic coarctation by bilateral parvus et tardus renal
Doppler flow pattern.
AB - BACKGROUND: Aorta Coarctation (AC) is uncommon condition that in most adult
patients is asymptomatic. Diagnosis of AC is made during routine physical
examination by detection of Blood Pressure (BP) difference between arm and leg.
AIM: To describe a novel renal artery Doppler flow pattern pathognomonic of
aortic coarctation. METHODS: We enrolled 4 consecutive patients referred to renal
artery Doppler Ultrasonography (DU) for diagnostic work-up of secondary arterial
hypertension. All met the following inclusion criteria: 1) arterial hypertension
at age <30 years; 2) referred for renal DU to rule out renovascular hypertension.
RESULTS: We found in all 4 patients (age range 10 to 27 years) a bilateral
"parvus-tardus" renal Doppler flow pattern. In all, echocardiographic and
angiographic work-ups showed aortic coarctation. CONCLUSION: Careful physical
examination should be performed in all hypertensive patients. Furthermore, the
suspicion of AC can be raised by a bilateral renal arteries "parvus-tardus"
Doppler flow pattern in young hypertensive patients screened for secondary
hypertension.
PMID- 18039359
TI - Anterior chest wall tuberculous abscess: a case report.
AB - The granulomatous inflammation of tuberculosis usually involves the lungs and
the hilar lymph nodes. Musculoskeletal tuberculosis (TB) occurs in 1-3% of
patients with TB, while TB of the chest wall constitutes 1% to 5% of all cases of
musculoskeletal TB. Furthermore, nowadays it is rarer to find extrapulmonary TB
in immunocompetent rather that non-immunocompetent patients. The present case
reports a fifty-six-year-old immunocompetent man with an anterior chest wall
tuberculous abscess. The rarity of the present case relates both to the
localization of the tuberculous abscess, and to the fact that the patient was
immunocompetent. The diagnosis of musculoskeletal tuberculous infection remains a
challenge for clinicians and requires a high index of suspicion. The combination
of indolent onset of symptoms, positive tuberculin skin test, and compatible
radiographic findings, strongly suggests the diagnosis. TB, however, must be
confirmed by positive culture or histologic proof. Prompt diagnosis and treatment
are important to prevent serious bone and joint destruction.
PMID- 18039360
TI - Hannover study on long-stay hospitalization - part II: Characteristics and care
conditions of long-stay hospitalization in cases of chronic mental illness.
AB - BACKGROUND: Long-stay hospitalization is often a consequence of insufficient care
structures. This article examines the characteristics and care conditions of long
stay hospitalization (LSH) in an urban area in Germany. METHODS: Extensive data
of patients in the urban catchment area of the Medical School of Hannover,
capital of Lower Saxony, were evaluated during a 10 years period. RESULTS AND
CONCLUSION: Community psychiatric efforts certainly help to reduce long-stay
hospitalization, but cannot fully prevent it. Reference figures are given for
comparable urbanized areas: consequently 500 chronically mentally ill persons per
100.000 inhabitants must be expected, 20% of which must be considered as long
stay hospitalized according to a given definition. We estimate 250 places per
100.000 inhabitants to be required for institutionalised outpatient care, further
30 places for day clinic and full-time in-patient treatment and 40 places for
residential home treatment. We suggest these results as a guidance for
psychiatric planning in comparable communities.
PMID- 18039362
TI - Looking inside the black box: a theory-based process evaluation alongside a
randomised controlled trial of printed educational materials (the Ontario printed
educational message, OPEM) to improve referral and prescribing practices in
primary care in Ontario, Canada.
AB - BACKGROUND: Randomised controlled trials of implementation strategies tell us
whether (or not) an intervention results in changes in professional behaviour but
little about the causal mechanisms that produce any change. Theory-based process
evaluations collect data on theoretical constructs alongside randomised trials to
explore possible causal mechanisms and effect modifiers. This is similar to
measuring intermediate endpoints in clinical trials to further understand the
biological basis of any observed effects (for example, measuring lipid profiles
alongside trials of lipid lowering drugs where the primary endpoint could be
reduction in vascular related deaths).This study protocol describes a theory
based process evaluation alongside the Ontario Printed Educational Message (OPEM)
trial. We hypothesize that the OPEM interventions are most likely to operate
through changes in physicians' behavioural intentions due to improved attitudes
or subjective norms with little or no change in perceived behavioural control. We
will test this hypothesis using a well-validated social cognition model, the
theory of planned behaviour (TPB) that incorporates these constructs.
METHODS/DESIGN: We will develop theory-based surveys using standard methods based
upon the TPB for the second and third replications, and survey a subsample of
Ontario family physicians from each arm of the trial two months before and six
months after the dissemination of the index edition of informed, the evidence
based newsletter used for the interventions. In the third replication, our study
will converge with the "TRY-ME" protocol (a second study conducted alongside the
OPEM trial), in which the content of educational messages was constructed using
both standard methods and methods informed by psychological theory. We will
modify Dillman's total design method to maximise response rates. Preliminary
analyses will initially assess the internal reliability of the measures and use
regression to explore the relationships between predictor and dependent variable
(intention to advise diabetic patients to have annual retinopathy screening and
to prescribe thiazide diuretics for first line treatment of uncomplicated
hypertension). We will then compare groups using methods appropriate for
comparing independent samples to determine whether there have been changes in the
predicted constructs (attitudes, subjective norms, or intentions) across the
study groups as hypothesised, and will assess the convergence between the process
evaluation results and the main trial results.
PMID- 18039361
TI - The Ontario printed educational message (OPEM) trial to narrow the evidence
practice gap with respect to prescribing practices of general and family
physicians: a cluster randomized controlled trial, targeting the care of
individuals with diabetes and hypertension in Ontario, Canada.
AB - BACKGROUND: There are gaps between what family practitioners do in clinical
practice and the evidence-based ideal. The most commonly used strategy to narrow
these gaps is the printed educational message (PEM); however, the attributes of
successful printed educational messages and their overall effectiveness in
changing physician practice are not clear. The current endeavor aims to determine
whether such messages change prescribing quality in primary care practice, and
whether these effects differ with the format of the message. METHODS/DESIGN: The
design is a large, simple, factorial, unblinded cluster-randomized controlled
trial. PEMs will be distributed with informed, a quarterly evidence-based
synopsis of current clinical information produced by the Institute for Clinical
Evaluative Sciences, Toronto, Canada, and will be sent to all eligible general
and family practitioners in Ontario. There will be three replicates of the trial,
with three different educational messages, each aimed at narrowing a specific
evidence-practice gap as follows: 1) angiotensin-converting enzyme inhibitors,
hypertension treatment, and cholesterol lowering agents for diabetes; 2) retinal
screening for diabetes; and 3) diuretics for hypertension.For each of the three
replicates there will be three intervention groups. The first group will receive
informed with an attached postcard-sized, short, directive "outsert." The second
intervention group will receive informed with a two-page explanatory "insert" on
the same topic. The third intervention group will receive informed, with both the
above-mentioned outsert and insert. The control group will receive informed only,
without either an outsert or insert.Routinely collected physician billing,
prescription, and hospital data found in Ontario's administrative databases will
be used to monitor pre-defined prescribing changes relevant and specific to each
replicate, following delivery of the educational messages. Multi-level modeling
will be used to study patterns in physician-prescribing quality over four
quarters, before and after each of the three interventions. Subgroup analyses
will be performed to assess the association between the characteristics of the
physician's place of practice and target behaviours.A further analysis of the
immediate and delayed impacts of the PEMs will be performed using time-series
analysis and interventional, auto-regressive, integrated moving average modeling.
PMID- 18039363
TI - Testing a TheoRY-inspired MEssage ('TRY-ME'): a sub-trial within the Ontario
Printed Educational Message (OPEM) trial.
AB - BACKGROUND: A challenge for implementation researchers is to develop principles
that could generate testable hypotheses that apply across a range of clinical
contexts, thus leading to generalisability of findings. Such principles may be
provided by systematically developed theories. The opportunity has arisen to test
some of these theoretical principles in the Ontario Printed Educational Materials
(OPEM) trial by conducting a sub-trial within the existing trial structure. OPEM
is a large factorial cluster-randomised trial evaluating the effects of short
directive and long discursive educational messages embedded into informed, an
evidence-based newsletter produced in Canada by the Institute for Clinical
Evaluative Sciences (ICES) and mailed to all primary care physicians in Ontario.
The content of educational messages in the sub-trial will be constructed using
both standard methods and methods inspired by psychological theory. The aim of
this study is to test the effectiveness of the TheoRY-inspired MEssage ('TRY-ME')
compared with the 'standard' message in changing prescribing behaviour. METHODS:
The OPEM trial participants randomised to receive the short directive message
attached to the outside of informed (an 'outsert') will be sub-randomised to
receive either a standard message or a message informed by the theory of planned
behaviour (TPB) using a two (long insert or no insert) by three (theory-based
outsert or standard outsert or no outsert) design. The messages will relate to
prescription of thiazide diuretics as first line drug treatment for hypertension
(described in the accompanying protocol, "The Ontario Printed Educational
Materials trial"). The short messages will be developed independently by two
research teams.The primary outcome is prescription of thiazide diuretics,
measured by routinely collected data available within ICES. The study is designed
to answer the question, is there any difference in guideline adherence (i.e.,
thiazide prescription rates) between physicians in the six groups? A process
evaluation survey instrument based on the TPB will be administered pre- and post
intervention (described in the accompanying protocol, "Looking inside the black
box"). The second research question concerns processes that may underlie observed
differences in prescribing behaviour. We expect that effects of the messages on
prescribing behaviour will be mediated through changes in physicians' cognitions.
PMID- 18039364
TI - OMERACT: an international initiative to improve outcome measurement in
rheumatology.
AB - OMERACT is the acronym for an international, informally organized network
initiated in 1992 aimed at improving outcome measurement in rheumatology. Chaired
by an executive committee, it organizes consensus conferences in a 2-yearly cycle
that circles the globe. Data driven recommendations are prepared and updated by
expert working groups. Recommendations include core sets of measures for most of
the major rheumatologic conditions. Since 2002 patients have been actively
engaged in the process.
PMID- 18039365
TI - Standardising outcomes for clinical trials and systematic reviews.
PMID- 18039366
TI - Differential gene expression profile in pig adipose tissue treated with/without
clenbuterol.
AB - BACKGROUND: Clenbuterol, a beta-agonist, can dramatically reduce pig adipose
accumulation at high dosages. However, it has been banned in pig production
because people who eat pig products treated with clenbuterol can be poisoned by
the clenbuterol residues. To understand the molecular mechanism for this fat
reduction, cDNA microarray, real-time PCR, two-dimensional electrophoresis and
mass spectra were used to study the differential gene expression profiles of pig
adipose tissues treated with/without clenbuterol. The objective of this research
is to identify novel genes and physiological pathways that potentially facilitate
clenbuterol induced reduction of adipose accumulation. RESULTS: Clenbuterol was
found to improve the lean meat percentage about 10 percent (P < 0.05). The
adipose cells became smaller and the muscle fibers became thicker with the
administration of clenbuterol. The mRNA abundance levels of 82 genes (ESTs) were
found to be statistically differentially expressed based on the Student t-test (P
< 0.05) in the microarray analyses which contained 3358 genes (ESTs). These 82
genes (ESTs) were divided into four groups according to their Gene Ontology
Biological Process descriptions. 16 genes were cellular metabolism related genes
(including five related to lipid metabolism such as apolipoprotein D and
apolipoprotein R), 10 were signal transduction related genes, 45 were expressed
sequence tags (ESTs) and 11 others were of various categories. Eleven of the 82
genes (ESTs) were chosen for real-time PCR analysis, with eight genes showing
similar induction magnitude as that seen in the microarray data. Apolipoprotein R
was also found to be up-regulated by the proteomic analysis. CONCLUSION: Pig fat
accumulation was reduced dramatically with clenbuterol treatment. Histological
sections and global evaluation of gene expression after administration of
clenbuterol in pigs identified profound changes in adipose cells. With
clenbuterol stimulation, adipose cell volumes decreased and their gene expression
profile changed, which indicate some metabolism processes have been also altered.
Although the biological functions of the differentially expressed genes are not
completely known, higher expressions of these molecules in adipose tissue might
contribute to the reduction of fat accumulation. Among these genes, five lipid
metabolism related genes were of special interest for further study, including
apoD and apoR. The apoR expression was increased at both the RNA and protein
levels. The apoR may be one of the critical molecules through which clenbuterol
reduces fat accumulation.
PMID- 18039367
TI - Years of sunlight exposure and cataract: a case-control study in a Mediterranean
population.
AB - BACKGROUND: We aimed to investigate the relation between sunlight exposure and
risk of cataract. METHODS: We carried out a frequency-matched case-control study
of 343 cases and 334 controls attending an ophthalmology outpatient clinic at a
primary health-care center in a small town near Valencia, Spain. All cases were
diagnosed as having a cataract in at least one eye based on the Lens
Opacification Classification system (LOCS II). Controls had no opacities in
either eye. All cases and controls were interviewed for information on outdoor
exposure, "usual" diet, history of severe episodes of diarrhea illness, life
style factors and medical and socio-demographic variables. Blood antioxidant
vitamin levels were also analyzed. We used logistic regression models to estimate
sex and age-adjusted odds ratios (ORs) by quintiles of years of occupational
outdoor exposure, adjusting for potential confounders such as smoking, alcohol
consumption, serum antioxidants and education. RESULTS: No association was found
between years of outdoor exposure and risk of cataract. However, exploratory
analyses suggested a positive association between years of outdoor exposure at
younger ages and risk of nuclear cataract later in life. CONCLUSION: Our study
does not support an association with cataract and sunlight exposure over adult
life.
PMID- 18039368
TI - Smoking cessation and bronchial epithelial remodelling in COPD: a cross-sectional
study.
AB - BACKGROUND: Chronic Obstructive Pulmonary Disease (COPD) is associated with
bronchial epithelial changes, including squamous cell metaplasia and goblet cell
hyperplasia. These features are partially attributed to activation of the
epidermal growth factor receptor (EGFR). Whereas smoking cessation reduces
respiratory symptoms and lung function decline in COPD, inflammation persists. We
determined epithelial proliferation and composition in bronchial biopsies from
current and ex-smokers with COPD, and its relation to duration of smoking
cessation. METHODS: 114 COPD patients were studied cross-sectionally: 99 males/15
females, age 62 +/- 8 years, median 42 pack-years, no corticosteroids, current (n
= 72) or ex-smokers (n = 42, median cessation duration 3.5 years),
postbronchodilator FEV1 63 +/- 9% predicted. Squamous cell metaplasia (%), goblet
cell (PAS/Alcian Blue+) area (%), proliferating (Ki-67+) cell numbers (/mm
basement membrane), and EGFR expression (%) were measured in intact epithelium of
bronchial biopsies. RESULTS: Ex-smokers with COPD had significantly less
epithelial squamous cell metaplasia, proliferating cell numbers, and a trend
towards reduced goblet cell area than current smokers with COPD (p = 0.025, p =
0.001, p = 0.081, respectively), but no significant difference in EGFR
expression. Epithelial features were not different between short-term quitters
(<3.5 years) and current smokers. Long-term quitters (> or =3.5 years) had less
goblet cell area than both current smokers and short-term quitters (medians: 7.9%
vs. 14.4%, p = 0.005; 7.9% vs. 13.5%, p = 0.008; respectively), and less
proliferating cell numbers than current smokers (2.8% vs. 18.6%, p < 0.001).
CONCLUSION: Ex-smokers with COPD had less bronchial epithelial remodelling than
current smokers, which was only observed after long-term smoking cessation (>3.5
years). TRIAL REGISTRATION: NCT00158847.
PMID- 18039369
TI - PHOSIDA (phosphorylation site database): management, structural and evolutionary
investigation, and prediction of phosphosites.
AB - PHOSIDA http://www.phosida.com, a phosphorylation site database, integrates
thousands of high-confidence in vivo phosphosites identified by mass spectrometry
based proteomics in various species. For each phosphosite, PHOSIDA lists matching
kinase motifs, predicted secondary structures, conservation patterns, and its
dynamic regulation upon stimulus. Using support vector machines, PHOSIDA also
predicts phosphosites.
PMID- 18039370
TI - In situ analysis of cross-hybridisation on microarrays and the inference of
expression correlation.
AB - BACKGROUND: Microarray co-expression signatures are an important tool for
studying gene function and relations between genes. In addition to genuine
biological co-expression, correlated signals can result from technical
deficiencies like hybridization of reporters with off-target transcripts. An
approach that is able to distinguish these factors permits the detection of more
biologically relevant co-expression signatures. RESULTS: We demonstrate a
positive relation between off-target reporter alignment strength and expression
correlation in data from oligonucleotide genechips. Furthermore, we describe a
method that allows the identification, from their expression data, of individual
probe sets affected by off-target hybridization. CONCLUSION: The effects of off
target hybridization on expression correlation coefficients can be substantial,
and can be alleviated by more accurate mapping between microarray reporters and
the target transcriptome. We recommend attention to the mapping for any
microarray analysis of gene expression patterns.
PMID- 18039371
TI - Dynacortin facilitates polarization of chemotaxing cells.
AB - BACKGROUND: Cell shape changes during cytokinesis and chemotaxis require
regulation of the actin cytoskeletal network. Dynacortin, an actin cross-linking
protein, localizes to the cell cortex and contributes to cortical resistance,
thereby helping to define the cell shape changes of cytokinesis. Dynacortin also
becomes highly enriched in cortical protrusions, which are sites of new actin
assembly. RESULTS: We studied the effect of dynacortin on cell motility during
chemotaxis and on actin dynamics in vivo and in vitro. Dynacortin enriches with
the actin, particularly at the leading edge of chemotaxing cells. Cells devoid of
dynacortin do not become as polarized as wild-type control cells but move with
similar velocities as wild-type cells. In particular, they send out multiple
pseudopods that radiate at a broader distribution of angles relative to the
chemoattractant gradient. Wild-type cells typically only send out one pseudopod
at a time that does not diverge much from 0 degrees on average relative to the
gradient. Though dynacortin-deficient cells show normal bulk (whole-cell) actin
assembly upon chemoattractant stimulation, dynacortin can promote actin assembly
in vitro. By fluorescence spectroscopy, co-sedimentation and transmission
electron microscopy, dynacortin acts as an actin scaffolder in which it assembles
actin monomers into polymers with a stoichiometry of 1 Dyn2:1 actin under salt
conditions that disfavor polymer assembly. CONCLUSION: Dynacortin contributes to
cell polarization during chemotaxis. By cross-linking and possibly stabilizing
actin polymers, dynacortin also contributes to cortical viscoelasticity, which
may be critical for establishing cell polarity. Though not essential for
directional sensing or motility, dynacortin is required to establish cell
polarity, the third core feature of chemotaxis.
PMID- 18039372
TI - The TriTryp phosphatome: analysis of the protein phosphatase catalytic domains.
AB - BACKGROUND: The genomes of the three parasitic protozoa Trypanosoma cruzi,
Trypanosoma brucei and Leishmania major are the main subject of this study. These
parasites are responsible for devastating human diseases known as Chagas disease,
African sleeping sickness and cutaneous Leishmaniasis, respectively, that affect
millions of people in the developing world. The prevalence of these neglected
diseases results from a combination of poverty, inadequate prevention and
difficult treatment. Protein phosphorylation is an important mechanism of
controlling the development of these kinetoplastids. With the aim to further our
knowledge of the biology of these organisms we present a characterisation of the
phosphatase complement (phosphatome) of the three parasites. RESULTS: An ontology
based scan of the three genomes was used to identify 86 phosphatase catalytic
domains in T. cruzi, 78 in T. brucei, and 88 in L. major. We found interesting
differences with other eukaryotic genomes, such as the low proportion of tyrosine
phosphatases and the expansion of the serine/threonine phosphatase family.
Additionally, a large number of atypical protein phosphatases were identified in
these species, representing more than one third of the total phosphatase
complement. Most of the atypical phosphatases belong to the dual-specificity
phosphatase (DSP) family and show considerable divergence from classic DSPs in
both the domain organisation and sequence features. CONCLUSION: The analysis of
the phosphatome of the three kinetoplastids indicates that they possess
orthologues to many of the phosphatases reported in other eukaryotes, including
humans. However, novel domain architectures and unusual combinations of accessory
domains, suggest distinct functional roles for several of the kinetoplastid
phosphatases, which await further experimental exploration. These distinct traits
may be exploited in the selection of suitable new targets for drug development to
prevent transmission and spread of the diseases, taking advantage of the already
extensive knowledge on protein phosphatase inhibitors.
PMID- 18039373
TI - Improved protocols for functional analysis in the pathogenic fungus Aspergillus
flavus.
AB - BACKGROUND: An available whole genome sequence for Aspergillus flavus provides
the opportunity to characterize factors involved in pathogenicity and to
elucidate the regulatory networks involved in aflatoxin biosynthesis. Functional
analysis of genes within the genome is greatly facilitated by the ability to
disrupt or mis-express target genes and then evaluate their result on the
phenotype of the fungus. Large-scale functional analysis requires an efficient
genetic transformation system and the ability to readily select transformants
with altered expression, and usually requires generation of double (or multi)
gene deletion strains or the use of prototrophic strains. However, dominant
selectable markers, an efficient transformation system and an efficient screening
system for transformants in A. flavus are absent. RESULTS: The efficiency of the
genetic transformation system for A. flavus based on uracil auxotrophy was
improved. In addition, A. flavus was shown to be sensitive to the antibiotic,
phleomycin. Transformation of A. flavus with the ble gene for resistance to
phleomycin resulted in stable transformants when selected on 100 mug/ml
phleomycin. We also compared the phleomycin system with one based on
complementation for uracil auxotrophy which was confirmed by uracil and 5
fluoroorotic acid selection and via transformation with the pyr4 gene from
Neurospora crassa and pyrG gene from A. nidulans in A. flavus NRRL 3357. A
transformation protocol using pyr4 as a selectable marker resulted in site
specific disruption of a target gene. A rapid and convenient colony PCR method
for screening genetically altered transformants was also developed in this study.
CONCLUSION: We employed phleomycin resistance as a new positive selectable marker
for genetic transformation of A. flavus. The experiments outlined herein
constitute the first report of the use of the antibiotic phleomycin for
transformation of A. flavus. Further, we demonstrated that this transformation
protocol could be used for directed gene disruption in A. flavus. The
significance of this is twofold. First, it allows strains to be transformed
without having to generate an auxotrophic mutation, which is time consuming and
may result in undesirable mutations. Second, this protocol allows for double gene
knockouts when used in conjunction with existing strains with auxotrophic
mutations. To further facilitate functional analysis in this strain we developed
a colony PCR-based method that is a rapid and convenient method for screening
genetically altered transformants. This work will be of interest to those working
on molecular biology of aflatoxin metabolism in A. flavus, especially for
functional analysis using gene deletion and gene expression.
PMID- 18039375
TI - Dynamic simulation of regulatory networks using SQUAD.
AB - BACKGROUND: The ambition of most molecular biologists is the understanding of the
intricate network of molecular interactions that control biological systems. As
scientists uncover the components and the connectivity of these networks, it
becomes possible to study their dynamical behavior as a whole and discover what
is the specific role of each of their components. Since the behavior of a network
is by no means intuitive, it becomes necessary to use computational models to
understand its behavior and to be able to make predictions about it.
Unfortunately, most current computational models describe small networks due to
the scarcity of kinetic data available. To overcome this problem, we previously
published a methodology to convert a signaling network into a dynamical system,
even in the total absence of kinetic information. In this paper we present a
software implementation of such methodology. RESULTS: We developed SQUAD, a
software for the dynamic simulation of signaling networks using the standardized
qualitative dynamical systems approach. SQUAD converts the network into a
discrete dynamical system, and it uses a binary decision diagram algorithm to
identify all the steady states of the system. Then, the software creates a
continuous dynamical system and localizes its steady states which are located
near the steady states of the discrete system. The software permits to make
simulations on the continuous system, allowing for the modification of several
parameters. Importantly, SQUAD includes a framework for perturbing networks in a
manner similar to what is performed in experimental laboratory protocols, for
example by activating receptors or knocking out molecular components. Using this
software we have been able to successfully reproduce the behavior of the
regulatory network implicated in T-helper cell differentiation. CONCLUSION: The
simulation of regulatory networks aims at predicting the behavior of a whole
system when subject to stimuli, such as drugs, or determine the role of specific
components within the network. The predictions can then be used to interpret
and/or drive laboratory experiments. SQUAD provides a user-friendly graphical
interface, accessible to both computational and experimental biologists for the
fast qualitative simulation of large regulatory networks for which kinetic data
is not necessarily available.
PMID- 18039377
TI - Effects of creatine loading on electromyographic fatigue threshold during cycle
ergometry in college-aged women.
AB - The purpose of this study was to examine the effects of 5 days of Creatine (Cr)
loading on the electromyographic fatigue threshold (EMGFT) in college-aged women.
Fifteen healthy college-aged women (mean +/- SD = 22.3 +/- 1.7 yrs) volunteered
to participate in this double-blind, placebo-controlled study and were randomly
placed into either placebo (PL - 10 g of flavored dextrose powder; n = 8) or
creatine (Cr - 5 g di-creatine citrate plus 10 g of flavored dextrose powder; n =
7; Creatine Edge, FSI Nutrition) loading groups. Each group ingested one packet 4
times per day (total of 20 g/day) for 5 days. Prior to and following
supplementation, each subject performed a discontinuous incremental cycle
ergometer test to determine their EMGFT value, using bipolar surface electrodes
placed on the longitudinal axis of the right vastus lateralis. Subjects completed
a total of four, 60 second work bouts (ranging from 100-350 W). The EMG amplitude
was averaged over 10 second intervals and plotted over the 60 second work bout.
The resulting slopes from each successive work bouts were used to calculate
EMGFT. A two-way ANOVA (group [Cr vs. PL] x time [pre vs. post]) resulted in a
significant (p = 0.031) interaction. Furthermore, a dependent samples t-test
showed a 14.5% +/- 3.5% increase in EMGFT from pre- to post-supplementation with
Cr (p = 0.009), but no change for the PL treatment (-2.2 +/- 5.8%; p = 0.732). In
addition, a significant increase (1.0 +/- 0.34 kg; p = 0.049) in weight (kg) was
observed in the Cr group but no change for PL (-0.2 kg +/- 0.2 kg). These
findings suggest that 5 days of Cr loading in women may be an effective strategy
for delaying the onset of neuromuscular fatigue during cycle ergometry.
PMID- 18039376
TI - Localization of HIV-1 Vpr to the nuclear envelope: impact on Vpr functions and
virus replication in macrophages.
AB - BACKGROUND: HIV-1 Vpr is a dynamic protein that primarily localizes in the
nucleus, but a significant fraction is concentrated at the nuclear envelope (NE),
supporting an interaction between Vpr and components of the nuclear pore complex,
including the nucleoporin hCG1. In the present study, we have explored the
contribution of Vpr accumulation at the NE to the Vpr functions, including G2
arrest and pro-apoptotic activities, and virus replication in primary
macrophages. RESULTS: In order to define the functional role of Vpr localization
at the NE, we have characterized a set of single-point Vpr mutants, and selected
two new mutants with substitutions within the first alpha-helix of the protein,
Vpr-L23F and Vpr-K27M, that failed to associate with hCG1, but were still able to
interact with other known relevant host partners of Vpr. In mammalian cells,
these mutants failed to localize at the NE resulting in a diffuse
nucleocytoplasmic distribution both in HeLa cells and in primary human monocyte
derived macrophages. Other mutants with substitutions in the first alpha-helix
(Vpr-A30L and Vpr-F34I) were similarly distributed between the nucleus and
cytoplasm, demonstrating that this helix contains the determinants required for
localization of Vpr at the NE. All these mutations also impaired the Vpr-mediated
G2-arrest of the cell cycle and the subsequent cell death induction, indicating a
functional link between these activities and the Vpr accumulation at the NE.
However, this localization is not sufficient, since mutations within the C
terminal basic region of Vpr (Vpr-R80A and Vpr-R90K), disrupted the G2-arrest and
apoptotic activities without altering NE localization. Finally, the replication
of the Vpr-L23F and Vpr-K27M hCG1-binding deficient mutant viruses was also
affected in primary macrophages from some but not all donors. CONCLUSION: These
results indicate that the targeting of Vpr to the nuclear pore complex may
constitute an early step toward Vpr-induced G2-arrest and subsequent apoptosis;
they also suggest that Vpr targeting to the nuclear pore complex is not
absolutely required, but can improve HIV-1 replication in macrophages.
PMID- 18039374
TI - SOSORT consensus paper: school screening for scoliosis. Where are we today?
AB - This report is the SOSORT Consensus Paper on School Screening for Scoliosis
discussed at the 4th International Conference on Conservative Management of
Spinal Deformities, presented by SOSORT, on May 2007. The objectives were
numerous, 1) the inclusion of the existing information on the issue, 2) the
analysis and discussion of the responses by the meeting attendees to the twenty
six questions of the questionnaire, 3) the impact of screening on frequency of
surgical treatment and of its discontinuation, 4) the reasons why these programs
must be continued, 5) the evolving aim of School Screening for Scoliosis and 6)
recommendations for improvement of the procedure.
PMID- 18039378
TI - Bronchial epithelial spheroids: an alternative culture model to investigate
epithelium inflammation-mediated COPD.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is characterized by
abnormal lung inflammation that exceeds the protective response. Various culture
models using epithelial cell lines or primary cells have been used to investigate
the contribution of bronchial epithelium in the exaggerated inflammation of COPD.
However, these models do not mimic in vivo situations for several reasons (e.g,
transformed epithelial cells, protease-mediated dissociation of primary cells,
etc.). To circumvent these concerns, we developed a new epithelial cell culture
model. METHODS: Using non transformed non dissociated bronchial epithelium
obtained by bronchial brushings from COPD and non-COPD smokers, we developed a 3
dimensional culture model, bronchial epithelial spheroids (BES). BES were
analyzed by videomicroscopy, light microscopy, immunofluorescence, and
transmission electron microscopy. We also compared the inflammatory responses of
COPD and non-COPD BES. In our study, we chose to stimulate BES with
lipopolycaccharide (LPS) and measured the release of the pro-inflammatory
mediators interleukin-8 (IL-8) and leukotriene B4 (LTB4) and the anti
inflammatory mediator prostaglandin E2 (PGE2). RESULTS: BES obtained from both
COPD and non-COPD patients were characterized by a polarized bronchial epithelium
with tight junctions and ciliary beating, composed of basal cells, secretory
cells and ciliated cells. The ciliary beat frequency of ciliated cells was not
significantly different between the two groups. Of interest, BES retained their
characteristic features in culture up to 8 days. BES released the inflammatory
mediators IL-8, PGE2 and LTB4 constitutively and following exposure to LPS.
Interestingly, LPS induced a higher release of IL-8, but not PGE2 and LTB4 in
COPD BES (p < 0.001) which correlated with lung function changes. CONCLUSION:
This study provides for the first time a compelling evidence that the BES model
provides an unaltered bronchial surface epithelium. More importantly, BES
represent an attractive culture model to investigate the mechanisms of injuring
agents that mediate epithelial cell inflammation and its contribution to COPD
pathogenesis.
PMID- 18039379
TI - NFU-Enabled FASTA: moving bioinformatics applications onto wide area networks.
AB - BACKGROUND: Advances in Internet technologies have allowed life science
researchers to reach beyond the lab-centric research paradigm to create
distributed collaborations. Of the existing technologies that support distributed
collaborations, there are currently none that simultaneously support data storage
and computation as a shared network resource, enabling computational burden to be
wholly removed from participating clients. Software using computation-enable
logistical networking components of the Internet Backplane Protocol provides a
suitable means to accomplish these tasks. Here, we demonstrate software that
enables this approach by distributing both the FASTA algorithm and appropriate
data sets within the framework of a wide area network. RESULTS: For large
datasets, computation-enabled logistical networks provide a significant reduction
in FASTA algorithm running time over local and non-distributed logistical
networking frameworks. We also find that genome-scale sizes of the stored data
are easily adaptable to logistical networks. CONCLUSION: Network function unit
enabled Internet Backplane Protocol effectively distributes FASTA algorithm
computation over large data sets stored within the scaleable network. In
situations where computation is subject to parallel solution over very large data
sets, this approach provides a means to allow distributed collaborators access to
a shared storage resource capable of storing the large volumes of data equated
with modern life science. In addition, it provides a computation framework that
removes the burden of computation from the client and places it within the
network.
PMID- 18039380
TI - Stability and assembly in vitro of bacteriophage PP7 virus-like particles.
AB - BACKGROUND: The stability of a virus-like particle (VLP) is an important
consideration for its use in nanobiotechnology. The icosahedral capsid of the RNA
bacteriophage PP7 is cross-linked by disulfide bonds between coat protein dimers
at its 5-fold and quasi-6-fold symmetry axes. This work determined the effects of
these disulfides on the VLP's thermal stability. RESULTS: Measurements of the
thermal denaturation behavior of PP7 VLPs in the presence and absence of a
reducing agent show that disulfide cross-links substantially stabilize them
against thermal denaturation. Although dimers in the capsid are linked to one
another by disulfides, the two subunits of dimers themselves are held together
only by non-covalent interactions. In an effort to confer even greater stability
a new cross-link was introduced by genetically fusing two coat protein monomers,
thus producing a "single-chain dimer" that assembles normally into a completely
cross-linked VLP. However, subunit fusion failed to increase the thermal
stability of the particles, even though it stabilized the isolated dimer. As a
step toward gaining control of the internal composition of the capsid, conditions
that promote the assembly of PP7 coat protein dimers into virus-like particles in
vitro were established. CONCLUSION: The presence of inter-dimer disulfide bonds
greatly stabilizes the PP7 virus-like particle against thermal denaturation.
Covalently cross-linking the subunits of the dimers themselves by genetically
fusing them through a dipeptide linker sequence, offers no further stabilization
of the VLP, although it does stabilize the dimer. PP7 capsids readily assemble in
vitro in a reaction that requires RNA.
PMID- 18039381
TI - Outcomes of patients hospitalized for acute decompensated heart failure: does
nesiritide make a difference?
AB - BACKGROUND: Nesiritide is indicated in the treatment of acute decompensated heart
failure. However, a recent meta-analysis reported that nesiritide may be
associated with an increased risk of death. Our goal was to evaluate the impact
of nesiritide treatment on four outcomes among adults hospitalized for congestive
heart failure (CHF) during a three-year period. METHODS: CHF patients discharged
between 1/1/2002 and 12/31/2004 from the Adventist Health System, a national, not
for-profit hospital system, were identified. 25,330 records were included in this
retrospective study. Nesiritide odds ratios (OR) were adjusted for various
factors including nine medications and/or an APR-DRG severity score. RESULTS:
Initially, treatment with nesiritide was found to be associated with a 59% higher
odds of hospital mortality (Unadjusted OR = 1.59, 95% confidence interval [CI]:
1.31-1.93). Adjusting for race, low economic status, APR-DRG severity of illness
score, and the receipt of nine medications yielded a nonsignificant nesiritide OR
of 1.07 for hospital death (95% CI: 0.85-1.35). Nesiritide was positively
associated with the odds of prolonged length of stay (all adjusted ORs = 1.66)
and elevated pharmacy cost (all adjusted ORs > 5). CONCLUSION: In this
observational study, nesiritide therapy was associated with increased length of
stay and pharmacy cost, but not hospital mortality. Randomized trials are
urgently needed to better define the efficacy, if any, of nesiritide in the
treatment of decompensated heart failure.
PMID- 18039382
TI - Bias analysis applied to Agricultural Health Study publications to estimate non
random sources of uncertainty.
AB - BACKGROUND: The associations of pesticide exposure with disease outcomes are
estimated without the benefit of a randomized design. For this reason and others,
these studies are susceptible to systematic errors. I analyzed studies of the
associations between alachlor and glyphosate exposure and cancer incidence, both
derived from the Agricultural Health Study cohort, to quantify the bias and
uncertainty potentially attributable to systematic error. METHODS: For each
study, I identified the prominent result and important sources of systematic
error that might affect it. I assigned probability distributions to the bias
parameters that allow quantification of the bias, drew a value at random from
each assigned distribution, and calculated the estimate of effect adjusted for
the biases. By repeating the draw and adjustment process over multiple
iterations, I generated a frequency distribution of adjusted results, from which
I obtained a point estimate and simulation interval. These methods were applied
without access to the primary record-level dataset. RESULTS: The conventional
estimates of effect associating alachlor and glyphosate exposure with cancer
incidence were likely biased away from the null and understated the uncertainty
by quantifying only random error. For example, the conventional p-value for a
test of trend in the alachlor study equaled 0.02, whereas fewer than 20% of the
bias analysis iterations yielded a p-value of 0.02 or lower. Similarly, the
conventional fully-adjusted result associating glyphosate exposure with multiple
myleoma equaled 2.6 with 95% confidence interval of 0.7 to 9.4. The frequency
distribution generated by the bias analysis yielded a median hazard ratio equal
to 1.5 with 95% simulation interval of 0.4 to 8.9, which was 66% wider than the
conventional interval. CONCLUSION: Bias analysis provides a more complete picture
of true uncertainty than conventional frequentist statistical analysis
accompanied by a qualitative description of study limitations. The latter
approach is likely to lead to overconfidence regarding the potential for causal
associations, whereas the former safeguards against such overinterpretations.
Furthermore, such analyses, once programmed, allow rapid implementation of
alternative assignments of probability distributions to the bias parameters, so
elevate the plane of discussion regarding study bias from characterizing studies
as "valid" or "invalid" to a critical and quantitative discussion of sources of
uncertainty.
PMID- 18039383
TI - Detection of inflammatory bowel disease by proton magnetic resonance spectroscopy
(1H MRS) using an animal model.
AB - BACKGROUND: The aim of this study was to analyze the potential of proton magnetic
resonance spectroscopy (1H MRS) in diagnosing early inflammatory bowel disease
(IBD). METHODS: Thirty male Sprague Dawley rats were fed 2% carrageenan in their
diet for either 1 or 2 weeks. 1H MRS was performed ex-vivo on colonic mucosal
samples (n = 123) and the spectra were analyzed by a multivariate method of
analysis. The results of the multivariate analysis were correlated with
histological analysis performed using H & E stain for the presence of
inflammation in the samples from each group. RESULTS: Multivariate analysis
classified the samples in their respective groups with an accuracy of 82%. Our
region selection algorithm identified four regions in the spectra as being
discriminatory. The metabolites assigned to these regions include creatine,
phosphatidylcholine, the -CH2HC= group in fatty acyl chain, and the glycerol
backbone of lipids. The differences in concentration of these metabolites in each
group offer insight into the biochemical changes occurring during IBD and confer
diagnostic potential to 1H MRS as a tool to study colonic inflammation in
conjunction with biopsy. CONCLUSION: 1H MRS is a sensitive tool to detect early
colonic inflammation in an animal model of IBD.
PMID- 18039384
TI - Antihyperlipemic and antihypertensive effects of Spirulina maxima in an open
sample of Mexican population: a preliminary report.
AB - BACKGROUND: Spirulina maxima is a filamentous cyanobacterium used as food
supplement because of its high nutrient contents. It has been experimentally
proven, in vivo and in vitro that posses several pharmacological properties. The
purpose of this study was to evaluate the effects of Spirulina maxima orally
supplied (4.5 g/day, for 6 weeks) to a sample of 36 subjects (16 men and 20
women, with ages between 18-65 years) on serum lipids, glucose, aminotransferases
and on blood pressure. The volunteers did not modify their dietary habits or
lifestyle during the whole experimental period. From each subject, a sample of
blood was drawn in fasting state of 12 hours to determi the plasma concentrations
of glucose, triacylglycerols (TAG), total cholesterol (TC), cholesterol
associated to high density lipoprotein (HDL-C) and aspartate aminotransferase
(AST). Anthropometric measurements including systolic (SYST-P) and diastolic
(DIAST-P) blood pressure, height, weight and Body Mass Index (BMI) were also
recorded. RESULTS: Comparing initial and final data, the results showed that
there were no significant changes in the values of glucose and AST, but
significant differences in TAG, TC, and HDL-C, were observed: TAG 233.7 +/- 177.8
vs. 167.7 +/- 100.7 mg/dL (p < 0.001), TC 181.7 +/- 37.5 vs. 163.5 +/- 34.4 mg/dL
(p < 0.001), C-HDL 43.5 +/- 14.4 vs. 50 +/- 18.8 mg/dL (p < 0.01). The
univariated analysis showed that the changes in the HDL-C and TC concentrations
were dependent on TAG concentration (p = 0.247 and p = 0.108, respectively);
nevertheless the calculated values for cholesterol associated to low density
lipoprotein (LDL-C) were significantly reduced by the Spirulina maxima treatment
but independently of the TAG changes. In addition, significant differences were
found comparing initial and final SYST-P and DIAST-P blood pressure in both male
and female: SYST-P male 121 +/- 9 vs. 111 +/- 8 mm Hg (p < 0.01), DIAST-P male 85
+/- 6.5 vs. 77 +/- 9 mm Hg (p < 0.01); SYST-P female 120 +/- 9.5 vs. 109 +/- 11
mm Hg (p < 0.002), DIAST-P female 85 +/- 11 vs. 79 +/- 7.5 mm Hg (p < 0.03).
CONCLUSION: The Spirulina maxima showed a hypolipemic effect, especially on the
TAG and the LDL-C concentrations but indirectly on TC and HDL-C values. It also
reduces systolic and diastolic blood pressure.
PMID- 18039385
TI - Developmental stage of oligodendrocytes determines their response to activated
microglia in vitro.
AB - BACKGROUND: Oligodendrocyte progenitor cells (OPCs) and mature oligodendrocytes
are both lost in central nervous system injury and disease. Activated microglia
may play a role in OPC and oligodendrocyte loss or replacement, but it is not
clear how the responses of OPCs and oligodendrocytes to activated microglia
differ. METHODS: OPCs and microglia were isolated from rat cortex. OPCs were
induced to differentiate into oligodendrocytes with thyroid hormone in defined
medium. For selected experiments, microglia were added to OPC or oligodendrocyte
cultures. Lipopolysaccharide was used to activate microglia and microglial
activation was confirmed by TNFalpha ELISA. Cell survival was assessed with
immunocytochemistry and cell counts. OPC proliferation and oligodendrocyte
apoptosis were also assessed. RESULTS: OPCs and oligodendrocytes displayed
phenotypes representative of immature and mature oligodendrocytes, respectively.
Activated microglia reduced OPC survival, but increased survival and reduced
apoptosis of mature oligodendrocytes. Activated microglia also underwent cell
death themselves. CONCLUSION: Activated microglia may have divergent effects on
OPCs and mature oligodendrocytes, reducing OPC survival and increasing mature
oligodendrocyte survival. This may be of importance because activated microglia
are present in several disease states where both OPCs and mature oligodendrocytes
are also reacting to injury. Activated microglia may simultaneously have
deleterious and helpful effects on different cells after central nervous system
injury.
PMID- 18039386
TI - Parameters of proteome evolution from histograms of amino-acid sequence
identities of paralogous proteins.
AB - BACKGROUND: The evolution of the full repertoire of proteins encoded in a given
genome is mostly driven by gene duplications, deletions, and sequence
modifications of existing proteins. Indirect information about relative rates and
other intrinsic parameters of these three basic processes is contained in the
proteome-wide distribution of sequence identities of pairs of paralogous
proteins. RESULTS: We introduce a simple mathematical framework based on a
stochastic birth-and-death model that allows one to extract some of this
information and apply it to the set of all pairs of paralogous proteins in H.
pylori, E. coli, S. cerevisiae, C. elegans, D. melanogaster, and H. sapiens. It
was found that the histogram of sequence identities p generated by an all-to-all
alignment of all protein sequences encoded in a genome is well fitted with a
power-law form approximately p-gamma with the value of the exponent gamma around
4 for the majority of organisms used in this study. This implies that the intra
protein variability of substitution rates is best described by the Gamma
distribution with the exponent alpha approximately 0.33. Different features of
the shape of such histograms allow us to quantify the ratio between the genome
wide average deletion/duplication rates and the amino-acid substitution rate.
CONCLUSION: We separately measure the short-term ("raw") duplication and deletion
rates , which include gene copies that will be removed soon after the duplication
event and their dramatically reduced long-term counterparts r(*)dup, r(*)del.
High deletion rate among recently duplicated proteins is consistent with a
scenario in which they didn't have enough time to significantly change their
functional roles and thus are to a large degree disposable. Systematic trends of
each of the four duplication/deletion rates with the total number of genes in the
genome were analyzed. All but the deletion rate of recent duplicates r(*)del were
shown to systematically increase with Ngenes. Abnormally flat shapes of sequence
identity histograms observed for yeast and human are consistent with lineages
leading to these organisms undergoing one or more whole-genome duplications. This
interpretation is corroborated by our analysis of the genome of Paramecium
tetraurelia where the p-4 profile of the histogram is gradually restored by the
successive removal of paralogs generated in its four known whole-genome
duplication events.
PMID- 18039387
TI - The physical and mental health of a large military cohort: baseline functional
health status of the Millennium Cohort.
AB - BACKGROUND: The US military is currently involved in large, lengthy, and complex
combat operations around the world. Effective military operations require optimal
health of deployed service members, and both mental and physical health can be
affected by military operations. METHODS: Baseline data were collected from
77,047 US service members during 2001-2003 as part of a large, longitudinal,
population-based military health study (the Millennium Cohort Study). The authors
calculated unadjusted, adjusted, and weighted means for the Medical Outcomes
Study Short Form 36-item Survey for Veterans physical (PCS) and mental component
summary (MCS) scores over a variety of demographic and military characteristics
at baseline. RESULTS: The unadjusted mean PCS and MCS scores for this study were
53.4 (95% confidence interval: 53.3-53.4) and 52.8 (95% confidence interval: 52.7
52.9). Average PCS and MCS scores were slightly more favorable in this military
sample compared to those of the US general population of the same age and sex.
Factors independently associated with more favorable health status included male
gender, being married, higher educational attainment, higher military rank, and
Air Force service. Combat specialists had similar health status compared to other
military occupations. Having been deployed to Southwest Asia, Bosnia, or Kosovo
between 1998 and 2000 was not associated with diminished health status.
CONCLUSION: The baseline health status of this large population-based military
cohort is better than that of the US general population of the same age and sex
distribution over the same time period, especially in older age groups.
Deployment experiences during the period of 1998-2001 were not associated with
decreased health status. These data will serve as a useful reference for other
military health studies and for future longitudinal analyses.
PMID- 18039388
TI - Geometric least squares means ratios for the analysis of Plasmodium falciparum in
vitro susceptibility to antimalarial drugs.
AB - BACKGROUND: The susceptibility of microbes such as Plasmodium falciparum to drugs
is measured in vitro as the concentration of the drug achieving 50% of maximum
effect (IC50); values from a population are summarized as geometric means. For
antimalarial drugs, as well as for antibiotics, assessing changes in microbe
susceptibility over time under drug pressure would help inform treatment policy
decisions, but no standard statistical method exists as yet. METHODS: A mixed
model was generated on loge-transformed IC50 values and calculated geometric
least squares means (GLSM) with 90% confidence intervals (CIs). In order to
compare IC50s between years, GLSM ratios (GLSMR) with 90%CIs were calculated and,
when both limits of the 90%CIs were below or above 100%, the difference was
considered statistically significant. Results were compared to those obtained
from ANOVA and a generalized linear model (GLM). RESULTS: GLSMRs were more
conservative than ANOVA and resulted in lower levels of statistical significance.
The GLSMRs approach allowed for random effect and adjustment for multiple
comparisons. GLM was limited in the number of year-to-year comparisons by the
need for a single reference year. The three analyses yielded generally consistent
results. CONCLUSION: A robust analytical method can palliate inherent limitations
of in vitro sensitivity testing. The random effects GLSMRs with adjustment for
multiple comparisons and 90%CIs require only assumptions on the mixed model to be
applied. Results are easy to display graphically and to interpret. The GLMSRs
should be considered as an option for monitoring changes in drug susceptibility
of P. falciparum malaria and other microbes.
PMID- 18039389
TI - Can the measurement of brachial artery flow-mediated dilation be applied to the
acute exercise model?
AB - The measurement of flow-mediated dilation using high-resolution ultrasound has
been utilized extensively in interventional trials evaluating the salutary effect
of drugs and lifestyle modifications (i.e. diet or exercise training) on
endothelial function; however, until recently researchers have not used flow
mediated dilation to examine the role of a single bout of exercise on vascular
function. Utilizing the acute exercise model can be advantageous as it allows for
an efficient manipulation of exercise variables (i.e. mode, intensity, duration,
etc.) and permits greater experimental control of confounding variables. Given
that the application of flow-mediated dilation in the acute exercise paradigm is
expanding, the purpose of this review is to discuss methodological and
physiological factors pertinent to flow-mediated dilation in the context of acute
exercise. Although the scientific rationale for evaluating endothelial function
in response to acute exercise is sound, few concerns warrant attention when
interpreting flow-mediated dilation data following acute exercise. The following
questions will be addressed in the present review: Does the measurement of flow
mediated dilation influence subsequent serial measures of flow-mediated dilation?
Do we need to account for diurnal variation? Is there an optimal time to measure
post-exercise flow-mediated dilation? Is the post-exercise flow-mediated dilation
reproducible? How is flow-mediated dilation interpreted considering the
hemodynamic and sympathetic changes associated with acute exercise? Can the
measurement of endothelial-independent dilation affect the exercise? Evidence
exists to support the methodological appropriateness for employing flow-mediated
dilation in the acute exercise model; however, further research is warranted to
clarify its interpretation following acute exercise.
PMID- 18039390
TI - Anophthalmia and microphthalmia.
AB - Anophthalmia and microphthalmia describe, respectively, the absence of an eye and
the presence of a small eye within the orbit. The combined birth prevalence of
these conditions is up to 30 per 100,000 population, with microphthalmia reported
in up to 11% of blind children. High-resolution cranial imaging, post-mortem
examination and genetic studies suggest that these conditions represent a
phenotypic continuum. Both anophthalmia and microphthalmia may occur in isolation
or as part of a syndrome, as in one-third of cases. Anophthalmia/microphthalmia
have complex aetiology with chromosomal, monogenic and environmental causes
identified. Chromosomal duplications, deletions and translocations are
implicated. Of monogenic causes only SOX2 has been identified as a major
causative gene. Other linked genes include PAX6, OTX2, CHX10 and RAX. SOX2 and
PAX6 mutations may act through causing lens induction failure. FOXE3 mutations,
associated with lens agenesis, have been observed in a few microphthalmic
patients. OTX2, CHX10 and RAX have retinal expression and may result in
anophthalmia/microphthalmia through failure of retinal differentiation.
Environmental factors also play a contributory role. The strongest evidence
appears to be with gestational-acquired infections, but may also include maternal
vitamin A deficiency, exposure to X-rays, solvent misuse and thalidomide
exposure. Diagnosis can be made pre- and post-natally using a combination of
clinical features, imaging (ultrasonography and CT/MR scanning) and genetic
analysis. Genetic counselling can be challenging due to the extensive range of
genes responsible and wide variation in phenotypic expression. Appropriate
counselling is indicated if the mode of inheritance can be identified.
Differential diagnoses include cryptophthalmos, cyclopia and synophthalmia, and
congenital cystic eye. Patients are often managed within multi-disciplinary teams
consisting of ophthalmologists, paediatricians and/or clinical geneticists,
especially for syndromic cases. Treatment is directed towards maximising existing
vision and improving cosmesis through simultaneous stimulation of both soft
tissue and bony orbital growth. Mild to moderate microphthalmia is managed
conservatively with conformers. Severe microphthalmia and anophthalmia rely upon
additional remodelling strategies of endo-orbital volume replacement (with
implants, expanders and dermis-fat grafts) and soft tissue reconstruction. The
potential for visual development in microphthalmic patients is dependent upon
retinal development and other ocular characteristics.
PMID- 18039392
TI - Add-on quetiapine in the treatment of major depressive disorder in elderly
patients with cerebrovascular damage.
AB - BACKGROUND: Depressive episodes in elderly patients with cerebrovascular damage
are characterized by poor responses to standard antidepressants. Recent reports
have suggested that the atypical antipsychotic, quetiapine may have
antidepressant properties and, in mice, may prevents memory impairment and
hippocampus neurodegeneration induced by global cerebral ischemia. OBJECTIVE: To
evaluate the efficacy of combination therapy with quetiapine in depressed elderly
patients with cerebrovascular damage. METHODS: An open-label, 6-month follow-up
study of patients with major depressive disorder (DSM-IV) and cerebral
abnormalities (assessed by MRI) without severe cognitive impairment. Patients who
had not responded to standard antidepressants (months of treatment 6.5 +/- 7.2)
additionally received quetiapine (300 +/- 111 mg/d). Patients were evaluated at
baseline (t0) and Months 1, 3, and 6 (t1, t3, t6) using the Clinical Global
Impressions Scale for Severity (CGI-S) and the Hamilton Depression Rating Scale
(HAM-D). RESULTS: Nine patients were included in the study, with a mean age of
72.8 +/- 9.4 years. CGI-S scores decreased from baseline to Month 6: 5.8 +/- 0.7
(t0), 5.4 +/- 0.7 (t1), 5.0 +/- 0.8 (t3), and 4.5 +/- 1.0 (t6), with a
significant improvement at 6 months compared with baseline (P = 0.006). A
significant improvement over the 6-month period was also observed with HAM-D
scores (t0 = 27.2 +/- 4.0, t6 = 14.8 +/- 3.8, P < 0.001). CONCLUSION: In this
study, quetiapine was efficacious as combination therapy in depressed elderly
patients with cerebrovascular damage. The promising results from this study
warrant confirmation in large, randomized, double-blind, placebo-controlled
studies.
PMID- 18039391
TI - Baicalein inhibits IL-1beta- and TNF-alpha-induced inflammatory cytokine
production from human mast cells via regulation of the NF-kappaB pathway.
AB - BACKGROUND: Human mast cells are multifunctional cells capable of a wide variety
of inflammatory responses. Baicalein (BAI), isolated from the traditional Chinese
herbal medicine Huangqin (Scutellaria baicalensis Georgi), has been shown to have
anti-inflammatory effects. We examined its effects and mechanisms on the
expression of inflammatory cytokines in an IL-1beta- and TNF-alpha-activated
human mast cell line, HMC-1. METHODS: HMC-1 cells were stimulated either with IL
1beta (10 ng/ml) or TNF-alpha (100 U/ml) in the presence or absence of BAI. We
assessed the expression of IL-6, IL-8, and MCP-1 by ELISA and RT-PCR, NF-kappaB
activation by electrophoretic mobility shift assay (EMSA), and IkappaBalpha
activation by Western blot. RESULTS: BAI (1.8 to 30 muM) significantly inhibited
production of IL-6, IL-8, and MCP-1 in a dose-dependent manner in IL-1beta
activated HMC-1. BAI (30 muM) also significantly inhibited production of IL-6, IL
8, and MCP-1 in TNF-alpha-activated HMC-1. Inhibitory effects appear to involve
the NF-kappaB pathway. BAI inhibited NF-kappaB activation in IL-1beta- and TNF
alpha-activated HMC-1. Furthermore, BAI increased cytoplasmic IkappaBalpha
proteins in IL-1beta- and TNF-alpha-activated HMC-1. CONCLUSION: Our results
showed that BAI inhibited the production of inflammatory cytokines through
inhibition of NF-kappaB activation and IkappaBalpha phosphorylation and
degradation in human mast cells. This inhibitory effect of BAI on the expression
of inflammatory cytokines suggests its usefulness in the development of novel
anti-inflammatory therapies.
PMID- 18039393
TI - Poxvirus-based vaccine therapy for patients with advanced pancreatic cancer.
AB - PURPOSE: An open-label Phase 1 study of recombinant prime-boost poxviruses
targeting CEA and MUC-1 in patients with advanced pancreatic cancer was conducted
to determine safety, tolerability and obtain preliminary data on immune response
and survival. PATIENTS AND METHODS: Ten patients with advanced pancreatic cancer
were treated on a Phase I clinical trial. The vaccination regimen consisted of
vaccinia virus expressing tumor antigens carcinoembryonic antigen (CEA) and mucin
1 (MUC-1) with three costimulatory molecules B7.1, ICAM-1 and LFA-3 (TRICOM)
(PANVAC-V) and fowlpox virus expressing the same antigens and costimulatory
molecules (PANVAC-F). Patients were primed with PANVAC-V followed by three
booster vaccinations using PANVAC-F. Granulocyte-macrophage colony-stimulating
factor (GM-CSF) was used as a local adjuvant after each vaccination and for 3
consecutive days thereafter. Monthly booster vaccinations for up to 12 months
were provided for patients without progressive disease. Peripheral blood was
collected before, during and after vaccinations for immune analysis. RESULTS: The
most common treatment-related adverse events were mild injection-site reactions.
Antibody responses against vaccinia virus was observed in all 10 patients and
antigen-specific T cell responses were observed in 5 out of 8 evaluable patients
(62.5%). Median overall survival was 6.3 months and a significant increase in
overall survival was noted in patients who generated anti CEA- and/or MUC-1
specific immune responses compared with those who did not (15.1 vs 3.9 months,
respectively; P = .002). CONCLUSION: Poxvirus vaccination is safe, well
tolerated, and capable of generating antigen-specific immune responses in
patients with advanced pancreatic cancer.
PMID- 18039394
TI - Gene regulatory networks in lactation: identification of global principles using
bioinformatics.
AB - BACKGROUND: The molecular events underlying mammary development during pregnancy,
lactation, and involution are incompletely understood. RESULTS: Mammary gland
microarray data, cellular localization data, protein-protein interactions, and
literature-mined genes were integrated and analyzed using statistics, principal
component analysis, gene ontology analysis, pathway analysis, and network
analysis to identify global biological principles that govern molecular events
during pregnancy, lactation, and involution. CONCLUSION: Several key principles
were derived: (1) nearly a third of the transcriptome fluctuates to build, run,
and disassemble the lactation apparatus; (2) genes encoding the secretory
machinery are transcribed prior to lactation; (3) the diversity of the endogenous
portion of the milk proteome is derived from fewer than 100 transcripts; (4)
while some genes are differentially transcribed near the onset of lactation, the
lactation switch is primarily post-transcriptionally mediated; (5) the secretion
of materials during lactation occurs not by up-regulation of novel genomic
functions, but by widespread transcriptional suppression of functions such as
protein degradation and cell-environment communication; (6) the involution switch
is primarily transcriptionally mediated; and (7) during early involution, the
transcriptional state is partially reverted to the pre-lactation state. A new
hypothesis for secretory diminution is suggested - milk production gradually
declines because the secretory machinery is not transcriptionally replenished. A
comprehensive network of protein interactions during lactation is assembled and
new regulatory gene targets are identified. Less than one fifth of the
transcriptionally regulated nodes in this lactation network have been previously
explored in the context of lactation. Implications for future research in mammary
and cancer biology are discussed.
PMID- 18039396
TI - The nomenclature, definition and classification of cardiac structures in the
setting of heterotaxy.
AB - In 2000, The International Nomenclature Committee for Pediatric and Congenital
Heart Disease was established. This committee eventually evolved into the
International Society for Nomenclature of Paediatric and Congenital Heart
Disease. The working component of this international nomenclature society has
been The International Working Group for Mapping and Coding of Nomenclatures for
Paediatric and Congenital Heart Disease, also known as the Nomenclature Working
Group. The Nomenclature Working Group created the International Paediatric and
Congenital Cardiac Code, which is available for free download from the internet
at [http://www.IPCCC.NET]. In previous publications from the Nomenclature Working
Group, unity has been produced by cross-mapping separate systems for coding, as
for example in the treatment of the functionally univentricular heart,
hypoplastic left heart syndrome, or congenitally corrected transposition. In this
manuscript, we review the nomenclature, definition, and classification of
heterotaxy, also known as the heterotaxy syndrome, placing special emphasis on
the philosophical approach taken by both the Bostonian school of segmental
notation developed from the teachings of Van Praagh, and the European school of
sequential segmental analysis. The Nomenclature Working Group offers the
following definition for the term "heterotaxy": "Heterotaxy is synonymous with
'visceral heterotaxy' and 'heterotaxy syndrome'. Heterotaxy is defined as an
abnormality where the internal thoraco-abdominal organs demonstrate abnormal
arrangement across the left-right axis of the body. By convention, heterotaxy
does not include patients with either the expected usual or normal arrangement of
the internal organs along the left-right axis, also known as 'situs solitus', nor
patients with complete mirror-imaged arrangement of the internal organs along the
left-right axis also known as 'situs inversus'." "Situs ambiguus is defined as an
abnormality in which there are components of situs solitus and situs inversus in
the same person. Situs ambiguus, therefore, can be considered to be present when
the thoracic and abdominal organs are positioned in such a way with respect to
each other as to be not clearly lateralised and thus have neither the usual, or
normal, nor the mirror-imaged arrangements."The heterotaxy syndrome as thus
defined is typically associated with complex cardiovascular malformations. Proper
description of the heart in patients with this syndrome requires complete
description of both the cardiac relations and the junctional connections of the
cardiac segments, with documentation of the arrangement of the atrial appendages,
the ventricular topology, the nature of the unions of the segments across the
atrioventricular and the ventriculoarterial junctions, the infundibular
morphologies, and the relationships of the arterial trunks in space. The position
of the heart in the chest, and the orientation of the cardiac apex, must also be
described separately. Particular attention is required for the venoatrial
connections, since these are so often abnormal. The malformations within the
heart are then analysed and described separately as for any patient with
suspected congenital cardiac disease. The relationship and arrangement of the
remaining thoraco-abdominal organs, including the spleen, the lungs, and the
intestines, also must be described separately, because, although common patterns
of association have been identified, there are frequent exceptions to these
common patterns. One of the clinically important implications of heterotaxy
syndrome is that splenic abnormalities are common. Investigation of any patient
with the cardiac findings associated with heterotaxy, therefore, should include
analysis of splenic morphology. The less than perfect association between the
state of the spleen and the form of heart disease implies that splenic morphology
should be investigated in all forms of heterotaxy, regardless of the type of
cardiac disease. The splenic morphology should not be used to stratify the form
of disease within the heart, and the form of cardiac disease should not be used
to stratify the state of the spleen. Intestinal malrotation is another frequently
associated lesion that must be considered. Some advocate that all patients with
heterotaxy, especially those with isomerism of the right atrial appendages or
asplenia syndrome, should have a barium study to evaluate for intestinal
malrotation, given the associated potential morbidity. The cardiac anatomy and
associated cardiac malformations, as well as the relationship and arrangement of
the remaining thoraco-abdominal organs, must be described separately. It is only
by utilizing this stepwise and logical progression of analysis that it becomes
possible to describe correctly, and to classify properly, patients with
heterotaxy.
PMID- 18039395
TI - Conservation and divergence of gene families encoding components of innate immune
response systems in zebrafish.
AB - BACKGROUND: The zebrafish has become a widely used model to study disease
resistance and immunity. Although the genes encoding many components of immune
signaling pathways have been found in teleost fish, it is not clear whether all
components are present or whether the complexity of the signaling mechanisms
employed by mammals is similar in fish. RESULTS: We searched the genomes of the
zebrafish Danio rerio and two pufferfish for genes encoding components of the
Toll-like receptor and interferon signaling pathways, the NLR (NACHT-domain and
leucine rich repeat containing) protein family, and related proteins. We find
that most of the components known in mammals are also present in fish, with
clearly recognizable orthologous relationships. The class II cytokines and their
receptors have diverged extensively, obscuring orthologies, but the number of
receptors is similar in all species analyzed. In the family of the NLR proteins,
the canonical members are conserved. We also found a conserved NACHT-domain
protein with WD40 repeats that had previously not been described in mammals.
Additionally, we have identified in each of the three fish a large species
specific subgroup of NLR proteins that contain a novel amino-terminal domain that
is not found in mammalian genomes. CONCLUSION: The main innate immune signaling
pathways are conserved in mammals and teleost fish. Whereas the components that
act downstream of the receptors are highly conserved, with orthologous sets of
genes in mammals and teleosts, components that are known or assumed to interact
with pathogens are more divergent and have undergone lineage-specific expansions.
PMID- 18039397
TI - Controversies, genetics, diagnostic assessment, and outcomes relating to the
heterotaxy syndrome.
AB - How best to analyse and describe the features of the situation commonly known as
"visceral heterotaxy" remains controversial. Much of the disagreement devolves on
how to deal with the concept of isomerism. In the opinion of some, the concept of
bilateral right-sidedness and bilateral left-sidedness, while useful in helping
to remember which abnormalities are likely to occur in asplenia or polysplenia,
should not be granted the status of a specific "situs", since there are numerous
examples of exceptions to these patterns. On the other hand, those who favour the
concept of isomerism point out that, when describing only the heart, and taking
the structure of the atrial appendages as the starting point for analysis, basing
this on the extent of the pectinate muscles relative to the atrioventricular
junctions, then the only possible arrangements for the appendages are the usual
one, its mirror-image, and the two situations in which appendages of comparable
morphology are found on both sides of the heart, these being the arrangements of
right or left isomerism. It is certainly the case that the arrangement of the
organs is not always in harmony with the arrangement of the atrial appendages,
but those circumstances, in which there is disharmony, can readily be described
by paying specific attention to each series of organs. On this basis, in this
review, we describe the approach to heterotaxy, and isomerism of the atrial
appendages, in terms of the genetic background, the diagnosis, and outcomes after
cardiac surgery. Attention is given to the various diagnostic modalities,
including fetal and postnatal echocardiography, recent tomographic and magnetic
resonance imaging techniques, and the time-honoured approach using angiography.
PMID- 18039399
TI - Identification, imaging, functional assessment and management of congenital
coronary arterial abnormalities in children.
AB - The coronary arteries, the vessels through which both substrate and oxygen are
provided to the cardiac muscle, normally arise from paired stems, right and left,
each arising from a separate and distinct sinus of the aortic valve. The right
coronary artery runs through the right atrioventricular groove, terminating in
the majority of instances in the inferior interventricular groove. The main stem
of the left coronary artery bifurcates into the anterior descending, or
interventricular, and the circumflex branches. Origin of the anterior descending
and circumflex arteries from separate orifices from the left sinus of Valsalva
occurs in about 1% of the population, while it is also frequent to find the
infundibular artery arising as a separate branch from the right sinus of
Valsalva. Anomalies of the coronary arteries can result from rudimentary
persistence of an embryologic coronary arterial structure, failure of normal
development or normal atrophy as part of development, or misplacement of
connection of a an otherwise normal coronary artery. Anomalies, therefore, can be
summarized in terms of abnormal origin or course, abnormal number of coronary
arteries, lack of patency of the orifice of coronary artery, or abnormal
connections of the arteries. Anomalous origin of the left coronary artery from
the pulmonary trunk occurs with an incidence of approximately 1 in 300,000
children. The degree of left ventricular dysfunction produced likely relates to
the development of collateral vessels that arise from the right coronary artery,
and provide flow into the left system. Anomalous origin of either the right or
the left coronary artery from the opposite sinus of Valsalva can be relatively
innocuous, but if the anomalous artery takes an interarterial course between the
pulmonary trunk and the aorta, this can underlie sudden death, almost invariably
during or immediately following strenuous exercise or competitive sporting
events. Distal anomalies of the coronary arteries most commonly involve abnormal
connections, or fistulas, between the right or left coronary arterial systems and
a chamber or vessel. We discuss the current techniques available for imaging
these various lesions, along with their functional assessment, concluding with a
summary of current strategies for management.
PMID- 18039398
TI - Functional state of patients with heterotaxy syndrome following the Fontan
operation.
AB - BACKGROUND: Children born with heterotaxy syndromes have poorer outcomes compared
with children born with comparable cardiac lesions requiring similar surgical
palliation. Heterotaxy has been reported as a separate risk factor for mortality
and increased morbidity in a series of Fontan operations reported from single
centres. Little is known, however, about the functional state of surviving
patients with heterotaxy following a Fontan operation. METHODS: In the
multicentric cross-sectional study carried out by the Pediatric Heart Network of
546 survivors of the Fontan procedure, the patients, aged from 6 to 18 years,
underwent evaluation by echocardiography, exercise testing, electrocardiography,
magnetic resonance imaging, and functional health status questionnaires compiled
by the patients and their parents. Heterotaxy was identified in 42 patients (8%).
Medical and patient characteristics were compared between those with heterotaxy
and the remaining 504 patients who did not have heterotaxy. RESULTS: Patients
with heterotaxy had their Fontan procedure performed at a later age, with a
median of 3.9 years versus 2.8 years (p=0.001) and had volume-unloading surgery
performed later, at a median age of 1.4 versus 0.9 years (p=0.008). These
patients had significantly different ventricular and atrioventricular valvar
morphology, as well as a higher incidence of systemic and pulmonary venous
abnormalities. They had a higher incidence of prior surgery to the pulmonary
veins, at 21 versus 0.4%. The type of Fontan procedure was different, but no
difference was detected in length of stay in hospital, or the number of
postoperative complications. Sinus rhythm was less common, at 44 versus 71%,
(p=0.002), and history of atrial arrhythmias more common, at 19 versus 8%,
(p=0.018) in those with heterotaxy. Echocardiography revealed a greater degree
atrioventricular valvar regurgitation, lower indexed stroke volume, and greater
Tei index. Exercise performance, levels of brain natriuretic peptide in the
serum, and summary and domain scores from health status questionnaires, were not
different from those not having heterotaxy. CONCLUSIONS: The study illustrates a
profile of characteristics, medical history, functional health state, and markers
of ventricular performance in patients with heterotaxy after the Fontan
procedure. Despite obvious anatomic differences, and some differences in
echocardiography and heart rhythm, there were no important differences in
exercise performance or functional health state between these patients and other
survivors of the Fontan procedure.
PMID- 18039400
TI - Evolution of strategies for management of the patent arterial duct.
AB - Persistent patency of the arterial duct represents one of the most common lesions
in the field of congenital cardiac disease. The strategies for management
continue to evolve. In this review, we focus on management beyond the neonatal
period. We review the temporal evolution of strategies for management, illustrate
the currently available the techniques for permanent closure of the patent
arterial duct, review the expected outcomes after closure, discuss the current
controversy over the appropriate treatment of the so-called "silent" duct, and
provide recommendations for the current state of management of patients with
persistent patency of the arterial duct outside of the neonatal period.At the
Congenital Heart Institute of Florida, we now recommend closure of all patent
arterial ducts, regardless of their size. Before selecting and performing the
type of procedure, we explain the natural history of the persistently patent
arterial duct to the parents or legal guardian of the child. Particular emphasis
is placed on the risks of endocarditis, including the recognition that many cases
of endocarditis may not be preventable. The devastating effects of endocarditis,
coupled with the perception of more anecdotal reports of endocarditis with the
silent duct, as well as the low risk of interventions, has led us to recommend
closure of the patent arterial duct in these situations. We now recommend
intervention, after informed consent, for all patients with a patent arterial
duct regardless of size, including those in which the patent duct is "silent". We
recognize, however, that this remains a controversial topic, especially given the
new recommendations for endocarditis prophylaxis from American Heart Association.
Since 2003, our strategy for closure of the patent arterial duct has changed
subsequent to the availability of the Amplatzer occluder. This new device has
allowed significantly larger patent arterial ducts to be closed with
interventional catheterization procedures that in the past would have been closed
at surgery. During the interval between 2002 and 2006 inclusive, the overall
surgical volume at our Institute has been stable. Over this period, the number of
patients undergoing surgical ligation of the patent arterial duct has decreased,
with this decline in volume most notable for the subgroup of patients weighing
more than five kilograms. This decrease has been especially notable in
thoracoscopic procedures and is attributable to the increased ability to close
larger ducts using the Amplatzer occluder. For infants with symptomatic pulmonary
overcirculation weighing less than 5 kilograms, our preference is for the
surgical approach. For patients who have ductal calcification, significant
pleural scarring, or "window-like" arterial ducts, video-assisted ligation is not
an option and open surgical techniques are used. When video-assisted ligation is
possible, the approach is based on family and surgeon preference. When open
thoracotomy is selected, we usually use a muscle-sparing left posterolateral
thoracotomy. For patients weighing more than 5 kilograms, we currently recommend
percutaneous closure for all patent arterial ducts as the first intervention,
reserving surgical treatment for those cases that are not amenable to the
percutaneous approach. For symptomatic infants weighing greater than 5 kilogram
with large ducts, we prefer to use the Amplatzer occluder. In rare instances, the
size of the required ductal occluder is so large that either encroachment into
the aorta or pulmonary arteries is noted, and the device is removed. The child is
then referred for surgical closure. We can now often predict via echocardiography
that a duct is too large for transcatheter closure, even with the Amplatzer
occluder, and refer these patients directly to surgery. For patients with an
asymptomatic patent arterial duct, we prefer to wait until the weight is from 10
to 12 kilograms, or they are closer to 2 years of age. If the patent arterial
duct is greater than 2.0 to 2.5 millimetres in diameter, our preference is to use
the Amplatzer occluder. For smaller ducts, we typically use stainless steel
coils. Using this strategy, we close all patent arterial ducts, regardless of
their size.
PMID- 18039401
TI - Hypoplastic left heart syndrome: consensus and controversies in 2007.
AB - Variability in practice can be considered to foster clinical innovation, and
allow for individualized therapeutic plans and independence of practitioners. The
Institute of Medicine, however, has issued a report suggesting that variability
in patterns of practice are "illogical", and should be avoided whenever possible.
Perhaps nowhere in the field of congenital cardiac disease is variability in
practice more apparent than in the management of hypoplastic left heart syndrome.
This review assesses the variability in practice at a large number of centres
that manage neonates with hypoplastic left heart syndrome, with an emphasis on
practice before, during, and after the first stage of the Norwood sequence of
operations. We also suggest changes in future strategies for research. In March,
2007, colleagues were contacted to respond to an internet-based survey using
commercially available software (www.surveymonkey.com) to collect responses about
the management practices for neonates with "straight-forward" hypoplastic left
heart syndrome. No attempt was made to correlate management practices with any
measures of outcome, as neither the practices themselves, nor the outcomes of
interest, could be externally validated. Data is reported from 52 centers thought
to manage over 1000 neonates with hypoplastic left heart syndrome on an annual
basis. The first stage of the Norwood sequence was "recommended" to families by
approximately five-sixths (86.5%) of the centres. No centre recommended primary
cardiac transplantation, a "hybrid" approach, or non-intervention. In 7 centres
(14.5%), it was reported that there was discussion of some or all of the above
options, but ultimately the families decided upon the appropriate strategy. Most
centres preferentially used antegrade cerebral perfusion (54%) in contrast to
deep hypothermia with circulatory arrest (24%), albeit that 11% of centres used a
combination of these techniques and in 9% the support strategy was based on
surgeon preference. The source of flow of blood for the lungs following the first
stage of reconstruction was also highly variable. Of the 51 centres that
responded to the question, 13 (25.5%) were participating in a multi-centric
randomized clinical trial comparing the modified Blalock-Taussig shunt to the
conduit placed from the right ventricle to the pulmonary arteries, the so-called
"Sano" modification. Of the remaining 38 centres, 18 "usually" placed a conduit
from the right ventricle to the pulmonary artery, 14 "usually" placed a modified
Blalock-Taussig shunt, and at six centres, the decision was made "based upon the
preference of the surgeon and/or the cardiologist". Similarly, significant
variability in practice was evident in preoperative management, other surgical
strategies, postoperative medical support, monitoring and discharge planning.
Other than the randomized clinical trial of shunt type, no other medical or
surgical management strategy was currently under investigation in a multi-centric
or randomized trial in the centres who responded to the survey. The survey
emphasises the extreme variability in our current practices for treatment of
children with hypoplastic left heart syndrome. While there are some areas for
which there is consensus in management, the majority of our practices are
variable between and within centres. These results emphasize that large
multicentric trials and registries are necessary to improve care, and to answer
important clinical questions, emphasizing the need to shift from analysis of
experiences of single centres to multi-centric and multi-disciplinary
collaboration.
PMID- 18039402
TI - Caring for adults with congenital cardiac disease: successes and challenges for
2007 and beyond.
AB - Patients with congenital cardiac disease require lifelong medical care. Current
challenges that face practitioners who care for adults with congenital heart
disease include identifying the best location for procedures, which could be a
children's hospital, an adult hospital, or a tertiary care facility; providing
appropriate antenatal management of pregnant women with congenitally malformed
hearts, and continuing this care in the peripartum period; and securing the
infrastructure and expertise of the non-cardiac subspecialties, such as
nephrology, hepatology, pulmonary medicine, and haematology. The objectives of
this review are to outline the common problems that confront this population of
patients and the medical community, to identify challenges encountered in
establishing a programme for care of adults with congenitally malformed hearts,
and to review the spectrum of disease and operations that have been identified in
a high volume tertiary care centre for adult patients with congenital cardiac
disease. Three chosen examples of the fundamental problems facing the
practitioner and patient in the United States of America in 2007 are the
neglected patient with congenital cardiac disease, weak infrastructure for adults
with congenital cardiac disease, and family planning and management of pregnancy
for patients with congenital cardiac disease. Patients with adult congenital
cardiac disease often do not receive appropriate surveillance. Three fundamental
reasons for this problem are, first, that most adults with congenitally malformed
hearts have been lost to follow-up by specialists, and are either receiving
community care or no care at all. Second, patients and their families have not
been educated about their malformed hearts, what to expect, and how to protect
their interests most effectively. Third, adult physicians have not been educated
about the complexity of the adult with a congenitally malformed heart. This
combination can be fatal for adults with complications related to their
congenitally malformed heart, or its prior treatment. Two solutions would improve
surveillance and care for the next generation of patients coming out of the care
of paediatric cardiologists. The first would be to educate patients and their
families during childhood and adolescence. They would learn the names of the
diagnoses and treatments, the problems they need to anticipate and avoid, the
importance of expert surveillance, career and family planning information, and
appropriate self-management. The second solution would be to encourage an orderly
transfer of patients from paediatric to adult practice, usually at about 18 years
of age, and at the time of graduation from high school. Clinics for adults with
congenital cardiac disease depend upon multidisciplinary collaboration with
specialties in areas such as congenital cardiac imaging, diagnostic and
interventional catheterization, congenital cardiac surgery and anaesthesia, heart
failure, transplantation, electrophysiology, reproductive and high risk pregnancy
services, genetics, pulmonary hypertension, hepatology, nephrology, haematology,
and others. None of these services are easily available "off the rack", although
with time, experience, and determination, these services can develop very well.
Facilities with experienced personnel to provide competent care for adults with
congenital cardiac disease are becoming increasingly available. Parents and
patients should learn that these facilities exist, and be directed to one by
their paediatric caregivers when the time comes for transition to adult care.
With the steady increase in the number of adults with congenital heart disease,
an ever increasing number of women with such disease are becoming pregnant.
Services are not widely available to assess competently and plan a pregnancy for
those with more complex disease. It is essential to have a close interplay
between the obstetrician, the adult congenital cardiologist, the fetal medicine
perinatologist, and neonatologist. In both a community based programme and a
tertiary care centre, the nuances and complexities of congenital cardiac anatomy,
coupled with the high probability of previous operation during childhood, makes
the trained congenital cardiothoracic surgeon best suited to deal with the
surgical needs of this growing population. It is clear that the majority of
adults with congenital heart disease are not "cured", but require lifelong
comprehensive care from specialists who have expertise in this complex arena.
There is a growing cadre of healthcare professionals dedicated to improving the
care of these patients. More information has become available about their care,
and will be improved upon in the next decade. With the support of the general
paediatric and paediatric cardiologic communities, and of the Adult Congenital
Heart Association, and with the persistence of the providers of care for adults
with congenital cardiac disease currently staffing clinics, the care of these
patients should become more secure in the next decade as we mature our
capabilities.
PMID- 18039403
TI - Is there still a role for cardiac autopsy in 2007?
AB - Concerns have emerged in recent years with regard to the role to be played by the
pathologist in reaching a final diagnosis. When considering the field of the
congenitally malformed heart, it is true that the richness of detail now provided
by imaging techniques is truly amazing. Alongside these developments, there has
also been a significant decline in the number of autopsies performed in tertiary
medial centres around the World. In this review, we consider some of the factors
that have contributed to this decrease in autopsies, and review the reasons why
strong steps should be taken to reverse this trend. When considering the reasons
for the decline in autopsies, there can be little doubt that the scandal
devolving on inappropriate retention of organs, which came to light in the United
Kingdom, but which had reverberations throughout the World, contributed in no
small way to the reticence of families to grant appropriate permission to conduct
a post-mortem examination. It is sincerely hoped that the changes in practise
that followed these revelations will stop, and indeed reverse, this unfortunate
decline. The inappropriate retention of organs came into the public domain in an
attempt to emphasise the value of the autopsy in clinical practise, research, and
education. All of these good reasons for performing the autopsy remain. From the
stance of education, we emphasise the importance of retaining existing archives,
which have long since proved their value. From the stance of improving clinical
practise, we reiterate that the attitude of the morphologist, working side-by
side with the clinician or surgeon, has always been fundamental in expanding this
aspect of knowledge. We recognise, nonetheless, that performance of the autopsy
still carries financial considerations. In this respect, when considering the
congenitally malformed heart, we stress the option of having the pathologist
working in harness with an experienced cardiac morphologist, or alternatively
with a properly trained pathologist's assistant. In terms of training, we show
how, with the advantage of a few simple rules, it becomes an easy matter to
describe and analyse the congenitally malformed heart. Thereafter, having
reviewed means of increasing the number of autopsies, and discussing new
techniques, we complete our review with a detailed account of the fetal,
perinatal, and paediatric autopsy in the patients with a congenitally malformed
heart, taking particular account of the role to be played by the properly trained
pathologist's assistant.
PMID- 18039404
TI - Cardiac extracorporeal life support: state of the art in 2007.
AB - Mechanical circulatory support is an invaluable tool in the care of children with
severe refractory cardiac and or pulmonary failure. Two forms of mechanical
circulatory support are currently available to neonates, infants, and smaller
children, namely extracorporeal membrane oxygenation and use of a ventricular
assist device, with each technique having unique advantages and disadvantages.
The intra-aortic balloon pump is a third form of mechanical support that has been
successfully used in larger children, adolescents, and adults, but has limited
applicability in smaller children. In this review, we discuss the current
experiences with extracorporeal membrane oxygenation and ventricular assist
devices in children with cardiac disease.A variety of forms of mechanical
circulatory support are available for children with cardiopulmonary dysfunction
refractory to conventional management. These devices require extensive resources,
both human and economic. Extracorporeal membrane oxygenation can be effectively
used in a variety of settings to provide support to critically-ill patients with
cardiac disease. Careful selection of patients and timing of intervention remains
challenging. Special consideration should be given to children with cardiac
disease with regard to anatomy, physiology, cannulation, and circuit management.
Even though exciting progress is being made in the development of ventricular
assist devices for long-term mechanical support in children, extracorporeal
membrane oxygenation remains the mainstay of mechanical circulatory support in
children with complex anatomy, particularly those needing rapid resuscitation and
those with a functionally univentricular circulation.As the familiarity and
experience with extracorporeal membrane oxygenation has grown, new indications
have evolved, including emergent resuscitation. This utilization has been termed
extracorporeal cardiopulmonary resuscitation. The literature supporting emergent
cardiopulmonary support is mounting. Reasonable survival rates have been achieved
after initiation of support during active compressions of the chest following in
hospital cardiac arrest. Due to the limitations of conventional circuits for
extracorporeal membrane oxygenation, some centres have developed novel systems
for rapid cardiopulmonary support. Many centres previously considered a
functionally univentricular circulation to be a contraindication to
extracorporeal membrane oxygenation, but improved results have been achieved
recently with this complex subset of patients. The registry of the Extracorporeal
Life Support Organization recently reported the outcome of extracorporeal life
support used in neonates for cardiac indications from 1996 to 2000. Of the 740
neonates who were placed on extracorporeal life support for cardiac indications,
118 had hypoplastic left heart syndrome. There was no significant difference in
survival between these patients and those with other defects. It is now common to
use extracorporeal membrane oxygenation to support patients with a functionally
univentricular circulation, and reasonable survival rates are to be expected.
Although extracorporeal membrane oxygenation has become a standard of care for
many paediatric centres, its use is limited to those patients who require only
short-term cardiopulmonary support. Mechanical ventricular assist devices have
become standard therapy for adults with cardiac failure refractory to maximal
medical management. Several devices are readily available in the United States of
America for adults, but there are fewer options available to children. Over the
last few years, substantial progress has been made in paediatric mechanical
support. Ventricular assist devices are being used with increasing frequency in
children with cardiac failure refractory to medical therapy for primary treatment
as a long-term bridge to recovery or transplantation. The paracorporeal,
pneumatic, pulsatile "Berlin Heart" ventricular assist device is being used with
increasing frequency in Europe and North America to provide univentricular and
biventricular support. With this device, a patient can be maintained on
mechanical circulatory support while extubated, being mobilized, and feeding by
mouth. Mechanical circulatory support should be anticipated, and every attempt
must be made to initiate support "urgently" rather than "emergently", before the
presence of dysfunction of end organs or circulatory collapse. In an emergency,
these patients can be resuscitated with extracorporeal membrane oxygenation and
subsequently transitioned to a long-term ventricular assist device after a period
of stability.
PMID- 18039406
TI - Improving safety for children with cardiac disease.
AB - The complexity of the modern systems providing health care presents a unique
challenge in delivering care of the required quality in a safe environment.
Issues of safety have been thrust into the limelight because of adverse events
highly publicized in the general media. In the United States of America,
improving the safety and quality in health care has been set forth as a priority
for improvements in the 21st century in the report from the Institute of
Medicine. Many measures have now been initiated for improving the safety of
patients at hospital, regional, and national level, and through initiatives
sponsored by governments and private organizations. In this review, we summarize
known concepts and current issues on the safety of patients, and their
applicability to children with congenital cardiac disease. Prior to examining the
issues of medical error and safety, it is important to define the terminology. An
error is defined as the failure of a planned action to be completed as intended,
also known as an execution error, or the use of a wrong plan to achieve an aim,
this representing a planning error. An active error is an error that occurs at
the level of the frontline operator, and the effects of which are felt
immediately. A latent error is an error in the design, organization, training and
maintenance, that leads to operator errors, and the effects of which are
typically dormant in the system for lengthy periods of time. Latent errors may
cause harm given the right circumstances and environment. An adverse event is
defined as an injury resulting from medical intervention. A preventable adverse
event is an adverse event that occurs due to medical error. Negligent adverse
events are a subset of preventable adverse events where the care provided did not
meet the standard of care expected of that practitioner. The study of improving
the delivery of safe care for our patients is a rapidly growing field. Important
components for development of programmes to improve the safety of patients
include the leadership for the programme, the implementation of process design
based on human limitations, the promotion of teamwork and function, the
anticipation of unexpected events, and the creation of a learning environment.
Much is yet to be learned about the risk and incidence of adverse events during
hospitalization of children with congenital cardiac disease. Errors due to human
factors, such as poor communication, poor coordination, and suboptimal team work,
have shown to be important causes of adverse outcomes in children undergoing
cardiac surgery, and should be a focus for improvement. Future research on
evaluating causes and prevention of medical errors and adverse events in this
population at high risk, and consuming high resources, is essential. Issues of
inadequate safeguards for patients have been prominent in the media, and have
been highlighted in reports from the Institute of Medicine. Our review discusses
research on the causes of medical error, and proposes concepts to design
successful programmes to improve safety for the patients on a local level.
PMID- 18039405
TI - Cardiopulmonary resuscitation: special considerations for infants and children
with cardiac disease.
AB - Pulseless cardiac arrest, defined as the cessation of cardiac mechanical
activity, determined by unresponsiveness, apneoa, and the absence of a palpable
central pulse, accounts for around one-twentieth of admissions to paediatric
intensive care units, be they medical or exclusively cardiac. Such cardiac arrest
is higher in children admitted to a cardiac as opposed to a paediatric intensive
care unit, but the outcome of these patients is better, with just over two-fifths
surviving when treated in the cardiac intensive care unit, versus between one
sixth and one-quarter of those admitted to paediatric intensive care units.
Children who receive chest compressions for bradycardia with pulses have a
significantly higher rate of survival to discharge, at 60%, than do those
presenting with pulseless cardiac arrest, with only 27% surviving to discharge.
This suggests that early resuscitation before the patient becomes pulseless,
along with early recognition and intervention, are likely to improve outcomes.
Recently published reports of in-hospital cardiac arrests in children can be
derived from the multi-centric National Registry of Cardiopulmonary Resuscitation
provided by the American Heart Association. The population is heterogeneous, but
most arrests occurred in children with progressive respiratory insufficiency,
and/or progressive circulatory shock. During the past 4 years at the Children's
Hospital of Philadelphia, 3.1% of the average 1000 annual admissions to the
cardiac intensive care unit have received cardiopulmonary resuscitation. Overall
survival of those receiving cardiopulmonary resuscitation was 46%. Survival was
better for those receiving cardiopulmonary resuscitation after cardiac surgery,
at 53%, compared with survival of 33% for pre-operative or non-surgical patients
undergoing resuscitation. Clearly there is room for improvement in outcomes from
cardiac resuscitation in children with cardiac disease. In this review,
therefore, we summarize the newest developments in paediatric resuscitation, with
an expanded focus upon the unique challenges and importance of anticipatory care
in infants and children with cardiac disease.
PMID- 18039407
TI - Managing the demands of professional life.
AB - Our review summarizes the thoughts we shared in presenting the 8th annual Daicoff
lecture. It is fitting, therefore, to begin with a few comments about George
Daicoff. One of us (RU) first met George at a meeting, which might have been the
Southern Thoracic Surgical Association. He was very kind and gracious, and made
me feel welcome. We would like for each of you now reading this review to think,
for a moment, of when you have had an experience like that from someone you
didn't know well, and how it made you feel comfortable, and that maybe you "fit
in." George, we thank you for that memory. Our field needs more graciousness. As
all of us function in our everyday world, we should remember that we never know
when our acts of graciousness one to another will be remembered and acknowledged.
Our review summarises five concepts that we have found helpful in our work with
similar groups of busy professionals. The first is mindfulness, sometimes
referred to as being conscious of the present moment. It is an irony of the
training of health care professionals that we are constantly being directed
towards a future focus. We readily don the blinders of a professional life that
keeps us focusing on what lies ahead. Although some element of this is essential
for professional success, we run the risk of missing out on the richness of our
everyday experiences. The second is intentionality. In our work with busy
professionals, we have found that so many have drifted into the automaticity of
patterned responses. This gets us in so much trouble because we forget that we
always have choice. The third is mindsight. This is about empathy, and the
ability to connect to the experiences of others. Mindsight is about connecting to
our differences. The fourth is forgiveness and shared meanings. It is important
to practice forgiveness, and to create shared meanings in relationships. These
processes allow us to reconnect to people who have hurt, disappointed, or angered
us. When we don't forgive, we create toxic relationships, both with ourselves and
with others. It is the heaviness of resentment that prevents us from being at
ease. The final concept concerns management of stress. We should learn to
recognize when we are stressed. We cannot manage what we don't know. It is our
belief that attention to these features will help you better manage the numerous
demands of your life.
PMID- 18039408
TI - Analysis of outcomes for congenital cardiac disease: can we do better?
AB - This review discusses the historical aspects, current state of the art, and
potential future advances in the areas of nomenclature and databases for the
analysis of outcomes of treatments for patients with congenitally malformed
hearts. We will consider the current state of analysis of outcomes, lay out some
principles which might make it possible to achieve life-long monitoring and
follow-up using our databases, and describe the next steps those involved in the
care of these patients need to take in order to achieve these objectives. In
order to perform meaningful multi-institutional analyses, we suggest that any
database must incorporate the following six essential elements: use of a common
language and nomenclature, use of an established uniform core dataset for
collection of information, incorporation of a mechanism of evaluating case
complexity, availability of a mechanism to assure and verify the completeness and
accuracy of the data collected, collaboration between medical and surgical
subspecialties, and standardised protocols for life-long follow-up. During the
1990s, both The European Association for Cardio-Thoracic Surgery and The Society
of Thoracic Surgeons created databases to assess the outcomes of congenital
cardiac surgery. Beginning in 1998, these two organizations collaborated to
create the International Congenital Heart Surgery Nomenclature and Database
Project. By 2000, a common nomenclature, along with a common core minimal
dataset, were adopted by The European Association for Cardio-Thoracic Surgery and
The Society of Thoracic Surgeons, and published in the Annals of Thoracic
Surgery. In 2000, The International Nomenclature Committee for Pediatric and
Congenital Heart Disease was established. This committee eventually evolved into
the International Society for Nomenclature of Paediatric and Congenital Heart
Disease. The working component of this international nomenclature society has
been The International Working Group for Mapping and Coding of Nomenclatures for
Paediatric and Congenital Heart Disease, also known as the Nomenclature Working
Group. By 2005, the Nomenclature Working Group crossmapped the nomenclature of
the International Congenital Heart Surgery Nomenclature and Database Project of
The European Association for Cardio-Thoracic Surgery and The Society of Thoracic
Surgeons with the European Paediatric Cardiac Code of the Association for
European Paediatric Cardiology, and therefore created the International
Paediatric and Congenital Cardiac Code, which is available for free download from
the internet at [http://www.IPCCC.NET]. This common nomenclature, the
International Paediatric and Congenital Cardiac Code, and the common minimum
database data set created by the International Congenital Heart Surgery
Nomenclature and Database Project, are now utilized by both The European
Association for Cardio-Thoracic Surgery and The Society of Thoracic Surgeons.
Between 1998 and 2007 inclusive, this nomenclature and database was used by both
these two organizations to analyze outcomes of over 100,000 patients undergoing
surgical treatment for congenital cardiac disease. Two major multi-institutional
efforts that have attempted to measure the complexity of congenital heart surgery
are the Risk Adjustment in Congenital Heart Surgery-1 system, and the Aristotle
Complexity Score. Current efforts to unify the Risk Adjustment in Congenital
Heart Surgery-1 system and the Aristotle Complexity Score are in their early
stages, but encouraging. Collaborative efforts involving The European Association
for Cardio-Thoracic Surgery and The Society of Thoracic Surgeons are under way to
develop mechanisms to verify the completeness and accuracy of the data in the
databases. Under the leadership of The MultiSocietal Database Committee for
Pediatric and Congenital Heart Disease, further collaborative efforts are ongoing
between paediatric and congenital cardiac surgeons and other subspecialties,
including paediatric cardiac anaesthesiologists, via The Congenital Cardiac
Anesthesia Society, paediatric cardiac intensivists, via The Pediatric Cardiac
Intensive Care Society, and paediatric cardiologists, via the Joint Council on
Congenital Heart Disease and The Association for European Paediatric Cardiology.
In finalising our review, we emphasise that analysis of outcomes must move beyond
mortality, and encompass longer term follow-up, including cardiac and non cardiac
morbidities, and importantly, those morbidities impacting health related quality
of life. Methodologies must be implemented in these databases to allow uniform,
protocol driven, and meaningful, long term follow-up.
PMID- 18039409
TI - The influence of Plato, Aristotle, and the ancient Polis on a programme for
congenital cardiac surgery: the virtuous partnership.
AB - The problems that exist in maintaining a partnership in paediatric cardiac
surgery are considerable. They relate to fairness in allocation of time for
leisure, the apportioning of cases between the partners, internal competition
between them, financial considerations, and promotion of the ego. In this review,
we discuss our own experiences in maintaining a partnership over a period of more
than 18 years, relating such a "virtuous partnership" to the writings of Plato
and Aristotle, and setting it against the tenets of the ancient Greek polis. The
polis, or city state, came to prominence in ancient Greece during the golden age
of Pericles, this period seeing the initial evolution of Western philosophy, as
well as numerous other scientific, artistic and architectural advances. The
concept of the polis was to create a natural association with its citizens that
nurtured all that is best in people, at the same time defining their character.
In this respect, according to Plato, the person and the polis are mirror images.
Aristotle then expanded this notion to incorporate the various forms of
friendship, which he pointed out last only as long as the interrelated pleasure
survives. Using these principles as the point of departure, we argue that cardiac
surgeons should respect moral virtue in each other. Extending this process means
that we should also respect, and celebrate, our relationships with affiliated
physicians, nurses, perfusionists, administrators, and all concerned in the care
of children with congenitally malformed hearts. In this way, we create a virtuous
partnership for congenital cardiac surgery that promotes all that was good, as
engendered in the ancient Greek polis. As we extend these observations to the
modern world, we discuss some of the features that have permitted us to work so
well together. One of the most important is a summoning and unwritten tenet that
greets us as we enter the operating room, namely "check your ego at the door".
The operative choice should always be dictated by discussion, citations of
literature, considered opinions, and relevance to the particular patient.
Continuity of care should lead inexorably to the paediatric intensive care unit,
where collegial relationships should be maintained with all those working
therein. We need to recognize that there are various "captains of the ship", who
must work in harmony so as to bring the best possible care to our patients. We
always endeavour to empower others to act on our behalf, based on their
experience and training. Whether we have achieved our desired moral excellence,
and produced the completed or perfected friendship, is for others to judge. From
our stance, we believe we have created a favourable environment by hard work,
unselfish attitudes, and celebration of our mutual accomplishments. We were not
the first to forge this kind of professional association, and happily we will not
be the last.
PMID- 18039410
TI - Mentorship, learning curves, and balance.
AB - Professionals working in the arena of health care face a variety of challenges as
their careers evolve and develop. In this review, we analyze the role of
mentorship, learning curves, and balance in overcoming challenges that all such
professionals are likely to encounter. These challenges can exist both in
professional and personal life. As any professional involved in health care
matures, complex professional skills must be mastered, and new professional
skills must be acquired. These skills are both technical and judgmental. In most
circumstances, these skills must be learned. In 2007, despite the continued need
for obtaining new knowledge and learning new skills, the professional and public
tolerance for a "learning curve" is much less than in previous decades.
Mentorship is the key to success in these endeavours. The success of mentorship
is two-sided, with responsibilities for both the mentor and the mentee. The
benefits of this relationship must be bidirectional. It is the responsibility of
both the student and the mentor to assure this bidirectional exchange of benefit.
This relationship requires time, patience, dedication, and to some degree
selflessness. This mentorship will ultimately be the best tool for mastering
complex professional skills and maturing through various learning curves.
Professional mentorship also requires that mentors identify and explicitly teach
their mentees the relational skills and abilities inherent in learning the
management of the triad of self, relationships with others, and professional
responsibilities.Up to two decades ago, a learning curve was tolerated, and even
expected, while professionals involved in healthcare developed the techniques
that allowed for the treatment of previously untreatable diseases. Outcomes have
now improved to the point that this type of learning curve is no longer
acceptable to the public. Still, professionals must learn to perform and develop
independence and confidence. The responsibility to meet this challenge without a
painful learning curve belongs to both the younger professionals, who must
progress through the learning curve, and the more mature professionals who must
create an appropriate environment for learning. In addition to mentorship, the
detailed tracking of outcomes is an essential tool for mastering any learning
curve. It is crucial to utilize a detailed database to track outcomes, to learn,
and to protect both yourself and your patients. It is our professional
responsibility to engage in self-evaluation, in part employing voluntary sharing
of data. For cardiac surgical subspecialties, the databases now existing for The
European Association for CardioThoracic Surgery and The Society of Thoracic
Surgeons represent the ideal tool for monitoring outcomes. Evolving initiatives
in the fields of paediatric cardiology, paediatric critical care, and paediatric
cardiac anaesthesia will play similar roles.A variety of professional and
personal challenges must be met by all those working in health care. The
acquisition of learned skills, and the use of special tools, will facilitate the
process of conquering these challenges. Choosing appropriate role models and
mentors can help progression through any learning curve in a controlled and
protected fashion. Professional and personal satisfaction are both necessities.
Finding the satisfactory balance between work and home life is difficult, but
possible with the right tools, organization skills, and support system at work
and at home. The concepts of mentorship, learning curves and balance cannot be
underappreciated.
PMID- 18039411
TI - Assessment of nutritional profiles: a novel system based on a comprehensive
approach.
AB - The prevalence of non-communicable diseases (for example, cardiovascular
disorders, type 2 diabetes and cancer) is rampant in Western societies,
accounting for approximately 60 % of all causes of death. A large proportion of
non-communicable diseases can be prevented through appropriate diets and
lifestyles. Accordingly, several health authorities and regulatory bodies are
assessing the nutritional profiles of food items and whole diets, to implement
guidelines aimed at improving the diet of the general population. While a global
approach is desirable, the need of individuals to maintain their distinct dietary
habits must also be taken into account. The portion sizes of food as well as
pattern of food consumption, for example during or between the main meals, are
very important in determining the nutritional profile of a diet. A novel method
to assess the nutritional profile of foods is being proposed and made available
on-line. Its main innovative aspects are (1) the comprehensive manner with which
the system analyses and computes a great range of features of individual food
items and (2) the distinction among eating occasions, namely during or in-between
the main meals. Moreover, this approach allows for rapid modification and great
flexibility to suit individual needs and gastronomic habits.
PMID- 18039412
TI - UK Food Standards Agency Workshop Report: the effects of the dietary n-6:n-3
fatty acid ratio on cardiovascular health.
AB - This report summarises a workshop convened by the UK Food Standards Agency (FSA)
on 11 September 2006 to review the results of three FSA-funded studies and other
recent research on effects of the dietary n-6:n-3 fatty acid ratio on
cardiovascular health. The objective of this workshop was to reach a clear
conclusion on whether or not it was worth funding any further research in this
area. On the basis of this review of the experimental evidence and on theoretical
grounds, it was concluded that the n-6:n-3 fatty acid ratio is not a useful
concept and that it distracts attention away from increasing absolute intakes of
long-chain n-3 fatty acids which have been shown to have beneficial effects on
cardiovascular health. Other markers of fatty acid intake, that more closely
relate to physiological function, may be more useful.
PMID- 18039413
TI - Electron microscopic observation of cytoskeletal frame structures and detection
of tubulin on the apical region of Cryptosporidium parvum sporozoites.
AB - Cryptosporidium parvum is an intracellular protozoan parasite belonging to the
phylum Apicomplexa, and a major cause of waterborne gastroenteritis throughout
the world. Invasive zoites of apicomplexan parasites, including C. parvum, are
thought to have characteristic organelles on the apical apex; however, compared
with other parasites, the cytoskeletal ultrastructure of C. parvum zoites is
poorly understood. Thus, in the present study, we ultrastructurally examined C.
parvum sporozoites using electron microscopy to clarify the framework of invasive
stages. Consequently, at the apical end of sporozoites, 3 apical rings and an
electron-dense collar were seen. Two thick central microtubules were seen further
inside sporozoites and extended to the posterior region. Using anti-alpha and
beta tubulin antibodies generated from sea urchin and rat brain, both antibodies
cross-reacted at the apical region of sporozoites in immunofluorescent
morphology. The molecular mass of C. parvum alpha tubulin antigen was 50 kDa by
Western blotting and the observed apical cytoskeletal structures were shown to be
composed of alpha tubulin by immunoelectron microscopy. These results suggested
that C. parvum sporozoites were clearly different in their cytoskeletal structure
from those of other apicomplexan parasites.
PMID- 18039414
TI - Infection of red foxes with Echinococcus multilocularis in western Switzerland.
AB - In the Jura mountains, Plateau and Alps of western Switzerland important
variations in the prevalence of Echinococcus multilocularis infection in red
foxes were observed between geographical areas from 1990 to 1995. The Jura
mountains and the Plateau had higher mean prevalence levels than the Alps with
30.6, 32.4 and 18.8%, respectively. The highest rate was recorded in the Plateau
in the canton of Fribourg with a prevalence of 52.3%. The prevalence of E.
multilocularis infection in foxes in the alpine canton of Valais was the lowest
(7.1%). Juvenile foxes were found to be more susceptible to E. multilocularis
than adults. Adult foxes were less heavily infected in summer and autumn, while
the prevalence in juveniles (less than 1 year old) increased between the spring
and winter, when they are more than 6 months old. The retrospective data relate
to the beginning of the 1990s, since when a drastic prevalence increase of E.
multilocularis infection in foxes has occurred in several regions of Europe.
Nevertheless, the study is a major contribution to the epidemiological situation
of E. multilocularis in central Europe, in that it contains valuable information
on spatial distribution and seasonal differences in different age groups of
foxes.
PMID- 18039415
TI - Nerve origin of vestibular schwannoma: a prospective study.
AB - OBJECTIVE: The origin of vestibular schwannoma has always been a matter of
debate. The aim of our study was to identify the nerve origin of this tumour.
STUDY DESIGN: Prospective case review. This study was conducted at Gruppo
Otologico, a private referral centre for neurotology and skull base surgery.
METHODS: A total of 200 cases of vestibular schwannoma were included in the
study. All the tumours were removed surgically utilising the translabyrinthine
approach. The origin of the tumour was sought at the fundus of the internal
auditory canal. RESULTS: A total of 200 consecutive cases was included in the
study. The origin of the tumour was limited to one nerve at the fundus in 152
cases (76 per cent). Out of these cases, the tumour originated from the inferior
vestibular nerve in 139 cases (91.4 per cent), from the superior vestibular nerve
in nine cases (6 per cent), from the cochlear nerve in two cases (1.3 per cent)
and from the facial nerve in two cases (1.3 per cent). CONCLUSION: The vast
majority of vestibular schwannomas originate from the inferior vestibular nerve;
the incidence of involvement of this nerve increases as the tumour size
increases. An origin of vestibular schwannoma from the inferior vestibular nerve
can be considered as one of the explanatory factors for the poor functional
outcome of the extended middle cranial fossa approach, and probably accounts also
for the better hearing preservation rate reported in some series for the
retrosigmoid approach.
PMID- 18039416
TI - Management of Meniere's disease in general practice: adherence to the UK National
Health Service 'Prodigy' guidelines.
AB - INTRODUCTION: Dizziness is a common presenting complaint in primary healthcare.
One differential diagnosis is Meniere's disease. The UK National Health Service
'Prodigy' guidelines have been produced to help healthcare professionals to
diagnose and manage Meniere's disease. The aim of this audit was to consider how
Meniere's disease is managed in general practice, and to assess how well general
practitioners adhere to the Prodigy guidelines. MATERIALS AND METHODS: We
identified general practices near the Torbay region of south Devon which had a
'TQ' postcode. There were 41 practices, staffed by a total of 203 general
practitioners. We wrote to each general practitioner, asking them to fill in a
questionnaire regarding Meniere's disease. Our practice response rate was 68 per
cent and our individual rate 43 per cent. RESULTS: The mean correct answer rate
was >50 per cent. The respondents achieved this despite few being aware of any
formal guidelines, and none being aware of the Prodigy guidelines. CONCLUSION:
Detailed knowledge of Meniere's disease was uncommon, and formal guidelines were
not frequently consulted.
PMID- 18039417
TI - Endoscopic dacryocystorhinostomy in functional lacrimal obstruction.
AB - INTRODUCTION: We performed endoscopic dacryocystorhinostomy in cases diagnosed
with both anatomical and functional lacrimal obstruction, and here report results
for the latter cases. METHODS: Sixty-eight endoscopic dacryocystorhinostomies
were performed for functional obstruction on 44 patients. The indication for
surgery was epiphora in 66 patients and recurrent dacryocystitis in two. The
minimum follow up was six months. RESULTS: There was complete cure in 44 (65 per
cent) patients, partial cure in nine (13 per cent) and no improvement in 15 (22
per cent). Revision surgery was performed in five of the 15 cases with no
improvement, after which there was an overall complete cure in 47 patients (69
per cent), partial cure in 10 (15 per cent) and no improvement in 11 (16 per
cent). DISCUSSION: Endoscopic dacryocystorhinostomy is indicated in cases of
epiphora with a diagnosis of functional lacrimal obstruction. Currently available
investigations cannot reliably distinguish partial anatomical obstruction from
pump failure.
PMID- 18039418
TI - Changing trends in bacteriology of peritonsillar abscess.
AB - OBJECTIVES: To evaluate the type of aerobic bacteria commonly isolated from
peritonsillar abscesses. STUDY DESIGN: A retrospective study involving 60
patients presenting with peritonsillar abscess treated between 2003 and 2006.
SETTING: The patients were treated in a tertiary care, teaching hospital. The
records of the patients were reviewed and analysed. RESULTS: Gram-positive
organisms were commonly isolated from the abscesses. However, an increasing trend
towards Gram-negative bacterial isolates was noted. The antibiogram showed
changing patterns of sensitivity, with a resistance to penicillin. CONCLUSION:
There is an increasing incidence of Gram-negative aerobic bacteria causing
peritonsillar abscess. Appropriate antibiotics for Gram-negative bacteria should
be included in the regimen if such bacteria are isolated in culture and
sensitivity studies. SIGNIFICANCE: Empirical antibiotics with Gram-negative cover
will be appropriate as adjunctive therapy.
PMID- 18039419
TI - Functional vocal results after CO2 laser endoscopic surgery for glottic tumours.
AB - INTRODUCTION: Vocal results after endoscopic cordectomy have not yet been well
defined. The aim of this study was to assess the vocal function of patients who
had undergone CO2 laser cordectomy. DESIGN: Retrospective, observational and
control group study, conducted in a tertiary care medical department. METHODS:
One hundred and thirty-five male patients (age range 36-83 years) underwent
different types of endoscopic cordectomy. Forty age-matched, euphonic male
subjects were selected as controls. Patients were classified according to the
main site of the phonatory neo-glottis. Outcome measures were maximum phonation
time, vocal intensity and harmonic/noise ratio. Mann-Whitney and rank Spearman
tests were used for statistical analysis. RESULTS: Findings indicated
statistically significant differences for all parameters, comparing patients and
controls (p<0.001), and a direct positive relation between type of functional
compensation and outcome measures in the study patients (p<0.001). CONCLUSIONS:
The results indicate that functional compensation and outcome measures were
related, and that no functional compensation enabled the study patients to
achieve a voice quality comparable with that of controls.
PMID- 18039420
TI - The superosmic phenomenon.
AB - BACKGROUND: Olfaction studies in the institution of Department of
Otorhinolaryngology at Leicester Royal Infirmary have detected a previously
unreported, variable phenomenon--'superosmia'--in which subjects' olfaction
threshold concentrations are up to 100,000 smaller than the average value.
OBJECTIVES: The aim of this report is to define and quantify this phenomenon.
METHODS: Two hundred and thirty subjects, who had been screened for active nasal
pathology (age range 20-60 years), underwent individual olfactory threshold tests
for phenylethyl alcohol or eucalyptol, using a computer-driven olfactometer in a
controlled laboratory setting. Some tests were single tests and others were
repeated on a small cohort. RESULTS: Two per cent of subjects demonstrated the
superosmic phenomenon on single testing, and 10 per cent demonstrated this
phenomenon on variable occasions during repeated testing. The superosmic
phenomenon was defined by: (1) confident olfactory perception of a threshold at
least equal to if not greater than three threshold levels below the subject's
average threshold; (2) repeated perception of the odour at this level for at
least 10 responses (1:1024 probability of chance finding); and (3) (where time
permitted) a sudden, rapid loss of superosmia. CONCLUSIONS: Superosmia is a
distinct phenomenon, the stimulus or mechanism of which is currently the subject
of further research. The enhancement of olfactory ability may be possible through
activation of an accessory pathway or modulation of the existing olfactory
apparatus.
PMID- 18039421
TI - Molecular prognostic markers in locally advanced colon cancer.
AB - For patients who undergo successful surgery for colon cancer, additional
chemotherapy is recommended in high-risk stage II and stage III disease.
Colorectal cancer prognosis is stage and grade dependent, and many tumors with
similar histopathologic features show significantly different clinical outcomes.
Therefore, tumor recurrence after curative resection continues to be a
significant problem in the management of colon cancer, and approximately 50% of
patients will develop recurrent disease. There are a few clinical and potential
molecular markers that can predict clinical outcome in locally advanced colon
cancer. Accordingly, the development of molecular markers of prognosis is
critical in making a tailored adjuvant treatment with molecular stratification
possible. Many new biomarkers have been investigated; however, none of them have
yet been validated in large prospective clinical trials. To date, the two most
promising and most studied mechanisms of genomic instability are chromosomal
instability with deletion of chromosome 18q and 17p and microsatellite
instability (MSI). Eastern Cooperative Oncology Group 5202 is a prospective
clinical trial which is randomizing patients with stage II disease based on their
MSI and 18q status to observation versus adjuvant chemotherapy with the intention
of prospectively determining their prognostic value as molecular markers. This
review will discuss the most promising molecular prognostic markers and provide
an update on the most recent developments.
PMID- 18039422
TI - Role of tyrosine kinase inhibitors in the treatment of advanced colorectal
cancer.
AB - Colorectal cancer (CRC) is a common health problem in Western countries. In
advanced disease, either FOLFOX (oxaliplatin/5-fluorouracil [5-FU]/leucovorin
[LV]) or FOLFIRI (irinotecan/LV/5-FU) are accepted first-line chemotherapy
regimens, but median survival appears to plateau with a chemotherapy-only
approach. The use of epidermal growth factor receptor (EGFR)- and vascular
endothelial growth factor (VEGF)-targeting monoclonal antibodies has increased
the median survival of patients with advanced CRC beyond 20 months. However, the
precise role of cetuximab, panitumumab and bevacizumab in combination with
different chemotherapeutic regimens is still being determined in first- and
second-line settings. The activity and tolerance of the EGFR tyrosine kinase
inhibitors (TKIs), gefitinib erlotinib, and EKB-569, alone or in combination with
chemotherapy, have been explored in patients with metastatic CRC. Regarding VEGF
receptor TKIs, 2 phase III clinical trials determined the role of vatalanib in
combination with FOLFOX. Efficacy of the oral multitargeted TKIs sorafenib and
sunitinib is under investigation. This article aims to review the role of TKIs in
advanced CRC.
PMID- 18039423
TI - A systematic review of the use of quality of life measures in colorectal cancer
research with attention to outcomes in elderly patients.
AB - PURPOSE: Quality of life (QOL) measures are critical to the evaluation of new
cancer treatments, particularly for elderly patients. Our intent was to assess
patterns of use of QOL endpoints in colorectal cancer (CRC) treatment research
and to summarize current knowledge about how CRC treatment affects elderly
patients. PATIENTS AND METHODS: We searched MEDLINE for English-language, human
trials published from 1995 to 2005 that met the following criteria: reported on
patients with CRC, were not surgery-only cohorts, and included a QOL or
functional endpoints. Trials specifically reporting data on elderly patients were
reviewed in depth and summarized. RESULTS: One hundred twenty-one eligible
studies and 10 trials with elderly-specific data were found. The median number of
trials published annually increased from 5 (range, 4-8 trials) between 1995 and
1999 to 14.5 (range, 11-22 trials) between 2000 and 2005. Chemotherapy was the
most commonly studied treatment (55%), and metastatic CRC (55%) was the most
commonly studied population. The European Organization for Research and Treatment
of Cancer C30, with or without C38, was the most frequently used instrument
(49%). Studies reporting on elderly patients showed that many patients experience
a decline in physical function immediately after surgery and have increased need
for supportive services. Little information is available on the effect of
chemotherapy in elderly patients. Use of QOL and functional measures in treatment
related CRC research has increased; however, it continues to be hampered by a
lack of dissemination and methodologic problems. CONCLUSION: Missing data from
patient attrition, limitations of assessment methods, and a small number of
patients treated with chemotherapy in the trials reporting on elderly patients
seriously limit our ability to draw conclusions from this survey about how
treatment affects QOL or function in CRC.
PMID- 18039424
TI - Safety and efficacy of first-line chemotherapy in unresected metastatic
colorectal cancer.
AB - BACKGROUND: Primary tumor resection in patients with metastatic colorectal cancer
is considered highly controversial. Historical data suggest a low risk of primary
tumor-related complications in patients treated with first-line 5-fluorouracil (5
FU) chemotherapy. However, there are very limited data on the safety and efficacy
of first-line combination chemotherapy in this unresected-primary population,
especially in the setting of rectal cancer. PATIENTS AND METHODS: We performed a
single-institution retrospective study to evaluate the primary tumor-related
complication rate and outcome of patients with unresected metastatic colorectal
cancer treated with first-line chemotherapy. Estimation of the overall and
progression-free survival distributions were done using the Kaplan-Meier method.
RESULTS: Thirty-eight patients were identified: 26 had primary colon cancers and
12 had primary rectal cancers. Thirty-one patients were treated with first-line
FOLFOX (oxaliplatin/leucovorin/5-FU) with or without bevacizumab. In patients
with colon tumors, only 2 (7%) required surgery, both for obstruction. In
patients with rectal tumors, 3 (25%) developed progressive obstructive symptoms,
and 2 developed worsening pain. Four of these patients were adequately palliated
with chemoradiation; only 1 patient required a diverting colostomy. The median
progression-free survival was 7 months, and overall survival was 17.3 months.
Twenty-two patients died because of disease progression, only 3 of whom developed
obstructive symptoms at the primary tumor site before death. CONCLUSION: First
line chemotherapy is feasible and safe in patients with unresected colon and
nonirradiated rectal cancer. The rate of bowel obstruction requiring surgical
intervention in this population was < 10%. These results support an approach that
defers surgery in non-obstructed, noncurable patients in favor of systemic
chemotherapy as initial treatment.
PMID- 18039425
TI - Intensity-modulated radiation therapy for the treatment of anal cancer.
AB - PURPOSE: The aim of this study was to assess whether intensity-modulated
radiation therapy (RT; IMRT) can reduce dose to normal tissues (organs at risk)
while maintaining equivalent target coverage. PATIENTS AND METHODS: A 9-field,
non-coplanar, 1-cm beamlet IMRT plan was designed for 9 patients who were
previously treated for anal cancer with conventional field arrangements. Clinical
target volumes and organs at risk (OARs) were defined. Target coverage was
assigned highest priority for optimization, followed sequentially by organ at
risk. The genitalia and perineal skin were the highest priority OARs.
Lexicographic ordering-based IMRT optimization was used to generate a conformal
plan, which was compared with the conventional, previously delivered RT plan.
RESULTS: The IMRT and conventional RT plan achieved homogeneous dose coverage of
all target volumes. Intensity-modulated RT produced highly conformal dose
distributions compared with conventional techniques, with avoidance of critical
normal structures. Statistically significant reductions in mean doses to the
perineal skin and to the genitalia were seen with IMRT, with only a modest
increase in mean dose to the bony pelvis. CONCLUSION: Intensity-modulated RT,
with lexicographic ordering, allows for substantial reduction of dose to OARs
while maintaining adequate target coverage. These encouraging findings might
translate into reductions of treatment-related toxicity, gains in local control,
or improvements in quality of life.
PMID- 18039426
TI - Colorectal carcinomas with microsatellite instability display increased
thymidylate synthase gene expression levels.
AB - BACKGROUND: This study investigated whether patients with colorectal cancer (CRC)
who have tumors with high microsatellite instability (MSI; MSI-H) had an altered
expression of the folate and methyl-group metabolism. The gene expression levels
of thymidylate synthase (TS), reduced folate carrier (RFC-1), folylpolyglutamate
synthase (FPGS), and methylenetetrahydrofolate reductase (MTHFR) in mucosa and
tumor were compared with patients with MSS. Furthermore, the influence of TS
polymorphisms on TS gene expression levels and MSI-H was studied. PATIENTS AND
METHODS: The microsatellite status (MSI-H, low instability [MSI-L], or stable
[MSS]) and TS polymorphisms were analyzed in genomic DNA from 181 patients with
CRC. Gene expression levels of TS, RFC-1, FPGS, and MTHFR in mucosa and tumors
were quantified and the difference in TS expression between tumor and mucosa was
designated DeltaTS. RESULTS: Significantly higher gene expression levels of TS (P
< .0001) were detected in patients with CRC with MSI-H compared with MSS/MSI-L
tumors. Gene expression of TS and FPGS were significantly higher in right-sided
MSI-H tumors compared with right-sided MSS/MSI-L tumors (P < .0001, P = .041,
respectively). A significant correlation between DeltaTS and the number of
unstable markers was found (P < .0001). An inverse association between age and TS
expression was found in MSI tumors (r = -0.57; P = .0004) and also in right-sided
tumors (r = -0.25, P = .011) regardless of MSI status. No relation was detected
between MSI status and the TS polymorphisms or between the TS polymorphisms and
TS expression. CONCLUSION: This study has revealed, for the first time, that age
and the frequency of unstable MSI markers were factors that were linked to the
variability in TS gene expression in tumors.
PMID- 18039427
TI - Atypical cutaneous lymphoid hyperplasia induced by chemotherapy in a patient with
advanced colon carcinoma.
AB - Some conditions are predisposed to excessive lymphocyte responses, which can
progress to a benign condition, ie, atypical cutaneous lymphoid hyperplasia
(ACLH), or a malignant lymphoma. Clinical diagnosis of drug-associated
pseudolymphoma can be based on a temporal association between drug ingestion and
lesion onset followed by resolution without recurrence after discontinuation of
drug administration. Herein, we report the case of a 66-year-old man with
advanced colon carcinoma with ACLH developed while receiving chemotherapy regimen
with oxaliplatin/5-fluorouracil/leucovorin. The authors postulate that
chemotherapy can promote an aberrant immune response to an antigen that can be
the drug itself or other self-antigens.
PMID- 18039428
TI - Anticipated regret as an additional predictor in the theory of planned behaviour:
a meta-analysis.
AB - This paper details the results of a meta-analysis incorporating all the
appropriately augmented TPB studies in order to statistically determine the
additive effects of anticipated regret (AR) both to the prediction of intentions
after the TPB variables and to the direct impacts on behaviour. Over a number of
studies there was a strong AR-intention relationship (r(+)=.47, k=25, N=11,254),
and AR significantly and independently added to the prediction of intentions over
and above the TPB variables; there was a moderate relationship between AR and
behaviour (r(+)=.28, k=8, N=2,035) with AR having a direct and significant impact
on prospective behaviour, and there was support for the unique contribution of AR
even when accounting for attitude. Implications and issues for further research
are discussed.
PMID- 18039429
TI - Stressful life-events exposure is associated with 17-year mortality, but it is
health-related events that prove predictive.
AB - OBJECTIVES: Despite the widely-held view that psychological stress is a major
cause of poor health, few studies have examined the relationship between
stressful life-events exposure and death. The present analyses examined the
association between overall life-events stress load, health-related and health
unrelated stress, and subsequent all-cause mortality. DESIGN: This study employed
a prospective longitudinal design incorporating time-varying covariates. METHODS:
Participants were 968 Scottish men and women who were 56 years old. Stressful
life-events experience for the preceding 2 years was assessed at baseline, 8-9
years and 12-13 years later. Mortality was tracked for the subsequent 17 years
during which time 266 participants had died. Cox's regression models with time
varying covariates were applied. We adjusted for sex, occupational status,
smoking, BMI, and systolic blood pressure. RESULTS: Overall life-events numbers
and their impact scores at the time of exposure and the time of assessment were
associated with 17-year mortality. Health-related event numbers and impact scores
were strongly predictive of mortality. This was not the case for health-unrelated
events. CONCLUSIONS: The frequency of life-events and the stress load they
imposed were associated with all-cause mortality. However, it was the experience
and impact of health-related, not health-unrelated, events that proved
predictive. This reinforces the need to disaggregate these two classes of
exposures in studies of stress and health outcomes.
PMID- 18039430
TI - Validation of a Chinese achievement goal orientation questionnaire.
AB - BACKGROUND: The mixed findings of previous studies on the nature and effects of
performance goals have led to a call for re-examination of the dichotomous
framework of achievement goal orientation theory. While the call for a revised
achievement goal orientation theory has received considerable discussion in
Western studies, it is not clear whether the revised theory can also be applied
in other ethnic and cultural contexts. AIMS: Our aim was to validate the Chinese
version of Elliot and Church's (1007) Goal Orientation Questionnaire and to
initially test the revised goal orientation theory in the context of Chinese
students in Hong Kong. SAMPLE: A total of 270 Chinese students (137 boys and 133
girls) from a primary and secondary school in Hong Kong participated in Study 1.
Study 2 involved a total of 9,440 students (5,420 boys and 4,020 girls) from 10
primary and 18 secondary schools in Hong Kong. METHOD: Participants in Study 1
completed a Chinese Goal Orientation Questionnaire (CGOQ) measuring three types
of goal orientation. Exploratory factor analysis, item-total correlation, and
reliability analyses were undertaken to assess the psychometric quality of the
CGOQ. In Study 2, confirmatory factor analysis was used to provide further
validation for the revised questionnaire. The relationships among different goal
orientations and that with students' perceived classroom environment and self
efficacy were also explored using structural equation modelling. RESULTS:
Findings of exploratory factor analysis in Study 1 and confirmatory factor
analysis in Study 2 supported the proposed factor structure of the CGOQ. All the
subscales in the questionnaire also showed good internal consistency
reliabilities. The construct validity of the CGOQ was supported by its
significant relationship with criterion measures. While most of the relationships
between the three types of goal orientation and the learning-related variables
measured in our study were consistent with the revised goal orientation theory,
the positive relationships between performance-avoidance goals, mastery goals,
and perceived classroom environment were different from previous studies.
CONCLUSION: Our findings generally supported the trichotomous framework of the
revised goal orientation theory with Chinese students in Hong Kong. Consistent
with the revised goal orientation theory, our findings indicated that both
mastery and performance-approach goals had positive impacts on students'
learning. However, the positive relationships between performance-avoidance
goals, mastery goals, and perceived classroom environment were contradictory to
the conceptualization of performance-avoidance goals in the revised theory.
Cultural and social factors affecting Hong Kong students' goal orientations are
discussed.
PMID- 18039431
TI - An index-based short form of the WAIS-III with accompanying analysis of
reliability and abnormality of differences.
AB - OBJECTIVES: To develop an index-based, seven subtest, short form of the WAIS-III
that offers the same comprehensive range of analytic methods available for the
full-length version. DESIGN AND METHODS: Psychometric. RESULTS: The short-form
indices had high reliability and criterion validity. Scores are expressed as
index scores and as percentiles. Methods are provided that allow setting of
confidence limits on scores, and analysis of the reliability and abnormality of
index score differences. A computer program that automates scoring and implements
all the analytical methods accompanies this paper and can be downloaded from the
following web address: http://www.abdn.ac.uk/ approximately
psy086/Dept/sf_wais3.htm. CONCLUSIONS: The short form will be useful when
pressure of time or client fatigue precludes use of a full-length WAIS-III. The
accompanying computer program scores and analyses an individual's performance on
the short form instantaneously and minimizes the chance of clerical error.
PMID- 18039433
TI - Oxycodone: a review of its use in the management of pain.
AB - BACKGROUND: Oxycodone is a strong opioid that acts at mu- and kappa-opioid
receptors. It has pharmacological actions similar to strong opioids, but with a
specific pharmacologic profile and greater analgesic potency to morphine. The
efficacy of oxycodone in managing neuropathic and somatic pain, both of malignant
and non-malignant origin, has been established in a wide range of settings.
SCOPE: This review aims to provide a comprehensive evaluation of oxycodone and
its role within clinical settings in order to provide an evidence-based
perspective on its use in the clinic. Literature searches using Medline, EMBASE
and Cochrane Databases were used to compile data for review. The review provides
information on the pharmacokinetics and pharmacodynamics of oxycodone and also
profiles established clinical data in neuropathic and somatic pain as well as
emerging data to support the use of oxycodone in visceral pain, which may be due
to its interaction with kappa-opioid receptors. Oxycodone is available in a range
of formulations for oral, intraspinal and parenteral administration. FINDINGS:
The prolonged-release form of oxycodone offers a fast onset of analgesia,
controlling pain for 12 hours and providing clinically meaningful relief of
moderate to severe pain and improving quality of life across a broad spectrum of
pain types. CONCLUSIONS: Oxycodone provides significant pain relief. It has
relevant points of difference from other opioids and as such may be a suitable
alternative to morphine.
PMID- 18039432
TI - Behavioural, emotional, and post-traumatic stress problems in children and
adolescents, long term after septic shock caused by Neisseria meningitidis.
AB - OBJECTIVES: To assess the occurrence of a wide range of behavioural, emotional,
and post-traumatic stress problems in children and adolescents, long term after
septic shock caused by Neisseria meningitidis (MSS). DESIGN: This study included
6- to 17-year-old patients who survived MSS and were admitted to the PICU of the
Medical Centre between 1988 and 2001. To assess behavioural, emotional, and post
traumatic stress problems, the Child Behaviour Checklist (CBCL), the Teacher's
Report Form (TRF), and the Youth Self-Report (YSR) were used. METHODS: Parents of
89 MSS children, aged 6-17 years, completed the CBCL. Teachers of 65 same-aged
MSS children completed the TRF, and 45 11- to 17-year-old MSS children completed
the YSR. These data were compared with those from the normative reference groups.
RESULTS: Overall, the proportions of MSS children scoring in the deviant range
for problem behaviour were comparable to the proportions in the reference groups,
according to parents', teachers', and self-reports. As to the level of emotional
and behavioural problems, mothers of the MSS children reported more somatic
complaints regarding their children in comparison with the reference groups.
Severity of illness was not a significant predictor of behavioural, emotional,
and post-traumatic stress problems. Age at the time of illness was a significant
predictor of behavioural, emotional, and post-traumatic stress problems in MSS
children, indicating that the younger the child at the time of illness, the more
problems were reported by parents at follow-up. CONCLUSION: Overall, the results
showed long-term behavioural, emotional, and post-traumatic stress outcomes for
MSS children, which were comparable to those in the general population.
PMID- 18039434
TI - Utility of health states in chronic kidney disease: a structured review of the
literature.
AB - PURPOSE: To document published utilities for health states associated with
Chronic Kidney Disease (CKD) and End Stage Renal Disease (ESRD) and determine the
most appropriate values for use in economic models to evaluate renal treatments
in the UK. METHODS: A structured literature review was conducted (MEDLINE,
EMBASE, NHS EED and HTA) in order to identify relevant articles published between
January 1990 and January 2006. In addition searches were conducted on websites of
Health Technology Assessment (HTA) organizations and the Cost Effectiveness
Analysis Registry. Articles were reviewed and those not containing utilities
excluded. Results were assessed on the quality of the elicitation studies and
their relevance to the UK HTA environment. RESULTS: Thirty-five studies satisfied
the inclusion criteria. Most studies were conducted in Canada (13), the US (10)
and the Netherlands (4). Utilities were identified for all/most of the necessary
states, but scores for individual states differed widely between studies and very
few studies met the quality criteria. The majority of studies used the time trade
off (23) and standard gamble (11) to elicit utilities, while only six used EQ-5D.
Seven studies provided community preferences. Two studies were judged to be
directly relevant to economic evaluations in the UK. CONCLUSIONS: Many studies
have estimated utilities in ESRD patients, but only a few have estimated
utilities based upon public preferences. Further empirical research is needed to
produce more reliable utilities for economic modelling in the UK, especially in
chronic kidney disease patients who do not require dialysis.
PMID- 18039435
TI - [Free resources for evidence-based care].
PMID- 18039436
TI - [Quality of life in homebound elderly people in a district of Alicante (Spain)].
AB - OBJECTIVE: To measure health-related quality of life (HRQoL) in homebound elderly
individuals in the District of San Blas (Alicante, Spain). METHOD: The sample was
composed of 107 people aged more than 75 years old included in the home care
program. As a measurement instrument, the Spanish version of COOP/WONCA charts in
their complete version were used. RESULTS: The mean age was 83.18 years.
Distribution by sex was 76 (71%) women and 31 (29%) men. The mean overall score
was 29.7 points with a standard deviation of 5.04. The association between age
and total score was 0.19, which was statistically significant (p = 0.045).
Comparison of these two means with Student's t-test for independent samples
showed no statistically significant differences (t = -580; p > 0.05). When
differences between men and women in each of the items of the scale were
analyzed, only "social activities" showed a statistically significant difference
(t = -2.959; p = 0.04). The time taken to administer the questionnaire was 30 +/-
5 min. CONCLUSIONS: HRQoL in our population is worse than that in other studied
populations. Due to the ceiling-floor effect of some of the variables, further
studies in this type of population are required. The time used in this type of
population to complete the questionnaire was five times greater than that used in
other populations.
PMID- 18039437
TI - [Iodine levels and intake in a population of healthy pregnant women].
AB - OBJECTIVE: To identify iodine levels in the first two trimesters of pregnancy in
women attending the primary care center (PCC) of Orihuela (Alicante, Spain), and
their relationship with food intake and vitamin supplements. METHOD: We performed
a descriptive prospective study of pregnant women who had a first appointment at
the PCC midwifery service in November and December 2005. The variables studied
were urinary iodine levels through isolated sample collections, epidemiological
variables, and the frequency of intake of iodine-related food and vitamin
supplements. The variables were collected using a structured questionnaire
administered through a face-to-face interview with the research team in the first
and second trimesters of pregnancy. RESULTS: The sample consisted of 104 women.
Of these, 71.6% had iodine deficiency in the first trimester of pregnancy. This
percentage was reduced to 30.6% in the second trimester. Statistically
significant differences were found in intake of mollusks and vitamin supplements
in relation to urinary iodine excretion. CONCLUSIONS: The mean value of urinary
iodine excretion in the pregnant women examined revealed a slight deficiency in
iodine intake in the first trimester, which can represent a risk for fetal
development.
PMID- 18039439
TI - [Quality of life 2 years after coronary revascularization].
AB - OBJECTIVE: To determine the impact of coronary revascularization surgery on
patients' quality of life and to identify the presence of lifestyles representing
a risk for coronary heart disease. METHOD: We performed a longitudinal
prospective study of 150 consecutive patients who underwent coronary surgery over
a 6-month period. Perceived quality of life was evaluated before the
intervention, at 6 months (n = 132) and 2 years later (n = 119). As measurement
instruments, the MOS Health Survey (SF-36), the Nottingham Health Profile (NHP)
and a questionnaire to identify lifestyles were used. RESULTS: Most of the
patients (95.8%) were men and were aged more than 50 years old (80.7%). Before
surgery, significant physical limitations were present in 39.5%, comorbidity in
79%, prior infarction in 8.7% and three-vessel disease in 68%. The mean length of
hospital stay was 18 years of age with 2
episodes of glycemia >140 mg/dl separated by 4-6 hours. Patients on an oral diet
were excluded. INTERVENTION: The perfusion rate and the frequency of glycemia
monitoring were set according to the nursing staff's protocol. MAIN VARIABLES OF
INTEREST: Days of insulin perfusion and number and value of the blood glucose
measurements taken. Episodes of hypoglycemia, mean blood glucose level, the
percentage of hyperglycemia episodes, and the number of hours that the patient's
blood glucose was in each range. RESULTS: The mean duration of treatment was 15.6
days (SD 12). A total of 17,768 hours and 8,111 blood glucose measurements were
collected. 55.5% of the blood glucose measurements were between 100-140 mg/dl,
and this represents 63.9% of the hours. The mean blood glucose level was 133
mg/dl. Only 2 episodes of severe hypoglycemia (<45 mg/dl) occurred. CONCLUSION:
The protocol was safe and enabled adequate control of blood glucose levels. The
analysis of all of the hours of treatment might provide more data than a simple
study of the percentages of blood glucose measurements within the normal range
and the mean blood glucose level.
PMID- 18039449
TI - [High PEEP vs. conventional PEEP in the acute respiratory distress syndrome: a
systematic review and meta-analysis].
AB - OBJECTIVE: To perform a systematic review and meta-analysis of the literature to
evaluate the effects of high PEEP versus conventional PEEP on mortality and on
the risk of barotrauma in patients with the acute respiratory distress syndrome
(ARDS). SOURCE OF DATA: Computer search of Medline, Embase, CINAHL, CANCERLIT,
Pascal-Biomed, ACP Journal Club, Cochrane library (CDSR, DARE, CCTR), ISI
Proceedings, Current Contents, and Web of Science, as well as manual search of
selected references. SELECTION OF STUDIES: Controlled random clinical trials
published after NAECC (1994) that evaluated the effect of two levels of PEEP and
that reported the mortality and incidence of barotrauma in the series. DATA
EXTRACTION: By two investigators working independently, with discrepancies
resolved by group consensus. Contingency tables were elaborated and the RRs with
corresponding confidence intervals were obtained for each study. RESULTS: Four
articles were selected for the meta-analysis of mortality and three for the meta
analysis of barotrauma. No effects of PEEP level on mortality were found (RR
0.73, 95% CI: 0.49 to 1.10) or on the incidence of barotrauma (RR 0.50, 95% CI:
0.14 to 1.73). However, an analysis of the studies in which PEEP was
individualized in function of Pflex showed a significant decrease in mortality
(RR 0.59, 95% CI: 0.43 to 0.82) (p=0.001) CONCLUSIONS: The use of high or
conventional PEEP in function of oxygenation does not affect mortality or the
incidence of barotrauma in patients with ARDS. However, there might be a decrease
in mortality associated to high PEEP individualized in function of the pulmonary
mechanics of each patient.
PMID- 18039450
TI - [Acute coronary syndrome (ACS) with elevated ST segment: consensus strategy for
early reperfusion. The Public Enterprise for Health Emergencies and the ARIAM
Project Andalusia].
AB - The two pillars of the appropriate management of patients with ST-elevation
myocardial infarction (STEMI) are immediate access to defibrillation and early
reperfusion. The Public Enterprise for Health Emergencies (EPES) and the
Andalusian ARIAM (Analysis of the Delay in the Treatment of Acute Myocardial
Infarction) Project aim to implement a common basic strategy that can be adapted
to local situations in order to facilitate decision making about the treatment of
these patients. CONTEXT: The Autonomous Community of Andalusia. PERIOD: March-May
2006. PARTICIPANTS: Professionals that attend patients with STEMI: physicians in
the EPES' work group on cardiological processes, emergency department physicians,
and physicians working in the intensive care units in the hospitals of the public
healthcare system of Andalusia. APPROACH: Levels of evidence. The levels of
evidence laid out in the 2004 ACC/AHA Clinical Practice Guidelines. REACHING A
CONSENSUS: A meeting was held to discuss the aspects to be included in the
document. A working document was drafted and distributed to the participants via
email. The final consensus document was drafted at another meeting. CONCLUSIONS:
The consensus document establishes the following priorities: 1. To apply the set
of general measures recommended for the care of STEMI patients strictly and
appropriately 2. To foster the use of early reperfusion in as many patients as
possible, promoting the extension of fibrinolysis outside of hospitals and
referral to a center with facilities for primary percutaneous coronary
intervention. 3. To monitor and evaluate the management of these patients, with
special attention placed on outcome and safety.
PMID- 18039451
TI - [Applications of transcranial color-coded duplex sonography in monitoring
neurocritical patients].
AB - Transcranial sonography is a common tool for monitoring neurocritical patients.
Transcranial color-coded duplex ultrasonography enables hemodynamic and
structural study of the cerebral parenchyma in these patients. Its advantages
over conventional ultrasonography are evident and are derived from direct
visualization of the vessel to be studied and appropriate adjustment of the
sample volume and angle of insonation. The use of ultrasonographic contrast
agents enables conclusive findings in practically 100% of cases and allows
cerebral perfusion to be studied at the bedside using semiquantitative methods.
This review aims to show the applications of transcranial color-coded duplex
ultrasonography for monitoring neurocritical patients in intensive care units.
PMID- 18039452
TI - [Early interruption of clinical trials: too good to be true?].
AB - The interruption of a randomized clinical trial when the experimental treatment
is clearly superior is an ethical obligation. However, performing multiple
intermin statistical analyses and the early interruption of the trial increase
the possibility of alpha error, favor the overestimation of the effect, and
facilitate the introduction of ineffective therapies data monitoring. Currently
available empirical evidence suggests that early interrupted clinical trials
overestimate the effects of new treatments and that the reasons used to justify
interrupting the trial are often not sufficiently specified. This underlines the
need for truly independent data monitoring committees and recommends the use of
predefined restrictive rules for the interruption of a trial. Furthermore,
clinicians should provide themselves with an adequate dose of skepticism and
critical reading skills to enable them to explore some key aspects of these
studies.
PMID- 18039453
TI - [Overdose of metformin secondary to acute renal insufficiency: a report of six
cases].
AB - Metformin is a biguanide used in the treatment of obese adults with type 2
diabetes mellitus; metformin decreases mortality by 36% in comparison to
conventional treatment. Metformin administration has certain contraindications
that, when ignored, especially in the case of acute renal insufficiency, leads to
the accumulation of the drug and consequent lactic acidosis that can be fatal. We
present 6 patients with acute renal insufficiency that experienced extreme acute
metabolic acidosis (pH <6.90 and bicarbonate <5 mEq/l) and increased anion gap
while receiving metformin for the treatment of diabetes. Serum lactic acid, only
evaluated in the 4 patients that survived, was high. Two patients died after
cardiac arrest that could have been avoided. In conclusion, lactic acidosis
appearing during metformin treatment for diabetes requires rapid diagnosis and
treatment to enable the drug to be withdrawn and prolonged continuous
hemofiltration or hemodialysis with bicarbonate to be initiated.
PMID- 18039454
TI - [Unusual position of a pacemaker cable].
PMID- 18039455
TI - [Presence of Osborn's J wave in hypothermia].
PMID- 18039456
TI - [Use of methylene blue in the treatment of vasoplegic syndrome after heart
surgery: other perspectives on the case].
PMID- 18039458
TI - [Intensive medicine images. A 75-year-old woman with a history of pulmonary
embolism].
PMID- 18039461
TI - Energy transfer processes in Gloeobacter violaceus PCC 7421 that possesses
phycobilisomes with a unique morphology.
AB - We examined energy transfer dynamics in phycobilisomes (PBSs) of cyanobacteria in
relation to the morphology and pigment compositions of PBSs. We used Gloeobacter
violaceus PCC 7421 and measured time-resolved fluorescence spectra in three types
of samples, i.e., intact cells, PBSs, and rod assemblies separated from cores.
Fremyella diplosiphon, a cyanobacterial species well known for its complementary
chromatic adaptation, was used for comparison after growing under red or green
light. Spectral data were analyzed by the fluorescence decay-associated spectra
with components common in lifetimes with a time resolution of 3 ps/channel and a
spectral resolution of 2 nm/channel. This ensured a higher resolution of the
energy transfer kinetics than those obtained by global analysis with fewer
sampling intervals. We resolved four spectral components in phycoerythrin (PE),
three in phycocyanin (PC), two in allophycocyanin, and two in photosystem II. The
bundle-like PBSs of G. violaceus showed multiple energy transfer pathways; fast (
approximately 10 ps) and slow ( approximately 100 ps and approximately 500 ps)
pathways were found in rods consisting of PE and PC. Energy transfer time from PE
to PC was two times slower in G. violaceus than in F. diplosiphon grown under
green light.
PMID- 18039462
TI - Dopamine differentially induces aggregation of A53T mutant and wild type alpha
synuclein: insights into the protein chemistry of Parkinson's disease.
AB - Aggregation of alpha-synuclein is known to be a causal factor in the genesis of
Parkinson's disease and Dementia with Lewy bodies. Duplication and/or
triplication and mutation of the alpha-synuclein gene are associated with
sporadic and familial Parkinson's disease. Synucleinopathies appear to primarily
affect dopaminergic neurons. The present studies investigate the role of dopamine
in alpha-synuclein aggregation through NMR. Dopamine causes aggregation of both
wild type and A53T mutant alpha-synuclein in a temperature-dependent manner, but
the mutant A53T shows a greater propensity to aggregate in the presence of
dopamine only at 37 degrees C. A single point mutation in the alpha-synuclein
A53T mutant gene results in a structural change in the protein and drastically
increases its propensity to aggregate in the presence of dopamine. The present
data indicate that mutation in the alpha-synuclein gene may predispose the
protein to dopamine-induced aggregation, thereby contributing to disease
pathogenesis.
PMID- 18039463
TI - Crystal structure of histone demethylase LSD1 and tranylcypromine at 2.25 A.
AB - Transcriptional activity and chromatin structure accessibility are correlated
with the methylation of specific histone residues. Lysine-specific demethylase 1
(LSD1) is the first discovered histone demethylase, which demethylates Lys4 or
Lys9 of histone H3, using FAD. Among the known monoamine oxidase inhibitors,
tranylcypromine (Parnate) showed the most potent inhibitory effect on LSD1.
Recently, the crystal structure of LSD1 and tranylcypromine was solved at 2.75 A,
revealing a five-membered ring fused to the flavin of LSD1. In this study, we
refined the crystal structure of the LSD1-tranylcypromine complex to 2.25 A. The
five-membered ring model did not fit completely with the electron density, giving
R(work)/R(free) values of 0.226/0.254. On the other hand, the N(5) adduct gave
the lowest R(work)/R(free) values of 0.218/0.248, among the tested models. These
results imply that the LSD1-tranylcypromine complex is not completely composed of
the five-membered adduct, but partially contains an intermediate, such as the
N(5) adduct.
PMID- 18039464
TI - Grafting of material-binding function into antibodies Functionalization by
peptide grafting.
AB - Quite recently, a few antibodies against bulk material surface have been selected
from a human repertoire antibody library, and they are attracting immense
interest in the bottom-up integration of nanomaterials. Here, we constructed
antibody fragments with binding affinity and specificity for nonbiological
inorganic material surfaces by grafting material-binding peptides into loops of
the complementarity determining region (CDR) of antibodies. Loops were replaced
by peptides with affinity for zinc oxide and silver material surfaces. Selection
of CDR loop for replacement was critical to the functionalization of the grafted
fragments; the grafting of material-binding peptide into the CDR2 loop
functionalized the antibody fragments with the same affinity and selectivity as
the peptides used. Structural insight on the scaffold fragment used implies that
material-binding peptide should be grafted onto the most exposed CDR loop on
scaffold fragment. We show that the CDR-grafting technique leads to a build-up
creation of the antibody with affinity for nonbiological materials.
PMID- 18039465
TI - Cryo-electron microscopy of coagulation Factor VIII bound to lipid nanotubes.
AB - Factor VIII (FVIII) is a key protein in blood coagulation, deficiency or
malfunction of which causes Haemophilia A. The sole cure for this condition is
intravenous administration of FVIII, whose membrane-bound structure we have
studied by Cryo-electron microscopy and image analysis. Self-assembled lipid
nanotubes were optimised to bind FVIII at close to native conditions. The tubes
diameter was constant at 30 nm and the lipid bilayer resolved. The FVIII
molecules were well defined, forming an 8.5 nm thick outer layer, and appeared to
reach the hydrophobic core of the bilayer. The two known FVIII atomic models were
superimposed with the averaged 2D protein densities. The insertion of the FVIII
within the membrane was evaluated, reaffirming that the membrane-binding C2 or C1
C2 domain(s) fully penetrate the outer leaflet of the lipid layer. The presented
results lay the basis for new models of the FVIII overall orientation and
membrane-binding mechanism.
PMID- 18039466
TI - Nanog inhibits the switch of myogenic cells towards the osteogenic lineage.
AB - The homeodomain transcription factor Nanog has been implicated in inhibiting
differentiation and controlling pluripotency of embryonic stem (ES) cells. We
used ectopic expression of Nanog in the myogenic committed C2 cells to dissect
these properties. Expression of Nanog in C2 cells does not alter terminal muscle
differentiation but has a profound effect on their switch to differentiate along
the osteogenic lineage upon BMP treatment. Gene expression profiling revealed
that ERK 1/2 phosphorylation, alkaline-phosphatase activity and osteocalcin
expression were induced to much lower extent and remained suppressed even after
96h. in Nanog expressing C2 cells, compared to control C2 cells. Hence, Nanog
does not inhibit terminal differentiation of committed cells but it is an
inhibitor of trans-differentiation that is dependent on de-novo activation of
gene transcription.
PMID- 18039468
TI - Small stress molecules inhibit aggregation and neurotoxicity of prion peptide 106
126.
AB - In prion diseases, the posttranslational modification of host-encoded prion
protein PrP(c) yields a high beta-sheet content modified protein PrP(sc), which
further polymerizes into amyloid fibrils. PrP106-126 initiates the conformational
changes leading to the conversion of PrP(c) to PrP(sc). Molecules that can
defunctionalize such peptides can serve as a potential tool in combating prion
diseases. In microorganisms during stressed conditions, small stress molecules
(SSMs) are formed to prevent protein denaturation and maintain protein stability
and function. The effect of such SSMs on PrP106-126 amyloid formation is explored
in the present study using turbidity, atomic force microscopy (AFM), and cellular
toxicity assay. Turbidity and AFM studies clearly depict that the SSMs-ectoine
and mannosylglyceramide (MGA) inhibit the PrP106-126 aggregation. Our study also
connotes that ectoine and MGA offer strong resistance to prion peptide-induced
toxicity in human neuroblastoma cells, concluding that such molecules can be
potential inhibitors of prion aggregation and toxicity.
PMID- 18039467
TI - Fibrinogen-like protein 1, a hepatocyte derived protein is an acute phase
reactant.
AB - Fibrinogen-like protein 1 (FGL1) is a hepatocyte derived protein that is
upregulated in regenerating rodent livers following partial hepatectomy. It has
been implicated as a mitogen for liver cell proliferation. In this study, we show
that recombinant human IL-6 induces FGL1 expression in Hep G2 cells in a pattern
similar to those of acute phase reactants. Following induction of acute
inflammation in rats by subcutaneous injection of turpentine oil, serum FGL1
levels are also enhanced. Although, a recent report suggests that FGL1 associates
almost exclusively with the fibrin matrix, we report here that approximately 20%
of the total plasma FGL1 remains free. The enhancement of FGL1 levels in vitro by
IL-6 and its induction after turpentine oil injection suggest that it is an acute
phase reactant. Its presence in bound and free forms in the blood also implies
biological roles that extend beyond the proposed autocrine effect it has on
hepatocytes during regeneration.
PMID- 18039469
TI - Promising anti-Alzheimer's dimer bis(7)-tacrine reduces beta-amyloid generation
by directly inhibiting BACE-1 activity.
AB - The regulation of alpha-, beta-, (BACE-1), and gamma-secretase activities to
alter beta-amyloid (Abeta) generation is considered to be one of the most
promising disease-modifying therapeutics for Alzheimer's disease. In this study,
the effect and mechanisms of bis(7)-tacrine (a promising anti-Alzheimer's dimer)
on Abeta generation were investigated. Bis(7)-tacrine (0.1-3muM) substantially
reduced the amounts of both secreted and intracellular Abeta in Neuro2a APPswe
cells without altering the expression of APP. sAPPalpha and CTFalpha increased,
while sAPPbeta and CTFbeta decreased significantly in Neuro2a APPswe cells
following the treatment with bis(7)-tacrine, indicating that bis(7)-tacrine might
activate alpha-secretase and/or inhibit BACE-1 activity. Furthermore, bis(7)
tacrine concentration-dependently inhibited BACE-1 activity in cultured cells,
and also in recombinant human BACE-1 in a non-competitive manner with an IC(50)
of 7.5muM, but did not directly affect activities of BACE-2, Cathepsin D, alpha-
or gamma-secretase. Taken together, our results not only suggest that bis(7)
tacrine may reduce the biosynthesis of Abeta mainly by directly inhibiting BACE-1
activity, but also provide new insights into the rational design of novel anti
Alzheimer's dimers that might have disease-modifying properties.
PMID- 18039470
TI - FoxA1 as a lineage-specific oncogene in luminal type breast cancer.
AB - The forkhead transcription factor FoxA1 is thought to be involved in mammary
tumorigenesis. However, the precise role of FoxA1 in breast cancer development is
controversial. We examined expression of FoxA1 in 35 human breast cancer cell
lines and compared it with that of ErbB2, a marker of poor prognosis in breast
cancer. We found that FoxA1 is expressed at high levels in all ErbB2-positive
cell lines and a subset of ErbB2-negative cell lines. Down-regulation of FoxA1 by
RNA interference significantly suppressed proliferation of ErbB2-negative and
FoxA1-positive breast cancer cell lines. Down-regulation of FoxA1 also enhanced
the toxic effect of Herceptin on ErbB2-positive cell lines through induction of
apoptosis. Taken together with previous data that FoxA1 is a marker of luminal
cells in mammary gland, our present results suggest that FoxA1 plays an important
role as a lineage-specific oncogene in proliferation of cancer cells derived from
mammary luminal cells.
PMID- 18039471
TI - An efficient, one-pot synthesis of various ceramide 1-phosphates from sphingosine
1-phosphate.
AB - An efficient, one-pot procedure for the synthesis of ceramide 1-phosphates with
varying N-acyl substituents, to serve as tool compounds for analytical and
biological investigations, was developed. Sphingosine 1-phosphate was silylated
in situ to increase its solubility and to protect the 3-hydroxy functionality and
then allowed to react with activated acid derivatives in the presence of
diisopropylethylamine. Simultaneous cleavage of the silyl protecting groups and
separation from reagents and by-products was achieved by medium pressure
chromatography on reversed phase material. Thus, ceramide 1-phosphates with
various fatty acid chains and with fluorescent and affinity labels attached to
the sphingoid backbone were prepared in good yields.
PMID- 18039472
TI - Association of elevated plasma B-type natriuretic peptide levels with paroxysmal
atrial fibrillation in patients with nonobstructive hypertrophic cardiomyopathy.
AB - OBJECTIVES: To investigate the relationship between the plasma B-type natriuretic
peptide (BNP) level and the occurrence of atrial fibrillation (AF) in
nonobstructive hypertrophic cardiomyopathy (HCM) patients. METHODS: Patients
(n=97) were classified into chronic AF (CAF; n=14), paroxysmal AF (PAF; n=18) and
normal sinus rhythm (NSR; n=65) groups. The plasma BNP values were analyzed with
logarithmic transformation. RESULTS: The PAF group showed significantly higher
plasma BNP levels than the NSR group [mean (range; -l SD and +1 SD); 248.3
(143.5, 429.5) vs. 78.2 (27.9, 218.8 ng/L), p<0.0001]. The CAF group also showed
significantly higher plasma BNP levels than the NSR group [291.1 (161.4, 524.8
ng/L), p<0.0001]. Multivariate analysis with other clinical factors selected
association of PAF as one of the factors that increased the plasma BNP level.
CONCLUSIONS: The present study indicated that plasma BNP level is clinically
useful for identification of nonobstructive HCM patients who have a risk of PAF.
PMID- 18039473
TI - Redox characteristics of the eukaryotic cytosol.
AB - The eukaryotic cytoplasm has long been regarded as a cellular compartment in
which the reduced state of protein cysteines is largely favored. Under normal
conditions, the cytosolic low-molecular weight redox buffer, comprising primarily
of glutathione, is highly reducing and reactive oxygen species (ROS) and
glutathionylated proteins are maintained at very low levels. In the present
review, recent progress in the understanding of the cytosolic thiol-disulfide
redox metabolism and novel analytical approaches to studying cytosolic redox
properties are discussed. We will focus on the yeast model organism,
Saccharomyces cerevisiae, where the combination of genetic and biochemical
approaches has brought us furthest in understanding the mechanisms underlying
cellular redox regulation. It has been shown in yeast that, in addition to the
enzyme glutathione reductase, other mechanisms may exist for restricting the
cytosolic glutathione redox potential to a relatively narrow interval. Several
mutations in genes involved in cellular redox regulation cause ROS accumulation
but only moderate decreases in the cytosolic glutathione reducing power. The
redox regulation in the cytosol depends not only on multiple cytosolic factors
but also on the redox homeostasis of other compartments like the secretory
pathway and the mitochondria. Possibly, the cytosol is not just a reducing
compartment surrounding organelles with high oxidative activity but also a milieu
for regulation of the redox status of more than one compartment. Although much
has been learned about redox homeostasis and oxidative stress response several
important aspects of the redox regulation in the yeast cytosol are still
unexplained.
PMID- 18039474
TI - Novel long-lasting interferon alpha derivatives designed by glycoengineering.
AB - Human alpha interferons (hIFN-alpha) comprise a family of closely related
proteins that block viral infection, inhibit cell proliferation and modulate cell
differentiation. Recombinant hIFN-alpha2 has proved useful for the treatment of a
variety of human viral diseases and cancers. However, the clinical use of this
cytokine has been restricted due to its short circulating half-life, which makes
frequent dosing over an extended period necessary. To circumvent this problem, a
glycoengineering strategy was carried out using site-directed mutagenesis.
Fourteen mutants were constructed by the insertion of one N-glycosylation
consensus sequence into different positions of the cytokine. Mutations were
focused on amino acid positions that were believed not to be critical for the
protein's structure or function. Taking into account the retained specific in
vitro bioactivity and the higher carbohydrate content, five N-glycosylation
positions were selected to be introduced into the molecule. Successive increases
in molecular weight were observed after each addition of a functional consensus
sequence, resulting in analogs with 4 and 5 N-linked carbohydrates (4N- and 5N
IFN) with increased size and charge, factors that reduce renal clearance of
proteins. Pharmacokinetic experiments showed a similar behavior of 4N- and 5N-IFN
variants, with a 25-fold increase in the elimination half-life and a 20-fold
decrease in the systemic clearance rate compared with the non-glycosylated rhIFN
alpha2 following subcutaneous administration to rats. Besides, both distribution
and elimination half-lives of the 4N analog were longer in comparison with the
non-glycosylated cytokine, determining a 10-fold increase in the area under the
curve after intravenous inoculation. Thus, herein we describe for the first time
heavily glycosylated IFN analogs with a remarkable improvement in pharmacokinetic
properties, which allow us to project drugs that combine less frequency of
administration with enhanced therapeutic efficacy.
PMID- 18039485
TI - Report on the 3rd International FESTEM Symposium on "Recent advances in trace
element research: from experiments to nutritional and clinical applications in
humans", Santiago de Compostela, Spain, May 16-19, 2007.
PMID- 18039475
TI - AH23848 accelerates inducible nitric oxide synthase degradation through
attenuation of cAMP signaling in glomerular mesangial cells.
AB - Excessive release of nitric oxide (NO) by mesangial cells contributes to the
pathogenesis of glomerulonephritis. Prostaglandin E(2) (PGE(2)) produced at
inflammatory sites regulates the release of NO through its downstream signaling.
In glomerular mesangial cells (MES-13 cells), PGE(2) modulated NO production
mainly through EP4 receptor in a cAMP-dependent manner. Lipopolysaccharide and
interferon-gamma (LPS+IFNgamma)-induced NO production, inducible nitric oxide
synthase (iNOS) gene and protein expression were greatly inhibited by AH23848, an
EP4 antagonist. Further investigation indicated that AH23848 attenuated
endogenous cAMP accumulation in MES-13 cells and modulated NO production through
declination of iNOS gene expression and acceleration of iNOS protein degradation.
AH23848 downregulated the iNOS protein in MES-13 cells through protein kinase A
(PKA) since KT5720, a PKA-specific inhibitor, reduced iNOS protein stability. A
short exposure of activated MES-13 cells to okadaic acid augmented iNOS activity.
AH23848 and KT5720 attenuated serine/threonine phosphorylation of iNOS protein in
LPS + IFNgamma-stimulated MES-13 cells. The results of this study led us to
speculate that cAMP might regulate iNOS-stimulated NO synthesis through
posttranslational mechanisms. Attenuation of cAMP signaling and the
phosphorylation status of the iNOS protein may account for the effect of AH23848
in accelerating iNOS protein degradation in MES-13 cells.
PMID- 18039486
TI - Manganese species from human serum, cerebrospinal fluid analyzed by size
exclusion chromatography-, capillary electrophoresis coupled to inductively
coupled plasma mass spectrometry.
AB - Manganese (Mn) at high concentrations can have adverse effects on health, mainly
because of its toxicity to the central nervous system. Health impacts of Mn are
known mostly from occupational health studies, but the exact mechanisms how Mn,
being bound to transferrin (TF) in the blood, enters the brain--are unknown. Mn
speciation at the neural barriers can help to obtain more information about the
pathways and carriers. This paper summarizes investigations on the size
distribution of Mn carriers (e.g. proteins, peptides, carbonic acids) in serum
before the neural barriers and in cerebrospinal fluid (CSF) behind them as a
first characterization step of the Mn carriers being involved in moving Mn across
the neural barriers. Further identification of Mn-species in CSF was successfully
achieved by CZE-inductively coupled plasma (ICP)-dynamic reaction cell (DRC)-mass
spectrometry (MS). Serum samples showed Mn mean concentrations of 1.7+/-0.8
microg L(-1). The size distribution of Mn-carriers showed a main peak in the
TF/albumin size fitting to the known physiological ligands. However, also an
increasing Mn peak at 700 Da with increasing total Mn concentration was seen.
Samples of CSF showed Mn mean concentrations of 2.6 microg L(-1)=48 nM. In CSF Mn
was found to be mostly bound to low-molecular-mass (LMM)-Mn carriers in the range
of 640-680 Da. This is similar to the LMM compound in serum and to Mn-citrate
complexes suggested to be present in body fluids. Citrate concentration was 573
microM, thus being in huge excess compared to Mn. CSF was further analyzed by CZE
ICP-DRC-MS. Several Mn-species were monitored and mostly identified. The most
abundant Mn-species was Mn-citrate at a concentration of around 0.7 microg Mn L(
1).
PMID- 18039487
TI - Determination of iodine in human milk and infant formulas.
AB - The aim of this study was to develop a method to determine iodine in human milk
and infant formulas using ICP-MS. The milk samples were digested using an
alkaline digestion (5% NH(3), 45 W, 2 min and 30s), and the method was validated
using a certified reference material (CRM) BCR CRM151. On the other hand the milk
was separated in three fractions, whey, fat and caseins using ultracentrifugation
(15 min, 4 degrees C, 50,000 rpm) and the iodine was determined in the different
fractions. About 27 samples of different infant formulas and 14 samples of human
milk have been studied. In the human milk the values found were between 144+/
93.2 microg kg(-1), whereas in the infant formulas the values were 53.3+/-19.5.
For both types of samples the bigger amount of iodine is in the whey fraction,
between 80% and 90%, whereas in the fat there is about a 2% of the total iodine
and in the casein fraction the levels are between 5% and 10% depending on the
type of sample.
PMID- 18039488
TI - Optimization of the trace element determination by ICP-MS in human blood serum.
AB - The ICP-MS for simultaneous trace element determination in human blood has
prevailed as the most suitable methodology for clinical aims because of its
rapidity, detection limits and minimal sample quantity needed for analysis. As
the proteic matrix is high, it is necessary to fine-tune the ICP-MS Agilent 7500i
with autosampler CETAC ASX-500 and ISIS System connected, and further we have to
the sample pre-treatment in order to obtain good results. The study of the
results shows that the best pre-treatment for blood serum samples consists of a
basic treatment by 1/10 dilution with a solution of EDTA and NH(4)OH, with a
detection limit of the order of mug/L and a reduction of the necessary patient
sample volume to 2 mL.
PMID- 18039489
TI - New ways in qualitative and quantitative protein analysis: nano chromatography
coupled to element mass spectrometry.
AB - The potential of inductively coupled plasma-mass spectrometry (ICP-MS), which
allows element-specific detection of heteroelements (e.g. Se and S) incorporated
in protein structures, is highlighted for sensitive qualitative and quantitative
protein analysis. ICP-MS coupled to separation techniques such as size exclusion
chromatography and gel electrophoresis (via laser ablation) can be employed at
different steps in the proteomic workflow. Special emphasis is made on the
couplings of capillary and nanoHPLC to ICP-MS that required the development of
dedicated interfaces. Element-specific peptide mapping by nanoHPLC-ICP-MS has
turned out to be a key technique in combination with peptide sequencing via
nanoHPLC-electrospray MS. This could impressively be demonstrated for the
identification of selenium-containing proteins in selenium-rich yeast.
Furthermore the potential of sulfur isotope dilution analysis in nanoHPLC-ICP-MS
is presented as generic tool for highly accurate, absolute protein
quantification.
PMID- 18039490
TI - Separation and determination of Se-compounds by liquid chromatography coupled
with electrospray mass spectrometry.
AB - A method for Selenocystine and Selenomethionine determination by LC-ES-MS was
developed in this work. The mass spectrometer was used in a positive mode and the
m/z used for the identification of Selenomethionine and Selenocystine were 198.35
and 337.15, respectively. The selenium species were separated using a LC system.
A silica chromatographic column (ZORBAX Eclipse XDB-C(8) of 50 mm length and 2.1
mm internal diameter (particle size 3.5 microm)) was used. The separation was
realised in isocratic mode, using methanol:water (1:1) with 1% of acetic acid and
a flow rate of 200 microL min(-1). The developed method was precise (RSD of 4.5%
and 3.9% for Selenomethionine and Selenocystine, respectively) and sensible
(limit of detection (LOD) 0.06 and 0.99 mg L(-1) for selenomethionine and
selenocystine, respectively).
PMID- 18039491
TI - Validation of determination of lead (Pb) in blood by electrothermal atomic
absorption spectrometry (ETAAS) on the basis of interlaboratory comparison data.
AB - ISO 15189 standard establishes a requirement to periodically revalidate
analytical methods for the determination of trace elements like Pb in blood, as
conditions change and technical advances are made. The aim of this study was to
revalidate an electrothermal atomic absorption spectrometry (ETAAS) method for
determination of Pb in blood over the microrange 25-35 microg/dL, on the basis of
historical results of interlaboratory comparison programmes. Precision and
inaccuracy were estimated by analysis of records of an external quality control
programme for Pb (PICC-PbS). The precision and inaccuracy values obtained were
both less than 5%, highly satisfactory in view of the validation requirement that
precision and inaccuracy be less than 10%. These findings demonstrate the
effectiveness of this new validation methodology, which does not require any
disruption of the laboratory's routine activity, and which can be used even if
the method in question has not been validated previously at that laboratory.
PMID- 18039492
TI - Electrothermal atomic absorption spectrometry determination of aluminium in
parenteral nutrition and its components.
AB - Aluminium concentration in samples of total parenteral nutrition solutions and
samples of their individual components were analysed to know the exposure to this
element. The median aluminium content obtained for the total parenteral nutrition
solutions was 105.7 microg/L; for their individual components, 10% calcium
gluconate and 1M monopotasic phosphate were the most contaminated, as well as the
1M sodium bicarbonate. The great variability found in the aluminium content of
solutions suggests that contamination occurs during the manufacturing process.
PMID- 18039493
TI - Analysis of brain regional distribution of aluminium in rats via oral and
intraperitoneal administration.
AB - In the present work, accumulation and distribution of aluminium in the rat brain
following both intraperitoneal and oral administration were studied.
Electrothermal atomic absorption spectrometry was used to determine aluminium
concentration in different brain areas (cerebellum, ventral midbrain, cortex,
hippocampus, and striatum). Most of the brain areas showed accumulation of
aluminium, but a greater and more significant increase was noted in the group
receiving aluminium via intraperitoneal administration. Aluminium distribution
was also dependent on the administration route.
PMID- 18039494
TI - Metallothionein functions and structural characteristics.
AB - Metallothioneins (MTs) are low molecular weight proteins characterized by a high
cysteine content and give rise to metal-thiolate clusters. Most MTs have two
metal clusters containing three and four bivalent metal ions, respectively. The
MT gene family in mammals consists of four subfamilies designated MT-1 through MT
4. MT-3 is expressed predominantly in brain and MT-4 in differentiating
stratified squamous epithelial cells. Many reports have addressed MT structure
and function, but despite the increasing experimental data several topics remain
to be clarified, and the true function of this elusive protein has yet to be
disclosed. Owing to their induction by a variety of stimuli, MTs are considered
valid biomarkers in medicine and environmental studies. Here, we will discuss
only a few topics taken from the latest literature. Special emphasis will be
placed on MT antioxidant functions, the related oxidation of cysteines, which can
give rise to intra/intermolecular bridges, and the relations between MTs and
diseases which could be originated by metal dysregulation.
PMID- 18039495
TI - Trace elements in growth: iodine and selenium status of Turkish children.
AB - Starting from the fetal life and increasing the need throughout the childhood,
adequate intake of micronutrients is of great importance for the proper
development of structure and function of the body, and the well being. However,
micronutrient deficiency is widespread in many populations of the world mainly
due to nutritional insufficiencies and/or inadequate and unbalanced nutrition.
While iodine and selenium (Se) are inadequately available for men and live stock
in many parts of the world, Turkey is one of those countries where iodine
deficiency (ID) is widespread, Se levels are marginal. In this communication,
importance of adequate iodine and Se nutrition and status of Turkish children is
summarized.
PMID- 18039496
TI - Trace element requirements in critically ill burned patients.
AB - Critically ill burned patients are characterized by a strong oxidative stress, an
intense inflammatory response, and months-long hypermetabolism, all of which are
proportional to the severity of injury. Trace element (TE) deficiencies have
repeatedly been described. The clinical course is complicated by organ failures,
infections, and delayed wound healing, which can be partly attributed to TE
deficiencies. Among critically ill patients, TE deficiencies are the most severe
in major burns, who suffer a specific copper deficiency. Plasma TE concentrations
are low during any critical illness, as a result of TE losses in biological
fluids, low intakes, dilution by fluid resuscitation, and redistribution from
plasma to tissues mediated by the inflammatory response. The large exudative
losses cause negative TE balances. Intravenous supplementation trials show that
early substitution improves recovery, reduces infectious complications
(particularly nosocomial pneumonia), normalize thyroid function, normalize skin
tissue levels, improve wound healing and shorten hospital stay. Nevertheless,
prolonged high dose delivery may be deleterious, as TE have potential for
toxicity. In major burns, supplements up to 4 mg of Cu/day, 500 mcg [DOSAGE ERROR
CORRECTED] Se/day and 40 mg Zn/day for 3 weeks have been found to be safe and
effective. The intravenous route appears the only way to deliver the doses
required to achieve antioxidant and clinical effects. Further research is
required to determine the optimal combination and doses for different severities
of injury.
PMID- 18039497
TI - Updating of normal levels of copper, zinc and selenium in serum of pregnant
women.
AB - Selenium, copper and zinc status is important in pregnant women. The aim of this
study was to establish updated normal ranges for these elements in serum of
pregnant women from the Spanish region of Aragon, and to study variation in
levels with respect to gestational period and maternal age. The study group
consisted of 159 pregnant women who did not suffer from serious pathologies.
These samples were classified into four gestational-period groups. Zn and Cu
determinations were obtained by flame atomic absorption spectroscopy in a Perkin
Elmer 1100B apparatus, and Se was determined by electrothermal atomic absorption
spectrometry with Zeeman correction, in a Perkin-Elmer 4110 ZL apparatus. The
concentrations of Cu, Zn and Se averaged 73.61+/-43.67 microg/dL, 65.37+/-12.87
microg/dL and 99.59+/-21.74 microg/L, respectively. The Cu/Zn ratio increased
from first trimester to the third trimester (2.07-3.49). There was no significant
correlation between Zn and Se levels, but a significant correlation was found
between Cu and Se levels (p<0.05) and between Cu and Zn levels (p<0.001). Serum
Zn and Se levels decreased over gestation, while serum Cu concentrations
increased; in all cases the variation occurred mostly in the first 3 or 4 months,
with mean levels then remaining fairly stable until the end of pregnancy.
Maternal age did not influence levels of any of the three metals.
PMID- 18039498
TI - Effects of angiotensin-converting enzyme inhibitors (ACE i) on zinc metabolism in
patients with heart failure.
AB - The aim of this study is to investigate the effects of angiotensin-converting
enzyme (ACE) inhibitors and furosemide on zinc metabolism by assessing serum zinc
and urine levels in hospitalized subjects. We recruited 11 patients with heart
failure from the Internal Medicine Department; these patients had been
hospitalized less than 72 h before. Heart failure was defined using clinical and
radiological signs. Serum zinc concentrations were measured using an
air/acetylene flame atomic absorption spectrophotometer. Urine zinc levels were
analyzed by inductively coupled plasma mass spectrometry (ICP-MS). Data were
obtained from the 11 patients and 24 healthy controls matched for age and sex.
Results indicate higher urine zinc levels and lower concentrations of zinc in
serum in heart failure patients vs matched controls (p<0.05). This study suggests
that treating heart failure patients with ACE inhibitors may result in zinc
deficiency.
PMID- 18039499
TI - Bioelement effects on thyroid gland in children living in iodine-adequate
territory.
AB - Endemic goitre is a primary pathology of thyroid gland and critical medico social
problem in many countries. A dominant cause of endemic goitre is iodine
deficiency. However, besides primary iodine deficiency, the goitre may probably
develop due to effects of other bioelement imbalances, essential to thyroid
function maintenance. Here we studied 44 cases of endemic goitre in prepubertal
children (7-10 y.o.) living in iodine-adequate territory. Thyroid volume was
estimated by ultrasonometry. Main bioelements (Al, Ca, Cd, Co, Cr, Cu, Fe, Hg, I,
Mg, Mn, Pb, Se, Si, Zn) were determined in hair samples by ICP-OES/ICP-MS method.
Relationships between hair content of bioelements and thyroid gland size were
estimated by multiple regressions. The regression model revealed significant
positive relations between thyroid volume and Cr, Si, Mn contents. However, the
actual factor of thyroid gland increase was only Si excess in organism.
Significant negative relations of thyroid volume were revealed with I, Mg, Zn,
Se, Co and Cd. In spite of this, the actual factors of thyroid gland volume
increasing were I, Co, Mg and Se deficiency. Total bioelement contribution in
thyroid impairment was estimated as 24%. Thus, it was suggested that endemic
goitre in iodine-adequate territory can be formed by bioelement imbalances,
namely Si excess and Co, Mg, Se shortage as well as endogenous I deficiency in
spite of iodine-adequate environment.
PMID- 18039500
TI - Hair trace element contents in women with obesity and type 2 diabetes.
AB - During 2003-2006 totally 1470 women 46-60 y.o., living mainly in Moscow city and
Moscow region, were observed. There were three groups of women: obese, diabetic
and practically healthy. The anthropometric and clinical data were compared with
elemental status, estimated by atomic emission and mass spectroscopy with
inductively coupled plasma (ICP-AES/ICP-MS) analyses of occipital scalp hair. The
obesity in women was corresponding to elevated hair K, Hg, Pb and decreased Ca,
Mg, Zn, I. The type 2 diabetes was corresponding to elevated hair K, Na, Hg and
decreased Ca, Mg, Zn, Co. So, the obtained data demonstrate the very similar
changes in hair elemental content in both obese and diabetic women, thus
suggesting the general pathophysiological mechanisms of metabolic mineral
disturbances.
PMID- 18039501
TI - Effects of high doses of selenium, as sodium selenite, in septic shock patients a
placebo-controlled, randomized, double-blind, multi-center phase II study-
selenium and sepsis.
AB - Selenium has a double action. (i) Seleno-compounds, among them sodium selenite
have a direct pro-oxidant action leading to acute toxicity but may be also
beneficial as drug. (ii) Selenium is an essential anti-oxidant required for anti
oxidant seleno-enzymes. Septic shock is a common severe syndrome leading to
endothelium damage and multiple organ failure, with increased data suggesting the
principle role of oxidative stress. Selenoprotein P, main selenium constituent of
the plasma, may decrease dramatically and specifically in septic shock patients
and may be involved in the endothelium protection. A prospective, multi-center
placebo-controlled, randomized, double-blind study in severe septic shock
patients with documented infection has been preformed. Patients received, for 10
days, selenium as sodium selenite (4000 microg on the first day, 1000 microg/day
on the 9 following days) or matching placebo using continuous intravenous
infusion. Mortality rates did not significantly differ between groups at any time
point. Adverse events rates were similar in the two groups. However, high-dose
selenium administration has been associated with a tendency to decrease the
mortality in septic shock animal and patients, especially when using a bolus
administration, whereas studies using a continuous administration failed to find
any benefit on mortality. The interest of the successive use of pro-oxidant
action of seleno-compounds, followed by anti-oxidant action need to be the
further studied in cellular and animal models, preceding new dose-effect phase
II. The interest of the selenoprotein-P as a marker of septic shock and for
endothelium protection needs also to be studied further.
PMID- 18039502
TI - Relationships between selenium, lipids, iron status and hormonal therapy in women
of the SU.VI.M.AX cohort.
AB - Significant differences in serum selenium concentration according to
contraceptive treatment and age have been evidenced in women of the SU.VI.M.AX
cohort. This study aimed at verifying the physiopathological hypothesis that the
observed increase in serum selenium concentration could be related to serum lipid
increase and/or bleeding decrease. Women were divided into six groups: menopausal
with or without hormonal replacement therapy; non-menopausal using contraceptive
pills; intrauterine device; other contraceptive treatment or no contraceptive
treatment. Adjusted linear regression indicated positive associations between
selenium and apolipoprotein A1 (r(2) from 0.038 to 0.074, p<0.07 depending on
groups) or ferritin in serum (r(2) from 0.032 to 0.075, p<0.07 depending on
groups). These relationships could explain the differences observed according to
hormonal treatment and age in the SU.VI.MAX study.
PMID- 18039503
TI - Trace element contents in serum of healthy elderly population of metropolitan Sao
Paulo area in Brazil.
AB - In this study, the elements Br, Ca, Cl, Fe, Na, Rb, Se and Zn were determined in
serum of a healthy elderly population residing in the Sao Paulo Metropolitan
area, using instrumental neutron activation analysis. Comparison studies
indicated that only Se concentration was significantly lower in the elderly group
aged 75-91 years than those obtained for the group aged 60-74 years. Between
genders, males presented lower Br concentrations and, the females lower Fe. Mean
concentrations obtained for most elements were within the values reported in the
literature.
PMID- 18039504
TI - The sister chromatide exchange rate is inversely related to the Se, Sr, Mn, Cu,
and Be trace element nutritional status.
AB - We studied the relationship of the 39 whole blood trace elements and the
peripheral lymphocyte sister chromatide exchange (SCE) by factor analysis. Se,
Sr, Mn, Cu, and Be were inversely related to SCE, and hence protective against
the free radicals.
PMID- 18039505
TI - Definitions and principles for bioindication and biomonitoring of trace metals in
the environment.
AB - Clear-cut definitions are given for most terms used in monitoring studies. In
these studies the observation or experimental investigation of living organisms
give a qualitative or quantitative information on the state of the environment
with special reference to trace metals. The focus and future goals of
biogeochemical research must consider the direct effects on human health, by
including modelling of active biogeochemical processes than they have done so
far. Newly developed strategies as the multi-markered bioindication concept
(MMBC) with its functional and integrated windows on prophylactic healthcare are
essential tools for successfully observing the environment with respect to trace
metals. An intensified training of students and a strong hand in hand work
between industrial, educational and public institutions is necessary.
PMID- 18039506
TI - Physiologically based extraction of heavy metals in compost: preliminary results.
AB - A physiologically based extraction test (PBET) was run for the extraction of six
metals (Cu, Zn, Cd, Cr, Ni and Pb) in four composts containing high
concentrations of heavy metals. An aqueous solution of pepsin plus citric,
acetic, and malic acids buffered to pH 2 was used to simulate the gastric
mixture, and an extraction of 1h at 37 degrees C was run with a solid:liquid
ratio of 1:100. The results were compared to those obtained using water and
CaCl(2)-DTPA solution. The PBET extracted far more metals than water, but less
than CaCl(2)-DTPA for Cu, Pb and Cr, while giving similar or slightly lower
results for Cd, Zn, and Ni.
PMID- 18039507
TI - Reflections on safety in perianesthesia settings.
PMID- 18039508
TI - An Introduction to the ASPAN Position Statement on Perianesthesia Safety.
PMID- 18039509
TI - Development and initial testing of the perianesthesia safe practices instrument:
an ASPAN pilot study.
AB - The perianesthesia environment of care is a unique high-risk health care setting
that has a high susceptibility to error because of the vulnerability of patients
who are undergoing surgery and anesthesia as well as the high levels of activity
in these units. Safe practice in this environment is essential to quality patient
care and positive patient outcomes. Consequently, ASPAN conducted a descriptive
cross-sectional pilot study to test initial reliability and validity of the
Perianesthesia Safe Practices Survey Instrument. The instrument was designed to
assess specific safe practices in perianesthesia areas by identifying the
following: (1) what are the current perianesthesia safety practices, (2) what are
the recommended safety practices that are not in place, and (3) what are the
differences in safety practices within areas along the perianesthesia continuum
of care. Thirty-six respondents found the instrument to be clear, easy to
complete, and containing appropriate content. The alpha coefficients for internal
consistency for the unit specific components were preadmission testing (.79), pre
op (.94), Phase I PACU recovery (.92), and Phase II PACU recovery (.90). The
results of this pilot study provided information about areas of excellence and
areas for improvement for safe clinical practice in the specialty setting, as
well as initial reliability and validity for the safe practices questionnaire.
PMID- 18039510
TI - Patient safety: perianesthesia nursing's essential role in safe practice.
AB - A safety culture that promotes best practices and best outcomes is important in
today's healthcare environment. The perianesthesia environment of care is
constantly challenged with the introduction of new technologies, improved
medications, and advances in surgical and nonsurgical procedures. This practice
is also marked by fast turnover, increasing volume, and, often, high-acuity
patients. The integration of principles of safety and evidence-based principles
is a core value of perianesthesia practice. The American Society of
PeriAnesthesia Nurses (ASPAN) is committed to providing the foundation to support
a culture of perianesthesia safety. This article will discuss the development of
the ASPAN Safety Model by the ASPAN Safety Committee.
PMID- 18039511
TI - Safe staffing for the post anesthesia care unit: weighing the evidence and
identifying the gaps.
AB - Postanesthesia nursing care and standards are continually evolving. ASPAN has the
professional responsibility to develop standards of nursing practice to promote a
safe environment of care. Currently, ASPAN's recommended staffing ratios are
based on the best available evidence: expert opinion and consensus. Our Society
believes that these nurse-to-patient ratios have served to provide safe, quality
patient care. In 2006, the ASPAN Safe Staffing Strategic Work Team was charged
with conducting a national PACU Safe Staffing Evidence-Based Practice (EBP)
project. The purpose of this EBP staffing project was to search the scientific
staffing evidence in an attempt to validate ASPAN's staffing ratios. This expert
panel critically weighed the nursing evidence on staffing ratios, workload
intensity, patient acuity, nursing-sensitive outcomes, and nursing-sensitive
indicators, including appropriate critical care studies because of the scarce
number of postanesthesia studies. The outcome of this dynamic initiative revealed
the need to develop nursing-sensitive perianesthesia indicators that can provide
patient outcomes used to assess the effectiveness of staffing ratios. Finally,
research gaps were identified and the next steps in the generation of knowledge
needed to build safe staffing evidence were identified in ASPAN's Strategic
Research Staffing Plan.
PMID- 18039512
TI - Factors affecting incident reporting by registered nurses: the relationship of
perceptions of the environment for reporting errors, knowledge of the nursing
practice act, and demographics on intent to report errors.
AB - Patient safety has assumed an international focus. In the past, the focus on
detecting and preventing errors was up to the individual clinician, often the
registered nurse. With impetus from the Institute of Medicine and other national
agencies, a shift to emphasis on systems and processes and near miss and error
reporting has occurred. Information from caregiver reporting has taken on new
importance. This study was conducted to explore nurses' willingness to report
errors of varying degrees of severity and the factors that impacted that intent.
Registered nurses were selected randomly from the Texas Board of Nurse Examiners'
roster and surveyed regarding perceptions of the environment for reporting,
perceptions of reasons for not reporting, knowledge of the nursing practice act,
and demographic variables. A majority of nurses were willing to report all levels
of errors. Primary position, reasons for not reporting, and years since initial
licensure were predictors of intent to report incidents with no injury and those
with minimal injury. All but four nurses (99%) indicated that they would report
incidents resulting in moderate to severe injury or death.
PMID- 18039513
TI - Medication errors in the PACU.
AB - A collaborative research group examined seven years of PACU medication errors
from the MEDMARX database. Descriptive statistics showed a comparison of
medication errors in all ages from pediatric to adult to geriatric groups. Nine
categories of medication errors were noted and a total of 3,023 errors were
attributed to errors in prescribing, transcribing, dispensing, administering, and
monitoring. Harmful errors were present in 5.8% of the sample, which included two
patient deaths. Results indicated that errors can occur in any age group.
Organizations and institutions should be aware of these occurrences to ensure
vigilance at all times and to focus efforts toward avoiding or decreasing such
errors. Patient safety and error prevention recommendations are provided.
PMID- 18039514
TI - Keeping our nurses and patients safe.
PMID- 18039515
TI - In the beginning...the origin and evolution of ASPAN's Perianesthesia Data
Elements.
PMID- 18039516
TI - Fatigue: when the "little engine that could" just can't anymore!
PMID- 18039517
TI - High-alert medications in the perioperative setting.
PMID- 18039518
TI - The Joint Commission's Speak Up Initiative.
PMID- 18039519
TI - Patient safety: nursing priority.
PMID- 18039520
TI - Improvement but no cure of left ventricular systolic dysfunction in treated heart
failure patients.
AB - BACKGROUND: Recent advances in pharmacological and pacemaker-based treatments for
heart failure (HF) have brought about significant improvements in left
ventricular function. AIMS: To identify the proportion of treated systolic HF
patients in whom left ventricular systolic function improves and/or returns to
normal. METHODS: This was a retrospective analysis of 221 HF patients.
Improvement in left ventricular function was defined as an improvement in
ejection fraction (LVEF) of > or =10% on echocardiography. Return to normal was
defined as an improvement of LVEF to > or =50% and a reduction in left
ventricular end diastolic diameter to < or =55 mm. Changes in BNP were also
recorded. RESULTS: Improvement in LVEF was observed in 44.3% of patients and
return to normal systolic function in 10.9%, only 2.3% had both a return to
normal echocardiographic parameters and a BNP<100 pg/ml. A higher percentage of
the improved group were on target doses of beta-blockers (p=0.004). Baseline BNP
was not a predictor of improvement. There was a trend towards a reduction in HF
readmissions in the improved group (p=0.07) but no difference in the risk of
death or all-cause readmission. CONCLUSION: While a substantial proportion of
treated HF patients have an improvement in left ventricular function over time,
only a small proportion return to normal dimensions and LVEF, underlining the
permanent nature of ventricular damage in the vast majority of patients.
PMID- 18039521
TI - A journey with Tony Hugli up the inflammatory cascade towards the auto-digestion
hypothesis.
AB - My association with Tony Hugli, long-term editor of Immunopharmacology and
International Immunopharmacology, came about by a specific and long-standing
problem in inflammation research. What is the trigger mechanism of inflammation
in physiological shock? This is an important clinical problem due to the high
mortality associated with physiological shock. We joined forces in the search of
the answer to this question for more than a decade. Our journey eventually led to
development of the hypothesis that shock may be associated with pancreatic
enzymes, a set of powerful digestive enzymes that are an integral part of human
digestion. The digestive enzymes need to be compartmentalized in the lumen of the
intestine where they break down a broad spectrum of biological molecules into
their building blocks, suitable for molecular transport across the mucosal
epithelium into the circulation. The mucosal epithelial barrier is the key
element for compartmentalization of the digestive enzymes. But under conditions
when the mucosal barrier is compromised, the fully activated digestive enzymes in
the lumen of the intestine are transported into the wall of the intestine,
starting an auto-digestion process. In the process several classes of mediators
are generated that by themselves have inflammatory activity and upon entry into
the central circulation generate the hallmarks of inflammation and eventually
cause multi-organ failure. Thus, our journey led to a new hypothesis, which is
potentially of fundamental importance for death by multi-organ failure. The auto
digestion hypothesis is in line with the century old observation that the
intestine plays a special role on shock - indeed it is the organ for digestion.
Auto-digestion may be the prize to pay for life-long nutrition.
PMID- 18039522
TI - Comparative study to elucidate the mechanism underlying the difference in airway
hyperresponsiveness between two mouse strains.
AB - The mechanism underlying airway hyperresponsiveness (AHR), a characteristic
feature of asthma, remains obscure. We attempted to elucidate the mechanism
responsible for the different degrees of AHR in two mouse strains, BALB/c and
C57BL/6, following exposure to an anaphylactic trigger. When ovalbumin (OVA)
sensitized mice were challenged daily with OVA for up to three consecutive days,
the BALB/c mice showed a higher degree of airway responsiveness to methacholine
than did C57BL/6. Following the OVA challenge, eosinophils and macrophages in
bronchoalveolar lavage fluid (BALF) from BALB/c increased significantly in number
compared to those from C57BL/6. BALB/c mice also exhibited a higher serum IgE
level than that of C57BL/6 after OVA challenge. The enhanced AHR and eosinophilic
infiltration in BALF were significantly reduced by pretreatment with a selective
cysteinyl-leukotriene type 1 receptor (cysLT(1)R) antagonist, montelukast. In the
in vitro study, cysLT production was significantly lower in the dissected lung
tissue from BALB/c than in tissue from C57BL/6 when both groups were stimulated
with saline. The lungs from BALB/c generated significantly larger amounts of
cysLTs on incubation with OVA rather than with saline, while the lungs from
C57BL/6 did not show any significant increase in cysLTs with antigen stimulation.
Significant upregulation of cysLT(1)R and cysLT(2)R mRNA expression was induced
by OVA challenge in the lungs of BALB/c, but not in those of C57BL/6. It is
suggested that, after an anaphylactic reaction, the degree of AHR is dependent on
the genetic background and that cysLTs play an important role in the mechanism
involved.
PMID- 18039523
TI - Comparison of kinin B(1) and B(2) receptor expression in neutrophils of asthmatic
and non-asthmatic subjects.
AB - Kinins have been implicated in the pathophysiology of asthma and activation of
kinin receptors stimulates neutrophil chemotaxis. However, the expression of
kinin receptors on neutrophils of asthmatic subjects has not been assessed. The
aim of this study was to compare the expression of kinin B(1) and B(2) receptor
mRNA and proteins in neutrophils of asthmatic and non-asthmatic subjects, and to
assess whether inhaled corticosteroid treatment may influence expression of the
kinin receptors. Neutrophils were isolated from peripheral blood of asthmatic
(n=27) and non-asthmatic subjects (n=14). The presence of kinin B(1) and B(2)
receptor protein on neutrophils was confirmed by immunolabeling with specific
antibodies followed by immunoperoxidase, immunofluorescence and FACS detection.
Kinin B(1) and B(2) receptor mRNA expression was assessed by RT-PCR. Quantitative
image analysis of fluorescence immunolabeled neutrophils showed no differences in
kinin B(1) or B(2) receptor protein expression between asthmatic and non
asthmatic subjects. Similarly, quantitative real time RT-PCR analysis
demonstrated no differences in expression of mRNA for the kinin B(1) or B(2)
receptors between asthmatic and non-asthmatic subjects. However, B(1) receptor
mRNA expression was significantly lower in asthmatic subjects using > or =2000
microg of inhaled corticosteroid per day (p<0.05) and B(1) receptor protein
levels also tended to be lower in these subjects. Corticosteroids may have a
beneficial anti-inflammatory effect in asthma by down-regulating B(1) receptor
expression on neutrophils, thereby decreasing the migration of these inflammatory
cells into the airways.
PMID- 18039524
TI - Trapping of adrenergic decongestant drugs into cellular endomembrane
compartments: toxicological and pharmacological consequences.
AB - Rhinitis of allergic and viral origin is often self-treated by patients with
locally applied vasoconstrictor decongestant drugs. In turn, prolonged use of
these agents produce an inflammatory condition termed rhinitis medicamentosa.
Cationic drugs are sequestered into cells via various mechanisms, including
mitochondrial concentration and V-ATPase-driven trapping in vacuoles that swell
by an osmotic mechanism. We hypothesized that receptor-independent endomembrane
sequestration of topically applied concentrated alpha-adrenoceptor agonists
(decongestants, mydriatics) could contribute to their toxicity and prolonged
duration of action. The morphological and functional effects of phenylephrine and
xylometazoline on rabbit aortic smooth muscle cells were examined and their
possible sequestration evaluated using the contractility of rabbit aorta rings.
Synthetic agonists produced V-ATPase-dependent cell vacuolization (prevented by
bafilomycin A1; xylometazoline 250 microM, phenylephrine 2.5 mM). V-ATPase
mediated cytotoxicity was slow (24 h; phenylephrine only, 5-10 mM); a rapid
xylometazoline-induced cytotoxicity (> or =500 microM, 4 h) correlated to
mitochondrial functional alterations. Xylometazoline had slower contraction and
relaxation kinetics than the other alpha-adrenoceptor agonists in the aorta;
bafilomycin pre-treatment influenced its kinetics (accelerated contraction and
relaxation) and concentration-effect relationship (potentiation). V-ATPase-driven
sequestration contributed to a component of the tissue reservoir of both
phenylephrine and xylometazoline as assessed by aortic rings contracted with the
concentrated agonists and subsequently washed. Phenylephrine and xylometazoline
caused the V-ATPase-dependent cytopathology at a fraction of the usual topical
concentrations; this form of sequestration influenced the toxicity and
pharmacology of individual agents.
PMID- 18039525
TI - Double-stranded RNA increases kinin B1 receptor expression and function in human
airway epithelial cells.
AB - Increased levels of kinins have been detected within the airways during upper
respiratory viral infections (URIs). Rhinovirus, the major URI associated with
acute exacerbations of asthma, is an ssRNA virus that primarily infects the
airway epithelium and produces dsRNA during replication. We asked whether dsRNA
could increase the expression of kinin receptors in airway epithelial cells,
thereby potentiating the inflammatory consequences of kinin generation. Human
airway epithelial cell line BEAS-2B was stimulated with the dsRNA analog Poly I:C
and kinin receptor expression detected by quantitative RT-PCR as well as
radioligand binding. Poly I:C induced an increase in B1 and B2 receptor mRNA
levels in BEAS-2B and primary human normal bronchial epithelial cells. At the
cell surface, only B1 receptor expression was increased by Poly I:C. Furthermore,
pretreatment of BEAS-2B cells with Poly I:C enhanced the induction of phospho-ERK
following B1 receptor ligand stimulation. To investigate whether these finding
had potential in vivo relevance, we assessed B1 receptor expression in nasal
tissue obtained from 8 normal human subjects with URIs and 3 control subjects.
Five of the URI subjects demonstrated increased B1 receptor mRNA compared to the
3 control subjects. We suggest that increased expression of B1 receptor in the
human airway following a URI could increase the risk of an exacerbation of asthma
by contributing to increased inflammation in the airway.
PMID- 18039526
TI - Structure and function of human plasma carboxypeptidase N, the anaphylatoxin
inactivator.
AB - Human carboxypeptidase N (CPN) was discovered in the early 1960s as a plasma
enzyme that inactivates bradykinin and was identified 8 years later as the major
"anaphylatoxin inactivator" of blood. CPN plays an important role in protecting
the body from excessive buildup of potentially deleterious peptides that normally
act as local autocrine or paracrine hormones. This review summarizes the
structure, enzymatic properties and function of this important human enzyme,
including insights gained by the recent elucidation of the crystal structure of
the CPN catalytic subunit and structural modeling of the non-catalytic regulatory
83 kDa subunit. We also discuss its physiological role in cleaving substrates
such as kinins, anaphylatoxins, creatine kinase, plasminogen receptors,
hemoglobin and stromal cell-derived factor-1alpha (SDF-1alpha).
PMID- 18039527
TI - Active monomers of human beta-tryptase have expanded substrate specificities.
AB - beta-Tryptase, a product of the TPSAB1 and TPSB2 genes, is a trypsin-like serine
protease that is a major and selective component of the secretory granules of all
human mast cells, accounting for as much as 25% of cell protein. Once mast cells
are activated, beta-tryptase is released along with histamine and heparin
proteoglycan. beta-Tryptase is a unique enzyme with a homotetrameric structure in
which active sites face into the central cavity of the four monomers, stabilized
by heparin-proteoglycan. This structure makes beta-tryptase resistant to most
biological inhibitors of serine proteases. Without stabilization, at neutral pH
beta-tryptase converts to inactive monomers. Tryptase levels are elevated in
bronchoalveolar lavage (BAL) fluid obtained from atopic asthmatics and in serum
during systemic anaphylactic shock. Several synthetic small molecular weight beta
tryptase inhibitors reduced Ag-induced airway hypersensitivity in animals,
suggesting that beta-tryptase is involved in the pathogenesis of airway
inflammation. Although the major biologic substrate(s) of beta-tryptase remain
ambiguous, the protease can digest several proteins of potential biologic
importance, including fibrinogen, fibronectin, pro-urokinase, pro-matrix
metalloprotease-3 (proMMP-3), protease activated receptor-2 (PAR2) and complement
component C3. Recently, monomers of beta-tryptase with enzymatic activity have
been detected in vitro. Here we discuss how beta-tryptase monomers with enzymatic
activity were identified as well as their potential role in vivo.
PMID- 18039528
TI - The role of the complement anaphylatoxins in the recruitment of eosinophils.
AB - Eosinophils are blood and tissue immune cells that participate in a diverse range
of activities normally beneficial for the host defense, but in circumstances of
untoward inflammatory conditions these cells can be responsible for pathological
responses. Accordingly the transit of eosinophils from the blood to tissues is a
subject of considerable importance in immunology. In this article we review how
the complement anaphylatoxins, C3a and C5a bring about eosinophil extravasation.
These mediators do not merely provide a chemotactic or haptotactic gradient but
are responsible for orchestrating innumerable responses by other cells types,
including of endothelial cells, mast cells, and basophils in order to create an
environment that is conducive for eosinophil infiltration. C5a has the capacity
to prime the endothelium directly to present P-selectin, and C5a stimulated
generation of eosinophil hydrogen peroxide and other oxidants can cause
additional upregulation of endothelial P-selectin and ICAM-1. Moreover, the
anaphylatoxins have the ability to recruit mast cells and basophils and can
stimulate these cells to release IL-4 and IL-13, which by augmenting endothelial
VCAM-1, convey some selectivity for eosinophils. The anaphylatoxins also have the
capability to evoke the release and activation of eosinophil MMP-9, which is
employed by this cell type to digest its way past the subendothelial matrix.
Finally, because C3a and C5a can stimulate the generation of nitric oxide along
with the secretion of histamine and LTC4 from several cell types, the
anaphylatoxins can bring about an increase in vascular permeability that
facilitates eosinophil accumulation at sites of allergic inflammation.
PMID- 18039529
TI - Physiological concentrations of transforming growth factor beta1 selectively
inhibit human dendritic cell function.
AB - In this study the effects of different in vitro conditioning with transforming
growth factor (TGF) beta1 on human monocyte-derived DC maturation (hMo-DC) were
investigated. hMo-DC differentiated in the presence of physiologically relevant
concentrations of TGFbeta1 (2 ng/ml) failed to undergo complete maturation
despite adequate stimulation with LPS or LPS+IFNgamma. These hMo-DC did not
produce IL-12p70 or PGE2, and showed decreased IL-10 and IL-18 production and HLA
DR expression. However, the expression of these molecules, except for IL-12p70,
was not significantly affected in hMo-DC differentiated in the presence of lower
concentrations of TGFbeta1 (0.2 and 0.02 ng/ml). Exposure of hMo-DC to TGFbeta1
(2 ng/ml) after they had completed differentiation had minimal effects. Thus, the
functional response of hMo-DC to LPS or LPS+IFNgamma depended on the stage of hMo
DC differentiation at which cells were first exposed to TGFbeta1 and on the
concentration of TGFbeta1. These results suggest that in the in vivo micro
environment, the concentrations and the timing of monocyte exposure to TGFbeta1
may be crucial in the differentiation of DC toward more or less mature
phenotypes, and this may have important implications for DC functions. The
decrease in T-cell proliferation and a small increase in IL-5 production by T
cells co-cultured with hMo-DC that had been treated with TGFbeta1, suggest the
possibility that in vivo such DC may provide chronic, but incomplete signals to T
cells, and this could be a potential mechanism underlying polarisation of T cells
towards anergy.
PMID- 18039530
TI - Molecular genetics and mechanisms of apoptosis in carcinomas of the lung and
pleura: therapeutic targets.
AB - Cancers of the lung and pleura remain a major cause of cancer deaths, both in men
and women, with strong causal relationships between cigarette smoking and
asbestos fibres, and deaths from lung cancer and mesothelioma, respectively. The
poor survival rates for small cell lung cancer and mesotheliomas argue powerfully
for greater understanding of mechanisms of carcinogenesis, genetic abnormalities
and the role of tumour suppressor genes and proteins in carcinomas of the lung
and pleura. Despite progress in the development of newer cytotoxic drugs, lung
cancer remains a lethal disease. Chemotherapy and radiotherapy produce only a
modest improvement in survival of patients with advanced disease. Increased
knowledge of molecular mechanisms of lung cancer and apoptosis are providing
opportunities for treating lung cancer with new classes of molecularly targeted
drugs. These novel therapies should target the abnormalities in lung cancer by
maximizing the effects of anti-tumour molecules, with minimal side effects on
normal tissues. Of the several molecular targets, those receiving attention are
p53 gene replacement, Bcl-2 downregulation, apoptosis by induced by TNF, the
FAS/CD95 receptor system and TRAIL, and inhibition of NF-kappaB. Although several
studies have shown benefits, there is a need for well planned clinical trials of
drugs that target the apoptotic cascade. Stem cell therapy and gene replacement
offer the prospect of novel approaches that are likely in the near future to play
a definitive role in the treatment of advanced lung cancer. Furthermore, with
their apparent minimal toxicity to normal tissues, the newer molecular targets
represent attractive investigational directions for innovative cancer therapies.
PMID- 18039531
TI - Applying genomics to organ transplantation medicine in both discovery and
validation of biomarkers.
AB - The field of biomarker discovery made a significant leap over the past few
decades. As we enter the Era of the Human Genome, thousands of biomarkers can be
identified in a relatively high-throughput fashion. While such magnitude and
diversity of biomarkers can be seen as a challenge by itself, the field is being
moved forward by new advances in bioinformatics and Systems Biology. Because of
the life and death nature of end stage organ failure that transplantation treats,
the severe donor organ shortage, and the powerful and toxic drug therapies
required for the lifetimes of transplant patients, we envision a future for
biomarkers as tools to diagnose disease in its early stages, predict prognosis,
suggest treatment options and then assist in the implementation of therapies. By
harnessing the power of multiple technologies in parallel makes it possible to
discover and then validate the next generation of biomarkers for transplantation.
We see the road ahead diverge into two paths: one from biomarkers to diagnosis
and therapy and the other to a new level of insight into the complex molecular
networks that determine when a healthy state becomes diseased and dysfunctional.
PMID- 18039532
TI - The structure of the O-specific polysaccharide of the lipopolysaccharide from
Pantoea agglomerans strain FL1.
AB - A neutral O-specific polysaccharide consisting of d-rhamnose was obtained by mild
acid hydrolysis of the lipopolysaccharide of the plant pathogenic bacterium
Pantoea agglomerans strain FL1, a common epiphyte of many plant species, and
associated with Pseudomonas savastanoi pv. savastanoi in young and apparently
intact olive knots. By means of compositional and methylation analyses, and NMR
spectroscopy, the chemical repeating unit of the polymer was identified as a
linear tetrasaccharide of the structure:
PMID- 18039533
TI - Acid-catalysed rearrangement of glycosyl trichloroacetimidates: a novel route to
glycosylamines.
AB - A novel route to glycosylamines has been developed. Treatment of glycosyl
trichloroacetimidates with TMSOTf under glycosylation conditions, but in the
absence of an acceptor, resulted in complete rearrangement of the
trichloroacetimidates into the corresponding N-protected-glycosylamines.
Reductive cleavage of the trichloroacetyl groups using sodium borohydride
provided the desired glycosylamine products.
PMID- 18039534
TI - Synthesis and geometry of methyl (methyl 4-O-acetyl-3-azido-2,3-dideoxy
alpha/beta-D-arabino- and -alpha/beta-D-ribo-hexopyranosid)uronates.
AB - The synthesis of methyl (methyl 4-O-acetyl-3-azido-2,3-dideoxy-alpha/beta-D
arabino- and -alpha/beta-D-ribo-hexopyranosid)uronates is presented. High
resolution (1)H and (13)C NMR spectral data for all diastereoisomers and single
crystal X-ray diffraction analysis for methyl (methyl 3-azido-2,3-dideoxy-beta-D
arabino-hexopyranosid)uronate are reported. The planarity of the 4-OAc and 5
COOMe groups as well as the orientations of the aglycone and azide groups in the
crystal lattice is discussed. The influence of the 5-COOMe group on the pyranose
ring conformation is considered.
PMID- 18039535
TI - Determination of the structure and molecular weights of the exopolysaccharide
produced by Lactobacillus acidophilus 5e2 when grown on different carbon feeds.
AB - Lactobacillus acidophilus 5e2 when grown on skimmed milk, skimmed milk
supplemented with sodium formate and skimmed milk supplemented with glucose
secretes a branched heteropolysaccharide having a weight average molecular weight
less than 450 kDa. The exopolysaccharide has a heptasaccharide repeat unit and is
composed of D-glucose, D-galactose and N-acetyl-D-glucosamine in the molar ratio
3:3:1. Using chemical techniques and 1D and 2D-NMR spectroscopy the
polysaccharide has been shown to possess the following repeat unit structure:
PMID- 18039536
TI - Characterization of the lipopolysaccharide from a wbjE mutant of the serogroup
O11 Pseudomonas aeruginosa strain, PA103.
AB - The lipopolysaccharide (LPS) of a wbjE mutant of Pseudomonas aeruginosa PA103, a
serogroup O11 strain consists of both high and low molecular weight (HMW and LMW)
LPSs. The HMW LPS consisted exclusively of rhamnan A-band LPS and no B-band LPS
was detected in the wbjE mutant. Interestingly, the LMW LPS from the wbjE mutant
showed that it contained a variety of oligosaccharides, each with two or three
phosphate groups present as mono- or pyrophosphates. These oligosaccharides
consisted of the complete core octasaccharide. The GalN residue was present as an
N-acetylated residue in all of these oligosaccharides except the tetrasaccharide
in which it is present as an N-alanylated residue. None of these oligosaccharides
contained either a d- or l-FucpNAc residue. These results are discussed with
regard to the role of wbjE in the biosynthesis of P. aeruginosa PA103 B-band LPS.
PMID- 18039538
TI - Structural characterization of the acetylated heteroxylan from the natural hybrid
Paulownia elongata/Paulownia fortunei.
AB - The heteroxylan from the hybrid Paulownia elongata/Paulownia fortunei is an O
acetyl-(4-O-methylglucurono)xylan with an acetylation degree (DS) of 0.59 and a
molecular weight (M(w)) of 29 kDa. The heteroxylan backbone is composed by (1-
>4)-linked beta-d-xylopyranosyl units (Xylp) partially ramified with terminal (1-
>2)-linked 4-O-methyl-alpha-D-glucuronosyl (MeGlcpA) and a small proportion of
alpha-D-glucuronosyl (GlcpA) residues in a molar ratio of Xylp:(MeGlcpA+GlcpA) of
20:1. Roughly half of the beta-D-xylopyranosyl units in the backbone are
acetylated: 3-O-acetylated (22 mol %), 2-O-acetylated (23 mol %) or 2,3-di-O
acetylated (7 mol %). ESI-MS and MALDI-MS studies of partially hydrolyzed
heteroxylan revealed a random distribution of O-Ac and MeGlcpA within the
backbone. However, the frequency of substitution with O-Ac along the backbone is
not uniform and the molecular regions that did not contain MeGlcpA substituents
possessed an acetylation degree significantly lower than the average DS of the
xylan.
PMID- 18039537
TI - A convenient synthesis of the C-1-phosphonate analogue of UDP-GlcNAc and its
evaluation as an inhibitor of O-linked GlcNAc transferase (OGT).
AB - The C-1-phosphonate analogue of UDP-GlcNAc has been synthesized using an alpha
configured C-1-aldehyde as a key intermediate. Addition of the anion of diethyl
phosphate to the aldehyde produced the hydroxyphosphonate. The configuration of
this key intermediate was determined by X-ray crystallography. Deoxygenation,
coupling of the resulting phosphonic acid with UMP and deprotection gave the
target molecule as a di-sodium salt. This analogue had no detectable activity as
an inhibitor of (OGT).
PMID- 18039539
TI - The impact of dilute sulfuric acid on the selectivity of xylooligomer
depolymerization to monomers.
AB - The disappearance of xylose and xylooligosaccharides with degrees of
polymerization (DP) ranging from 2 to 5 was followed at 160 degrees C with
sulfuric acid added to adjust the pH from near neutral to 1.45, and the impact on
the yields of lower DP xylooligomers and xylose monomer was determined. In
addition, the experimental data for the disappearance of these xylooligomers was
kinetically modeled assuming first-order reaction kinetics for xylose degradation
and xylooligomer hydrolysis to evaluate how the pH affected the selectivity of
monomer formation from xylooligomers and direct oligomer degradation to unknown
products. The yield of xylose from xylooligomers increased appreciably with
increasing acid concentration but decreased with increasing xylooligomer DP at a
given acid concentration, resulting in more acid being required to realize the
same xylose yields for higher DP species. For example, the maximum xylose yields
were 49.6%, 28.0%, 13.2% and 3.2% for DP values of 2, 3, 4, and 5, respectively,
at pH 4.75. Kinetic modeling revealed that all the xylooligomers disappeared at a
higher rate compared to xylose monomer and the disappearance rate constant
increased with DP at all pH. The kinetics for lower DP oligomers of 2 and 3
showed that these species directly degrade to unknown compounds in the absence of
acid. On the other hand, higher oligomers of DP 4 and 5 exhibited negligible
losses to degradation products at all pH. Therefore, only xylooligomers of DP 2
and 3 were found to directly degrade to undesired products in the absence of
acid, but more work is needed to determine how higher DP species behave. This
study also revealed that the source of water and the material used for the
construction of the reactor impacted xylose degradation kinetics.
PMID- 18039540
TI - The applicability of an amidated polysaccharide hydrogel as a cartilage
substitute: structural and rheological characterization.
AB - An amidic derivative of a carboxymethylcellulose-based hydrogel was obtained and
characterized in terms of amidation degree. NMR studies and FT-IR imaging
spectroscopy demonstrated that the reaction allowed a polymer to be obtained that
was characterized by a regular distribution of amidic groups along the
polysaccharide chains. Through this regularity, a homogenous three-dimensional
scaffold was obtained, which maintained the thixotropic property of the linear
polysaccharide.
PMID- 18039541
TI - Indirect approach to C-3 branched 1,2-cis-glycofuranosides: synthesis of aceric
acid glycoside analogues.
AB - Aceric acid (3-C-carboxy-5-deoxy-alpha-l-xylofuranose) residues are present in
pectic polysaccharide rhamnogalacturonan II (RG II) in the form of synthetically
challenging 1,2-cis-glycofuranosides. To access synthetic fragments of RG II
incorporating aceric acid, a four-step procedure based on C-2 epimerisation of
initially prepared 1,2-trans-glycofuranoside was developed. Readily available
derivatives of branched-chain l-lyxofuranose bearing a 3-C-vinyl group as a
masked 3-C-carboxyl group were investigated as potential precursors of aceric
acid units. In the first step of the procedure, installation of a participating
group at C-2 of the furanose ring ensured stereocontrol of the O-glycosylation,
which was carried out with the thioglycoside of 2-O-acetyl-3,5-di-O-benzyl-3-C
vinyl-L-lyxofuranose. After the glycosylation step, the 2-O-acetyl group was
removed, the free 2-OH group was oxidised and the resulting ketone was finally
reduced to form the C-3-vinyl-L-xylofuranoside. The use of L-Selectride in the
key reduction reaction was essential to achieve the required stereoselectivity to
generate 1,2-cis-furanoside.
PMID- 18039542
TI - Modulation of lymphocyte function with inhibitory CD2: loss of NK and NKT cells.
AB - Analysis of the NK cell developmental pathway suggests that CD2 expression may be
important in regulating NK maturation. To test this hypothesis, we developed mice
containing only an inhibitory CD2 molecule by linking the extracellular domain of
CD2 to an intracellular immunoreceptor tyrosine-based inhibitory motif (ITIM)
motif. Mice containing the CD2 Tg(ITIM) transgene, introduced into a CD2 KO
background, have no morphologically detectable lymph nodes, although development
of the thymus appears normal. In addition, these mice had major loss of both NK
and NKT subsets in peripheral organs, while T and B cell frequencies were intact.
Expression of CD2 was low on T cells and lacking on B cells and functional
defects were observed in these populations. NKT cells expressing CD4 were absent,
while the CD8+ and double negative NKT cells were retained. Small subsets of NK
cells were detected but expression of CD2 on these cells was very low or absent,
and their maturation was impaired. Based on the phenotype described here, we
believe that these mice represent a unique model to study lymphoid organ and
lymphocyte development.
PMID- 18039543
TI - The role of preschoolers' social understanding in evaluating the informativeness
of causal interventions.
AB - Preschoolers use information from interventions, namely intentional actions, to
make causal inferences. We asked whether children consider some interventions to
be more informative than others based on two components of an actor's knowledge
state: whether an actor possesses causal knowledge, and whether an actor is
allowed to use their knowledge in a given situation. Three- and four-year-olds
saw a novel toy that activated in the presence of certain objects. Two actors,
one knowledgeable about the toy and one ignorant, each tried to activate the toy
with an object. In Experiment 1, either the actors chose objects or the child
chose for them. In Experiment 2, the actors chose objects blindfolded. Objects
were always placed on the toy simultaneously, and thus were equally associated
with the effect. Preschoolers' causal inferences favored the knowledgeable
actor's object only when he was allowed to choose it (Experiment 1). Thus,
children consider both personal and situational constraints on knowledge when
evaluating the informativeness of causal interventions.
PMID- 18039544
TI - Cerebral white matter deficiencies in pedophilic men.
AB - The present investigation sought to identify which brain regions distinguish
pedophilic from nonpedophilic men, using unbiased, automated analyses of the
whole brain. T1-weighted magnetic resonance images (MRIs) were acquired from men
who demonstrated illegal or clinically significant sexual behaviors or interests
(n = 65) and from men who had histories of nonsexual offenses but no sexual
offenses (n = 62). Sexual interest in children was assessed by participants'
admissions of pedophilic interest, histories of committing sexual offenses
against children, and psychophysiological responses in the laboratory to erotic
stimuli depicting children or adults. Automated parcellation of the MRIs revealed
significant negative associations between pedophilia and white matter volumes of
the temporal and parietal lobes bilaterally. Voxel-based morphometry corroborated
the associations and indicated that the regions of lower white matter volumes
followed, and were limited to, two major fiber bundles: the superior fronto
occipital fasciculus and the right arcuate fasciculus. No significant differences
were found in grey matter or in cerebrospinal fluid (CSF). Because the superior
fronto-occipital and arcuate fasciculi connect the cortical regions that respond
to sexual cues, these results suggest (1) that those cortical regions operate as
a network for recognizing sexually relevant stimuli and (2) that pedophilia
results from a partial disconnection within that network.
PMID- 18039545
TI - Triterpene saponins from Silphium radula.
AB - Nine triterpene saponins (1-9) were isolated from leaves and stems of Silphium
radula Nutt. (Asteraceae). Their structures were determined by extensive 1D
((13)C, (1)H, DEPT, TOCSY) and 2D NMR (NOESY, HSQC, HMBC) and ESI-MS studies. The
compounds were identified as 3beta,6beta,16beta-trihydroxyolean-12-en-23-al-3-O
beta-glucopyranosyl-16-O-beta-glucopyranoside (1), urs-12-ene-3beta,6beta,16beta
triol-3-O-beta-galactopyranosyl-(1-->2)-beta-glucopyranoside (2),
3beta,6beta,16beta-trihydroxyolean-12-en-23-oic acid-3-O-beta-glucopyranosyl-16-O
beta-glucopyranoside (3), urs-12-ene-3beta,6beta,16beta,21beta-tetraol-3-O-beta
glucopyranoside (4), olean-12-ene-3beta,6beta,16beta,21beta-tetraol-3-O-beta
glucopyranoside (5), olean-12-ene-3beta,6beta,16beta,21beta,23-pentaol-3-O-beta
glucopyranosyl-16-O-beta-glucopyranoside (6), olean-12-ene-3beta,6beta,16beta
triol-3-O-beta-glucopyranosyl-16-O-alpha-arabinopyranosyl-(1-->2)-beta
glucopyranoside (7), olean-12-ene-3beta,6beta,16beta,23-tetraol-3-O-beta
glucopyranosyl-16-O-alpha-arabinopyranosyl-(1-->2)-beta-glucopyranoside (8),
3beta,6beta,16beta,21beta-tetrahydroxyolean-12-en-23-al-3-O-beta-glucopyranoside
(9). The presence of a 6beta-hydroxyl function was not common in the oleanene or
ursene class and the aglycones of these compounds were not found previously in
the literature. Moreover, the cytotoxic activities of the isolated compounds were
tested against human breast cancer cell line MDA-MB-231. Results showed that
compound 2 decreased cell proliferation in a statistically significant manner at
25 microg/ml.
PMID- 18039546
TI - Phytoalexins and polar metabolites from the oilseeds canola and rapeseed:
differential metabolic responses to the biotroph Albugo candida and to abiotic
stress.
AB - The metabolites produced in leaves of the oilseeds canola and rapeseed (Brassica
rapa L.) inoculated with either different races of the biotroph Albugo candida or
sprayed with CuCl(2) were determined. This investigation established consistent
phytoalexin (spirobrassinin, cyclobrassinin, and rutalexin) and phytoanticipin
(indolyl-3-acetonitrile, arvelexin, caulilexin C, and 4-methoxyglucobrassicin)
production in canola and rapeseed in response to both biotic and abiotic
elicitation. In addition, a wide number of polar metabolites were isolated from
infected leaves, including six new phenylpropanoids and two new flavonoids. The
extractable chemical components of zoosporangia of A. candida and the anti
oomycete activity of phytoalexins were determined as well. Overall, the results
suggest that during the initial stage of the interaction, leaves of B. rapa have
a similar response to virulent and avirulent races of A. candida, with respect to
the accumulation of chemical defenses. After this stage, despite the higher
phytoalexin concentration, the "compatible" races could overcome the plant
defense system for further infection, but growth of the "incompatible" races was
inhibited. Since results of bioassays showed that cyclobrassinin and brassilexin
were more inhibitory to A. candida than rutalexin, the apparent redirection of
the phytoalexin pathway towards rutalexin, avoiding cyclobrassinin and
brassilexin accumulation might be caused by the pathogen. Alternatively, A.
candida might be able to detoxify both cyclobrassinin and brassilexin, similar to
necrotrophic plant pathogens. Overall, the correlation between phytoalexin
production in infected or stressed leaves and the outcome of the plant-pathogen
interaction suggested that A. candida was able to elude the plant defense
mechanisms by, for example, redirecting the phytoalexin biosynthetic pathway.
PMID- 18039547
TI - The unique properties of human NK cells in the uterine mucosa.
AB - Natural killer (NK) cells are lymphocytes of the innate immune system that are
able to kill hazardous pathogens and tumours. It was recently recognized that NK
cells also possess non-destructive functions. NK cells were shown to interact
with T, B, DC cells and macrophages and consequently shape the adaptive immune
response. In addition, activated NK cells were shown to be quite efficient
antigen-presenting cells. Here we review the unique properties of human NK cells
in the uterine mucosa prior to and during pregnancy. We discuss the role of
decidual NK cells and suggest that their major function is to assist in fetal
development. Further we discuss the function and origin of endometrial NK cells
and define some questions that need to be addressed in future research.
PMID- 18039548
TI - An analysis of the relation between physical characteristics of prostate I-125
seed implants and lower urinary tract symptoms: bladder hotspot dose and prostate
size are significant predictors.
AB - PURPOSE: Lower urinary tract symptoms are frequently observed after I-125 seed
implantation of the prostate. More knowledge about causes and predictors is
necessary to be able to develop less toxic implantation techniques. The aim of
this study was to identify implantation related factors that contribute to post
implant urinary morbidity. MATERIALS AND METHODS: Analysed was a group of 72
patients that filled in a symptom score questionnaire before, 3 months and 6
months after implantation as well as a group of 15 patients that suffered from
acute urinary retention. Several dose-volume parameters of prostate, urethra and
bladder wall were determined based on a post-implant TRUS-CT scan. RESULTS: The
dose to a 1cm(3) hotspot in the bladder wall (D1cc-bl) as well as the prostate
volume were independently correlated with urinary morbidity symptom scores at 3
months (p=0.006 and p=0.005, respectively) and at 6 months (p=0.001 and p=0.015,
respectively) after implantation. The number of implanted seeds and the D1cc-bl
were significant discriminators (p<0.001 and p=0.015, respectively) for either
mild or severe early urinary morbidity. CONCLUSION: Bladder hotspot dose appears
to be an important dosimetric predictor for urinary morbidity both at 3 months
and at 6 months after implantation. Other predictors are prostate volume, or
equivalently, the number of implanted seeds.
PMID- 18039549
TI - Respiratory gated beam delivery cannot facilitate margin reduction, unless
combined with respiratory correlated image guidance.
AB - PURPOSE/OBJECTIVE: In radiotherapy of targets moving with respiration, beam
gating is offered as a means of reducing the target motion. The purpose of this
study is to evaluate the safe magnitude of margin reduction for respiratory gated
beam delivery. MATERIALS/METHODS: The study is based on data for 17 lung cancer
patients in separate protocols at Rigshospitalet and Stanford Cancer Center.
Respiratory curves for external optical markers and implanted fiducials were
collected using equipment based on the RPM system (Varian Medical Systems). A
total of 861 respiratory curves represented external measurements over 30
fraction treatment courses for 10 patients, and synchronous external/internal
measurements in single sessions for seven patients. Variations in respiratory
amplitude (simulated coaching) and external/internal phase shifts were simulated
by perturbation with realistic values. Variations were described by medians and
standard deviations (SDs) of position distributions of the markers. Gating
windows (35% duty cycle) were retrospectively applied to the respiratory data for
each session, mimicking the use of commercially available gating systems. Medians
and SDs of gated data were compared to those of ungated data, to assess potential
margin reductions. RESULTS: External respiratory data collected over entire
treatment courses showed SDs from 1.6 to 8.1mm, the major part arising from
baseline variations. The gated data had SDs from 1.5 to 7.7mm, with a mean
reduction of 0.3mm (6%). Gated distributions were more skewed than ungated, and
in a few cases a marginal miss of gated respiration would be found even if no
margin reduction was applied. Regularization of breathing amplitude to simulate
coaching did not alter these results significantly. Simulation of varying phase
shifts between internal and external respiratory signals showed that the SDs of
gated distributions were the same as for the ungated or smaller, but the median
values were markedly shifted. The gated distributions could generally not be
covered by margins derived from ungated data, if the phase shift was not
accounted for. CONCLUSIONS: Margins can only be reduced for respiratory gated
radiotherapy, if respiratory baseline shifts and variations in external/internal
motion correlation are accounted for. Gated beam delivery alone cannot facilitate
margin reduction. In the worst case, margins must be increased to accommodate
inter-fraction variations in respiration.
PMID- 18039550
TI - Teaching on the spiritual dimension in care: the perceived impact on
undergraduate nursing students.
AB - The aim of the study unit, delivered in 2004-2005, to a cohort group of nursing
students (n=65), who undertook the Diploma in Health Science, was to increase
students' awareness about the spiritual dimension in nursing care so as to enable
them to implement holistic care. The aim of this paper is to reveal the perceived
impact of the study unit on students' life. This was derived from a written self
reflection account, which was submitted on voluntary basis, additional to the
written assignment. The majority of students (97%, n=63) performed this written
exercise which seems to demonstrate the students' interest in this study unit.
Excerpts from students' written personal reflection indicate the personal,
academic and professional impact of the study unit on nursing students. The
positive feedback from students sheds light on the importance of teaching
undergraduate students on the spiritual dimension in care. This implies that such
a study unit is to be integrated within the curriculum of nursing education for
the benefit of the nurses themselves and to enable delivery of holistic care.
PMID- 18039551
TI - Advances in the allogeneic transplantation for thalassemia.
AB - Hematopoietic stem cell transplantation (HSCT) remains the only curative option
for patients with thalassemia. Current results of transplantation in patients
aged less than 17 years from matched related donors offer 80% to 87% probability
of cure according to risk classes. Adult thalassemics treated with myeloablative
conditioning continue to have inferior results because of their advanced stage of
disease. With the introduction of high-resolution tissue typing techniques
transplant centres worldwide are able to offer allogeneic HSCT to a much larger
cohort of patients who could not benefit from transplantation because of lack of
matched family donor. Although limited number of patients treated, results of
transplant from unrelated matched donors are comparable to those obtained using
sibling donors. Graft failure or rejection remains a significant cause of
transplant failure in patients with thalassemia making difficult to perform
reduced intensity conditioning regimens. Mixed chimerism is a common phenomenon
after transplantation and is a risk factor for rejection. Ex-thalassemics still
carry the clinical complications acquired during years of transfusion and
chelation therapy. Longer follow-up and management of these complications in ex
thalassemics are essential.
PMID- 18039552
TI - Snapping turtles (Chelydra serpentina) as bioindicators in Canadian areas of
concern in the Great Lakes Basin. II. Changes in hatching success and hatchling
deformities in relation to persistent organic pollutants.
AB - Hatching success and deformities in snapping turtle hatchlings (Chelydra
serpentina) were evaluated using eggs collected from 14 sites in the Canadian
lower Great Lakes, including Areas of Concern (AOC), between 2001 and 2004. Eggs
were analyzed for PCBs, PBDEs, and pesticides. Between 2002 and 2004, hatchling
deformity rates were highest in two AOCs (18.3-28.3%) compared to the reference
sites (5.3-11.3%). Hatching success was poorest in three AOCs (71.3-73.1%)
compared to the reference sites (86.0-92.7%). Hatching success and deformity
rates were generally poorer in 2001 compared to 2002-2004, irrespective of the
study location and could be due to egg handling stress in 2001. Hatching success
and deformities were generally worst from the Wheatley Harbour, St. Lawrence
River (Cornwall), Detroit River, and Hamilton Harbour AOCs. Associations between
contaminant burdens with embryonic development were sufficiently poor that the
biological relevance is questionable. Stressors not measured may have contributed
to development abnormalities.
PMID- 18039553
TI - Toxic effects of arsenic on Sinorhizobium-Medicago sativa symbiotic interaction.
AB - Recently, the Rhizobium-legume symbiotic interaction has been proposed as an
interesting tool in bioremediation. However, little is known about the effect of
most common contaminants on this process. The phytotoxic effects of arsenic on
nodulation of Medicago sativa have been examined in vitro using the highly
arsenic resistant and symbiotically effective Sinorhizobium sp. strain MA11. The
bacteria were able to grow on plates containing As concentrations as high as 10
mM. Nevertheless, as little as 25-35 microM arsenite produced a 75% decrease in
the total number of nodules, due to a 90% reduction in the number of rhizobial
infections, as could be determined using the strain MA11 carrying a lacZ reporter
gene. This effect was associated to root hair damage and a shorter infective root
zone. However, once nodulation was established nodule development seemed to
continue normally, although earlier senescence could be observed in nodules of
arsenic-grown plants.
PMID- 18039554
TI - Subchronic toxicity and toxicokinetics of LZB, a new proton pump inhibitor, after
13-week repeated oral administration in dogs.
AB - The subchronic toxicity and toxicokinetics of a novel proton pump inhibitor,
pymeprazole (LZB), were investigated in beagle dogs by daily oral administration
for 13 consecutive weeks. Three test groups received doses of 30, 100 and 300
mg/kg/day of LZB. Rabeprazole of 60 mg/kg/day was used as positive control. The
13-week repeated oral doses of LZB resulted in objective signs of mild
gastrointestinal disturbance for high-dose group animals. One individual dog of
high-dose group was found to be lethargy and astasia at the last month of
administration; for hematology, mild anemia was observed at high-dose females;
for clinical chemistry, higher cholest, trigly and gastrin were observed at high
dose females, higher ASAT, ALAT, cholesterol, triglyceride and gastrin at high
dose males were also observed; for histopathology, the primary effects of LZB
were related to gastric mucosa of high-dose group seen by H and E or Grimelius
stain. Impairment of surface epithelium was observed by SEM. The treat-related
effects basically were reversible for a 4-week drug-free period. As for positive
control group, 13-week oral administration of rabeprazole resulted in more severe
toxicity than high-dose group of LZB although much lower dose was employed. The
accumulation of LZB after 13-week oral administration was not notable at the
toxic dose of 300 mg/kg/day. The toxic dose was considered to be 100mg/kg/day and
the no-observed-adverse-effect level (NOAEL) to be 30 mg/kg/day, which is much
higher than other PPIs. The toxicological target could be stomach, liver,
hematological system and nervous system.
PMID- 18039555
TI - Re: (-)-Hinokinin causes antigenotoxicity but not genotoxicity in peripheral
blood of Wistar rats.
PMID- 18039556
TI - Expression and function of the P2X(7) receptor in rat C6 glioma cells.
AB - Our results demonstrate the first findings of expression and function of the
purinergic P2X7 receptor (P2X7R) in rat C6 glioma cells. P2X7R mRNA and protein
were present in unstimulated C6 cells and were up-regulated by cell exposure to
the P2X7R agonist, 2',3'-(benzoyl-4-benzoyl)-ATP (BzATP). Activation of P2X7R in
C6 in response to BzATP led to increased mobilization of intracellular calcium
[Ca2+]i and formation of large pores. Chronic exposure of C6 cells to BzATP
enhanced the expression of pro-inflammatory factors including MCP-1, IL-8 and
VEGF. In a scratch-wound migration assay, the P2X7R was shown to regulate cell
mobility. The overall results suggest that P2X7R activation in C6 is linked with
increased pro-inflammatory factors and tumor cell migration.
PMID- 18039557
TI - Amifostine increases cure rate of cisplatin on ascites hepatoma 22 via
selectively protecting renal thioredoxin reductase.
AB - It has been demonstrated via in vitro experiments that the anti-cancer drug
cisplatin (CDDP) can inactivate thioredoxin reductase (TrxR), a molecular target
for cancer therapy. The present study in mice revealed that CDDP at
pharmacological doses inhibited TrxR activity in both ascitic hepatoma 22 (H22)
cells and kidney, leading to suppression of H22 cells proliferation along with
nephrotoxicity. Amifostine, a clinical used cytoprotective agent, protected
against CDDP-induced TrxR inactivation in kidney but not in H22 cells. Such an
excellent selective modulation of amifostine on TrxR led us to exploit the
potential of amifostine in increasing cure rate of CDDP on cancer. In mice, CDDP
at the doses of 5 and 7.5 mg/kg once weekly for 4 weeks could not completely
control H22 ascites development and the cure rate was no more than 12.5%; CDDP 9
mg/kg by the same schedule prominently suppressed the ascites development, but
finally resulted in 87.5% mortality caused by CDDP toxicity. Thus, these dose
dependent therapeutic results well recapitulated the clinical dilemma of
chemotherapy on cancer. However, co-treatment of CDDP (9 mg/kg) and amifostine
largely reduced CDDP toxicity, and obtained a cure rate as high as 87.5%.
Overall, the present study demonstrates both pharmacological and toxicological
effects of CDDP involve TrxR inactivation, and the large enhancement on CDDP cure
rate in H22 ascites model by using amifostine is, at least in part, ascribed to
its selective modulation on TrxR.
PMID- 18039558
TI - Immunization with a recombinant GnRH vaccine conjugated to heat shock protein 65
inhibits tumor growth in orthotopic prostate cancer mouse model.
AB - We have previously shown that anti-GnRH antibodies responses can be induced by
synthetic GnRH3-hinge-MVP peptide. In this study, GnRH3-hinge-MVP of conjugation
to heat shock protein 65 was used as an adjuvant-free vaccine to assess the
therapeutic effects of GnRH immunoneutralisation on tumor development in the mice
model. Compared with mice treated with Hsp65 and PBS, mice of the o.t. model
receiving in situ treatment GnRH3-hinge-MVP-Hsp65 had significant prolongation of
survival and suppression of local tumor growth. Serum levels of both testosterone
and luteinizing hormone were reduced by treatment with GnRH3-hinge-MVP-Hsp65
(p<0.05). Further analyses of cell mediated immune responses showed that GnRH3
hinge-MVP-Hsp65 induced stronger lymphocyte proliferative responses and higher
levels of IFN-gamma (p<0.001). The conjugation of the recombinant GnRH peptide to
Hsp65 could be considered a promising approach for the development of an
efficacious vaccine against the prostate cancer.
PMID- 18039559
TI - Health-related quality of life as a valid outcome in the treatment of advanced
colorectal cancer.
AB - With the recent trend of improved survival, there has been a marked interest in
reviewing and refining the contribution of health related quality of life (HRQoL)
outcome measures of treatment in advanced colorectal cancer. However, if HRQoL
data are going to be of clinical value and help both physicians and patients make
decisions there is a need for a consensus on both the design and methods of
measurement of HRQoL in clinical trials, and an acknowledgement of the
interpretation of the outcomes in clinical practice. Whilst it is encouraging to
find that the quality of HRQoL measurement in clinical research has improved
significantly over the last fifteen years, with a growing number of trial-based
HRQoL studies, there is still the potential to improve the use of HRQoL in
clinical decision making in advanced colorectal cancer. There is also the need to
further refine measurement of HRQoL to provide useful data specific to these
patients. It is anticipated that developments such as the liver metastases module
EORTC (QLQ-LMC21) questionnaire will increase the sensitivity to change of the
generic cancer measure, the EORTC QLQ-C30 and will provide essential HRQoL
information regarding the use of treatments in both the curative and palliative
settings. Furthermore, easy to use simple tools such as the "minimum standard
checklist for evaluating HRQoL outcomes in cancer clinical trials" might be of
help in guiding investigators on the basis of minimum criteria.
PMID- 18039560
TI - Location of involved mesorectal and extramesorectal lymph nodes in patients with
primary rectal cancer: preoperative assessment with MR imaging.
AB - AIM: The purpose of this study is to evaluate the location of involved mesorectal
and extramesorectal lymph nodes as depicted on preoperative MRI. Preoperative
availability of this information might be useful for the surgeon as well as the
radiation therapist and medical oncologist for optimal treatment strategy: type
and extent of neoadjuvant treatment as well as extent of surgical resection.
METHODS: Forty-one patients with biopsy-proven rectal cancer were included. All
patients underwent preoperative MRI using USPIO (lymph node specific contrast
agent). Location of all mesorectal and extramesorectal nodes visible on MRI was
recorded, as well as USPIO prediction on nodal status. Lesion-by-lesion analysis
using histology after surgery was performed for patients who did not receive long
course chemoradiation therapy. RESULTS: There were 438 nodes visible, 94 of which
were malignant. Most nodes are located in the laterodorsal part of the
mesorectum, with no difference in distribution between positive and negative
nodes. In relation to height of tumor, the majority of positive nodes are located
at tumor height or above. There were significantly more negative nodes (9.6%)
located below tumor height as compared to positive nodes (2.1%). There were 40
extramesorectal nodes, in 16 patients, 5 of which were positive in 4 patients.
All patients had distal rectal cancer. CONCLUSION: In conclusion, positive
mesorectal nodes are located in the laterodorsal part of the mesorectum, at tumor
height or above. Positive nodes distal to the tumor are rare, and occur in
patients with more proximal nodal metastases. Positive extramesorectal nodes
mainly occur in patients with distal rectal cancer with nodal metastases in the
mesorectum.
PMID- 18039561
TI - Genome-wide analysis of chlamydiae for promoters that phylogenetically footprint.
AB - Currently, there is a lack of phylogenetic footprinting programmes that can take
advantage of multiple whole genome sequences of different species within the same
bacterial genus. Therefore, we have developed and tested a position weight matrix
based programme called Footy, that performs genome-wide analysis of bacterial
genomes for promoters that phylogenetically footprint. When Footy was used to
analyse the non-coding regions upstream of genes from three chlamyidal species
for promoters that phylogenetically footprint, it predicted a total of 42
promoters, of which 41 were new. Ten of the 41 new promoters predicted by Footy
were biologically assayed in Chlamydia trachomatis by mapping the 5' end of the
transcripts for the associated genes. The primer extension assay validated seven
of the 10 promoters. When Footy was compared to two other accepted methods for
genome-wide prediction of promoters in bacteria (the standard PWM method and
MITRA), Footy performed equally as well or better than these programmes. This
paper, therefore, shows the value of a bioinformatics programme able to perform
genome-wide analysis of bacteria for promoters that phylogenetically footprint.
PMID- 18039562
TI - Enhanced nasal absorption of hydrophilic markers after dosing with AT1002, a
tight junction modulator.
AB - AT1002 is a six-mer synthetic peptide, H-FCIGRL-OH, that retains the delta G and
Zot biological activity of reversibly opening tight junctions and increases the
paracellular transport of drugs. The objective of this study was to evaluate the
possible use of AT1002 in enhancing the nasal availability of macromolecules
using large paracellular markers as model agents. Male Sprague-Dawley rats
cannulated in the jugular vein were randomly assigned to receive radiolabelled
paracellular markers, [14C]PEG4000 or [14C]inulin, with/without AT1002, for each
intranasal study. The plasma concentration of PEG4000 with AT1002 (10mg/kg) was
significantly higher than that from PEG4000 control over 360 min following
intranasal administration. The AUC0-360 min and Cmax from the PEG4000/AT1002
(10mg/kg) treatment were statistically (p<0.05) increased to 235% and 357%, of
control, respectively. When inulin was administered with AT1002 (10 mg/kg), the
plasma concentration was significantly higher (p<0.05) than control over 360 min,
and increases (p<0.05) of 292% and 315% for AUC0-360 min and Cmax over control
were observed, respectively. AT1002 significantly increased the nasal absorption
of molecular weight markers, PEG4000 and inulin. This study suggests that AT1002
may be used to enhance the systemic availability of macromolecules when
administered concurrently.
PMID- 18039564
TI - Expression levels of p53 and p73 isoforms in stage I and stage III ovarian
cancer.
AB - The p53 gene has been investigated for its role in epithelial ovarian cancer but
data collected until now are contradictory. The evidence that p53 belongs with
p63 and p73 to a family of transcription factors re-opened interest in this gene
family. Here, we used quantitative real time RT-PCR to determine expression
levels of TAp53, TAp73 and their N-terminal splice variants in a cohort of 169
ovarian cancer patients with stage I and stage III disease. The TAp73 levels in
stage III biopsies differed by 100-fold depending on the p53 status and overall
survival appears to be significantly related to DeltaNp73 expression. Kaplan
Meyer analyses did not suggest a correlation between overall survival and levels
of TAp73, DeltaNp73 or the DeltaNp73/TAp73 ratio. In conclusion, these data
suggest that at least in our patient cohort p53 and p73 expression levels are not
correlated to malignant progression of ovarian cancer. They might, however, play
a role in tumour initiation.
PMID- 18039565
TI - The actual citation impact of European oncological research.
AB - This study provides an overview of the research performance of major European
countries in the field Oncology, the most important journals in which they
published their research articles, and the most important academic institutions
publishing them. The analysis was based on Thomson Scientific's Web of Science
(WoS) and calculated bibliometric indicators of publication activity and actual
citation impact. Studying the time period 2000-2006, it gives an update of
earlier studies, but at the same time it expands their methodologies, using a
broader definition of the field, calculating indicators of actual citation
impact, and analysing new and policy relevant aspects. Findings suggest that the
emergence of Asian countries in the field Oncology has displaced European
articles more strongly than articles from the USA; that oncologists who have
published their articles in important, more general journals or in journals
covering other specialties, rather than in their own specialist journals, have
generated a relatively high actual citation impact; and that universities from
Germany, and--to a lesser extent--those from Italy, the Netherlands, UK, and
Sweden, dominate a ranking of European universities based on number of articles
in oncology. The outcomes illustrate that different bibliometric methodologies
may lead to different outcomes, and that outcomes should be interpreted with
care.
PMID- 18039567
TI - Semi-mechanistic modelling of the tumour growth inhibitory effects of LY2157299,
a new type I receptor TGF-beta kinase antagonist, in mice.
AB - Human xenografts Calu6 (non-small cell lung cancer) and MX1 (breast cancer) were
implanted subcutaneously in nude mice and LY2157299, a new type I receptor TGF
beta kinase antagonist, was administered orally. Plasma levels of LY2157299,
percentage of phosphorylated Smad2,3 (pSmad) in tumour, and tumour size were used
to establish a semi-mechanistic pharmacokinetic/pharmacodynamic model. An
indirect response model was used to relate plasma concentrations with pSmad. The
model predicts complete inhibition of pSmad and rapid turnover rates [t(1/2)
(min)=18.6 (Calu6) and 32.0 (MX1)]. Tumour growth inhibition was linked to pSmad
using two signal transduction compartments characterised by a mean signal
propagation time with estimated values of 6.17 and 28.7 days for Calu6 and MX1,
respectively. The model provides a tool to generate experimental hypothesis to
gain insights into the mechanisms of signal transduction associated to the TGF
beta membrane receptor type I.
PMID- 18039566
TI - The oral mTOR inhibitor RAD001 (everolimus) in combination with letrozole in
patients with advanced breast cancer: results of a phase I study with
pharmacokinetics.
AB - PURPOSE: To investigate the safety and pharmacokinetics (PK) of combined
treatment with letrozole and the oral mTOR inhibitor RAD001 in patients with
metastatic breast cancer stable or progressing after > or = 4 months on letrozole
alone. METHODS: Eighteen patients received letrozole (2.5 mg/day) and RAD001 at 5
mg/day (cohort 1) or 10 mg/day (cohort 2). In the absence of DLT in cohort 1,
cohort 2 was expanded to 12 patients to obtain additional safety and PK data.
RESULTS: Most common adverse events were stomatitis (50.0% of patients), fatigue
(44.4%), anorexia and/or decreased appetite (44.4%), diarrhoea (38.9%), headache
(33.3%) and rash (33.3%). There was 1 DLT, a grade 3 thrombocytopaenia in cohort
2. No clinically relevant PK interaction was detected. Seven patients received
the combination therapy for >6 months. One patient had a complete response, and
one had a 28% reduction in liver metastases, both in cohort 2. CONCLUSION: Daily
therapy with RAD001 plus letrozole is promising: the results suggest anti-tumour
activity with no PK interactions. The overall safety profile of the combination
is consistent with that expected for RAD001 monotherapy. A daily dose of RAD001
10mg is recommended for further trials.
PMID- 18039568
TI - Pulping cardoon (Cynara cardunculus) with peroxyformic acid (MILOX) in one single
stage.
AB - In this work, depithed cardoon stalk (Cynara cardunculus) has been used with the
objective of obtaining bleachable pulps. The material, once properly prepared,
was subjected to one-step peroxyformic acid delignification. In order to study
the process, a face-centred second order factorial design was developed which
allowed the determination of the influences of four variables: concentrations of
formic acid and hydrogen peroxide in the cooking liquor and the time and
temperature of the treatment. Empirical mathematical models have been obtained
which predict the yield, kappa index, residual lignin content, and viscosity of
the pulps. These models demonstrate that in general the delignification was
extensive, producing pulps with kappa indexes less than 25 in the majority of
cases, with good yields in the range of 45-60%. However, the pulps seem to have
been degraded in the reaction media, as can be deduced from the low viscosity
values found: 260-520 mL/g.
PMID- 18039569
TI - Investigation of the biotransformation of pentachlorophenol and pulp paper mill
effluent decolorisation by the bacterial strains in a mixed culture.
AB - Mixed culture of two bacterial strains Bacillus sp. and Serratia marcescens
showed potential pentachlorophenol (PCP) degradation and decolorisation of pulp
paper mill effluent. The physico-chemical quality of pulp paper mill effluent has
been analyzed after 168 h incubation period degraded by mixed culture. The study
revealed that it has decreased high load of BOD, COD, TS, TDS, TSS, sulphate,
phosphate, total nitrogen, total phenols, metals and different salts (i.e.
chloride, sodium, nitrate, potassium) at 168 h incubation period. PCP degradation
in pulp paper mill effluent was confirmed by HPLC analysis. Mixed culture was
found to degrade PCP up to (94%) present in pulp paper mill effluent with 1%
glucose and 0.5% peptone (w/v) at 30+/-1 degrees C, pH 8.0+/-0.2 at 120 rpm in
168 h incubation period. The simultaneous release of chloride ion up to 1,200
mg/l at 168 h emphasized the bacterial dechlorination in the medium. The pulp
paper mill effluent degradation was also supported by decline in pH, AOX
(absorbable organic halides), color, D.O., BOD, COD and PCP. The analysis of pulp
paper mill effluent degradation products by GC-MS analysis revealed the formation
of low molecular weight compound like 2-chlorophenol (RT=3.8 min) and
tetrachlorohydroquinone (RT=11.86 min) from PCP extracted degraded sample.
Further, mixed culture may be used for bioremediation of PCP containing pulp
paper mill waste in the environment.
PMID- 18039570
TI - Design, synthesis and antimalarial activity of benzene and isoquinoline
sulfonamide derivatives.
AB - A new series of benzene and isoquinoline sulfonamide derivatives were synthesized
by nucleophilic displacement reaction on benzene and isoquinoline sulfonyl
chlorides by substituted amines (primary and secondary). The title compounds were
evaluated for antimalarial activity against Plasmodium falciparum in vitro and
showed MIC in the range of 2-50 microg/mL.
PMID- 18039571
TI - Calcitonin gene-related peptide (CGRP) receptor antagonists: investigations of a
pyridinone template.
AB - In our effort to find potent, orally bioavailable CGRP receptor antagonists for
the treatment of migraine, a novel series based on a pyridinone template was
investigated. After optimizing the privileged structure and the placement of the
attached phenyl ring, systematic SAR was carried out on both the N-alkyl and C-5
aryl substituents. Several analogs with good potency and pharmacokinetic profiles
were identified.
PMID- 18039572
TI - Design, synthesis, and biological activity of piperidine diamine derivatives as
factor Xa inhibitor.
AB - Previously, we identified cyclohexane diamine derivative 1 as orally bioavailable
factor Xa inhibitor. We have investigated two racemic cis-piperidine diamine
derivatives 2 and 3 based on 1. Compounds 2a-e showed higher fXa inhibitory
activity, anticoagulant activity, and aqueous solubility than 3a-e having same
substituent. Compounds 2a, 2c, 2e, and 2g-m having sp2 nitrogen, especially amide
and urea derivatives, showed potent anticoagulant activity. Compounds 2h and 2k
showed high oral activities in rats.
PMID- 18039573
TI - Antitumor effects of curcumin and structurally beta-diketone modified analogs on
multidrug resistant cancer cells.
AB - Using concepts of bioisostery a series of curcumin analogs were synthesized: the
diketonic system of the compound was elaborated into enaminones, oximes, and the
isoxazole heterocycle. The cell growth inhibitory and apoptosis inducing effects
of the new analogs were evaluated by in vitro assays in the hepatocellular
carcinoma HA22T/VGH cells, as well as in the MCF-7 breast cancer cell line and in
its multidrug resistant (MDR) variant MCF-7R. Increased antitumor activity on all
cell lines was found with the isoxazole analog and especially with the benzyl
oxime derivative; in the HA22T/VGH cell model, the latter compound inhibited
constitutive NF-kappaB activation.
PMID- 18039574
TI - Synthesis and analysis of stabilizing ligands for FKBP-derived destabilizing
domains.
AB - We recently identified mutants of the human FKBP12 protein that are unstable and
rapidly degraded when expressed in mammalian cells. We call these FKBP mutants
destabilizing domains (DDs), because their instability is conferred to any
protein fused to the DDs. A cell-permeable ligand binds tightly to the DDs and
prevents their degradation, thus providing small molecule control over
intracellular protein levels. We now report the synthesis and functional
characterization of a stabilizing ligand called Shield-2. The synthesis of Shield
2 is efficient, and this ligand binds to the FKBP(F36V) protein with a
dissociation constant of 29 nM.
PMID- 18039575
TI - Synthesis and evaluation of a gamma-lactam as a highly selective EP2 and EP4
receptor agonist.
AB - Gamma-lactam analogs (2) of EP(4) receptor agonists were identified by
substitution of the pyrazolidinone ring (1) with a pyrrolidinone ring. Several
compounds (such as 2a, 2h) with high potency, selectivity and acceptable PK
profiles were discovered. These were assessed in animal models of ovulation
induction and bronchoconstriction.
PMID- 18039576
TI - Efficient enhancement of DNA cleavage activity by introducing guanidinium groups
into diiron(III) complex.
AB - Inspired by the structures of natural nucleases, guanidinium groups were
introduced into binuclear iron(III) systems. Compared with the corresponding
analogue without guanidinium groups, the new diiron(III) system led to
considerable rate enhancement on DNA cleavage. The cooperativity between metal
ions and guanidine groups was evidenced by the fact that no significant cleavage
was observed after incubating pBR322 plasmid DNA with non-metalated ligands or
free Fe3+ ion. DNA binding experiments indicated that introduction of positively
charged guanidinium groups can obtain more than one order of magnitude
enhancement in the affinity of complex with DNA.
PMID- 18039578
TI - 2-Aminoresorcinol is a potent alpha-glucosidase inhibitor.
AB - A series of aminoresorcinols and related compounds were tested for rat intestinal
alpha-glucosidase inhibition and these results suggested that the 2
aminoresorcinol moiety of 6-amino-5,7-dihydroxyflavone (2) is important to exert
the intestinal alpha-glucosidase inhibitory activity and 2-aminoresorcinol (4),
itself, is a potent alpha-glucosidase inhibitor and inhibited sucrose-hydrolyzing
activity of rat intestinal alpha-glucosidase uncompetitively.
PMID- 18039577
TI - Imidazolyl benzimidazoles and imidazo[4,5-b]pyridines as potent p38alpha MAP
kinase inhibitors with excellent in vivo antiinflammatory properties.
AB - Herein we report investigations into the p38alpha MAP kinase activity of
trisubstituted imidazoles that led to the identification of compounds possessing
highly potent in vivo activity. The SAR of a novel series of imidazopyridines is
demonstrated as well, resulting in compounds possessing cellular potency and
enhanced in vivo activity in the rat collagen-induced arthritis model of chronic
inflammation.
PMID- 18039579
TI - Design and synthesis of DPP-IV inhibitors lacking the electrophilic nitrile
group.
AB - A series of (4beta-substituted)-L-prolylpyrrolidine analogs lacking the
electrophilic nitrile function were synthesized and their dipeptidyl peptidase IV
(DPP-IV) inhibitory activity and duration of ex vivo activity were evaluated.
Structural optimization of a N-(3-phenyl-1,2,4-thiadiazol-5-yl)piperazine analog
8, which was found by high-speed analog synthesis, was carried out to improve the
potency and duration of action. A representative compound 26 was evaluated to
assess its effect on the plasma glucose level after the oGTT (oral glucose
tolerance test) in normal rats. Structure-activity relationships (SAR) are also
presented.
PMID- 18039580
TI - IL-17/Th17 targeting: on the road to prevent chronic destructive arthritis?
AB - Interleukin-17A (IL-17A) contributes to the pathogenesis of arthritis. Data from
experimental arthritis indicate IL-17 receptor signaling as a critical pathway in
turning an acute synovitis into a chronic destructive arthritis. The
identification of six IL-17 family members (IL-17A-F) may extend the role of this
novel cytokine family in the pathogenesis of chronic destructive joint
inflammation. Whether the successful anti-IL-17A cytokine therapy in murine
arthritis can be effectively translated to human arthritis need to be tested in
clinical trials in humans. Interestingly, IL-17A and IL-17F are secreted by the
novel T helper subset named Th17. This novel pathogenic T cell population induces
autoimmune inflammation in mice and is far more efficient at inducing Th1
mediated autoimmune inflammation in mice than classical Th1 cells (IFN-gamma). In
addition to IL-17A and IL-17F, Th17 cells are characterized by expression of IL
6, TNF, GM-CSF, IL-21, IL-22 and IL-26. Th17 cells have been established as a
separate lineage of T helper cells in mice distinct from conventional Th1 and Th2
cells. Whether this also applies to human Th17 and whether RA is a Th1 or a Th17
mediated disease is still not clear. This review summarizes the findings about
the role of IL-17 in arthritis and discusses the impact of the discovery of the
novel Th17 cells for arthritis. Further studies are needed to unravel the role of
Th17 cells and the interplay of IL-17 and other Th17 cytokines in the
pathogenesis of arthritis and whether regulating Th17 cell activity will have
additional value compared to neutralizing IL-17A activity alone. This might help
to reach the ultimate goal not only to treat RA patients but to prevent the
development of this crippling disease.
PMID- 18039581
TI - Expression, purification and fluorine-18 radiolabeling of recombinant S100
proteins--potential probes for molecular imaging of receptor for advanced
glycation endproducts (RAGE) in vivo.
AB - Data concerning the pathophysiological role of the interaction of circulating
S100 proteins, a multigenic family of Ca(2+)-modulated proteins, with the
receptor for advanced glycation endproducts (RAGE) in cardiovascular diseases,
inflammatory processes, and tumorigenesis in vivo are scarce. One reason is the
shortage of suitable radiotracer methods. We report a novel methodology using
recombinant human S100A1, S100B, and S100A12 as potential probes for molecular
imaging of this interaction. Therefore, human S100 proteins were cloned as GST
fusion proteins in the bacterial expression vector pGEX-6P-1 and expressed in E.
coli strain BL21. Purified recombinant human S100 proteins were radiolabeled with
the positron emitter fluorine-18 ((18)F) by conjugation with N-succinimidyl-4
[(18)F]fluorobenzoate ([(18)F]SFB). The radiolabeled recombinant S100 proteins
((18)F-S100) were used in biodistribution experiments and small animal positron
emission tomography (PET) studies in rats. The tissue-specific distribution of
(18)F-S100 proteins in vivo correlated well with the anatomical localization of
RAGE, e.g., in lungs and in the vascular system. These findings indicate
circulating S100A1, S100B, and S100A12 proteins to be ligands for RAGE in rats in
vivo. The approach allows the use of small animal PET and provides novel probes
to delineate functional expression of RAGE under normal and pathophysiological
conditions in rodent models of disease.
PMID- 18039582
TI - Incorporating gaps as phylogenetic characters across eight DNA regions:
ramifications for North American Psoraleeae (Leguminosae).
AB - The impact of including insertion/deletion events as phylogenetic characters was
explored within North American Psoraleeae (Leguminosae). This comprehensive
analysis of the impact of gap character incorporation spanned four different
indel coding schemes, gaps coded as missing characters, simple binary characters,
multi-state characters, and as a 5th state, across two optimality criteria:
maximum parsimony and Bayesian Inference. Two nuclear (ITS and Waxy) and six
chloroplast (trnS/G, trnL/F, trnK, matK, trnD/T, and rpoB-trnC) DNA regions were
sequenced from 43 species of North American Psoraleeae as the foundation of the
study. Our results suggest that gaps can provide a substantial percentage of
informative characters and can increase phylogenetic resolution and nodal branch
support. Phylogenetic signal within indels was higher in chloroplast regions
relative to nuclear regions, demonstrating their inclusion as especially
important in chloroplast-based phylogenetic studies. Phylogenetic analysis of
generic relationships within Psoraleeae is largely congruent with that proposed
by Grimes (1990) with a few exceptions. New World species are supported as a
monophyletic group. Our analyses suggest that Otholobium may need to be split
into two genera and that Psoralidium is polyphyletic and will require movement of
Psoralidium tenuiflorum to Pediomelum.
PMID- 18039583
TI - Evolutionary insights inferred by molecular analysis of the ITS1-5.8S-ITS2 and
IGS Avena sp. sequences.
AB - In an attempt to clarify phylogenetic and genome relationships among 35 diploid
(A and C genomes), 13 tetraploid (AB and AC genomes) and 6 hexaploid (ACD genome)
Avena taxa, 71 clones of the ITS1-5.8S-ITS2 fragment were sequenced, aligned and
a network was constructed. In addition, the intergenic spacer (IGS) fragment was
fingerprinted by means of a RFLP analysis using three different restriction
enzymes. Both approaches led to comparable results. Clustering among the 54 Avena
sp. entries was according to karyotype. Major genic divergence between the A and
C genomes was revealed, while distinction among the A and B/D genomes was not
possible. High affinity among the AB genome tetraploids and the A(s) genome
diploid A. lusitanica was found, while AC genome tetraploids and ACD hexaploids
were highly affiliated with the A(l) genome diploid A. longiglumis. The possible
role of A. longiglumis in Avena sp. evolution is discussed.
PMID- 18039584
TI - On the participation of mTOR in recognition memory.
AB - Evidence indicates that activation of the neuronal protein synthesis machinery is
required in areas of the brain relevant to memory for consolidation and
persistence of the mnemonic trace. Here, we report that inhibition of hippocampal
mTOR, a protein kinase involved in the initiation of mRNA translation,
immediately or 180min but not 540min after training impairs consolidation of long
term object recognition memory without affecting short-term memory retention or
exploratory behavior. When infused into dorsal CA1 after long-term memory
reactivation in the presence of familiar objects the mTOR inhibitor rapamycin
(RAP) did not affect retention. However, when given immediately after exposing
animals to a novel and a familiar object, RAP impaired memory for both of them.
The amnesic effect of the post-retrieval administration of RAP was long-lasting,
did not happen after exposure to two novel objects or following exploration of
the training arena in the absence of other stimuli, suggesting that it was
contingent with reactivation of the consolidated trace in the presence of a
behaviorally relevant and novel cue. Our results indicate that mTOR activity is
required in the dorsal hippocampus for consolidation of object recognition memory
and suggest that inhibition of this kinase after memory retrieval in the presence
of a particular set of cues hinders persistence of the original recognition
memory trace.
PMID- 18039585
TI - Hippocampal low-frequency stimulation and chronic mild stress similarly disrupt
fear extinction memory in rats.
AB - Disruptions of fear extinction-related potentiation of synaptic efficacy in the
connection between the hippocampus (HPC) and the medial prefrontal cortex (mPFC)
have been shown to impair the recall of extinction memory. This study was
undertaken to examine if chronic mild stress (CMS), which is known to alter
induction of HPC-mPFC long-term potentiation, would also interfere with both
extinction-related HPC-mPFC potentiation and extinction memory. Following fear
conditioning (5 tone-shock pairings), rats were submitted to fear extinction (20
tone-alone presentations), which produced an increase in the amplitude of HPC
mPFC field potentials. HPC low-frequency stimulation (LFS), applied immediately
after training, suppressed these changes and induced fear return during the
retention test (5 tone-alone presentations). CMS, delivered before fear
conditioning, did not interfere with fear extinction but blocked the development
of extinction-related potentiation in the HPC-mPFC pathway and impaired the
recall of extinction. These findings suggest that HPC LFS may provoke metaplastic
changes in HPC outputs that may mimic alterations associated with a history of
chronic stress.
PMID- 18039586
TI - A multi-locus phylogeny for Phytophthora utilizing markers derived from complete
genome sequences.
AB - Phytophthora species are devastating plant pathogens in both agricultural and
natural environments. Due to their significant economic and environmental impact,
there has been increasing interest in Phytophthora genetics and genomics,
culminating in the recent release of three complete genome sequences (P. ramorum,
P. sojae, and P. infestans). In this study, genome and other large sequence
databases were used to identify over 225 potential genetic markers for
phylogenetic analyses. Here, we present a genus-wide phylogeny for 82
Phytophthora species using seven of the most informative loci (approximately 8700
nucleotide sites). Our results support the division of the genus into 10 well
supported clades. The relationships among these clades were rigorously evaluated
using a number of phylogenetic methods. This is the most comprehensive study of
Phytophthora relationships to date, and many newly discovered species have been
included. A more resolved phylogeny of Phytophthora species will allow for better
interpretations of the overall evolutionary history of the genus.
PMID- 18039587
TI - The Agrocybe aegerita mitochondrial genome contains two inverted repeats of the
nad4 gene arisen by duplication on both sides of a linear plasmid integration
site.
AB - The Agrocybe aegerita mitochondrial genome possesses two polB genes with linear
plasmid origin. The cloning and sequencing of the regions flanking Aa-polB P1
revealed two large inverted repeats (higher than 2421 nt) separated by a single
copy region of 5834 nt. Both repeats contain identical copies of the nad4 gene.
The single copy region contains two disrupted genes with plasmid origin Aa-polB
P1 and a small ORF homologous to a small gene described in two basidiomycete
linear plasmids. The phylogenetic analyses argue in favor of a same plasmid
origin for both genes but, surprisingly, these genes were separated by a
mitochondrial tRNA-Met. Both strands of the complete region containing the two
nad4 inverted copies and the tRNA-Met appear to be transcribed on large
polycistronic mRNAs. A model summarizing the events that would have occurred is
proposed: (1) capture of the tRNA by the plasmid before its integration in the
mtDNA or acquisition of the tRNA gene by recombination after the plasmid
integration, (2) integration of the plasmid in the mtDNA, accompanied by a large
duplication containing the nad4 gene and (3) erosion of the plasmid sequences by
large deletions and mutations.
PMID- 18039588
TI - Low frequency of the CYP21A2 deletion in ethnic Chinese (Taiwanese) patients with
21-hydroxylase deficiency.
AB - Congenital adrenal hyperplasia (CAH) is a common autosomal recessive disorder
which causes more than 90% of CAH cases due to defects in the steroid 21
hydroxylase gene (CYP21A2). The frequency of large mutations was determined in
200 ethnic Chinese (i.e., Taiwanese) CAH patients belonging to 200 families with
different clinical forms of CYP21A2 deficiency over 10 years of molecular
diagnoses. For a large-gene deletion (or conversion) and the CYP21A2 deletion
identification, a PCR product covering the TNXB gene and the 5'-end of the
CYP21A2 gene with TaqI endonuclease digestion was analyzed by electrophoresis on
agarose gels. For CYP21A2 mutational analysis, secondary PCR amplification of the
amplification-created restriction site method was applied. From the results of
the analysis, we found that large-gene deletions (or conversions) occurred in
7.5% of the alleles including three different types of the chimeric
CYP21A1P/CYP21A2 genes and the haplotype of IVS2-12A/C>G in combination with the
707-714del mutation (without the P30L mutation). The CYP21A2 deletion occurred in
2.0% of the alleles which contained three types of the chimeric TNXA/TNXB genes
with two novel ones. We concluded that the CYP21A2 deletion in the ethnic Chinese
(Taiwanese) patients exhibits a low occurrence, with the haplotype of the IVS2
12A/C>G in combination with the 707-714del mutation (without the P30L mutation)
being prevalent among large gene deletions or conversions.
PMID- 18039589
TI - Allele frequencies of 14 STR loci in the population of Malta.
AB - Allele frequencies of 14 STR loci (D13S317, D16S539, D2S1338, vWA, TPOX, D18S51,
D5S818, FGA, D8S1179, D21S11, D7S820, CSF1PO, TH01 and D3S1358) observed in the
population of Malta are being reported. Polymerase chain reaction (PCR)
amplification using the AmpFl STR Identifiler kit was performed in a random
sample of 157 subjects (314 chromosomes). Markers D2S1338, D18S51 and FGA had the
highest power of discrimination (PD) values while TPOX was the least informative
marker. Allele frequencies observed in the Maltese population were also compared
with those of other populations from the Mediterranean region, Europe and Africa.
Our data is useful for anthropological and other comparative studies of
populations and is powerful for forensic and paternity testing in the Maltese
islands.
PMID- 18039590
TI - Reliability of intensity dependence of auditory-evoked potentials.
AB - OBJECTIVE: Intensity dependence of auditory-evoked potentials (IAEP) is a
suggested indicator of serotonergic neurotransmission. In contrast to its
clinical renaissance, the reliability of IAEP has only been examined in a few
studies, most of which are limited due to the possibly confounding effects of age
and gender. Therefore, the present study examines different reliabilities of
various IAEP parameterizations while controlling for age and gender. METHODS:
Auditory-evoked potentials were recorded from 166 students. Of these 37 women and
25 men were retested after three weeks. RESULTS: Test-retest and odd-even
reliabilities were remarkable at Cz in both females (r=.88/.86) and males
(r=.82/.79). Reliabilities were higher in women, higher with linear than median
slopes and best at Cz. Bisection of sweep number, split-half reliability, the
second run, and lower intensities revealed lower reliabilities. CONCLUSIONS:
Reliabilities at Cz can reach the same level as previously reported by dipole
source-localization methods, if sufficient sweep number and linear slopes are
applied. SIGNIFICANCE: Based on theoretical arguments and current data, the
continued use of the easy and rapidly done single-channel IAEP is suggested,
although ideally in combination with multi-channel source-localization methods.
This would be seminal for a drafted program standardizing IAEP to further improve
its clinical utility.
PMID- 18039591
TI - Positive slow waves in the EEG of premature infants between 24 and 36 weeks of
conceptional age.
AB - OBJECTIVE: The aim of this prospective and longitudinal study was to characterize
EEG patterns during the first weeks of life in extremely premature infants.
METHODS: Twenty-five extremely premature infants were included and weekly EEG
recordings were obtained between 24 and 36 weeks of conceptional age (CA).
RESULTS: Central (rolandic) positive slow waves (CPSW) were found to be the most
reliable and characteristic pattern. CPSWs were frequent at 24 weeks CA and
progressively diminished and disappeared around 34 weeks CA. CPSWs appeared
isolated or in sequences, they occurred during periods of continuous or
discontinuous EEG activity, during bursts or during intervals of discontinuous
activity, and unilaterally or bilaterally. Temporal positive slow waves and theta
rhythms occurred less often and did not decrease as a function of CA. In
addition, the amount of discontinuity progressively decreased until 36 weeks CA.
The duration of bursts stayed constant, while inter-burst intervals decreased as
a function of CA. CONCLUSIONS: Central positive slow waves are characteristic
features of the EEG in the extremely premature infant. SIGNIFICANCE: The presence
of CPSWs and their progressive disappearance until 34 weeks CA may represent a
maturational marker in the EEG.
PMID- 18039592
TI - The influence of hostility on electroencephalographic activity and memory
functioning during an affective memory task.
AB - OBJECTIVE: The purpose of this study was to examine the neural correlates of
emotional learning and hostility via the use of EEG and the Auditory Affective
Verbal Learning Test (AAVL). METHODS: The Cook-Medley Hostility Scale (CMHO) was
used to identify right-handed men (N=16) and women (N=44) as low or high hostile.
Participants were administered the positive and negative word lists of the AAVL
lists, and were asked to recall the words during a 5-trial paradigm. EEG data
were recorded from 19 scalp sites before and following learning trials; separate
bandwidths of the EEG spectrum were analyzed. RESULTS: As predicted, completion
of the negative AAVL resulted in self-reported negative mood induction. Moreover,
primacy and recency effects were demonstrated with the negative and positive
versions of the AAVL, respectively. Unexpectedly, high hostiles demonstrated
greater right versus left hemisphere high alpha power than low hostile
counterparts. Low hostiles evidenced greater alpha power and low beta power than
did high hostiles. CONCLUSIONS: These results suggest differing patterns of
hemispheric asymmetry and overall brain activity for low and high hostiles during
emotional learning. SIGNIFICANCE: The findings are important with regard to
understanding the relationship between hostility, emotional learning, and
associated neural systems.
PMID- 18039593
TI - Changes in motor cortical excitability induced by high-frequency repetitive
transcranial magnetic stimulation of different stimulation durations.
AB - OBJECTIVE: To investigate the changes in cortical excitability of the human motor
cortex induced by high-frequency repetitive transcranial magnetic stimulation
(rTMS) of different stimulation durations. METHODS: Twenty healthy subjects
participated in the study. Subjects received 20 trains of 10-Hz rTMS at 80% of
the resting motor threshold (RMT) intensity with two different stimulation
durations (5 and 1.5s) over the motor hot spot for left first dorsal interosseous
(FDI) muscle. Electromyographic responses (motor-evoked potentials, MEPs) to
single-pulse stimulation, and intracortical inhibition (ICI) and intracortical
facilitation (ICF) by paired-pulse stimulation were measured bilaterally in the
relaxed FDI muscles before, immediately after, and 30, 60, 90 and 120 min after
rTMS. RESULTS: After 5s of 10-Hz rTMS, the mean amplitude of MEP for the
stimulated M1 cortex decreased for up to 90min (P=0.002) and that of the
unstimulated M1 cortex decreased for up to 60 min (P=0.008). Enhancement of ICI
and suppression of ICF were observed and sustained for more than 90 min in both
stimulated (P=0.001) and unstimulated (P=0.003) M1 cortex after 5s of 10-Hz rTMS.
After 1.5s of 10-Hz rTMS, the mean amplitude of MEP increased in stimulated
cortex for up to 120 min (P=0.005). CONCLUSIONS: With different stimulation
durations, high-frequency subthreshold rTMS can produce different patterns of
long-lasting changes in corticospinal and intracortical excitability in
stimulated and unstimulated motor cortex in healthy subjects. SIGNIFICANCE: The
results have important implications for the selection of stimulation parameters
other than the frequency of rTMS. The clinical application of rTMS for the
purpose of motor enhancement should be considered along with the mechanism of
different stimulation parameters.
PMID- 18039594
TI - Clinical neurophysiology of fatigue.
AB - Fatigue is a multidimensional concept covering both physiological and
psychological aspects. Chronic fatigue is a typical symptom of diseases such as
cancer, multiple sclerosis (MS), Parkinson's disease (PD) and cerebrovascular
disorders but is also presented by people in whom no defined somatic disease has
been established. If certain criteria are met, chronic fatigue syndrome can be
diagnosed. The 4-item Abbreviated Fatigue Questionnaire allows the extent of the
experienced fatigue to be assessed with a high degree of reliability and
validity. Physiological fatigue has been well defined and originates in both the
peripheral and central nervous system. The condition can be assessed by combining
force and surface-EMG measurements (including frequency analyses and muscle-fibre
conduction estimations), twitch interpolation, magnetic stimulation of the motor
cortex and analysis of changes in the readiness potential. Fatigue is a well
known phenomenon in both central and peripheral neurological disorders. Examples
of the former conditions are multiple sclerosis, Parkinson's disease and stroke.
Although it seems to be a universal symptom of many brain disorders, the unique
characteristics of the concomitant fatigue also point to a specific relationship
with several of these syndromes. As regards neuromuscular disorders, fatigue has
been reported in patients with post-polio syndrome, myasthenia gravis, Guillain
Barre syndrome, facioscapulohumeral dystrophy, myotonic dystrophy and hereditary
motor and sensory neuropathy type-I. More than 60% of all neuromuscular patients
suffer from severe fatigue, a prevalence resembling that of patients with MS.
Except for several rare myopathies with specific metabolic derangements leading
to exercise-induced muscle fatigue, most studies have not identified a prominent
peripheral cause for the fatigue in this population. In contrast, the central
activation of the diseased neuromuscular system is generally found to be
suboptimal. The reliability of the psychological and clinical neurophysiological
assessment techniques available today allows a multidisciplinary approach to
fatigue in neurological patients, which may contribute to the elucidation of the
pathophysiological mechanisms of chronic fatigue, with the ultimate goal to
develop tailored treatments for fatigue in neurological patients. The present
report discusses the different manifestations of fatigue and the available tools
to assess peripheral and central fatigue.
PMID- 18039595
TI - Compound nerve conduction velocity- a reflection of proprioceptive afferents?
AB - OBJECTIVE: To gather the required sample size to compare compound nerve
conduction velocities (CV) to cutaneous sensory CVs and motor CVs to find out if
there are statistically significant differences between these nerve fibre
populations. METHODS: We report age, height, and temperature standardized CVs for
cutaneous sensory, motor, and compound nerve fibres measured by
electroneuromyography (ENMG) for 109 median nerves in 74 people from different
age groups with no known neuropathy (age 50.4, median 49, range 21-87). RESULTS:
In the region of the forearm, mean CVs were 63.6m/s (CI=62.6-64.7) for compound
nerve fibres, 61.3m/s (CI=60.1-62.5) for cutaneous sensory fibres, and 56.3m/s
(CI=55.1-57.6) for motor fibres (for all p<0.001). Age explained most of the
variation of CVs (Pearson's coefficients -0.394, -0,538, and -0.443,
respectively, for all p25). An
inferiorly based pedicle was preferred in obese and big-breasted patients
(P<0.001), and the mean amount of resection per breast was greater using the
inferior 2 pedicle technique (888 g vs 431 g with superior pedicle technique,
P<0.001). Postoperative complications were frequent (52%) but overall
complication rate did not correlate with body weight, body mass index, age,
surgical technique or surgeon's experience (consultant vs senior registrar). The
most common complication was delayed healing due to superficial infection (26%),
skin necrosis or wound dehiscence (18%), followed by deep infection (8%) and
seroma formation (8%). In obese patients, areola necrosis was more frequent than
in patients with normal weight (6% vs 0%, P=0.007). The amount of resection and
the distance between clavicle and areola were also associated with a risk of
areola necrosis (P<0.05). Seromas were more frequent after superior pedicle than
after inferior pedicle reduction (14% vs 5%, P=0.019). The use of antibiotics did
not affect the infection risk. Surgical revisions were needed in 23% of the
patients, for delayed healing (8.8%), haemorrhage (4.0%), deep infection (1.1%)
and scars or puckers (13%). Reoperations were more frequent after operations
performed by senior registrars (34% vs 16%, P=0.001). Our results indicate that
obesity does not increase the complication risk in breast reduction surgery to
the extent that access to reduction mammaplasty should be restricted based solely
on body mass index.
PMID- 18039602
TI - [2,8-dihydroxyadenine nephrolithiasis: from diagnosis to therapy].
AB - Adenine phosphoribosyltransferase (APRT, EC 2.4.2.7) deficiency is an enzymopathy
of purine metabolism, which is inherited as an autosomal recessive trait. APRT is
a salvage enzyme that normally catalyzes the conversion of adenine to adenosine
monophosphate. APRT deficiency results in adenine accumulation with oxidation by
xanthine dehydrogenase (XDH; EC 1.1.1.204) to 2,8-dihydroxyadenine (2,8-DHA) then
excreted in urine. This compound is extremely insoluble and its crystallization
can lead to stone formation and renal failure. The diagnosis of the disease is
based on stone analysis by infrared spectroscopy or microscopic examination of
urine, which may reveal typical 2,8-DHA crystals. The enzyme activity
measurements in erythrocyte lysates will identify both homozygotes and
heterozygotes for APRT deficiency. Molecular approach can identify mutations
which are responsible of this inherited disease. Two types of deficit are
commonly distinguished, depending on the level of residual APRT activity: type I,
mainly observed in Caucasian subjects, in whom the enzyme activity is
undetectable in homozygous patients and type II, found in Japanese patients who
are able to form APRT but the enzyme activity is strikingly reduced because a low
affinity for phosphoribosylpyrophosphate. The crystallization of 2,8-DHA and
subsequent renal damages may be prevented with allopurinol therapy, a xanthine
oxidase inhibitor. The role of the laboratory is crucial to detect APRT
deficiency and to assess the efficacy of therapy, the objective being to avoid
2,8-DHA crystal formation.
PMID- 18039603
TI - [Clinical and epidemiological characterization of infections due to imipenem
resistant Acinetobacter baumannii at the university hospital Sahloul, Tunisia].
AB - OBJECTIVE: to characterize epidemiological and clinical features related to the
multi-drug Acinetobacter baumannii infections in the university hospital Sahloul
in Tunisia. MATERIAL AND METHODS: retrospective study including twenty-four
imipenem resistant Acinetobacter baumannii isolated from twenty patients
hospitalized in different wards of the hospital. Study of clinical features
related to the infection by multi-drug Acinetobacter baumannii, bacterial
identification by classical identification scheme, antibiotic susceptibilities
were determined by the disk diffusion method; genotyping was performed by
arbitrarily-primed PCR. RESULTS: the most incriminated ward was the intensive
care unit with a high prevalence of septicaemia. All studied strains were multi
drug to all beta-lactams tested. Genotyping has shown the clonality of studied
strains. Features incriminated in the acquisition of infection were essentially
immunodeficiency, invasive manoeuvring and antibiotherapy. CONCLUSION: multidrug
Acinetobacter baumannii is increasingly isolated in our hospital. Rational use of
antibiotics and rigorous application of hygienic rules could contribute to limit
dissemination of such strains.
PMID- 18039604
TI - [Comparison of five techniques to detect anti-Saccharomyces cerevisiae antibodies
(ASCA) in serum for diagnosing Crohn's disease].
AB - OBJECTIVE: the anti-Saccharomyces cerevisiae antibodies (ASCA) are diagnostic
markers found in Crohn's disease patients. The aim of this study was to compare
three Elisa (enzyme linked immunosorbent assay) kits with the indirect
immunofluorescence (IFI) technique and an immunodot for ASCA detection. MATERIALS
AND METHODS: we compared the results obtained using IFI (IgA and IgG) and Elisa
(IgA and IgG) in 139 patients (37 Crohn's disease). An immunodot (IgA+IgG) was
tested in a sub-group of 24 patients (18 Crohn's disease). RESULTS AND
DISCUSSION: for the different techniques by Elisa (IgA or IgG), the sensitivity
ranged from 65% to 76%, the specificity from 88% to 98%, the positive predictive
value (PPV) from 84% to 94% and the negative predictive value (NPV) from 88% to
93%. For IFI, the sensitivity was 81%, the specificity 100%, the PPV 100% and the
NPV 93%. The immunodot showed a specificity and PPV of 100% and NPV of 33%.
CONCLUSION: the detection of the ASCA is useful in the diagnosis of Crohn's
disease. IFI appears as the method of choice for its excellent sensitivity and
specificity, and affordable costs.
PMID- 18039605
TI - [Feto-maternal metabolism in human normal pregnancies: study of 73 cases].
AB - From 73 normal pregnancies of gestational age between 17 and 41 weeks of
gestation (WG), the concentrations of glucose, pyruvate and lactate, free fatty
acids, ketone bodies (aceto-acetate and beta-hydroxybutyrate) and cholesterol
were assessed on maternal venous blood (MVB) and umbilical venous blood (UVB),
sampled by cordocentesis. The objective of this work was to study feto-maternal
metabolism, as well as nutritional exchange between maternal blood and fetal
blood during the second and third trimesters of pregnancy. Maternal and fetal
glycemias, as well as maternal-fetal glucose concentration gradient, were found
stable during the studied gestational period; maternal glucose is always higher
than fetal glucose, with a mean concentration delta of 0.69+/-0.34 mmol/L.
Maternal lactate level (1.26+/-0.38 mmol/L) is lower than fetal lactate level
(1.48+/-0.46 mmol/L), whereas maternal blood pyruvate concentration (0.042+/
0.020 mmol/L) is higher than fetal blood pyruvate concentration (0.025+/-0.010
mmol/L). Consequently, mean lactate / pyruvate ratio is found twice lower in
maternal blood (31.77+/-9.89) than in fetal blood (64.10+/-17.12). Free fatty
acids concentration is approximately three times higher in maternal blood than in
fetal blood (respectively 0.435+/-0.247 mmol/L and 0.125+/-0.046 mmol/L).
Maternal venous aceto-acetate (0.051+/-0.042 mmol/L) and beta-hydroxybutyrate
(0.232+/-0.270 mmol/L) concentrations are significantly lower than those in UVB
(respectively 0.111+/-0.058 and 0.324+/-0.246 mmol/L) and the beta
hydroxybutyrate/aceto-acetate ratio is on average 1.7 times higher in MVB (4.75+/
2.5) than in UVB (2.82+/-1.18). Cholesterol concentration is significantly higher
in maternal blood (6.26+/-1.40 mmol/L) than in fetal blood (1.66+/-0.34 mmol/L).
Our results show the characteristics of oxidative metabolism of the fetus
compared with that of the adult. Blood concentration in energy substrates,
measured with glucose and free fatty acids levels, is low in UVB and suggests
increased energy needs of the growing fetus. Mean high concentrations in aceto
acetate and beta-hydroxybutyrate in UVB, indicate probably fetal ketogenesis. UVB
low cholesterolemia suggests high cholesterol consumption in the fetal
compartment for cellular membrane synthesis and steroid biosynthesis.
PMID- 18039606
TI - [Molecular phylogeny and genetic variability of echovirus 30 based on the
analysis of the 3' end of the VP1 gene].
AB - Echovirus 30 represent one of the most frequently isolated enterovirus serotype,
incriminated in various pathologies, essentially aseptic meningitis. Several
works studied the molecular epidemiology of these viruses. By analysing a region
of 260 nucleotides situated in the end of the VP1 gene (region regrouping the
majority of the sequences of the Echovirus 30), we proposed to realise a
synthesis work which regroup the main epidemiological studies on the Echovirus
30. We established a phylogenetic profile of 87 Echovirus strains geographically
distinct and isolated during a half a century (1957-2003). The phylogentic tree
permitted to distinguish 2 genogroups which the nucleotide divergence exceeds
20%. The 2 genogroups also present internal subdivisions named genotypes which
the nucleotide divergence is more than 15%. Finally, we noted phylogenetic
regroupings within a same genotype. The general profile of the phylogenetic tree
is characterised by a distribution of the Echovirus 30 strains in the time
independently of their geographically isolation, which reveals a genetic
evolution of these viruses related to their high genetic plasticity and the rapid
circulation from a geographic area to another.
PMID- 18039607
TI - [Immunoquantification of serum lipoprotein(a) in healthy Ivorian subject: a
comparative evaluation of two methods].
AB - We have determined the concentration of Lp(a) in an Ivory Coast population
(n=102) using two immunochemical methods: Laurell's monodimensional electro
immunodiffusion (EID) and immunonephelometry (IN). Within-run and between-run
precision was respectively 3.07% and 3.97% by IN and 1.52% and 4.48% by EID
method. As regard the exactitude, the bias goals in two methods were 3.5% and
3.0% respectively with IN and EID. The two methods were correlated (r=0.84;
p=0.006). Mean values of Lp(a) were significantly (p=0.0007) higher by IN than
EID: 0.48+/-0.34 g/L versus 0.32+/-0.19 g/L. The Lp(a) distributions were non
Gaussian, skewed towards high values, with median value of 0.47 g/L and 0.32 g/L
respectively for IN and EID methods. Therefore, we conclude that although both
methods showed a satisfactory precision, and results were correlated, Lp(a)
values were higher by INP. Furthermore, mean values of Lp(a) in presumed healthy
Ivorian is higher than in Caucasians.
PMID- 18039608
TI - [Bone marrow necrosis in two patients with neoplastic disorders].
AB - Bone marrow necrosis is defined by extensive necrosis of the myeloid tissue and
bone marrow stroma. Diagnosis is done on characteristic cytological pattern of
the bone marrow aspiration and/or biopsy. We report two observations. The first
patient, aged 75, has been hospitalized for fever, asthenia and lower back pain.
An haematological malignancy was suspected after observation of a few peripheral
blood blast cells, but necrosis was found on the bone marrow aspiration and could
not lead to further haematological diagnosis. Within next days, the white blood
cell count and the number of blasts increased, leading to the diagnosis of acute
monoblastic leukaemia. A chemotherapy was started but the patient died 20 days
after admission. The second patient, aged 28, has been hospitalized for severe
bleeding a few days after the diagnosis of a metastatic gastric tumour. The bone
marrow aspiration, made for the evaluation of a thrombocytopenia, showed a
massive necrosis. The patient deceased shortly after hospitalization. According
to literature, bone marrow necrosis is in most instances secondary to either an
haematological malignancy (60%) or to a solid tumour (30%), but only at times
observed with a non-malignant disorder. Bone pain, fever, cytopenias and elevated
serum lactic dehydrogenase and alkaline phosphatase are frequently reported, but
are mostly non specific of the diagnosis in these malignant conditions.
Examination of the bone marrow leads to the diagnosis: cells are pycnotic,
scarcely recognizable in a background of amorphous extracellular eosinophilic
proteinaceous material, and histology shows disappearance of fat spaces with
preservation of the bone tissue. Tissue hypoxemia due to microcirculation failure
may be the main mechanism leading to the necrosis, whatever the related disorder.
Supportive care together with specific therapy of the causal disease must be
started promptly. The prognosis depends on the underlying illness and is
generally very poor when extensive necrosis is observed.
PMID- 18039609
TI - [Kidney vasculitis connected to cryoglobulinemia IIA and hepatitis B].
AB - We report the case of a 70 years old patient hospitalized for renal insufficiency
and deterioration of the general state. The electrophoresis of serum proteins on
freezing of agarose reveals the presence of a discrete peak of monoclonal pace on
the level of the gammaglobulines identified by serum immunofixation like IgM of
the kappa type. The research of the cryoglobulinemia carried out in a laboratory
of city was made positive and typified like a monoclonal cryoglobulinemia IgM
kappa, thus directing the diagnosis towards a disease of Waldenstrom. However,
the result of the biopsy medullary made exclude any lymphoproliferatif syndrome.
The positivity of the serology of hepatitis B justified a second request for
study of the cryoglobulinemia, carried out within our laboratory. The
cryoglobuline was typified like mixed (IgM kappa monoclonal and IgG
polyclonales). This result associated with the immunological assessment and the
renal biopsy made retain for our patient the diagnosis of a kidney vasculitis
connected to an infection chronicle by the virus of hepatitis B. This observation
points out the interest of the preanalytic, analytic and post analytic phases in
the study of the cryoglobulinemias. A good technical control is today the only
guarantee of the quality of the result of this examination which has large
importance in internal medicine in the etiologic assistance with the diagnosis of
certain clinical demonstrations.
PMID- 18039610
TI - [Biochemical and molecular diagnosis of Gaucher disease in Tunisia].
AB - Our study was carried out at a family from the Sahel (Tunisia). The father (index
case) and his two children (son and daughter). The father beta-glucocerebrosidase
(GCB) activity showing a deficit. These biochemical analyses are supplemented by
molecular studies: enzymatic digestion and the direct sequencing. Two mutations
were analysed, the p.Asn 370 Ser and the p.Leu 444 Pro. The DNA sequencing
confirmed the presence of the homozygous genotype of this p.Asn 370 Ser in the
father DNA and the heterozygous one in the two children DNA. It has no detection
of the 55 pb deletion in exon 9 among all the specimens of DNA treated. The
mutation p.Asn 370 Ser is associated with Gaucher disease type 1 correlated of a
total absence of neurological involvements.
PMID- 18039611
TI - [Early detection of leptomeningeal metastasis in patients with metastatic breast
carcinoma: validation of CA 15-3 measurement in cerebrospinal fluid].
AB - Fifteen per cent of metastatic breast cancer will develop symptomatic
leptomeningeal metastases. The introduction of trastuzumab (Herceptin) therapy
has improved the response rates of survival of patients with metastatic breast
cancer overexpressing HER2. Although previous studies are retrospective and of
limited number, involving small study groups and different types of patient
management, several authors have reported a 30% incidence of leptomeningeal
metastases in patients with metastatic breast cancer overexpressing HER2 who were
treated with trastuzumab, while 70 to 80% of cases of the disease were controlled
systemically. In order to improve control of the disease at the level of the
central nervous system (CNS), routine detection of leptomeningeal metastases in
high-risk patients could be offered. CA 15-3 in cerebrospinal fluid (CSF)
detection might be useful in helping to diagnose CNS metastases, particularly
where cytology results are negative--which applies to 30% of cases--because tumor
markers are more sensitive in detecting the tumor process. Our study validate CA
15-3 measurement in CSF and reference values were given.
PMID- 18039612
TI - [Monoclonal IgM interference with immunoturbidimetric determination of ferritin
and transferrin].
AB - When they are present in important blood concentration, the monoclonal
immunoglobulins are known to interfere with many immuno-analyze assays. We report
the case of a patient presenting a Waldenstrom macroglobulinemia, for which the
determination of some parameters of the martial assessment was impossible because
of the presence of IgM kappa. The analysis of analytical alarms of the automat as
well as the installation of simple and fast pre-treatment protocol of the
interference enabled us to quickly make a result useful for the management of
this patient.
PMID- 18039613
TI - [Contribution of the genetic fingerprintings compared to grouping ABO/Rhesus
technique in the expertise of filiation].
AB - Paternity is based on biological analyzes that have drastically developed during
the past 20 years. According to scientific developments, paternity testing was
based on red blood groups studies, the analysis of red cell enzymes and plasma
proteins polymorphisms, the typing of the HLA antigens, and the DNA polymorphism
in its various forms. This study aims at comparing two analyses: red blood groups
and DNA polymorphism. The performance of each test is analyzed in this report,
based on a study of 142 cases. Indeed, the numbers of case of paternity exclusion
are respectively 6 and 45 by the classic method and the genetic one. Thanks to
studies based on the gene amplification of microsatellites, the efficiency of
this reference technique has been proved, however, the classic one makes it
possible in the cases of exclusion to lead to a certain decision without recourse
to other systems. Of these facts, beyond the most efficient biological analysis,
it is very important to think about paternity testing as a process in which
biological tests are only one step.
PMID- 18039614
TI - [Statistical comparison of the results of the blood cells count obtained with a
Micros 60 ABX analyzer using the genuine reagents and generics reagents kits].
AB - Using the haematology automated analyser Micros 60 (Horiba ABX, Montpellier,
France), the results of the blood cells count obtained with the generic reagents
kit made by SFRI Company (Saint-Jean d'Illac, France, www.sfri.com) have been
compared with the results obtained with the genuine reagents supplied by Horiba
ABX Company. MATERIALS AND METHOD: 50 whole blood samples (EDTA tubes) collected
during the blood donation procedures were used after their biological tests in
the blood bank, for the statistical study. Linearity, correlation coefficients,
coefficient of variation (CV), means and DS were calculated and compared.
RESULTS: overall correlations calculated between the both reagents kits were
excellent. No statistical differences were found comparing the means, linearity
and CV. These results were suggesting that uses of these generic reagents kits
sensibly were able to improve the ratio Price Quality of the blood cell counts in
routine.
PMID- 18039615
TI - [About the choice of acceptability limits in external quality assessment
schemes].
AB - Quality control schemes are a practical tool used in clinical laboratories and an
essential element for any quality assurance process. In France, external quality
assessment schemes (EQAS) can be mandatory (as national quality control organized
by AFSSAPS) or voluntary as those suggested by French associations (ProBioQual,
CTCB or Asqualab). These EQAS usually evaluate participants according to their
performances: this ranking depends on acceptability limits which are here
compared. Various examples based on ProBioQual's background illustrate
difficulties to plan out analytical quality specifications. A comment is given
about the best criteria (state of the art or biological variation mainly) to be
considered to delimit analytic goals. This discussion includes approaches
suggested by French committee on accreditation (Cofrac). All criteria could be
criticized but it is important to compare oneself laboratory to peers and also to
take account of biological variation.
PMID- 18039616
TI - [Metabolic therapy for early treatment of age-related macular degeneration].
AB - Currently, age-related macular degeneration is one of the most common eye
diseases causing severe and permanent loss of vision. This disease is estimated
to affect approximately 300-500 thousand Hungarians. While earlier no treatment
was available, in the recent decade an antioxidant therapy became very popular
using combinations of high dosage antioxidant vitamins C, E, beta carotene and
zinc. Based on theoretical concepts and mostly in vitro experiences, this
combination was thought to be effective through neutralizing reactive oxygen
species. According to a large clinical trial (AREDS) it reduced progression of
intermediate state disease to advanced state, but did not influence early
disease. This original combination, due to potential severe side effects, is not
on the market anymore. However, the efficacy of modified formulas has not been
proved yet. Recently, the metabolic therapy, a combination of omega-3 fatty
acids, coenzyme Q10 and acetyl-L-carnitine has been introduced for treating early
age-related macular degeneration through improving mitochondrial dysfunction,
specifically improving lipid metabolism and ATP production in the retinal pigment
epithelium, improving photoreceptor turnover and reducing generation of reactive
oxygen species. According to a pilot study and a randomized, placebo-controlled,
double blind clinical trial, both central visual field and visual acuity slightly
improved after 3-6 months of treatment and they remained unchanged by the end of
the study. The difference was statistically significant as compared to the base
line or to controls. These functional changes were accompanied by an improvement
in fundus alterations: drusen covered area decreased significantly as compared to
the base line or to control. Characteristically, all these changes were more
marked in less affected eyes. A prospective case study on long-term treatment
confirmed these observations. With an exception that after slight improvement,
visual functions remained stable, drusen regression continued for years.
Sometimes significant regression of drusen was found even in intermediate and
advanced cases. All these findings strongly suggested that the metabolic therapy
may be the first choice for treating age-related macular degeneration. Currently,
this is the only combination of ingredients corresponding to the recommended
daily allowance, and at the same time, which showed clinically proved efficacy.
PMID- 18039617
TI - [Liver resection for living-donor liver transplantation: anesthesia and intensive
care aspects].
AB - The living related donor mortality after liver donation could occur as a result
of postoperative cardiovascular and thromboembolic complication; which could be
minimized by detailed preoperative assessment of the living donor. The
preoperative functional tests evaluate the physiological reserve or identify the
living donors with limited response to the surgical stress. Based on the results
of CT volumetry, MRI and liver functional reserve capacity test (indocyanine
green retention ratio) the liver resection can be done safely. The preoperative
cytochrome P enzymes tests of donors identify the drugs with abnormal metabolism.
Balanced anesthesia combined with thoracic epidural anesthesia is done with liver
safe, renal safe and ischemic preconditioning drugs. Normovolemic state is
maintained with physiologic extrahepatic perfusion and oxygenation conditions.
The central venous and hepatic artery pressure is reduced with the guarantee of
optimal hepatic perfusion-oxygenation and better liver resection condition.
Intraoperative thrombosis prophylaxis is performed with sequential compression
device. After liver resection the donor morbidity can be reduced, effective
analgesia, thrombosis prophylaxis, liver safe drug therapy and a tight
monitoring. Before the first postoperative mobilization a deep vein Doppler
ultrasound control is proposed.
PMID- 18039618
TI - [Molecular biological virus identification in dilated cardiomyopathy].
AB - Enteroviruses have been considered to be the most common cause of acute
myocarditis and possible consequence of dilated cardiomyopathy. Some publications
shed light to the role of other viruses in this disease as well. Our molecular
investigation has demonstrated that adeno- and herpes viruses might also
frequently occur in dilated cardiomyopathy. AIM: The aim of our study was to
screen virus genomes in heart tissues from heart-transplanted patients to prove
their possible role in the pathogenesis of dilated cardiomyopathy. METHODS: DNA
and RNA were isolated from five regions of the heart muscle. Amplification for
Adenovirus Type 3, Human Herpes Virus Type 6 and Enterovirus genomes were
performed by nested-Polymerase Chain Reaction. Finally the virus-positive samples
were direct sequenced. RESULTS: In 2 patients Adenovirus Type 3 and in 1 patient
both Adenovirus Type 3 and Human Herpes Virus Type 6 were detected. No
enteroviruses were found in any heart tissue. CONCLUSIONS: In our study the
adenovirus genome was found to be the most frequent virus genome in explanted
heart tissues. The identified viral sequences proved previous viral infection,
which could have played a role in the development of dilated cardiomyopathy.
Detection of different viruses in the myocardium by molecular biological
examinations might contribute to adequate treatment of these patients.
PMID- 18039619
TI - [Life expectancy of extremely preterm infants].
AB - Extremely preterm infants [gestational age (GA) between 24-28 weeks] should be
delivered optimally in an institute where neonatal intensive care unit (NICU) is
available and their short- and long-term care is ensured. At the Department of
Obstetrics and Gynecology, Medical School, University of Pecs, 7499 infants were
born between 1st of January, 2000 and 31st of December, 2004. During this period
the rate of preterm deliveries was 20% (1499/7499). Among preterm infants the
incidence of extremely preterm babies (GA 28 weeks or less) was 18% (272/1499),
the rate of profoundly preterm infants (GA less than 25 weeks) was 3.2%
(48/1499). Advancing with gestational age the survival rate is increasing. At the
department, the rate of handicapped infants among extremely premature babies was
15.3%. The majority of the handicapped infants were profoundly preterm,
meanwhile, more than 50% of infants born at the 26 gestational weeks were free of
symptoms influencing social activities. It is important to stress the prognostic
value of the screening for hearing loss (otoacoustic emission), visual problems,
and intracranial bleeding for the early detection and cure of the possible
complications of prematurity.
PMID- 18039620
TI - [Cystic struma ovarii, a rare form of ovarian tumor--case report, and review of
the literature].
AB - Struma ovarii represents less than 3% of ovarian teratomas. It can be associated
with thyroid biology abnormalities, and in exceptionally rare cases it can be
malignant. The authors report a case of a 31-year-old woman who underwent
resection of a left ovarian cyst, presenting with the clinical features of an
ovarian cancer (large pelvic mass, ascites and elevated CA-125 serum levels). The
pathologic diagnosis was benign struma ovarii. The postoperative thyroid function
remained normal.
PMID- 18039621
TI - [Anton Masch and the Polyglotton Medicum].
PMID- 18039626
TI - Factors in consistency between attitudes and behavior: implications for policies
and programs. 1981-82.
PMID- 18039627
TI - Barriers to care seeking in directly observed therapy short-course (DOTS) clinics
and tuberculosis control in southern Nigeria: a qualitative analysis.
AB - An understanding of the socioeconomic and cultural realities of persons infected
with tuberculosis (TB) in communities is important to re-strategizing control
programs because these realities often come as constraints to the use of the
directly observed therapy short-course (DOTS) in Nigeria. In-depth interviews and
focus group discussion were used to study barriers to attendance at DOTS clinics
for both prompt diagnosis and treatment of smear positive cases in Nigerian
communities. A number of common and interrelated factors form barriers to use of
DOTS clinics. These include perceived causes of the infection, for example
witchcraft, that mitigate against an orthodox solution to TB and thereby affect
perceived efficacy of DOTS. Another factor is perceived high cost in resource
poor settings. Facility staff were noted to have demanded money from patients in
spite of the fact that DOTS is advertised as free treatment. Furthermore,
community members complain of the hostile attitude of health staff toward poor
people as a barrier to community use of the facilities. It follows, therefore,
that the attitude of health workers must be addressed if community members will
use the DOTS clinics.
PMID- 18039628
TI - Self-perception of body weight, weight management practices and goals, and other
weight-related factors in central and eastern European adolescents.
AB - Self-perception of body weight, management practices and goals, and other weight
related factors were assessed among a sample of 2,566 adolescents from 30 high
schools in Hungary, Slovakia, Czech Republic, Romania, Ukraine, and Poland.
Students who perceived themselves as much too fat were more likely than those
with other weight perceptions to engage in weight management practices to lose
weight, have higher body mass index, rate themselves lower on physical
attractiveness, and estimate higher percentages of their same-sex friends as
trying to lose weight. Similar to other research, boys and girls differed on self
perception of weight and other weight-related factors. Cross-cultural comparisons
between central and eastern European adolescents and U.S. adolescents, as well as
east and southeast Asian youth are made. Implications for health education
practice are discussed.
PMID- 18039629
TI - Role of social support, hardiness, and acculturation as predictors of mental
health among international students of Asian Indian origin.
AB - This study determined the role of social support, hardiness, and acculturation as
predictors of mental health among international Asian Indian students enrolled at
two large public universities in Ohio. A sample of 185 students completed a 75
item online instrument assessing their social support levels, acculturation,
hardiness, and their mental health. Regression analyses were conducted to test
for variance in mental health attributable to each of the three independent
variables. The final regression model revealed that the belonging aspect of
social support, acculturation and prejudice of acculturation scale, and
commitment and control of hardiness were all predictive of mental health (R2 =
0.523). Recommendations have been offered to develop interventions that will help
strengthen the social support, hardiness, and acculturation of international
students and help improve their mental health. Recommendations for development of
future Web-based studies also are offered.
PMID- 18039630
TI - Exercise, blood sugar, blood pressure, and cholesterol levels in a Caribbean
population.
AB - This study used a population-based sample of 407 Seventh-day Adventists in
Barbados to determine the relationship between physical activity, blood sugar,
hypertension, and cholesterol levels. A systematic random sampling technique was
used to select participants: 37.6% were male and 62.4% female. No significant
association was found between exercise and the outcome variables: blood sugar,
blood pressure, and cholesterol levels of participants. However, females appeared
to be more sedentary when compared to males. A significant association was seen
between weekly exercise and triglycerides, but only in male participants.
PMID- 18039631
TI - African women and vulnerability to HIV/AIDS: implications for female related
cultural practices.
AB - Violence against women in Africa dates back to primordial society, where cultural
practices such as female genital mutilation and polygamous marriages were
prescribed and supervised by male-dominated social structures. Women's status has
always been at high risk, since such practices are male supervised. With the
upsurge of the HIV/AIDS pandemic globally, research needs to focus on such
cultural practices, the dangers of such practices, and possible eradication. The
present article examines the change-resistant, prevailing religious, social, and
psychological barriers to change.
PMID- 18039632
TI - Innovative approaches in the treatment and support of patients with acute
myelogenous leukemia.
PMID- 18039633
TI - Difficulties with fungal infections in acute myelogenous leukemia patients:
immune enhancement strategies.
AB - Invasive fungal infection in severely immunosuppressed patients with acute
myelogenous leukemia (AML) remains a serious challenge because (a) of the higher
rates of non-drug susceptible fungal sinopulmonary disease; (b) despite advances
in diagnostic fungal assays, the correct identification of causative organism(s)
is difficult, and antifungal drug susceptibility data are seldom available during
clinical decision making; and (c) the increasing frequencies of zygomycosis,
scedosporiosis, and highly virulent Candida tropicalis infection have undermined
the gains attributed to effective anti-Aspergillus drug therapy. Recombinant
cytokines, such as recombinant human (rh)GM-CSF and interferon (IFN)-gamma, have
been explored to augment host antifungal immune responses. These cytokines
promote activation and recruitment of granulocyte and mononuclear phagocytic
effector cells. Prophylaxis with rhGM-CSF was associated with significantly fewer
life-threatening and serious (grade > or =3) infections, especially in older
patients undergoing treatment for AML. The limited experience with rhGM-CSF for
the treatment of invasive fungal infections in combination with antifungal
drug(s) was associated with a favorable outcome, and in contrast to Escherichia
coli-derived rhGM-CSF, the new preparation (sargramostim) was well tolerated and
rarely associated with serious systemic toxicities. Similarly, IFN-gamma has been
successfully used in patients with antimicrobial drug-refractory and/or
disseminated fungal infection. Most patients tolerate the T-helper type 1
protagonist cytokine without serious adverse events. In difficult-to-treat fungal
infections, the addition of cytokines appears to improve outcome and may be
considered early in severely immunosuppressed patients with AML.
PMID- 18039634
TI - Role of macrophages in host defense against aspergillosis and strategies for
immune augmentation.
AB - Invasive aspergillosis is a major cause of morbidity and mortality in highly
immunocompromised patients with cancer. Alveolar macrophages ingest inhaled
conidia (spores). Through pathogen recognition receptors that ligate fungal cell
wall motifs, macrophages are able to coordinate the inflammatory response to
Aspergillus species. Macrophages and dendritic cells play an important role in
regulating the balance between the proinflammatory and anti-inflammatory cytokine
responses that are required for recruitment and activation of neutrophils, and in
augmenting or attenuating cellular immunity. Macrophages are therefore a target
for immune augmentation strategies that include administration of cytokines,
colony-stimulating factors, and pathogen recognition receptor ligands.
PMID- 18039635
TI - Recent developments in acute myelogenous leukemia therapy.
AB - Recent progress has been made in several areas in the treatment of acute
myelogenous leukemia (AML): prognostic factors, allogeneic bone marrow
transplantation, and new and targeted therapies. Delineation and clarification of
prognostic factors have led to improved risk determination, with research moving
from cytogenetics to an examination of molecular markers. Trends in the area of
allogeneic bone marrow transplantation include broad adoption of reduced
intensity conditioning despite the lack of prospective comparative studies.
Although the preponderance of data has established this as a feasible option, a
true understanding of how much of an advantage it conveys needs to be established
in prospective studies. The use of alternative donors is another advance, and
recent data are promising, but survival is poor if transplantation is performed
when disease is active, especially during refractory relapse or refractory
disease. When haploidentical matched donors are used, survival rates appear
similar to those reported with matched unrelated-donor transplants. Analysis of
the data for allogeneic transplantation shows that HLA-identical sibling
transplants to patients in the first complete remission (CR1) provide the highest
probability of long-term survival, compared with HLA-identical sibling
transplants to patients in later remissions. Similarly, unrelated-donor
transplants to high-risk patients in CR1 lead to a greater degree of success than
unrelated-donor transplants to patients in CR2 or later remission. Cord blood has
also been established as a suitable source for hematopoietic transplantation in
AML. A third area of recent progress involves new and targeted therapies.
Multiple new agents with tremendous potential are in development and clinical
trials. Therapy can even be tailored to several specific genetic subtypes of AML.
PMID- 18039636
TI - The role of sargramostim (rhGM-CSF) as immunotherapy.
AB - GM-CSF stimulates the differentiation of hematopoietic progenitors to monocytes
and neutrophils, and reduces the risk for febrile neutropenia in cancer patients.
GM-CSF also has been shown to induce the differentiation of myeloid dendritic
cells (DCs) that promote the development of T-helper type 1 (cellular) immune
responses in cognate T cells. This review summarizes some of the immunological
effects of GM-CSF relevant to antitumor immunity in cancer patients. GM-CSF has
been used to augment the activity of rituximab in patients with follicular
lymphoma and to induce autologous antitumor immunity in patients with hormone
refractory prostate cancer. GM-CSF causes upregulation of costimulatory molecule
expression on leukemia blasts in vitro, enhancing their ability to present
antigen to allogeneic T cells, and, in combination with interferon-alpha, can
induce antitumor immune responses in patients whose acute leukemia has relapsed
following allogeneic hematopoietic progenitor cell transplant. Tumor cells
engineered to secrete GM-CSF are particularly effective as antitumor vaccines,
and the addition of GM-CSF to standard vaccines may increase their effectiveness
by recruiting DCs to the site of vaccination. However, a significant limitation
in the use of GM-CSF as an immunostimulatory agent is that objective antitumor
responses are infrequent, and are often not durable. Effective and durable
antitumor immunity will likely require novel methods to eliminate
counterregulatory immune responses that limit activation and expansion of
cytotoxic T cells with antitumor activity.
PMID- 18039637
TI - Effectiveness of computed tomography to evaluate central giant cell lesion.
AB - Central giant cell lesion (CGCL) is a benign disease involving the mandible (70%)
more than the maxilla; it tends to be more common in women. Clinically, the
lesion may be associated with pain, tooth displacement, facial asymmetry,
paraesthesia and ulceration of the mucosa. The radiographic aspect of CGCL is
highly variable since it may appear as a unilocular or multilocular radiolucent
area with expansion and perforation of the cortical bone. Few previous reports
have dealt with the usefulness of CT in the evaluation of this lesion. The
purpose of this study is to report the clinical and imaging features of a case,
including CT, treated by a conservative method.
PMID- 18039638
TI - Oxidative stress and ferritin levels in haemodialysis patients.
AB - BACKGROUND: Increased oxidative stress (OS) and inflammation are associated with
atherosclerotic coronary artery disease in haemodialysis (HD) patients. Ferritin
may have other effects in addition to its role in storing intracellular iron.
This study was performed to determine any relationships between markers of OS,
nutrition and inflammation in HD patients with normal and high ferritin levels.
METHODS: Our cohort comprised 34 maintenance dialysis patients on erythropoietin
therapy and 22 healthy controls. HD patients were divided into two groups: 17
with normal (<800 ng/ml) and 17 with high (>800 ng/ml) ferritin levels, and we
measured lipid profile, albumin, highly sensitive C-reactive protein (hsCRP),
anti-oxidant enzymes [whole blood glutathione peroxidase (Gpx), serum superoxide
dismutase (SOD), paraoxonase, arylestherase (AE) and total anti-oxidant status
(TAOC)], anti-oxidants (vitamin C) and lipid peroxidation products [red blood
cell malondialdehyde (RBC MDA)]. RESULTS: Compared with controls, the HD patients
had higher serum urea, blood pressure, triglyceride, hsCRP, RBC MDA, SOD and TAOC
values and lower albumin, low-density lipoprotein cholesterol, apolipoprotein AI,
paraoxonase, AE and whole blood Gpx activities. Serum vitamin C, uric acid,
apolipoprotein B, total- and high-density lipoprotein cholesterol, apolipoprotein
B MDA, and lymphocyte levels in the HD patients with normal and high ferritin
levels were similar. The OS markers of HD patients did not differ, whether or not
they received intravenous iron supplementation or had transferrin saturations <
50% or > or = 50%. CONCLUSION: HD patients are in a higher oxidative state, which
results in the reduction of total anti-oxidant capacity and also have an
increased inflammation status. We could not find a relationship between ferritin
level and OS markers in HD patients receiving erythropoietin.
PMID- 18039639
TI - Towards the prevention of bone fractures in dialysed patients?
PMID- 18039640
TI - Acute kidney injury due to deferoxamine in a renal transplant patient.
PMID- 18039641
TI - After several years of witchhunting, can calcium-based phosphate binding be
released on probation?
PMID- 18039642
TI - Predictors of new-onset decline in kidney function in a general middle-european
population.
AB - BACKGROUND: Limited epidemiological data are available on predictors of new-onset
kidney disease. METHODS: In this longitudinal cohort study, 17 375 apparently
healthy volunteers of the general Viennese population (46.4% women, age range 20
84 years, men 20-89 years) performed a baseline examination at some time within
the study period (1990-2005) and completed a median of two follow-up examinations
[interquartile range (IQR) 1 to 4]; the median follow-up period was 7 years (IQR
4 to 11). The outcome of interest was the development of kidney disease, defined
as a decrease of the glomerular filtration rate (GFR) <60 ml/min/1.73 m(2) at the
follow-up examinations [calculated by the abbreviated modification of diet in
renal disease (MDRD) equation]. Logistic generalized estimating equations were
used to analyse the relationship between the covariates and the outcome variable.
RESULTS: The following parameters [odds ratios (OR) with 95% confidence
intervals] predicted new-onset kidney disease: Age (increase by 5 years), OR =
1.36 (1.34-1.40); National Kidney Foundation-chronic kidney disease (NKF-CKD)
stage 1 with proteinuria (+), OR = 1.39 (1.10-1.75); NKF-CKD stage 1 with
proteinuria (>/=++), OR = 2.07 (1.11-3.87); NKF-CKD stage 2 with proteinuria (+),
OR = 2.71 (2.10-3.51); NKF-CKD stage 2 with proteinuria (>/=++), OR = 3.80 (2.29
6.31); body mass index, OR = 1.04 (1.02-1.06); current-smoker, OR = 1.20 (1.01
1.43); performing no sports, OR = 1.57 (1.27-1.95); uric acid (increase by 2
mg/dl), OR = 1.69 (1.59-1.80); HDL-cholesterol (decrease by 10 mg/dl), OR = 1.12
(1.07-1.17); hypertension stage 1, OR = 1.35 (1.08-1.67); hypertension stage 2,
OR = 2.01 (1.62-2.51); diabetes mellitus, OR = 1.44 (1.07-1.93). CONCLUSIONS:
Cardiovascular risk factors as well as NKF-CKD stages 1 and 2 and proteinuria,
the more the higher and an entirely novel finding, performing no sports,
predicted new-onset kidney disease.
PMID- 18039643
TI - Prescription of an intermittent haemodialysis dose using urea kinetic modelling
is feasible in the critically ill patient.
PMID- 18039644
TI - Tacrolimus: a new therapy for steroid-resistant nephrotic syndrome in children.
AB - This study was conducted to evaluate the safety and efficacy of tacrolimus (TAC)
in children with SRNS. The study group comprised of 22 consecutive children with
steroid-resistant nephrotic syndrome (SRNS) who were studied prospectively. TAC
was initiated with a dose of 0.10 mg/kg/day, and the dose was increased to attain
a trough level of 5.0-10.0 g/l. These patients were treated with concomitant
prednisone, which was subsequently tapered off and stopped. The primary outcome
variable was the number of patients who attained a complete remission (CR) or
partial remission (PR). The mean age of onset was 7.33 +/- 5.9 years, and there
were 20 boys and 2 girls. Of the 22 children, 9 had minimal change disease, 11
had focal segmental glomerulosclerosis and the other 2 had diffuse mesangial
hypercellularity on histopathology. TAC had to be withdrawn in 3 children because
of its side effects. Of the remaining 19 children who received adequate therapy
and were able to achieve target levels, CR was seen in 16 (84%) children, 2
(10.5%) attained PR and 1 was nonresponsive. The mean time to achieve remission
was 63.2 +/- 44 days and the mean dose of TAC was 0.18 +/- 0.07 mg/kg. The mean
urine spot protein/creatinine ratios were significantly lower (0.33 +/- 0.58 vs.
13.5 +/- 21.9 mg/mg, p = 0.002) and the mean serum albumin levels were
significantly higher (3.92 +/- 0.35 g/dl vs. 2.39 +/- 0.56 g/dl, p = 0.00005), as
compared to those prior to starting TAC. The mean glomerular filtration rate
values at the end of the study were similar to those prior to starting TAC (97.9
+/- 21.2 ml/min/1.73 m(2) vs. 96.4 +/- 18.4 ml/min/1.73 m(2), p = 0.30). The mean
duration of follow-up was 290 +/- 126 days. This is the largest study so far on
the safety and efficacy of TAC therapy in SRNS. Our results suggest that TAC is
an effective therapeutic modality for SRNS, including the subgroup of children
who are nonresponsive to the current therapeutic modalities like cyclophosphamide
and cyclosporine.
PMID- 18039645
TI - Risk factors of the progression of abdominal aortic calcification in patients on
chronic haemodialysis.
PMID- 18039647
TI - Identifying patients with type 2 diabetes at high risk of microalbuminuria:
results of the DEMAND (Developing Education on Microalbuminuria for Awareness of
reNal and cardiovascular risk in Diabetes) Study.
AB - BACKGROUND: We evaluated to what extent the presence of risk factors and their
interactions increased the likelihood of microalbuminuria (MAU) among individuals
with type 2 diabetes. METHODS: Fifty-five Italian diabetes outpatient clinics
enrolled a sample of patients with type 2 diabetes, without urinary infections
and overt diabetic nephropathy. A morning spot urine sample was collected to
centrally determine the urinary albumin/creatinine ratio (ACR). A tree-based
regression technique (RECPAM) and multivariate analyses were performed to
investigate interaction between correlates of MAU. RESULTS: Of the 1841 patients
recruited, 228 (12.4%) were excluded due to the presence of urinary infections
and 56 (3.5%) for the presence of macroalbuminuria. Overall, the prevalence of
MAU (ACR = 30-299 mg/g) was of 19.1%. The RECPAM algorithm led to the
identification of seven classes showing a marked difference in the likelihood of
MAU. Non-smoker patients with HbA1c <7% and waist circumference =102 cm showed
the lowest prevalence of MAU (7.5%), and represented the reference class.
Patients with retinopathy, waist circumference >98 cm and HbA1c >8% showed the
highest likelihood of MAU (odds ratio = 13.7; 95% confidence intervals 6.8-27.6).
In the other classes identified, the risk of MAU ranged between 3 and 5. Age,
systolic blood pressure, HDL cholesterol levels and diabetes treatment
represented additional, global correlates of MAU. CONCLUSIONS: The likelihood of
MAU is strongly related to the interaction between diabetes severity, smoking
habits and several components of the metabolic syndrome. In particular, abdominal
obesity, elevated blood pressure levels and low HDL cholesterol levels
substantially increase the risk of MAU. It is of primary importance to monitor
MAU in high-risk individuals and aggressively intervene on modifiable risk
factors.
PMID- 18039649
TI - Identification of two homologous genes, chlAI and chlAII, that are differentially
involved in isocyclic ring formation of chlorophyll a in the cyanobacterium
Synechocystis sp. PCC 6803.
AB - The isocyclic ring (E-ring) is a common structural feature of chlorophylls. The E
ring is formed by two structurally unrelated Mg-protoporphyrin IX monomethylester
(MPE) cyclase systems, oxygen-dependent (AcsF), and oxygen-independent (BchE)
systems, which involve incorporation of an oxygen atom from molecular oxygen and
water into the C-13(1) position of MPE, respectively. Which system operates in
cyanobacteria that can thrive in a variety of anaerobic environments remains an
open question. The cyanobacterium Synechocystis sp. PCC 6803 has two acsF-like
genes, sll1214 (chlA(I)) and sll1874 (chlA(II)), and three bchE-like genes,
slr0905, sll1242, and slr0309. Five mutants lacking one of these genes were
isolated. The DeltachlA(I) mutant failed to grow under aerobic conditions with
anomalous accumulation of a pigment with fluorescence emission peak at 595 nm,
which was identified 3,8-divinyl MPE by high-performance liquid chromatography
mass spectrometry analysis. The growth defect of DeltachlA(I) was restored by the
cultivation under oxygen-limited (micro-oxic) conditions. MPE accumulation was
also detected in DeltachlA(II) grown under microoxic conditions, but not in any
of the bchE mutants. The phenotype was consistent with the expression pattern of
two chlA genes: chlA(II) was induced under micro-oxic conditions in contrast to
the constitutive expression of chlA(I). These findings suggested that ChlA(I) is
the sole MPE cyclase system under aerobic conditions and that the induced
ChlA(II) operates together with ChlA(I) under micro-oxic conditions. In addition,
the accumulation of 3,8-divinyl MPE in the DeltachlA mutants suggested that the
reduction of 8-vinyl group occurs after the formation of E-ring in Synechocystis
sp. PCC 6803.
PMID- 18039648
TI - Clinical policies on the management of chronic kidney disease patients in Italy.
AB - BACKGROUND: Recent studies have indicated that the implementation of
international guidelines for the management of renal patients is suboptimal in
Italy. The Italian Society of Nephrology (SIN) decided to undertake a multicentre
study to obtain a clear picture of clinical policies on chronic kidney disease
(CKD) in Italy. METHODS: A 76-item structured questionnaire, designed to evaluate
the organization of clinical care, was administered to the director of each
participating centre, within the context of a large observational trial in 100
Italian nephrology centres, collecting information on newly diagnosed CKD
patients (K/DOQI stage 3-5) on conservative treatment. This paper reports the
questionnaire results related to management of anaemia and bone metabolism
disorders; assessment of renal function; creation of a vascular access for
dialysis and referral of patients to a nephrologist. RESULTS: Clinical policies
at the centre level deviated from guideline recommendations in 70% (timing of
vascular access creation) to 25% (assessment of iron deficiency) of centres.
Assessment of renal function differed from the recommended approach in 30% of
centres; clinical policies related to anaemia and bone disease did not coincide
with guideline standards in 50 and 40% of centres, respectively. Directors of
renal unit estimates indicate that the creation of a vascular access occurs very
late in 38% of patients and that referral to a nephrologist is late in
approximately 40% of cases. CONCLUSION: This survey in Italy highlights important
deviations of clinical policies at the centre level from guideline
recommendations.
PMID- 18039650
TI - The regulated cell surface zymogen activation of the proprotein convertase PC5A
directs the processing of its secretory substrates.
AB - The proprotein convertases are synthesized as zymogens that acquire activity upon
autocatalytic removal of their NH(2)-terminal prosegment. Based on the convertase
furin, to fold properly and gain activity, the convertases PC5A, PACE4, and PC7
are presumed to undergo two sequential prosegment cleavages in the endoplasmic
reticulum and then in the trans-Golgi network. However, biochemical and
immunocytochemical experiments revealed that mouse PC5A is complexed to its
prosegment at the plasma membrane. This labeling is lost upon treatment with
heparin and is increased by overexpressing members of the syndecan family and
CD44, suggesting attachment of secreted PC5A-prosegment complex to heparan
sulfate proteoglycans. Following stimulation of Y1 cells with adrenocorticotropic
hormone or 8-bromo-cyclic AMP, the cell surface labeling of the prosegment of
PC5A is greatly diminished, whereas the signal for mature PC5A is increased.
Moreover, after stimulation, the protease activity of PC5A is enhanced, as
evidenced by the cleavage of the PC5A substrates Lefty, ADAMTS-4, endothelial
lipase, and PCSK9. Our data suggest a novel mechanism for PC5A activation and
substrate cleavage at the cell surface, through a regulated removal of its
prosegment. A similar mechanism may also apply to the convertase PACE4, thereby
extending our knowledge of the molecular details of the zymogen activation and
functions of these heparan sulfate proteoglycan-bound convertases.
PMID- 18039651
TI - Inhibitor-complexed structures of the cytochrome bc1 from the photosynthetic
bacterium Rhodobacter sphaeroides.
AB - The cytochrome bc(1) complex (bc(1)) is a major contributor to the proton motive
force across the membrane by coupling electron transfer to proton translocation.
The crystal structures of wild type and mutant bc(1) complexes from the
photosynthetic purple bacterium Rhodobacter sphaeroides (Rsbc(1)), stabilized
with the quinol oxidation (Q(P)) site inhibitor stigmatellin alone or in
combination with the quinone reduction (Q(N)) site inhibitor antimycin, were
determined. The high quality electron density permitted assignments of a new
metal-binding site to the cytochrome c(1) subunit and a number of lipid and
detergent molecules. Structural differences between Rsbc(1) and its mitochondrial
counterparts are mostly extra membranous and provide a basis for understanding
the function of the predominantly longer sequences in the bacterial subunits.
Functional implications for the bc(1) complex are derived from analyses of 10
independent molecules in various crystal forms and from comparisons with
mitochondrial complexes.
PMID- 18039652
TI - Complex I is the major site of mitochondrial superoxide production by paraquat.
AB - Paraquat (1,1'-dimethyl-4,4'-bipyridinium dichloride) is widely used as a redox
cycler to stimulate superoxide production in organisms, cells, and mitochondria.
This superoxide production causes extensive mitochondrial oxidative damage,
however, there is considerable uncertainty over the mitochondrial sites of
paraquat reduction and superoxide formation. Here we show that in yeast and
mammalian mitochondria, superoxide production by paraquat occurs in the
mitochondrial matrix, as inferred from manganese superoxide dismutase-sensitive
mitochondrial DNA damage, as well as from superoxide assays in isolated
mitochondria, which were unaffected by exogenous superoxide dismutase. This
paraquat-induced superoxide production in the mitochondrial matrix required a
membrane potential that was essential for paraquat uptake into mitochondria. This
uptake was of the paraquat dication, not the radical monocation, and was carrier
mediated. Experiments with disrupted mitochondria showed that once in the matrix
paraquat was principally reduced by complex I (mammals) or by NADPH
dehydrogenases (yeast) to form the paraquat radical cation that then reacted with
oxygen to form superoxide. Together this membrane potential-dependent uptake
across the mitochondrial inner membrane and the subsequent rapid reduction to the
paraquat radical cation explain the toxicity of paraquat to mitochondria.
PMID- 18039653
TI - Autophagy is involved in nutritional stress response and differentiation in
Trypanosoma cruzi.
AB - Autophagy is the major mechanism used by eukaryotic cells to degrade and recycle
proteins and organelles. Bioinformatics analysis of the genome of the protozoan
parasite Trypanosoma cruzi revealed the presence of all components of the Atg8
conjugation system, whereas Atg12, Atg5, and Atg10 as the major components of the
Atg12 pathway could not be identified. The two TcATG4 (autophagin) homologs
present in the genome were found to correctly process the two ATG8 homologs after
the conserved Gly residue. Functional studies revealed that both ATG4 homologues
but only one T. cruzi ATG8 homolog (TcATG8.1) complemented yeast deletion
strains. During starvation of the parasite, TcAtg8.1, but not TcAtg8.2, was found
by immunofluorescence to be located in autophagosome-like vesicles. This confirms
its function as an Atg8/LC3 homolog and its potential to be used as an
autophagosomal marker. Most importantly, autophagy is involved in differentiation
between developmental stages of T. cruzi, a process that is essential for
parasite maintenance and survival. These findings suggest that the autophagy
pathway could represent a target for a novel chemotherapeutic strategy against
Chagas disease.
PMID- 18039654
TI - The pentatricopeptide repeats present in Pet309 are necessary for translation but
not for stability of the mitochondrial COX1 mRNA in yeast.
AB - Pet309 is a protein essential for respiratory growth. It is involved in
translation of the yeast mitochondrial COX1 gene, which encodes subunit I of the
cytochrome c oxidase. Pet309 is also involved in stabilization of the COX1 mRNA.
Mutations in a similar human protein, Lrp130, are associated with Leigh syndrome,
where cytochrome c oxidase activity is affected. The sequence of Pet309 reveals
the presence of at least seven pentatricopeptide repeats (PPRs) located in tandem
in the central portion of the protein. Proteins containing PPR motifs are present
in mitochondria and chloroplasts and are in general involved in RNA metabolism.
Despite the increasing number of proteins from this family found to play
essential roles in mitochondria and chloroplasts, little is understood about the
mechanism of action of the PPR domains present in these proteins. In a series of
in vivo analyses we constructed a pet309 mutant lacking the PPR motifs. Although
the stability of the COX1 mRNA was not affected, synthesis of Cox1 was abolished.
The deletion of one PPR motif at a time showed that all the PPR motifs are
required for COX1 mRNA translation and respiratory growth. Mutations of basic
residues in PPR3 caused reduced respiratory growth. According to a molecular
model, these residues are facing a central cavity that could be involved in mRNA
binding activity, forming a possible path for this molecule on Pet309. Our
results show that the RNA metabolism function of Pet309 is found in at least two
separate domains of the protein.
PMID- 18039655
TI - Deletion of the selenocysteine tRNA gene in macrophages and liver results in
compensatory gene induction of cytoprotective enzymes by Nrf2.
AB - The selenocysteine tRNA (tRNA(Sec)) molecule is the sight of synthesis for the
amino acid selenocysteine and the adaptor for its translational insertion into
selenoprotein enzymes, the majority of which contribute to cellular redox
homeostasis. To examine the consequences of selenoprotein depletion on the
oxidative environment of the cell, we generated a conditional knock-out mouse for
the tRNA(Sec) gene (Trsp). Deletion of Trsp in either macrophages or liver
elevated oxidative stress and activated the transcriptional induction of
cytoprotective antioxidant and detoxification enzyme genes, including glutathione
S-transferase P1 and NAD(P)H:quinone oxidoreductase 1, and other well known
target genes of the transcription factor Nrf2 (NF-E2-related factor 2).
Simultaneous disruption of Trsp and Nrf2 severely compromised the cytoprotective
response. Double knock-out macrophages displayed reduced viability, elevated
oxidative stress, and increased susceptible to hydrogen peroxide treatment
compared with deletion of either gene alone. Mice carrying a liver-specific
deletion of Trsp on an Nrf2-null background experienced hepatocellular apoptosis
and displayed a severely reduced survival rate compared with loss of Trsp alone.
Our results thus demonstrate that reduced selenoprotein activity is
counterbalanced by an Nrf2-mediated cytoprotective response, which is essential
for maintaining cellular redox homeostasis and viability.
PMID- 18039656
TI - Formation of a major histocompatibility complex class I tapasin disulfide
indicates a change in spatial organization of the peptide-loading complex during
assembly.
AB - The assembly and peptide loading of major histocompatibility complex Class I
molecules within the endoplasmic reticulum are essential for antigen presentation
at the cell surface and are facilitated by the peptide-loading complex. The
formation of a mixed disulfide between the heavy chain of Class I and components
of the loading complex (ERp57, protein disulfide isomerase, and tapasin) suggests
that these molecules are involved in the redox regulation of components during
assembly and peptide loading. We demonstrate here that a disulfide formed between
heavy chain and tapasin can occur between cysteine residues located in the
cytosolic regions of these proteins following translation of heavy chain in an in
vitro translation system. The formation of this disulfide occurs after assembly
into the loading complex and is coincident with the stabilization of the alpha2
disulfide bond within the peptide binding grove. A ternary complex between heavy
chain, ERp57, and tapasin was observed and shown to be stabilized by a disulfide
between both tapasinheavy chain and tapasin-ERp57. No disulfides were observed
between ERp57 and heavy chain within the loading complex. The results provide a
detailed evaluation of the various transient disulfides formed within the peptide
loading complex during biosynthesis. In addition, the absence of the disulfide
between tapasin and heavy chain in TAP-deficient cells indicates that a change in
the spatial organization of tapasin and heavy chain occurs following assembly
into the loading complex.
PMID- 18039657
TI - Mutational activation of ErbB2 reveals a new protein kinase autoinhibition
mechanism.
AB - Autoinhibition plays a key role in the control of protein kinase activity. ErbB2
is a unique receptor-tyrosine kinase that does not bind ligand but possesses an
extracellular domain poised to engage other ErbBs. Little is known about the
molecular mechanism for ErbB2 catalytic regulation. Here we show that ErbB2
kinase is strongly autoinhibited, and a loop connecting the alphaC helix and
beta4 sheet within the kinase domain plays a major role in the control of kinase
activity. Mutations of two Gly residues at positions 776 and 778 in this loop
dramatically increase ErbB2 catalytic activity. Kinetic analysis demonstrates
that mutational activation is due to approximately 10- and approximately 7-fold
increases in ATP binding affinity and turnover number, respectively. Expression
of the activated ErbB2 mutants in cells resulted in elevated ligand-independent
ErbB2 autophosphorylation, ErbB3 phosphorylation, and stimulation of mitogen
activated protein kinase. Molecular modeling suggests that the ErbB2 kinase
domain is stabilized in an inactive state via a hydrophobic interaction between
the alphaC-beta4 and activation loops. Importantly, many ErbB2 human cancer
mutations have been identified in the alphaC-beta4 loop, including the activating
G776S mutation studied here. Our findings reveal a new kinase regulatory
mechanism in which the alphaC-beta4 loop functions as an intramolecular switch
that controls ErbB2 activity and suggests that loss of alphaC-beta4 loop-mediated
autoinhibition is involved in oncogenic activation of ErbB2.
PMID- 18039658
TI - The proprotein convertase PCSK9 induces the degradation of low density
lipoprotein receptor (LDLR) and its closest family members VLDLR and ApoER2.
AB - The proprotein convertase PCSK9 gene is the third locus implicated in familial
hypercholesterolemia, emphasizing its role in cardiovascular diseases. Loss of
function mutations and gene disruption of PCSK9 resulted in a higher clearance of
plasma low density lipoprotein cholesterol, likely due to a reduced degradation
of the liver low density lipoprotein receptor (LDLR). In this study, we show that
two of the closest family members to LDLR are also PCSK9 targets. These include
the very low density lipoprotein receptor (VLDLR) and apolipoprotein E receptor 2
(ApoER2) implicated in neuronal development and lipid metabolism. Our results
show that wild type PCSK9 and more so its natural gain of function mutant D374Y
can efficiently degrade the LDLR, VLDLR, and ApoER2 either following cellular co
expression or re-internalization of secreted human PCSK9. Such PCSK9-induced
degradation does not require its catalytic activity. Membrane-bound PCSK9
chimeras enhanced the intracellular targeting of PCSK9 to late
endosomes/lysosomes and resulted in a much more efficient degradation of the
three receptors. We also demonstrate that the activity of PCSK9 and its binding
affinity on VLDLR and ApoER2 does not depend on the presence of LDLR. Finally, in
situ hybridization show close localization of PCSK9 mRNA expression to that of
VLDLR in mouse postnatal day 1 cerebellum. Thus, this study demonstrates a more
general effect of PCSK9 on the degradation of the LDLR family that emphasizes its
major role in cholesterol and lipid homeostasis as well as brain development.
PMID- 18039659
TI - Structure and function of the smallest vertebrate telomerase RNA from teleost
fish.
AB - Telomerase extends chromosome ends by copying a short template sequence within
its intrinsic RNA component. Telomerase RNA (TR) from different groups of species
varies dramatically in sequence and size. We report here the bioinformatic
identification, secondary structure comparison, and functional analysis of the
smallest known vertebrate TRs from five teleost fishes. The teleost TRs (312-348
nucleotides) are significantly smaller than the cartilaginous fish TRs (478-559
nucleotides) and tetrapod TRs. This remarkable length reduction of teleost fish
TRs correlates positively with the genome size, reflecting an unusual structural
plasticity of TR during evolution. The teleost TR consists of a compact three
domain structure, lacking most of the sequences in regions that are variable in
other vertebrate TR structures. The medaka and fugu TRs, when assembled with
their telomerase reverse transcriptase (TERT) protein counterparts, reconstituted
active and processive telomerase enzymes. Titration analysis of individual RNA
domains suggests that the efficient assembly of the telomerase complex is
influenced more by the telomerase reverse transcriptase (TERT) binding of the CR4
CR5 domain than the pseudoknot domain of TR. The remarkably small teleost fish TR
further expands our understanding about the evolutionary divergence of vertebrate
TR.
PMID- 18039660
TI - Autophosphorylation docking site Tyr-867 in Mer receptor tyrosine kinase allows
for dissociation of multiple signaling pathways for phagocytosis of apoptotic
cells and down-modulation of lipopolysaccharide-inducible NF-kappaB
transcriptional activation.
AB - Efficient clearance of apoptotic cells is essential for tissue homeostasis,
allowing for cellular turnover without inflammatory consequences. The Mer (Nyk
and c-Eyk) receptor tyrosine kinase (Mertk) is involved in two aspects of
apoptotic cell clearance by acting as a receptor for Gas6, a gamma-carboxylated
phosphatidylserine-binding protein that bridges apoptotic and viable cells.
First, Mertk acts in a bona fide engulfment pathway in concert with alphavbeta5
integrin by regulating cytoskeletal assemblages, and second, it acts as a
negative regulator for inflammation by down-modulating pro-inflammatory signals
mediated from bacterial lipopolysaccharide-Toll-like receptor 4 (TLR4) signaling,
and hence recapitulating anti-inflammatory immune modulation by apoptotic cells.
Here we describe Mertk post-receptor events that govern phagocytosis and
cytoskeletal signaling are principally mediated by autophosphorylation site Tyr
867. Using the Mertk Y867F mutant and pharmacological inhibitors, we show that
Tyr-867 is required for phosphatidylinositol 3-kinase and phospholipase Cgamma2
activation; their activation in turn elicits protein kinase C-dependent signals
that act on the actin cytoskeleton. Although Mertk(Y867F) blocked the tyrosine
phosphorylation of FAK on Tyr-861 and p130(cas) and also abrogated the
phagocytosis of apoptotic cells, this mutant did not suppress lipopolysaccharide
inducible NF-kappaB transcription, nor was NF-kappaB activation dependent on the
protein kinase C inhibitor, calphostin C. Finally, unlike the cytoskeletal events
associated with Tyr-867 autophosphorylation, the trans-inhibition of NF-kappaB
occurred in a postnuclear-dependent fashion independent of cytosolic IkappaB
phosphorylation and p65/RelA sequestration. Taken together, these data suggest
that Mertk has distinct and separable effects for phagocytosis and for resolving
inflammation, providing a molecular rationale for how immune licensing and
inflammation can be dissociated from phagocytosis in a single phagocytic
receptor.
PMID- 18039661
TI - Reversible tyrosine protein phosphorylation regulates large conductance voltage-
and calcium-activated potassium channels via cortactin.
AB - Large conductance calcium- and voltage-activated potassium (BK) channels assemble
as macromolecular signaling complexes and are potently regulated by reversible
protein phosphorylation. However, although numerous studies have revealed
regulation of BK channels through changes in direct phosphorylation of the pore
forming alpha-subunits the functional role of changes in phosphorylation of
defined adapter/signaling proteins within the complex on channel function are
essentially not known. Here, we demonstrate that mammalian BK channels are
potently regulated by endogenous protein-tyrosine kinase and protein-tyrosine
phosphatase activity closely associated with the channel. BK channel regulation
was not dependent upon direct phosphorylation of the BK alpha-subunit, rather
channel function was controlled by the tyrosine phosphorylation status of the
adapter protein cortactin that assembles directly with the BK channel. Our data
thus reveal a novel mode for BK channel regulation by reversible tyrosine
phosphorylation and strongly support the hypothesis that phosphorylation
dependent regulation of accessory proteins within the BK channel signaling
complex represents an important target for control of BK channel function.
PMID- 18039662
TI - Cyclic nucleotide-dependent protein kinases inhibit binding of 14-3-3 to the
GTPase-activating protein Rap1GAP2 in platelets.
AB - GTPase-activating proteins are required to terminate signaling by Rap1, a small
guanine nucleotide-binding protein that controls integrin activity and cell
adhesion. Recently, we identified Rap1GAP2, a GTPase-activating protein of Rap1
in platelets. Here we show that 14-3-3 proteins interact with phosphorylated
serine 9 at the N terminus of Rap1GAP2. Platelet activation by ADP and thrombin
enhances serine 9 phosphorylation and increases 14-3-3 binding to endogenous
Rap1GAP2. Conversely, inhibition of platelets by endothelium-derived factors
nitric oxide and prostacyclin disrupts 14-3-3 binding. These effects are mediated
by cGMP- and cAMP-dependent protein kinases that phosphorylate Rap1GAP2 at serine
7, adjacent to the 14-3-3 binding site. 14-3-3 binding does not change the GTPase
activating function of Rap1GAP2 in vitro. However, 14-3-3 binding attenuates
Rap1GAP2 mediated inhibition of cell adhesion. Our findings define a novel
crossover point of activatory and inhibitory signaling pathways in platelets.
PMID- 18039663
TI - BAX inhibitor-1 modulates endoplasmic reticulum stress-mediated programmed cell
death in Arabidopsis.
AB - The components and pathways that regulate programmed cell death (PCD) in plants
remain poorly understood. Here we describe the impact of drug-induced endoplasmic
reticulum (ER) stress on Arabidopsis seedlings and present evidence for the role
of Arabidopsis BAX inhibitor-1 (AtBI1) as a modulator of ER stress-mediated PCD.
We found that treatment of Arabidopsis seedlings with tunicamycin (TM), an
inhibitor of N-linked glycosylation and an inducer of ER stress by triggering
accumulation of unfolded proteins in the ER, results in strong inhibition of root
growth and loss of survival accompanied by typical hallmarks of PCD such as
accumulation of H(2)O(2), chromatin condensation, and oligonucleosomal
fragmentation of nuclear DNA. These phenotypes are alleviated by co-treatment
with either of two different chemical chaperones, sodium 4-phenylbutyrate and
tauroursodeoxycholic acid, both with chaperone properties that can reduce the
load of misfolded protein in the ER. Expression of AtBI1 mRNA and its promoter
activity are increased dramatically prior to initiation of TM-induced PCD.
Compared with wild-type plants, two AtBI1 mutants (atbi1-1 and atbi1-2) exhibit
hypersensitivity to TM with accelerated PCD progression. Conversely,
overexpressing AtBI1 markedly reduces the sensitivity of Arabidopsis seedlings to
TM. However, alterations in AtBI1 gene expression levels do not cause a
significant effect on the expression patterns of typical ER stress-inducible
genes (AtBip2, AtPDI, AtCRT1, and AtCNX1). We propose that AtBI1 plays a pivotal
role as a highly conserved survival factor during ER stress that acts in parallel
to the unfolded protein response pathway.
PMID- 18039664
TI - In vitro reconstitution of plant Atg8 and Atg12 conjugation systems essential for
autophagy.
AB - Genetic and biochemical analyses using yeast Saccharomyces cerevisiae showed that
two ubiquitin-like conjugation systems, the Atg8 and Atg12 systems, exist and
play essential roles in autophagy, the bulk degradation system conserved in yeast
and mammals. These conjugation systems are also conserved in Arabidopsis
thaliana; however, further detailed study of plant ATG (autophagy-related)
conjugation systems in relation to those in yeast and mammals is needed. Here, we
describe the in vitro reconstitution of Arabidopsis thaliana ATG8 and ATG12
(AtATG8 and AtATG12) conjugation systems using purified recombinant proteins.
AtATG12b was conjugated to AtATG5 in a manner dependent on AtATG7, AtATG10, and
ATP, whereas AtATG8a was conjugated to phosphatidylethanolamine (PE) in a manner
dependent on AtATG7, AtATG3, and ATP. Other AtATG8 homologs (AtATG8b-8i) were
similarly conjugated to PE. The AtATG8 conjugates were deconjugated by AtATG4a
and AtATG4b. These results support the hypothesis that the ATG conjugation
systems in Arabidopsis are very similar to those in yeast and mammals.
Intriguingly, in vitro analyses showed that AtATG12-AtATG5 conjugates accelerated
the formation of AtATG8-PE, whereas AtATG3 inhibited the formation of AtATG12
AtATG5 conjugates. The in vitro conjugation systems reported here will afford a
tool with which to investigate the cross-talk mechanism between two conjugation
systems.
PMID- 18039666
TI - Characterization of a short isoform of human Tgs1 hypermethylase associating with
small nucleolar ribonucleoprotein core proteins and produced by limited
proteolytic processing.
AB - Tgs1 is the hypermethylase responsible for m(3)G cap formation of U small nuclear
RNAs (U snRNAs) and small nucleolar RNAs (snoRNAs). In vertebrates,
hypermethylation of snRNAs occurs in the cytoplasm, whereas this process takes
place in the nucleus for snoRNAs. Accordingly, the hypermethylase is found in
both compartments with a diffuse localization in the cytoplasm and a
concentration in Cajal bodies in the nucleoplasm. In this study, we report that
the Tgs1 hypermethylase exists as two species, a full-length cytoplasmic isoform
and a shorter nuclear isoform of 65-70 kDa. The short isoform exhibits
methyltransferase activity and associates with components of box C/D and H/ACA
snoRNPs, pointing to a role of this isoform in hypermethylation of snoRNAs. We
also show that production of the short Tgs1 isoform is inhibited by MG132,
suggesting that it results from proteasomal limited processing of the full-length
Tgs1 protein. Together, our results suggest that proteasome maturation
constitutes a mechanism regulating Tgs1 function by generating Tgs1 species with
different substrate specificities, subcellular localizations, and functions.
PMID- 18039665
TI - The lack of binding of VEK-30, an internal peptide from the group A streptococcal
M-like protein, PAM, to murine plasminogen is due to two amino acid replacements
in the plasminogen kringle-2 domain.
AB - VEK-30, a 30-amino acid internal peptide present within a streptococcal M-like
plasminogen (Pg)-binding protein (PAM) from Gram-positive group-A streptococci
(GAS), represents an epitope within PAM that shows high affinity for the lysine
binding site (LBS) of the kringle-2 (K2) domain of human (h)Pg. VEK-30 does not
interact with this same region of mouse (m)Pg, despite the high conservation of
the mK2- and hK2-LBS. To identify the molecular basis for the species specificity
of this interaction, hPg and mPg variants were generated, including an hPg
chimera with the mK2 sequence and an mPg chimera containing the hK2 sequence. The
binding of synthetic VEK-30 to these variants was studied by surface plasmon
resonance. The data revealed that, in otherwise intact Pg, the species
specificity of VEK-30 binding in these two cases is entirely dictated by two K2
residues that are different between hPg and mPg, namely, Arg-220 of hPg, which is
a Gly in mPg, and Leu-222 of hPg, which is a Pro in mPg, neither of which are
members of the canonical K2-LBS. Neither the activation of hPg, nor the enzymatic
activity of its activated product, plasmin (hPm), are compromised by replacing
these two amino acids by their murine counterparts. It is also demonstrated that
hPg is more susceptible to activation to hPm after complexation with VEK-30 and
that this property is greatly reduced as a result of the R220G and L222P
replacements in hPg. These mechanisms for accumulation of protease activity on
GAS likely contribute to the virulence of PAM(+)-GAS strains and identify targets
for new therapeutic interventions.
PMID- 18039667
TI - PIKfyve negatively regulates exocytosis in neurosecretory cells.
AB - Regulated secretion depends upon a highly coordinated series of protein-protein
and protein-lipid interactions. Two phosphoinositides, phosphatidylinositol 4,5
bisphosphate and phosphatidylinositol 3-phosphate, are important for the ATP
dependent priming of the secretory apparatus prior to Ca(2+)-dependent
exocytosis. Mechanisms that control phosphoinositide levels are likely to play an
important role in priming fine tuning. Here we have investigated the involvement
of PIKfyve, a phosphoinositide 5-kinase that can phosphorylate
phosphatidylinositol 3-phosphate to produce phosphatidylinositol 3,5-bisphosphate
on large dense core vesicle exocytosis from neuroendocrine cells. PIKfyve
localizes to a subpopulation of secretory granules in chromaffin and PC12 cells.
Nicotine stimulation promoted recruitment of PIKfyve-EGFP onto secretory vesicles
in PC12 cells. YM-201636, a selective inhibitor of PIKfyve activity, and PIKfyve
knockdown by small interfering RNA potentiated secretory granule exocytosis.
Overexpression of PIKfyve or its yeast orthologue Fab1p inhibited regulated
secretion in PC12 cells, whereas a catalytically inactive PIKfyve mutant had no
effect. These results demonstrate a novel inhibitory role for PIKfyve catalytic
activity in regulated secretion and provide further evidence for a fine tuning of
exocytosis by 3-phosphorylated phosphoinositides.
PMID- 18039668
TI - Ligand dynamics and early signaling events in the heme domain of the sensor
protein Dos from Escherichia coli.
AB - In the heme-based sensor Dos from Escherichia coli, the ferrous heme is
coordinated by His-77 and Met-95. The latter residue is replaced upon oxygen
binding or oxidation of the heme. Here we investigate the early signaling
processes upon dissociation of the distal ligand using ultrafast spectroscopy and
site-directed mutagenesis. Geminate CO rebinding to the heme domain DosH appears
insensitive to replacement of Met-95, in agreement with the notion that this
residue is oriented out of the heme pocket in the presence of external ligands. A
uniquely slow 35-ps phase in rebinding of the flexible methionine side chain
after dissociation from ferrous DosH is completely abolished in rebinding of the
more rigid histidine side chain in the M95H mutant protein, where only the 7-ps
phase, common to all 6-coordinate heme proteins, is observed. Temperature
dependence studies indicate that all rebinding of internal and external ligands
is essentially barrierless, but that CfigsO escape from the heme pocket is an
activated process. Solvent viscosity studies combined with molecular dynamics
simulations show that there are two configurations in the ferrous 6-coordinate
protein, involving two isomers of the Met-95 side chain, of which the structural
changes extend to the solvent-exposed backbone, which is part of the flexible FG
loop. One of these configurations has considerable motional freedom in the Met-95
dissociated state. We suggest that this configuration corresponds to an early
signaling intermediate state, is responsible for the slow rebinding, and allows
small ligands in the protein to efficiently compete for binding with the heme.
PMID- 18039669
TI - Leptin induces macrophage lipid body formation by a phosphatidylinositol 3-kinase
and mammalian target of rapamycin-dependent mechanism.
AB - Leptin is an adipocyte-derived hormone/cytokine that links nutritional status
with neuroendocrine and immune functions. Lipid bodies (lipid droplets) are
emerging as dynamic organelles with roles in lipid metabolism and inflammation.
Here we investigated the roles of leptin in signaling pathways involved in
cytoplasmic lipid body biogenesis and leukotriene B(4) synthesis in macrophages.
Our results demonstrated that leptin directly activated macrophages and induced
the formation of adipose differentiation-related protein-enriched lipid bodies.
Newly formed lipid bodies were sites of 5-lipoxygenase localization and
correlated with an enhanced capacity of leukotriene B(4) production. We
demonstrated that leptin-induced macrophage activation was dependent on
phosphatidylinositol 3-kinase (PI3K) activity, since the lipid body formation was
inhibited by LY294002 and was absent in the PI3K knock-out mice. Leptin induces
phosphorylation of p70(S6K) and 4EBP1 key downstream signaling intermediates of
the mammalian target of rapamycin (mTOR) pathway in a rapamycin-sensitive
mechanism. The mTOR inhibitor, rapamycin, inhibited leptin-induced lipid body
formation, both in vivo and in vitro. In addition, rapamycin inhibited leptin
induced adipose differentiation-related protein accumulation in macrophages and
lipid body-dependent leukotriene synthesis, demonstrating a key role for mTOR in
lipid body biogenesis and function. Our results establish PI3K/mTOR as an
important signaling pathway for leptin-induced cytoplasmic lipid body biogenesis
and adipose differentiation-related protein accumulation. Furthermore, we
demonstrate a previously unrecognized link between intracellular (mTOR) and
systemic (leptin) nutrient sensors in macrophage lipid metabolism. Leptin-induced
increased formation of cytoplasmic lipid bodies and enhanced inflammatory
mediator production in macrophages may have implications for obesity-related
cardiovascular diseases.
PMID- 18039670
TI - Postnatal requirement of the epithelial sodium channel for maintenance of
epidermal barrier function.
AB - In skin, the physiological consequence of an epithelial sodium channel (ENaC)
deficiency is not obvious directly at birth. Nevertheless, within hours after
birth, mice deficient for the alpha-subunit of the highly amiloride-sensitive
epithelial sodium channel (alphaENaC/Scnn1a) suffer from a significant increased
dehydration. This is characterized by a loss of body weight (by 6% in 6 h) and an
increased transepidermal water loss, which is accompanied by a higher skin
surface pH in 1-day-old pups. Although early and late differentiation markers, as
well as tight junction protein distribution and function, seem unaffected,
deficiency of alphaENaC severely disturbs the stratum corneum lipid composition
with decreased ceramide and cholesterol levels, and increased pro-barrier lipids,
whereas covalently bound lipids are drastically reduced. Ultrastructural analysis
revealed morphological changes in the formation of intercellular lamellar lipids
and the lamellar body secretion. Extracellular formation of the lamellar lipids
proved to be abnormal in the knockouts. In conclusion, ENaC deficiency results in
progressive dehydration and, consequently, weight loss due to severe impairment
of lipid formation and secretion. Our data demonstrate that ENaC expression is
required for the postnatal maintenance of the epidermal barrier function but not
for its generation.
PMID- 18039671
TI - Matrix metalloproteinase 13 (MMP13) and tissue inhibitor of matrix
metalloproteinase 1 (TIMP1), regulated by the MAPK pathway, are both necessary
for Madin-Darby canine kidney tubulogenesis.
AB - A classic model of tubulogenesis utilizes Madin-Darby canine kidney (MDCK) cells.
MDCK cells form monoclonal cysts in three-dimensional collagen and tubulate in
response to hepatocyte growth factor, which activates multiple signaling
pathways, including the mitogen-activated protein kinase (MAPK) pathway. It was
shown previously that MAPK activation is necessary and sufficient to induce the
first stage of tubulogenesis, the partial epithelial to mesenchymal transition (p
EMT), whereas matrix metalloproteinases (MMPs) are necessary for the second
redifferentiation stage. To identify specific MMP genes, their regulators, tissue
inhibitors of matrix metalloproteinases (TIMPs), and the molecular pathways by
which they are activated, we used two distinct MAPK inhibitors and a technique we
have termed subtraction pathway microarray analysis. Of the 19 MMPs and 3 TIMPs
present on the Canine Genome 2.0 Array, MMP13 and TIMP1 were up-regulated 198-
and 169-fold, respectively, via the MAPK pathway. This was confirmed by two
dimensional and three-dimensional real time PCR, as well as in MDCK cells
inducible for the MAPK gene Raf. Knockdown of MMP13 using short hairpin RNA
prevented progression past the initial phase of p-EMT. Knockdown of TIMP1
prevented normal cystogenesis, although the initial phase of p-EMT did
occasionally occur. The MMP13 knockdown phenotype is likely because of decreased
collagenase activity, whereas the TIMP1 knockdown phenotype appears due to
increased apoptosis. These data suggest a model, which may also be important for
development of other branched organs, whereby the MAPK pathway controls both MDCK
p-EMT and redifferentiation, in part by activating MMP13 and TIMP1.
PMID- 18039672
TI - RhoBTB2 (DBC2) is a mitotic E2F1 target gene with a novel role in apoptosis.
AB - We have identified the RhoBTB2 putative tumor suppressor gene as a direct target
of the E2F1 transcription factor. Overexpression of E2F1 led to up-regulation of
RhoBTB2 at the level of mRNA and protein. This also occurred during the induction
of E2F1 activity in the presence of cycloheximide, thus indicating that RhoBTB2
is a direct target. RNAi-mediated knockdown of E2F1 resulted in decreased RhoBTB2
protein expression, demonstrating that RhoBTB2 is a physiological target of E2F1.
Because E2F1 primarily serves to transcribe genes involved in cell cycle
progression and apoptosis, we explored whether RhoBTB2 played roles in either of
these processes. We found RhoBTB2 expression highly up-regulated during mitosis,
which was partially dependent on the presence of E2F1. Furthermore,
overexpression of RhoBTB2 induced a short term increase in cell cycle progression
and proliferation, while long term expression had a negative effect on these
processes. We similarly found RhoBTB2 up-regulated during drug-induced apoptosis,
with this being primarily dependent on E2F1. Finally, we observed that knockdown
of RhoBTB2 levels via siRNA delayed the onset of drug-induced apoptosis.
Collectively, we describe RhoBTB2 as a novel direct target of E2F1 with roles in
cell cycle and apoptosis.
PMID- 18039673
TI - Correlation between the SERT binding densities in hypothalamus and amygdala in
Cloninger type 1 and 2 alcoholics.
AB - Serotonin plays a role in the regulation of emotional states in amygdala which in
turn affect the function of hypothalamus. The physiological effects of emotions
are mediated to autonomic nervous system by the hypothalamus, also innervated by
the serotonergic Raphe nuclei. AIMS: We evaluated the putative alterations of the
serotonin transporter (SERT) density in the paraventricular nucleus (PVN) of
hypothalamus of Cloninger type 1 and 2 (early onset, anti-social) alcoholics and
controls. METHODS: The study was performed by human whole-hemisphere auto
radiography with [3H]citalopram. RESULTS: Substantially sparser SERT density (
26%) with a moderate effect size (0.53) was observed in the hypothalamus of
alcoholic subjects in relation to non-alcoholic comparison subjects, although the
result failed to reach statistical significance. In type 2 alcoholics, there was
a trend towards decreased SERT binding with large effect size (0.88), and no
correlation between the SERT binding and the age at the time of death. There was
a strong positive correlation between the SERT binding in amygdala and in PVN in
type 2 alcoholics (P = 0.001), and negative correlation in type 1 alcoholics (P =
0.05), and no correlation in the control subjects. The difference between the
groups was significant (chi2 = 16.75, P = 0.0002). CONCLUSIONS: Taken together,
these preliminary results support the hypothesis that the serotonergic regulation
in the hypothalamus and amygdala are defected especially in type 2 alcoholics.
PMID- 18039674
TI - Alcohol consumption, %CDT, GGT and blood pressure change during alcohol
treatment.
AB - AIMS: Blood pressure (BP) changes in alcohol-dependent individuals during a 12
week alcohol relapse prevention study were examined in light of drinking status
and biomarkers of alcohol consumption [carbohydrate-deficient transferrin (%CDT)
and gamma-glutamyl transpeptidase (GGT)]. METHODS: Of 160 randomized alcoholic
individuals, 120 who had hypertension and in whom daily drinking data was
available, at 6 and 12 weeks of treatment were included. The impact of alcohol
consumption on change in systolic BP (SBP) and diastolic BP (DBP) was examined.
Further analysis determined the relationship between BP and alcohol-use
biomarkers. RESULTS: A significant effect of complete abstinence on both SBP (-10
mmHg; P = 0.003) and DBP (-7 mmHg; P = 0.001) when compared to any drinking (SBP
and DBP = -1 mmHg) was observed. At week 12, participants with a positive %CDT (>
or =2.6) had 7 mmHg greater SBP (P = 0.01) and DBP (P < 0.001) than those with
negative %CDT. Participants with positive GGT (> or =50 IU) had 10 mmHg greater
SBP (P = 0.12) and 9 mmHg greater DBP (P = 0.03) than those with negative GGT.
The percent change in SBP was correlated with percent change in %CDT (P = 0.003)
but not GGT (P = ns). The percent change in DBP was correlated with both percent
change in %CDT (P < 0.0001) and GGT (P = 0.03). CONCLUSIONS: Abstinence from
alcohol significantly decreased the BP and a positive relationship between BP and
both alcohol-use biomarkers was illustrated. Since %CDT is more specific than GGT
for heavy alcohol consumption, clinicians may monitor the role of alcohol in
hypertension using %CDT as a supplemental aid, providing an objective assessment
of drinking to influence BP treatment decisions.
PMID- 18039675
TI - Dose-response relation between volume of drinking and alcohol-related diseases in
male general hospital inpatients.
AB - AIMS: Previous studies investigating dose-response relations between volume of
drinking and diseases have focused on single diseases only. Until now, the
relation between the drinking volume and the risk of having any alcohol
attributable disease is largely unknown. The aim of the present study is to
investigate to what extent is the risk of diseases with different alcohol
attributable fractions (AAFs) predicted by daily alcohol consumption (> 120 g, 61
120 g vs 31-60 g). METHODS: The sample consisted of 805 inpatients classified as
at-risk drinking, aged 18-64 years hailing from four general hospitals in North
eastern Germany. Inpatients were classified into three groups (AAF = 1, AAF < 1,
AAF = 0). Group differences regarding alcohol-related variables, smoking, and
demographics were analysed. A multinomial logistic regression analysis was
conducted to predict the risk of diseases with AAF = 1 and AAF < 1. RESULTS: In
our sample, 26.6% of the inpatients showed a disease with AAF = 1, while 20.2%
had a disease with AAF < 1. Inpatients consuming > 120 g, and inpatients
consuming 61-120 g revealed significantly higher odds for diseases with AAF = 1
compared to inpatients consuming 31-60 g (OR = 6.30, CI = 3.55-11.26; OR = 2.91,
CI = 1.64-5.13). Regarding diseases with AAF < 1, inpatients consuming > 120 g
revealed significantly higher odds compared to the inpatients consuming 31-60 g
(OR = 1.97, CI = 1.15-3.37). CONCLUSION: A dose-response relation between the
level of the drinking volume and the risk of diseases with AAF = 1 was found in
this sample of inpatients from the general hospitals.
PMID- 18039676
TI - Obesity and related behaviors among adolescent school boys in Abha City,
Southwestern Saudi Arabia.
AB - Using stratified sampling technique 2696 adolescent school boys (aged 11-19
years) in Abha City, Southwestern Saudi Arabia were interviewed and examined for
weight and height using standardized techniques. The overall prevalence of
obesity and overweight in the present study amounted to 16%. Using logistic
regression analysis, lack of exercise practice in the previous week in general
[aOR = 1.352, 95% confidence interval (CI) = 1.066-1.941] or in the class (aOR =
1.446, 95% CI = 1.083-1.931) were significantly associated with obesity. The
present study showed that obesity among adolescent school boys in Abha City is a
public health problem. There is a need for a national program in the country to
prevent and control obesity among adolescents. The program should incorporate:
dietary management of obesity, promotion of physical activity, health education
campaigns and consideration of the possibility of providing facilities for
practicing physical activity and exercise in the community.
PMID- 18039678
TI - The accuracy of mother's touch to detect fever in children: a systematic review.
AB - Universally, mothers often use touching to detect fever in their children. We
perform a systematic review of published diagnostic studies evaluating the
ability of mothers to detect fever in their children by touching. We found 10
studies satisfying our inclusion criteria. The meta-analysis revealed a summary
sensitivity of 89.2% and summary specificity of 50%-maternal touch is perhaps
more useful to exclude fever rather than to 'rule in' fever. However, due to
significant heterogeneity in the included studies, interpretation of the summary
data is difficult.
PMID- 18039679
TI - Helicobacter pylori infection and gastroesophageal reflux in asthmatic children.
AB - The aim of this article is to investigate the prevalence of Helicobacter pylori
(HP) infection, frequency of gastroesophageal reflux (GER), existence of atopy
and levels of serum immunoglobulin E (IgE) in children with bronchial asthma. One
hundred and thirty seven children who were diagnosed as bronchial asthma and/or
wheezy child aged between 1 and 17 years were enrolled into the study. Peripheral
venous blood samples were obtained to determine the total IgE and HP IgG antibody
levels. GER was evaluated by the scintigraphic method and the presence of atopy
was investigated by skin prick test. The study was conducted in 86 (62.8%) boys
and 51 (37.2%) girls. HP IgG antibody levels were found negative in 125 (91.2%)
and positive in 12 (8.8%) cases. GER was detected in 73 (53.7%) of the children.
Forty-one (37.3%) children were accepted as atopic according to skin prick test
results. The average total IgE levels of the participants was 168.89 +/- 270.76
IU/ml. A significant difference could not be determined related to GER, atopy
frequency and serum IgE levels between the cases who had HP antibody positivity
or not. The present findings suggest that the rate of HP antibody positivity is
low in patients with bronchial asthma and a significant difference could not be
determined in GER, and atopy between patients with positive and negative HP
antibodies. High atopy frequency found in our patient group raises the question
of whether allergic diseases can be protective against fecal-oral infectious
diseases.
PMID- 18039680
TI - A recurrent intragenic genomic duplication, other novel mutations in NLRP7 and
imprinting defects in recurrent biparental hydatidiform moles.
AB - A complete hydatidiform mole (CHM) is an abnormal pregnancy with
hyperproliferative vesicular trophoblast and no fetal development. Most CHM are
sporadic and androgenetic, but recurrent HM have biparental inheritance (BiHM)
with disrupted DNA methylation at differentially methylated regions (DMRs) of
imprinted loci. Some women with recurrent BiHM have mutations in the NLRP7 gene
on chromosome 19q13.42. Using bisulfite genomic sequencing at eight imprinted
DMRs on DNA from two BiHMs, we found a pattern of failure to acquire or maintain
DNA methylation at DMRs (PEG3, SNRPN, KCNQ1OT1, GNAS exon 1A) that normally
acquire CpG methylation during oogenesis, but not at H19, which acquires CpG
methylation during spermatogenesis. Secondary imprints at the GNAS locus showed
variable abnormal patterns with both gain and loss of CpG methylation. We found
novel missense and splice-site mutations in NLRP7 in women with non-familial
recurrent BiHM. We identified and characterized a homozygous intragenic tandem
duplication including exons 2 through 5 of NLRP7 that results in a predicted
truncated protein in affected women of three unrelated Egyptian kindreds,
suggesting a founder effect. Our findings firmly establish that NLRP7 mutations
are a major cause of BiHM and confirm presence of a complex pattern of imprinting
abnormalities in BiHM tissues.
PMID- 18039681
TI - Daily practice effectiveness of a step-down treatment in comparison with a tight
step-up for early rheumatoid arthritis.
AB - OBJECTIVE: To study prospectively the daily practice effectiveness of a step-down
early rheumatoid arthritis (RA) treatment strategy. METHODS: Patients with severe
RA and no contra-indications were proposed step-down therapy, the others step-up.
Step-down patients received a modified combination therapy in early RA (COBRA)
regimen: sulphasalazine (SPS), 2 g daily, and methotrexate (MTX), 15 mg weekly,
combined with step-down oral prednisolone (start 60 mg daily, fast tapering to
7.5 mg over 6 weeks, discontinuation from week 28). At week 40, patients were
randomized to maintenance therapy with either SPS or MTX if disease activity
score-28 (DAS28) was acceptably low. The step-up group started disease-modifying
anti-rheumatic drug (DMARD) monotherapy. In both groups, treatment was adjusted
at follow-up, based on DAS28. DAS28, functionality Health Assessment
Questionnaire (HAQ), adverse events, DMARD changes and steroid use were
registered 4-monthly for 2 yrs. RESULTS: Nineteen patients received step-down and
52 step-up treatment. More patients completed the first year without unplanned
DMARD changes and without dosage adjustment and fewer had DMARD changes due to
side effects or inefficacy in the step-down group compared with step-up, whereas
the number of adverse events was comparable. MTX proved to be the most effective
maintenance therapy after step-down. The DAS response, proportion of patients in
remission, HAQ response and proportion of patients without disability at 4 months
was higher in the step-down group. CONCLUSIONS: In daily practice, a step-down
treatment strategy for early RA is more effective than a step-up approach.
PMID- 18039682
TI - Target specificities of estrogen receptor-related receptors: analysis of binding
sequences and identification of Rb1-inducible coiled-coil 1 (Rb1cc1) as a target
gene.
AB - Estrogen receptor-related receptors (ERRs) are orphan members of the nuclear
receptor superfamily. A single AGGTCA sequence element preceded by three
conserved nucleotides has been identified as a specific recognition motif of
ERRs. Here we performed systematic analyses of target sequences on all three ERR
subtypes, alpha, beta and gamma. In electrophoretic gel-mobility shift assay and
transcriptional reporter assays, they exhibited similar patterns of recognition
specificities, showing extremely broad ranges of target sequences. We searched a
mouse promoter database for a gene carrying possible ERR-binding sequences. The
Rb-1 inducible coiled-coil 1 (Rb1cc1) gene was found to contain two putative ERR
binding elements, named response element (RE)-1 and RE-2, in the promoter region.
In gene reporter assays, RE-2, but not RE-1, functioned as an effective cis
regulatory element for transactivation by ERRalpha in the presence of a
coactivator, peroxisome proliferator-activated receptor gamma coactivator-1alpha.
Mutational analyses suggested that RE-2 is recognized by ERRalpha partly as a
monovalent element, but also as a direct repeat motif separated by four spacer
nucleotides. In vivo binding of ERRalpha to the Rb1cc1 promoter region was
confirmed by the chromatin immunoprecipitation assay. Thus, Rb1cc1 is a target
gene of ERRalpha, driven by a novel type of recognition sequence.
PMID- 18039683
TI - Quantitative analysis of CUG-BP1 binding to RNA repeats.
AB - CUG-binding protein 1 (CUG-BP1) is a member of the CUG-BP1 and ETR-3-like factors
(CELF) family of RNA-binding proteins, and is involved in myotonic dystrophy type
1 (DM1). Several mRNA targets of CUG-BP1 have been identified, including the
insulin receptor, muscle chloride channel, and cardiac troponin T. On the other
hand, CUG-BP1 has only a weak affinity for CUG repeats. We conducted quantitative
binding assays to assess CUG-BP1 affinities for several repeat RNAs by surface
plasmon resonance (SPR). Although we detected interactions between CUG-BP1 and
CUG repeats, other UG-rich sequences actually showed stronger interactions.
Binding constants of CUG-BP1 for RNAs indicated that the affinity for UG repeats
was far stronger than for CUG repeats. We also found that N-terminal deletion
mutant of CUG-BP1 has UG repeat-binding activity in a yeast three-hybrid system,
although C-terminal deletion mutant does not. Our data indicates that CUG-BP1
specifically recognized UG repeats, probably through cooperative binding of RNA
recognition motifs at both ends of the protein. This is the first report of a
binding constant for CUG-BP1 calculated in vitro.
PMID- 18039684
TI - Anti-peptide antibodies for examining the conformation, molecular assembly and
localization of an intracellular protein, ribosomal protein S6, in vivo.
AB - Ribosomal protein S6 (rpS6) is known to relate to cell proliferation. Our recent
proteome analysis of human metaphase chromosomes revealed the enrichment of rpS6
during mitosis. Here, structure, localization and molecular assembly in vitro and
in vivo of a human rpS6, were examined using antibodies (Abs) prepared by
immunizing rabbits with synthetic peptides. Five peptides, Ser6-Asp20 (S6-1),
Ile52-Gly66 (S6-2), Asp103-Gly117 (S6-3), Asn146-Lys160 (S6-4) and Arg178-Ile192
(S6-5) were chosen as epitopes of human rpS6. These peptides except for S6-3
induced strong Ab production, and with an enzyme-linked immunosorbent assay, anti
S6-2, anti-S6-4 and anti-S6-5, showed high reactivity to recombinant rpS6 (r
rpS6), while anti-S6-1 did not, suggesting that S6-2, S6-4 and S6-5 were exposed
on the r-rpS6 surface, while S6-1 was less exposed or possessed a different
conformation. The immunostaining of HeLa cells as well as isolated chromosomes
suggested that rpS6 occurs in endoplasmic reticulum (ER) but less possible on
chromosomes since no Abs showed localization of rpS6 to chromosomes. In addition,
the immunostaining suggested that only S6-4 is exposed on the protein surface,
while S6-2 and S6-5 are buried by the interaction with other macromolecules in
HeLa cells. Present our result shows new possibility of antibodies as tools for
structure-oriented cell biology.
PMID- 18039685
TI - Biochemical characterization of phospholipids, sulfatide and heparin as potent
stimulators for autophosphorylation of GSK-3beta and the GSK-3beta-mediated
phosphorylation of myelin basic protein in vitro.
AB - The stimulatory effects of SH (sulfatide and heparin) and two phospholipids (PI
and PS) on autophosphorylation of GSK-3beta and the GSK-3beta-mediated
phosphorylation of myelin basic protein (MBP) and two synthetic MBP peptides (M86
and M156) were comparatively examined in vitro. It was found that (i) both PI and
SH highly stimulated the GSK-3beta-mediated phosphorylation of MBP, but not
glycogen synthase, and two MBP peptides through their direct binding to these
substrates and (ii) both PI and heparin, as compared with sulfatide, highly
stimulated autophosphorylation of GSK-3beta. The K(m) value of MBP for GSK-3beta
was highly reduced and the V(max) value was significantly increased in the
presence of these acidic modulators, which augmented further phosphorylation of
MBP by the kinase. Under our experimental condition, similar stimulatory effects
of PI and heparin were observed with the GSK-3beta-mediated phosphorylation of
tau protein (TP) in vitro. These results presented here suggest that these two
phospholipids and SH may function as effective stimulators for
autophosphorylation of GSK-3beta and for the GSK-3beta-mediated high
phosphorylation of SH-binding proteins, including MBP and TP, in the highly
accumulated levels of these acidic and sulfated modulators in the brain.
PMID- 18039686
TI - A strong exonic splicing enhancer in dystrophin exon 19 achieve proper splicing
without an upstream polypyrimidine tract.
AB - Proper splicing is known to proceed under the control of conserved cis-elements
located at exon-intron boundaries. Recently, it was shown that additional
elements, such as exonic splicing enhancers (ESEs), are essential for the proper
splicing of certain exons, in addition to the splice donor and acceptor site
sequences; however, the relationship between these cis-elements is still unclear.
In this report, we utilize dystrophin exon 19 to analyse the relationship between
the ESE and its upstream acceptor site sequences. Dystrophin exon 19, which
maintains adequate splicing donor and acceptor consensus sequences, encodes
exonic splicing enhancer (dys-ESE19) sequences. Splice pattern analysis, using a
minigene reporter expressed in HeLa cells, showed that either a strong
polypyrimidine tract (PPT) or a fully active dys-ESE19 is sufficient for proper
splicing. Each of these two cis-elements has enough activity for proper exon 19
splicing suggesting that the PPT, which is believed to be an essential cis
element for splicing, is dispensable when the downstream exon contains a strong
ESE. This compensation was only seen in living cells but not in 'in vitro
splicing'. This suggests the possibility that the previous splicing experiments
using an in vitro splicing system could underestimate the activity of ESEs.
PMID- 18039687
TI - Recognition of a bulged RNA by peptides derived from the influenza NS1 protein.
AB - A competition assay for RNA binding by the influenza virus NS1 protein using
model RNAs, U6-45, corresponding to U6 snRNA revealed that deletion of each of
the three bulged-out parts reduced the NS1 protein binding and, in contrast, by
deleting all three of the bulged-out parts, simultaneously, and thus producing a
double-stranded RNA, the binding was recovered. A common feature of target RNAs
of the NS1 protein, U6 snRNA, poly(A) and viral RNA, is the stretch of 'bulged
out' A residues. Thus, the NS1 protein was found to recognize either the stretch
of 'bulged-out' A residues or dsRNA which is also a target of the NS1 protein.
Furthermore, a basic peptide, NS1-2, derived from the helix-2 of the RNA binding
site of NS1 protein was designed and its binding to the U6 snRNA was analysed by
using a model RNA for U6 snRNA, U6-34. The NMR signals due to H8/H6 and H1' of U6
34 were assigned and their changes upon binding of NS1-2 were analysed. It was
indicated that NS1-2 interacts with the residues in the bulge-out region of U6
34. These results suggest that NS1-2 recognizes the U6 snRNA in a similar manner
to NS1 protein.
PMID- 18039688
TI - Copper(II) inhibits in vitro conformational conversion of ovine prion protein
triggered by low pH.
AB - To gain insight into the conformational conversion of ovine prion protein
(OvPrP(C)) at different pH values and/or in the presence of CuCl(2), the
secondary structure of OvPrP(C) was analysed by circular dichroism (CD)
spectroscopy. Copper treatment of OvPrP(C) under moderately acidic conditions (pH
approximately 5.0-6.0) as well as physiological conditions (pH 7.4) also makes
OvPrP(C) adopt protease-resistant and beta-sheet-rich conformation. However,
under lower pH conditions (2.0-4.5) with copper treatment, OvPrP(C) gained higher
alpha-helix structure. This study demonstrated that Cu(2+) can significantly
modulate conformational conversion triggered by acidic pH, and this will provide
therapeutic intervention approaches for prion diseases.
PMID- 18039689
TI - Crystal and defect structures of La2/3 xLi3xTiO3 (x ~ 0.1) produced by a melt
process.
AB - The crystal and defect structures of coarse-grained crystals of La(2/3
x)Li(3x)TiO3 grown from the melt by the Tammann-Stober method were studied by
transmission electron microscopy and powder X-ray diffraction. The as-grown
crystals of La(2/3-x)Li(3x)TiO3 have a Li-poor composition of
La(0.57)Li(0.29)TiO3 and a diagonal-type unit cell of 2(1/2)a(p) x 2(1/2)a(p) x
2a(p) with the tetragonal symmetry [space group: P4/nbm (#125)] due to both the
La-cation ordering and the tilting of TiO6 octahedra. The secondary La2Ti2O7
phase precipitates in the form of plates in the La(2/3-x)Li(3x)TiO3 phase with
the orientation relationships of 001(p)//[100](La2Ti2O7) and
{110}(p)//(001)(La2Ti2O7), which may cause detrimental effects to ionic
conductivity.
PMID- 18039690
TI - Methodological considerations for interpretation of rates of major haemorrhage in
studies of anticoagulant therapy for atrial fibrillation.
PMID- 18039691
TI - SIMAC (sequential elution from IMAC), a phosphoproteomics strategy for the rapid
separation of monophosphorylated from multiply phosphorylated peptides.
AB - The complete analysis of phosphoproteomes has been hampered by the lack of
methods for efficient purification, detection, and characterization of
phosphorylated peptides from complex biological samples. Despite several
strategies for affinity enrichment of phosphorylated peptides prior to mass
spectrometric analysis, such as immobilized metal affinity chromatography or
titanium dioxide, the coverage of the phosphoproteome of a given sample is
limited. Here we report a simple and rapid strategy, SIMAC (sequential elution
from IMAC), for sequential separation of monophosphorylated peptides and multiply
phosphorylated peptides from highly complex biological samples. This allows
individual analysis of the two pools of phosphorylated peptides using mass
spectrometric parameters differentially optimized for their unique properties. We
compared the phosphoproteome identified from 120 mug of human mesenchymal stem
cells using SIMAC and an optimized titanium dioxide chromatographic method. More
than double the total number of identified phosphorylation sites was obtained
with SIMAC, primarily from a 3-fold increase in recovery of multiply
phosphorylated peptides.
PMID- 18039692
TI - Is incentive spirometry effective following thoracic surgery?
AB - A best evidence topic in thoracic surgery was written according to a structured
protocol. The question addressed was whether incentive spirometry is a useful
intervention for patients after thoracic surgery. Altogether 255 papers were
found using the reported search, of which seven represented the best evidence to
answer the clinical question. The authors, journal, date and country of
publication, patient group studied, study type, relevant outcomes and results of
these papers are tabulated. We conclude that incentive spirometry is a relatively
good measure of lung function and may be used to assess respiratory recovery in
the days after thoracic surgery. Physiotherapy either with or without incentive
spirometry reduces the incidence of postoperative complications and improves lung
function but there is currently no evidence that incentive spirometry in itself
could either replace or significantly augment the work of the physiotherapists.
Clinicians should be aware that while incentive spirometry can provide an
assessment of lung recovery, well-organised and regular physiotherapy remains the
most effective mechanism to augment their patient's recovery and avoid
postoperative complications.
PMID- 18039693
TI - Gender and physical activity one year after myocardial revascularization for
stable angina.
AB - Improvement in physical activity (PA) is an important benefit for patients
undergoing CABG. It is suggested that women make less improvement than men. Of
568 patients (466 men and 102 women) undergoing an isolated primary CABG for
stable angina (NYHA<4) pre- and 1-year postoperative PA was registered. The
Corpus Christi Heart Project criteria are used for assessing PA. The different PA
levels are coded from 1, the worst, to 5, the best. Preoperatively, female
patients were older, in a higher NYHA class, and PA level was significantly
different and lower 2.30+/-1.01 vs. 2.89+/-1.03 (P=0.000). At follow-up, the mean
PA increased significantly for women (2.7+/-1.02) and men (3.2+/-1.06) (P=0.000).
Despite this broad increase, 20% of men and 10% of women had a decreased PA.
Multiple logistic regression analysis identified a preoperative high PA-level,
diabetes, vascular- and pulmonary disease (odds ratio 7.11, 2.6, 2.3, 2.69) as
variables that contribute independently to a worse PA for men and only high
preoperative PA level (odds ratio 11.0) for women. This study confirms that
patients with a preoperative high level PA are unlikely to improve PA, but in
men, diabetes, vascular- and pulmonary disease are also independent risk factors.
PMID- 18039694
TI - The possible reasons of limited rate freedom from atrial fibrillation after
thoracoscopic microwave.
PMID- 18039695
TI - Tricuspid valve prosthetic annuloplasty.
PMID- 18039696
TI - Should stitch annuloplasty really be abandoned for developed flexible prosthetic
band or ring in functional tricuspid regurgitation?
PMID- 18039697
TI - The small saphenous vein as an alternative conduit for coronary artery bypass
grafting.
PMID- 18039698
TI - Short saphenous vein as a conduit in coronary artery bypass grafting.
PMID- 18039699
TI - Bilateral internal thoracic artery harvesting: which harvesting technique is
preferred?
PMID- 18039700
TI - Cardioversion protocol for ventricular fibrillation: a more differentiated
approach.
PMID- 18039701
TI - Thrombolytic therapy or surgery as management of prosthetic valve thrombosis?
PMID- 18039702
TI - The treatment of traumatic disruption of the thoracic aorta.
PMID- 18039703
TI - The Pfam protein families database.
AB - Pfam is a comprehensive collection of protein domains and families, represented
as multiple sequence alignments and as profile hidden Markov models. The current
release of Pfam (22.0) contains 9318 protein families. Pfam is now based not only
on the UniProtKB sequence database, but also on NCBI GenPept and on sequences
from selected metagenomics projects. Pfam is available on the web from the
consortium members using a new, consistent and improved website design in the UK
(http://pfam.sanger.ac.uk/), the USA (http://pfam.janelia.org/) and Sweden
(http://pfam.sbc.su.se/), as well as from mirror sites in France
(http://pfam.jouy.inra.fr/) and South Korea (http://pfam.ccbb.re.kr/).
PMID- 18039704
TI - Indirect readout in drug-DNA recognition: role of sequence-dependent DNA
conformation.
AB - DNA-binding drugs have numerous applications in the engineered gene regulation.
However, the drug-DNA recognition mechanism is poorly understood. Drugs can
recognize specific DNA sequences not only through direct contacts but also
indirectly through sequence-dependent conformation, in a similar manner to the
indirect readout mechanism in protein-DNA recognition. We used a knowledge-based
technique that takes advantage of known DNA structures to evaluate the
conformational energies. We built a dataset of non-redundant free B-DNA crystal
structures to calculate the distributions of adjacent base-step and base-pair
conformations, and estimated the effective harmonic potentials of mean force
(PMF). These PMFs were used to calculate the conformational energy of drug-DNA
complexes, and the Z-score as a measure of the binding specificity. Comparing the
Z-scores for drug-DNA complexes with those for free DNA structures with the same
sequence, we observed that in several cases the Z-scores became more negative
upon drug binding. Furthermore, the specificity is position-dependent within the
drug-bound region of DNA. These results suggest that DNA conformation plays an
important role in the drug-DNA recognition. The presented method provides a tool
for the analysis of drug-DNA recognition and can facilitate the development of
drugs for targeting a specific DNA sequence.
PMID- 18039705
TI - REDfly 2.0: an integrated database of cis-regulatory modules and transcription
factor binding sites in Drosophila.
AB - The identification and study of the cis-regulatory elements that control gene
expression are important areas of biological research, but few resources exist to
facilitate large-scale bioinformatics studies of cis-regulation in metazoan
species. Drosophila melanogaster, with its well-annotated genome, exceptional
resources for comparative genomics and long history of experimental studies of
transcriptional regulation, represents the ideal system for regulatory
bioinformatics. We have merged two existing Drosophila resources, the REDfly
database of cis-regulatory modules and the FlyReg database of transcription
factor binding sites (TFBSs), into a single integrated database containing
extensive annotation of empirically validated cis-regulatory modules and their
constituent binding sites. With the enhanced functionality made possible through
this integration of TFBS data into REDfly, together with additional improvements
to the REDfly infrastructure, we have constructed a one-stop portal for
Drosophila cis-regulatory data that will serve as a powerful resource for both
computational and experimental studies of transcriptional regulation. REDfly is
freely accessible at http://redfly.ccr.buffalo.edu.
PMID- 18039706
TI - Magnesium-binding studies reveal fundamental differences between closely related
RNA triphosphatases.
AB - The Chlorella virus RNA triphosphatase (cvRTPase) is involved in the formation of
the RNA cap structure found at the 5'-end of the viral mRNAs and requires
magnesium ions to mediate its catalytic activity. To extend our studies on the
role of metal ions in phosphohydrolysis, we have used a combination of
fluorescence spectroscopy, circular dichroism, denaturation studies and
thermodynamic analyses to monitor the binding of magnesium ions to the cvRTPase.
Using these techniques, the thermodynamic forces responsible for the interaction
of metal ions with an RNA triphosphatase were also evaluated for the first time.
Our thermodynamic analyses indicate that the initial association of magnesium
with the cvRTPase is dominated by a favorable entropic effect and is accompanied
by the release of eight water molecules from the enzyme. Moreover, both
fluorescence spectroscopy and circular dichroism assays indicated that minor
conformational changes were occurring upon magnesium binding. Mutational studies
were also performed and confirmed the importance of three specific glutamate
residues located in the active site of the enzyme for the binding of magnesium
ions. Finally, in contrast to the yeast RNA triphosphatase, we demonstrate that
the binding of magnesium ions to the cvRTPase does not lead to the stabilization
of the ground state binding of the RNA substrate. Based on the results of the
present study, we hypothesize that the binding of magnesium ions induces local
conformational perturbations in the active site residues that ultimately
positions the lateral chains of critical amino acids involved in catalysis. Our
results highlight fundamental differences in the role of magnesium ions in the
phosphohydrolase reactions catalyzed by the cvRTPase and the closely related
yeast RNA triphosphatase.
PMID- 18039707
TI - Structure of discontinuities in kinetoplast DNA-associated minicircles during S
phase in Crithidia fasciculata.
AB - Kinetoplast DNA (kDNA) is a novel form of mitochondrial DNA consisting of
thousands of interlocked minicircles and 20-30 maxicircles. The minicircles
replicate free of the kDNA network but nicks and gaps in the newly synthesized
strands remain at the time of reattachment to the kDNA network. We show here that
the steady-state population of replicated, network-associated minicircles only
becomes repaired to the point of having nicks with a 3'OH and
5'deoxyribonucleoside monophosphate during S phase. These nicks represent the
origin/terminus of the strand and occur within the replication origins (oriA and
oriB) located 180 degrees apart on the minicircle. Minicircles containing a new L
strand have a single nick within either oriA or oriB but not in both origins in
the same molecule. The discontinuously synthesized H strand contains single nicks
within both oriA and oriB in the same molecule implying that discontinuities
between the H-strand Okazaki fragments become repaired except for the fragments
initiated within the two origins. Nicks in L and H strands at the origins persist
throughout S phase and only become ligated as a prelude to network division. The
failure to ligate these nicks until just prior to network division is not due to
inappropriate termini for ligation.
PMID- 18039708
TI - Retinoic acid modulates chromatin to potentiate tumor necrosis factor alpha
signaling on the DIF2 promoter.
AB - Transcriptional activation by nuclear hormone receptors is well characterized,
but their cooperation with other signaling pathways to activate transcription
remains poorly understood. Tumor necrosis factor alpha (TNFalpha) and all-trans
retinoic acid (RA) induce monocytic differentiation of acute promyelocytic
leukemia (APL) cells in a synergistic manner. We used the promoter of DIF2, a
gene involved in monocytic differentiation, to model the mechanism underlying the
cooperative induction of target genes by RA and TNFalpha. We show a functional RA
response element in the DIF2 promoter, which is constitutively bound by
PML/RARalpha in APL cells. RA stimulates release of corepressors and recruitment
of chromatin modifying proteins and additional transcription factors to the
promoter, but these changes cause only a modest induction of DIF2 mRNA. Co
stimulation with RA plus TNFalpha facilitates binding of NF-kappaB to the
promoter, which is crucial for full induction of transcription. Furthermore, RA
plus TNFalpha greatly enhanced the level of RNA Pol II phosphorylation on the
DIF2 promoter, via synergistic recruitment of TFIIH. We propose that RA mediates
remodeling of chromatin to facilitate binding of transcription factors, which
cooperate to enhance Pol II phosphorylation, providing a mechanism whereby
nuclear receptors interact with other signaling pathways on the level of
transcription.
PMID- 18039709
TI - Transcription of Satellite III non-coding RNAs is a general stress response in
human cells.
AB - In heat-shocked human cells, heat shock factor 1 activates transcription of
tandem arrays of repetitive Satellite III (SatIII) DNA in pericentromeric
heterochromatin. Satellite III RNAs remain associated with sites of transcription
in nuclear stress bodies (nSBs). Here we use real-time RT-PCR to study the
expression of these genomic regions. Transcription is highly asymmetrical and
most of the transcripts contain the G-rich strand of the repeat. A low level of G
rich RNAs is detectable in unstressed cells and a 10(4)-fold induction occurs
after heat shock. G-rich RNAs are induced by a wide range of stress treatments
including heavy metals, UV-C, oxidative and hyper-osmotic stress. Differences
exist among stressing agents both for the kinetics and the extent of induction
(>100- to 80.000-fold). In all cases, G-rich transcripts are associated with
nSBs. On the contrary, C-rich transcripts are almost undetectable in unstressed
cells and modestly increase after stress. Production of SatIII RNAs after hyper
osmotic stress depends on the Tonicity Element Binding Protein indicating that
activation of the arrays is triggered by different transcription factors. This is
the first example of a non-coding RNA whose transcription is controlled by
different transcription factors under different growth conditions.
PMID- 18039710
TI - The Leu22Pro tumor-associated variant of DNA polymerase beta is dRP lyase
deficient.
AB - Approximately 30% of human tumors characterized to date express DNA polymerase
beta (pol beta) variant proteins. Two of the polymerase beta cancer-associated
variants are sequence-specific mutators, and one of them binds to DNA but has no
polymerase activity. The Leu22Pro (L22P) DNA polymerase beta variant was
identified in a gastric carcinoma. Leu22 resides within the 8 kDa amino terminal
domain of DNA polymerase beta, which exhibits dRP lyase activity. This domain
catalyzes the removal of deoxyribose phosphate during short patch base excision
repair. We show that this cancer-associated variant has very little dRP lyase
activity but retains its polymerase activity. Although residue 22 has no direct
contact with the DNA, we report here that the L22P variant has reduced DNA
binding affinity. The L22P variant protein is deficient in base excision repair.
Molecular dynamics calculations suggest that alteration of Leu22 to Pro changes
the local packing, the loop connecting helices 1 and 2 and the overall
juxtaposition of the helices within the N-terminal domain. This in turn affects
the shape of the binding pocket that is required for efficient dRP lyase
catalysis.
PMID- 18039711
TI - STRALCP--structure alignment-based clustering of proteins.
AB - Protein structural annotation and classification is an important and challenging
problem in bioinformatics. Research towards analysis of sequence-structure
correspondences is critical for better understanding of a protein's structure,
function, and its interaction with other molecules. Clustering of protein domains
based on their structural similarities provides valuable information for protein
classification schemes. In this article, we attempt to determine whether
structure information alone is sufficient to adequately classify protein
structures. We present an algorithm that identifies regions of structural
similarity within a given set of protein structures, and uses those regions for
clustering. In our approach, called STRALCP (STRucture ALignment-based Clustering
of Proteins), we generate detailed information about global and local
similarities between pairs of protein structures, identify fragments (spans) that
are structurally conserved among proteins, and use these spans to group the
structures accordingly. We also provide a web server at
http://as2ts.llnl.gov/AS2TS/STRALCP/ for selecting protein structures,
calculating structurally conserved regions and performing automated clustering.
PMID- 18039712
TI - DNA tape measurements of AraC.
AB - A new method for measuring distances between points in the AraC-DNA complex was
developed and applied. It utilizes variable lengths of single-stranded DNA that
connect double-stranded regions containing the two half-site binding sequences of
AraC. These distances plus the protein interdomain linker distances are
compatible with two classes of structure for the dimeric AraC gene regulatory
protein. In one class, the N-terminal regulatory arm of one dimerization domain
is capable of interacting with the DNA-binding domain on the same polypeptide
chain for a cis interaction. In the other class, the possible arm-DNA-binding
domain interaction is trans, where it adds to the dimerization interface.
PMID- 18039713
TI - The N-terminal domain of TWINKLE contributes to single-stranded DNA binding and
DNA helicase activities.
AB - The TWINKLE protein is a hexameric DNA helicase required for replication of
mitochondrial DNA. TWINKLE displays striking sequence similarity to the
bacteriophage T7 gene 4 protein (gp4), which is a bi-functional primase-helicase
required at the phage DNA replication fork. The N-terminal domain of human
TWINKLE contains some of the characteristic sequence motifs found in the N
terminal primase domain of the T7 gp4, but other important motifs are missing.
TWINKLE is not an active primase in vitro and the functional role of the N
terminal region has remained elusive. In this report, we demonstrate that the N
terminal part of TWINKLE is required for efficient binding to single-stranded
DNA. Truncations of this region reduce DNA helicase activity and mitochondrial
DNA replisome processivity. We also find that the gp4 and TWINKLE are
functionally distinct. In contrast to the phage protein, TWINKLE binds to double
stranded DNA. Moreover, TWINKLE forms stable hexamers even in the absence of
Mg(2+) or NTPs, which suggests that an accessory protein, a helicase loader, is
needed for loading of TWINKLE onto the circular mtDNA genome.
PMID- 18039714
TI - Intracellular expression profiles measured by real-time PCR tomography in the
Xenopus laevis oocyte.
AB - Real-time PCR tomography is a novel, quantitative method for measuring localized
RNA expression profiles within single cells. We demonstrate its usefulness by
dissecting an oocyte from Xenopus laevis into slices along its animal-vegetal
axis, extracting its RNA and measuring the levels of 18 selected mRNAs by real
time RT-PCR. This identified two classes of mRNA, one preferentially located
towards the animal, the other towards the vegetal pole. mRNAs within each group
show comparable intracellular gradients, suggesting they are produced by similar
mechanisms. The polarization is substantial, though not extreme, with around 5%
of vegetal gene mRNA molecules detected at the animal pole, and around 50% of the
molecules in the far most vegetal section. Most animal pole mRNAs were found in
the second section from the animal pole and in the central section, which is
where the nucleus is located. mRNA expression profiles did not change following
in vitro fertilization and we conclude that the cortical rotation that follows
fertilization has no detectable effect on intracellular mRNA gradients.
PMID- 18039715
TI - Priorities for nucleotide trace, sequence and annotation data capture at the
Ensembl Trace Archive and the EMBL Nucleotide Sequence Database.
AB - The Ensembl Trace Archive (http://trace.ensembl.org/) and the EMBL Nucleotide
Sequence Database (http://www.ebi.ac.uk/embl/), known together as the European
Nucleotide Archive, continue to see growth in data volume and diversity. Selected
major developments of 2007 are presented briefly, along with data submission and
retrieval information. In the face of increasing requirements for nucleotide
trace, sequence and annotation data archiving, data capture priority decisions
have been taken at the European Nucleotide Archive. Priorities are discussed in
terms of how reliably information can be captured, the long-term benefits of its
capture and the ease with which it can be captured.
PMID- 18039716
TI - CandidaDB: a multi-genome database for Candida species and related
Saccharomycotina.
AB - CandidaDB (http://genodb.pasteur.fr/CandidaDB) was established in 2002 to provide
the first genomic database for the human fungal pathogen Candida albicans. The
availability of an increasing number of fully or partially completed genome
sequences of related fungal species has opened the path for comparative genomics
and prompted us to migrate CandidaDB into a multi-genome database. The new
version of CandidaDB houses the latest versions of the genomes of C. albicans
strains SC5314 and WO-1 along with six genome sequences from species closely
related to C. albicans that all belong to the CTG clade of Saccharomycotina
Candida tropicalis, Candida (Clavispora) lusitaniae, Candida (Pichia)
guillermondii, Lodderomyces elongisporus, Debaryomyces hansenii, Pichia stipitis
and the reference Saccharomyces cerevisiae genome. CandidaDB includes sequences
coding for 54 170 proteins with annotations collected from other databases,
enriched with illustrations of structural features and functional domains and
data of comparative analyses. In order to take advantage of the integration of
multiple genomes in a unique database, new tools using pre-calculated or user
defined comparisons have been implemented that allow rapid access to comparative
analysis at the genomic scale.
PMID- 18039717
TI - Fasting versus nonfasting triglycerides: implications for laboratory
measurements.
PMID- 18039718
TI - Description and validation of a novel real-time RT-PCR enterovirus assay.
AB - BACKGROUND: Enteroviruses are a leading cause of aseptic meningitis in adult and
pediatric populations. We describe the development of a real-time RT-PCR assay
that amplifies a small target in the 5' nontranslated region upstream of the
classical Rotbart enterovirus amplicon. The assay includes an RNA internal
control and incorporates modified nucleotide chemistry. METHODS: We evaluated the
performance characteristics of this design and performed blinded parallel testing
on clinical samples, comparing the results with a commercially available RT-PCR
assay (Pan-Enterovirus OligoDetect kit) that uses an enzyme immunoassay-like
plate end detection. RESULTS: We tested 778 samples and found 14 discrepant
samples between the 2 assays. Of these, the real-time assay detected 6 samples
that were negative by the OligoDetect kit, 5 of which were confirmed as positive
by sequence analysis using an alternative primer set. Eight discrepant samples
were positive by the OligoDetect kit and real-time negative, with 6 confirmed by
sequencing. Overall, detection rates of 97% and 96% were obtained for the
OligoDetect kit and real-time assays, respectively. Sequence analysis revealed
the presence of a number of single nucleotide polymorphisms in the targeted
region. The comparative sensitivities of the 2 assays were equivalent, with the
limit of detection for the real-time assay determined to be approximately 430
copies per milliliter in cerebrospinal fluid. CONCLUSIONS: This novel real-time
enterovirus assay is a sensitive and suitable assay for routine clinical testing.
The presence of single nucleotide polymorphisms can affect real-time PCR assays.
PMID- 18039720
TI - Monitoring rheumatoid arthritis synovitis with 99mTc-anti-CD3.
AB - The aim of this study was to investigate the feasibility of using a monoclonal
antibody (OKT3) labelled with technetium-99m (99mTc) to monitor disease activity
in patients with rheumatoid arthritis. We evaluated 38 patients who were
diagnosed with rheumatoid arthritis and classified as Classes II and III after
functional assessment (according to the revised criteria specified by the
American College of Rheumatology). Two sets of planar anterior images of the
patients' wrists, metacarpophalangeal and interphalangeal joints, elbows,
shoulders and knees joints were obtained 1 h and 3 h after the injection of 99mTc
OKT3. The scintigraphic findings showed significant correlation (p<0.05) between
the radiopharmaceutical accumulation of 99mTc-OKT3 and swollen joints, tender
joints and the visual analogue scale. They were able to differentiate patients in
remission from patients with active synovitis, according to DAS 28. In contrast,
there was no correlation between the radiopharmaceutical accumulation and the
patients' age, gender, duration of disease or erythrocyte sedimentation rate. A
relatively high disease activity score of 28 joints (4.08+/-1.74) was found in
the majority of patients. In conclusion, 99mTc-OKT3 scintigraphy is a reliable
and objective method for detecting synovial activity, and can be used to observe
disease prognosis.
PMID- 18039719
TI - Serum uric acid and risk of cardiovascular mortality: a prospective long-term
study of 83,683 Austrian men.
AB - BACKGROUND: The role of serum uric acid (SUA) as an independent risk factor for
cardiovascular disease (CVD) remains controversial, and little is known about its
prognostic importance for mortality from congestive heart failure (CHF) and
stroke. Few large-scale epidemiologic studies with sufficient follow-up have
addressed the association of SUA and CVD mortality in apparently healthy men
across a wide age range. METHODS: A cohort of 83 683 Austrian men (mean age, 41.6
years) was prospectively followed for a median of 13.6 years. We used Cox
proportional hazards models adjusted for established risk factors to evaluate SUA
as an independent predictor for CVD mortality. RESULTS: The highest quintile of
SUA concentration (>398.81 mumol/L) was significantly related to mortality from
CHF (P = 0.03) and stroke (P <0.0001); adjusted hazard ratios (95% confidence
interval) for the highest vs lowest quintiles of SUA were 1.51 (1.03-2.22) and
1.59 (1.23-2.04), respectively. SUA was not associated, however, with mortality
from acute, subacute, or chronic forms of coronary heart disease (CHD) after
adjustment for potential confounding factors (P = 0.12). Age was a significant
effect modifier for the relation of SUA to fatal CHF (P = 0.05), with markedly
stronger associations found in younger individuals. CONCLUSIONS: Our study
demonstrates for the first time in a large prospective male cohort that SUA is
independently related to mortality from CHF and stroke. Although increased SUA is
not necessarily a causal risk factor, our results suggest the clinical importance
of monitoring and intervention based on the presence of an increased SUA
concentration, especially because SUA is routinely measured.
PMID- 18039721
TI - Designing equivalent treatment regimens for prostate radiotherapy based on
equivalent uniform dose.
AB - The purpose of this work was to determine alternative radiotherapy (RT) regimens
that are biologically equivalent to clinically proven treatments using different
RT modalities or different fractionation schemes. The concept of equivalent
uniform dose (EUD) is used with the linear quadratic model to determine
equivalent treatment regimens using two representative sets of parameters derived
from clinical data: (i) alpha/beta = 3.1 Gy and alpha = 0.15 Gy(-1), and (ii)
alpha/beta = 1.5 Gy and alpha = 0.04 Gy(-1). The EUD values for the critical
structure (rectum) are also calculated. Representative dose volume histograms
were used to account for dose inhomogeneities for different RT modalities. A
series of alternative and equivalent fractionation regimens that can be used with
different radiotherapy modalities for localized prostate cancer were determined.
For example, the alternative regimens, calculated with the alpha/beta ratio of
3.1 Gy, that would be biologically equivalent to external beam RT (EBRT) of 76 Gy
(38x2.0 Gy) include: EBRT hypofractionation of 21x3.0 Gy; I-125 implant of 156
Gy; Pd-103 implant of 128 Gy; high dose rate (HDR) brachytherapy of 4x10.5 Gy; I
125 implant of 65 Gy combined with EBRT of 23x2.0 Gy; and HDR brachytherapy of
3x5.9 Gy combined with EBRT of 23x2.0 Gy. Similar data for other parameters are
also presented. With caution, the data presented may be useful in designing
clinical trials to explore new RT strategies, such as image-guided intensity
modulated RT.
PMID- 18039722
TI - An investigation into patient and staff doses from X-ray angiography during
coronary interventional procedures.
AB - Radiation doses to patients from interventional coronary X-ray procedures are
relatively high when compared with conventional radiographic procedures. These
high patient doses can translate into high staff doses owing to scattered
radiation. This study investigates patient doses by means of dose-area product
(DAP) meters installed in six rooms in two hospitals. DAP measurements in each
room ranged from 28.0-39.3 Gy cm2 for coronary angiography and from 61.3-92.8 Gy
cm2 for percutaneous transluminal coronary angioplasty, with the mean effective
doses calculated to range between 5.1-6.6 mSv and 11.2-17.0 mSv, respectively.
These values are comparable with those found in recent literature. DAP
measurements were found to correlate strongly (correlation coefficient of 79%)
with patient weight. The non-uniform scatter radiation fields surrounding the
irradiated area during coronary angiography were also investigated using a tissue
equivalent phantom and an ionization chamber. Exposure rates of scattered
radiation from digital acquisition were found to be around 16 times higher than
those generated from fluoroscopy, and oblique-angled imaging led to greater
amounts of scatter owing to the increase in related exposure factors. The
distribution of scatter from oblique projections confirms that X-ray photons in
the diagnostic energy range are preferentially scattered backwards, toward the X
ray tube. These concepts are a major consideration when training individuals
working in the angiography suite in order to keep doses "as low as reasonably
practicable".
PMID- 18039723
TI - Radiation risks in interventional radiology.
AB - The number, diversity and complexity of interventional radiological examinations
have all increased markedly in recent years, and it is widely recognized that
some of these procedures carry greater risks than many other radiological
procedures. This Commentary uses a meeting on "Radiation Protection in
Interventional Radiology" held at the British Institute of Radiology on 28 March
2007 as a template to discuss recent progress in this area, some current problems
and plans for the future.
PMID- 18039724
TI - The use of mixed methodology in evaluating complex interventions: identifying
patient factors that moderate the effects of a decision aid.
AB - BACKGROUND: Mixed method research, the combined use of qualitative and
quantitative methods, is increasingly popular in health services research,
especially as a way to examine 'complex interventions'. This paper seeks to
provide a case example of the use of mixed methods in the analysis of a complex
intervention (a computerized interactive decision aid) to test whether their use
affords insights into potential moderators of the intervention (i.e. patient
factors that were associated with the impact of the intervention). METHODS: We
conducted a pragmatic randomized controlled trial (n=149) of a decision aid in
women attending their primary care practitioners with menorrhagia. Alongside the
trial, a qualitative study was conducted with interviews with a sample of women
who had received the intervention (n=18). Hypotheses generated by the qualitative
study were used to inform subsequent quantitative subgroup analyses. RESULTS: The
results from both studies showed that the decision aid was broadly beneficial.
The qualitative study found that women with less formal education reported
greater levels of benefit from the intervention. However, quantitative analyses
of formal education as a moderator of treatment effect found that the
intervention provided the greatest benefit in women with greater formal
education. The findings of the qualitative and quantitative studies thus
demonstrated a significant inter-method discrepancy. Possible reasons for this
discrepancy are discussed. CONCLUSIONS: Mixed methods can illuminate different
aspects of an intervention or provide greater insight into particular issues.
Health service researchers need to be aware that the qualitative and quantitative
results may be discrepant, and methods need to be developed to deal with such
discrepancies. However, exploring seemingly discrepant results can lead to
greater insight and the development of new hypotheses and avenues of research.
PMID- 18039725
TI - Intensification of therapy and no increase in body mass index with longer disease
duration in type 2 diabetes mellitus (ZODIAC-5).
AB - BACKGROUND: Decreased insulin sensitivity and beta-cell failure are the two key
components in the pathogenesis of type 2 diabetes mellitus (T2DM). Secondary
treatment failure is often attributed to the development of obesity-related
insulin resistance in combination with continued loss of beta-cell function.
OBJECTIVE: Assess metabolic control, body mass index (BMI) and treatment in
relationship to diabetes duration to study these mechanisms. METHODS: Cross
sectional study of 7875 patients with T2DM in primary care in The Netherlands.
Clinical data and laboratory results were obtained for the 2005 annual visit.
Patients were grouped according to diabetes duration in 2-year intervals. Each
step in the traditional treatment sequence was considered as a sign of
progression of beta-cell failure. RESULTS: Complete data regarding duration and
treatment were available for 6850 patients (87%). After the initial years
following diagnosis, treatment with diet alone decreases and oral hypoglycaemic
agents (OHA) are prescribed to an increasing percentage of patients. Treatment
with OHA diminishes after approximately 10 years following diagnosis and
treatment with insulin increases until approximately two-thirds of patients with
diabetes duration of more than 20 years are being treated with insulin. BMI does
not increase with longer disease duration. CONCLUSION: The concept of beta-cell
failure as the primary determinant of the chronic progression of T2DM is
supported by these results, whereas a deterioration of obesity-related insulin
sensitivity as indicator is not supported.
PMID- 18039726
TI - Children's active free play in local neighborhoods: a behavioral mapping study.
AB - Many Australian children are more sedentary than they should be, and almost one
in five are currently overweight or obese. Some children may face difficulties
finding opportunities to be active, having poor access to safe public open spaces
or having low independent mobility limiting their access to places to play. This
study aimed to examine children's access to places in their neighborhood for
active free play and how these vary by age, sex and socioeconomic status (SES).
Behavioral maps of the local neighborhood were completed by children (8-12 years)
from five primary schools across different areas of Melbourne. Children living in
low SES outer-urban neighborhoods had to travel greater distances to access local
parks compared with those in inner-urban mid and high SES areas. One-third (32%)
of children reported an independent mobility range of <100 m from home. In
conclusion, for some children opportunities to engage in active free play in the
local neighborhood may be limited due to lack of parks in close proximity to home
and restricted independent mobility. It is important to collaborate with local
governments, urban planners and community groups to improve access to
neighborhood parks and to promote a sense of neighborhood safety.
PMID- 18039727
TI - The impact of an appearance-based educational intervention on adolescent
intention to use sunscreen.
AB - During adolescence, there is a steady decline in the use of sun protection and
increased use of indoor tanning lights. Previous health education efforts have
changed knowledge but not these behaviors. Middle school students (n=113)
received a single educational class that included personal viewing of skin
changes visible under ultraviolet (UV) filtered light. Pre-/post-surveys assessed
past, current and future intent to use sunscreen, as well as sun benefit and sun
risk attitudes. Prior to the session, 42% were sunscreen non-users and 21% were
consistent users. At post-test, one-third of students who had not previously
intended to use sunscreen in the next month now intended to use it. Among
students who had seen skin damage, 59% reported intention to use sunscreen in the
next month versus 35% who did not see skin changes (P = 0.04). Viewing sun damage
was an independent predictor of intent to use sunscreen in the next month (OR
2.9, P = 0.04), as was older age (OR 2.6, P = 0.04) and previous consistent
sunscreen use (OR 6.1, P = 0.004). A brief educational intervention that
emphasizes risk-to-appearance and personalizes the risks of UV exposure has the
potential to influence early adolescent sun protection. Long-term studies of this
approach are needed.
PMID- 18039729
TI - Bunny hops and creative thinking about getting youngsters active.
PMID- 18039728
TI - Comparison of three methods of pre-employment medical evaluations.
AB - BACKGROUND: Increasing efforts are being made to prevent sickness absence and to
increase worker efficiency, including the use of costly pre-employment medical
assessment of white-collar workers and labourers, excluding occupations for which
medical supervision is required by law. AIM: To investigate whether filling out
an occupational health questionnaire (OHQ) as pre-employment assessment was more
efficient than previously used protocols which included a physical examination
and laboratory tests performed for each applicant. METHODS: Retrospective study
comparing three groups of job applicants: Group A--applicants examined by an
occupational physician (OP); Group B--applicants examined by a general
practitioner (GP) whose medical records were subsequently evaluated by an OP and
Group C--the applicant filled out an OHQ which was evaluated by an OP. RESULTS:
The study included 1940 pre-employment assessments divided into groups A (618), B
(256) and C (1066). The restriction rate was 2.1, 1.2 and 2.3%, respectively. The
lowest restriction rate (1.4%) was among applicants 29 years old and younger and
the highest one (3.6%) among 50 years and older. The most frequent diagnoses
among restricted applicants were musculoskeletal and circulatory diseases (15 and
12%, respectively). CONCLUSIONS: The restriction rate achieved by medical
examinations either done by an OP (Group A) or by a GP (Group B) was the same as
in OHQ (Group C). The use of a self-administered questionnaire evaluated by an OP
is the preferred method of pre-employment evaluation for non-hazardous
occupations.
PMID- 18039730
TI - Childhood chronic pain and health care professional interactions: shaping the
chronic pain experiences of children.
AB - Children with chronic pain meet numerous healthcare professionals during their
search to understand their pain. Through semi-structured interviews, this
qualitative study sought to understand the experiences of five children with
chronic pain as they encountered healthcare professionals. In the majority of
these interactions, children reported feeling misunderstood, disbelieved and
abandoned. The findings of this study demonstrate that children's experiences
with professionals influence their approach towards current and future healthcare
encounters. All children discussed their guarded relationships with healthcare
providers. Children also developed negative perceptions about their pain, in
particular believing that their experience with chronic pain was life
threatening, and demanded major life adjustments. Interactions with healthcare
professionals have a tremendous influence on children's perceptions and chronic
pain experiences. In order to better understand and care for children with
chronic pain, it is essential that healthcare professionals provide children with
the opporunity to communicate their unique experiences with pain.
PMID- 18039731
TI - Here's an idea: ask the users! Young people's views on navigation, design and
content of a health information website.
AB - Use of the internet to provide health information to young people is a relatively
recent development. Few studies have explored young people's views on how they
use internet health websites. This study investigated the navigation, design and
content preferences of young people using the Children First for Health (CFfH)
website. Young people from five secondary schools completed an internet site
navigation exercise, website evaluation questionnaire and participated in
informal discussions. Of the participants, 45 percent visited the website section
aimed at older adolescents within their first two clicks, regardless of their
age. There were conflicting preferences for design and strong preference for
gender-specific information on topics such as appearance, relationships, fitness
and sexual health. The findings indicate the importance of gaining young people's
views to ensure that health information websites meet the needs of their intended
audience. Cooperation from schools can facilitate the process of gaining young
people's views on internet website navigation, design and content.
PMID- 18039732
TI - Guilt and shame: experiences of parents of self-harming adolescents.
AB - This paper reports the findings of a qualitative study that used a hermeneutic
phenomenological methodology to develop insights into the experience of parents
of young people who engage in self-harming behaviour. Six mothers (and one father
who accompanied his wife) participated in the study. Findings reveal that mothers
experienced guilt and shame, and that these feelings shaped their reactions and
responses. These mothers described experiencing emotional dilemmas, such as the
degree to which they could be responsible, uncertainty about how to understand
self harm, and the best course of action to take with their child. They also
encountered difficulties in combating the negative emotional effects for
themselves and other family members. Findings provide insights that can help
nurses and family health workers to understand and assist parents with greater
effectiveness; by maintaining a non-judgemental stance, acknowledging the
difficulties of their experiences, encouraging confidence in their parenting
abilities, and promoting effective stress management strategies.
PMID- 18039733
TI - Discussing childhood overweight and obesity with parents: a health communication
dilemma.
AB - Childhood obesity has reached alarming levels and the problem has assumed great
significance for health care staff who work with overweight children and their
families. Anecdotal accounts of the difficulties that may emerge when health care
providers communicate that a child's weight is outside of the normal range, were
a key stimulus for this review. A local government health department commissioned
a review of literature on communicating with parents about childhood overweight
and obesity. Literature concerned with communicating a child's overweight to
parents was limited and, as a result, this review draws upon a disparate body of
literature to examine what is known and what might be helpful for health care
providers when discussing a child's weight with the child and parents. This paper
identifies a range of factors influencing communication between health care
workers and parents and offers a number of practical approaches and strategies
for facilitating successful communication between health practitioners and the
parents of children.
PMID- 18039735
TI - Phosphorus acquisition by Chlamydomonas acidophila under autotrophic and osmo
mixotrophic growth conditions.
AB - Chlamydomonas acidophila Negoro is a green algal species abundant in acidic
waters where inorganic phosphorus (P(i)) and carbon (CO(2)) are considered the
most important growth-limiting nutrients for the phytoplankton. This paper
describes the P(i) uptake and growth kinetics under varying carbon supply by
cultivating the alga autotrophically, with and without CO(2) aeration, and osmo
mixotrophically with glucose under low P(i) conditions at pH 2.7. The low minimum
cellular phosphorus quota (Q(0); ranging from 0.6 to 1.1 mmol P mol(-1) C)
suggested P(i)-limiting conditions under all different modes of carbon supply,
and was lowest under CO(2)-aerated conditions. The threshold P(i) concentration
for growth did not vary from zero, suggesting no detectable metabolic costs.
Maximum P(i)-uptake rates (V(max)) were a better indication of P(i) limitation
when compared with the affinity constant for P(i) uptake (K(m)), as V(max) was
only high under P(i)-limited conditions whereas K(m) was low under both P(i)
limited and P(i)-replete conditions. Osmo-mixotrophic growth conditions did not
result in decreased extracellular phosphatase activity, but often resulted in
physiological characteristics comparable with CO(2)-aerated cells, suggesting
intracellular CO(2) production by glucose respiration. In addition, at low CO(2)
and in autotrophic conditions, C. acidophila had a higher Q(0), lower dissolved
organic carbon concentration, lower maximum P(i)-uptake rates, and lower
phosphatase activity, suggesting that growth was co-limited by CO(2) and P(i).
Furthermore, cells may respond physiologically to both nutrient limitations
simultaneously.
PMID- 18039734
TI - Emotional and rational product appeals in televised food advertisements for
children: analysis of commercials shown on US broadcast networks.
AB - The aggressive advertising and marketing of high caloric food products to
children is implicated as a potential causative factor in the childhood obesity
epidemic. This study analyzed 147 commercials appearing during children's
programming on U.S. broadcast networks for a wide range of potential emotional
and rational advertising appeals. The most prominent emotional appeals were
fun/happiness and play followed by fantasy/ imagination, social enhancement/peer
acceptance, and coolness/hipness. Many of the products used the term ;super
charged' or a similar adjective to describe the powerful taste or other physical
properties of the product. More than one-third of all the commercials used a
fruit appeal or association. Statements or depictions that a product was healthy
or nutritious were quite rare among the commercials. This seems to imply that
health and nutrition claims are understood by food marketers to not be salient
concerns among children and as such are not a selling point to children.
Commercials for high sugar cereal products and fast food restaurants differed in
several respects. This study can serve to guide child health care professionals
and other child advocates in designing measures that counter food advertising
messages directed at children.
PMID- 18039736
TI - Inhibition of catalase activity as an early response of Arabidopsis thaliana
cultured cells to the phytotoxin fusicoccin.
AB - In Arabidopsis thaliana cells, fusicoccin (FC) treatment induced an early and
marked increase in the extracellular H(2)O(2) level. It also increased the huge
hypo-osmotic stress-induced oxidative wave and, in addition, prevented the
H(2)O(2) peak drop. These effects were apparently not linked to changes in either
cytoplasmic pH or cytoplasmic free calcium concentration, since they occurred
independently of the activity state of the plasma membrane (PM) H(+)-ATPase and
neither influx nor efflux of (45)Ca(2+) was modified by FC. In the presence of
diphenylene iodonium (DPI), inhibiting the PM NADPH oxidase presumably
responsible for reactive oxygen species (ROS) production, no apoplastic H(2)O(2)
development was detected either with or without FC. However, no increase in DPI
sensitive ferricyanide reduction, but rather a gradual decrease, occurred with
FC. These results suggested that the H(2)O(2) increase observed with FC was not
due to a overproduction of ROS but, more probably, to a reduced capability of FC
treated cells to degrade the H(2)O(2) formed. This view, at first supported by
the finding that FC-treated cells failed to break down exogenously supplied
H(2)O(2), was clearly confirmed by a series of measurements on exogenous catalase
activity, tested in cell-free media of FC-treated samples. This assay, in fact,
allowed ascertainment and partial characterization of an as yet unidentified
factor increasingly accumulating in the incubation medium of FC-treated cells,
behaving as a non-competitive catalase inhibitor and able to reduce markedly the
cell's capability for H(2)O(2) scavenging.
PMID- 18039737
TI - Effects of bacteria on enhanced metal uptake of the Cd/Zn-hyperaccumulating
plant, Sedum alfredii.
AB - To investigate the effects of bacteria (Burkholderia cepacia) on metal uptake by
the hyperaccumulating plant, Sedum alfredii, a hydroponic experiment with
different concentrations of Cd and Zn was conducted. It was found that
inoculation of bacteria on S. alfredii significantly enhanced plant growth (up to
110% with Zn treatment), P (up to 56.1% with Cd treatment), and metal uptake (up
to 243% and 96.3% with Cd and Zn treatment, respectively) in shoots, tolerance
index (up to 134% with Zn added treatment), and better translocation of metals
(up to 296% and 135% with Cd and Zn treatment, respectively) from root to shoot.
In the ampicillin added treatment with metal addition, stimulation of organic
acid production (up to an increase of 133% of tartaric acid with Cd treatment) by
roots of S. alfredii was observed. The secretion of organic acids appears to be a
functional metal resistance mechanism that chelates the metal ions
extracellularly, reducing their uptake and subsequent impacts on root
physiological processes.
PMID- 18039738
TI - Changes in hydraulic conductivity, mechanical properties, and density reflecting
the fall in strain along the lateral roots of two species of tropical trees.
AB - Roots have been described as having larger vessels and so greater hydraulic
efficiency than the stem. Differences in the strength and stiffness of the tissue
within the root system itself are thought to be an adaptation to the loading
conditions experienced by the roots and to be related to differences in density.
It is not known how potential mechanical adaptations may affect the hydraulic
properties of the roots. The change in strength, stiffness, conductivity,
density, sapwood area, and second moment of area distally along the lateral roots
of two tropical tree species in which the strain is known to decrease rapidly was
studied and the values were compared with those of the trunk. It was found that
as the strain fell distally along the roots, so did the strength and stiffness of
the tissue, whereas the conductivity increased exponentially. These changes
appeared to be related to differences in density. In contrast to the distal-most
roots, the tissue of the proximal roots had a lower conductivity and higher
strength than that of the trunk. This suggests that mechanical requirements on
the structure rather than the water potential gradient from roots to branches are
responsible for the general pattern that roots have larger vessels than the stem.
In spite of their increased transectional area, the buttressed proximal roots
were subjected to higher levels of stress and had a lower total conductivity than
the rest of the root system.
PMID- 18039739
TI - Gene expression during the induction, maintenance, and release of dormancy in
apical buds of poplar.
AB - The perennial lifestyle of trees is characterized by seasonal cycles of growth
and dormancy. The recurrent transitions into and out of dormancy represent an
adaptation mechanism that largely determines survival and, hence, the
geographical distribution of tree species. To understand better the molecular
basis of bud dormancy, cDNA-amplified fragment length polymorphism (AFLP)
transcript profiling was used to map differential gene expression during dormancy
induction, dormancy, dormancy release by chilling, and subsequent bud break in
apical buds of poplar (Populus tremulaxP. alba). Unexpectedly, besides poplar
transcript sequences, the cDNA-AFLP profiles revealed sequence signatures
originating from a complex bacterial community, which was more pronounced during
dormancy and displayed temporal dynamics in composition and complexity. Based on
poplar gene expression dynamics, processes and potential regulators during
different phases of dormancy are described. Novel genes were linked to a crucial
transitory step in dormancy induction, and to dormancy release through chilling,
a molecularly unresolved phenomenon. One WRKY- and two ERF-related transcription
factors were similarly expressed during the transition to dormancy in apical and
axillary buds. These regulatory genes could be involved in the differentiation of
stipule-like leaf organs protecting the bud, or act during the growth-dormancy
transition in the meristem, revealing commonalities between para- and
endodormancy.
PMID- 18039740
TI - Arabinogalactan proteins as molecular markers in Arabidopsis thaliana sexual
reproduction.
AB - Some of the most important changes that occur in plants during sexual
reproduction involve the transition from a sporophytic to a gametophytic type of
development. In this paper, these changes were evaluated for Arabidopsis
thaliana. The results obtained clearly show differences in the pattern of
distribution of specific arabinogalactan protein (AGP) sugar epitopes, during
anther and ovule development. AGPs are hydroxyproline-rich glycoproteins that are
massively glycosylated and ubiquitous in plants. The molecular mechanism of
action of AGPs is still unknown, mainly due to the difficulties posed by the
complex saccharide chains. However, the complex structure of the sugar fraction
of AGPs makes them a potential source of signalling molecules. The selective
labelling obtained with AGP mAbs JIM8, JIM13, MAC207, and LM2, during Arabidopsis
pollen and pistil development, suggests that some AGPs can work as markers for
gametophytic cell differentiation. Specific labelling of the first gametophytic
cells in the pistil, the strong labelling of the secretory cells of the embryo
sac, the synergid cells, and the labelling of the integument micropylar cells,
apparently outlining the pollen tube pathway into its final target, the embryo
sac, have all been shown. In the anthers, the specific labelling of gametophytic
cells, and of the male gametes that travel along the pollen tube, may indicate
AGP epitopes acting as signals for the pollen tube to reach its final destiny.
The specific labelling of cells destined to go into programmed cell death is also
discussed.
PMID- 18039741
TI - Nitric oxide function and signalling in plant disease resistance.
AB - Nitric oxide (NO) is one of only a handful of gaseous signalling molecules. Its
discovery as the endothelium-derived relaxing factor (EDRF) by Ignarro
revolutionized how NO and cognate reactive nitrogen intermediates, which were
previously considered to be toxic molecules, are viewed. NO is now emerging as a
key signalling molecule in plants, where it orchestrates a plethora of cellular
activities associated with growth, development, and environmental interactions.
Prominent among these is its function in plant hypersensitive cell death and
disease resistance. While a number of sources for NO biosynthesis have been
proposed, robust and biologically relevant routes for NO production largely
remain to be defined. To elaborate cell death during an incompatible plant
pathogen interaction NO functions in combination with reactive oxygen
intermediates. Furthermore, NO has been shown to regulate the activity of
metacaspases, evolutionary conserved proteases that may be intimately associated
with pathogen-triggered cell death. NO is also thought to function in multiple
modes of plant disease resistance by regulating, through S-nitrosylation,
multiple nodes of the salicylic acid (SA) signalling pathway. These findings
underscore the key role of NO in plant-pathogen interactions.
PMID- 18039743
TI - New developments in the management of speech and language disorders.
AB - Speech and language disorders, which include swallowing difficulties, are usually
managed by speech and language therapists. Such a diverse, complex and
challenging clinical group of symptoms requires practitioners with detailed
knowledge and understanding of research within those areas, as well as the
ability to implement appropriate therapy strategies within many environments.
These environments range from neonatal units, acute paediatric wards and health
centres through to nurseries, schools and children's homes. This paper summarises
the key issues that are fundamental to our understanding of this client group.
PMID- 18039744
TI - B cell depletion therapy for 19 patients with refractory systemic lupus
erythematosus.
AB - OBJECTIVE: B cell dysregulation is involved in the development of childhood-onset
systemic lupus erythematosus (SLE). The safety and efficacy of B cell depletion
therapy is evaluated in the the largest series of children to be presented in the
literature. METHODS: 19 children (89% female) with SLE, aged 6-16 (median 14)
years, treated with rituximab in a single centre were retrospectively reviewed.
The British Isles Lupus Assessment Group (BILAG) index and biochemical,
haematological and immunological parameters were evaluated before and after
treatment, with the primary outcome assessed as normal results. Rituximab therapy
was used for acute life- or organ-threatening symptoms or symptoms that had not
responded to standard treatment. The range of symptoms included lupus nephritis,
cerebral lupus and severe general symptoms. Rituximab 750 mg/m(2) was given
intravenously twice, usually within a 2-week period. Patients were followed up
for 6-38 (median 20) months. RESULTS: Rapid reduction of SLE disease activity was
observed within the first month, represented by a reduction of BILAG scores (14
to 6, p<0.005) and an improvement in renal function (estimated glomerular
filtration rate of 54 to 68 ml/min/1.73 m(2), p = 0.07), immunological
(complement C3: 0.46 to 0.83 g/l, p = 0.02) and haematological (haemoglobin: 9.7
to 10.3 g/dl, p = 0.04) parameters. No serious side effects were observed, except
for herpes zoster in five cases. CONCLUSION: In our cohort of children, rituximab
was safe and effective when used in combination with standard immunosuppressive
agents. Randomised controlled studies are needed to further evaluate the safety
and efficacy of rituximab therapy.
PMID- 18039742
TI - Three essential and conserved regions of the group II intron are proximal to the
5'-splice site.
AB - Despite the central role of group II introns in eukaryotic gene expression and
their importance as biophysical and evolutionary model systems, group II intron
tertiary structure is not well understood. In order to characterize the
architectural organization of intron ai5gamma, we incorporated the photoreactive
nucleotides s(4)U and s(6)dG at specific locations within the intron core and
monitored the formation of cross-links in folded complexes. The resulting data
reveal the locations for many of the most conserved, catalytically important
regions of the intron (i.e., the J2/3 linker region, the IC1(i-ii) bulge in
domain 1, the bulge of D5, and the 5'-splice site), showing that all of these
elements are closely colocalized. In addition, we show by nucleotide analog
interference mapping (NAIM) that a specific functional group in J2/3 plays a role
in first-step catalysis, which is consistent with its apparent proximity to other
first-step components. These results extend our understanding of active-site
architecture during the first step of group II intron self-splicing and they
provide a structural basis for spliceosomal comparison.
PMID- 18039745
TI - Paediatric EEGs: what NICE didn't say.
PMID- 18039746
TI - United Kingdom school-entry hearing screening: current practice.
AB - OBJECTIVE: To determine if the school-entry hearing screening (SEHS) programme
continues to make a useful contribution to the identification of childhood
hearing impairment in the light of the recent implementation of universal newborn
hearing screening, and thereby to inform future policy development. DESIGN:
Postal questionnaire survey to determine current implementation and effectiveness
of SEHS SETTING: 244 school health services managed within primary care and acute
trusts throughout the UK. PARTICIPANTS: 229 SEHS service leads approached; 195
responded. MAIN OUTCOME MEASURE: Details of implementation; positive predictive
value of the screening test and its referral criteria. RESULTS: Implementation of
the SEHS is variable, and there is no national approach to data collection, audit
and quality assurance. Less than 10% of services had available robust data. The
yield from screening ranges from 0.05% to 0.59% for permanent sensorineural
hearing impairment and from 0.07% to 0.44% for permanent conductive hearing
impairment. The positive predictive values from screen referral vary from 0.62%
to 12.16% for permanent sensorineural hearing impairment and 1.24% to 17.56% for
permanent conductive hearing impairment. CONCLUSION: This comprehensive survey
provides a previously unavailable national examination of the SEHS. The few
available data on yield indicate that the SEHS may have a small but important
role to play in identification of childhood hearing impairment, but the
overwhelming conclusion is the urgent need for national guidelines on
implementation of this screening programme to determine its value since the
implementation nationally of universal newborn hearing screening.
PMID- 18039747
TI - Congenital cytomegalovirus: association between dried blood spot viral load and
hearing loss.
AB - AIM: To investigate the relation between cytomegalovirus (CMV) viral load on
dried blood spots (DBS) from newborn biochemical screening ("Guthrie") cards, and
sensorineural hearing loss (SNHL) in congenital CMV. DESIGN: Cross-sectional
study with retrospective case-note review. SETTING: Seven paediatric audiology
departments in the United Kingdom. PATIENTS: 84 children, median age 7 years: 43
with known congenital CMV, 41 with unexplained SNHL. INTERVENTIONS: Half a DBS
was tested for CMV DNA viral load by quantitative real-time polymerase chain
reaction (PCR). MAIN OUTCOME MEASURES: Pure tone average hearing thresholds (0.5
4 kHz). RESULTS: DBS CMV DNA viral load significantly correlated with hearing
thresholds for the worse and better hearing ears (Spearman's rank correlations: r
= 0.445, p = 0.008 and r = 0.481, p = 0.004 respectively). Multivariable logistic
regression showed that the effect of DBS viral load on the risk of SNHL remained
important, when age and central nervous system involvement had been taken into
account (odds ratio (OR) 2.76, 95% confidence interval (CI) 1.14 to 6.63, p =
0.024). The mean log DBS viral load was significantly higher in children with
SNHL than in those with normal hearing (2.69 versus 1.64, 95% CI -1.84 to -0.27,
p = 0.01). 8/35 (23%) children with unexplained SNHL tested positive for CMV DNA
on DBS. One false positive result was obtained. CONCLUSION: The risk of SNHL
increased with DBS viral load. Further studies should investigate whether DBS CMV
testing has a role in identifying asymptomatic congenitally infected neonates at
risk of SNHL, and whether antiviral treatment can reduce this risk.
PMID- 18039748
TI - Ethical and legal aspects of video recording neonatal resuscitation.
PMID- 18039749
TI - Assessing the effectiveness of two round neonatal resuscitation masks: study 1.
AB - BACKGROUND: Positive pressure ventilation (PPV) via a face mask is an important
skill taught using manikins. There have been few attempts to assess the
effectiveness of different face mask designs. AIM: To determine whether leak at
the face mask during simulated neonatal resuscitation differed between a new
round mask design and the current most widely used model. METHOD: 50 participants
gave PPV to a modified manikin designed to measure leak at the face mask. Leak
was calculated from the difference between the inspired and expired tidal
volumes. RESULTS: Mask leak varied widely with no significant difference between
devices; mean (SD) percentage leak for the Laerdal round mask was 55% (31) and
with the Fisher & Paykel mask it was 57% (25). CONCLUSION: We compared a new
neonatal face mask with an established design and found no difference in leak. On
average the mask leak was >50% irrespective of operator experience or technique.
PMID- 18039750
TI - Improved techniques reduce face mask leak during simulated neonatal
resuscitation: study 2.
AB - BACKGROUND: Techniques of positioning and holding neonatal face masks vary.
Studies have shown that leak at the face mask is common and often substantial
irrespective of operator experience. AIMS: (1) To identify a technique for face
mask placement and hold which will minimise mask leak. (2) To investigate the
effect of written instruction and demonstration of the identified technique on
mask leak for two round face masks. METHOD: Three experienced neonatologists
compared methods of placing and holding face masks to minimise the leak for
Fisher & Paykel 60 mm and Laerdal size 0/1 masks. 50 clinical staff gave positive
pressure ventilation to a modified manikin designed to measure leak at the face
mask. They were provided with written instructions on how to position and hold
each mask and then received a demonstration. Face mask leak was measured after
each teaching intervention. RESULTS: A technique of positioning and holding the
face masks was identified which minimised leak. The mean (SD) mask leaks before
instruction, after instruction and after demonstration were 55% (31), 49% (30),
33% (26) for the Laerdal mask and 57% (25), 47% (28), 32% (30) for the Fisher &
Paykel mask. There was no significant difference in mask leak between the two
masks. Written instruction alone reduced leak by 8.8% (CI 1.4% to 16.2%) for
either mask; when combined with a demonstration mask leak was reduced by 24.1%
(CI 16.4% to 31.8%). CONCLUSION: Written instruction and demonstration of the
identified optimal technique resulted in significantly reduced face mask leak.
PMID- 18039751
TI - How do we spin wingspan?
PMID- 18039752
TI - Perineural invasion through the maxillary division of the right trigeminal nerve
in a rare case of nasolabial malignant peripheral nerve sheath tumor.
AB - SUMMARY: We report the CT and MR imaging findings in a patient with malignant
peripheral nerve sheath tumor in the right nasolabial area, which exhibited
typical imaging features of cystic adenoid carcinoma.
PMID- 18039753
TI - "Bovine" aortic arch.
PMID- 18039754
TI - White matter abnormalities in mild traumatic brain injury: a diffusion tensor
imaging study.
AB - BACKGROUND AND PURPOSE: Traumatic axonal injury is a primary brain abnormality in
head trauma and is characterized by reduction of fractional anisotropy (FA) on
diffusion tensor imaging (DTI). Our hypothesis was that patients with mild
traumatic brain injury (TBI) have widespread brain white matter regions of
reduced FA involving a variety of fiber bundles and show fiber disruption on
fiber tracking in a minority of these regions. MATERIALS AND METHODS: Ethics
committee approval and informed consent were obtained. Twenty-one patients with
mild TBI were investigated (men:women, 12:9; mean age +/- SD, 32 +/- 9 years). In
a voxel-based comparison with 11 control subjects (men:women, 8:3; mean age, 37
+/- 9 years) using z score analysis, patient regions with abnormally reduced FA
were defined in brain white matter. MR imaging, DTI, and fiber tracking
characteristics of these regions were described and analyzed using Pearson
correlation, linear regression analysis, or the chi(2) test when appropriate.
RESULTS: Patients had on average 9.1 regions with reduced FA, with a mean region
volume of 525 mm(3), predominantly found in cerebral lobar white matter,
cingulum, and corpus callosum. These regions mainly involved supratentorial
projection fiber bundles, callosal fibers, and fronto-temporo-occipital
association fiber bundles. Internal capsules and infratentorial white matter were
relatively infrequently affected. Of all of the involved fiber bundles, 19.3%
showed discontinuity on fiber tracking. CONCLUSION: Patients with mild TBI have
multiple regions with reduced FA in various white matter locations and involving
various fiber bundles. A minority of these fiber bundles show discontinuity on
fiber tracking.
PMID- 18039755
TI - Role of apparent diffusion coefficient values in differentiation between
malignant and benign solitary thyroid nodules.
AB - BACKGROUND AND PURPOSE: Accurate imaging characterization of a solitary thyroid
nodule has been clearly problematic. The purpose of this study was to evaluate
the role of the apparent diffusion coefficient (ADC) values in the
differentiation between malignant and benign solitary thyroid nodules. MATERIALS
AND METHODS: A prospective study was conducted in 67 consecutive patients with
solitary thyroid nodules who underwent diffusion MR imaging of the thyroid gland.
Diffusion-weighted MR images were acquired with b factors of 0, 250, and 500
s/mm(2) by using single-shot echo-planar imaging. ADC maps were reconstructed.
The ADC values of the solitary thyroid nodules were calculated and correlated
with the results of histopathologic examination. Statistical analysis was
performed. RESULTS: The mean ADC value of malignant solitary thyroid nodules was
0.73 +/- 0.19 x 10(-3) mm(2)/s and of benign nodules was 1.8 +/- 0.27 x 10(-3)
mm(2)/s. The mean ADC values of malignant nodules were significantly lower than
those of benign ones (P = .0001). There were no significant differences between
the mean ADC values of various malignant thyroid nodules, but there were
significant differences between the subtypes of benign thyroid nodules (P =
.0001). An ADC value of 0.98 x 10(-3) mm(2)/s was proved as a cutoff value
differentiating between benign and malignant nodules, with 97.5%, 91.7%, and
98.9% sensitivity, specificity, and accuracy, respectively. CONCLUSION: The ADC
value is a new promising noninvasive imaging approach used for differentiating
malignant from benign solitary thyroid nodules.
PMID- 18039756
TI - A T1 hyperintense perilesional signal aids in the differentiation of a cavernous
angioma from other hemorrhagic masses.
AB - BACKGROUND AND PURPOSE: A cavernous angioma is a developmental vascular
malformation with a high risk of hemorrhage. The purpose of this work was to
retrospectively determine whether an MR sign of T1 hyperintense perilesional
signal intensity is useful for the differentiation of cavernous angioma from
other hemorrhagic cerebral masses. MATERIALS AND METHODS: The institutional
review board approved this study. We retrospectively evaluated the MR images of
72 patients with acute or subacute cerebral hemorrhagic lesions with perilesional
edema (29 cavernous angiomas, 13 glioblastomas, 1 oligodendroglioma, 16
metastatic tumors, and 13 intracerebral hemorrhages) for the presence of T1
hyperintense perilesional signal intensity. In addition, T1 signal intensities of
a perilesional edema were quantitatively analyzed. In cavernous angiomas, volumes
of hemorrhagic lesions and perilesional edemas, lesion locations, presence of
contrast enhancement, and time intervals between symptom onset and MR imaging
were also assessed. Data were analyzed using unpaired t test or Fisher exact
test. RESULTS: T1 hyperintense perilesional signal intensity sign was found in 18
(62.1%) of 29 cavernous angiomas, in 1 (6.3%) of 16 metastases, and in 0 primary
brain tumors or intracerebral hemorrhages. Sensitivity, specificity, and positive
predictive value of this sign for cavernous angioma were 62%, 98%, and 95%,
respectively. The perilesional T1 hyperintensity was significantly higher in
cavernous angiomas (P = .045) than in normal white matter. Perilesional edema
volumes were larger in cavernous angiomas with the MR sign than in cavernous
angiomas without the sign (P = .009). CONCLUSION: When the MR sign of T1
hyperintense perilesional signal intensity is present, there is a high
probability of cavernous angioma being present in the brain, and this MR sign may
be helpful for differentiating cavernous angioma from hemorrhagic tumors and
intracerebral hemorrhages.
PMID- 18039757
TI - Language dysfunction after stroke and damage to white matter tracts evaluated
using diffusion tensor imaging.
AB - BACKGROUND AND PURPOSE: Knowledge of the anatomic basis of aphasia after stroke
has both theoretic and clinical implications by informing models of cortical
connectivity and providing data for diagnosis and prognosis. In this study we use
diffusion tensor imaging to address the relationship between damage to specific
white matter tracts and linguistic deficits after left hemisphere stroke.
MATERIALS AND METHODS: Twenty patients aged 38-77 years with a history of stroke
in the left hemisphere underwent diffusion tensor imaging, structural MR imaging,
and language testing. All of the patients were premorbidly right handed and
underwent imaging and language testing at least 1 month after stroke. RESULTS:
Lower fractional anisotropy (FA) values in the superior longitudinal and arcuate
fasciculi of the left hemisphere, an indication of greater damage to these
tracts, were correlated with decreased ability to repeat spoken language.
Comprehension deficits after stroke were associated with lower FA values in the
arcuate fasciculus of the left hemisphere. The findings for repetition were
independent of MR imaging ratings of the degree of damage to cortical areas of
the left hemisphere involved in language function. There were no findings for
homotopic tracts in the right hemisphere. CONCLUSION: This study provides support
for a specific role for damage to the superior longitudinal and arcuate fasciculi
in the left hemisphere in patients with deficits in repetition of speech in
aphasia after stroke.
PMID- 18039758
TI - Contrast enhancement hyperdensity after endovascular coiling of intracranial
aneurysms.
AB - BACKGROUND AND PURPOSE: Endovascular coil embolization is used increasingly to
treat cerebral aneurysms. The purpose of our study was to quantify the incidence
of CT-detectable abnormalities after aneurysm coiling and map the radiographic
and clinical progression. MATERIALS AND METHODS: We reviewed the radiographic and
clinical sequelae of 30 consecutive patients with aneurysms who underwent
endosaccular coiling followed by head CT scans. Patients with CT abnormalities
received follow-up scans at 4 to 6 hours and 20 to 25 hours. Contrast enhancement
was defined as CT hyperdensities with progressive resolution over 25 hours and a
Hounsfield unit (HU) of less than 70. The incidence of CT abnormalities was
recorded and correlated with amount of contrast used, use of antiplatelet agents,
procedure time, and clinical sequelae. RESULTS: Seven patients (23%) had new
hyperdensities on CT scan. Four showed gyral hyperattenuation; 1 showed basal
ganglia hyperattenuation, and 2 showed a combination of these patterns. All were
asymptomatic and were consistent with contrast enhancement, with complete
resolution in 5 of 7 and partial resolution in 2 of 7 by 20 to 25 hours.
Antithrombotic or antiplatelet medication was continued in all cases. The amount
of contrast used (P = .014) and the use of antiplatelet medication (P = .029)
were statistically correlated with the presence of hyperattenuation after
aneurysm coiling, whereas the length of the procedure was not (P = .162).
CONCLUSION: Contrast enhancement, unlike contrast extravasation, is a fairly
common and clinically benign finding after aneurysm coiling. The enhancement
resolves by 25 hours in most cases, regardless of the continuation of
antithrombotic or antiplatelet therapy.
PMID- 18039759
TI - Shoppers Drug Mart or poachers drug mart?
PMID- 18039760
TI - Expression of a uniquely regulated extracellular polysaccharide confers a large
capsule phenotype to Bacteroides fragilis.
AB - Bacteroides fragilis synthesizes eight distinct capsular polysaccharides, more
than any described bacterium outside the order Bacteroidales. Here, we show that
this organism also produces a high-molecular-weight extracellular polysaccharide
(EPS). Expression of the EPS results in the formation of a large polysaccharide
layer around the bacteria which prevents them from forming a tight pellet upon
centrifugation and from entering a Percoll density gradient. Like expression of
the capsular polysaccharides, expression of the EPS is phase variable and
dictated by DNA inversion of its promoter. EPS expression is regulated at one
level by the DNA invertase Tsr19, which is encoded by a gene immediately upstream
of the EPS locus and inverts the EPS promoter, causing an on or off phenotype.
Expression of the EPS is also regulated at another level, which dictates the
amount of EPS produced. By analyzing a panel of tsr19 deletion mutants, we found
that the number of inverted repeats (IRs) flanking the promoter is variable.
Transcription into the EPS genes is greater in mutants with a single IR between
the promoter and the downstream EPS genes than in mutants with more than one IR
in this region, correlating with the synthesis of more EPS. By analyzing the
relative orientations of the EPS promoter of bacteria obtained from human fecal
samples, we showed that both DNA inversion and variation in the number of IRs are
active processes of B. fragilis in the endogenous human intestinal ecosystem.
PMID- 18039761
TI - An AbrB-Like protein regulates the expression of the bidirectional hydrogenase in
Synechocystis sp. strain PCC 6803.
AB - In the unicellular cyanobacterium Synechocystis sp. strain PCC 6803, the
pentameric bidirectional Ni-Fe hydrogenase (HoxEFUYH) is the sole enzyme involved
in hydrogen metabolism. Recent investigations implicated the transcription factor
LexA in the regulation of the hox genes in this cyanobacterium, suggesting the
factor to work as an activator. In this work, we show evidence that LexA cannot
account exclusively for the regulation of the hox genes in this cyanobacterium.
Therefore, we investigated which additional transcription factors interact in and
may regulate the expression of the hox genes in Synechocystis sp. strain PCC
6803. By using DNA affinity assays, a transcription factor with similarity to the
transition state regulator AbrB from Bacillus subtilis was isolated.
Electrophoretic mobility shift assays showed that the AbrB-like protein
specifically interacts with the promoter region of the hox genes as well as with
its own promoter region. In addition, results obtained with two genetically
modified strains of Synechocystis sp. strain PCC 6803, one with a not fully
segregated inactivation mutation of the abrB-like gene and the other
overexpressing the same abrB-like gene, suggest that this transcription factor
functions as a regulator of hox gene expression.
PMID- 18039762
TI - Natural variability in S-adenosylmethionine (SAM)-dependent riboswitches: S-box
elements in bacillus subtilis exhibit differential sensitivity to SAM In vivo and
in vitro.
AB - Riboswitches are regulatory systems in which changes in structural elements in
the 5' region of the nascent RNA transcript (the "leader region") control
expression of the downstream coding sequence in response to a regulatory signal
in the absence of a trans-acting protein factor. The S-box riboswitch, found
primarily in low-G+C gram-positive bacteria, is the paradigm for riboswitches
that sense S-adenosylmethionine (SAM). Genes in the S-box family are involved in
methionine metabolism, and their expression is induced in response to starvation
for methionine. S-box genes exhibit conserved primary sequence and secondary
structural elements in their leader regions. We previously demonstrated that SAM
binds directly to S-box leader RNA, causing a structural rearrangement that
results in premature termination of transcription at S-box leader region
terminators. S-box genes have a variety of physiological roles, and natural
variability in S-box structure and regulatory response could provide additional
insight into the role of conserved S-box leader elements in SAM-directed
transcription termination. In the current study, in vivo and in vitro assays were
employed to analyze the differential regulation of S-box genes in response to
SAM. A wide range of responses to SAM were observed for the 11 S-box-regulated
transcriptional units in Bacillus subtilis, demonstrating that S-box riboswitches
can be calibrated to different physiological requirements.
PMID- 18039763
TI - Study of anoxic and oxic cholesterol metabolism by Sterolibacterium
denitrificans.
AB - The initial enzymes and genes involved in the anoxic metabolism of cholesterol
were studied in the denitrifying bacterium Sterolibacterium denitrificans Chol
1S(T). The second enzyme of the proposed pathway, cholest-4-en-3-one-Delta1
dehydrogenase (AcmB), was partially purified. Based on amino acid sequence
analysis, a gene probe was derived to screen a cosmid library of chromosomal DNA
for the acmB gene. A positive clone comprising a 43-kbp DNA insert was sequenced.
In addition to the acmB gene, the DNA fragment harbored the acmA gene, which
encodes the first enzyme of the pathway, cholesterol dehydrogenase/isomerase. The
acmA gene was overexpressed, and the recombinant dehydrogenase/isomerase was
purified. This enzyme catalyzes the predicted transformation of cholesterol to
cholest-4-en-3-one. S. denitrificans cells grown aerobically with cholesterol
exhibited the same pattern of soluble proteins and cell extracts formed the same
14C-labeled products from [14C]cholesterol as cells that were grown under anoxic,
denitrifying conditions. This is especially remarkable for the late products that
are formed by anaerobic hydroxylation of the cholesterol side chain with water as
the oxygen donor. Hence, this facultative anaerobic bacterium may use the anoxic
pathway lacking any oxygenase-dependent reaction also under oxic conditions. This
confers metabolic flexibility to such facultative anaerobic bacteria.
PMID- 18039764
TI - Energy conservation via electron-transferring flavoprotein in anaerobic bacteria.
PMID- 18039765
TI - Existence of abnormal protein aggregates in healthy Escherichia coli cells.
AB - Protein aggregation is a phenomenon observed in all organisms and has often been
linked with cell disorders. In addition, several groups have reported a virtual
absence of protein aggregates in healthy cells. In contrast to previous studies
and the expected outcome, we observed aggregated proteins in aerobic
exponentially growing and "healthy" Escherichia coli cells. We observed
overrepresentation of "aberrant proteins," as well as substrates of the major
conserved chaperone DnaK (Hsp70) and the protease ClpXP (a serine protease), in
the aggregates. In addition, the protein aggregates appeared to interact with
chaperones known to be involved in the aggregate repair pathway, including ClpB,
GroEL, GroES, and DnaK. Finally, we showed that the levels of reactive oxygen
species and unfolded or misfolded proteins determine the levels of protein
aggregates. Our results led us to speculate that protein aggregates may function
as a temporary "trash organelle" for cellular detoxification.
PMID- 18039767
TI - Structural basis for different substrate specificities of two ADP-ribose
pyrophosphatases from Thermus thermophilus HB8.
AB - ADP-ribose (ADPR) is one of the main substrates of Nudix proteins. Among the
eight Nudix proteins of Thermus thermophilus HB8, we previously determined the
crystal structure of Ndx4, an ADPR pyrophosphatase (ADPRase). In this study we
show that Ndx2 of T. thermophilus also preferentially hydrolyzes ADPR and flavin
adenine dinucleotide and have determined its crystal structure. We have
determined the structures of Ndx2 alone and in complex with Mg2+, with Mg2+ and
AMP, and with Mg2+ and a nonhydrolyzable ADPR analogue. Although Ndx2 recognizes
the AMP moiety in a manner similar to those for other ADPRases, it recognizes the
terminal ribose in a distinct manner. The residues responsible for the
recognition of the substrate in Ndx2 are not conserved among ADPRases. This may
reflect the diversity in substrate specificity among ADPRases. Based on these
results, we propose the classification of ADPRases into two types: ADPRase-I
enzymes, which exhibit high specificity for ADPR; and ADPRase-II enzymes, which
exhibit low specificity for ADPR. In the active site of the ternary complexes,
three Mg2+ ions are coordinated to the side chains of conserved glutamate
residues and water molecules. Substitution of Glu90 and Glu94 with glutamine
suggests that these residues are essential for catalysis. These results suggest
that ADPRase-I and ADPRase-II enzymes have nearly identical catalytic mechanisms
but different mechanisms of substrate recognition.
PMID- 18039766
TI - Transcription profiling of the stringent response in Escherichia coli.
AB - The bacterial stringent response serves as a paradigm for understanding global
regulatory processes. It can be triggered by nutrient downshifts or starvation
and is characterized by a rapid RelA-dependent increase in the alarmone (p)ppGpp.
One hallmark of the response is the switch from maximum-growth-promoting to
biosynthesis-related gene expression. However, the global transcription patterns
accompanying the stringent response in Escherichia coli have not been analyzed
comprehensively. Here, we present a time series of gene expression profiles for
two serine hydroxymate-treated cultures: (i) MG1655, a wild-type E. coli K-12
strain, and (ii) an isogenic relADelta251 derivative defective in the stringent
response. The stringent response in MG1655 develops in a hierarchical manner,
ultimately involving almost 500 differentially expressed genes, while the
relADelta251 mutant response is both delayed and limited in scope. We show that
in addition to the down-regulation of stable RNA-encoding genes, flagellar and
chemotaxis gene expression is also under stringent control. Reduced transcription
of these systems, as well as metabolic and transporter-encoding genes,
constitutes much of the down-regulated expression pattern. Conversely, a
significantly larger number of genes are up-regulated. Under the conditions used,
induction of amino acid biosynthetic genes is limited to the leader sequences of
attenuator-regulated operons. Instead, up-regulated genes with known functions,
including both regulators (e.g., rpoE, rpoH, and rpoS) and effectors, are largely
involved in stress responses. However, one-half of the up-regulated genes have
unknown functions. How these results are correlated with the various effects of
(p)ppGpp (in particular, RNA polymerase redistribution) is discussed.
PMID- 18039768
TI - Role of stress response sigma factor SigG in Mycobacterium tuberculosis.
AB - The sigG gene of Mycobacterium tuberculosis was disrupted by homologous
recombination, and the genes regulated by SigG were examined by real-time reverse
transcription PCR and microarray studies. The SigG consensus promoter recognition
sequence was identified as GCGNGT-N15-18-CGANCA. A DeltasigG mutant was found to
be more resistant to mitomycin C treatment than the wild-type strain, indicating
that it may be involved in the SOS response in M. tuberculosis.
PMID- 18039769
TI - Modulation of horizontally acquired genes by the Hha-YdgT proteins in Salmonella
enterica serovar Typhimurium.
AB - We describe a transcriptomic study of the effect of hha and ydgT mutations in
Salmonella enterica serovar Typhimurium. A large number of genes showing altered
expression are located in AT-rich horizontally acquired DNA sequences. Many of
these genes have also been reported to be targets for H-NS. As Hha and YdgT
interact with H-NS, our findings strongly suggest that Hha and/or YdgT must form
complexes with H-NS when they silence these DNA regions.
PMID- 18039770
TI - ExoS controls the cell contact-mediated switch to effector secretion in
Pseudomonas aeruginosa.
AB - Type III secretion is used by many gram-negative bacterial pathogens to directly
deliver protein toxins (effectors) into targeted host cells. In all cases,
secretion of effectors is triggered by host cell contact, although the mechanism
is unclear. In Pseudomonas aeruginosa, expression of all type III secretion
related genes is up-regulated when secretion is triggered. We were able to
visualize this process using a green fluorescent protein reporter system and to
use it to monitor the ability of bacteria to trigger effector secretion on cell
contact. Surprisingly, the action of one of the major type III secreted
effectors, ExoS, prevented triggering of type III secretion by bacteria that
subsequently attached to cells, suggesting that triggering of secretion is
feedback regulated. Evidence is presented that translocation (secretion of
effectors across the host cell plasma membrane) of ExoS is indeed self-regulated
and that this inhibition of translocation can be achieved by either of its two
enzymatic activities. The translocator proteins PopB, PopD, and PcrV are secreted
via the type III secretion system and are required for pore formation and
translocation of effectors across the host cell plasma membrane. Here we present
data that secretion of translocators is in fact not controlled by calcium,
implying that triggering of effector secretion on cell contact represents a
switch in secretion specificity, rather than a triggering of secretion per se.
The requirement for a host cell cofactor to control effector secretion may help
explain the recently observed phenomenon of target cell specificity in both the
Yersinia and P. aeruginosa type III secretion systems.
PMID- 18039771
TI - Identification of a spermidine excretion protein complex (MdtJI) in Escherichia
coli.
AB - A spermidine excretion protein in Escherichia coli was looked for among 33
putative drug exporters thus far identified. Cell toxicity and inhibition of
growth due to overaccumulation of spermidine were examined in an E. coli strain
deficient in spermidine acetyltransferase, an enzyme that metabolizes spermidine.
Toxicity and inhibition of cell growth by spermidine were recovered in cells
transformed with pUCmdtJI or pMWmdtJI, encoding MdtJ and MdtI, which belong to
the small multidrug resistance family of drug exporters. Both mdtJ and mdtI are
necessary for recovery from the toxicity of overaccumulated spermidine. It was
also found that the level of mdtJI mRNA was increased by spermidine. The
spermidine content in cells cultured in the presence of 2 mM spermidine was
decreased, and excretion of spermidine from cells was enhanced by MdtJI,
indicating that the MdtJI complex can catalyze excretion of spermidine from
cells. It was found that Tyr4, Trp5, Glu15, Tyr45, Tyr61, and Glu82 in MdtJ and
Glu5, Glu19, Asp60, Trp68, and Trp81 in MdtI are involved in the excretion
activity of MdtJI.
PMID- 18039772
TI - Regulation of L-lactate utilization by the FadR-type regulator LldR of
Corynebacterium glutamicum.
AB - Corynebacterium glutamicum can grow on L-lactate as a sole carbon and energy
source. The NCgl2816-lldD operon encoding a putative transporter (NCgl2816) and a
quinone-dependent L-lactate dehydrogenase (LldD) is required for L-lactate
utilization. DNA affinity chromatography revealed that the FadR-type regulator
LldR (encoded by NCgl2814) binds to the upstream region of NCgl2816-lldD.
Overexpression of lldR resulted in strongly reduced NCgl2816-lldD mRNA levels and
strongly reduced LldD activity, and as a consequence, a severe growth defect was
observed in cells grown on L-lactate as the sole carbon and energy source, but
not in cells grown on glucose, ribose, or acetate. Deletion of lldR had no effect
on growth on these carbon sources but resulted in high NCgl2816-lldD mRNA levels
and high LldD activity in the presence and absence of L-lactate. Purified His
tagged LldR bound to a 54-bp fragment of the NCgl2816-lldD promoter, which
overlaps with the transcriptional start site determined by random amplification
of cDNA ends-PCR and contains a putative operator motif typical of FadR-type
regulators, which is -1TNGTNNNACNA10. Mutational analysis revealed that this
motif with hyphenated dyad symmetry is essential for binding of LldD to the
NCgl2816-lldD promoter. L-Lactate, but not D-lactate, interfered with binding of
LldRHis to the NCgl2816-lldD promoter. Thus, during growth on media lacking L
lactate, LldR represses expression of NCgl2816-lldD. In the presence of L-lactate
in the growth medium or under conditions leading to intracellular L-lactate
accumulation, the L-lactate utilization operon is induced.
PMID- 18039773
TI - Serotonin 5-HT2C receptor agonist promotes hypophagia via downstream activation
of melanocortin 4 receptors.
AB - The neurotransmitter serotonin (5-hydroxytryptamine) is a well-established
modulator of energy balance. Both pharmacological and genetic evidence implicate
the serotonin 2C receptor (5-HT(2C)R) as a critical receptor mediator of
serotonin's effects on ingestive behavior. Here we characterized the effect of
the novel and selective 5-HT(2C)R agonist BVT.X on energy balance in obese and
lean mice and report that BVT.X significantly reduces acute food intake without
altering locomotor activity or oxygen consumption. In an effort to elucidate the
mechanism of this effect, we examined the chemical phenotype of 5-HT(2C)R
expressing neurons in a critical brain region affecting feeding behavior, the
arcuate nucleus of the hypothalamus. We show that 5-HT(2C)Rs are coexpressed with
neurons containing proopiomelanocortin, known to potently affect appetite, in the
arcuate nucleus of the hypothalamus of the mouse. We then demonstrate that
prolonged infusion with BVT.X in obese mice significantly increases Pomc mRNA and
reduces body weight, percent body fat, and initial food intake. To evaluate the
functional importance of melanocortin circuitry in the effect of BVT.X on
ingestive behavior, we assessed mice with disrupted melanocortin pathways. We
report that mice lacking the melanocortin 4 receptor are not responsive to BVT.X
induced hypophagia, demonstrating that melanocortins acting on melanocortin 4
receptor are a requisite downstream pathway for 5-HT(2C)R agonists to exert
effects on food intake. The data presented here not only indicate that the novel
5-HT(2C)R agonist BVT.X warrants further investigation as a treatment for obesity
but also elucidate specific neuronal pathways potently affecting energy balance
through which 5-HT(2C)R agonists regulate ingestive behavior.
PMID- 18039774
TI - Factors contributing to obesity in bombesin receptor subtype-3-deficient mice.
AB - Mice with a targeted disruption of bombesin receptor subtype-3 (BRS-3 KO) develop
hyperphagia, obesity, hypertension, and impaired glucose metabolism. However, the
factors contributing to their phenotype have not been clearly established. To
determine whether their obesity is a result of increased food intake or a defect
in energy regulation, we matched the caloric intake of BRS-3 KO mice to wild-type
(WT) ad libitum (ad lib)-fed controls over 21 wk. Although BRS-3 KO ad lib-fed
mice were 29% heavier, the body weights of BRS-3 KO pair-fed mice did not differ
from WT ad lib-fed mice. Pair-feeding BRS-3 KO mice normalized plasma insulin but
failed to completely reverse increased adiposity and leptin levels. Hyperphagia
in ad lib-fed KO mice was due to an increase in meal size without a compensatory
decrease in meal frequency resulting in an increase in total daily food intake.
An examination of neuropeptide Y, proopiomelanocortin, and agouti-related peptide
gene expression in the arcuate nucleus revealed that BRS-3 KO mice have some
deficits in their response to energy regulatory signals. An evaluation of the
satiety effects of cholecystokinin, bombesin, and gastrin-releasing peptide found
no differences in feeding suppression by these peptides. We conclude that
hyperphagia is a major factor leading to increased body weight and
hyperinsulinemia in BRS-3 KO mice. However, our finding that pair-feeding did not
completely normalize fat distribution and plasma leptin levels suggests there is
also a metabolic dysregulation that may contribute to, or sustain, their obese
phenotype.
PMID- 18039775
TI - Estrogens promote human testicular germ cell cancer through a membrane-mediated
activation of extracellular regulated kinase and protein kinase A.
AB - Clinical and experimental studies have suggested that estrogens, the archetype of
female hormones, participate in the control of male germ cell proliferation and
that fetal exposure to environmental estrogens may contribute to hypofertility
and/or to testicular germ cell cancer. However, the underlying mechanisms remain
to be elucidated. 17beta-Estradiol (E2) conjugated to BSA was able to stimulate
human testicular seminoma cell proliferation by triggering a rapid, nongenomic,
membrane-mediated activation of ERK1/2 and cAMP-dependent protein kinase A (PKA).
Both ERK1/2 and PKA participated in this promoting effect. This activation was
associated with phosphorylation of the transcription factor cAMP response element
binding protein and the nuclear factor retinoblastoma protein. Enhanced
proliferation together with ERK activation could be reversed by pertussis toxin,
a G protein inhibitor. Estrogen receptors (ERs) in JKT-1 were characterized by
immunofluorescence, subcellular fractioning, and Western blot. JKT-1 cells did
not express ERalpha but ERbeta, which localized to the mitochondria and the
nucleus but not to the membrane. Moreover, neither ICI-182,780, a classical ER
antagonist, nor tamoxifen, a selective ER modulator, could reverse the 17beta
estradiol-BSA-induced promoting effect. Estrogens contribute to human testicular
germ cell cancer proliferation by rapid activation of ERK1/2 and PKA through a
membrane nonclassical ER. This nongenomic effect represents a new basis for
understanding the estrogenic control of spermatogenesis and evaluating the role
of fetal exposure to xenoestrogens during malignant transformation of testicular
germ stem cells.
PMID- 18039776
TI - The murine glucagon-like peptide-1 receptor is essential for control of bone
resorption.
AB - Gastrointestinal hormones including gastric inhibitory polypeptide (GIP),
glucagon-like peptide (GLP)-1, and GLP-2 are secreted immediately after meal
ingestion, and GIP and GLP-2 have been shown to regulate bone turnover. We
hypothesize that endogenous GLP-1 may also be important for control of skeletal
homeostasis. We investigated the role of GLP-1 in the regulation of bone
metabolism using GLP-1 receptor knockout (Glp-1r(-/-)) mice. A combination of
bone density and histomorphometry, osteoclast activation studies, biochemical
analysis of calcium and PTH, and RNA analysis was used to characterize bone and
mineral homeostasis in Glp-1r(-/-) and Glp-1r(+/+) littermate controls. Glp-1r(-/
) mice have cortical osteopenia and bone fragility by bone densitometry as well
as increased osteoclastic numbers and bone resorption activity by bone
histomorphometry. Although GLP-1 had no direct effect on osteoclasts and
osteoblasts, Glp-1r(-/-) mice exhibited higher levels of urinary
deoxypyridinoline, a marker of bone resorption, and reduced levels of calcitonin
mRNA transcripts in the thyroid. Moreover, calcitonin treatment effectively
suppressed urinary levels of deoxypyridinoline in Glp-1r(-/-), mice and the GLP-1
receptor agonist exendin-4 increased calcitonin gene expression in the thyroid of
wild-type mice. These findings establish an essential role for endogenous GLP-1
receptor signaling in the control of bone resorption, likely through a calcitonin
dependent pathway.
PMID- 18039777
TI - Preimplantation embryos cooperate with oviductal cells to produce embryotrophic
inactivated complement-3b.
AB - Human oviductal epithelial (OE) cells produce complement protein 3 (C3) and its
derivatives, C3b and inactivated complement-3b (iC3b). Among them, iC3b is the
most potent embryotrophic molecule. We studied the production of iC3b in the
oviductal cell/embryo culture system. In the immune system, C3 convertase
converts C3 into C3b, and the conversion of C3b to iC3b requires factor I (fI)
and its cofactors, such as factor H or membrane cofactor protein. Human oviductal
epithelium and OE cells expressed mRNA and protein of the components of C3
convertase, including C2, C4, factor B, and factor D. The OE cell-conditioned
medium contained active C3 convertase activity that was suppressed by C3
convertase inhibitor, H17 in a dose and time-dependent manner. Although the
oviductal epithelium and OE cells produced fI, the production of its cofactor,
factor H required for the conversion of C3b to iC3b, was weak. Thus, OE cell
conditioned medium was inefficient in producing iC3b from exogenous C3b. On the
contrary, mouse embryos facilitated such conversion to iC3b, which was taken up
by the embryos, resulting in the formation of more blastocysts of larger size.
The facilitatory activity was mediated by complement receptor 1-related
gene/protein Y (Crry) with known membrane cofactor protein activity on the
trophectoderm of the embryos as anti-Crry antibody inhibited the conversion and
embryotrophic activity of C3b in the presence of fI. In conclusion, human oviduct
possesses C3 convertase activity converting C3 to C3b, and Crry of the
preimplantation embryos may be involved in the production of embryotrophic iC3b
on the surface of the embryos.
PMID- 18039778
TI - One-cell zygote transfer from diabetic to nondiabetic mouse results in congenital
malformations and growth retardation in offspring.
AB - Fetuses of type 1 and 2 diabetic women experience higher incidences of
malformations and fetal death as compared with nondiabetics, even when they
achieve adequate glycemic control during the first trimester. We hypothesize that
maternal diabetes adversely affects the earliest embryonic stage after
fertilization and programs the fetus to experience these complications. To test
this hypothesis, we transferred either one-cell mouse zygotes or blastocysts from
either streptozotocin-induced diabetic or control mice into nondiabetic
pseudopregnant female recipients. We then evaluated the fetuses at embryonic d
14.5 to assess fetal growth and the presence or absence of malformations. We
found that fetuses from the diabetic mice transferred at the blastocyst stage but
also as early as the one-cell zygote stage displayed significantly higher rates
of malformations consistent with neural tube closure problems and abdominal wall
and limb deformities. In addition, both these groups of fetuses were
significantly growth retarded. To determine if this phenomenon was due to high
glucose concentrations, two-cell embryos were cultured to a blastocyst stage in
52 mm D-glucose or L-glucose as an osmotic control, transferred into nondiabetic
pseudopregnant mice, and examined at embryonic d 14.5. These embryos did not
demonstrate any evidence of malformations, however, they did experience
significantly higher rates of resorptions, lower implantation rates, and they
were significantly smaller at embryonic d 14.5. In summary, exposure to maternal
diabetes during oogenesis, fertilization, and the first 24 h was enough to
program permanently the fetus to develop significant morphological changes.
PMID- 18039779
TI - Cyclooxygenase-2 regulates survival, migration, and invasion of human
endometriotic cells through multiple mechanisms.
AB - Endometriosis is a debilitating disease characterized by the presence of
functional endometrial glandular epithelium and stroma outside the uterine cavity
that affects up to 20% of women of child-bearing age. Cyclooxygenase-2 (COX-2), a
rate-limiting enzyme in the biosynthesis of prostaglandin E(2) (PGE(2)), is
highly expressed in endometriotic tissues and results in increased concentrations
of peritoneal PGE(2) in women. In this study, we determined the expression of COX
2 protein in ectopic and eutopic endometria in humans and the role of COX-2 in
endometriotic cell survival, migration, and invasion in humans. Our results
indicate that COX-2 protein is abundantly expressed in ectopic endometria
compared with eutopic endometria. Comparatively, expression of COX-2 protein is
higher in eutopic endometria from women with endometriosis compared with women
without endometriosis. Inhibition of COX-2 decreases survival, migration, and
invasion of endometriotic cells that are associated with decreased production of
PGE(2). Cell growth inhibitory effects of COX-2 inhibition/silencing are mediated
through nuclear poly (ADP-ribose) polymerase-mediated apoptosis. Cell motility
and invasion inhibitory effects of COX-2 inhibition/silencing are mediated
through matrix metalloproteinase-2 and -9 activities. Interestingly, effects of
COX-2 inhibition is more profound in endometriotic epithelial than in stromal
cells. Furthermore, inhibition of COX-2 affects invasion rather than migration of
endometriotic epithelial and stromal cells. It is the first evidence showing that
inhibition of COX-2 decreases endometriotic epithelial and stromal cell survival,
migration, and invasion in humans. Our results support the emerging concept that
COX-2/PGE(2) promotes the pathophysiology and pathogenesis of endometriosis in
humans.
PMID- 18039780
TI - Mammalian type I gonadotropin-releasing hormone receptors undergo slow,
constitutive, agonist-independent internalization.
AB - Regulatory elements present in the cytoplasmic carboxyl-terminal tails of G
protein-coupled receptors contribute to agonist-dependent receptor
desensitization, internalization, and association with accessory proteins such as
beta-arrestin. The mammalian type I GnRH receptors are unique among the rhodopsin
like G protein-coupled receptors because they lack a cytoplasmic carboxyl
terminal tail. In addition, they do not recruit beta-arrestin, nor do they
undergo rapid desensitization. By measuring the internalization of labeled GnRH
agonists, previous studies have reported that mammalian type I GnRH receptors
undergo slow agonist-dependent internalization. In the present study, we have
measured the internalization of epitope-tagged GnRH receptors, both in the
absence and presence of GnRH stimulation. We demonstrate that mammalian type I
GnRH receptors exhibit a low level of constitutive agonist-independent
internalization. Stimulation with GnRH agonist did not significantly enhance the
level of receptor internalization above the constitutive level. In contrast, the
catfish GnRH and rat TRH receptors, which have cytoplasmic carboxyl-terminal
tails, displayed similar levels of constitutive agonist-independent
internalization but underwent robust agonist-dependent internalization, as did
chimeras of the mammalian type I GnRH receptor with the cytoplasmic carboxyl
terminal tails of the catfish GnRH receptor or the rat TRH receptor. When the
carboxyl-terminal Tyr325 and Leu328 residues of the mammalian type I GnRH
receptor were replaced with alanines, these two mutant receptors underwent
significantly impaired internalization, suggesting a function for the Tyr-X-X-Leu
sequence in mediating the constitutive agonist-independent internalization of
mammalian type I GnRH receptors. These findings provide further support for the
underlying notion that the absence of the cytoplasmic carboxyl-terminal tail of
the mammalian type I GnRH receptors has been selected for during evolution to
prevent rapid receptor desensitization and internalization to allow protracted
GnRH signaling in mammals.
PMID- 18039781
TI - Oxytocin facilitates female sexual maturation through a glia-to-neuron signaling
pathway.
AB - It has been earlier proposed that oxytocin could play a facilitatory role in the
preovulatory LH surge in both rats and humans. We here provide evidence that
oxytocin also facilitates sexual maturation in female rats. The administration of
an oxytocin antagonist for 6 d to immature female rats decreased GnRH pulse
frequency ex vivo and delayed the age at vaginal opening and first estrus. The in
vitro reduction in GnRH pulse frequency required chronic blockade of oxytocin
receptors, because it was not acutely observed after a single injection of the
antagonist. Hypothalamic explants exposed to the antagonist in vitro showed a
reduced GnRH pulse frequency and failed to respond to oxytocin with GnRH release.
Prostaglandin E(2) (PGE(2)) mimicked the stimulatory effect of oxytocin on GnRH
pulse frequency, and inhibition of PG synthesis blocked the effect of oxytocin,
suggesting that oxytocin accelerates pulsatile GnRH release via PGE(2). The
source of PGE(2) appears to be astrocytes, because oxytocin stimulates PGE(2)
release from cultured hypothalamic astrocytes. Moreover, astrocytes express
oxytocin receptors, whereas GnRH neurons do not. These results suggest that
oxytocin facilitates female sexual development and that this effect is mediated
by a mechanism involving glial production of PGE(2).
PMID- 18039783
TI - Rhythmic expression of functional MT1 melatonin receptors in the rat adrenal
gland.
AB - We previously demonstrated that melatonin is involved in the regulation of
adrenal glucocorticoid production in diurnal primates through activation of MT1
membrane-bound melatonin receptors. However, whether melatonin has a similar role
in nocturnal rodents remains unclear. Using an integrative approach, here we show
that the adult rat adrenal gland expresses a functional MT1 melatonin receptor in
a rhythmic fashion. We found that: 1) expression of the cognate mRNA encoding for
the MT1 membrane-bound melatonin receptor, displaying higher levels in the
day/night transition (1800-2200 h); 2) expression of the predicted 37-kDa MT1
polypeptide in immunoblots from adrenals collected at 2200 h but not 1000 h; 3)
no expression of the MT2 melatonin receptor mRNA and protein; 4) specific high
affinity 2-[(125)I]iodomelatonin binding in membrane fractions and frozen
sections from adrenals collected at 2200 h but not 0800 h (dissociation constant
= 14.22 +/- 1.23 pm; maximal binding capacity = 0.88 +/- 0.02 fmol/mg protein);
and 5) in vitro clock time-dependent inhibition of ACTH-stimulated corticosterone
production by 1-100 nm melatonin, which was reversed by 1 microm luzindole (a
melatonin membrane receptor antagonist). Our findings indicate not only
expression but also high amplitude diurnal variation of functional MT1 melatonin
receptors in the rat adrenal gland. It is conceivable that plasma melatonin may
play a role to fine-tune corticosterone production in nocturnal rodents, probably
contributing to the down slope of the corticosterone rhythm.
PMID- 18039782
TI - Ghrelin treatment of chronic kidney disease: improvements in lean body mass and
cytokine profile.
AB - Chronic kidney disease (CKD) is associated with an increase in inflammatory
cytokines and can result in cachexia with loss of muscle and fat stores. We
previously demonstrated the efficacy of treating a model of cancer cachexia with
ghrelin and a ghrelin receptor agonist. Currently, we examine a surgical model of
CKD in rats, resulting in uremia and decreased accrual of lean body mass.
Treatment with ghrelin and two ghrelin receptor agonists (BIM-28125 and BIM
28131) resulted in increased food intake and an improvement in lean body mass
accrual that was related in part to a decrease in muscle protein degradation as
assessed by muscle levels of the 14-kDa actin fragment resulting from cleaved
actomyosin. Additionally, there was a decrease in circulating inflammatory
cytokines in nephrectomized animals treated with ghrelin relative to saline
treatment. Ghrelin-treated animals also had a decrease in the expression of IL-1
receptor in the brainstem and a decrease in expression of prohormone convertase
2, an enzyme involved in the processing of proopiomelanocortin to the
anorexigenic peptide alpha-MSH. We conclude that ghrelin treatment in uremia
results in improved lean mass accrual in part due to suppressed muscle
proteolysis and possibly related to antiinflammatory effects.
PMID- 18039784
TI - Mitogen-stimulated and rapamycin-sensitive glucose transporter 12 targeting and
functional glucose transport in renal epithelial cells.
AB - We hypothesized that glucose transporter 12 (GLUT12) is involved in regulation of
glucose flux in distal renal tubules in response to elevated glucose. We used the
Madin-Darby canine kidney polarized epithelial cell model and neutralizing
antibodies to analyze GLUT12 targeting and directional GLUT12-mediated glucose
transport. At physiological glucose concentrations, GLUT12 was localized to a
perinuclear position. High glucose and serum treatment resulted in GLUT12
localization to the apical membrane. This mitogen-stimulated targeting of GLUT12
was inhibited by rapamycin, the specific inhibitor of mammalian target of
rapamycin (mTOR). The functional role of GLUT12 was also examined. We constructed
a GLUT12 cDNA containing a c-Myc epitope tag in the fifth exofacial loop. Assays
of glucose transport at the apical membrane were performed using Transwell
filters. By comparing transport assays in the presence of neutralizing anti-c-Myc
monoclonal antibody, we specifically measured GLUT12-mediated glucose transport
at the apical surface. GLUT12-mediated glucose transport was mitogen dependent
and rapamycin sensitive. Our results implicate mTOR signaling in a novel pathway
of glucose transporter protein targeting and glucose transport. Activity of the
mTOR pathway has been associated with diabetic kidney disease. Our results
provide evidence for a link between GLUT12 protein trafficking, glucose transport
and signaling molecules central to the control of metabolic disease processes.
PMID- 18039785
TI - A functional nuclear localization signal in insulin-like growth factor binding
protein-6 mediates its nuclear import.
AB - IGF binding protein (IGFBP)-6 is a member of the IGFBP family that regulates the
actions of IGFs. Although IGFBPs exert their functions extracellularly in an
autocrine/paracrine manner, several members of the family, such as IGFBP-3 and
5, possess nuclear localization signals (NLS). To date, no NLS has been described
for IGFBP-6, an IGFBP that binds preferentially to IGF-II. We report here that
both exogenous and endogenous IGFBP-6 could be imported into the nuclei of
rhabdomyosarcoma and HEK-293 cells. Nuclear import of IGFBP-6 was mediated by a
NLS sequence that bears limited homology to those found in IGFBP-3 and -5. IGFBP
6 nuclear translocation was an active process that required importins. A peptide
corresponding to the IGFBP-6 NLS bound preferentially to importin-alpha. A
comprehensive peptide array study revealed that, in addition to positively
charged residues such as Arg and Lys, amino acids, notably Gly and Pro, within
the NLS, played an important part in binding to importins. Overexpression of wild
type IGFBP-6 increased apoptosis, and the addition of IGF-II did not negate this
effect. Only the deletion of the NLS segment abolished the apoptosis effect.
Taken together, these results suggest that IGFBP-6 is translocated to the nucleus
with functional consequences and that different members of the IGFBP family have
specific nuclear import mechanisms.
PMID- 18039786
TI - Synergistic impairment of glucose homeostasis in ob/ob mice lacking functional
serotonin 2C receptors.
AB - To investigate how serotonin and leptin interact in the regulation of energy
balance and glucose homeostasis, we generated a genetic mouse model, the OB2C
mouse, which lacks functional serotonin 2C receptors and the adipocyte hormone
leptin. The OB2C mice exhibited a dramatic diabetes phenotype, evidenced by a
synergistic increase in serum glucose levels and water intake. The severity of
the animals' diabetes phenotype would not have been predicted from the phenotypic
characterization of mice bearing mutations of either the leptin (OB mutant mice)
or the serotonin 2C receptor gene (2C mutant mice). The synergistic impairment in
glucose homeostasis developed at an age when OB2C mice did not differ in body
weight from OB mice, suggesting that this impairment was not an indirect
consequence of increased adiposity. We also demonstrated that the improvement in
glucose tolerance in wild-type mice treated with the serotonin releaser and
reuptake inhibitor fenfluramine was blunted in 2C mutant mice. These
pharmacological and genetic findings provide evidence that the serotonin 2C
receptor has direct effects on glucose homeostasis.
PMID- 18039787
TI - Developmental changes of leptin receptors in cerebral microvessels: unexpected
relation to leptin transport.
AB - The adipokine leptin participates not only in the regulation of feeding and
obesity in adults but also in neonatal development. It crosses the blood-brain
barrier (BBB) by receptor-mediated transport. Leptin concentrations in blood
differ between neonates and adults. We determined the developmental changes of
leptin receptor subtypes in the cerebral microvessels composing the BBB and
examined their expected correlation with leptin transport across the BBB. Total
RNA was extracted from enriched cerebral microvessels of mice 1, 7, 14, and 60 d
of age for real-time RT-PCR analysis of leptin receptor subtypes. In cerebral
microvessels from neonates, ObRa, ObRb, ObRc, and ObRe mRNA were all higher than
in adults, but ObRd was not detectable. Hypothalamus showed similar age-related
changes except for ObRb, which was higher in adults. The homologous receptor
gp130 did not show significant age-related changes in either region. Despite the
increase of leptin receptors, leptin permeation across the BBB after iv injection
was less in the neonates. In situ brain perfusion with blood-free buffer showed
no significant difference in the brain uptake of leptin between neonates and
adults, indicating an antagonistic role of leptin-binding proteins in the
circulation, especially the soluble receptor ObRe. The results are consistent
with our previous finding that ObRe antagonizes leptin endocytosis in cultured
endothelia and transport from blood to brain in mice. Overall, the developmental
changes observed for leptin receptors unexpectedly failed to correlate with the
entry of leptin into brain, and this may indicate different functions of the
receptors in neonates and adults.
PMID- 18039788
TI - The anteroventral bed nucleus of the stria terminalis differentially regulates
hypothalamic-pituitary-adrenocortical axis responses to acute and chronic stress.
AB - The anteroventral region of the bed nucleus of the stria terminalis (BST)
stimulates hypothalamic-pituitary-adrenocortical (HPA) axis responses to acute
stress. However, the role of the anterior BST nuclei in chronic drive of the HPA
axis has yet to be established. Therefore, this study tests the role of the
anteroventral BST in physiological responses to chronic drive, using a chronic
variable stress (CVS) model. Male Sprague-Dawley rats received either bilateral
ibotenate lesions, targeting the anteroventral BST, or vehicle injection into the
same region. Half of the lesion and control rats were exposed to a 14-d CVS
paradigm consisting of twice-daily exposure to unpredictable, alternating
stressors. The remaining rats were nonhandled control animals that remained in
home cages. On the morning after the end of CVS exposure, all rats were exposed
to a novel restraint stress challenge. CVS induced attenuated body weight gain,
adrenal hypertrophy, thymic involution, and enhanced CRH mRNA in
hypophysiotrophic neurons of the hypothalamic paraventricular nucleus, none of
which were affected by anteroventral BST lesions. In the absence of CVS, lesions
attenuated the plasma corticosterone and paraventricular nucleus c-fos mRNA
responses to the acute restraint stress. In contrast, lesions of the
anteroventral BST elevated plasma ACTH and corticosterone responses to novel
restraint in the rats previously exposed to CVS. These data suggest that the
anterior BST plays very different roles in integrating acute stimulation and
chronic drive of the HPA axis, perhaps mediated by chronic stress-induced
recruitment of distinct BST cell groups or functional reorganization of stress
integrative circuits.
PMID- 18039789
TI - Opposite regulation of transforming growth factors-beta2 and -beta3 expression in
the human endometrium.
AB - TGF-betas have been reported to mediate the repression by progesterone of several
matrix metalloproteinases in the human endometrium, thereby preventing menstrual
breakdown. Because of conflicting reports on the expression profiles, source, and
regulation of the TGF-beta system in this tissue, we investigated by real-time RT
PCR and ELISA the expression of the three TGF-betas (total and mature forms) and
their two receptors throughout the menstrual cycle, and their regulation by
ovarian steroids in cultured explants including their microdissected epithelial
and stromal compartments. Regulation by cAMP and MAPK was further investigated.
This comprehensive study on a large collection of endometrial samples evidenced a
differential regulation of TGF-beta isoforms expression, both in vivo and in
explant culture. In vivo, TGF-beta2 increased by about 5-fold at the mid-late
secretory phase then declined after menstruation; TGF-beta3 increased at
menstruation and remained high during the proliferative phase; TGF-beta1 was
maximal at menstruation. In explants cultured without ovarian steroids both TGF
beta2 and -beta3 were preferentially expressed in the stroma. Ovarian steroids
strongly repressed both TGF-beta2 and -beta3 in stroma but only TGF-beta2 in
glands. cAMP prevented inhibition by ovarian steroids of TGF-beta2 but not
beta3. In presence of ovarian steroids, MAPK inhibitors (p38 and ERK pathways)
stimulated TGF-beta3 but inhibited TGF-beta2 expression. In conclusion, TGF-beta2
and -beta3 are differentially expressed during the menstrual cycle and regulated
by progesterone in epithelial vs stromal cells. The opposite regulation of TGF
beta2 and -beta3 by cAMP and MAPK could account for their distinct expression in
vivo.
PMID- 18039790
TI - Impact of transgenic overexpression of SH2-containing inositol 5'-phosphatase 2
on glucose metabolism and insulin signaling in mice.
AB - SH2-containing inositol 5'-phosphatase 2 (SHIP2) is a 5'-lipid phosphatase
hydrolyzing the phosphatidylinositol (PI) 3-kinase product PI(3,4,5)P(3) to
PI(3,4)P(2) in the regulation of insulin signaling, and is shown to be increased
in peripheral tissues of diabetic C57BL/KSJ-db/db mice. To clarify the impact of
SHIP2 in the pathogenesis of insulin resistance with type 2 diabetes, we
generated transgenic mice overexpressing SHIP2. The body weight of transgenic
mice increased by 5.0% (P < 0.05) compared with control wild-type littermates on
a normal chow diet, but not on a high-fat diet. Glucose tolerance and insulin
sensitivity were mildly but significantly impaired in the transgenic mice only
when maintained on the normal chow diet, as shown by 1.2-fold increase in glucose
area under the curve over control levels at 9 months old. Insulin-induced
phosphorylation of Akt was decreased in the SHIP2-overexpressing fat, skeletal
muscle, and liver. In addition, the expression of hepatic mRNAs for glucose-6
phosphatase and phosphoenolpyruvate carboxykinase was increased, that for sterol
regulatory element-binding protein 1 was unchanged, and that for glucokinase was
decreased. Consistently, hepatic glycogen content was reduced in the 9-month-old
transgenic mice. Structure and insulin content were histologically normal in the
pancreatic islets of transgenic mice. These results indicate that increased
abundance of SHIP2 in vivo contributes, at least in part, to the impairment of
glucose metabolism and insulin sensitivity on a normal chow diet, possibly by
attenuating peripheral insulin signaling and by altering hepatic gene expression
for glucose homeostasis.
PMID- 18039791
TI - Caveolin-1 down-regulation inhibits insulin-like growth factor-I receptor signal
transduction in H9C2 rat cardiomyoblasts.
AB - Caveolin (Cav)-1, the major caveolar protein, directly interacts with IGF-I
receptor (IGF-IR) and its intracellular substrates. To determine the role of Cav
1 in IGF-IR signaling, we transfected H9C2 cells with small interfering RNA
specific for Cav-1-siRNA. The selective down-regulation of Cav-1 (90%) was
associated with a smaller reduction of Cav-2, whereas Cav-3 expression was
unaffected. A significant reduction of IGF-IR tyrosine phosphorylation in Cav-1
siRNA H9C2 cells was found compared with H9C2 control cells (Ctr-siRNA). The
reduced IGF-IR autophosphorylation resulted in a decrease of insulin receptor
substrate-1, Shc, and Akt activation. In addition, in Cav-1-siRNA H9C2 cells, IGF
I did not prevent apoptosis, suggesting that Cav-1 is required to mediate the
antiapoptotic effect of IGF-I in cardiomyoblasts. The down-regulation of Cav-1
decreased IGF-IR activation and affected the ability of IGF-I to prevent
apoptosis after serum withdrawal also in human umbilical vein endothelial cells.
These results demonstrate that: 1) Cav-1 down-regulation negatively affects IGF
IR tyrosine phosphorylation; 2) this effect causes a reduced activation of
insulin receptor substrate-1, Shc, and Akt; and 3) Cav-1 is involved in IGF-IR
antiapoptotic signaling after serum deprivation.
PMID- 18039792
TI - Glucocorticoid receptor is required for skin barrier competence.
AB - To investigate the contribution of the glucocorticoid receptor (GR) in skin
development and the mechanisms underlying this function, we have analyzed two
mouse models in which GR has been functionally inactivated: the knockout GR(-/-)
mice and the dimerization mutant GR(dim/dim) that mediates defective DNA binding
dependent transcription. Because GR null mice die perinatally, we evaluated skin
architecture of late embryos by histological, immunohistochemical, and electron
microscopy studies. Loss of function of GR resulted in incomplete epidermal
stratification with dramatically abnormal differentiation of GR(-/-), but not
GR(+/-) embryos, as demonstrated by the lack of loricrin, filaggrin, and
involucrin markers. Skin sections of GR(-/-) embryos revealed edematous basal and
lower spinous cells, and electron micrographs showed increased intercellular
spaces between keratinocytes and reduced number of desmosomes. The absent
terminal differentiation in GR(-/-) embryos correlated with an impaired
activation of caspase-14, which is required for the processing of profilaggrin
into filaggrin at late embryo stages. Accordingly, the skin barrier competence
was severely compromised in GR(-/-) embryos. Cultured mouse primary keratinocytes
from GR(-/-) mice formed colonies with cells of heterogeneous size and morphology
that showed increased growth and apoptosis, indicating that GR regulates these
processes in a cell-autonomous manner. The activity of ERK1/2 was constitutively
augmented in GR(-/-) skin and mouse primary keratinocytes relative to wild type,
which suggests that GR modulates skin homeostasis, at least partially, by
antagonizing ERK function. Moreover, the epidermis of GR(+/dim) and GR(dim/dim)
embryos appeared normal, thus suggesting that DNA-binding-independent actions of
GR are sufficient to mediate epidermal and hair follicle development during
embryogenesis.
PMID- 18039793
TI - Hexose-6-phosphate dehydrogenase and 11beta-hydroxysteroid dehydrogenase-1 tissue
distribution in the rat.
AB - Intracellular concentrations of the glucocorticoids cortisol and corticosterone
are modulated by the enzymes 11beta-hydroxysteroid dehydrogenase (11beta-HSD) 1
and 2. 11beta-HSD1 is a reduced nicotinamide adenine dinucleotide phosphate
(NADPH)-dependent microsomal reductase that converts the inactive glucocorticoids
cortisone and 11-dehydrocorticosterone to their active forms, cortisol and
corticosterone. Hexose-6-phosphate dehydrogenase (H6PDH) is an enzyme that
generates NADPH from oxidized NADP (NADP(+)) within the endoplasmic reticulum. In
the absence of NADPH or H6PDH to regenerate NADPH, 11beta-HSD1 acts as a
dehydrogenase and inactivates glucocorticoids, as does 11beta-HSD2. A monoclonal
antibody against H6PDH was produced to study the possibility that 11beta-HSD1 in
the absence of H6PDH may be responsible for hydroxysteroid dehydrogenase activity
in tissues that do not express significant amounts of 11beta-HSD2. H6PDH and
11beta-HSD1 expression was surveyed in a variety of rat tissues by real-time RT
PCR, Western blot analysis, and immunohistochemistry. H6PDH was found in a wide
variety of tissues, with the greatest concentrations in the liver, kidney, and
Leydig cells. Although the brain as a whole did not express significant amounts
of H6PDH, some neurons were clearly immunoreactive by immunohistochemistry. H6PDH
was amply expressed in most tissues examined in which 11beta-HSD1 was also
expressed, with the notable exception of the renal interstitial cells, in which
dehydrogenase activity by 11beta-HSD1 probably moderates activation of the
glucocorticoid receptor because rat renal interstitial cells do not have
significant amounts of mineralocorticoid receptors. This antibody against the
H6PDH should prove useful for further studies of enzyme activity requiring NADPH
generation within the endoplasmic reticulum.
PMID- 18039794
TI - Evaluation of conventional microbiological procedures and molecular genetic
techniques for diagnosis of infections in patients with implanted orthopedic
devices.
PMID- 18039795
TI - In vitro bactericidal activity of Streptococcus pneumoniae and bactericidal
susceptibility of Staphylococcus aureus strains isolated from cocolonized versus
noncocolonized children.
AB - Streptococcus pneumoniae is bactericidal to Staphylococcus aureus in vitro. To
determine whether this in vitro effect accounts for the inverse relation between
S. pneumoniae and S. aureus colonization reported in previous epidemiologic
studies, we compared S. pneumoniae and S. aureus strains from cocolonized
children to those from noncocolonized children. Cocolonizing pneumococci were
less bactericidal and cocolonizing staphylococci less susceptible to this effect;
however, the magnitude of the effect was small. Thus, in vitro killing is not the
major determinant of the pattern of cocolonization.
PMID- 18039797
TI - Loop-mediated isothermal amplification method targeting the TTS1 gene cluster for
detection of Burkholderia pseudomallei and diagnosis of melioidosis.
AB - Melioidosis is a severe infection caused by Burkholderia pseudomallei. The timely
implementation of effective antimicrobial treatment requires rapid diagnosis.
Loop-mediated isothermal amplification (LAMP) targeting the TTS1 gene cluster was
developed for the detection of B. pseudomallei. LAMP was sensitive and specific
for the laboratory detection of this organism. The lower limit of detection was
38 genomic copies per reaction, and LAMP was positive for 10 clinical B.
pseudomallei isolates but negative for 5 B. thailandensis and 5 B. mallei
isolates. A clinical evaluation was conducted in northeast Thailand to compare
LAMP to an established real-time PCR assay targeting the same TTS1 gene cluster.
A total of 846 samples were obtained from 383 patients with suspected
melioidosis, 77 of whom were subsequently diagnosed with culture-confirmed
melioidosis. Of these 77 patients, a positive result was obtained from one or
more specimens by PCR in 26 cases (sensitivity, 34%; 95% confidence interval
[CI], 23.4 to 45.4%) and by LAMP in 34 cases (sensitivity, 44%; 95% CI, 32.8 to
55.9%) (P = 0.02). All samples from 306 patients that were culture negative for
B. pseudomallei were negative by PCR (specificity, 100%; 95% CI, 98.8 to 100%),
but 5 of 306 patients (1.6%) were positive by LAMP (specificity, 98.4%; 95% CI,
96.2 to 99.5%) (P = 0.03). The diagnostic accuracies of PCR and LAMP were 86.7%
(95% CI, 82.9 to 89.9%) and 87.5% (95% CI, 83.7 to 90.6%), respectively (P =
0.47). Both assays were very insensitive when applied to blood samples; PCR and
LAMP were positive for 0 and 1 of 44 positive blood cultures, respectively. The
PCR and LAMP assays evaluated here are not sufficiently sensitive to replace
culture in our clinical setting.
PMID- 18039796
TI - Comparison of seven techniques for typing international epidemic strains of
Clostridium difficile: restriction endonuclease analysis, pulsed-field gel
electrophoresis, PCR-ribotyping, multilocus sequence typing, multilocus variable
number tandem-repeat analysis, amplified fragment length polymorphism, and
surface layer protein A gene sequence typing.
AB - Using 42 isolates contributed by laboratories in Canada, The Netherlands, the
United Kingdom, and the United States, we compared the results of analyses done
with seven Clostridium difficile typing techniques: multilocus variable-number
tandem-repeat analysis (MLVA), amplified fragment length polymorphism (AFLP),
surface layer protein A gene sequence typing (slpAST), PCR-ribotyping,
restriction endonuclease analysis (REA), multilocus sequence typing (MLST), and
pulsed-field gel electrophoresis (PFGE). We assessed the discriminating ability
and typeability of each technique as well as the agreement among techniques in
grouping isolates by allele profile A (AP-A) through AP-F, which are defined by
toxinotype, the presence of the binary toxin gene, and deletion in the tcdC gene.
We found that all isolates were typeable by all techniques and that
discrimination index scores for the techniques tested ranged from 0.964 to 0.631
in the following order: MLVA, REA, PFGE, slpAST, PCR-ribotyping, MLST, and AFLP.
All the techniques were able to distinguish the current epidemic strain of C.
difficile (BI/027/NAP1) from other strains. All of the techniques showed multiple
types for AP-A (toxinotype 0, binary toxin negative, and no tcdC gene deletion).
REA, slpAST, MLST, and PCR-ribotyping all included AP-B (toxinotype III, binary
toxin positive, and an 18-bp deletion in tcdC) in a single group that excluded
other APs. PFGE, AFLP, and MLVA grouped two, one, and two different non-AP-B
isolates, respectively, with their AP-B isolates. All techniques appear to be
capable of detecting outbreak strains, but only REA and MLVA showed sufficient
discrimination to distinguish strains from different outbreaks.
PMID- 18039798
TI - Application of a microsphere-based array for rapid identification of
Acinetobacter spp. with distinct antimicrobial susceptibilities.
AB - Acinetobacter spp. have emerged as important nosocomial and multidrug-resistant
pathogens in the last decade. A. calcoaceticus, A. baumannii, Acinetobacter
genospecies 3, and Acinetobacter genospecies 13TU are genetically closely related
and are referred to as the A. calcoaceticus-A. baumannii complex (ACB complex).
Distinct Acinetobacter spp. may be associated with differences in antimicrobial
susceptibility, so it is important to identify Acinetobacter spp. at the species
level. We developed a microsphere-based array that combines an allele-specific
primer extension assay and microsphere hybridization for the identification of
Acinetobacter spp. This assay can discriminate the 13 different Acinetobacter
spp. in less than 8.5 h, and it has high specificity without causing cross
reactivity with 14 other common nosocomial bacterial species. The sensitivity of
this assay was 100 A. baumannii cells per ml of blood, and it could discriminate
multiple species in various mixture ratios. The developed assay could
differentiate clinical Acinetobacter spp. isolates with a 90% identification
rate. The antimicrobial susceptibility test showed that A. baumannii isolates
were resistant to most antimicrobial agents other than imipenem, while the
genospecies 3 and 13TU isolates were more susceptible to most antimicrobial
agents, especially ciprofloxacin and ampicillin-sulbactam. These results
supported the idea that this assay possibly could be applied to clinical samples
and provide accurate species identification, which might be helpful for
clinicians when they are treating infections caused by Acinetobacter spp.
PMID- 18039800
TI - Methicillin-resistant Staphylococcus aureus nasal carriage among injection drug
users: six years later.
AB - A survey in 2000 to detect methicillin-resistant Staphylococcus aureus (MRSA)
colonization in Vancouver downtown east side injection drug users (IDUs) revealed
an MRSA nasal colonization incidence of 7.4%. This is a follow-up study to
determine the current prevalence of MRSA colonization and to further characterize
the isolates and risk factors for colonization. In this point prevalence study of
MRSA nasal carriage among IDUs, nasal swabs were cultured to detect S. aureus.
Isolates were studied for their antimicrobial susceptibility patterns and the
presence of mecA and Panton-Valentine leukocidin (PVL) genes and by pulsed-field
gel electrophoresis (PFGE). S. aureus was isolated from 119 of 301 (39.5%)
samples; three (2.5%) participants had both methicillin-sensitive S. aureus
(MSSA) and MRSA, resulting in 122 isolates. Of these, 54.1% were MSSA and 45.9%
were MRSA, with an overall MRSA rate of 18.6%. USA-300 (CMRSA-10) accounted for
75% of all MRSA isolates; 25% were USA-500 (CMRSA-5). None of the USA-500
isolates were positive for PVL; 41 (97.6%) USA-300 isolates contained PVL. One
MSSA isolate, from an individual also carrying USA-300, was positive for PVL. The
PFGE pattern of this MSSA isolate was related to that of the MRSA strain. The
antibiograms of USA-300 compared to USA-500 isolates showed 100% versus 7.1%
susceptibility to trimethoprim-sulfamethoxazole (TMP-SMX) and 54.8% versus 7.1%
susceptibility to clindamycin. MRSA nasal colonization in this population has
increased significantly within the last 6 years, with USA-300 replacing the
previous strain. Most of these strains are PVL positive, and all are susceptible
to TMP-SMX.
PMID- 18039802
TI - Factors affecting use of insulin pens by patients with type 2 diabetes.
AB - OBJECTIVE: To assess factors that might affect patient use of insulin pens.
RESEARCH DESIGN AND METHODS: Patients (n = 600: 300 using vial and syringe and
300 using pen) were recruited from national panels to participate in computer
assisted telephone interviews. Measures included: demographic characteristics;
diabetes treatment and self-care factors; perceptions of pen convenience,
clinical efficacy, facilitation of self-care, and cost; and degree of physicians'
recommending pen use. RESULTS: Physician recommendation of pen use powerfully
discriminated pen users from nonusers (odds ratio 135.6). Other factors that
discriminated pen users included physicians' presenting pens as an option (14.1)
and patient perceptions that pens facilitate diabetes self-care (20.2) and are
not costly (4.8). CONCLUSIONS: The physician's role in presenting the pen as an
option and recommending pen use was a critical factor in patient pen use.
Enhanced physician education regarding the potential benefits of pen use and
encouraging physicians to discuss pen use with patients could improve diabetes
outcomes.
PMID- 18039801
TI - Colonization and persistence of antibiotic-resistant Enterobacteriaceae strains
in infants nursed in two neonatal intensive care units in East London, United
Kingdom.
AB - Stool samples were collected from infants nursed in two neonatal intensive care
units (NICUs) in East London, United Kingdom. The aim of the study was to
determine the incidence of and risk factors for the carriage of multiresistant
Enterobacteriaceae strains (MRE; resistant to three or more classes of
antibiotic) and the extent of the persistence of resistant strains following
discharge. Sixty-two (50%) of 124 infants had acquired MRE by 2 weeks of
postnatal age, and 69 (56%) infants had acquired MRE by discharge. The
proportions of infants at 2 weeks carrying strains that were resistant to
antibiotics were the following: tetracycline, 79%; amoxicillin, 78%;
cephalosporins, 31%; trimethoprim, 20%; piperacillin-tazobactam, 11%;
chloramphenicol, 9%; and aminoglycoside, 4%. A gestational age of less than 26
weeks was a risk factor for colonization with MRE at discharge, but not at 2
weeks. Analysis within a NICU showed that exposure of an infant to a specific
antibiotic in the NICU was not a risk factor for the carriage of a strain
resistant to that antibiotic. Estimates of persistence from discharge to 6 months
were the following: for tetracycline, 57% (95% confidence intervals [CI], 0.35 to
0.87); chloramphenicol, 49% (95% CI, 0.20 to 0.83); trimethoprim, 45% (95% CI,
0.22 to 0.74); piperacillin-tazobactam, 42% (95% CI, 0.20 to 0.71); and
augmentin, 34% (95% CI, 0.11 to 0.66). Strains resistant to cephalosporins or
aminoglycosides showed lower levels of persistence. Nine of 34 infants (26.5%)
with Escherichia coli and 4 (7.1%) of 56 infants with Klebsiella spp. at
discharge carried strains indistinguishable by randomly amplified polymorphic DNA
and antibiotic susceptibility patterns at 6 months. MRE were found at high
frequency in the infants during their stay in the NICU and persisted in a
proportion of infants.
PMID- 18039803
TI - Association between serum bioavailable testosterone concentration and the ratio
of glycated albumin to glycated hemoglobin in men with type 2 diabetes.
AB - OBJECTIVE: Testosterone stimulates erythropoiesis and thus glycated hemoglobin
(A1C) values may be relatively low in male diabetic patients with hypogonadism.
We therefore investigated relationships between serum bioavailable testosterone
concentration and the ratio of glycated albumin (GA) to A1C and between serum
bioavailable testosterone and hemoglobin concentrations in men with type 2
diabetes. RESEARCH DESIGN AND METHODS: The above relationships were investigated
in 222 consecutive men with type 2 diabetes. We also investigated how the ratio
of GA to A1C is related to other variables such as age, BMI, and degree of
diabetic microangiopathy. RESULTS: Mean ratio of GA to A1C was 2.94 +/- 0.38.
Serum bioavailable testosterone concentration correlated positively with
hemoglobin concentration (r = 0.368, P < 0.0001) and negatively with the ratio of
GA to A1C (r = -0.278, P < 0.0001). Multiple regression analyses identified serum
bioavailable testosterone concentration (beta = 0.187, P = 0.0062), age (beta =
0.204, P = 0.0075), BMI (beta = 0.151, P = 0.0302), systolic blood pressure (beta
= 0.173, P = 0.0090), and plasma total cholesterol (beta = 0.155, P = 0.0141) as
independent determinants of hemoglobin concentration; moreover, serum
bioavailable testosterone concentration (beta = -0.155, P = 0.0381) and plasma
total cholesterol (beta = -0.170, P = 0.0144) were identified as independent
determinants of the ratio of GA to A1C. CONCLUSIONS: Serum bioavailable
testosterone concentration correlated positively with hemoglobin concentration
and negatively with the ratio of GA to A1C in men with type 2 diabetes, which may
lead to underestimation of A1C in hypogonadal men with type 2 diabetes.
PMID- 18039804
TI - Prevalence of polyneuropathy in pre-diabetes and diabetes is associated with
abdominal obesity and macroangiopathy: the MONICA/KORA Augsburg Surveys S2 and
S3.
AB - OBJECTIVE: It is controversial whether there is a glycemic threshold above which
polyneuropathy develops and which are the most important factors associated with
polyneuropathy in the general population. The aim of this study was to determine
the prevalence and risk factors of polyneuropathy in subjects with diabetes,
impaired fasting glucose (IFG), impaired glucose tolerance (IGT), or normal
glucose tolerance (NGT). RESEARCH DESIGN AND METHODS: Subjects with diabetes (n =
195) and control subjects matched for age and sex (n = 198) from the population
based MONICA (Monitoring Trends and Determinants on Cardiovascular Diseases)/KORA
(Cooperative Research in the Region of Augsburg) Augsburg Surveys 1989/1990 (S2)
and 1994/1995 (S3) aged 25-74 years were contacted again and assessed in
1997/1998 by the Michigan Neuropathy Screening Instrument using a score cut point
>2. An oral glucose tolerance test was performed in the control subjects.
RESULTS: Among the control subjects, 46 (23.2%) had IGT, 71 (35.9%) had IFG, and
81 had NGT. The prevalence of polyneuropathy was 28.0% in the diabetic subjects,
13.0% in those with IGT, 11.3% in those with IFG, and 7.4% in those with NGT (P
/=28 years, P = 0.0001) duration of diabetes. A1C, a marker of sustained
hyperglycemia, was increased in control probands with normoalbuminuira, despite
long-duration diabetes, from 7.2 to 7.3 to 7.7% with 0, 1, and 2 copies of the
DRB1*04 allele, respectively. This result is consistent with a protective effect
of DRB1*04 that may allow individuals to tolerate higher levels of hyperglycemia,
as measured by A1C, without developing nephropathy. CONCLUSIONS: These data
suggest that carriers of DRB1*04 are protected from some of the injurious
hyperglycemic effects related to nephropathy. Interestingly, DRB1*04 appears to
be both a risk allele for type 1 diabetes and a protective allele for
nephropathy.
PMID- 18039813
TI - Delayed recovery of cognitive function following hypoglycemia in adults with type
1 diabetes: effect of impaired awareness of hypoglycemia.
AB - OBJECTIVE: Recovery times of cognitive functions were examined after exposure to
hypoglycemia in people with diabetes with and without impaired hypoglycemia
awareness. RESEARCH DESIGN AND METHODS: A total of 36 subjects with type 1
diabetes were studied (20 with normal hypoglycemia awareness [NHA] and 16 with
impaired hypoglycemia awareness [IHA]). A hyperinsulinemic glucose clamp was used
to lower blood glucose to 2.5 mmol/l (45 mg/dl) (hypoglycemia) for 1 h or to
maintain blood glucose at 4.5 mmol/l (81 mg/dl) (euglycemia) on separate
occasions. Cognitive tests were applied during each experimental condition and
were repeated at 10- to 15-min intervals for 90 min after euglycemia had been
restored. RESULTS: In the NHA group, performance was impaired on all cognitive
tasks during hypoglycemia and remained impaired for up to 75 min on the choice
reaction time (CRT) task (P = 0.03, eta(2) = 0.237). In the IHA group,
performance did not deteriorate significantly during hypoglycemia. When all
subjects were analyzed within the same general linear model, performance was
impaired during hypoglycemia on all tasks. Significant impairment during recovery
persisted for up to 40 min on the CRT task (P = 0.04, eta(2) = 0.125) with a
significant glycemia-awareness interaction for CRT after one hour of hypoglycemia
(P = 0.045, eta(2) = 0.124). Performance on the trail-making B task was impaired
for up to 10 min after euglycemia was restored (P = 0.024, eta(2) = 0.158).
CONCLUSIONS: Following hypoglycemia, the recovery time for different cognitive
tasks varied considerably. In the IHA group, performance was not significantly
impaired during hypoglycemia. The state of awareness of hypoglycemia may
influence cognitive function during and after hypoglycemia.
PMID- 18039814
TI - Receptor for advanced glycation end products (RAGEs) and experimental diabetic
neuropathy.
AB - OBJECTIVE: Heightened expression of the receptor for advanced glycation end
products (RAGE) contributes to development of systemic diabetic complications,
but its contribution to diabetic neuropathy is uncertain. We studied experimental
diabetic neuropathy and its relationship with RAGE expression using
streptozotocin-induced diabetic mice including a RAGE(-/-) cohort exposed to long
term diabetes compared with littermates without diabetes. RESEARCH DESIGN AND
METHODS: Structural indexes of neuropathy were addressed with serial (1, 3, 5,
and 9 months of experimental diabetes) electrophysiological and quantitative
morphometric analysis of dorsal root ganglia (DRG), peripheral nerve, and
epidermal innervation. RAGE protein and mRNA levels in DRG, peripheral nerve, and
epidermal terminals were assessed in WT and RAGE(-/-) mice, with and without
diabetes. The correlation of RAGE activation with nuclear factor (NF)-kappaB and
protein kinase C beta II (PKC beta II) protein and mRNA expression was also
determined. RESULTS: Diabetic peripheral epidermal axons, sural axons, Schwann
cells, and sensory neurons within ganglia developed dramatic and cumulative rises
in RAGE mRNA and protein along with progressive electrophysiological and
structural abnormalities. RAGE(-/-) mice had attenuated structural features of
neuropathy after 5 months of diabetes. RAGE-mediated signaling pathway activation
for NF-kappaB and PKC beta II pathways was most evident among Schwann cells in
the DRG and peripheral nerve. CONCLUSIONS: In a long-term model of experimental
diabetes resembling human diabetic peripheral neuropathy, RAGE expression in the
peripheral nervous system rises cumulatively and relates to progressive
pathological changes. Mice lacking RAGE have attenuated features of neuropathy
and limited activation of potentially detrimental signaling pathways.
PMID- 18039815
TI - Murine antithymocyte globulin therapy alters disease progression in NOD mice by a
time-dependent induction of immunoregulation.
AB - OBJECTIVE: Antilymphocyte serum can reverse overt type 1 diabetes in NOD mice;
yet, the therapeutic parameters and immunological mechanisms underlying the
ability for this agent to modulate autoimmune responses against beta-cells are
unclear, forming the rationale for this investigation. RESEARCH DESIGN AND
METHODS: A form of antilymphocyte serum, rabbit anti-mouse thymocyte globulin
(mATG), was utilized in a variety of in vivo and in vitro settings, each for the
purpose of defining the physiological, immunological, and metabolic activities of
this agent, with particular focus on actions influencing development of type 1
diabetes. RESULTS: We observed that mATG attenuates type 1 diabetes development
in an age-dependent fashion, only proving efficacious at disease onset or in the
late pre-diabetic phase (12 weeks of age). When provided at 12 weeks of age, mATG
reversed pancreatic insulitis, improved metabolic responses to glucose challenge,
and rapidly increased frequency of antigen-presenting cells in spleen and
pancreatic lymph nodes. Surprisingly, mATG therapy dramatically increased, in an
age-dependent fashion, the frequency and the functional activity of CD4(+)CD25(+)
regulatory T-cells. Adoptive transfer/cotransfer studies of type 1 diabetes also
support the concept that mATG treatment induces a stable and transferable
immunomodulatory repertoire in vivo. CONCLUSIONS: These findings indicate that an
induction of immunoregulation, rather than simple lymphocyte depletion,
contributes to the therapeutic efficacy of antithymocyte globulin and suggest
that time-dependent windows for the ability to delay or reverse type 1 diabetes
exist based on the capacity to enhance the functional activity of regulatory T
cells.
PMID- 18039816
TI - A candidate type 2 diabetes polymorphism near the HHEX locus affects acute
glucose-stimulated insulin release in European populations: results from the
EUGENE2 study.
AB - OBJECTIVE: In recent genome-wide association studies, two single nucleotide
polymorphisms (SNPs) near the HHEX locus were shown to be more frequent in type 2
diabetic patients than in control subjects. Based on HHEX's function during
embryonic development of the ventral pancreas in mice, we investigated whether
these SNPs affect beta-cell function in humans. RESEARCH DESIGN AND METHODS: A
total of 854 nondiabetic subjects, collected from five European clinical centers,
were genotyped for the HHEX SNPs rs1111875 and rs7923837 and thoroughly
characterized by an oral glucose tolerance test (OGTT). To assess glucose
stimulated insulin release, a subgroup of 758 subjects underwent an intravenous
glucose tolerance test (IVGTT). RESULTS: SNPs rs1111875 and rs7923837 were not
associated with anthropometric data (age, weight, height, BMI, body fat, and
waist and hip circumference). After adjustment for center, family relationship,
sex, age, and BMI, both SNPs were also not associated with glucose and insulin
concentrations in the fasting state and during the OGTT or with measures of
insulin sensitivity. Furthermore, HHEX SNP rs1111875 was not associated with
insulin release during the IVGTT. By contrast, the minor A-allele of HHEX SNP
rs7923837 was significantly associated with higher IVGTT-derived first-phase
insulin release before and after appropriate adjustment (P = 0.013 and P = 0.014,
respectively). CONCLUSIONS: A common genetic variation in the 3'-flanking region
of the HHEX locus, i.e., SNP rs7923837, is associated with altered glucose
stimulated insulin release. This SNP's major allele represents a risk allele for
beta-cell dysfunction and, thus, might confer increased susceptibility of beta
cells toward adverse environmental factors.
PMID- 18039817
TI - The weak-acid preservative sorbic acid is decarboxylated and detoxified by a
phenylacrylic acid decarboxylase, PadA1, in the spoilage mold Aspergillus niger.
AB - Resistance to sorbic and cinnamic acids is mediated by a phenylacrylic acid
decarboxylase (PadA1) in Aspergillus niger. A. niger DeltapadA1 mutants are
unable to decarboxylate sorbic and cinnamic acids, and the MIC of sorbic acid
required to inhibit spore germination was reduced by approximately 50% in
DeltapadA1 mutants.
PMID- 18039818
TI - Novel combination of atomic force microscopy and epifluorescence microscopy for
visualization of leaching bacteria on pyrite.
AB - Bioleaching of metal sulfides is an interfacial process comprising the
interactions of attached bacterial cells and bacterial extracellular polymeric
substances with the surface of a mineral sulfide. Such processes and the
associated biofilms can be investigated at high spatial resolution using atomic
force microscopy (AFM). Therefore, we visualized biofilms of the meso-acidophilic
leaching bacterium Acidithiobacillus ferrooxidans strain A2 on the metal sulfide
pyrite with a newly developed combination of AFM with epifluorescence microscopy
(EFM). This novel system allowed the imaging of the same sample location with
both instruments. The pyrite sample, as fixed on a shuttle stage, was transferred
between AFM and EFM devices. By staining the bacterial DNA with a specific
fluorescence dye, bacterial cells were labeled and could easily be distinguished
from other topographic features occurring in the AFM image. AFM scanning in
liquid caused deformation and detachment of cells, but scanning in air had no
effect on cell integrity. In summary, we successfully demonstrate that the new
microscopic system was applicable for visualizing bioleaching samples. Moreover,
the combination of AFM and EFM in general seems to be a powerful tool for
investigations of biofilms on opaque materials and will help to advance our
knowledge of biological interfacial processes. In principle, the shuttle stage
can be transferred to additional instruments, and combinations of AFM and EFM
with other surface-analyzing devices can be proposed.
PMID- 18039819
TI - Implications of rewiring bacterial quorum sensing.
AB - Bacteria employ quorum sensing, a form of cell-cell communication, to sense
changes in population density and regulate gene expression accordingly. This work
investigated the rewiring of one quorum-sensing module, the lux circuit from the
marine bacterium Vibrio fischeri. Steady-state experiments demonstrate that
rewiring the network architecture of this module can yield graded, threshold, and
bistable gene expression as predicted by a mathematical model. The experiments
also show that the native lux operon is most consistent with a threshold, as
opposed to a bistable, response. Each of the rewired networks yielded functional
population sensors at biologically relevant conditions, suggesting that this
operon is particularly robust. These findings (i) permit prediction of the
behaviors of quorum-sensing operons in bacterial pathogens and (ii) facilitate
forward engineering of synthetic gene circuits.
PMID- 18039820
TI - Constraints on anaerobic respiration in the hyperthermophilic Archaea Pyrobaculum
islandicum and Pyrobaculum aerophilum.
AB - Pyrobaculum islandicum uses iron, thiosulfate, and elemental sulfur for anaerobic
respiration, while Pyrobaculum aerophilum uses iron and nitrate; however, the
constraints on these processes and their physiological mechanisms for iron and
sulfur reduction are not well understood. Growth rates on sulfur compounds are
highest at pH 5 to 6 and highly reduced (<-420-mV) conditions, while growth rates
on nitrate and iron are highest at pH 7 to 9 and more-oxidized (>-210-mV)
conditions. Growth on iron expands the known pH range of growth for both
organisms. P. islandicum differs from P. aerophilum in that it requires direct
contact with insoluble iron oxide for growth, it did not produce any
extracellular compounds when grown on insoluble iron, and it lacked 2,6
anthrahydroquinone disulfonate oxidase activity. Furthermore, iron reduction in
P. islandicum appears to be completely independent of c-type cytochromes. Like
that in P. aerophilum, NADH-dependent ferric reductase activity in P. islandicum
increased significantly in iron-grown cultures relative to that in non-iron-grown
cultures. Proteomic analyses showed that there were significant increases in the
amounts of a putative membrane-bound thiosulfate reductase in P. islandicum
cultures grown on thiosulfate relative to those in cultures grown on iron and
elemental sulfur. This is the first evidence of this enzyme being used in either
a hyperthermophile or an archaeon. Pyrobaculum arsenaticum and Pyrobaculum
calidifontis also grew on Fe(III) citrate and insoluble iron oxide, but only P.
arsenaticum could grow on insoluble iron without direct contact.
PMID- 18039823
TI - The streptomycin-sulfadiazine-tetracycline antimicrobial resistance element of
calf-adapted Escherichia coli is widely distributed among isolates from
Washington state cattle.
AB - Association of specific antimicrobial resistance patterns with unrelated
selective traits has long been implicated in the maintenance of antimicrobial
resistance in a population. Previously we demonstrated that Escherichia coli
strains with a specific resistance pattern (resistant to streptomycin,
sulfadiazine, and tetracycline [SSuT]) have a selective advantage in dairy calf
intestinal environments and in the presence of a milk supplement commonly fed to
the calves. In the present study we identified the sequence of the genetic
element that confers the SSuT phenotype and show that this element is present in
a genetically diverse group of E. coli isolates, as assessed by macrorestriction
digestion and pulsed-field gel electrophoresis. This element was also found in E.
coli isolates from 18 different cattle farms in Washington State. Using in vitro
competition experiments we further demonstrated that SSuT strains from 17 of 18
farms were able to outcompete pansusceptible strains. In a separate set of
experiments, we were able to transfer the antimicrobial resistance phenotype by
electroporation to a laboratory strain of E. coli (DH10B), making that new strain
more competitive during in vitro competition with the parental DH10B strain.
These data indicate that a relatively large genetic element conferring the SSuT
phenotype is widely distributed in E. coli from cattle in Washington State.
Furthermore, our results indicate that this element is responsible for
maintenance of these traits owing to linkage to genetic traits that confer a
selective advantage in the intestinal lumens of dairy calves.
PMID- 18039821
TI - The native bacterioplankton community in the central baltic sea is influenced by
freshwater bacterial species.
AB - The Baltic Sea is one of the largest brackish environments on Earth. Despite
extensive knowledge about food web interactions and pelagic ecosystem
functioning, information about the bacterial community composition in the Baltic
Sea is scarce. We hypothesized that due to the eutrophic low-salinity environment
and the long water residence time (>5 years), the bacterioplankton community from
the Baltic proper shows a native "brackish" composition influenced by both
freshwater and marine phylotypes. The bacterial community composition in surface
water (3-m depth) was examined at a single station throughout a full year.
Denaturing gradient gel electrophoresis (DGGE) showed that the community
composition changed over the year. Further, it indicated that at the four
extensive samplings (16S rRNA gene clone libraries and bacterial isolates from
low- and high-nutrient agar plates and seawater cultures), different bacterial
assemblages associated with different environmental conditions were present.
Overall, the sequencing of 26 DGGE bands, 160 clones, 209 plate isolates, and 9
dilution culture isolates showed that the bacterial assemblage in surface waters
of the central Baltic Sea was dominated by Bacteroidetes but exhibited a
pronounced influence of typical freshwater phylogenetic groups within
Actinobacteria, Verrucomicrobia, and Betaproteobacteria and a lack of typical
marine taxa. This first comprehensive analysis of bacterial community composition
in the central Baltic Sea points to the existence of an autochthonous estuarine
community uniquely adapted to the environmental conditions prevailing in this
brackish environment.
PMID- 18039822
TI - Differential roles of poly-N-acetylglucosamine surface polysaccharide and
extracellular DNA in Staphylococcus aureus and Staphylococcus epidermidis
biofilms.
AB - Staphylococcus aureus and Staphylococcus epidermidis are major human pathogens of
increasing importance due to the dissemination of antibiotic-resistant strains.
Evidence suggests that the ability to form matrix-encased biofilms contributes to
the pathogenesis of S. aureus and S. epidermidis. In this study, we investigated
the functions of two staphylococcal biofilm matrix polymers: poly-N
acetylglucosamine surface polysaccharide (PNAG) and extracellular DNA (ecDNA). We
measured the ability of a PNAG-degrading enzyme (dispersin B) and DNase I to
inhibit biofilm formation, detach preformed biofilms, and sensitize biofilms to
killing by the cationic detergent cetylpyridinium chloride (CPC) in a 96-well
microtiter plate assay. When added to growth medium, both dispersin B and DNase I
inhibited biofilm formation by both S. aureus and S. epidermidis. Dispersin B
detached preformed S. epidermidis biofilms but not S. aureus biofilms, whereas
DNase I detached S. aureus biofilms but not S. epidermidis biofilms. Similarly,
dispersin B sensitized S. epidermidis biofilms to CPC killing, whereas DNase I
sensitized S. aureus biofilms to CPC killing. We concluded that PNAG and ecDNA
play fundamentally different structural roles in S. aureus and S. epidermidis
biofilms.
PMID- 18039824
TI - Complete genomic sequence of bacteriophage phiEcoM-GJ1, a novel phage that has
myovirus morphology and a podovirus-like RNA polymerase.
AB - The complete genome of phiEcoM-GJ1, a lytic phage that attacks porcine
enterotoxigenic Escherichia coli of serotype O149:H10:F4, was sequenced and
analyzed. The morphology of the phage and the identity of the structural proteins
were also determined. The genome consisted of 52,975 bp with a G+C content of 44%
and was terminally redundant and circularly permuted. Seventy-five potential open
reading frames (ORFs) were identified and annotated, but only 29 possessed
homologs. The proteins of five ORFs showed homology with proteins of phages of
the family Myoviridae, nine with proteins of phages of the family Podoviridae,
and six with proteins of phages of the family Siphoviridae. ORF 1 encoded a T7
like single-subunit RNA polymerase and was preceded by a putative E. coli
sigma(70)-like promoter. Nine putative phage promoters were detected throughout
the genome. The genome included a tRNA gene of 95 bp that had a putative 18-bp
intron. The phage morphology was typical of phages of the family Myoviridae, with
an icosahedral head, a neck, and a long contractile tail with tail fibers. The
analysis shows that phiEcoM-GJ1 is unique, having the morphology of the
Myoviridae, a gene for RNA polymerase, which is characteristic of phages of the
T7 group of the Podoviridae, and several genes that encode proteins with homology
to proteins of phages of the family Siphoviridae.
PMID- 18039825
TI - Genome-scale genotype-phenotype matching of two Lactococcus lactis isolates from
plants identifies mechanisms of adaptation to the plant niche.
AB - Lactococcus lactis is a primary constituent of many starter cultures used for the
manufacturing of fermented dairy products, but the species also occurs in various
nondairy niches such as (fermented) plant material. Three genome sequences of L.
lactis dairy strains (IL-1403, SK11, and MG1363) are publicly available. An
extensive molecular and phenotypic diversity analysis was now performed on two L.
lactis plant isolates. Diagnostic sequencing of their genomes resulted in over
2.5 Mb of sequence for each strain. A high synteny was found with the genome of
L. lactis IL-1403, which was used as a template for contig mapping and locating
deletions and insertions in the plant L. lactis genomes. Numerous genes were
identified that do not have homologs in the published genome sequences of dairy
L. lactis strains. Adaptation to growth on substrates derived from plant cell
walls is evident from the presence of gene sets for the degradation of complex
plant polymers such as xylan, arabinan, glucans, and fructans but also for the
uptake and conversion of typical plant cell wall degradation products such as
alpha-galactosides, beta-glucosides, arabinose, xylose, galacturonate,
glucuronate, and gluconate. Further niche-specific differences are found in genes
for defense (nisin biosynthesis), stress response (nonribosomal peptide synthesis
and various transporters), and exopolysaccharide biosynthesis, as well as the
expected differences in various mobile elements such as prophages, plasmids,
restriction-modification systems, and insertion sequence elements. Many of these
genes were identified for the first time in Lactococcus lactis. In most cases
good correspondence was found with the phenotypic characteristics of these two
strains.
PMID- 18039826
TI - Efficient antibody production upon suppression of O mannosylation in the yeast
Ogataea minuta.
AB - When antibodies were expressed in the methylotrophic yeast Ogataea minuta, we
found that abnormal O mannosylation occurred in the secreted antibody. Yeast
specific O mannosylation is initiated by the addition of mannose at serine (Ser)
or threonine (Thr) residues in the endoplasmic reticulum via protein O
mannosyltransferase (Pmt) activity. To suppress the addition of O-linked sugar
chains on antibodies, we examined the possibility of inhibiting Pmt activity by
the addition of a Pmt inhibitor during cultivation. The Pmt inhibitor was found
to partially suppress the O mannosylation on the antibodies. Surprisingly, the
suppression of O mannosylation was associated with an increased amount of
assembled antibody (H2L2) and enhanced the antigen-binding activity of the
secreted antibody. In this study, we demonstrated the expression of human
antibody in O. minuta and elucidated the relationship between O mannosylation and
antibody production in yeast.
PMID- 18039827
TI - Characterization of the structural gene encoding nisin F, a new lantibiotic
produced by a Lactococcus lactis subsp. lactis isolate from freshwater catfish
(Clarias gariepinus).
AB - Lactococcus lactis F10, isolated from freshwater catfish, produces a bacteriocin
(BacF) active against Staphylococcus aureus, Staphylococcus carnosus,
Lactobacillus curvatus, Lactobacillus plantarum, and Lactobacillus reuteri. The
operon encoding BacF is located on a plasmid. Sequencing of the structural gene
revealed no homology to other nisin genes. Nisin F is described.
PMID- 18039828
TI - The BaeSR two-component regulatory system mediates resistance to condensed
tannins in Escherichia coli.
AB - The gene expression profiles of Escherichia coli strains grown anaerobically with
or without Acacia mearnsii (black wattle) extract were compared to identify
tannin resistance strategies. The cell envelope stress protein gene spy and the
multidrug transporter-encoding operon mdtABCD, both under the control of the
BaeSR two-component regulatory system, were significantly up-regulated in the
presence of tannins. BaeSR mutants were more tannin sensitive than their wild
type counterparts.
PMID- 18039829
TI - Subfossil 16S rRNA gene sequences of green sulfur bacteria in the Black Sea and
their implications for past photic zone anoxia.
AB - The Black Sea is the largest extant anoxic water body on Earth. Its oxic-anoxic
boundary is located at a depth of 100 m and is populated by a single phylotype of
marine green sulfur bacteria. This organism, Chlorobium sp. strain BS-1, is
extraordinarily low light adapted and can therefore serve as an indicator of deep
photic zone anoxia (A. K. Manske, J. Glaeser, M. M. M. Kuypers, and J. Overmann,
Appl. Environ. Microbiol. 71:8049-8060, 2005). In the present study, two sediment
cores were retrieved from the bottom of the Black Sea at depths of 2,006 and
2,162 m and were analyzed for the presence of subfossil DNA sequences of BS-1
using ancient-DNA methodology. Using optimized cultivation media, viable cells of
the BS-1 phylotype were detected only at the sediment surface and not in deeper
layers. In contrast, green sulfur bacterial 16S rRNA gene fragments were
amplified from all the sediment layers investigated, including turbidites. After
separation by denaturing gradient gel electrophoresis and sequencing, 14
different sequence types were distinguished. The sequence of BS-1 represented
only a minor fraction of the amplification products and was found in 6 of 22 and
4 of 26 samples from the 2,006- and 2,162-m stations, respectively. Besides the
sequences of BS-1, three additional phylotypes of the marine clade of green
sulfur bacteria were detected. However, the majority of sequences clustered with
groups from freshwater habitats. Our results suggest that a considerable fraction
of green sulfur bacterial chemofossils did not originate in a low-light marine
chemocline environment and therefore were likely to have an allochthonous origin.
Thus, analysis of subfossil DNA sequences permits a more differentiated
interpretation and reconstruction of past environmental conditions if specific
chemofossils of stenoec species, like Chlorobium sp. strain BS-1, are employed.
PMID- 18039830
TI - Regulatory Interactions among adhesin gene systems of uropathogenic Escherichia
coli.
AB - Uropathogenic Escherichia coli strain J96 carries multiple determinants for
fimbrial adhesins. The regulatory protein PapB of P fimbriae has previously been
implicated in potential coregulatory events. The focB gene of the F1C fimbria
determinant is highly homologous to papB; the translated sequences share 81%
identity. In this study we investigated the role of PapB and FocB in regulation
of the F1C fimbriae. By using gel mobility shift assays, we showed that FocB
binds to sequences in both the pap and foc operons in a somewhat different manner
than PapB. The results of both in vitro cross-linking and in vivo oligomerization
tests indicated that FocB could function in an oligomeric fashion. Furthermore,
our results suggest that PapB and FocB can form heterodimers and that these
complexes can repress expression of the foc operon. The effect of FocB on
expression of type 1 fimbriae was also tested. Taken together, the results that
we present expand our knowledge about a regulatory network for different adhesin
gene systems in uropathogenic E. coli and suggest a hierarchy for expression of
the fimbrial adhesins.
PMID- 18039831
TI - Molecular basis of commensalism in the urinary tract: low virulence or virulence
attenuation?
AB - In some patients, Escherichia coli strains establish significant bacteriuria
without causing symptoms of urinary tract infection (UTI). These asymptomatic
bacteriuria (ABU) strains have been shown to express fewer virulence factors than
the uropathogenic E. coli (UPEC) strains that cause severe, symptomatic UTI.
Paradoxically, ABU strains carry many typical UPEC virulence genes, and the
molecular basis of their low virulence therefore remains unclear. This study
examined whether ABU strains might evolve from UPEC by genome loss and virulence
gene attenuation. The presence of conserved E. coli K-12 genes was examined using
an E. coli K-12 strain MG1655-specific DNA array and the distribution of UPEC
virulence-related genes was examined with the E. coli pathoarray. Two groups of
strains could be distinguished. Several ABU strains were shown by multilocus
sequence typing and by comparative genomic analyses to be related to UPEC but to
have smaller genome sizes. There were significant alterations in essential
virulence genes, including reductive evolution by point mutations, DNA
rearrangements, and deletions. Other strains were unrelated to UPEC and lacked
most of the virulence-associated genes. The results suggest that some ABU strains
arise from virulent strains by attenuation of virulence genes while others are
nonvirulent and resemble commensal strains. We propose that virulence attenuation
might constitute a general mechanism for mucosal pathogens to evolve toward
commensalism.
PMID- 18039832
TI - MyD88 signaling contributes to early pulmonary responses to Aspergillus
fumigatus.
AB - Toll-like receptors and the beta-glucan receptor, dectin-1, mediate macrophage
inflammatory responses to Aspergillus fumigatus through MyD88-dependent and
independent signaling mechanisms; however, pulmonary inflammatory responses in
MyD88-deficient mice challenged with A. fumigatus are poorly defined. The role of
MyD88 signaling in early pulmonary inflammation and fungal clearance was
evaluated in C57BL/6J wild-type (WT) and MyD88-deficient (MyD88-/-) mice. Early
(<48 h) after infection, MyD88-/- mice had higher fungal burdens than those of WT
mice, although fungal burdens rapidly declined (>72 h) in both. MyD88-/- mice had
less consolidated inflammation, with fewer NK cells, in lung tissue early (24 h)
after infection than did WT mice. At the latter time point, MyD88-/- mouse lungs
were characterized by a large amount of necrotic cellular debris and fibrin,
while WT lungs had organized inflammation. Although there were equivalent numbers
of macrophages in WT and MyD88-/- mouse lung tissues, MyD88-/- cells demonstrated
delayed uptake of green fluorescent protein-expressing A. fumigatus (GFP-Af293);
histologically, MyD88-/- mouse lungs had more hyphal invasion of terminal airways
and vessels, the appearance of bronchiolar epithelial cell necrosis, and
necrotizing vasculitis. MyD88-/- lung homogenates contained comparatively
decreased amounts of interleukin-1beta (IL-1beta), IL-6, KC, and gamma interferon
and paradoxically increased amounts of tumor necrosis factor alpha and macrophage
inflammatory protein 1alpha. These data indicate that the MyD88-dependent pathway
mediates acute pulmonary fungal clearance, inflammation, and tissue injury very
early after infection. Resolution of abnormalities within a 3-day window
demonstrates the importance of redundant signaling pathways in mediating
pulmonary inflammatory responses to fungi.
PMID- 18039833
TI - Intranasal interleukin-12 therapy inhibits Mycoplasma pneumoniae clearance and
sustains airway obstruction in murine pneumonia.
AB - Mycoplasma pneumoniae is a leading cause of pneumonia and is associated with
asthma. Evidence links M. pneumoniae respiratory disease severity with
interleukin-12 (IL-12) concentrations in respiratory secretions. We evaluated the
effects of IL-12 therapy on microbiologic, inflammatory, and pulmonary function
indices of M. pneumoniae pneumonia in mice. BALB/c mice were inoculated with M.
pneumoniae or SP4 broth. Mice were treated with intranasal IL-12 or placebo daily
for 8 days, starting on day 1 after inoculation. Mice were evaluated at baseline
and on days 1, 3, 6, and 8 after therapy. Outcome variables included quantitative
bronchoalveolar lavage (BAL) M. pneumoniae culture, lung histopathologic score
(HPS), BAL cytokine concentrations determined by enzyme-linked immunosorbent
assay (tumor necrosis factor alpha [TNF-alpha], gamma interferon [IFN-gamma], IL
1b, IL-2, IL-4, IL-5, IL-6, IL-10, IL-12, and granulocyte-macrophage colony
stimulating factor), and plethysmography, both before and after methacholine
treatment. M. pneumoniae-infected mice treated with IL-12 (MpIL12 mice) were
found to have significantly higher BAL M. pneumoniae concentrations than those of
M. pneumoniae-infected mice treated with placebo (MpP mice) (P < 0.001). MpIL12
mice had higher BAL concentrations of IL-12, IFN-gamma, TNF-alpha, and IL-6, with
differences in IL-12 and IFN-gamma concentrations reaching statistical
significance (P < 0.001). Airway obstruction was statistically elevated in MpIL12
mice compared to that in MpP mice (P = 0.048), while airway hyperreactivity was
also elevated in MpIL12 mice but did not reach statistical significance (P =
0.081). Lung parenchymal pneumonia subscores were significantly higher in MpIL12
mice (P < 0.001), but no difference was found for overall HPS, even though a
strong trend was noticed (P = 0.051). Treatment of experimental M. pneumoniae
pneumonia with intranasal IL-12 was associated with more severe pulmonary disease
and less rapid microbiologic and histological resolution.
PMID- 18039834
TI - Modulation of pulmonary dendritic cell function during mycobacterial infection.
AB - We have previously reported that during mycobacterial infection, naive CD4(+) T
cell activation is enhanced in the lungs. We investigated the role of chemokine
receptor CCR7 and its ligands in the ability of CD11c(+) lung dendritic cells
(DCs) to activate naive CD4(+) T cells during pulmonary infection with
Mycobacterium bovis bacillus Calmette-Guerin (BCG). BCG infection resulted in the
accumulation and maturation in the lungs of DCs that persisted as the
mycobacterial burden declined. Lung DCs from infected mice expressed more major
histocompatibility complex class II (MHC-II) than those from uninfected mice.
CCR7 expression levels on lung DCs were comparable among uninfected and infected
mice. The gene expression of the CCR7 ligand CCL19 progressively increased
throughout BCG infection, and its expression was MyD88 dependent. CD11c(+) lung
cells from BCG-infected mice activated ovalbumin (OVA)-specific naive CD4(+) T
cells more than CD11c(+) lung cells from uninfected mice. Interestingly, during
peak mycobacterial infection, CD11c(hi) MHC(hi) lung DCs had slightly decreased
chemotaxis toward the CCR7 ligand CCL21 and less efficiency in activating naive
CD4(+) T cells than DCs from mice during late-stage infection, when few bacilli
are found in the lung. These findings suggest that during BCG infection, the
inflammation and sustained expression of CCL19 result in the recruitment,
activation, and retention in the lung of DCs that can activate naive CD4(+) T
cells in situ.
PMID- 18039835
TI - Profiling bovine antibody responses to Mycobacterium avium subsp.
paratuberculosis infection by using protein arrays.
AB - With the genome sequence of Mycobacterium avium subsp. paratuberculosis
determined, technologies are now being developed for construction of protein
arrays to detect the presence of antibodies against M. avium subsp.
paratuberculosis in host serum. The power of this approach is that it enables a
direct comparison of M. avium subsp. paratuberculosis proteins to each other in
relation to their immunostimulatory capabilities. In this study, 93 recombinant
proteins, produced in Escherichia coli, were arrayed and spotted onto
nitrocellulose. These proteins include unknown hypothetical proteins and cell
surface proteins as well as proteins encoded by large sequence polymorphisms
present uniquely in M. avium subsp. paratuberculosis. Also included were
previously reported or known M. avium subsp. paratuberculosis antigens to serve
as a frame of reference. Sera from healthy control cattle (n = 3) and cattle
infected with either M. avium subsp. avium and Mycobacterium bovis were exposed
to the array to identify nonspecific or cross-reactive epitopes. These data
demonstrated a degree of cross-reactivity with the M. avium subsp. avium proteins
that was higher than the degree of cross-reactivity with the more distantly
related M. bovis proteins. Finally, sera from naturally infected cattle (n = 3)
as well as cattle experimentally infected with M. avium subsp. paratuberculosis
(n = 3) were used to probe the array to identify antigens in the context of
Johne's disease. Three membrane proteins were the most strongly detected in all
serum samples, and they included an invasion protein, an ABC peptide transport
permease, and a putative GTPase protein. This powerful combination of genomic
information, molecular tools, and immunological assays has enabled the
identification of previously unknown antigens of M. avium subsp.
paratuberculosis.
PMID- 18039836
TI - Pneumococcal virulence gene expression and host cytokine profiles during
pathogenesis of invasive disease.
AB - Pneumococcal disease continues to account for significant morbidity and mortality
worldwide. For the development of novel prophylactic and therapeutic strategies
against the disease spectrum, a complete understanding of pneumococcal behavior
in vivo is necessary. We evaluated the expression patterns of the proven and
putative virulence factor genes adcR, cbpA, cbpD, cbpG, cpsA, nanA, pcpA, piaA,
ply, psaA, pspA, and spxB after intranasal infection of CD1 mice with serotype 2,
4, and 6A pneumococci by real-time reverse transcription-PCR. Simultaneous gene
expression patterns of selected host immunomodulatory molecules, CCL2, CCL5,
CD54, CXCL2, interleukin-6, and tomor necrosis factor alpha, were also
investigated. We show that pneumococcal virulence genes are differentially
expressed in vivo, with some genes demonstrating niche- and serotype-specific
differential expression. The in vivo expression patterns could not be attributed
to in vitro differences in expression of the genes in transparent and opaque
variants of the three strains. The host molecules were significantly upregulated,
especially in the lungs, blood, and brains of mice. The pneumococcal-gene
expression patterns support their ascribed roles in pathogenesis, providing
insight into which protein combinations might be more appropriate as vaccine
antigens against invasive disease. This is the first simultaneous comparison of
bacterial- and host gene expression in the same animal during pathogenesis. The
strategy provides a platform for prospective evaluation of interaction kinetics
between invading pneumococci and human patients in culture-positive cases and
should be feasible in other infection models.
PMID- 18039837
TI - Elucidating the function of an ancient NF-kappaB p100 homologue, CrRelish, in
antibacterial defense.
AB - The family of NF-kappaB transcription factors essentially regulates immune
related gene expression. Recently, we isolated and characterized the classical NF
kappaB/inhibitor kappaB (IkappaB) homologues from a "living fossil," the
horseshoe crab, Carcinoscorpius rotundicauda. Interestingly, this ancient species
also harbors another class I NF-kappaB p100 homologue, C. rotundicauda Relish
(CrRelish). Similar to Drosophila Relish and the mammalian p100, CrRelish
contains both the Rel-homology domains (RHD) and the IkappaB-like domain. In this
study, we found that the RHD of CrRelish can recognize horseshoe crab and human
kappaB response elements and activate the downstream reporter in vitro, thereby
suggesting the evolutionary conservation of this molecule. Pseudomonas aeruginosa
infection transcriptionally upregulates CrRelish, which exhibits a dynamic
protein profile over the time course of infection. Surprisingly, secondary
infection reinduced an upsurge in CrRelish protein expression to a level which
overrode the protein degradation at 12 h postinfection. These observations
strongly suggest the involvement of CrRelish in antibacterial defense. Secondary
infection causes (i) the maintenance of a favorable expression-competent sequence
context of the CrRelish gene and/or (ii) the derepression or stabilization of the
CrRelish transcript resulting from the primary infection to enable the more rapid
expression and accumulation of the CrRelish protein, reflecting apparent
signal/immune priming in a repeated infection.
PMID- 18039838
TI - The opportunistic human pathogenic fungus Aspergillus fumigatus evades the host
complement system.
AB - The opportunistic human pathogenic fungus Aspergillus fumigatus causes severe
systemic infections and is a major cause of fungal infections in
immunocompromised patients. A. fumigatus conidia activate the alternative pathway
of the complement system. In order to assess the mechanisms by which A. fumigatus
evades the activated complement system, we analyzed the binding of host
complement regulators to A. fumigatus. The binding of factor H and factor H-like
protein 1 (FHL-1) from human sera to A. fumigatus conidia was shown by adsorption
assays and immunostaining. In addition, factor H-related protein 1 (FHR-1) bound
to conidia. Adsorption assays with recombinant factor H mutants were used to
localize the binding domains. One binding region was identified within N-terminal
short consensus repeats (SCRs) 1 to 7 and a second one within C-terminal SCR 20.
Plasminogen was identified as the fourth host regulatory molecule that binds to
A. fumigatus conidia. In contrast to conidia, other developmental stages of A.
fumigatus, like swollen conidia or hyphae, did not bind to factor H, FHR-1, FHL
1, and plasminogen, thus indicating the developmentally regulated expression of
A. fumigatus surface ligands. Both factor H and plasminogen maintained regulating
activity when they were bound to the conidial surface. Bound factor H acted as a
cofactor to the factor I-mediated cleavage of C3b. Plasminogen showed proteolytic
activity when activated to plasmin by urokinase-type plasminogen activator. These
data show that A. fumigatus conidia bind to complement regulators, and these
bound host regulators may contribute to evasion of a host complement attack.
PMID- 18039839
TI - A stage-specific factor confers Fab-7 boundary activity during early
embryogenesis in Drosophila.
AB - The Fab-7 boundary is required to ensure that the iab-6 and iab-7 cis-regulatory
domains in the Drosophila Bithorax complex can function autonomously. Though Fab
7 functions as a boundary from early embryogenesis through to the adult stage,
this constitutive boundary activity depends on subelements whose activity is
developmentally restricted. In the studies reported here, we have identified a
factor, called early boundary activity (Elba), that confers Fab-7 boundary
activity during early embryogenesis. The Elba factor binds to a recognition
sequence within a Fab-7 subelement that has enhancer-blocking activity during
early embryogenesis, but not during mid-embryogenesis or in the adult. We found
that the Elba factor is present in early embryos but largely disappears during
mid-embryogenesis. We show that mutations in the Elba recognition sequence that
eliminate Elba binding in nuclear extracts disrupt the early boundary activity of
the Fab-7 subelement. Conversely, we find that early boundary activity can be
reconstituted by multimerizing the Elba recognition site.
PMID- 18039840
TI - Alternative mechanisms by which mediator subunit MED1/TRAP220 regulates
peroxisome proliferator-activated receptor gamma-stimulated adipogenesis and
target gene expression.
AB - Mediator is a general coactivator complex connecting transcription activators and
RNA polymerase II. Recent work has shown that the nuclear receptor-interacting
MED1/TRAP220 subunit of Mediator is required for peroxisome proliferator
activated receptor gamma (PPARgamma)-stimulated adipogenesis of mouse embryonic
fibroblasts (MEFs). However, the molecular mechanisms remain undefined. Here, we
show an intracellular PPARgamma-Mediator interaction that requires the two LXXLL
nuclear receptor recognition motifs on MED1/TRAP220 and, furthermore, we show
that the intact LXXLL motifs are essential for optimal PPARgamma function in a
reconstituted cell-free transcription system. Surprisingly, a conserved N
terminal region of MED1/TRAP220 that lacks the LXXLL motifs but gets incorporated
into Mediator fully supports PPARgamma-stimulated adipogenesis. Moreover, in
undifferentiated MEFs, MED1/TRAP220 is dispensable both for PPARgamma-mediated
target gene activation and for recruitment of Mediator to a PPAR response element
on the aP2 target gene promoter. However, PPARgamma shows significantly reduced
transcriptional activity in cells deficient for a subunit (MED24/TRAP100)
important for the integrity of the Mediator complex, indicating a general
Mediator requirement for PPARgamma function. These results indicate that there is
a conditional requirement for MED1/TRAP220 and that a direct interaction between
PPARgamma and Mediator through MED1/TRAP220 is not essential either for PPARgamma
stimulated adipogenesis or for PPARgamma target gene expression in cultured
fibroblasts. As Mediator is apparently essential for PPARgamma transcriptional
activity, our data indicate the presence of alternative mechanisms for Mediator
recruitment, possibly through intermediate cofactors or other cofactors that are
functionally redundant with MED1/TRAP220.
PMID- 18039841
TI - Epigenetic and phenotypic consequences of a truncation disrupting the imprinted
domain on distal mouse chromosome 7.
AB - The distal end of mouse chromosome 7 (Chr 7) contains a large cluster of
imprinted genes. In this region two cis-acting imprinting centers, IC1 (H19 DMR)
and IC2 (KvDMR1), define proximal and distal subdomains, respectively. To assess
the functional independence of IC1 in the context of Chr 7, we developed a
recombinase-mediated chromosome truncation strategy in embryonic stem cells and
generated a terminal deletion allele, DelTel7, with a breakpoint in between the
two subdomains. We obtained germ line transmission of the truncated Chr 7 and
viable paternal heterozygotes, confirming the absence of developmentally required
paternally expressed genes distal of Ins2. Conversely, maternal transmission of
DelTel7 causes a midgestational lethality, consistent with loss of maternally
expressed genes in the IC2 subdomain. Expression and DNA methylation analyses on
DelTel7 heterozygotes demonstrate the independent imprinting of IC1 in absence of
the entire IC2 subdomain. The evolutionarily conserved linkage between the
subdomains is therefore not required for IC1 imprinting on Chr 7. Importantly,
the developmental phenotype of maternal heterozygotes is rescued fully by a
paternally inherited deletion of IC2. Thus, all the imprinted genes located in
the region and required for normal development are silenced by an IC2-dependent
mechanism on the paternal allele.
PMID- 18039842
TI - G9a histone methyltransferase contributes to imprinting in the mouse placenta.
AB - Whereas DNA methylation is essential for genomic imprinting, the importance of
histone methylation in the allelic expression of imprinted genes is unclear.
Imprinting control regions (ICRs), however, are marked by histone H3-K9
methylation on their DNA-methylated allele. In the placenta, the paternal
silencing along the Kcnq1 domain on distal chromosome 7 also correlates with the
presence of H3-K9 methylation, but imprinted repression at these genes is
maintained independently of DNA methylation. To explore which histone
methyltransferase (HMT) could mediate the allelic H3-K9 methylation on distal
chromosome 7, and at ICRs, we generated mouse conceptuses deficient for the SET
domain protein G9a. We found that in the embryo and placenta, the differential
DNA methylation at ICRs and imprinted genes is maintained in the absence of G9a.
Accordingly, in embryos, imprinted gene expression was unchanged at the domains
analyzed, in spite of a global loss of H3-K9 dimethylation (H3K9me2). In
contrast, the placenta-specific imprinting of genes on distal chromosome 7 is
impaired in the absence of G9a, and this correlates with reduced levels of
H3K9me2 and H3K9me3. These findings provide the first evidence for the
involvement of an HMT and suggest that histone methylation contributes to
imprinted gene repression in the trophoblast.
PMID- 18039843
TI - Glucose phosphorylation and mitochondrial binding are required for the protective
effects of hexokinases I and II.
AB - Alterations in glucose metabolism have been demonstrated for diverse disorders
ranging from heart disease to cancer. The first step in glucose metabolism is
carried out by the hexokinase (HK) family of enzymes. HKI and II can bind to
mitochondria through their N-terminal hydrophobic regions, and their
overexpression in tissue culture protects against cell death. In order to
determine the relative contributions of mitochondrial binding and glucose
phosphorylating activities of HKs to their overall protective effects, we
expressed full-length HKI and HKII, their truncated proteins lacking the
mitochondrial binding domains, and catalytically inactive proteins in tissue
culture. The overexpression of full-length proteins resulted in protection
against cell death, decreased levels of reactive oxygen species, and possibly
inhibited mitochondrial permeability transition in response to H(2)O(2). However,
the truncated and mutant proteins exerted only partial effects. Similar results
were obtained with primary neonatal rat cardiomyocytes. The HK proteins also
resulted in an increase in the phosphorylation of voltage-dependent anion channel
(VDAC) through a protein kinase Cepsilon (PKCepsilon)-dependent pathway. These
results suggest that both glucose phosphorylation and mitochondrial binding
contribute to the protective effects of HKI and HKII, possibly through VDAC
phosphorylation by PKCepsilon.
PMID- 18039844
TI - Inactivation of the polycomb group protein Ring1B unveils an antiproliferative
role in hematopoietic cell expansion and cooperation with tumorigenesis
associated with Ink4a deletion.
AB - Polycomb group (PcG) proteins act as positive regulators of cell proliferation.
Ring1B is a PcG gene essential for embryonic development, but its contribution to
cell turnover in regenerating tissues in not known. Here, we have generated a
conditional mouse mutant line to study the Ring1B role in adult hematopoiesis.
Mutant mice developed a hypocellular bone marrow that paradoxically contained an
enlarged, hyperproliferating compartment of immature cells, with an intact
differentiation potential. These alterations were associated with differential
upregulation of cyclin D2, which occurred in all mutant bone marrow cells, and of
p16(Ink4a), observed only in the differentiated compartment. Concurrent
inactivation of Ink4a rescued the defective proliferation of maturing cells but
did not affect the hyperproliferative activity of progenitors and resulted in a
shortening of the onset of lymphomas induced by Ink4a inactivation. These data
show that Ring1B restricts the progenitors' proliferation and promotes the
proliferation of their maturing progeny by selectively altering the expression
pattern of cell cycle regulators along hematopoietic differentiation. The novel
antiproliferative role of Ring1B's downregulation of a cell cycle activator may
play an important role in the tight control of hematopoietic cell turnover.
PMID- 18039845
TI - Primary ciliary dyskinesia in mice lacking the novel ciliary protein Pcdp1.
AB - Primary ciliary dyskinesia (PCD) results from ciliary dysfunction and is commonly
characterized by sinusitis, male infertility, hydrocephalus, and situs inversus.
Mice homozygous for the nm1054 mutation develop phenotypes associated with PCD.
On certain genetic backgrounds, homozygous mutants die perinatally from severe
hydrocephalus, while mice on other backgrounds have an accumulation of mucus in
the sinus cavity and male infertility. Mutant sperm lack mature flagella, while
respiratory epithelial cilia are present but beat at a slower frequency than wild
type cilia. Transgenic rescue demonstrates that the PCD in nm1054 mutants results
from the loss of a single gene encoding the novel primary ciliary dyskinesia
protein 1 (Pcdp1). The Pcdp1 gene is expressed in spermatogenic cells and motile
ciliated epithelial cells. Immunohistochemistry shows that Pcdp1 protein
localizes to sperm flagella and the cilia of respiratory epithelial cells and
brain ependymal cells in both mice and humans. This study demonstrates that Pcdp1
plays an important role in ciliary and flagellar biogenesis and motility, making
the nm1054 mutant a useful model for studying the molecular genetics and
pathogenesis of PCD.
PMID- 18039846
TI - Promoter region-specific histone incorporation by the novel histone chaperone
ANP32B and DNA-binding factor KLF5.
AB - Regulation of chromatin in eukaryotic transcription requires histone-modifying
enzymes, nucleosome remodeling complexes, and histone chaperones. Specific
regulation of histone incorporation/eviction by histone chaperones on the
promoter (e.g., region specific) is still poorly understood. In the present
study, we show that direct and functional interaction of histone chaperone and
DNA-binding transcription factor leads to promoter region-specific histone
incorporation and inhibition of histone acetylation. We report here that the DNA
binding transcription factor Kruppel-like factor 5 (KLF5) interacts with the
novel histone chaperone acidic nuclear phosphoprotein 32B (ANP32B), leading to
transcriptional repression of a KLF5-downstream gene. We further show that
recruitment of ANP32B onto the promoter region requires KLF5 and results in
promoter region-specific histone incorporation and inhibition of histone
acetylation by ANP32B. Extracellular stimulus (e.g., phorbol ester) regulates
this mechanism in the cell. Collectively, we have identified a novel histone
chaperone, ANP32B, and through analysis of the actions of this factor show a new
mechanism of promoter region-specific transcriptional regulation at the chromatin
level as mediated by the functional interaction between histone chaperone and DNA
binding transcription factor.
PMID- 18039847
TI - Myeloid translocation gene family members associate with T-cell factors (TCFs)
and influence TCF-dependent transcription.
AB - Canonical Wnt signaling is mediated by a molecular "switch" that regulates the
transcriptional properties of the T-cell factor (TCF) family of DNA-binding
proteins. Members of the myeloid translocation gene (MTG) family of
transcriptional corepressors are frequently disrupted by chromosomal
translocations in acute myeloid leukemia, whereas MTG16 may be inactivated in up
to 40% of breast cancer and MTG8 is a candidate cancer gene in colorectal
carcinoma. Genetic studies imply that this corepressor family may function in
stem cells. Given that mice lacking Myeloid Translocation Gene Related-1 (Mtgr1)
fail to maintain the secretory lineage in the small intestine, we surveyed
transcription factors that might recruit Mtgr1 in intestinal stem cells or
progenitor cells and found that MTG family members associate specifically with
TCF4. Coexpression of beta-catenin disrupted the association between these
corepressors and TCF4. Furthermore, when expressed in Xenopus embryos, MTG family
members inhibited axis formation and impaired the ability of beta-catenin and
XLef-1 to induce axis duplication, indicating that MTG family members act
downstream of beta-catenin. Moreover, we found that c-Myc, a transcriptional
target of the Wnt pathway, was overexpressed in the small intestines of mice
lacking Mtgr1, thus linking inactivation of Mtgr1 to the activation of a potent
oncogene.
PMID- 18039849
TI - Transcript-specific decapping and regulated stability by the human Dcp2 decapping
protein.
AB - mRNA decapping is a critical step in the control of mRNA stability and gene
expression and is carried out by the Dcp2 decapping enzyme. Dcp2 is an RNA
binding protein that must bind RNA in order to recognize the cap for hydrolysis.
We demonstrate that human Dcp2 (hDcp2) preferentially binds to a subset of mRNAs
and identify sequences at the 5' terminus of the mRNA encoding Rrp41, a core
subunit component of the RNA exosome, as a specific hDcp2 substrate. A 60
nucleotide element at the 5' end of Rrp41 mRNA was identified and shown to confer
more efficient decapping on a heterologous RNA both in vitro and upon
transfection into cells. Moreover, reduction of hDcp2 protein levels in cells
resulted in a selective stabilization of the Rrp41 mRNA, confirming it as a
downstream target of hDcp2 regulation. These findings demonstrate that hDcp2 can
specifically bind to and regulate the stability of a subset of mRNAs, and its
intriguing regulation of the 3'-to-5' exonuclease exosome subunit suggests a
potential interplay between 5'-end mRNA decapping and 3'-end mRNA decay.
PMID- 18039850
TI - Double-stranded RNA-binding protein regulates vascular endothelial growth factor
mRNA stability, translation, and breast cancer angiogenesis.
AB - Vascular endothelial growth factor (VEGF) is a key angiogenic factor expressed
under restricted nutrient and oxygen conditions in most solid tumors. The
expression of VEGF under hypoxic conditions requires transcription through
activated hypoxia-inducible factor 1 (HIF-1), increased mRNA stability, and
facilitated translation. This study identified double-stranded RNA-binding
protein 76/NF90 (DRBP76/NF90), a specific isoform of the DRBP family, as a VEGF
mRNA-binding protein which plays a key role in VEGF mRNA stability and protein
synthesis under hypoxia. The DRBP76/NF90 protein binds to a human VEGF 3'
untranslated mRNA stability element. RNA interference targeting the DRBP76/NF90
isoform limited hypoxia-inducible VEGF mRNA and protein expression with no change
in HIF-1-dependent transcriptional activity. Stable repression of DRBP76/NF90 in
MDA-MB-435 breast cancer cells demonstrated reduced polysome-associated VEGF mRNA
levels under hypoxic conditions and reduced mRNA stability. Transient
overexpression of the DRBP76/NF90 protein increased both VEGF mRNA and protein
levels synthesized under normoxic and hypoxic conditions. Cells with stable
repression of the DRBP76/NF90 isoform showed reduced tumorigenic and angiogenic
potential in an orthotopic breast tumor model. These data demonstrate that the
DRBP76/NF90 isoform facilitates VEGF expression by promoting VEGF mRNA loading
onto polysomes and translation under hypoxic conditions, thus promoting breast
cancer growth and angiogenesis in vivo.
PMID- 18039851
TI - Runx2 represses myocardin-mediated differentiation and facilitates osteogenic
conversion of vascular smooth muscle cells.
AB - Phenotypic plasticity and the switching of vascular smooth muscle cells (SMCs)
play a critical role in atherosclerosis. Although Runx2, a key osteogenic
transcription factor, is expressed in atherosclerotic plaques, the molecular
mechanisms by which Runx2 regulates SMC differentiation remain unclear. Here we
demonstrated that Runx2 repressed SMC differentiation induced by myocardin, which
acts as a coactivator for serum response factor (SRF). Myocardin-mediated
induction of SMC gene expression was enhanced in mouse embryonic fibroblasts
derived from Runx2 null mice compared to wild-type mice. Forced expression of
Runx2 decreased the expression of SMC genes and promoted osteogenic gene
expression, whereas the reduction of Runx2 expression by small interfering RNA
enhanced SMC differentiation in human aortic SMCs. Runx2 interacted with SRF and
interfered with the formation of the SRF/myocardin ternary complex. Thus, this
study provides the first evidence that Runx2 inhibits SRF-dependent
transcription, as a corepressor independent of its DNA binding. We propose that
Runx2 plays a pivotal role in osteogenic conversion tightly coupled with
repression of the SMC phenotype in atherosclerotic lesions.
PMID- 18039852
TI - PTB/hnRNP I is required for RNP remodeling during RNA localization in Xenopus
oocytes.
AB - Transport of specific mRNAs to defined regions within the cell cytoplasm is a
fundamental mechanism for regulating cell and developmental polarity. In the
Xenopus oocyte, Vg1 RNA is transported to the vegetal cytoplasm, where localized
expression of the encoded protein is critical for embryonic polarity. The Vg1
localization pathway is directed by interactions between key motifs within Vg1
RNA and protein factors recognizing those RNA sequences. We have investigated how
RNA-protein interactions could be modulated to trigger distinct steps in the
localization pathway and found that the Vg1 RNP is remodeled during cytoplasmic
RNA transport. Our results implicate two RNA-binding proteins with key roles in
Vg1 RNA localization, PTB/hnRNP I and Vg1RBP/vera, in this process. We show that
PTB/hnRNP I is required for remodeling of the interaction between Vg1 RNA and
Vg1RBP/vera. Critically, mutations that block this remodeling event also
eliminate vegetal localization of the RNA, suggesting that RNP remodeling is
required for localization.
PMID- 18039853
TI - Gcn5p plays an important role in centromere kinetochore function in budding
yeast.
AB - We report that the histone acetyltransferase Gcn5p is involved in cell cycle
progression, whereas its absence induces several mitotic defects, including
inefficient nuclear division, chromosome loss, delayed G(2) progression, and
spindle elongation. The fidelity of chromosome segregation is finely regulated by
the close interplay between the centromere and the kinetochore, a protein complex
hierarchically assembled in the centromeric DNA region, while disruption of GCN5
in mutants of inner components results in sick phenotype. These synthetic
interactions involving the ADA complex lay the genetic basis for the critical
role of Gcn5p in kinetochore assembly and function. We found that Gcn5p is, in
fact, physically linked to the centromere, where it affects the structure of the
variant centromeric nucleosome. Our findings offer a key insight into a Gcn5p
dependent epigenetic regulation at centromere/kinetochore in mitosis.
PMID- 18039854
TI - The MLE subunit of the Drosophila MSL complex uses its ATPase activity for dosage
compensation and its helicase activity for targeting.
AB - In Drosophila, dosage compensation-the equalization of most X-linked gene
products between XY males and XX females-is mediated by the MSL complex that
preferentially associates with numerous sites on the X chromosome in somatic
cells of males, but not of females. The complex consists of a noncoding RNA and a
core of five protein subunits that includes a histone acetyltransferase (MOF) and
an ATP-dependent DEXH box RNA/DNA helicase (MLE). Both of these enzymatic
activities are necessary for the spreading of the complex to its sites of action
along the X chromosome. MLE is related to the ATPases present in complexes that
remodel chromatin by altering the positioning or the architectural relationship
between nucleosomes and DNA. In contrast to MLE, none of these enzymatic subunits
has been shown to possess double-stranded nucleic acid-unwinding activity. We
investigated the function of MLE in the process of dosage compensation by
generating mutations that separate ATPase activity from duplex unwinding. We show
that the ATPase activity is sufficient for MLE's role in transcriptional
enhancement, while the helicase activity is necessary for the spreading of the
complex along the X chromosome.
PMID- 18039855
TI - Rad51-independent interchromosomal double-strand break repair by gene conversion
requires Rad52 but not Rad55, Rad57, or Dmc1.
AB - Homologous recombination (HR) is critical for DNA double-strand break (DSB)
repair and genome stabilization. In yeast, HR is catalyzed by the Rad51 strand
transferase and its "mediators," including the Rad52 single-strand DNA-annealing
protein, two Rad51 paralogs (Rad55 and Rad57), and Rad54. A Rad51 homolog, Dmc1,
is important for meiotic HR. In wild-type cells, most DSB repair results in gene
conversion, a conservative HR outcome. Because Rad51 plays a central role in the
homology search and strand invasion steps, DSBs either are not repaired or are
repaired by nonconservative single-strand annealing or break-induced replication
mechanisms in rad51Delta mutants. Although DSB repair by gene conversion in the
absence of Rad51 has been reported for ectopic HR events (e.g., inverted repeats
or between plasmids), Rad51 has been thought to be essential for DSB repair by
conservative interchromosomal (allelic) gene conversion. Here, we demonstrate
that DSBs stimulate gene conversion between homologous chromosomes (allelic
conversion) by >30-fold in a rad51Delta mutant. We show that Rad51-independent
allelic conversion and break-induced replication occur independently of Rad55,
Rad57, and Dmc1 but require Rad52. Unlike DSB-induced events, spontaneous allelic
conversion was detected in both rad51Delta and rad52Delta mutants, but not in a
rad51Delta rad52Delta double mutant. The frequencies of crossovers associated
with DSB-induced gene conversion were similar in the wild type and the rad51Delta
mutant, but discontinuous conversion tracts were fivefold more frequent and tract
lengths were more widely distributed in the rad51Delta mutant, indicating that
heteroduplex DNA has an altered structure, or is processed differently, in the
absence of Rad51.
PMID- 18039856
TI - Differential chromatin looping regulates CD4 expression in immature thymocytes.
AB - Runx1 binds the silencer and represses CD4 transcription in immature thymocytes.
In this study, using looping chromatin immunoprecipitation and chromatin
conformation capture assays, we demonstrated that interactions between Runx1 and
positive elongation factor b (P-TEFb) appose the silencer and enhancer in CD4
negative thymoma cells and double-negative immature thymocytes. This chromatin
loop decoys P-TEFb away from the promoter, thus preventing RNA polymerase II from
elongating on the CD4 gene. In the absence of Runx1 on the silencer, P-TEFb
interacts with the transcription complex, forming a different chromatin loop
between the enhancer and the promoter, which leads to the expression of the CD4
gene in CD4-positive hybridoma cells and double-positive thymocytes. Moreover,
the knockdown of CycT1 from P-TEFb abolishes both of these chromatin loops.
Finally, the selective removal and restoration of Runx1 causes rapid interchanges
between these chromatin loops, which reveals the plasticity of this regulatory
circuit. Thus, differential looping and decoying of P-TEFb away from the promoter
mediate active repression of the CD4 gene during thymocyte development.
PMID- 18039857
TI - c-Src-mediated epithelial cell migration and invasion regulated by PDZ binding
site.
AB - c-Src tyrosine kinase controls proliferation, cell adhesion, and cell migration
and is highly regulated. A novel regulatory mechanism to control c-Src function
that has recently been identified involves the C-terminal amino acid sequence Gly
Glu-Asn-Leu (GENL) of c-Src as ligand for PDZ domains. Herein, we determined the
biological relevance of this c-Src regulation in human breast epithelial cells.
The intact GENL sequence maintained c-Src in an inactive state in starved cells
and restricted c-Src functions that might lead to metastatic transformation under
normal growth conditions. c-Src with a C-terminal Leu/Ala mutation in GENL (Src
A) promoted the activation and translocation of cortactin and focal adhesion
kinase and increased the motility and persistence of cell migration on the
basement membrane. Src-A promoted increased extracellular proteolytic activity,
and in acinar cultures, it led to the escape of cells through the basement
membrane into the surrounding matrix. We ascribe the regulatory function of C
terminal Leu to the role of GENL in modulating c-Src activity downstream of cell
matrix adhesion. We propose that the C terminus of c-Src via its GENL sequence
presents a mechanism that restricts c-Src in epithelia and prevents progression
toward an invasive phenotype.
PMID- 18039858
TI - Expression levels of estrogen receptor beta are modulated by components of the
molecular clock.
AB - Circadian regulation of gene expression plays a major role in health and disease.
The precise role of the circadian system remains to be clarified, but it is known
that circadian proteins generate physiological rhythms in organisms by regulating
clock-controlled target genes. The estrogen receptor beta (ERbeta) is, together
with ERalpha, a member of the nuclear receptor superfamily and a key mediator of
estrogen action. Interestingly, recent studies show that disturbed circadian
rhythmicity in humans can increase the risk of reproductive malfunctions,
suggesting a link between the circadian system and ER-mediated transcription
pathways. Here, we identify a novel level of regulation of estrogen signaling
where ERbeta, but not ERalpha, is controlled by circadian clock proteins. We show
that ERbeta mRNA levels fluctuate in different peripheral tissues following a
robust circadian pattern, with a peak at the light-dark transition, which is
maintained under free-running conditions. Interestingly, this oscillation is
abolished in clock-deficient BMAL1 knockout mice. Circadian control of ERbeta
expression is exerted through a conserved E-box element in the ERbeta promoter
region that recruits circadian regulatory factors. Furthermore, using small
interfering RNA-mediated knockdown assays, we show that the expression levels of
the circadian regulatory factors directly influence estrogen signaling by
regulating the intracellular levels of endogenous ERbeta.
PMID- 18039859
TI - Degradation of the tumor suppressor PML by Pin1 contributes to the cancer
phenotype of breast cancer MDA-MB-231 cells.
AB - Promyelocytic leukemia protein (PML) is an important regulator due to its role in
numerous cellular processes including apoptosis, viral infection, senescence, DNA
damage repair, and cell cycle regulation. Despite the role of PML in many
cellular functions, little is known about the regulation of PML itself. We show
that PML stability is regulated through interaction with the peptidyl-prolyl cis
trans isomerase Pin1. This interaction is mediated through four serine-proline
motifs in the C terminus of PML. Binding to Pin1 results in degradation of PML in
a phosphorylation-dependent manner. Furthermore, our data indicate that
sumoylation of PML blocks the interaction, thus preventing degradation of PML by
this pathway. Functionally, we show that in the MDA-MB-231 breast cancer cell
line modulating levels of Pin1 affects steady-state levels of PML. Furthermore,
degradation of PML due to Pin1 acts both to protect these cells from hydrogen
peroxide-induced death and to increase the rate of proliferation. Taken together,
our work defines a novel mechanism by which sumoylation of PML prevents Pin1
dependent degradation. This interaction likely occurs in numerous cell lines and
may be a pathway for oncogenic transformation.
PMID- 18039860
TI - MdmX promotes bipolar mitosis to suppress transformation and tumorigenesis in p53
deficient cells and mice.
AB - Mdm2 and MdmX are structurally related p53-binding proteins that function as
critical negative regulators of p53 activity in embryonic and adult tissue. The
overexpression of Mdm2 or MdmX inhibits p53 tumor suppressor functions in vitro,
and the amplification of Mdm2 or MdmX is observed in human cancers retaining wild
type p53. We now demonstrate a surprising role for MdmX in suppressing
tumorigenesis that is distinct from its oncogenic ability to inhibit p53. The
deletion of MdmX induces multipolar mitotic spindle formation and the loss of
chromosomes from hyperploid p53-null cells. This reduction in chromosome number,
not observed in p53-null cells with Mdm2 deleted, correlates with increased cell
proliferation and the spontaneous transformation of MdmX/p53-null mouse embryonic
fibroblasts in vitro and with an increased rate of spontaneous tumorigenesis in
MdmX/p53-null mice in vivo. These results indicate that MdmX has a p53
independent role in suppressing oncogenic cell transformation, proliferation, and
tumorigenesis by promoting centrosome clustering and bipolar mitosis.
PMID- 18039861
TI - Brd4 recruits P-TEFb to chromosomes at late mitosis to promote G1 gene expression
and cell cycle progression.
AB - Brd4, a bromodomain protein capable of interacting with acetylated histones, is
implicated in transmitting epigenetic memory through mitosis. It also functions
as an associated factor and positive regulator of P-TEFb, a Cdk9-cyclin T1
heterodimer that stimulates transcriptional elongation by phosphorylating RNA
polymerase II. In the present study, experiments were performed to determine
whether these two functions of Brd4 are interrelated and, if so, how they may
impact cell cycle progression. Our data demonstrate that while the P-TEFb level
remains constant, the Brd4-P-TEFb interaction increases dramatically in cells
progressing from late mitosis to early G(1). Concurrently, P-TEFb is recruited to
chromosomes, beginning around mid- to late anaphase and before nuclear
envelope/lamina formation and nuclear import of other general transcription
factors. Importantly, the recruitment of P-TEFb depends on Brd4. Abrogation of
this process through Brd4 knockdown reduces the binding of P-TEFb to and
expression of key G(1) and growth-associated genes, leading to G(1) cell cycle
arrest and apoptosis. Because P-TEFb is synonymous with productive elongation,
its recruitment by Brd4 to chromosomes at late mitosis may indicate those genes
whose active transcription status must be preserved across cell division.
PMID- 18039862
TI - CTCF is the master organizer of domain-wide allele-specific chromatin at the
H19/Igf2 imprinted region.
AB - A paternally methylated imprinting control region (ICR) directs allele-specific
expression of the imprinted H19 and Igf2 genes. CTCF protein binding in the ICR
is required in the maternal chromosome for insulating Igf2 from the shared
enhancers, initiation of the H19 promoter transcription, maintaining DNA
hypomethylation, and chromosome loop formation. Using novel quantitative allele
specific chromatin immunoprecipitation-single-nucleotide primer extension assays,
we measured the chromatin composition along the H19/Igf2 imprinted domain in
cells with engineered mutations at the four ICR-CTCF binding sites. Abolishing
CTCF binding in the ICR reduced normally maternal allele-specific H3K9
acetylation and H3K4 methylation at the H19 ICR and promoter/gene body and
maternal allele-specific H3K27 trimethylation at the Igf2 P2 promoter and Igf2
differentially methylated regions (DMRs). Paternal H3K27 trimethylation and
macroH2A1 became biallelic in the mutant cells at the H19 promoter while paternal
H3K9 acetylation and H3K4 methylation became biallelic at the Igf2 DMRs. We
provide evidence that CTCF is the single major organizer of allele-specific
chromatin composition in this domain. This finding has important implications:
(i) for mechanisms of insulation since CTCF regulates chromatin at a distance,
involving repression by H3K27 trimethylation at the Igf2 locus independently of
repression by DNA hypermethylation; and (ii) for mechanisms of genomic imprinting
since point mutations of CTCF binding sites cause domain-wide "paternalization"
of the maternal allele's chromatin composition.
PMID- 18039863
TI - Drosophila UTX is a histone H3 Lys27 demethylase that colocalizes with the
elongating form of RNA polymerase II.
AB - Histone H3 methylation at Lys27 (H3K27 methylation) is a hallmark of silent
chromatin, while H3K4 methylation is associated with active chromatin regions.
Here we report that a Drosophila JmjC family member, dUTX, specifically
demethylates di- and trimethylated but not monomethylated H3K27. dUTX
localization on chromatin correlates with the elongating form of RNA polymerase
II (Pol II), and dUTX can associate with Pol II. Furthermore, heat shock
induction results in the recruitment of dUTX to the hsp70 gene, like that of
several other Pol II elongation factors. Our data indicate that dUTX is
intimately associated with actively transcribed genes and may provide a paradigm
for how H3K27 demethylation is required for the activation of preinitiated Pol II
on transcriptionally poised genes.
PMID- 18039865
TI - Drosophila Genomes by the Baker's Dozen. Preface.
PMID- 18039866
TI - Drosophila biology in the genomic age.
AB - Over the course of the past century, flies in the family Drosophilidae have been
important models for understanding genetic, developmental, cellular, ecological,
and evolutionary processes. Full genome sequences from a total of 12 species
promise to extend this work by facilitating comparative studies of gene
expression, of molecules such as proteins, of developmental mechanisms, and of
ecological adaptation. Here we review basic biological and ecological information
of the species whose genomes have recently been completely sequenced in the
context of current research.
PMID- 18039867
TI - Analysis of Drosophila species genome size and satellite DNA content reveals
significant differences among strains as well as between species.
AB - The size of eukaryotic genomes can vary by several orders of magnitude, yet
genome size does not correlate with the number of genes nor with the size or
complexity of the organism. Although "whole"-genome sequences, such as those now
available for 12 Drosophila species, provide information about euchromatic DNA
content, they cannot give an accurate estimate of genome sizes that include
heterochromatin or repetitive DNA content. Moreover, genome sequences typically
represent only one strain or isolate of a single species that does not reflect
intraspecies variation. To more accurately estimate whole-genome DNA content and
compare these estimates to newly assembled genomes, we used flow cytometry to
measure the 2C genome values, relative to Drosophila melanogaster. We estimated
genome sizes for the 12 sequenced Drosophila species as well as 91 different
strains of 38 species of Drosophilidae. Significant differences in intra- and
interspecific 2C genome values exist within the Drosophilidae. Furthermore, by
measuring polyploid 16C ovarian follicle cell underreplication we estimated the
amount of satellite DNA in each of these species. We found a strong correlation
between genome size and amount of satellite underreplication. Addition and loss
of heterochromatin satellite repeat elements appear to have made major
contributions to the large differences in genome size observed in the
Drosophilidae.
PMID- 18039868
TI - Conservation of epigenetic regulation, ORC binding and developmental timing of
DNA replication origins in the genus Drosophila.
AB - There is much interest in how DNA replication origins are regulated so that the
genome is completely duplicated each cell division cycle and in how the division
of cells is spatially and temporally integrated with development. In the
Drosophila melanogaster ovary, the cell cycle of somatic follicle cells is
modified at precise times in oogenesis. Follicle cells first proliferate via a
canonical mitotic division cycle and then enter an endocycle, resulting in their
polyploidization. They subsequently enter a specialized amplification phase
during which only a few, select origins repeatedly initiate DNA replication,
resulting in gene copy number increases at several loci important for eggshell
synthesis. Here we investigate the importance of these modified cell cycles for
oogenesis by determining whether they have been conserved in evolution. We find
that their developmental timing has been strictly conserved among Drosophila
species that have been separate for approximately 40 million years of evolution
and provide evidence that additional gene loci may be amplified in some species.
Further, we find that the acetylation of nucleosomes and Orc2 protein binding at
active amplification origins is conserved. Conservation of DNA subsequences
within amplification origins from the 12 recently sequenced Drosophila species
genomes implicates members of a Myb protein complex in recruiting acetylases to
the origin. Our findings suggest that conserved developmental mechanisms
integrate egg chamber morphogenesis with cell cycle modifications and the
epigenetic regulation of origins.
PMID- 18039870
TI - Variable strength of translational selection among 12 Drosophila species.
AB - Codon usage bias in Drosophila melanogaster genes has been attributed to negative
selection of those codons whose cellular tRNA abundance restricts rates of mRNA
translation. Previous studies, which involved limited numbers of genes, can now
be compared against analyses of the entire gene complements of 12 Drosophila
species whose genome sequences have become available. Using large numbers (6138)
of orthologs represented in all 12 species, we establish that the codon
preferences of more closely related species are better correlated. Differences
between codon usage biases are attributed, in part, to changes in mutational
biases. These biases are apparent from the strong correlation (r = 0.92, P <
0.001) among these genomes' intronic G + C contents and exonic G + C contents at
degenerate third codon positions. To perform a cross-species comparison of
selection on codon usage, while accounting for changes in mutational biases, we
calibrated each genome in turn using the codon usage bias indices of highly
expressed ribosomal protein genes. The strength of translational selection was
predicted to have varied between species largely according to their phylogeny,
with the D. melanogaster group species exhibiting the strongest degree of
selection.
PMID- 18039869
TI - Evolution in the fast lane: rapidly evolving sex-related genes in Drosophila.
AB - A large portion of the annotated genes in Drosophila melanogaster show sex-biased
expression, indicating that sex and reproduction-related genes (SRR genes)
represent an appreciable component of the genome. Previous studies, in which
subsets of genes were compared among few Drosophila species, have found that SRR
genes exhibit unusual evolutionary patterns. Here, we have used the newly
released genome sequences from 12 Drosophila species, coupled to a larger set of
SRR genes, to comprehensively test the generality of these patterns. Among 2505
SRR genes examined, including ESTs with biased expression in reproductive tissues
and genes characterized as involved in gametogenesis, we find that a relatively
high proportion of SRR genes have experienced accelerated divergence throughout
the genus Drosophila. Several testis-specific genes, male seminal fluid proteins
(SFPs), and spermatogenesis genes show lineage-specific bursts of accelerated
evolution and positive selection. SFP genes also show evidence of lineage
specific gene loss and/or gain. These results bring us closer to understanding
the details of the evolutionary dynamics of SRR genes with respect to species
divergence.
PMID- 18039871
TI - Phylogenetic and genomewide analyses suggest a functional relationship between
kayak, the Drosophila fos homolog, and fig, a predicted protein phosphatase 2c
nested within a kayak intron.
AB - A gene located within the intron of a larger gene is an uncommon arrangement in
any species. Few of these nested gene arrangements have been explored from an
evolutionary perspective. Here we report a phylogenetic analysis of kayak (kay)
and fos intron gene (fig), a divergently transcribed gene located in a kay
intron, utilizing 12 Drosophila species. The evolutionary relationship between
these genes is of interest because kay is the homolog of the proto-oncogene c-fos
whose function is modulated by serine/threonine phosphorylation and fig is a
predicted PP2C phosphatase specific for serine/threonine residues. We found that,
despite an extraordinary level of diversification in the intron-exon structure of
kay (11 inversions and six independent exon losses), the nested arrangement of
kay and fig is conserved in all species. A genomewide analysis of protein-coding
nested gene pairs revealed that approximately 20% of nested pairs in D.
melanogaster are also nested in D. pseudoobscura and D. virilis. A phylogenetic
examination of fig revealed that there are three subfamilies of PP2C phosphatases
in all 12 species of Drosophila. Overall, our phylogenetic and genomewide
analyses suggest that the nested arrangement of kay and fig may be due to a
functional relationship between them.
PMID- 18039872
TI - Molecular evolution of glutathione S-transferases in the genus Drosophila.
AB - As classical phase II detoxification enzymes, glutathione S-transferases (GSTs)
have been implicated in insecticide resistance and may have evolved in response
to toxins in the niche-defining feeding substrates of Drosophila species. We have
annotated the GST genes of the 12 Drosophila species with recently sequenced
genomes and analyzed their molecular evolution. Gene copy number variation is
attributable mainly to unequal crossing-over events in the large delta and
epsilon clusters. Within these gene clusters there are also GST genes with slowly
diverging orthologs. This implies that they have their own unique functions or
have spatial/temporal expression patterns that impose significant selective
constraints. Searches for positively selected sites within the GSTs identified
G171K in GSTD1, a protein that has previously been shown to be capable of
metabolizing the insecticide DDT. We find that the same radical substitution
(G171K) in the substrate-binding domain has occurred at least three times in the
Drosophila radiation. Homology-modeling places site 171 distant from the active
site but adjacent to an alternative DDT-binding site. We propose that the
parallel evolution observed at this site is an adaptive response to an
environmental toxin and that sequencing of historical alleles suggests that this
toxin was not a synthetic insecticide.
PMID- 18039874
TI - Five Drosophila genomes reveal nonneutral evolution and the signature of host
specialization in the chemoreceptor superfamily.
AB - The insect chemoreceptor superfamily comprises the olfactory receptor (Or) and
gustatory receptor (Gr) multigene families. These families give insects the
ability to smell and taste chemicals in the environment and are thus rich
resources for linking molecular evolutionary and ecological processes. Although
dramatic differences in family size among distant species and high divergence
among paralogs have led to the belief that the two families evolve rapidly, a
lack of evolutionary data over short time scales has frustrated efforts to
identify the major forces shaping this evolution. Here, we investigate patterns
of gene loss/gain, divergence, and polymorphism in the entire repertoire of
approximately 130 chemoreceptor genes from five closely related species of
Drosophila that share a common ancestor within the past 12 million years. We
demonstrate that the overall evolution of the Or and Gr families is nonneutral.
We also show that selection regimes differ both between the two families as
wholes and within each family among groups of genes with varying functions,
patterns of expression, and phylogenetic histories. Finally, we find that the
independent evolution of host specialization in Drosophila sechellia and D.
erecta is associated with a fivefold acceleration of gene loss and increased
rates of amino acid evolution at receptors that remain intact. Gene loss appears
to primarily affect Grs that respond to bitter compounds while elevated Ka/Ks is
most pronounced in the subset of Ors that are expressed in larvae. Our results
provide strong evidence that the observed phenomena result from the invasion of a
novel ecological niche and present a unique synthesis of molecular evolutionary
analyses with ecological data.
PMID- 18039873
TI - Phylogenetic footprinting analysis in the upstream regulatory regions of the
Drosophila enhancer of split genes.
AB - During Drosophila development Suppressor of Hairless [Su(H)]-dependent Notch
activation upregulates transcription of the Enhancer of split-Complex [E(spl)-C]
genes. Drosophila melanogaster E(spl) genes share common transcription regulators
including binding sites for Su(H), proneural, and E(spl) basic-helix-loop-helix
(bHLH) proteins. However, the expression patterns of E(spl) genes during
development suggest that additional factors are involved. To better understand
regulators responsible for these expression patterns, recently available sequence
and annotation data for multiple Drosophila genomes were used to compare the
E(spl) upstream regulatory regions from more than nine Drosophila species. The
mgamma and mbeta regulatory regions are the most conserved of the bHLH genes.
Fine analysis of Su(H) sites showed that high-affinity Su(H) paired sites and the
Su(H) paired site plus proneural site (SPS + A) architecture are completely
conserved in a subset of Drosophila E(spl) genes. The SPS + A module is also
present in the upstream regulatory regions of the more ancient mosquito and
honeybee E(spl) bHLH genes. Additional transcription factor binding sites were
identified upstream of the E(spl) genes and compared between species of
Drosophila. Conserved sites provide new understandings about E(spl) regulation
during development. Conserved novel sequences found upstream of multiple E(spl)
genes may play a role in the expression of these genes.
PMID- 18039875
TI - Divergence between the Drosophila pseudoobscura and D. persimilis genome
sequences in relation to chromosomal inversions.
AB - As whole-genome sequence assemblies accumulate, a challenge is to determine how
these can be used to address fundamental evolutionary questions, such as
inferring the process of speciation. Here, we use the sequence assemblies of
Drosophila pseudoobscura and D. persimilis to test hypotheses regarding
divergence with gene flow. We observe low differentiation between the two genome
sequences in pericentromeric and peritelomeric regions. We interpret this result
as primarily a remnant of the correlation between levels of variation and local
recombination rate observed within populations. However, we also observe lower
differentiation far from the fixed chromosomal inversions distinguishing these
species and greater differentiation within and near these inversions. This
finding is consistent with models suggesting that chromosomal inversions
facilitate species divergence despite interspecies gene flow. We also document
heterogeneity among the inverted regions in their degree of differentiation,
suggesting temporal differences in the origin of each inverted region consistent
with the inversions arising during a process of divergence with gene flow. While
this study provides insights into the speciation process using two single-genome
sequences, it was informed by lower throughput but more rigorous examinations of
polymorphism and divergence. This reliance highlights the need for complementary
genomic and population genetic approaches for tackling fundamental evolutionary
questions such as speciation.
PMID- 18039876
TI - An evolutionarily conserved domain of roX2 RNA is sufficient for induction of H4
Lys16 acetylation on the Drosophila X chromosome.
AB - The male-specific lethal (MSL) complex, which includes two noncoding RNA on X
(roX)1 and roX2 RNAs, induces histone H4-Lys16 acetylation for twofold
hypertranscription of the male X chromosome in Drosophila melanogaster. To
characterize the role of roX RNAs in this process, we have identified
evolutionarily conserved functional domains of roX RNAs in several Drosophila
species (eight for roX1 and nine for roX2). Despite low homology between them,
male-specific expression and X chromosome-specific binding are conserved. Within
roX RNAs of all Drosophila species, we found conserved primary sequences, such as
GUUNUACG, in the 3' end of both roX1 (three repeats) and roX2 (two repeats). A
predicted stem-loop structure of roX2 RNA contains this sequence in the 3' stem
region. Six tandem repeats of this stem-loop region (72 nt) of roX2 were enough
for targeting the MSL complex and inducing H4-Lys16 acetylation on the X
chromosome without other parts of roX2 RNA, suggesting that roX RNAs might play
important roles in regulating enzymatic activity of the MSL complex.
PMID- 18039877
TI - Guido Pontecorvo ("Ponte"): a centenary memoir.
PMID- 18039878
TI - The role of Sse1 in the de novo formation and variant determination of the [PSI+]
prion.
AB - Yeast prions are a group of non-Mendelian genetic elements transmitted as altered
and self-propagating conformations. Extensive studies in the last decade have
provided valuable information on the mechanisms responsible for yeast prion
propagation. How yeast prions are formed de novo and what cellular factors are
required for determining prion "strains" or variants--a single polypeptide
capable of existing in multiple conformations to result in distinct heritable
phenotypes--continue to defy our understanding. We report here that Sse1, the
yeast ortholog of the mammalian heat-shock protein 110 (Hsp110) and a nucleotide
exchange factor for Hsp70 proteins, plays an important role in regulating [PSI+]
de novo formation and variant determination. Overproduction of the Sse1 chaperone
dramatically enhanced [PSI+] formation whereas deletion of SSE1 severely
inhibited it. Only an unstable weak [PSI+] variant was formed in SSE1 disrupted
cells whereas [PSI+] variants ranging from very strong to very weak were formed
in isogenic wild-type cells under identical conditions. Thus, Sse1 is essential
for the generation of multiple [PSI+] variants. Mutational analysis further
demonstrated that the physical association of Sse1 with Hsp70 but not the ATP
hydrolysis activity of Sse1 is required for the formation of multiple [PSI+]
variants. Our findings establish a novel role for Sse1 in [PSI+] de novo
formation and variant determination, implying that the mammalian Hsp110 may
likewise be involved in the etiology of protein-folding diseases.
PMID- 18039879
TI - A mutant dec-1 transgene induces dominant female sterility in Drosophila
melanogaster.
AB - The Drosophila dec-1 gene produces three proproteins required for female
fertility and eggshell assembly. The three proproteins are distinguished by their
C termini. Fc106, the most abundant proprotein, is cleaved within the vitelline
membrane to three mature derivatives in a developmentally regulated manner. To
define sequences within fc106 that are critical for its function, we created wild
type and mutant versions of an fc106 cDNA transgene. The functional consequences
of the mutations were assessed in dec-14, a female-sterile splicing mutant that
does not produce the fc106 isoform. The fertility of dec-14 females was restored
by the introduction of either a wild-type transgene or a transgene bearing a C
terminal deletion that included fc106-specific sequences. Surprisingly, the
removal of internal coding sequences created an aberrant DEC-1 proprotein that
induced female sterility when introduced into wild-type flies. Dominant female
sterility was not associated with larger deletions that included the fc106 N
terminus, suggesting that abnormal juxtaposition of N- and C-terminal sequences
in the aberrant proprotein interfered with endogenous DEC-1 proteins. Changes in
the fractionation behavior of the endogenous fc106 C-terminal derivative, s60,
and morphological changes in the endochorion in response to expression of the
aberrant proprotein support this interpretation.
PMID- 18039880
TI - Expression of the Drosophila melanogaster GADD45 homolog (CG11086) affects egg
asymmetric development that is mediated by the c-Jun N-terminal kinase pathway.
AB - The mammalian GADD45 (growth arrest and DNA-damage inducible) gene family is
composed of three highly homologous small, acidic, nuclear proteins: GADD45alpha,
GADD45beta, and GADD45gamma. GADD45 proteins are involved in important processes
such as regulation of DNA repair, cell cycle control, and apoptosis. Annotation
of the Drosophila melanogaster genome revealed that it contains a single GADD45
like protein (CG11086; D-GADD45). We found that, as its mammalian homologs, D
GADD45 is a nuclear protein; however, D-GADD45 expression is not elevated
following exposure to genotoxic and nongenotoxic agents in Schneider cells and in
adult flies. We showed that the D-GADD45 transcript increased following immune
response activation, consistent with previous microarray findings. Since
upregulation of GADD45 proteins has been characterized as an important cellular
response to genotoxic and nongenotoxic agents, we aimed to characterize the
effect of D-GADD45 overexpression on D. melanogaster development. Overexpression
of D-GADD45 in various tissues led to different phenotypic responses.
Specifically, in the somatic follicle cells overexpression caused apoptosis,
while overexpression in the germline affected the dorsal-ventral polarity of the
eggshell and disrupted the localization of anterior-posterior polarity
determinants. In this article we focused on the role of D-GADD45 overexpression
in the germline and found that D-GADD45 caused dorsalization of the eggshell.
Since mammalian GADD45 proteins are activators of the c-Jun N-terminal kinase
(JNK)/p38 mitogen-activated protein kinase (MAPK) signaling pathways, we tested
for a genetic interaction in D. melanogaster. We found that eggshell polarity
defects caused by D-GADD45 overexpression were dominantly suppressed by mutations
in the JNK pathway, suggesting that the JNK pathway has a novel, D-GADD45
mediated, function in the Drosophila germline.
PMID- 18039882
TI - Extensive concerted evolution of rice paralogs and the road to regaining
independence.
AB - Many genes duplicated by whole-genome duplications (WGDs) are more similar to one
another than expected. We investigated whether concerted evolution through
conversion and crossing over, well-known to affect tandem gene clusters, also
affects dispersed paralogs. Genome sequences for two Oryza subspecies reveal
appreciable gene conversion in the approximately 0.4 MY since their divergence,
with a gradual progression toward independent evolution of older paralogs. Since
divergence from subspecies indica, approximately 8% of japonica paralogs produced
5-7 MYA on chromosomes 11 and 12 have been affected by gene conversion and
several reciprocal exchanges of chromosomal segments, while approximately 70-MY
old "paleologs" resulting from a genome duplication (GD) show much less
conversion. Sequence similarity analysis in proximal gene clusters also suggests
more conversion between younger paralogs. About 8% of paleologs may have been
converted since rice-sorghum divergence approximately 41 MYA. Domain-encoding
sequences are more frequently converted than nondomain sequences, suggesting a
sort of circularity--that sequences conserved by selection may be further
conserved by relatively frequent conversion. The higher level of concerted
evolution in the 5-7 MY-old segmental duplication may reflect the behavior of
many genomes within the first few million years after duplication or
polyploidization.
PMID- 18039883
TI - The role of epistasis in the manifestation of heterosis: a systems-oriented
approach.
AB - Heterosis is widely used in breeding, but the genetic basis of this biological
phenomenon has not been elucidated. We postulate that additive and dominance
genetic effects as well as two-locus interactions estimated in classical QTL
analyses are not sufficient for quantifying the contributions of QTL to
heterosis. A general theoretical framework for determining the contributions of
different types of genetic effects to heterosis was developed. Additive x
additive epistatic interactions of individual loci with the entire genetic
background were identified as a major component of midparent heterosis. On the
basis of these findings we defined a new type of heterotic effect denoted as
augmented dominance effect di* that comprises the dominance effect at each QTL
minus half the sum of additive x additive interactions with all other QTL. We
demonstrate that genotypic expectations of QTL effects obtained from analyses
with the design III using testcrosses of recombinant inbred lines and composite
interval mapping precisely equal genotypic expectations of midparent heterosis,
thus identifying genomic regions relevant for expression of heterosis. The theory
for QTL mapping of multiple traits is extended to the simultaneous mapping of
newly defined genetic effects to improve the power of QTL detection and
distinguish between dominance and overdominance.
PMID- 18039881
TI - Demographic history has influenced nucleotide diversity in European Pinus
sylvestris populations.
AB - To infer the role of natural selection in shaping standing genetic diversity, it
is necessary to assess the genomewide impact of demographic history on nucleotide
diversity. In this study we analyzed sequence diversity of 16 nuclear loci in
eight Pinus sylvestris populations. Populations were divided into four
geographical groups on the basis of their current location and the geographical
history of the region: northern Europe, central Europe, Spain, and Turkey. There
were no among-group differences in the level of silent nucleotide diversity,
which was approximately 0.005/bp in all groups. There was some evidence that
linkage disequilibrium extended further in northern Europe than in central
Europe: the estimates of the population recombination rate parameter, rho, were
0.0064 and 0.0294, respectively. The summary statistics of nucleotide diversity
in central and northern European populations were compatible with an ancient
bottleneck rather than the standard neutral model.
PMID- 18039884
TI - Genetic basis of heterosis for growth-related traits in Arabidopsis investigated
by testcross progenies of near-isogenic lines reveals a significant role of
epistasis.
AB - Epistasis seems to play a significant role in the manifestation of heterosis.
However, the power of detecting epistatic interactions among quantitative trait
loci (QTL) in segregating populations is low. We studied heterosis in Arabidopsis
thaliana hybrid C24 x Col-0 by testing near-isogenic lines (NILs) and their
triple testcross (TTC) progenies. Our objectives were to (i) provide the
theoretical basis for estimating different types of genetic effects with this
experimental design, (ii) determine the extent of heterosis for seven growth
related traits, (iii) map the underlying QTL, and (iv) determine their gene
action. Two substitution libraries, each consisting of 28 NILs and covering
approximately 61 and 39% of the Arabidopsis genome, were assayed by 110 single
nucleotide polymorphism (SNP) markers. With our novel generation means approach
38 QTL were detected, many of which confirmed heterotic QTL detected previously
in the same cross with TTC progenies of recombinant inbred lines. Furthermore,
many of the QTL were common for different traits and in common with the 58 QTL
detected by a method that compares triplets consisting of a NIL, its recurrent
parent, and their F(1) cross. While the latter approach revealed mostly (75%)
overdominant QTL, the former approach allowed separation of dominance and
epistasis by analyzing all materials simultaneously and yielded substantial
positive additive x additive effects besides directional dominance. Positive
epistatic effects reduced heterosis for growth-related traits in our materials.
PMID- 18039885
TI - Heterosis for biomass-related traits in Arabidopsis investigated by quantitative
trait loci analysis of the triple testcross design with recombinant inbred lines.
AB - Arabidopsis thaliana has emerged as a leading model species in plant genetics and
functional genomics including research on the genetic causes of heterosis. We
applied a triple testcross (TTC) design and a novel biometrical approach to
identify and characterize quantitative trait loci (QTL) for heterosis of five
biomass-related traits by (i) estimating the number, genomic positions, and
genetic effects of heterotic QTL, (ii) characterizing their mode of gene action,
and (iii) testing for presence of epistatic effects by a genomewide scan and
marker x marker interactions. In total, 234 recombinant inbred lines (RILs) of
Arabidopsis hybrid C24 x Col-0 were crossed to both parental lines and their F1
and analyzed with 110 single-nucleotide polymorphism (SNP) markers. QTL analyses
were conducted using linear transformations Z1, Z2, and Z3 calculated from the
adjusted entry means of TTC progenies. With Z1, we detected 12 QTL displaying
augmented additive effects. With Z2, we mapped six QTL for augmented dominance
effects. A one-dimensional genome scan with Z3 revealed two genomic regions with
significantly negative dominance x additive epistatic effects. Two-way analyses
of variance between marker pairs revealed nine digenic epistatic interactions:
six reflecting dominance x dominance effects with variable sign and three
reflecting additive x additive effects with positive sign. We conclude that
heterosis for biomass-related traits in Arabidopsis has a polygenic basis with
overdominance and/or epistasis being presumably the main types of gene action.
PMID- 18039886
TI - Computing heritability and selection response from unbalanced plant breeding
trials.
AB - Heritability is often used by plant breeders and geneticists as a measure of
precision of a trial or a series of trials. Its main use is for computing the
response to selection. Most formulas proposed for calculating heritability
implicitly assume balanced data and independent genotypic effects. Both of these
assumptions are often violated in plant breeding trials. This article proposes a
simulation-based approach to tackle the problem. The key idea is to directly
simulate the quantity of interest, e.g., response to selection, rather than
trying to approximate it using some ad hoc measure of heritability. The approach
is illustrated by three examples.
PMID- 18039887
TI - Drosophila G9a is a nonessential gene.
AB - Mammalian G9a is a euchromatic histone H3 lysine 9 (H3K9) methyltransferase
essential for development. Here, we characterize the Drosophila homolog of G9a,
dG9a. We generated a dG9a deletion allele by homologous recombination. Analysis
of this allele revealed that, in contrast to recent findings, dG9a is not
required for fly viability.
PMID- 18039888
TI - Pervasive and largely lineage-specific adaptive protein evolution in the dosage
compensation complex of Drosophila melanogaster.
AB - Dosage compensation refers to the equalization of X-linked gene transcription
among heterogametic and homogametic sexes. In Drosophila, the dosage compensation
complex (DCC) mediates the twofold hypertranscription of the single male X
chromosome. Loss-of-function mutations at any DCC protein-coding gene are male
lethal. Here we report a population genetic analysis suggesting that four of the
five core DCC proteins--MSL1, MSL2, MSL3, and MOF--are evolving under positive
selection in D. melanogaster. Within these four proteins, several domains that
range in function from X chromosome localization to protein-protein interactions
have elevated, D. melanogaster-specific, amino acid divergence.
PMID- 18039889
TI - Compensatory strategies for acquired disorders of memory and planning:
differential effects of a paging system for patients with brain injury of
traumatic versus cerebrovascular aetiology.
AB - BACKGROUND: Previous studies have demonstrated the effectiveness of paging
systems in compensating for everyday memory and planning problems after brain
injury, including in individuals with traumatic brain injury (TBI). METHODS:
Here, in addition to further analyses of the TBI data from a previous randomised
control crossover trial, results are reported from a sub-group of 36 participants
with brain injury from cerebrovascular accident (CVA). RESULTS: Results indicate
that, as with the TBI group, the pager was effective. However, the pattern of
results following cessation of treatment differed. At a group level, TBI
participants demonstrated maintenance of pager-related benefits, whereas CVA
participants' performance returned to baseline levels. Comparisons of demographic
and neuropsychological characteristics of the groups showed that the CVA group
was older, had a shorter interval post-injury, and had poorer executive function
than the TBI group. Furthermore, within the TBI group, maintenance was associated
with executive functioning, such that executive dysfunction impeded maintenance.
This correlation remained after controlling for demographic differences between
groups. CONCLUSIONS: Together, these findings suggest that executive dysfunction
may affect treatment-for example, whether or not temporary use of the pager is
sufficient to establish a subsequently self-sustaining routine.
PMID- 18039890
TI - Disappearance of "phantom limb" and amputated arm usage during dreaming in REM
sleep behaviour disorder.
PMID- 18039891
TI - Anticipatory postural adjustments associated with arm movement in Parkinson's
disease: a biomechanical analysis.
AB - OBJECTIVE: To study anticipatory postural adjustments (APAs) in Parkinson's
disease (PD) via a biomechanical analysis, including vertical torque (Tz).
METHODS: Ten patients with PD (in the "off-drug" condition) and 10 age matched
controls were included. While standing on a force platform, the subject performed
a right shoulder flexion in order to grasp a handle in front of him/her, under
three conditions (all at maximal velocity): movement triggered by a sound signal
and loaded/non-loaded, self-paced movement. The anteroposterior coordinates of
the centre of pressure (COP) and Tz were calculated. RESULTS: A group effect was
observed for Tz and COP in patients with PD (compared with controls): the maximal
velocity peak appeared later and the amplitude of the COP backward displacement
and the area of the positive phase of Tz were lower, whereas the duration of the
positive phase of Tz was greater. Interaction analysis showed that the area of Tz
was especially affected in the triggered condition and the loaded, self-paced
condition. The onset of the COP backward displacement was delayed in the
triggered condition. CONCLUSION: Our biomechanical analysis revealed that
patients with PD do indeed perform APAs prior to unilateral arm movement,
although there were some abnormalities. The reduced APA magnitude appears to
correspond to a strategy for not endangering postural balance.
PMID- 18039892
TI - Eosinophilic substance is "not amyloid" in the mouse nasal septum.
AB - An eosinophilic substance (ES) is usually observed in the mouse nasal septum and
increases in volume with aging. It has been described as amyloid in textbooks and
one report. However, it has been described as "not amyloid" in other reports
because there was a negative reaction to Congo red. In this study, the ES was
investigated histopathologically and electron microscopically to determine
whether it was amyloid or not. The ES was only observed at the interstitium of
clear HE-stained nasal glands in the septum, in which 2 kinds of glands were
present (dark and clear stained by HE). The volume of the ES was small in young
mice and large in older ones. Neither nasal gland degeneration nor inflammation
resulted, even if a large amount of the ES was observed. The ES reacted
negatively to Congo red but was strongly positive to periodic acid-Schiff
reaction with prior diastase treatment. In the electron microscope observation,
the ES consisted of amorphous material and collagen, but no nonbranching fibrils.
Similar amorphous material was also observed in the nasal gland epithelial cells
and was connected to the material in the rough endoplasmic reticulum. The above
mentioned findings indicated that the ES was not amyloid and suggested the ES
might consist of not only collagen but also complex carbohydrate, which was
produced by the nasal gland epithelial cells.
PMID- 18039894
TI - The distribution and density of Clostridium difficile toxin receptors on the
intestinal mucosa of neonatal pigs.
AB - Clostridium difficile is an enteric pathogen affecting a variety of mammals, but
it has only recently been diagnosed as a cause of neonatal typhlocolitis in pigs.
The most important virulence factors of C. difficile are 2 large exotoxins, toxin
A (TcdA) and toxin B (TcdB). TcdA is a potent enterotoxin with effects on host
tissues that are dependent upon receptor-mediated endocytosis of the intact
toxin. TcdB is an effective cytotoxin, but it apparently does not bind receptors
on intact mucosal epithelium. TcdB is much less toxic in vivo unless there is
underlying damage to the mucosa, and it is not essential for the virulence of C.
difficile. One hypothesis to explain the resistance of most species as neonates
(e.g., humans and hamsters) is that they may lack significant numbers of TcdA
receptors. The susceptibility of neonatal pigs suggests cells of the
gastrointestinal mucosa express sufficient numbers of toxin receptors for lesion
development. Immunohistochemical (IHC) assays documented specific binding of
TcdA, but not TcdB, to the epithelium of the small and large intestine. The
carbohydrate Galalpha1-3beta1-4GlcNAc-R has been described as an important
receptor for TcdA. However, IHC indicated a distribution on cell surfaces much
different from that of TcdA binding, suggesting a specific interaction of toxin
with an alternative receptor.
PMID- 18039893
TI - Tenascin-C in chronic canine hepatitis: immunohistochemical localization and
correlation with necro-inflammatory activity, fibrotic stage, and expression of
alpha-smooth muscle actin, cytokeratin 7, and CD3+ cells.
AB - During fibrosis, the extracellular matrix (ECM) is continuously remodeled and
increases in volume due to the production of various proteins. We studied the
distribution of tenascin-C (TN-C) and the correlation of TN-C with the necro
inflammatory activity and expression of alpha-smooth muscle actin (alpha-SMA),
cytokeratin 7 (CK7), and CD3+ T-lymphocytes in canine chronic hepatitis. This was
analyzed using immunohistochemistry and semiquantitative scoring. We used 3
groups (n = 19) of dogs: group 1 (n = 5) with neonatal hepatitis/lobular
dissecting hepatitis (NH/LDH), group 2 (n = 8) with chronic hepatitis/cirrhosis
(CH/CIRR), and group 3 (n = 6) consisting of healthy animals. In normal livers,
TN-C was localized in Disse's space and around bile ducts and blood vessels. In
CH/CIRR livers, TN-C was localized at the periphery of the regenerating nodules
and was conspicuous in the bridging fibrous bands. In NH/LDH, TN-C was diffusely
distributed along the reticular fibers that dissected between single cells or
groups of hepatocytes. alpha-SMA in the normal hepatic parenchyma showed an
irregular distribution along the perisinusoidal linings. In other groups, alpha
SMA was increased in fibrotic septa and perisinusoidal linings. In normal livers,
CK7 was positive in bile ducts. In other groups, CK7-expressing cells were
conspicuous in the portal-parenchymal interface, the periphery of the
regenerative nodules, and the degenerated parenchyma. The pattern of CD3+
lymphocytes was inversely proportional to that of TN-C. These results also showed
that TN-C is strongly correlated with increased fibrotic stage, inflammatory
activity, and expression of CK7 and alpha-SMA. TN-C, CK7, and CD3 expression did
not differ between diagnostic groups.
PMID- 18039895
TI - Immunohistochemical expression of vascular endothelial growth factor and vascular
endothelial growth factor receptor associated with tumor cell proliferation in
canine cutaneous squamous cell carcinomas and trichoepitheliomas.
AB - The expression of 5 markers associated with angiogenesis was studied in canine
squamous cell carcinomas (SCCs) (n = 19) and canine trichoepitheliomas (TCPs) (n
= 24). SCCs were assigned histologic grades, and tissue sections from both tumor
types were immunohistochemially stained for the expression of vascular
endothelial growth factor (VEGF), vascular endothelial growth factor receptor-2
(VEGFR-2), as well as intratumoral microvessel density (iMVD), tumor
proliferation index (PI), and tumor apoptotic index (AI), using antibodies
against VEGF, VEGFR-2, von Willebrand's factor, Ki-67 antigen, and the terminal
deoxynucleotidyl transferase-mediated 2'-deoxyuridine 5'-triphosphate end
labeling method (TUNEL), respectively. VEGF and VEGFR-2 were detected in 17/19
(89.4%) and 19/19 (100%) SCCs and in 17/24 (70.8%) and 20/24 (83.3%) TCPs,
respectively. In SCCs, there was substantial correlation between histologic grade
and PI (r = 0.51); and moderate correlation between VEGF and histologic grade (r
= 0.43), VEGFR-2 and histologic grade (r = 0.47), VEGF and PI (r = 0.47), and
VEGFR-2 and PI (r = 0.47) (Spearman rank correlation coefficient). In TCPs, there
was substantial correlation between VEGF and PI (r = 0.51) and a moderate
correlation between VEGFR-2 and iMVD (r = 0.36). The median iMVD of SCCs (15.5)
was significantly higher than the median iMVD of TCPs (9.05) (P value < .05). It
was concluded that VEGF and VEGFR-2 may promote tumor cell proliferation in TCPs
and SCCs. An autocrine pathway for VEGF probably operates in canine SCCs and
TCPs, as VEGF and VEGFR-2 expression was found in most tumors and was associated
with evidence for tumor cell proliferation.
PMID- 18039896
TI - Naturally occurring parvovirus-associated feline hypogranular cerebellar
hypoplasia-- A comparison to experimentally-induced lesions using
immunohistology.
AB - Three cases of feline cerebellar hypoplasia are presented. At the time of
examination, the ages of the cats ranged from 2 months to 1 year. Necropsy
revealed cerebellar and pons hypoplasia. Polymerase chain reaction for parvoviral
deoxyribonucleic acid was positive in cerebellar tissue. Cell-specific
immunolabeling was used to characterize the lesions, which were characterized
into 2 types. In type 1 lesions, the cortex was nearly agranular, with an
extremely thin molecular layer; the Purkinje cells were randomly placed and
oriented, and their stunted main dendrite produced a thorn-covered atrophic
dendritic tree; the basket cell axons ran randomly and had dysmorphic endings;
and myelinated fibers were severely reduced in folia axes. In type 2 lesions, the
cortex was hypogranular; the Purkinje cells were linearly organized, but their
main dendrite extended too far in the molecular layer before giving up smooth,
bent secondary dendrites; many basket cells were located along the cerebellar
surface, and their axons ran at right angle to the surface; myelinated fibers
were moderately reduced. Defects in climbing fiber synapse translocation and
elimination were evident in both types of lesion. This immunohistologic study
allowed a comparison between lesions in these spontaneous cerebellar hypoplasia
cases with those documented when using silver impregnation studies after
perinatal experimental cerebellar damage. Such a comparison is consistent with
viral infection that occurs before birth in all 3 cases. Progress in parvovirus
biology knowledge suggests that viral NS1 protein cytotoxicity might explain
degenerative changes in the Purkinje cells that were present, in addition to the
development defect.
PMID- 18039897
TI - Mammary invasive micropapillary carcinoma in cats: clinicopathologic features and
nuclear DNA content.
AB - Invasive micropapillary carcinoma (IMC) is a variant of infiltrating ductal
carcinoma of the breast associated with poor outcome. In this study, we report 16
carcinomas of the feline mammary gland displaying histologic features that
correspond to IMC of the breast in women. The clinicopathologic findings, overall
survival time, disease-free survival time, and nuclear DNA content of these cats
were compared with 65 more common invasive mammary carcinomas (other feline
mammary carcinoma [FMC]) of nonspecified type. IMC was associated with larger
tumor size, higher histologic grade (P < .0001), deeper muscle invasion (P =
.004), and more frequent lymphovascular invasion and nodal metastases (P = .009
and P = .001, respectively) than other FMCs. The aneuploid pattern was more
frequent in IMC lesions. IMCs were also associated with lower survival rates. In
summary, all cases of feline IMC were associated with clinicopathologic features
of high biologic aggressiveness and should be classified as independent
histologic types of FMC.
PMID- 18039898
TI - Equine multinodular pulmonary fibrosis: a newly recognized herpesvirus-associated
fibrotic lung disease.
AB - Pulmonary fibrosis and interstitial lung disease are poorly understood in horses;
the causes of such conditions are rarely identified. Equine herpesvirus 5 (EHV-5)
is a gamma-herpesvirus of horses that has not been associated with disease in
horses. Pathologic and virologic findings from 24 horses with progressive nodular
fibrotic lung disease associated with EHV-5 infection are described and compared
with 23 age-matched control animals. Gross lesions consisted of multiple nodules
of fibrosis throughout the lungs. Histologically, there was marked interstitial
fibrosis, often with preservation of an "alveolar-like" architecture, lined by
cuboidal epithelial cells. The airways contained primarily neutrophils and
macrophages. Rare macrophages contained large eosinophilic intranuclear viral
inclusion bodies; similar inclusion bodies were also found cytologically. The
inclusions were identified as herpesviral-like particles by transmission electron
microscopy in a single horse. In situ hybridization was used to detect EHV-5
nucleic acids within occasional macrophage nuclei. With polymerase chain reaction
(PCR), the herpesviral DNA polymerase gene was detected in 19/24 (79.2%) of
affected horses and 2/23 (8.7%) of the control horses. Virus genera-specific PCR
was used to detect EHV-5 in all of the affected horses and none of the control
horses. EHV-2 was detected in 8/24 (33.3%) of affected horses and 1/9 (11.1%) of
the control horses. This disease has not been reported before, and the authors
propose that based upon the characteristic gross and histologic findings, the
disease be known as equine multinodular pulmonary fibrosis. Further, we propose
that this newly described disease develops in association with infection by the
equine gamma-herpesvirus, EHV-5.
PMID- 18039899
TI - Lesion development and immunohistochemical changes in granulomas from cattle
experimentally infected with Mycobacterium bovis.
AB - Mycobacterium bovis, the causative agent of bovine tuberculosis, persists within
granulomas. Formation of granulomas involves a complex array of immune activation
and cellular migration. To examine temporal changes in granuloma development, we
inoculated 32 cattle with M. bovis of deer origin. Tissues from 4 calves each
were examined at 15, 28, 42, 60, 90, 180, 270, and 370 days after inoculation.
Granulomas in the medial retropharyngeal lymph node were staged (I-IV) on the
basis of cellular composition and the presence or absence of necrosis and
peripheral fibrosis. Immunohistochemistry for inducible nitric oxide synthase
(iNOS), CD68, CD4, CD8, and gamma/delta T cells was performed. Fifteen days after
inoculation only stage I granulomas were seen, while between 28 and 60 days,
there was a steady progression through granuloma stages such that by day 60,
granulomas of all 4 stages were seen. Acid-fast bacilli were present in moderate
to-large numbers in stage I granulomas 15-60 days after inoculation. Stage IV
granulomas contained large numbers of acid-fast bacteria. Abundant iNOS
immunoreactivity was associated with granulomas from day 15 through day 60 but
was minimal from day 90 to the termination of the experiment. The relative number
of CD4+ and CD68+ cells remained constant throughout the study. In contrast, at
time points >60 days, numbers of CD8+ and gamma/delta T cells diminished.
Tuberculous granulomas are dynamic lesions that follow an orderly progression
through disease stages. Diminished expression of iNOS and reduced numbers of CD8+
and gamma/delta T cells late in the progression of tuberculous granulomas may
represent a failure of the host response to control infection.
PMID- 18039900
TI - Immunohistochemical detection of multiple myeloma 1/interferon regulatory factor
4 (MUM1/IRF-4) in canine plasmacytoma: comparison with CD79a and CD20.
AB - Multiple myeloma oncogene 1/interferon regulatory factor 4 (MUM1/IRF4) is
involved in lymphoid cell differentiation, particularly in the production of
plasma cells. We examined the immunoreactivity of mouse monoclonal antibody Mum
1p to MUM1/IRF4 and compared it with expression of CD79a and CD20 in 109
plasmacytomas in 107 dogs. Tissues had been fixed in formalin and embedded in
paraffin. One hundred one of 109 (93.5%) tumors were positive for MUM1/IRF4. The
staining was nuclear with weak cytoplasmic reaction. Fifty-nine of 105 (56.2%)
plasmacytomas were positive for CD79a; only 21 of 108 (19.4%) cases were positive
for CD20. MUM1/IRF4 staining was performed on 139 other tumors including B- and T
cell lymphomas, histiocytic proliferations, mast cell tumors, and melanocytic
tumors. The only MUM1/IRF4-positive nonplasmacytic tumors were 10 B-cell
lymphomas and 1 anaplastic lymphoma. We conclude the following: 1) Antibody Mum
1p is very specific for canine plasmacytomas, 2) antibody Mum-1p is superior in
sensitivity and specificity to CD79a and CD20 for the identification of canine
plasmacytomas in formalin-fixed, paraffin-embedded tissues, 3) canine lymphomas
that express MUM1/IRF4 are few and usually of B-cell origin, 4) other canine
leukocytic and melanocytic tumors do not express MUM1/IRF4, and 5) prospective
studies are needed to determine whether the expression of MUM1/IRF4, particularly
in lymphomas, has prognostic significance.
PMID- 18039901
TI - Nasal and nasopharyngeal lymphoma in cats: 50 cases (1989-2005).
AB - Lymphoma is the most common nasal cavity tumor in cats, yet few reports
specifically address the anatomic, immunohistologic, and cytologic features of
this neoplasm. Fifty cats were diagnosed with lymphoma at necropsy, via biopsy or
by cytology alone. Ten cats displayed multiorgan involvement, and in 2 of these
the involvement was limited to the cerebellum and frontal cortex, respectively.
Of the tumors, 41 of 50 (82%) were classified as nasal lymphoma, 5 of 50 (10%)
were classified as nasopharyngeal lymphoma, and 4 of 50 (8%) involved both nasal
and nasopharyngeal tissue. Histologically, all were considered diffuse lymphoid
neoplasms and no cats displayed features of follicular lymphoma. Of the 44 cases
available for slide review by the pathologist, 40 of 44 (91%) were classified as
immunoblastic lymphoma, 2 of 44 (5%) as diffuse large cell, and 1 as diffuse
mixed; 1 was unclassified. Of the 45 cats for which immunohistochemical stains
were available, 32 were uniformly positive for CD79a, 7 were uniformly CD3
positive, and 6 had a mixed population of CD79a and CD3 cells. Epithelioptropism
was exhibited in 4 of 5 (80%) cats in which there was sufficient epithelium
present for evaluation. Of those 4, 3 were B-cell and 1 was a granulated T-cell
lymphoma. In the 21 cats which nasal cytology was performed, 15 were
cytologically diagnosed with lymphoma; the diagnoses in the remaining five cats
were inflammatory (n = 4), normal lymphoid tissue (n = 1), or nondiagnostic (n =
1). The most common biochemical abnormalities were panhyperproteinemia in 26/46
(57%) of cats and hypocholesterolemia in 11/46 (24%) of cats.
PMID- 18039902
TI - Expression of the embryonic transcription factor Oct4 in canine neoplasms: a
potential marker for stem cell subpopulations in neoplasia.
AB - Neoplastic cells and stem cells share several phenotypic characteristics.
Recently, numerous studies have identified adult stem cells that have been
hypothesized to be the cellular origin for cancer in several tissues. Oct4 has
been consistently associated with pluripotent or stemlike cells, and it is
hypothesized that Oct4 is necessary for the maintenance of pluripotency. We
hypothesize that Oct4-positive cells are present in all canine neoplasms and that
these subpopulations of neoplastic cells might represent "cancer stem" cells. To
test this hypothesis, 83 canine neoplasms representing 21 neoplastic diseases
were evaluated for Oct4 expression using immunohistochemistry. The results of
this study showed that all tumors included in this study contained a
subpopulation of Oct4-positive cells, although the proportion of Oct4-positive
cells and the intensity of immunoreactivity varied both within and between tumor
types. Subpopulations of Oct4-positive cells identified in these tumors are
likely to represent "cancer stem" cells and therefore might be responsible for
the maintenance and propagation of the tumors. If these cells represent cancer
stem cells, and are therefore responsible for the maintenance and growth of the
neoplastic cellular population, then these cells should serve as relevant
therapeutic targets and offer the greatest potential for curative treatment.
PMID- 18039903
TI - A soluble secreted glycoprotein (eCLCA1) is overexpressed due to goblet cell
hyperplasia and metaplasia in horses with recurrent airway obstruction.
AB - The equine putative chloride channel protein eCLCA1 is thought to be critically
involved in the pathogenesis of recurrent airway obstruction (RAO) via modulation
of the hydration of airway mucins. A recent study revealed a strong increase of
eCLCA1 messenger ribonucleic acid (mRNA) in the lungs of horses with RAO. In this
study, eCLCA1 protein and mRNA expression were quantified in airway goblet cells
of 9 horses affected with RAO and 9 control horses by using immunohistochemistry
and laser microdissection followed by real-time quantitative reverse
transcription polymerase chain reaction, respectively. Horses affected by RAO had
strong goblet cell metaplasia in bronchioles and goblet cell hyperplasia in
bronchi and the trachea. Expression of the eCLCA1 protein was tightly linked to
all airway goblet cells in both groups. No differences were detected in the ratio
of eCLCA1 mRNA copy numbers to the mRNA copy numbers of the housekeeping gene EF
1a per goblet cell between horses affected with RAO and unaffected horses,
suggesting that the increase in eCLCA1 expression is because of increased numbers
of goblet cells and not transcriptional upregulation of the eCLCA1 gene. In
addition, biochemical analyses of the eCLCA1 protein after in vitro translation
and heterologous expression in cultured cells revealed that eCLCA1 is a secreted
glycoprotein and not an integral membrane protein. Taken together, the results
suggest that eCLCA1 mediates its effect as a soluble constituent of airway mucins
that is overexpressed in RAO airways because of goblet cell hyperplasia and
metaplasia, not transcriptional upregulation.
PMID- 18039904
TI - Leukoencephalomyelopathy in specific pathogen-free cats.
AB - Investigations were carried out on 8 specific pathogen-free cats (5 male and 3
female) from a colony experiencing "outbreaks" of progressive hind limb ataxia in
190 of 540 at-risk animals ranging from 3 months to 3 years old. These studies
identified moderate to severe bilateral axonal degeneration within white matter
regions of the cervical, thoracic, and lumbar spinal cord and in the white matter
of the cerebral internal capsule and peduncle, in the roof of the fourth
ventricle and inferior cerebellar peduncle, and in the external arcuate and
pyramidal fibres of the medulla. There were varying degrees of accompanying
microgliosis, astrocytosis, and capillary hyperplasia. Such a clinicopathologic
syndrome, termed feline leukoencephalomyelopathy, has previously been described
in cat colonies in Britain and New Zealand, although its etiology has not been
determined. The degenerative nature of the lesions and their bilateral
distribution suggest possible nutritional, metabolic, or toxic causes. Although
these findings provide circumstantial evidence that the exclusive feeding of a
gamma-irradiated diet of reduced vitamin A content is associated with the
development of the neuronal lesions, further tissue micronutrient and antioxidant
analysis will be required to support this hypothesis.
PMID- 18039905
TI - Granulomatous and eosinophilic rhinitis in a cow caused by Pseudallescheria
boydii species complex (Anamorph Scedosporium apiospermum).
AB - A 2-year-old Hereford cow was presented to the teaching hospital for increased
respiratory noise and bilateral bloody nasal discharge. A nodular rhinitis was
detected clinically, and the nasal biopsy revealed a granulomatous and
eosinophilic rhinitis with intralesional fungi. The cow was euthanized due to
financial constraints. Grossly multifocal-to-coalescing, raised, ulcerated firm
nodules were present in both nares. Histologically, the lamina propria was
expanded by intense infiltrates of eosinophils, epithelioid macrophages,
multinucleate giant cells, lymphocytes, and plasma cells. Associated with the
inflammatory cells were variably sized, septate hyphae, 5-8 microm in diameter,
admixed with numerous, terminal conidia, 6-30 microm in diameter, with a discrete
outer wall identified by culture as Pseudallescheria boydii species complex
(anamorph Scedosporium apiospermum). The infection was localized to the nasal
cavity with no gross or microscopic evidence of a systemic dissemination.
PMID- 18039906
TI - Pulmonary lymphomatoid granulomatosis in a dog: evidence of immunophenotypic
diversity and relationship to human pulmonary lymphomatoid granulomatosis and
pulmonary Hodgkin's disease.
AB - We describe a 10-month-old, intact female American Cocker Spaniel with pulmonary
lymphomatoid granulomatosis (PLG). On clinical examination, this dog presented
with nonproductive dry cough, serous nasal discharge, dyspnea, and lack of
appetite. Radiography showed a consolidated lesion in the left cranial lung lobe.
Histopathologic examination showed a mixed population of atypical lymphoid cells
that had infiltrated into the pulmonary blood vessels angiocentrically. The
lymphocytes were CD3 positive, consistent with a pan-T-cell phenotype. The
lymphoid cells in the lesion were also positive for CD20cy and CD79a, indicative
of the presence of B cells. We also observed large Reed-Sternberg-like cells that
were positive for CD15 and CD30, similar to observations in human pulmonary
Hodgkin's disease (PHD). In conclusion, canine PLG in this Cocker Spaniel was
associated with B and T cells, which is first identified in a case of canine PLG.
It was histopathologically similar to human lymphomatoid granulomatosis and
immunophenotypically similar to human PHD.
PMID- 18039907
TI - Feline cutaneous viral papilloma associated with human papillomavirus type 9.
AB - A 12-year-old domestic Shorthaired cat developed a multinodular exophytic mass on
the dorsal surface of the nose. The skin surrounding the mass was nonpigmented,
and actinic keratosis had been diagnosed in this area 3 years previously.
Histologic examination revealed hyperkeratosis, epidermal hyperplasia,
papillomatosis, koilocytosis, and possible intranuclear viral inclusions.
Polymerase chain reaction amplified papillomaviral deoxyribonucleic acid from
formalin-fixed samples of the lesion. Sequencing of the amplicon revealed 98%
similarity to human papillomavirus (HPV) type 9. To the authors' knowledge, this
is only the second reported feline cutaneous viral papilloma. In addition, this
is the first report of a feline papilloma being associated with an HPV.
PMID- 18039908
TI - Mimosa tenuiflora as a cause of malformations in ruminants in the northeastern
Brazilian semiarid rangelands.
AB - Craniofacial anomalies, eye malformations, and permanent flexures of the
forelimbs are common malformations seen in ruminants grazing semiarid rangelands
of Northeastern Brazil. To investigate the cause of these malformations, we fed 2
suspected plants, Mimosa tenuiflora or Prosopis juliflora, to groups of 4
pregnant goats each. Fresh green M. tenuiflora was collected daily and fed ad
libitum to 4 goats in group 1 throughout pregnancy. This treatment group also
received a supplemental feed concentrate equivalent to 1% body weight. Four goats
in group 2 received a ration with 70% of P. juliflora pods and 30% hay throughout
pregnancy. Four control goats were fed supplemental feed concentrate (1% body
weight) and hay ad libitum throughout pregnancy. Goats treated with P. juliflora
pods and the control goats delivered 9 normal kids. The four goats that were fed
M. tenuiflora during pregnancy delivered 4 kids, 3 of which had abnormalities
similar to those observed in field cases, including cleft lip, unilateral corneal
opacity, ocular bilateral dermoids, buphthalmos with a cloudy brownish appearance
of the anterior chamber due to an iridal cyst, and segmental stenosis of the
colon. Malformations induced experimentally by M. tenuiflora were similar to
those observed in field cases, suggesting that M. tenuiflora is a cause of the
field cases observed in the Brazilian semiarid rangelands.
PMID- 18039909
TI - Calcium diacylglycerol guanine nucleotide exchange factor I (CalDAG-GEFI) gene
mutations in a thrombopathic Simmental calf.
AB - Simmental thrombopathia is an inherited platelet disorder that closely resembles
the platelet disorders described in Basset Hounds and Eskimo Spitz dogs.
Recently, two different mutations in the gene encoding calcium diacylglycerol
guanine nucleotide exchange factor I (CalDAG-GEFI) were described to be
associated with the Basset Hound and Spitz thrombopathia disorders, and a third
distinct mutation was identified in CalDAG-GEFI in thrombopathic Landseers of
European Continental Type. The gene encoding CalDAG-GEFI was sequenced using DNA
obtained from normal cattle and from a thrombopathic calf studied in Canada. The
affected calf was found to have a nucleotide change (c.701 T>C), which would
result in the substitution of a proline for a leucine within structurally
conserved region two (SCR2) of the catalytic domain of the protein. This change
is likely responsible for the thrombopathic phenotype observed in Simmental
cattle and underscores the critical nature of this signal transduction protein in
platelets.
PMID- 18039910
TI - Histologic and immunohistochemical characterization of a testicular mixed germ
cell sex cord-stromal tumor and a leydig cell tumor in a dog.
AB - Mixed germ cell sex cord-stromal tumors (MGSCTs) of the testis are rare in dogs.
We describe the histopathology and immunohistochemical characteristics of an
MGSCT associated with a Leydig cell tumor in a cryptorchid testis.
Histologically, MGSCT consisted of two nodules of seminiferous tubules lined by
germ cells and Sertoli cells in variable proportions. Germ cells had variable
size and nuclear features, with frequent giant cells. Germ cells were evenly
mixed with Sertoli cells or located in the center of tubules. Markers that
labeled mainly germ cells and few or no Sertoli or Leydig cells were calretinin,
KIT, and PGP 9.5. E-cadherin, GATA-4, inhibin-alpha (INH-alpha), and neuron
specific enolase (NSE) were predominantly detected in Sertoli cells, whereas
melan A was particularly expressed in Leydig cells and vimentin in all three cell
types. OCT3/4 was not detected in any cell type. Although more cases of canine
MGSCT need to be examined, our results suggest that an immunohistochemical panel
of E-cadherin, GATA-4, INH-alpha, KIT, NSE, PGP 9.5, and melan A will help
distinguish the three main cell types in canine testicular germ cell and sex cord
stromal tumors.
PMID- 18039911
TI - Immunohistochemical demonstration of the putative canine distemper virus receptor
CD150 in dogs with and without distemper.
AB - Signaling lymphocyte activation molecule (SLAM) or CD150 can function as a
receptor for the canine distemper virus (CDV) in vitro. The expression of SLAM
was studied using immunohistochemistry in order to evaluate the presence and
distribution of the receptor in dogs in vivo. Additionally, receptor expression
was assessed after experimental infection of dogs with CDV. In 7 control dogs
without distemper virus, the receptor was found in various tissues, mostly on
cells morphologically identified as lymphocytes and macrophages. In 7 dogs with
early distemper lesions characterized by presence of the virus, higher numbers of
SLAM-expressing cells were found in multiple tissues recognized as targets of CDV
compared with those in control dogs. These findings suggest that SLAM, a putative
distemper receptor, is expressed in dogs in vivo. Additionally, virus infection
is associated with up-regulation of SLAM, potentially causing an amplification of
virus in the host.
PMID- 18039912
TI - Congenital unilateral absence of the corticospinal tract in a Siamese cat.
AB - A Siamese kitten presented with mild gait dysfunction associated with periodic
circling. Pathologic investigation revealed unilateral (right-sided) absence of
the corticospinal (pyramidal) tract throughout its normal course. Although an
infectious cause cannot be completely ruled out a genetic etiology was suspected.
PMID- 18039913
TI - Subcutaneous neoplasms of the ventral abdomen with features of adrenocortical
tumors in two ferrets.
AB - A ventral abdominal subcutaneous mass was removed from each of 2 young adult
spayed female ferrets. In both cases, the neoplasms were composed of islands of
polygonal cells separated by interlacing streams of spindloid cells reminiscent
of ferret adrenocortical tumors with smooth muscle proliferation.
Immunohistochemically, the polygonal cells demonstrated strong cytoplasmic
reactivity for inhibin and weak cytoplasmic reactivity for pancytokeratin and S
100 protein. Spindloid cells demonstrated strong cytoplasmic reactivity for alpha
smooth muscle actin, muscle-specific actin, desmin, and glial fibrillary acidic
[corrected] protein. Ultrastructurally, the polygonal cells contained numerous
intracytoplasmic clear vacuoles, mitochondria, scant rough endoplasmic reticulum,
and few intermediate filaments. In one tumor, vesicular tubular mitochondria were
found in polygonal cells. The spindloid cells contained numerous aggregates of
parallel intermediate filaments. The histologic, immunohistochemical, and
ultrastructural findings are suggestive of adrenocortical tumors with smooth
muscle proliferation, but cannot be differentiated from an ovarian gonadal
stromal tumor. Neither ferret had a clinically detected primary adrenal gland
tumor or clinical signs of adrenal-associated endocrinopathy.
PMID- 18039914
TI - Sarcocystis neurona encephalitis in a dog.
AB - A 1.5-year-old male Feist dog was presented to a veterinarian for reluctance to
stand on the hind legs. Treatment included dexamethasone and resulted in a
favorable initial response, but posterior paresis returned and progressed to
recumbency, hyperesthesia, and attempts to bite the owner. The dog was
euthanized. The brain was negative for rabies by fluorescent antibody analysis.
Multiple foci of encephalitis were found in the cerebrum and particularly in the
cerebellum. Protozoa morphologically consistent with Sarcocystis sp. were
identified at sites of intense inflammation and malacia. Additionally, multiple
schizonts were identified in areas without inflammation. Immunohistochemistry
using both polyclonal and monoclonal antibodies specific for Sarcocystis neurona
was strongly positive. No reaction to polyclonal antisera for Toxoplasma gondii
or Neospora caninum was found. Polymerase chain reaction confirmed that the
protozoa were S. neurona. Additional aberrant hosts for S. neurona other than
horses have been identified, but S. neurona encephalitis has not been documented
previously in the dog.
PMID- 18039915
TI - Over 60% of NIH extramural funding involves animal-related research.
PMID- 18039916
TI - Hamster check pouch--not a mucosal surface!
PMID- 18039917
TI - Novel chimeric genotype 1b/2a hepatitis C virus suitable for high-throughput
screening.
AB - A major obstacle in hepatitis C virus (HCV) research has been the lack of a
permissive cell culture system that produces infectious viral particles.
Significant breakthroughs have been achieved lately in establishing such culture
systems. Yet to date, there are no reports of the applications of any of these
systems in HCV drug screening. Here, we report the generation of two
monocistronic, chimeric genotype 1 full-length HCV genome molecules. These
molecules, C33J-Y835C-UBI and C33J-Y835C-FMDV2A, both contain the structural
protein region from genotype 1 (subtype 1b, Con1) and the remaining region from
the genotype 2a (JFH1) clone. Both contain the humanized Renilla luciferase
reporter gene which is separated from the rest of the HCV open reading frame by
two different cleavage sites. The viral RNAs replicated efficiently in
transfected cells. Viral particles produced were infectious in naive Huh7.5
cells, and the infectivity could be blocked by monoclonal antibody against a
putative HCV entry cofactor, CD81. A pilot high-throughput screen of 900 unknown
compounds was executed by both the genotype 2a subgenomic replicon system and the
infectious system. Thirty-one compounds were identified as hits by both systems,
whereas 78 compounds were identified as hits only for the infectious system,
suggesting that the infectious system is capable of identifying inhibitors
targeting the viral structural proteins and steps involving them in the viral
life cycle. The infectious HCV system developed here provides a useful and
versatile tool which should greatly facilitate the identification of HCV
inhibitors currently not identified by the subgenomic replicon system.
PMID- 18039918
TI - Effect of resiquimod 0.01% gel on lesion healing and viral shedding when applied
to genital herpes lesions.
AB - Resiquimod, a Toll-like receptor 7/8 agonist developed as a topical treatment to
decrease recurrences of anogenital herpes, induces proinflammatory cytokines that
may delay lesion healing. Adults with frequently recurring anogenital herpes were
randomized within 24 h of onset of a recurrence to vehicle or resiquimod 0.01%
gel two times per week for 3 weeks. Subjects underwent daily lesion assessments
and sampling for herpes simplex virus DNA PCR for 21 days or until investigator
determined healing of lesion(s). Eighty-two subjects with a mean age of 39 +/-
10.5 years and a median of seven recurrences per year were enrolled in the study.
The qualifying recurrence was positive by PCR for herpes simplex virus in 68% of
subjects. No difference was observed between the vehicle (39 subjects) and
resiquimod (43 subjects) groups with respect to time to healing (median of 7.0
days versus median of 6.5 days, respectively; Cox proportional hazard model ratio
of 1.229; 95% confidence interval, 0.778 to 1.942; P = 0.376). The distributions
of maximum severity scores for any investigator-assessed local skin signs and for
subject-assessed local symptoms were similar between treatment groups (P = 0.807
and P = 0.103, respectively). For subjects with at least one positive PCR result,
no difference was observed for time to cessation of viral shedding (median of 7
days versus median of 5 days for vehicle and resiquimod groups, respectively; Cox
proportional hazard model ratio of 1.471; 95% confidence interval, 0.786 to
2.754; P = 0.227). Application of resiquimod 0.01% two times per week for 3 weeks
did not delay the healing of genital herpes lesions or reduce acute viral
shedding.
PMID- 18039919
TI - In vitro antifungal susceptibilities of five species of sporothrix.
AB - Ninety-two isolates belonging to five species of the Sporothrix schenckii complex
were tested in vitro against 12 antifungal agents, using a reference
microdilution method. There were significant differences among the species;
Sporothrix brasiliensis was the species that showed the best response to
antifungals, and S. mexicana had the worst response. In general, terbinafine was
the most active drug, followed by ketoconazole and posaconazole.
PMID- 18039920
TI - Cytotoxic activity of N-chlorotaurine on Acanthamoeba spp.
AB - Acanthamoeba spp. are the causative agents of Acanthamoeba keratitis (AK), which
mainly occurs in contact lens wearers, and of skin lesions, granulomatous amoebic
encephalitis (GAE), and disseminating diseases in the immunocompromised host. AK
therapy is complex and irritating for the eye, skin lesions are difficult to
treat, and there is no effective treatment for GAE. Therefore, new anti
Acanthamoeba drugs are needed. We investigated the anti-Acanthamoeba activity of
N-chlorotaurine (NCT), an endogenous mild antiseptic. It was shown that NCT has
amoebicidal qualities, both in phosphate-buffered saline (PBS) and in amoebic
culture medium. After 6 h of treatment with 10 mM NCT in PBS, the levels of
trophozoites of all strains investigated already showed at least a 2-log
reduction. When the trophozoites were treated with 20 mM NCT in culture medium,
they showed a 2-log reduction after 24 h. The addition of NH(4)Cl to NCT led to a
faster decrease in the numbers of living cells, if tests were carried out in PBS.
A delay of excystation was observed when cysts were treated with 55 mM (1%) NCT
in culture medium. A complete failure of excystment was the result of treatment
with 1% NCT plus 1% NH(4)Cl in PBS. Altogether, NCT clearly demonstrated
amoebicidal activity at concentrations well tolerated by human tissues and might
be useful as a topical drug for the treatment of Acanthamoeba infections. The
addition of ammonium chloride can be considered to enhance the activity.
PMID- 18039921
TI - NS3 Peptide, a novel potent hepatitis C virus NS3 helicase inhibitor: its
mechanism of action and antiviral activity in the replicon system.
AB - Hepatitis C virus (HCV) chronic infections represent one of the major and still
unresolved health problems because of low efficiency and high cost of current
therapy. Therefore, our studies centered on a viral protein, the NS3 helicase,
whose activity is indispensable for replication of the viral RNA, and on its
peptide inhibitor that corresponds to a highly conserved arginine-rich sequence
of domain 2 of the helicase. The NS3 peptide (p14) was expressed in bacteria. Its
50% inhibitory activity in a fluorometric helicase assay corresponded to 725 nM,
while the ATPase activity of NS3 was not affected. Nuclear magnetic resonance
(NMR) studies of peptide-protein interactions using the relaxation filtering
technique revealed that p14 binds directly to the full-length helicase and its
separately expressed domain 1 but not to domain 2. Changes in the NMR chemical
shift of backbone amide nuclei ((1)H and (15)N) of domain 1 or p14, measured
during complex formation, were used to identify the principal amino acids of both
domain 1 and the peptide engaged in their interaction. In the proposed interplay
model, p14 contacts the clefts between domains 1 and 2, as well as between
domains 1 and 3, preventing substrate binding. This interaction is strongly
supported by cross-linking experiments, as well as by kinetic studies performed
using a fluorometric assay. The antiviral activity of p14 was tested in a
subgenomic HCV replicon assay that showed that the peptide at micromolar
concentrations can reduce HCV RNA replication.
PMID- 18039922
TI - Factors associated with the selection of mutations conferring resistance to
protease inhibitors (PIs) in PI-experienced patients displaying treatment failure
on darunavir.
AB - The objective of this study was to characterize the mutations selected by
darunavir (DRV) use in protease inhibitor (PI)-experienced patients and the
associated factors. We analyzed treatment failure in 54 PI-experienced human
immunodeficiency virus (HIV)-infected patients on a DRV- and ritonavir-containing
regimen. Viral genotyping was carried out at the baseline, at between 1 and 3
months of treatment, and at between 3 and 6 months of treatment to search for the
selection of mutations conferring resistance to PIs. The median baseline HIV RNA
level was 4.9 log(10) copies/ml, and the median CD4 count was 87 cells/mm(3). At
the baseline, the median numbers of resistance mutations were as follows: 3 DRV
resistance mutations, 4 major PI resistance mutations, and 10 minor PI resistance
mutations. The most common mutations that emerged at rebound included V32I (44%),
I54M/L (24%), L33F (25%), I84V (21%), and L89V (12%). Multivariate analysis
showed that higher baseline HIV RNA levels and smaller numbers of nucleoside
reverse transcriptase inhibitor simultaneously used with DRV were associated with
a higher risk of DRV resistance mutation selection. By contrast, L76V, a known
DRV resistance mutation, was found to decrease the risk of selection of another
DRV resistance mutation. The occurrence of virological failure while a patient
was on DRV was associated with the selection of mutations that increased the
level of DRV resistance without affecting susceptibility to tipranavir (TPV). In
these PI-treated patients who displayed treatment failure while they were on a
DRV-containing regimen, we confirmed the set of emerging mutations associated
with DRV failure and identified the factors associated with the selection of
these mutations. TPV susceptibility does not seem to be affected by the selection
of a DRV resistance mutation.
PMID- 18039923
TI - Inhibitory activities of 11 antimicrobial agents and bactericidal activities of
vancomycin and daptomycin against invasive methicillin-resistant Staphylococcus
aureus isolates obtained from 1999 through 2006.
AB - We assessed MICs and minimal bactericidal concentrations of vancomycin,
daptomycin, and nine other antimicrobials against methicillin-resistant
Staphylococcus aureus isolates obtained from 1999 through 2006. No vancomycin,
daptomycin, or linezolid resistance was observed. Clindamycin, gentamicin, and
ciprofloxacin resistance decreased significantly. No tolerance to vancomycin or
daptomycin was observed, nor was MIC creep seen.
PMID- 18039924
TI - In vitro activity of cefpodoxime, an expanded-spectrum cephalosporin, against
Salmonella enterica serotype typhi.
PMID- 18039925
TI - Chronic kidney disease after nonrenal solid-organ transplantation.
AB - Chronic kidney disease (CKD) is a common complication after nonrenal solid-organ
transplantation. The risk for CKD is influenced by many factors, some of which
have a direct impact on how such patients are treated in the pre-, peri-, and
posttransplantation settings. This review describes hazards for acute and chronic
kidney injury, with particular emphasis on calcineurin inhibitor-mediated
nephrotoxicity. Rather than a detailed description of management issues that are
common to the general CKD population, highlighted are aspects that are more
specific to nonrenal solid-organ transplant recipients with a focus on liver,
heart, and lung recipients. Strategies to minimize nephrotoxic insults and retard
progressive renal injury are discussed, as are issues that are pertinent to
dialysis and transplantation. Finally, future approaches to prevent and treat CKD
without compromising function of the transplanted organ are addressed.
PMID- 18039926
TI - Epistatic connections between microphthalmia-associated transcription factor and
endothelin signaling in Waardenburg syndrome and other pigmentary disorders.
AB - Waardenburg syndrome (WS) is an inherited sensorineural deafness condition in
humans caused by melanocyte deficiencies in the inner ear and forelock. Mutation
of microphthalmia-associated transcription factor (MITF) is known to produce WS
type IIA whereas mutations of either endothelin (EDN) or its receptor endothelin
receptor B (EDNRB) produce WS type IV. However, a link between MITF
haploinsufficiency and EDN signaling has not yet been established. Here we
demonstrate mechanistic connections between EDN and MITF and their functional
importance in melanocytes. Addition of EDN to cultured human melanocytes
stimulated the phosphorylation of MITF in an EDNRB-dependent manner, which was
completely abolished by mitogen-activated protein kinase kinase inhibition. The
expression of melanocyte-specific MITF mRNA transcripts was markedly augmented
after incubation with EDN1 and was followed by increased expression of MITF
protein. Up-regulated expression of MITF was found to be mediated via both the
mitogen-activated protein kinase-p90 ribosomal S6 kinase-cAMP response element
binding protein (CREB) and cAMP-protein kinase A-CREB pathways. In addition,
EDNRB expression itself was seen to be dependent on MITF. The functional
importance of these connections is illustrated by the ability of EDN to stimulate
expression of melanocytic pigmentation and proliferation markers in an MITF
dependent fashion. Collectively these data provide mechanistic and epistatic
links between MITF and EDN/EDNRB, critical melanocytic survival factors and WS
genes.
PMID- 18039927
TI - ATP-binding cassette transporters G1 and G4 mediate cholesterol and desmosterol
efflux to HDL and regulate sterol accumulation in the brain.
AB - Transporters in the ABCG family appear to be involved in the cellular excretion
of cholesterol and other sterols in a cell- and tissue-specific fashion.
Overexpression of ATP-binding cassette transporters G1 (Abcg1) and G4 (Abcg4) can
promote cellular cholesterol efflux to high-density lipoprotein (HDL), but the in
vivo functions of Abcg4 are poorly understood. We used mice with knockouts of
Abcg1 or Abcg4 singly or together to further elucidate the function of these
transporters. Abcg1 and Abcg4 are highly expressed in the brain and are found in
both astrocytes and neurons. Whereas Abcg1(-/-) or Abcg4(-/-) mice showed
essentially normal levels of brain sterols, in Abcg1(-/-)/Abcg4(-/-) mice, levels
of several sterol intermediates in the cholesterol biosynthetic pathway, namely
desmosterol, lathosterol, and lanosterol, as well as 27-OH cholesterol, were
increased 2- to 3-fold. Overexpression of Abcg1 or Abcg4 promoted efflux of
desmosterol and cholesterol from cells to HDL, and combined deficiency of these
transporters led to defective efflux and accumulation of these sterols in primary
astrocytes. Consistent with defective efflux and sterol accumulation, cholesterol
biosynthesis was reduced in Abcg1(-/-)/Abcg4(-/-) astrocytes. The accumulation of
desmosterol, a known liver-X receptor (LXR) activator, was associated with
increased expression of LXR target genes, including ATP-binding cassette
transporter A1, and increased apolipoprotein E secretion in Abcg1(-/-)/Abcg4(-/-)
astrocytes. Our findings provide the first in vivo demonstration of a role for
Abcg4 in sterol efflux in the brain and show that Abcg1 and Abcg4 have
overlapping functions in astrocytes, promoting efflux of cholesterol,
desmosterol, and possibly other sterol biosynthetic intermediates to HDL.
PMID- 18039928
TI - Synthesis of complement protein C3 in the kidney is an important mediator of
local tissue injury.
AB - Increased exposure of the tubular epithelium to filtered protein is a proposed
mechanism of progressive renal failure associated with glomerular disease, but
how this protein overload translates into tubular damage remains unclear. We have
examined a model of adriamycin-induced proteinuria to determine the effect of
locally synthesized C3, the central proinflammatory protein of the complement
cascade. C3-/- kidney isografts placed in wild-type C3+/+ mice were protected
from proteinuria-associated complement activation, tubular damage, and
progressive renal failure despite the presence of abundant circulating C3. The
quantity of urinary protein was unaffected by the absence of C3, and thus the
influence of C3 was not explained by alteration in the filtered protein load.
These results suggest that local synthesis of complement from renal epithelial
cells is a critical mediator of tubular damage in proteinuria-associated renal
disease. Our results concur with previous findings of increased synthesis of C3
in human tubular epithelium exposed to high concentrations of protein in vitro.
Because progressive renal damage in humans associates with proteinuria regardless
of cause, our findings have implications for the pathogenesis and treatment of
renal failure from many common causes, immunological and nonimmunological.
PMID- 18039929
TI - Phosphatase-mediated crosstalk between MAPK signaling pathways in the regulation
of cell survival.
AB - Mitogen-activated protein kinase (MAPK) pathways constitute a large modular
network that regulates a variety of physiological processes, such as cell growth,
differentiation, and apoptotic cell death. The function of the ERK pathway has
been depicted as survival-promoting, in essence by opposing the proapoptotic
activity of the stress-activated c-Jun NH(2)-terminal kinase (JNK)/p38 MAPK
pathways. However, recently published work suggests that extracellular regulated
kinase (ERK) pathway activity is suppressed by JNK/p38 kinases during apoptosis
induction. In this review, we will summarize the current knowledge about JNK/p38
mediated mechanisms that negatively regulate the ERK pathway. In particular, we
will focus on phosphatases (PP2A, MKPs) as inhibitors of ERK pathway activity in
regulating apoptosis. A model proposed in this review places the negative
regulation of the ERK pathway in a central position for the cellular decision
making process that determines whether cells will live or die in response to
apoptosis-promoting signals. In addition, we will discuss the potential
functional relevance of negative regulation of ERK pathway activity, for
physiological and pathological conditions (e.g., cellular transformation).
PMID- 18039930
TI - Adenovirus RIDalpha regulates endosome maturation by mimicking GTP-Rab7.
AB - The small guanosine triphosphatase Rab7 regulates late endocytic trafficking.
Rab7-interacting lysosomal protein (RILP) and oxysterol-binding protein-related
protein 1L (ORP1L) are guanosine triphosphate (GTP)-Rab7 effectors that instigate
minus end-directed microtubule transport. We demonstrate that RILP and ORP1L both
interact with the group C adenovirus protein known as receptor internalization
and degradation alpha (RIDalpha), which was previously shown to clear the cell
surface of several membrane proteins, including the epidermal growth factor
receptor and Fas (Carlin, C.R., A.E. Tollefson, H.A. Brady, B.L. Hoffman, and
W.S. Wold. 1989. Cell. 57:135-144; Shisler, J., C. Yang, B. Walter, C.F. Ware,
and L.R. Gooding. 1997. J. Virol. 71:8299-8306). RIDalpha localizes to endocytic
vesicles but is not homologous to Rab7 and is not catalytically active. We show
that RIDalpha compensates for reduced Rab7 or dominant-negative (DN) Rab7(T22N)
expression. In vitro, Cu(2+) binding to RIDalpha residues His75 and His76
facilitates the RILP interaction. Site-directed mutagenesis of these His residues
results in the loss of RIDalpha-RILP interaction and RIDalpha activity in cells.
Additionally, expression of the RILP DN C-terminal region hinders RIDalpha
activity during an acute adenovirus infection. We conclude that RIDalpha
coordinates recruitment of these GTP-Rab7 effectors to compartments that would
ordinarily be perceived as early endosomes, thereby promoting the degradation of
selected cargo.
PMID- 18039931
TI - Endothelin-converting enzyme-1 regulates endosomal sorting of calcitonin receptor
like receptor and beta-arrestins.
AB - Although cell surface metalloendopeptidases degrade neuropeptides in the
extracellular fluid to terminate signaling, the function of peptidases in
endosomes is unclear. We report that isoforms of endothelin-converting enzyme-1
(ECE-1a-d) are present in early endosomes, where they degrade neuropeptides and
regulate post-endocytic sorting of receptors. Calcitonin gene-related peptide
(CGRP) co-internalizes with calcitonin receptor-like receptor (CLR), receptor
activity-modifying protein 1 (RAMP1), beta-arrestin2, and ECE-1 to early
endosomes, where ECE-1 degrades CGRP. CGRP degradation promotes CLR/RAMP1
recycling and beta-arrestin2 redistribution to the cytosol. ECE-1 inhibition or
knockdown traps CLR/RAMP1 and beta-arrestin2 in endosomes and inhibits CLR/RAMP1
recycling and resensitization, whereas ECE-1 overexpression has the opposite
effect. ECE-1 does not regulate either the resensitization of receptors for
peptides that are not ECE-1 substrates (e.g., angiotensin II), or the recycling
of the bradykinin B(2) receptor, which transiently interacts with beta-arrestins.
We propose a mechanism by which endosomal ECE-1 degrades neuropeptides in
endosomes to disrupt the peptide/receptor/beta-arrestin complex, freeing
internalized receptors from beta-arrestins and promoting recycling and
resensitization.
PMID- 18039932
TI - Regulation of Rap1 activity by RapGAP1 controls cell adhesion at the front of
chemotaxing cells.
AB - Spatial and temporal regulation of Rap1 is required for proper myosin assembly
and cell adhesion during cell migration in Dictyostelium discoideum. Here, we
identify a Rap1 guanosine triphosphatase-activating protein (GAP; RapGAP1) that
helps mediate cell adhesion by negatively regulating Rap1 at the leading edge.
Defects in spatial regulation of the cell attachment at the leading edge in
rapGAP1- (null) cells or cells overexpressing RapGAP1 (RapGAP1(OE)) lead to
defective chemotaxis. rapGAP1- cells have extended chemoattractant-mediated Rap1
activation kinetics and decreased MyoII assembly, whereas RapGAP1(OE) cells show
reciprocal phenotypes. We see that RapGAP1 translocates to the cell cortex in
response to chemoattractant stimulation and localizes to the leading edge of
chemotaxing cells via an F-actin-dependent pathway. RapGAP1 localization is
negatively regulated by Ctx, an F-actin bundling protein that functions during
cytokinesis. Loss of Ctx leads to constitutive and uniform RapGAP1 cortical
localization. We suggest that RapGAP1 functions in the spatial and temporal
regulation of attachment sites through MyoII assembly via regulation of Rap1
guanosine triphosphate.
PMID- 18039933
TI - Telomere anchoring at the nuclear periphery requires the budding yeast Sad1-UNC
84 domain protein Mps3.
AB - Positioning of telomeres at the nuclear periphery can have dramatic effects on
gene expression by establishment of heritable, transcriptionally repressive
subdomains. However, little is known about the integral membrane proteins that
mediate telomere tethering at the nuclear envelope. Here, we find a previously
unrecognized function for the Saccharomyces cerevisiae Sad1-UNC-84 domain protein
Mps3 in regulating telomere positioning in mitotic cells. Our data demonstrate
that the nucleoplasmic N-terminal acidic domain of Mps3 is not essential for
viability. However, this acidic domain is necessary and sufficient for telomere
tethering during S phase and the silencing of reporter constructs integrated at
telomeres. We show that this is caused by the role of the Mps3 acidic domain in
binding and localization of the silent information regulator protein Sir4 to the
nuclear periphery. Thus, Mps3 functions as an integral membrane anchor for
telomeres and is a novel nuclear receptor for the Sir4 pathway of telomere
tethering and gene inactivation.
PMID- 18039934
TI - Alternative function for the mitochondrial SAM complex in biogenesis of alpha
helical TOM proteins.
AB - The mitochondrial outer membrane contains two preprotein translocases: the
general translocase of outer membrane (TOM) and the beta-barrel-specific sorting
and assembly machinery (SAM). TOM functions as the central entry gate for nuclear
encoded proteins. The channel-forming Tom40 is a beta-barrel protein, whereas all
Tom receptors and small Tom proteins are membrane anchored by a transmembrane
alpha-helical segment in their N- or C-terminal portion. Synthesis of Tom
precursors takes place in the cytosol, and their import occurs via preexisting
TOM complexes. The precursor of Tom40 is then transferred to SAM for membrane
insertion and assembly. Unexpectedly, we find that the biogenesis of alpha
helical Tom proteins with a membrane anchor in the C-terminal portion is SAM
dependent. Each SAM protein is necessary for efficient membrane integration of
the receptor Tom22, whereas assembly of the small Tom proteins depends on Sam37.
Thus, the substrate specificity of SAM is not restricted to beta-barrel proteins
but also includes the majority of alpha-helical Tom proteins.
PMID- 18039935
TI - NHK-1 phosphorylates BAF to allow karyosome formation in the Drosophila oocyte
nucleus.
AB - Accurate chromosome segregation in meiosis requires dynamic changes in chromatin
organization. In Drosophila melanogaster, upon completion of recombination,
meiotic chromosomes form a single, compact cluster called the karyosome in an
enlarged oocyte nucleus. This clustering is also found in humans; however, the
mechanisms underlying karyosome formation are not understood. In this study, we
report that phosphorylation of barrier to autointegration factor (BAF) by the
conserved kinase nucleosomal histone kinase-1 (NHK-1; Drosophila Vrk1) has a
critical function in karyosome formation. We find that the noncatalytic domain of
NHK-1 is crucial for its kinase activity toward BAF, a protein that acts as a
linker between chromatin and the nuclear envelope. A reduction of NHK-1 or
expression of nonphosphorylatable BAF results in ectopic association of
chromosomes with the nuclear envelope in oocytes. We propose that BAF
phosphorylation by NHK-1 disrupts anchorage of chromosomes to the nuclear
envelope, allowing karyosome formation in oocytes. These data provide the first
mechanistic insight into how the karyosome forms.
PMID- 18039936
TI - MCAK facilitates chromosome movement by promoting kinetochore microtubule
turnover.
AB - Mitotic centromere-associated kinesin (MCAK)/Kif2C is the most potent microtubule
(MT)-destabilizing enzyme identified thus far. However, MCAK's function at the
centromere has remained mechanistically elusive because of interference from
cytoplasmic MCAK's global regulation of MT dynamics. In this study, we present
MCAK chimeras and mutants designed to target centromere-associated MCAK for
mechanistic analysis. Live imaging reveals that depletion of centromere
associated MCAK considerably decreases the directional coordination between
sister kinetochores. Sister centromere directional antagonism results in
decreased movement speed and increased tension. Sister centromeres appear unable
to detach from kinetochore MTs efficiently in response to directional switching
cues during oscillatory movement. These effects are reversed by anchoring ectopic
MCAK to the centromere. We propose that MCAK increases the turnover of
kinetochore MTs at all centromeres to coordinate directional switching between
sister centromeres and facilitate smooth translocation. This may contribute to
error correction during chromosome segregation either directly via slow MT
turnover or indirectly by mechanical release of MTs during facilitated movement.
PMID- 18039937
TI - In vivo visualization of type II plasmid segregation: bacterial actin filaments
pushing plasmids.
AB - Type II par operons harness polymerization of the dynamically unstable actin-like
protein ParM to segregate low-copy plasmids in rod-shaped bacteria. In this
study, we use time-lapse fluorescence microscopy to follow plasmid dynamics and
ParM assembly in Escherichia coli. Plasmids lacking a par operon undergo confined
diffusion with a diffusion constant of 5 x 10(-5) microm(2)/s and a confinement
radius of 0.28 microm. Single par-containing plasmids also move diffusively but
with a larger diffusion constant (4 x 10(-4) microm(2)/s) and confinement radius
(0.42 microm). ParM filaments are dynamically unstable in vivo and form spindles
that link pairs of par-containing plasmids and drive them rapidly (3.1
microm/min) toward opposite poles of the cell. After reaching the poles, ParM
filaments rapidly and completely depolymerize. After ParM disassembly, segregated
plasmids resume diffusive motion, often encountering each other many times and
undergoing multiple rounds of ParM-dependent segregation in a single cell cycle.
We propose that in addition to driving segregation, the par operon enables
plasmids to search space and find sister plasmids more effectively.
PMID- 18039938
TI - Plasmid segregation: spatial awareness at the molecular level.
AB - In bacteria, low-copy number plasmids ensure their stable inheritance by
partition loci (par), which actively distribute plasmid replicates to each side
of the cell division plane. Using time-lapse fluorescence microscopic tracking of
segregating plasmid molecules, a new study provides novel insight into the
workings of the par system from Escherichia coli plasmid R1. Despite its relative
simplicity, the plasmid partition spindle shares characteristics with the mitotic
machinery of eukaryotic cells.
PMID- 18039939
TI - Target of rapamycin and LST8 proteins associate with membranes from the
endoplasmic reticulum in the unicellular green alga Chlamydomonas reinhardtii.
AB - The highly conserved target of rapamycin (TOR) kinase is a central controller of
cell growth in all eukaryotes. TOR exists in two functionally and structurally
distinct complexes, termed TOR complex 1 (TORC1) and TORC2. LST8 is a TOR
interacting protein that is present in both TORC1 and TORC2. Here we report the
identification and characterization of TOR and LST8 in large protein complexes in
the model photosynthetic green alga Chlamydomonas reinhardtii. We demonstrate
that Chlamydomonas LST8 is part of a rapamycin-sensitive TOR complex in this
green alga. Biochemical fractionation and indirect immunofluorescence microscopy
studies indicate that TOR and LST8 exist in high-molecular-mass complexes that
associate with microsomal membranes and are particularly abundant in the peri
basal body region in Chlamydomonas cells. A Saccharomyces cerevisiae
complementation assay demonstrates that Chlamydomonas LST8 is able to
functionally and structurally replace endogenous yeast LST8 and allows us to
propose that binding of LST8 to TOR is essential for cell growth.
PMID- 18039940
TI - Extracellular vesicles produced by Cryptococcus neoformans contain protein
components associated with virulence.
AB - Cryptococcus neoformans produces vesicles containing its major virulence factor,
the capsular polysaccharide glucuronoxylomannan (GXM). These vesicles cross the
cell wall to reach the extracellular space, where the polysaccharide is
supposedly used for capsule growth or delivered into host tissues. In the present
study, we characterized vesicle morphology and protein composition by a
combination of techniques including electron microscopy, proteomics, enzymatic
activity, and serological reactivity. Secretory vesicles in C. neoformans appear
to be correlated with exosome-like compartments derived from multivesicular
bodies. Extracellular vesicles manifested various sizes and morphologies,
including electron-lucid membrane bodies and electron-dense vesicles. Seventy-six
proteins were identified by proteomic analysis, including several related to
virulence and protection against oxidative stress. Biochemical tests indicated
laccase and urease activities in vesicles. In addition, different vesicle
proteins were recognized by sera from patients with cryptococcosis. These results
reveal an efficient and general mechanism of secretion of pathogenesis-related
molecules in C. neoformans, suggesting that extracellular vesicles function as
"virulence bags" that deliver a concentrated payload of fungal products to host
effector cells and tissues.
PMID- 18039941
TI - Vegetative hyphal fusion is not essential for plant infection by Fusarium
oxysporum.
AB - Vegetative hyphal fusion (VHF) is a ubiquitous phenomenon in filamentous fungi
whose biological role is poorly understood. In Neurospora crassa, the mitogen
activated protein kinase (MAPK) Mak-2 and the WW domain protein So are required
for efficient VHF. A MAPK orthologous to Mak-2, Fmk1, was previously shown to be
essential for root penetration and pathogenicity of the vascular wilt fungus
Fusarium oxysporum. Here we took a genetic approach to test two hypotheses, that
(i) VHF and plant infection have signaling mechanisms in common and (ii) VHF is
required for efficient plant infection. F. oxysporum mutants lacking either Fmk1
or Fso1, an orthologue of N. crassa So, were impaired in the fusion of vegetative
hyphae and microconidial germ tubes. Deltafmk1 Deltafso1 double mutants exhibited
a more severe fusion phenotype than either single mutant, indicating that the two
components function in distinct pathways. Both Deltafso1 and Deltafmk1 strains
were impaired in the formation of hyphal networks on the root surface, a process
associated with extensive VHF. The Deltafso1 mutants exhibited slightly reduced
virulence in tomato fruit infection assays but, in contrast to Deltafmk1 strains,
were still able to perform functions associated with invasive growth, such as
secretion of pectinolytic enzymes or penetration of cellophane sheets, and to
infect tomato plants. Thus, although VHF per se is not essential for plant
infection, both processes have some signaling components in common, suggesting an
evolutionary relationship between the underlying cellular mechanisms.
PMID- 18039942
TI - Binding of the wheat germ lectin to Cryptococcus neoformans suggests an
association of chitinlike structures with yeast budding and capsular
glucuronoxylomannan.
AB - The capsule of Cryptococcus neoformans is a complex structure whose assembly
requires intermolecular interactions to connect its components into an organized
structure. In this study, we demonstrated that the wheat germ agglutinin (WGA),
which binds to sialic acids and beta-1,4-N-acetylglucosamine (GlcNAc) oligomers,
can also bind to cryptococcal capsular structures. Confocal microscopy
demonstrated that these structures form round or hooklike projections linking the
capsule to the cell wall, as well as capsule-associated structures during yeast
budding. Chemical analysis of capsular extracts by gas chromatography coupled to
mass spectrometry and high-pH anion-exchange chromatography suggested that the
molecules recognized by WGA were firmly associated with the cell wall. Enzymatic
treatment, competition assays, and staining with chemically modified WGA revealed
that GlcNAc oligomers, but not sialic acids, were the molecules recognized by the
lectin. Accordingly, treatment of C. neoformans cells with chitinase released
glucuronoxylomannan (GXM) from the cell surface and reduced the capsule size.
Chitinase-treated acapsular cells bound soluble GXM in a modified pattern. These
results indicate an association of chitin-derived structures with GXM and budding
in C. neoformans, which may represent a new mechanism by which the capsular
polysaccharide interacts with the cell wall and is rearranged during replication.
PMID- 18039943
TI - Ras GTPase-activating protein regulation of actin cytoskeleton and hyphal
polarity in Aspergillus nidulans.
AB - Aspergillus nidulans gapA1, a mutation leading to compact, fluffy colonies and
delayed polarity establishment, maps to a gene encoding a Ras GTPase-activating
protein. Domain organization and phylogenetic analyses strongly indicate that
GapA regulates one or more "true" Ras proteins. A gapADelta strain is viable.
gapA colonies are more compact than gapA1 colonies and show reduced conidiation.
gapADelta strains have abnormal conidiophores, characterized by the absence of
one of the two layers of sterigmata seen in the wild type. gapA transcript levels
are very low in conidia but increase during germination and reach their maximum
at a time coincident with germ tube emergence. Elevated levels persist in hyphae.
In germinating conidiospores, gapADelta disrupts the normal coupling of isotropic
growth, polarity establishment, and mitosis, resulting in a highly heterogeneous
cell population, including malformed germlings and a class of giant cells with no
germ tubes and a multitude of nuclei. Unlike wild-type conidia, gapADelta conidia
germinate without a carbon source. Giant multinucleated spores and carbon source
independent germination have been reported in strains carrying a rasA dominant
active allele, indicating that GapA downregulates RasA. gapADelta cells show a
polarity maintenance defect characterized by apical swelling and subapical
branching. The strongly polarized wild-type F-actin distribution is lost in
gapADelta cells. As GapA-green fluorescent protein shows cortical localization
with strong predominance at the hyphal tips, we propose that GapA-mediated
downregulation of Ras signaling at the plasma membrane of these tips is involved
in the polarization of the actin cytoskeleton that is required for hyphal growth
and, possibly, for asexual morphogenesis.
PMID- 18039944
TI - Iron starvation and culture age activate metacaspases and programmed cell death
in the marine diatom Thalassiosira pseudonana.
AB - In the modern ocean, phytoplankton maintain extremely high primary
production/biomass ratios, indicating that they bloom, die, and are replaced
weekly. The molecular mechanisms regulating cellular mortality and turnover are
largely unknown, even though they effectively short-circuit carbon export to the
deep ocean and channel primary productivity to microbial food webs. Here, we
present morphological, biochemical, and molecular evidence of caspase-mediated,
autocatalytic programmed cell death (PCD) in the diatom Thalassiosira pseudonana
in response to iron starvation. Transmission electron microscopy revealed
internal degradation of nuclear, chloroplastic, and mitochondrial organelles, all
while the plasma membranes remained intact. Cellular degradation was concomitant
with dramatic decreases in photosynthetic efficiency, externalization of
phosphatidylserine, and significantly elevated caspase-specific activity, with
the addition of a broad-spectrum caspase inhibitor rescuing cells from death. A
search of the T. pseudonana genome identified six distinct putative metacaspases
containing a conserved caspase domain structure. Quantitative reverse
transcription-PCR and Western blot analysis revealed differential gene and
protein expression of T. pseudonana metacaspases, some of which correlated with
physiological stress and caspase activity. Taken together with the recent
discovery of the metacaspase-mediated viral infection of phytoplankton (K. D.
Bidle, L. Haramaty, J. Barcelos-Ramos, and P. G. Falkowski, Proc. Natl. Acad.
Sci. USA 104:6049-6054, 2007), our findings reveal a key role for metacaspases in
the turnover of phytoplankton biomass in the oceans. Furthermore, given that Fe
is required for photosynthetic electron transfer and is chronically limiting in a
variety of oceanic systems, including high-nutrient low-chlorophyll regions, our
findings provide a potential ecological context for PCD in these unicellular
photoautotrophs.
PMID- 18039945
TI - Kelch repeat protein Clakel2p and calcium signaling control appressorium
development in Colletotrichum lagenarium.
AB - Kelch repeat proteins are important mediators of fundamental cellular functions
and are found in diverse organisms. However, the roles of these proteins in
filamentous fungi have not been characterized. We isolated a kelch repeat
encoding gene of Colletotrichum lagenarium ClaKEL2, a Schizosaccharomyces pombe
tea1 homologue. Analysis of the clakel2 mutant indicated that ClaKEL2 was
required for the establishment of cellular polarity essential for proper
morphogenesis of appressoria and that there is a plant signal-specific bypass
pathway for appressorium development which circumvents ClaKEL2 function. Clakel2p
was localized in the polarized region of growing hyphae and germ tubes, and the
localization was disturbed by a microtubule assembly blocker. The clakel2 mutants
formed abnormal appressoria, and those appressoria were defective in penetration
hypha development into cellulose membranes, an artificial model substrate for
fungal infection. Surprisingly, the clakel2 mutants formed normal appressoria on
the host plant and retained penetration ability. Normal appressorium formation on
the artificial substrate by the clakel2 mutants was restored when cells were
incubated in the presence of CaCl(2) or exudates from cucumber cotyledon.
Furthermore, calcium channel modulators inhibited restoration of normal
appressorium formation. These results suggest that there could be a bypass
pathway that transduces a plant-derived signal for appressorium development
independent of ClaKEL2 and that a calcium signal is involved in this transduction
pathway.
PMID- 18039946
TI - Further delineation of cardio-facio-cutaneous syndrome: clinical features of 38
individuals with proven mutations.
AB - BACKGROUND: Cardio-facio-cutaneous syndrome (CFC) is a multiple congenital
anomaly/mental retardation syndrome named because of a characteristic facies,
cardiac anomalies, and ectodermal abnormalities. While considerable literature
describes the main features, few studies have documented the frequencies of less
common features allowing a greater appreciation of the full phenotype. METHODS:
We have analysed clinical data on 38 individuals with CFC and a confirmed
mutation in one of the genes known to cause the condition. We provide data on
well-established features, and those that are less often described. RESULTS:
Polyhydramnios (77%) and prematurity (49%) were common perinatal issues. 71% of
individuals had a cardiac anomaly, the most common being pulmonary valve stenosis
(42%), hypertrophic cardiomyopathy (39%), and atrial septal defect (28%). Hair
anomalies were also typical: 92% had curly hair, 84% sparse hair, and 86% absent
or sparse eyebrows. The most frequent cutaneous features were keratosis pilaris
(73%), hyperkeratosis (61%) and nevi (76%). Significant and long lived
gastrointestinal dysmotility (71%), seizures (49%), optic nerve hypoplasia (30%)
and renal anomalies, chiefly hydronephrosis (20%), were among the less well known
issues reported. CONCLUSION: This study reports a broad range of clinical issues
in a large cohort of individuals with molecular confirmation of CFC.
PMID- 18039947
TI - Severe neonatal manifestations of Costello syndrome.
AB - BACKGROUND: Costello syndrome (CS) is due to mutations in HRAS, with the most
common mutation being c.34G>A (p.G12S), found in most patients in all the
published series. A small number of less common mutations have been reported.
POPULATION STUDIED: HRAS mutation analysis has been undertaken in 74
predominantly British patients with a possible diagnosis of CS. A HRAS mutation
was found in 27 patients, 15 of whom have been previously reported. PHENOTYPE
ANALYSIS: Four cases had an unusually severe phenotype, associated in three cases
with two unusual mutations, c.35G>A, p.G12D in two cases and c.34G>T, p.G12C in
the other. Hypoglycaemia, renal abnormalities, severe early cardiomyopathy,
congenital lung and airway abnormalities, pleural and pericardial effusion,
chylous ascites and pulmonary lymphangectasia are confirmed as part of the
clinical spectrum seen in CS. A lung pathology resembling alveolar capillary
dysplasia is reported in one case. CONCLUSION: These cases illustrate that the
diagnosis of CS may be difficult in the newborn period, and should be considered
in the differential diagnosis of the sick newborn infant with multisystem
disease. Study of more cases will be required to establish if there is a definite
association between severe disease and less common mutations.
PMID- 18039948
TI - Genomic imbalances associated with mullerian aplasia.
AB - BACKGROUND: Aplasia of the mullerian ducts leads to absence of the uterine
corpus, uterine cervix, and upper (superior) vagina. Patients with mullerian
aplasia (MA) often exhibit additional clinical features such as renal, vertebral
and cardiac defects. A number of different syndromes have been associated with
MA, and in most cases its aetiology remains poorly understood. OBJECTIVE AND
METHODS: 14 syndromic patients with MA and 46,XX G-banded karyotype were screened
for DNA copy number changes by approximately 1 Mb whole genome bacterial
artificial chromosome (BAC) array based comparative genomic hybridisation (CGH).
The detected alterations were validated by an independent method and further
mapped by high resolution oligo-arrays. RESULTS: Submicroscopic genomic
imbalances affecting the 1q21.1, 17q12, 22q11.21, and Xq21.31 chromosome regions
were detected in four probands. Presence of the alterations in the normal mother
of one patient suggests incomplete penetrance and/or variable expressivity.
CONCLUSION: 4 of the 14 patients (29%) were found to have cryptic genomic
alterations. The imbalances on 22q11.21 support recent findings by us and others
that alterations in this chromosome region may result in impairment of mullerian
duct development. The remaining imbalances indicate involvement of previously
unknown chromosome regions in MA, and point specifically to LHX1 and KLHL4 as
candidate genes.
PMID- 18039949
TI - Amelioration of epidermal hyperplasia by TNF inhibition is associated with
reduced Th17 responses.
AB - Biological agents have dramatically improved treatment options for patients with
severe psoriasis. Etanercept (tumor necrosis factor [TNF] receptor-immunoglobulin
fusion protein) is an effective treatment for many psoriasis patients, and
blockade of TNF is considered to be its primary action. However, in this clinical
trial, we show that etanercept has early inhibitory effects on a newly
appreciated type of T cells: T helper type 17 (Th17) cells. Etanercept reduced
the inflammatory dendritic cell products that drive Th17 cell proliferation
(interleukin [IL] 23), as well as Th17 cell products and downstream effector
molecules (IL-17, IL-22, CC chemokine ligand 20, and beta-defensin 4). In
contrast, Th1 cellular products and effector molecules (interferon gamma,
lymphotoxin alpha, and myxovirus resistance 1) were reduced late in disease
resolution. This study suggests a role for Th17 in addition to Th1 cells in the
pathogenesis of psoriasis. Th17 cells may be particularly important in driving
epidermal activation in psoriatic plaques, whereas Th1 cells must also be
eliminated for final disease resolution.
PMID- 18039950
TI - B cell intrinsic TLR signals amplify but are not required for humoral immunity.
AB - Although innate signals driven by Toll-like receptors (TLRs) play a crucial role
in T-dependent immune responses and serological memory, the precise cellular and
time-dependent requirements for such signals remain poorly defined. To directly
address the role for B cell-intrinsic TLR signals in these events, we compared
the TLR response profile of germinal center (GC) versus naive mature B cell
subsets. TLR responsiveness was markedly up-regulated during the GC reaction, and
this change correlated with altered expression of the key adaptors MyD88, Mal,
and IRAK-M. To assess the role for B cell-intrinsic signals in vivo, we
transferred MyD88 wild-type or knockout B cells into B cell-deficient microMT
mice and immunized recipient animals with 4-hydroxy-3-nitrophenylacetyl (NP)
chicken gamma globulin. All recipients exhibited similar increases in NP-specific
antibody titers during primary, secondary, and long-term memory responses. The
addition of lipopolysaccharide to the immunogen enhanced B cell-intrinsic, MyD88
dependent NP-specific immunoglobulin (Ig)M production, whereas NP-specific IgG
increased independently of TLR signaling in B cells. Our data demonstrate that B
cell-intrinsic TLR responses are up-regulated during the GC reaction, and that
this change significantly promotes antigen-specific IgM production in association
with TLR ligands. However, B cell-intrinsic TLR signals are not required for
antibody production or maintenance.
PMID- 18039951
TI - JAM-A regulates permeability and inflammation in the intestine in vivo.
AB - Recent evidence has linked intestinal permeability to mucosal inflammation, but
molecular studies are lacking. Candidate regulatory molecules localized within
the tight junction (TJ) include Junctional Adhesion Molecule (JAM-A), which has
been implicated in the regulation of barrier function and leukocyte migration.
Thus, we analyzed the intestinal mucosa of JAM-A-deficient (JAM-A(-/-)) mice for
evidence of enhanced permeability and inflammation. Colonic mucosa from JAM-A(-/
) mice had normal epithelial architecture but increased polymorphonuclear
leukocyte infiltration and large lymphoid aggregates not seen in wild-type
controls. Barrier function experiments revealed increased mucosal permeability,
as indicated by enhanced dextran flux, and decreased transepithelial electrical
resistance in JAM-A(-/-) mice. The in vivo observations were epithelial specific,
because monolayers of JAM-A(-/-) epithelial cells also demonstrated increased
permeability. Analyses of other TJ components revealed increased expression of
claudin-10 and -15 in the colonic mucosa of JAM-A(-/-) mice and in JAM-A small
interfering RNA-treated epithelial cells. Given the observed increase in colonic
inflammation and permeability, we assessed the susceptibility of JAM-A(-/-) mice
to the induction of colitis with dextran sulfate sodium (DSS). Although DSS
treated JAM-A(-/-) animals had increased clinical disease compared with controls,
colonic mucosa showed less injury and increased epithelial proliferation. These
findings demonstrate a complex role of JAM-A in intestinal homeostasis by
regulating epithelial permeability, inflammation, and proliferation.
PMID- 18039952
TI - Yellow fever and Max Theiler: the only Nobel Prize for a virus vaccine.
AB - In 1951, Max Theiler of the Rockefeller Foundation received the Nobel Prize in
Physiology or Medicine for his discovery of an effective vaccine against yellow
fever--a discovery first reported in the JEM 70 years ago. This was the first,
and so far the only, Nobel Prize given for the development of a virus vaccine.
Recently released Nobel archives now reveal how the advances in the yellow fever
vaccine field were evaluated more than 50 years ago, and how this led to a prize
for Max Theiler.
PMID- 18039953
TI - Inhibition of Notch signaling induces apoptosis of myeloma cells and enhances
sensitivity to chemotherapy.
AB - Drug resistance remains a critical problem in the treatment of patients with
multiple myeloma. Recent studies have determined that Notch signaling plays a
major role in bone marrow (BM) stroma-mediated protection of myeloma cells from
de novo drug-induced apoptosis. Here, we investigated whether pharmacologic
inhibition of Notch signaling could affect the viability of myeloma cells and
their sensitivity to chemotherapy. Treatment with a gamma-secretase inhibitor
(GSI) alone induced apoptosis of myeloma cells via specific inhibition of Notch
signaling. At concentrations toxic for myeloma cell lines and primary myeloma
cells, GSI did not affect normal BM or peripheral blood mononuclear cells.
Treatment with GSI prevented BM stroma-mediated protection of myeloma cells from
drug-induced apoptosis. The cytotoxic effect of GSI was mediated via Hes-1 and up
regulation of the proapoptotic protein Noxa. In vivo experiments using xenograft
and SCID-hu models of multiple myeloma demonstrated substantial antitumor effect
of GSI. In addition, GSI significantly improved the cytotoxicity of the
chemotherapeutic drugs doxorubicin and melphalan. Thus, this study demonstrates
that inhibition of Notch signaling prevents BM-mediated drug resistance and
sensitizes myeloma cells to chemotherapy. This may represent a promising approach
for therapeutic intervention in multiple myeloma.
PMID- 18039954
TI - Valganciclovir prevents cytomegalovirus reactivation in patients receiving
alemtuzumab-based therapy.
AB - Alemtuzumab is an immunosuppressive antibody that depletes normal T cells and B
cells. Prophylaxis for herpes virus and Pneumocystis carinii is standard with
this agent. Approximately 20% to 25% of patients will experience cytomegalovirus
(CMV) reactivation. We conducted a randomized trial wherein patients being
treated with an alemtuzumab-containing regimen received prophylaxis with either
valaciclovir 500 mg orally daily or valganciclovir 450 mg orally twice daily. The
study design planned to enroll 128 patients, but stopping rules for early
termination were met. Forty patients were evaluable. Median age was 58 years
(range, 25-83 years); median number of prior therapies was 2 (range, 0-10).
Diagnoses included chronic lymphocytic leukemia (29), T-cell prolymphocytic
leukemia (3), hairy cell leukemia (1), adult T-cell leukemia/lymphoma (ATLL) (1),
marginal zone leukemia (1), large granular lymphocyte leukemia (2), acute
lymphoblastic leukemia (1), and T-cell lymphoma (2). Patients received various
alemtuzumab-containing regimens, including single agent (5) or combined with:
rituximab (2), pentostatin (6), fludarabine, cyclophosphamide, and rituximab
(23), or fractionated cyclophosphamide, vincristine, adriamycin, and
dexamethasone (hyper-CVAD) (4). Seven of 20 patients enrolled on the valaciclovir
arm experienced CMV reactivation. None of the 20 patients randomized to
valganciclovir experienced CMV reactivation (P = .004). In conclusion, this agent
was highly effective for prophylaxis of CMV reactivation in patients receiving
alemtuzumab. This trial was registered at www.ClinicalTrials.gov as #NCT00562770.
PMID- 18039955
TI - Permissive roles of hematopoietin and cytokine tyrosine kinase receptors in early
T-cell development.
AB - Although several cytokines have been demonstrated to be critical regulators of
development of multiple blood cell lineages, it remains disputed to what degree
they act through instructive or permissive mechanisms. Signaling through the FMS
like tyrosine kinase 3 (FLT3) receptor and the hematopoietin IL-7 receptor alpha
(IL-7Ralpha) has been demonstrated to be of critical importance for sustained
thymopoiesis. Signaling triggered by IL-7 and thymic stromal lymphopoietin (TSLP)
is dependent on IL-7Ralpha, and both ligands have been implicated in T-cell
development. However, we demonstrate that, whereas thymopoiesis is abolished in
adult mice doubly deficient in IL-7 and FLT3 ligand (FLT3L), TSLP does not play a
key role in IL-7-independent or FLT3L-independent T lymphopoiesis. Furthermore,
whereas previous studies implicated that the role of other cytokine tyrosine
kinase receptors in T lymphopoiesis might not involve permissive actions, we
demonstrate that ectopic expression of BCL2 is sufficient not only to partially
correct the T-cell phenotype of Flt3l(-/-) mice but also to rescue the virtually
complete loss of all discernable stages of early T lymphopoiesis in Flt3l(-/
)Il7r(-/-) mice. These findings implicate a permissive role of cytokine receptors
of the hematopoietin and tyrosine kinase families in early T lymphopoiesis.
PMID- 18039956
TI - NSOM/QD-based nanoscale immunofluorescence imaging of antigen-specific T-cell
receptor responses during an in vivo clonal Vgamma2Vdelta2 T-cell expansion.
AB - Nanoscale imaging of an in vivo antigen-specific T-cell immune response has not
been reported. Here, the combined near-field scanning optical microscopy- and
fluorescent quantum dot-based nanotechnology was used to perform
immunofluorescence imaging of antigen-specific T-cell receptor (TCR) response in
an in vivo model of clonal T-cell expansion. The near-field scanning optical
microscopy/quantum dot system provided a best-optical-resolution (<50 nm) nano
scale imaging of Vgamma2Vdelta2 TCR on the membrane of nonstimulated
Vgamma2Vdelta2 T cells. Before Ag-induced clonal expansion, these nonstimulating
Vgamma2Vdelta2 TCRs appeared to be distributed differently from their alphabeta
TCR counterparts on the cell surface. Surprisingly, Vgamma2Vdelta2 TCR
nanoclusters not only were formed but also sustained on the membrane during an in
vivo clonal expansion of Vgamma2Vdelta2 T cells after phosphoantigen treatment or
phosphoantigen plus mycobacterial infection. The TCR nanoclusters could array to
form nanodomains or microdomains on the membrane of clonally expanded
Vgamma2Vdelta2 T cells. Interestingly, expanded Vgamma2Vdelta2 T cells bearing
TCR nanoclusters or nanodomains were able to rerecognize phosphoantigen and to
exert better effector function. These studies provided nanoscale insight into the
in vivo T-cell immune response.
PMID- 18039957
TI - Early postinduction intensification therapy improves survival for children and
adolescents with high-risk acute lymphoblastic leukemia: a report from the
Children's Oncology Group.
AB - Longer and more intensive postinduction intensification (PII) improved the
outcome of children and adolescents with "higher risk" acute lymphoblastic
leukemia (ALL) and a slow marrow response to induction therapy. In the Children's
Cancer Group study (CCG-1961), we tested longer versus more intensive PII, using
a 2 x 2 factorial design for children with higher risk ALL and a rapid marrow
response to induction therapy. Between November 1996 and May 2002, 2078 children
and adolescents with newly diagnosed ALL (1 to 9 years old with white blood count
50 000/mm3 or more, or 10 years of age or older with any white blood count) were
enrolled. After induction, 1299 patients with marrow blasts less than or equal to
25% on day 7 of induction (rapid early responders) were randomized to standard or
longer duration (n = 651 + 648) and standard or increased intensity (n = 649 +
650) PII. Stronger intensity PII improved event-free survival (81% vs 72%, P <
.001) and survival (89% vs 83%, P = .003) at 5 years. Differences were most
apparent after 2 years from diagnosis. Longer duration PII provided no benefit.
Stronger intensity but not prolonged duration PII improved outcome for patients
with higher-risk ALL. This study is registered at http://clinicaltrials.gov as
NCT00002812.
PMID- 18039958
TI - Pharmacological characterization of MK-0974 [N-[(3R,6S)-6-(2,3-difluorophenyl)-2
oxo-1-(2,2,2-trifluoroethyl)azepan-3-yl]-4-(2-oxo-2,3-dihydro-1H-imidazo[4,5
b]pyridin-1-yl)piperidine-1-carboxamide], a potent and orally active calcitonin
gene-related peptide receptor antagonist for the treatment of migraine.
AB - Calcitonin gene-related peptide (CGRP) is a potent neuropeptide that plays a key
role in the pathophysiology of migraine headache. CGRP levels in the cranial
circulation are increased during a migraine attack, and CGRP itself has been
shown to trigger migraine-like headache. The correlation between CGRP release and
migraine headache points to the potential utility of CGRP receptor antagonists as
novel therapeutics in the treatment of migraine. Indeed, clinical proof-of
concept in the acute treatment of migraine was demonstrated with an intravenous
formulation of the CGRP receptor antagonist BIBN4096BS (olcegepant). Here we
report on the pharmacological characterization of the first orally bioavailable
CGRP receptor antagonist in clinical development, MK-0974 [N-[(3R,6S)-6-(2,3
difluorophenyl)-2-oxo-1-(2,2,2-trifluoroethyl)azepan-3-yl]-4-(2-oxo-2,3-dihydro
1H-imidazo[4,5-b]pyridin-1-yl)piperidine-1-carboxamide]. In vitro, MK-0974 is a
potent antagonist of the human (K(i) = 0.77 nM) and rhesus (K(i) = 1.2 nM) CGRP
receptors but displays >1500-fold lower affinity for the canine and rat receptors
as determined via (125)I-human CGRP competition binding assays. A rhesus
pharmacodynamic assay measuring capsaicin-induced changes in forearm dermal blood
flow via laser Doppler imaging was utilized to determine the in vivo activity of
CGRP receptor antagonism. MK-0974 produced a concentration-dependent inhibition
of dermal vasodilation, generated by capsaicin-induced release of endogenous
CGRP, with plasma concentrations of 127 and 994 nM required to block 50 and 90%
of the blood flow increase, respectively. In conclusion, MK-0974 is a highly
potent, selective, and orally bioavailable CGRP receptor antagonist, which may be
valuable in the acute treatment of migraine.
PMID- 18039959
TI - Rosiglitazone, a peroxisome proliferator-activated receptor-gamma agonist,
prevents microparticle-induced vascular hyporeactivity through the regulation of
proinflammatory proteins.
AB - Microparticles are plasma membrane vesicles with procoagulant and proinflammatory
properties. We recently demonstrated that microparticles induce vascular
hyporeactivity and evoke up-regulation of proinflammatory protein expression.
This study dissected the effect of either in vitro treatment or short-term oral
administration of the peroxisome proliferator-activated receptor-gamma
(PPARgamma) agonist, rosiglitazone, on microparticle-induced vascular
hyporeactivity of mouse vessels. Microparticles were produced from T cells by
actinomycin D treatment. The effects of rosiglitazone on mouse aortic rings
incubated with microparticles were investigated. Aortae treated in vitro with
rosiglitazone or aortae taken from mice treated by oral administration of the
same agonist completely prevented microparticle-induced vascular hyporeactivity
in response to U46619 [9,11-dideoxy-11alpha, 9alpha-epoxymethanoprostaglandin
F(2alpha)). These effects of rosiglitazone occurred independently of the presence
of endothelium without modifications in blood parameters. The mechanisms involved
abrogation of nitric oxide (NO) and prostacyclin overproduction linked to up
regulation of inducible NO-synthase and cyclooxygenase 2 elicited by
microparticles. In addition, rosiglitazone treatment reduced the ability of
microparticles to evoke increases in interleukin (IL)-6, IL-8, and nuclear factor
(NF)-kappaB transcription, and NF-kappaB expression and activation. These results
suggest that rosiglitazone, via PPARgamma activation, counteracts vascular
dysfunction associated with increased release of proinflammatory proteins
elicited by microparticles. They underscore therapeutic perspective for
rosiglitazone in vascular diseases involving enhanced participation of
microparticles.
PMID- 18039960
TI - Orazipone inhibits activation of inflammatory transcription factors nuclear
factor-kappa B and signal transducer and activator of transcription 1 and
decreases inducible nitric-oxide synthase expression and nitric oxide production
in response to inflammatory stimuli.
AB - Orazipone [OR-1384; 3-[4-(methylsulfonyl)benzylidene]pentane-2,4-dione] is a
novel sulfhydryl-modulating compound that has anti-inflammatory properties in
experimental models of asthma and inflammatory bowel disease. In inflammation,
inducible nitricoxide synthase (iNOS) generates NO, which modulates the immune
response. Compounds that inhibit iNOS expression or iNOS activity possess anti
inflammatory effects. In the present study, we examined the effects of orazipone
and its derivative OR-1958 [3-[3-chlorine-4-(methylsulfonyl)benzylidene]pentane
2,4-dione] on iNOS expression and NO production in J774 macrophages stimulated by
bacterial lipopolysaccharide (LPS) and in human alveolar epithelial cells
activated by proinflammatory cytokines. Protein expression and nuclear
translocation of transcription factors were measured by Western blot. iNOS mRNA
expression was determined by quantitative reverse transcription-polymerase chain
reaction and iNOS mRNA stability by actinomycin D assay. iNOS promoter activity
was studied in a cell line expressing luciferase under the control of iNOS
promoter. Orazipone and its derivative OR-1958 but not its nonthiol-modulating
analog inhibited iNOS expression and NO production in a concentration-dependent
manner. Orazipone decreased LPS-induced iNOS mRNA expression, but the decay of
iNOS mRNA was not affected. Orazipone extensively prevented LPS-induced
activation of nuclear factor kappaB (NF-kappaB) and signal transducer and
activator of transcription (STAT) 1, which are important transcription factors
for iNOS. In agreement, human iNOS promoter activity was inhibited by orazipone.
In conclusion, orazipone decreased activation of inflammatory transcription
factors NF-kappaB and STAT1, and expression of iNOS in cells exposed to
inflammatory stimuli. The thiolmodulating property seems to be critical in
mediating the antiinflammatory effects of orazipone.
PMID- 18039967
TI - Blimp1 regulates development of the posterior forelimb, caudal pharyngeal arches,
heart and sensory vibrissae in mice.
AB - The zinc-finger transcriptional repressor Blimp1 (Prdm1) controls gene expression
patterns during differentiation of B lymphocytes and regulates epigenetic changes
required for specification of primordial germ cells. Blimp1 is dynamically
expressed at diverse tissue sites in the developing mouse embryo, but its
functional role remains unknown because Blimp1 mutant embryos arrest at E10.5 due
to placental insufficiency. To explore Blimp1 activities at later stages in the
embryo proper, here we used a conditional inactivation strategy. A Blimp1-Cre
transgenic strain was also exploited to generate a fate map of Blimp1-expressing
cells. Blimp1 plays essential roles in multipotent progenitor cell populations in
the posterior forelimb, caudal pharyngeal arches, secondary heart field and
sensory vibrissae and maintains key signalling centres at these diverse tissues
sites. Interestingly, embryos carrying a hypomorphic Blimp1gfp reporter allele
survive to late gestation and exhibit similar, but less severe developmental
abnormalities, whereas transheterozygous Blimp1(gfp/-) embryos with further
reduced expression levels, display exacerbated defects. Collectively, the present
experiments demonstrate that Blimp1 requirements in diverse cell types are
exquisitely dose dependent.
PMID- 18039968
TI - Ttrap is an essential modulator of Smad3-dependent Nodal signaling during
zebrafish gastrulation and left-right axis determination.
AB - During vertebrate development, signaling by the TGFbeta ligand Nodal is critical
for mesoderm formation, correct positioning of the anterior-posterior axis,
normal anterior and midline patterning, and left-right asymmetric development of
the heart and viscera. Stimulation of Alk4/EGF-CFC receptor complexes by Nodal
activates Smad2/3, leading to left-sided expression of target genes that promote
asymmetric placement of certain internal organs. We identified Ttrap as a novel
Alk4- and Smad3-interacting protein that controls gastrulation movements and left
right axis determination in zebrafish. Morpholino-mediated Ttrap knockdown
increases Smad3 activity, leading to ectopic expression of snail1a and apparent
repression of e-cadherin, thereby perturbing cell movements during convergent
extension, epiboly and node formation. Thus, although the role of Smad proteins
in mediating Nodal signaling is well-documented, the functional characterization
of Ttrap provides insight into a novel Smad partner that plays an essential role
in the fine-tuning of this signal transduction cascade.
PMID- 18039969
TI - Cross-regulation of Ngn1 and Math1 coordinates the production of neurons and
sensory hair cells during inner ear development.
AB - Temporal and spatial coordination of multiple cell fate decisions is essential
for proper organogenesis. Here, we define gene interactions that transform the
neurogenic epithelium of the developing inner ear into specialized mechanosensory
receptors. By Cre-loxP fate mapping, we show that vestibular sensory hair cells
derive from a previously neurogenic region of the inner ear. The related bHLH
genes Ngn1 (Neurog1) and Math1 (Atoh1) are required, respectively, for neural and
sensory epithelial development in this system. Our analysis of mouse mutants
indicates that a mutual antagonism between Ngn1 and Math1 regulates the
transition from neurogenesis to sensory cell production during ear development.
Furthermore, we provide evidence that the transition to sensory cell production
involves distinct autoregulatory behaviors of Ngn1 (negative) and Math1
(positive). We propose that Ngn1, as well as promoting neurogenesis, maintains an
uncommitted progenitor cell population through Notch-mediated lateral inhibition,
and Math1 irreversibly commits these progenitors to a hair-cell fate.
PMID- 18039970
TI - Spermatocyte cytokinesis requires rapid membrane addition mediated by ARF6 on
central spindle recycling endosomes.
AB - The dramatic cell shape changes during cytokinesis require the interplay between
microtubules and the actomyosin contractile ring, and addition of membrane to the
plasma membrane. Numerous membrane-trafficking components localize to the central
spindle during cytokinesis, but it is still unclear how this machinery is
targeted there and how membrane trafficking is coordinated with cleavage furrow
ingression. Here we use an arf6 null mutant to show that the endosomal GTPase
ARF6 is required for cytokinesis in Drosophila spermatocytes. ARF6 is enriched on
recycling endosomes at the central spindle, but it is required neither for
central spindle nor actomyosin contractile ring assembly, nor for targeting of
recycling endosomes to the central spindle. However, in arf6 mutants the cleavage
furrow regresses because of a failure in rapid membrane addition to the plasma
membrane. We propose that ARF6 promotes rapid recycling of endosomal membrane
stores during cytokinesis, which is critical for rapid cleavage furrow
ingression.
PMID- 18039972
TI - The transmembrane protein Perdido interacts with Grip and integrins to mediate
myotube projection and attachment in the Drosophila embryo.
AB - The molecular mechanisms underlying muscle guidance and formation of myotendinous
junctions are poorly understood both in vertebrates and in Drosophila. We have
identified a novel gene that is essential for Drosophila embryonic muscles to
form proper projections and stable attachments to epidermal tendon cells. Loss-of
function of this gene - which we named perdido (perd)-results in rounded,
unattached muscles. perd is expressed prior to myoblast fusion in a subset of
muscle founder cells, and it encodes a conserved single-pass transmembrane cell
adhesion protein that contains laminin globular extracellular domains and a small
intracellular domain with a C-terminal PDZ-binding consensus sequence.
Biochemical experiments revealed that the Perd intracellular domain interacts
directly with one of the PDZ domains of the Glutamate receptor interacting
protein (Grip), another factor required for formation of proper muscle
projections. In addition, Perd is necessary to localize Grip to the plasma
membrane of developing myofibers. Using a newly developed, whole-embryo RNA
interference assay to analyze genetic interactions, perd was shown to interact
not only with Grip but also with multiple edematous wings, which encodes one
subunit of the alpha PS1-beta PS integrin expressed in tendon cells. These
experiments uncovered a previously unrecognized role for the alpha PS1-beta PS
integrin in the formation of muscle projections during early stages of
myotendinous junction development. We propose that Perd regulates projection of
myotube processes toward and subsequent differentiation of the myotendinous
junction by priming formation of a protein complex through its intracellular
interaction with Grip and its transient engagement with the tendon cell-expressed
laminin-binding alpha PS1-beta PS integrin.
PMID- 18039971
TI - Obligatory participation of macrophages in an angiopoietin 2-mediated cell death
switch.
AB - Macrophages have a critical function in the recognition and engulfment of dead
cells. In some settings, macrophages also actively signal programmed cell death.
Here we show that during developmentally scheduled vascular regression, resident
macrophages are an obligatory participant in a signaling switch that favors death
over survival. This switch occurs when the signaling ligand angiopoietin 2 has
the dual effect of suppressing survival signaling in vascular endothelial cells
(VECs) and stimulating Wnt ligand production by macrophages. In response to the
Wnt ligand, VECs enter the cell cycle and in the absence of survival signals, die
from G1 phase of the cell cycle. We propose that this mechanism represents an
adaptation to ensure that the macrophage and its disposal capability are on hand
when cell death occurs.
PMID- 18039973
TI - Neuronal calcium sensor-1 modulation of optimal calcium level for neurite
outgrowth.
AB - Neurite extension and branching are affected by activity-dependent modulation of
intracellular Ca2+, such that an optimal window of [Ca2+] is required for
outgrowth. Our understanding of the molecular mechanisms regulating this optimal
[Ca2+]i remains unclear. Taking advantage of the large growth cone size of
cultured primary neurons from pond snail Lymnaea stagnalis combined with dsRNA
knockdown, we show that neuronal calcium sensor-1 (NCS-1) regulates neurite
extension and branching, and activity-dependent Ca2+ signals in growth cones. An
NCS-1 C-terminal peptide enhances only neurite branching and moderately reduces
the Ca2+ signal in growth cones compared with dsRNA knockdown. Our findings
suggest that at least two separate structural domains in NCS-1 independently
regulate Ca2+ influx and neurite outgrowth, with the C-terminus specifically
affecting branching. We describe a model in which NCS-1 regulates cytosolic Ca2+
around the optimal window level to differentially control neurite extension and
branching.
PMID- 18039974
TI - Semaphorin and neuropilin co-expression in motoneurons sets axon sensitivity to
environmental semaphorin sources during motor axon pathfinding.
AB - Class III semaphorins (SemaIIIs) are intercellular cues secreted by surrounding
tissues to guide migrating cells and axons in the developing organism. This
chemotropic activity is crucial for the formation of nerves and vasculature.
Intriguingly, SemaIIIs are also synthesized by neurons during axon pathfinding,
but their function as intrinsic cues remains unknown. We have explored the role
of Sema3A expression in motoneurons during spinal nerve development. Loss- and
gain-of-function in the neural tube of the chick embryo were undertaken to target
Sema3A expression in motoneurons while preserving Sema3A sources localized in
peripheral tissues, known to provide important repulsive information for
delineating the routes of motor axons towards their ventral or dorsal targets.
Strikingly, Sema3A overexpression induced defasciculation and exuberant growth of
motor axon projections into these normally non-permissive territories. Moreover,
knockdown studies showed that motoneuronal Sema3A is required for correct spinal
nerve compaction and dorsal motor axon extension. Further analysis of Sema3A gain
and loss-of-function in ex vivo models revealed that Sema3A in motoneurons sets
the level of sensitivity of their growth cones to exogenous Sema3A exposure. This
regulation is associated with post-transcriptional and local control of the
availability of the Sema3A receptor neuropilin 1 at the growth cone surface.
Thus, by modulating the strength of Sema3A-mediated environmental repulsive
constraints, Sema3A in motoneurons enables axons to extend more or less far away
from these repulsive sources. Such interplay between intrinsic and extrinsic
Sema3A may represent a fundamental mechanism in the accurate specification of
axon pathways.
PMID- 18039975
TI - Kinetics of nucleoside uptake by the basolateral side of the sheep choroid plexus
epithelium perfused in situ.
AB - Sheep choroid plexus epithelium expresses equilibrative nucleoside transporters
(ENT) 1 and 2 and concentrative nucleoside transporter 2 at the transcript level.
This study aimed to explore the kinetics and functional role of these
transporters at the basolateral side of the sheep choroid plexus epithelium
perfused in situ. The cellular uptake of [(3)H]adenosine and [(3)H]uridine was
insensitive to 1 microm nitrobenzylthioinosine (NBTI), and the uptake of
[(3)H]adenosine was reduced significantly when 10 microm NBTI was present in low
Na(+) Ringer solution. This might suggest that ENT2, a transporter sensitive to
micromolar NBTI, is functionally active at the basolateral side of the choroid
plexus epithelium while ENT1, a transporter sensitive to nanomolar NBTI, is not
active. When low-Na(+) Ringer solution was used for the in situ perfusion, the
Na(+) concentration in the venous effluent decreased to 14 mm; under these
conditions the maximal uptake (U(max)) of [(3)H]adenosine and [(3)H]uridine did
not change significantly when compared with the U(max) obtained when Ringer
solution that contained 145 mm Na(+) was used. Kinetic analysis revealed apparent
Michaelis-Menten constants (K(m,app)) for cellular uptake of [(3)H]adenosine,
[(3)H]inosine and [(3)H]thymidine of 1.2 +/- 0.2, 15.7 +/- 2.6 and 3.8 +/- 0.9
microm, respectively. The HPLC and HPLC-fluorometric analysis of the sheep plasma
and cerebrospinal fluid revealed nanomolar concentrations of adenosine and
thymidine and micromolar levels of inosine and nucleobases. Considering the
estimated K(m,app) values, it appears that under normal conditions inosine is the
more important nucleoside substrate for uptake by the basolateral membrane of the
choroid plexus epithelium than other nucleosides.
PMID- 18039976
TI - Evidence from proprioception of fusimotor coactivation during voluntary
contractions in humans.
AB - In experiments on position sense at the elbow joint in the horizontal plane,
blindfolded subjects were required to match the position of one forearm
(reference) by placement of their other arm (indicator). Position errors were
measured after conditioning elbow muscles of the reference arm with an isometric
contraction while the arm was held either flexed or extended. The difference in
errors after the two forms of conditioning was large when the conditioned muscles
remained relaxed during the matching process and it became less when elbow
muscles were required to lift a load during the match (10 and 25% of maximal
voluntary contraction, respectively). Errors from muscle conditioning were
attributed to signals arising in muscle spindles and were hypothesized to result
from the thixotropic property of passive intrafusal fibres. Active muscle does
not exhibit thixotropy. It is proposed that during a voluntary contraction the
errors after conditioning are less, because the spindles become coactivated
through the fusimotor system. The distribution of errors is therefore seen to be
a reflection of fusimotor recruitment thresholds. For elbow flexors most, but not
all, fusimotor fibres appear to be recruited by 10% of a maximal contraction.
PMID- 18039977
TI - Livin' on the edge: imaging dendritic spine turnover in the peri-infarct zone
during ischemic stroke and recovery.
AB - The spontaneous recovery of sensory, motor, and cognitive functions after stroke
is thought to be mediated primarily through the reorganization and rewiring of
surviving brain circuits. Given that dendritic spine turnover underlies rewiring
during normal development and plasticity, this process is likely to play a key
role in mediating functional changes that occur during and after stroke.
Recently, a new approach has been taken using two-photon microscopy to monitor,
in real time, the temporal and spatial progression of dendritic plasticity in the
living animal, both while it is experiencing the initial ischemic episode as well
as during long-term recovery from stroke damage. Here, we highlight recent
evidence showing that stroke can trigger extensive changes in the relatively
hardwired adult brain. For example, when dendrites are challenged by acute
ischemia, they can disintegrate within minutes of ischemia and rapidly reassemble
during reperfusion. Over longer time scales, dendrites in the surviving peri
infarct zone show heightened levels of spine turnover for many weeks after
stroke, thereby raising the possibility that future stroke therapies may be able
to facilitate or optimize dendritic rewiring to improve functional recovery.
PMID- 18039978
TI - More fuel to the debate on the "epidemics of primary aldosteronism".
PMID- 18039979
TI - Periodontal infection is associated with endothelial dysfunction in healthy
subjects and hypertensive patients.
AB - The purpose of this study was to evaluate endothelial function in patients with
periodontitis. We evaluated forearm blood flow responses to acetylcholine and
sodium nitroprusside in patients with periodontitis who had no other
cardiovascular risk factors (32 men; 25+/-3 years of age), in a normal control
group (20 men; 26+/-3 years of age), and in hypertensive patients with
periodontitis (28 men and 10 women; 56+/-12 years of age) and without
periodontitis (control group; 18 men and 6 women; 54+/-13 years of age). Forearm
blood flow was measured using strain-gauge plethysmography. Circulating levels of
C-reactive protein and interleukin-6 were significantly higher in the
periodontitis group than in the control group. Both in healthy and hypertensive
subjects, forearm blood flow responses to acetylcholine were significantly
smaller in the periodontitis group than in the control group. Sodium
nitroprusside-stimulated vasodilation was similar in the 2 groups. Periodontal
therapy reduced serum concentrations of C-reactive protein and interleukin-6 and
augmented acetylcholine-induced vasodilation in periodontitis patients with and
without hypertension. After administration of N(G)-monomethyl-L-arginine, an NO
synthase inhibitor, forearm blood flow response to acetylcholine was similar
before and after treatment. These findings suggest that periodontitis is
associated with endothelial dysfunction in subjects without cardiovascular risk
factors, as well as hypertensive patients, through a decrease in NO
bioavailability and that systemic inflammation may be, at least in part, a cause
of endothelial dysfunction, leading to cardiovascular diseases.
PMID- 18039980
TI - Daytime and nighttime blood pressure as predictors of death and cause-specific
cardiovascular events in hypertension.
AB - Our aim was to assess the prognostic significance of nighttime and daytime
ambulatory blood pressure and their ratio for mortality and cause-specific
cardiovascular events in hypertensive patients without major cardiovascular
disease at baseline. We performed a meta-analysis on individual data of 3468
patients from 4 prospective studies performed in Europe. Age of the subjects
averaged 61+/-13 years, 45% were men, 13.7% smoked, 8.4% had diabetes, and 61%
were under antihypertensive treatment at the time of ambulatory blood pressure
monitoring. Office, daytime, and nighttime blood pressure averaged 159+/-20/91+/
12, 143+/-17/87+/-12, and 130+/-18/75+/-12 mm Hg. Total follow-up amounted to 23
164 patient-years. We used multivariable Cox regression analysis to assess the
hazard ratios associated with 1 standard deviation higher blood pressure. Daytime
and nighttime systolic blood pressure predicted all-cause and cardiovascular
mortality, coronary heart disease, and stroke, independently from office blood
pressure and confounding variables. When these blood pressures were entered
simultaneously into the models, nighttime blood pressure predicted all outcomes,
whereas daytime blood pressure did not add prognostic precision to nighttime
pressure. Appropriate interaction terms indicated that the results were similar
in men and women, in younger and older patients, and in treated and untreated
patients The systolic night-day blood pressure ratio predicted all outcomes,
which only persisted for all-cause mortality after adjustment for 24-hour blood
pressure. In conclusion, nighttime blood pressure is in general a better
predictor of outcome than daytime pressure in hypertensive patients, and the
night-day blood pressure ratio predicts mortality, even after adjustment for 24
hour blood pressure.
PMID- 18039981
TI - A not-so-modest proposal that a "modest" increase in aldosterone causes
hypertension and more.
PMID- 18039982
TI - Blood pressure targets after high-risk myocardial infarction: is it time to
update the guidelines?
PMID- 18039984
TI - Prediction is difficult, particularly about the future.
PMID- 18039983
TI - Salt-sensitive blood pressure in mice with increased expression of aldosterone
synthase.
AB - To study the effects of modestly increased expression of aldosterone synthase
(AS), we generated mice (AS(hi/hi)) by replacing the 3' untranslated region of AS
mRNA with that from a stable mRNA. AS(hi/hi) mice on a normal-salt diet had 1.5
times the wild-type AS mRNA in adrenals, although their blood pressure and plasma
aldosterone did not differ from wild-type mice. Changes in dietary salt did not
affect the blood pressure of wild-type mice, but AS(hi/hi) mice had approximately
10-mm Hg higher blood pressure on a high-salt diet than on a low-salt diet and
than wild-type mice on either diet. The AS(hi/hi) mice on a high-salt diet also
had higher plasma aldosterone, lower plasma potassium, and greater renal
expression of the alpha subunit of epithelial sodium channel compared with wild
type mice. The AS(hi/hi) mice on a high-salt diet also had more water intake and
urine volume and less urine osmolality than wild-type mice. On a low-salt diet,
AS(hi/hi) mice maintained normal blood pressure with less activation of the renin
angiotensin-aldosterone system than wild-type mice. The AS(hi/hi) mice also had
less water intake and urine volume and higher urine osmolality than wild-type
mice. On a medium high-salt diet, AS(hi/hi) mice were more susceptible than wild
type mice to infusion of angiotensin II, having a higher blood pressure, greater
cardiac hypertrophy, and increased oxidative stress. Thus, a modest increase in
AS expression makes blood pressure more sensitive to salt, suggesting that
genetically increased AS expression in humans may contribute to hypertension and
cardiovascular complications in societies with high-salt diets.
PMID- 18039985
TI - Using cardiovascular age equivalent to close the treatment gap for dyslipidemia.
PMID- 18039986
TI - Overstating the evidence for lung cancer screening: the International Early Lung
Cancer Action Program (I-ELCAP) study.
AB - Last year, the New England Journal of Medicine ran a lead article reporting that
patients with lung cancer had a 10-year survival approaching 90% if detected by
screening spiral computed tomography. The publication garnered considerable media
attention, and some felt that its findings provided a persuasive case for the
immediate initiation of lung cancer screening. We strongly disagree. In this
article, we highlight 4 reasons why the publication does not make a persuasive
case for screening: the study had no control group, it lacked an unbiased outcome
measure, it did not consider what is already known about this topic from previous
studies, and it did not address the harms of screening. We conclude with 2
fundamental principles that physicians should remember when thinking about
screening: (1) survival is always prolonged by early detection, even when deaths
are not delayed nor any lives saved, and (2) randomized trials are the only way
to reliably determine whether screening does more good than harm.
PMID- 18039987
TI - Patient knowledge of coronary risk profile improves the effectiveness of
dyslipidemia therapy: the CHECK-UP study: a randomized controlled trial.
AB - BACKGROUND: Despite increasing evidence that treating dyslipidemia reduces
cardiovascular events, many patients do not achieve recommended lipid targets.
METHODS: To determine whether showing physicians and patients the patient's
calculated coronary risk can improve the effectiveness of treating dyslipidemia
in a primary care setting, patients were randomized to receive usual care or
ongoing feedback regarding their calculated coronary risk and the change in this
risk after lifestyle changes, pharmacotherapy, or both to treat dyslipidemia.
Outcomes, based on intention-to-treat analysis, included changes in blood lipid
levels, coronary risk, and the frequency of reaching lipid targets. RESULTS: Two
hundred thirty primary care physicians enrolled 3,053 patients. After 12 months
of follow-up, 2,687 patients (88.0%) remained in the study. After adjustment for
baseline lipid values, significantly greater mean reductions in low-density
lipoprotein cholesterol levels and the total cholesterol to high-density
lipoprotein cholesterol ratio were observed in patients receiving risk profiles
(51.2 mg/dL [to convert to millimoles per liter, multiply by 0.0259] and 1.5,
respectively) vs usual care (48.0 mg/dL and 1.3, respectively), but the
differences were small (-3.3 mg/dL; 95% confidence interval [CI], -5.4 to -1.1
mg/dL; and -0.1; 95% CI, -0.2 to -0.1, respectively). Patients in the risk
profile group were also more likely to reach lipid targets (odds ratio, 1.26; 95%
CI, 1.07 to 1.48). A significant dose-response effect was also noted when the
impact of the risk profile was stronger in those with worse profiles.
CONCLUSIONS: Discussing coronary risk with the patient is associated with a small
but measurable improvement in the efficacy of lipid therapy. The value of
incorporating risk assessment in preventive care should be further evaluated.
PMID- 18039988
TI - Glycemic index, glycemic load, and cereal fiber intake and risk of type 2
diabetes in US black women.
AB - BACKGROUND: Previous studies of carbohydrate quality and risk of type 2 diabetes
mellitus have yielded inconsistent findings. Because diet is in part culturally
determined, a study of dietary factors in US black women is of interest. METHODS:
We used data from the Black Women's Health Study, a prospective cohort study of
59,000 US black women, to examine the association of glycemic load, glycemic
index, and cereal fiber with risk of type 2 diabetes. Diet was assessed at
baseline in 1995 with a modified version of the National Cancer Institute-Block
food frequency questionnaire. RESULTS: During 8 years of follow-up, there were
1,938 incident cases of diabetes. Cox proportional hazards models were used to
estimate incidence rate ratios (IRRs) for quintiles of dietary factors, while
controlling for lifestyle and dietary factors. Glycemic index was positively
associated with the risk of diabetes: the IRR for the highest quintile relative
to the lowest was 1.23 (95% confidence interval [CI], 1.05-1.44). Cereal fiber
intake was inversely associated with risk of diabetes, with an IRR of 0.82 (95%
CI, 0.70-0.96) for the highest vs lowest quintiles of intake. Stronger
associations were seen among women with a body mass index (calculated as weight
in kilograms divided by height in meters squared) lower than 25: IRRs for the
highest vs lowest quintile were 1.91 (95% CI, 1.16-3.16) for glycemic index (P
value for interaction, .12) and 0.41 (95% CI, 0.24-0.72) for cereal fiber intake
(P value for interaction, .05). CONCLUSION: Increasing cereal fiber in the diet
may be an effective means of reducing the risk of type 2 diabetes, a disease that
has reached epidemic proportions in black women.
PMID- 18039989
TI - Prospective study of dietary carbohydrates, glycemic index, glycemic load, and
incidence of type 2 diabetes mellitus in middle-aged Chinese women.
AB - BACKGROUND: Much uncertainty exists about the role of dietary glycemic index and
glycemic load in the development of type 2 diabetes mellitus, especially in
populations that traditionally subsist on a diet high in carbohydrates. METHODS:
We observed a cohort of 64,227 Chinese women with no history of diabetes or other
chronic disease at baseline for 4.6 years. In-person interviews were conducted to
collect data on dietary habits, physical activity, and other relevant information
using a validated questionnaire. Incident diabetes cases were identified via in
person follow-up. Associations between dietary carbohydrate intake, glycemic
index, and glycemic load and diabetes incidence were evaluated using
multivariable Cox proportional hazards models. RESULTS: We identified 1,608
incident cases of type 2 diabetes mellitus in 297,755 person-years of follow-up.
Dietary carbohydrate intake and consumption of rice were positively associated
with risk of developing type 2 diabetes mellitus. The multivariable-adjusted
estimates of relative risk comparing the highest vs the lowest quintiles of
intake were 1.28 (95% confidence interval, 1.09-1.50) for carbohydrates and 1.78
(95% confidence interval, 1.48-2.15) for rice. The relative risk for increasing
quintiles of intake was 1.00, 1.04, 1.02, 1.09, and 1.21 (95% confidence
interval, 1.03-1.43) for dietary glycemic index and 1.00, 1.06, 0.97, 1.23, and
1.34 (95% confidence interval, 1.13-1.58) for dietary glycemic load. CONCLUSION:
High intake of foods with a high glycemic index and glycemic load, especially
rice, the main carbohydrate-contributing food in this population, may increase
the risk of type 2 diabetes mellitus in Chinese women.
PMID- 18039990
TI - Increasing outpatient fluoroquinolone exposure before tuberculosis diagnosis and
impact on culture-negative disease.
AB - BACKGROUND: Fluoroquinolones are widely used to treat routine bacterial
infections, but they are also potential first-line antituberculosis agents.
Empirical fluoroquinolone therapy can delay the diagnosis of tuberculosis and
cause resistance in Mycobacterium tuberculosis. Rates of fluoroquinolone exposure
before tuberculosis diagnosis and the impact of fluoroquinolones on culture
negative tuberculosis have not been previously reported. METHODS: All newly
diagnosed tuberculosis cases reported to the Tennessee Department of Health
between January 1, 2000, and December 31, 2004, were cross-matched with the
TennCare (Medicaid) pharmacy database to assess for outpatient fluoroquinolone
use in the 12 months before tuberculosis diagnosis. RESULTS: Of 1,562
tuberculosis cases reported, 1,055 occurred in TennCare participants; of these
1,055 TennCare patients, 507 were enrolled in TennCare more than 300 days during
the year before tuberculosis diagnosis. Of the 507 patients, 119 (23%) received a
fluoroquinolone before tuberculosis diagnosis. The proportion of fluoroquinolone
exposed patients increased from 9% in 2000 to 41% in 2004 (chi(2) test for trend
P <.001). In multivariate logistic regression analysis, factors associated with
fluoroquinolone exposure were older age (odds ratio [OR], 1.03 per year; 95%
confidence interval [CI], 1.02-1.04) and year of diagnosis (OR, 1.64 per 1-year
increase; 95% CI, 1.39-1.93); human immunodeficiency virus infection tended to be
associated with increased exposure (OR, 1.94; 95% CI, 0.97-3.90). After
controlling for age, sex, race, site of disease, human immunodeficiency virus,
and year of diagnosis, prior fluoroquinolone exposure was not associated with
culture-negative tuberculosis (OR, 0.81; 95% CI, 0.41-1.60). CONCLUSIONS:
Fluoroquinolone use before tuberculosis diagnosis increased significantly during
the study period. However, fluoroquinolone exposure was not associated with an
increased risk of culture-negative tuberculosis.
PMID- 18039991
TI - Nonconsented human immunodeficiency virus testing among critically ill patients:
intensivists' practices and the influence of state laws.
AB - BACKGROUND: Human immunodeficiency virus (HIV) testing can improve care for many
critically ill patients, but state laws and institutional policies may impede
such testing when patients cannot provide consent. METHODS: We electronically
surveyed all US academic intensivists in 2006 to determine how state laws
influence intensivists' decisions to perform nonconsented HIV testing and to
assess intensivists' reliance on surrogate markers of HIV infection when unable
to obtain HIV tests. We used multivariate logistic regression, clustered by
state, to identify factors associated with intensivists' decisions to pursue
nonconsented HIV testing. RESULTS: Of 1,026 responding intensivists, 765 (74.6%)
had encountered decisionally incapacitated patients for whom HIV testing was
wanted. Of these intensivists, 168 pursued testing without consent and 476 first
obtained surrogate consent to testing. Intensivists who believed nonconsented HIV
testing was ethical (odds ratio, 3.8; 95% confidence interval, 2.2-6.5) and those
who believed their states allowed nonconsented testing when medically necessary
(odds ratio, 2.3; 95% confidence interval, 1.6-3.4) were more likely to pursue
nonconsented HIV tests; actual state laws were unrelated to testing practices. Of
the intensivists, 72.7% had ordered tests for perceived surrogate markers of HIV
infection in lieu of HIV tests; more than 90% believed these tests were
sufficiently valid to base clinical decisions on. CONCLUSIONS: Most US
intensivists have encountered decisionally incapacitated patients for whom HIV
testing may improve care. Intensivists' decisions to pursue nonconsented testing
are associated with their personal ethics and often erroneous perceptions of
state laws, but not with the laws themselves. Uniform standards enabling
nonconsented HIV testing may minimize inappropriate influences on intensivists'
decisions and reduce intensivists' reliance on perceived surrogate markers of
immunodeficiency.
PMID- 18039992
TI - Low bone mass in premenopausal women with depression.
AB - BACKGROUND: An increased prevalence of low bone mineral density (BMD) has been
reported in patients with major depressive disorder (MDD), mostly women. METHODS:
Study recruitment was conducted from July 1, 2001, to February 29, 2003. We
report baseline BMD measurements in 89 premenopausal women with MDD and 44
healthy control women enrolled in a prospective study of bone turnover. The BMD
was measured by dual-energy x-ray absorptiometry at the spine, hip, and forearm.
Mean hourly levels of plasma 24-hour cytokines, 24-hour urinary free cortisol,
and catecholamine excretion were measured in a subset of women. We defined MDD
according to the Diagnostic and Statistical Manual of Mental Disorders (Fourth
Edition). RESULTS: The prevalence of low BMD, defined as a T score of less than
1, was greater in women with MDD vs controls at the femoral neck (17% vs 2%; P =
.02) and total hip (15% vs 2%; P = .03) and tended to be greater at the lumbar
spine (20% vs 9%; P = .14). The mean +/- SD BMD, expressed as grams per square
centimeters, was lower in women with MDD at the femoral neck (0.849 +/- 0.121 vs
0.866 +/- 0.094; P = .05) and at the lumbar spine (1.024 +/- 0.117 vs 1.043 +/-
0.092; P = .05) and tended to be lower at the radius (0.696 +/- 0.049 vs 0.710 +/
0.055; P = .07). Women with MDD had increased mean levels of 24-hour
proinflammatory cytokines and decreased levels of anti-inflammatory cytokines.
CONCLUSIONS: Low BMD is more prevalent in premenopausal women with MDD. The BMD
deficits are of clinical significance and comparable in magnitude to those
resulting from established risk factors for osteoporosis, such as smoking and
reduced calcium intake. The possible contribution of immune or inflammatory
imbalance to low BMD in premenopausal women with MDD remains to be clarified.
PMID- 18039993
TI - Opportunity missed: medical consultation, resource use, and quality of care of
patients undergoing major surgery.
AB - BACKGROUND: There is growing interest in collaborative management of surgical
patients. However, few data describe how medical consultation influences quality
of care or resource use. The objective of this study was to determine whether
medical consultation improves care in surgical patients. METHODS: Observational
cohort of patients undergoing surgery between May 1, 2004, and May 31, 2006, at a
university-based hospital. The outcomes included costs, hospital length of stay,
use of preventive therapies (such as perioperative beta-blockers) and clinical
outcomes. RESULTS: Of 1,282 patients, 117 (9.1%) underwent a perioperative
medical consultation. Consulted patients were of a similar age, sex, and race,
but more frequently had an American Society of Anesthesiologists score of 4 or
higher (34.2% vs 13.0%; P < .001), diabetes mellitus (29.1% vs 16.1%; P < .001),
vascular disease (35.0% vs 10.6%; P < .01), or chronic renal failure (23.9% vs
5.6%; P < .001). After adjusting for severity of illness and likelihood of
receiving a consultation, patients were just as likely to have a serum glucose
level of less than 200 mg/dL (<11.1 mmol/L), receive perioperative beta-blockers,
or receive venous thromboembolism prophylaxis. Consulted patients had a longer
adjusted length of stay (12.98% longer; 95% confidence interval, 1.61%-25.61%)
and higher adjusted costs (24.36% higher; 95% confidence interval, 13.54%
36.34%). Patients who had a consultation from a generalist did not receive
different quality of care, but had costs and length of stay similar to
nonconsulted patients. Our results may be influenced by unaccounted referral bias
or severity of illness. CONCLUSIONS: Perioperative internal medicine consultation
produces inconsistent effects on efficiency and quality of care in surgical
patients. Modifying the consultative model may represent an opportunity to
improve care.
PMID- 18039995
TI - Health care access, use of services, and experiences among undocumented Mexicans
and other Latinos.
AB - BACKGROUND: We compared access to health care, use of services, and health care
experiences for Mexicans and other Latinos by citizenship and immigrant
authorization status. METHODS: We acquired data from the 2003 California Health
Interview Survey, with 42,044 participants representative of noninstitutionalized
households. Participants were differentiated by ethnicity/race, national origin,
and citizenship/immigration authorization status. Outcome measures included
having a usual source of care, problems in obtaining necessary care, use of
physician and emergency department care, and 3 experiences with health care.
Multivariate analyses measured the associations of citizenship/immigration
authorization status with the outcome measures among foreign-born Mexicans and
other Latinos vs their US-born counterparts. RESULTS: In multivariate analyses,
undocumented Mexicans had 1.6 fewer physician visits (P < .01); compared with US
born Mexicans; other undocumented Latinos had 2.1 fewer visits (P < .01) compared
with their US-born counterparts. Both undocumented groups were less likely to
report difficulty obtaining necessary health care than US-born Mexicans (odds
ratio, 0.68; P < .01) and other US-born Latinos (odds ratio, 0.40; P < .01),
respectively. Undocumented Mexicans were less likely to have a usual source of
care (odds ratio, 0.70; P < .01) and were more likely to report negative
experiences than US-born Mexicans (odds ratio, 1.93; P < .01). Findings were
similar for other undocumented Latinos, with the exception of having a usual
source of care. Patterns of access to and use of health care services tended to
improve with changing legal status. CONCLUSION: In this large sample,
undocumented Mexicans and other undocumented Latinos reported less use of health
care services and poorer experiences with care compared with their US-born
counterparts, after adjustment for confounders in multivariate analyses.
PMID- 18039996
TI - A framework for tailoring clinical guidelines to comorbidity at the point of
care.
AB - BACKGROUND: Evidence is accumulating to suggest that clinical guidelines should
be modified for patients with comorbidities, yet there is no quantitative and
objective approach that considers benefits together with risks. METHODS: We
outline a framework using a payoff time, which we define as the minimum elapsed
time until the cumulative incremental benefits of a guideline exceed its
cumulative incremental harms. If the payoff time of a guideline exceeds a
patient's comorbidity-adjusted life expectancy, then the guideline is unlikely to
offer a benefit and should be modified. We illustrate the framework by applying
this method to colorectal cancer screening guidelines for 50-year-old men with
human immunodeficiency virus (HIV) and 60-year-old women with congestive heart
failure (CHF). RESULTS: We estimated that colorectal cancer screening payoff
times for 50-year-old men with HIV would range from 1.9 to 5.0 years and that
colorectal cancer screening payoff times for 60-year-old women with CHF would
range from 0.7 to 2.9 years. Because the payoff times for 50-year-old men with
HIV were lower than their life expectancies (12.5-24.0 years), colorectal cancer
screening may be beneficial for these patients. In contrast, because payoff times
for 60-year-old women with CHF were sometimes greater than their life
expectancies (0.6 to >5 years), colorectal cancer screening is likely to be
harmful for some of these patients. CONCLUSION: Use of a payoff time calculation
may be a feasible framework to tailor clinical guidelines to the comorbidity
profiles of individual patients.
PMID- 18039997
TI - Where high-risk adults receive influenza vaccine during a shortage.
PMID- 18039998
TI - Analgesic use and risk of hypertension: concern about bias.
PMID- 18039999
TI - Phosphorus-related mechanisms of vascular calcification.
PMID- 18040000
TI - Association between SSRI use and fractures and the effect of confounding by
indication.
PMID- 18040001
TI - Selective serotonin reuptake inhibitors and risk of fracture in elderly persons.
PMID- 18040002
TI - A possible role of recurrent major depression in risk of fracture.
PMID- 18040003
TI - Arthritis is associated with cardiovascular disease in the users of analgesics
and nonsteroidal anti-inflammatory drugs.
PMID- 18039994
TI - Sex, depression, and risk of hospitalization and mortality in chronic obstructive
pulmonary disease.
AB - BACKGROUND: We sought to determine whether depressive or anxiety symptoms are
associated with chronic obstructive pulmonary disease (COPD) hospitalization or
mortality. These data were collected as part of the National Emphysema Treatment
Trial (NETT), a randomized controlled trial of lung volume reduction surgery vs
continued medical treatment conducted at 17 clinics across the United States
between January 29, 1998, and July 31, 2002. METHODS: Prospective cohort study
among participants in the NETT with emphysema and severe airflow limitation who
were randomized to medical therapy. Primary outcomes were 1- and 3-year
mortality, as well as COPD or respiratory-related hospitalization or emergency
department visit during the 1-year follow-up period. Of 610 patients randomized
to medical therapy, complete data on hospitalization and mortality were available
for 3 years of follow-up for 603 patients (98.9%). RESULTS: Depressive symptoms
were assessed using the Beck Depression Inventory (BDI) questionnaire, and
anxiety was assessed using the State-Trait Anxiety Inventory. Among 610 subjects,
40.8% had at least mild to moderate depressive symptoms. Patients in the highest
quintile of BDI score (BDI score, >or=15) had an increased risk of respiratory
hospitalization in unadjusted analysis compared with patients in the lowest
quintile (BDI score, < 5) (odds ratio [OR], 2.26; 95% confidence interval [CI],
1.30-3.93). After adjustment for disease severity, this relationship was no
longer statistically significant. The adjusted risk of 3-year mortality was
increased among those in the highest quintile of BDI score (OR, 2.74; 95% CI,
1.42-5.29) compared with those in the lowest quintile. Anxiety was not associated
with hospitalization or mortality in this population. CONCLUSIONS: Depressive
symptoms are common in patients with severe COPD and are treated in few subjects.
Depressive symptoms are associated with increased risk for 3-year mortality but
not 1-year mortality or hospitalization.
PMID- 18040004
TI - Another possible cause of increased blood pressure in men older than 60 years who
are taking medications to control musculoskeletal pain.
PMID- 18040005
TI - A possible overestimation of the effect of aspirin.
PMID- 18040006
TI - Education research: communication skills for neurology residents: structured
teaching and reflective practice.
AB - OBJECTIVE: Despite the importance of communication skills for neurologists,
specific training in this area at the residency level is often lacking. This
study aimed to enhance learning of these skills and to encourage reflective
practice around communication skills. METHODS: A group of 12 neurology residents
participated in a series of six case-based communication skills workshops. Each
workshop focused on a particular clinical scenario, including breaking bad news,
discussing do-not-resuscitate orders, communicating with "difficult" patients,
disclosing medical errors, obtaining informed consent for neurologic tests and
procedures, and discussing life-and-death decisions with families of critically
ill patients. Residents also kept reflective portfolios in which real examples of
these interactions were recorded. RESULTS: The program was well accepted, and
residents rated the workshops as effective and relevant to their practice.
Analysis of residents' portfolios revealed three themes relevant to patient
physician communication: 1) communication is more successful when adequate time
is allowed, 2) the ability to empathize with patients and their families is
essential to successful interactions, and 3) the development of specific
approaches to challenging scenarios can facilitate effective interactions. The
portfolios also demonstrated that residents would engage in reflective practice.
CONCLUSIONS: Targeting of communication skills training around specific clinical
scenarios using neurologic cases was well accepted and was deemed relevant to
practice. The use of portfolios may promote lifelong learning in this area.
PMID- 18040007
TI - Seizure medications and their side effects.
PMID- 18040009
TI - Treatment of migraine: a headache for the emergency department.
PMID- 18040010
TI - Upsetting the balance among membrane channels can produce hyperexcitability or
inexcitability.
PMID- 18040011
TI - Association of diabetes, homocysteine, and HDL with cognition and disability
after stroke.
AB - OBJECTIVE: To delineate factors associated with cognitive function following
stroke and test the hypothesis that vascular risk factors associated with
oxidative stress impair recovery. METHOD: We performed a post hoc analysis of the
extensive longitudinal database from the 3,680 subjects (over 35 years old)
entered between 1996 and 2003 into the Vitamin Intervention for Stroke Prevention
trial using a linear mixed effects model. The primary outcome variables were
scores on the Mini-Mental State Examination (MMSE) and modified Rankin Scale
(mRS). RESULTS: MMSE and mRS gradually improved during the 2-year follow-up
period. Increased age and nonwhite race, recurrent stroke, diabetes mellitus,
left hemisphere cortical lesions, and values of high-density lipoprotein and
homocysteine were independent predictors of less successful cognitive recovery. A
strong interaction between homocysteine and age indicated a threshold effect
beginning in the late 50s. No vitamin treatment effects were identified. Similar
factors were identified for recovery of disability as assessed by the mRS,
although there were qualitative and quantitative differences. CONCLUSIONS: The
finding that diabetes, high-density lipoprotein, and homocysteine predict poorer
cognitive function and greater disability after stroke is consistent with the
hypothesis that metabolic stress plays a significant role in the poststroke
period.
PMID- 18040012
TI - Risk factor status and vascular events in patients with symptomatic intracranial
stenosis.
AB - BACKGROUND: There are limited data on the relationship between control of
vascular risk factors and vascular events in patients with symptomatic
intracranial arterial stenosis. METHODS: We utilized the Warfarin Aspirin
Symptomatic Intracranial Disease study database to analyze vascular and lifestyle
risk factors at baseline and averaged over the course of the trial. Cutoff levels
defining good control for each factor were prespecified based on national
guidelines. Endpoints evaluated included 1) ischemic stroke, myocardial
infarction, or vascular death or 2) ischemic stroke alone. Univariate
associations were assessed using the log-rank test and multivariable analysis was
done using Cox proportional hazards regression. RESULTS: From baseline until year
2 follow-up, there was not a significant improvement in blood pressure control.
During the same period, there were improvements in patients with total
cholesterol <200 mg/dL (54.6% to 79.2%, p < 0.001) or low-density lipoprotein
<100 mg/dL (28.7% to 55.9%, p < 0.001). Multivariable analysis showed that
systolic blood pressure >or=140 mm Hg (HR = 1.79, p = 0.0009, 95% confidence
limits 1.27 to 2.52), no alcohol consumption (HR 1.69, 1.21 to 2.39, p = 0.002),
and cholesterol >or=200 mg/dL (HR 1.44, 1.004 to 2.07, p = 0.048) were associated
with an increased risk of stroke, myocardial infarction, or vascular death. The
same risk factors were predictors of ischemic stroke alone in multivariable
analysis. CONCLUSIONS: Elevated blood pressure and cholesterol levels in
symptomatic patients with intracranial stenosis are associated with an increased
risk of stroke and other major vascular events.
PMID- 18040013
TI - Five-year mortality in relation to dementia and cognitive function in 95-year
olds.
AB - BACKGROUND: Dementia is a known predictor of mortality, but most studies include
small numbers of participants above age 90. The influence of dementia or
cognition on mortality in this age group is therefore uncertain. OBJECTIVE: To
examine 5-year mortality in relation to dementia and cognitive performance at age
95. METHODS: A population sample of 338 individuals examined at age 95 was
followed to age 100. Dementia was diagnosed according to DSM-III-R criteria.
Cognitive function was measured using the Mini-Mental State Examination (MMSE).
Information on severe physical disorders was obtained from the Swedish Hospital
Discharge Register, and date of death from the Swedish Population Register.
RESULTS: Five-year mortality was higher in 95-year-olds with dementia than in 95
year-olds without dementia (96% vs 73%; p < 0.0001), even when adjusting for
severe physical disorders. A Cox regression analysis with calculation of
population attributable risk (PAR), calculated from adjusted relative risks,
showed that mortality was predicted by dementia (PAR 42%), cardiac disease (PAR
17%), cancer (PAR 6%), and male sex (PAR 7%), but not by stroke. Among the
subjects without dementia, cognitive performance measured using the MMSE (n = 133
with complete tests; 81% of the subjects without dementia) predicted mortality.
For each point increase in the MMSE, mortality decreased by 13%. CONCLUSIONS: In
95-year-olds, dementia, as well as cognitive performance in the subjects without
dementia, influences mortality. When controlling for other severe medical
conditions we found dementia to be the leading cause of deaths among the oldest
old. The reason why dementia and cognitive function predict life expectancy
requires further elucidation.
PMID- 18040014
TI - Neuropsychological and neurophysiologic effects of carbamazepine and
levetiracetam.
AB - BACKGROUND: The relative effects of levetiracetam (LEV) and carbamazepine (CBZ)
on cognitive and neurophysiologic measures are uncertain. METHODS: The effects of
LEV and CBZ were compared in healthy adults using a randomized, double-blind, two
period crossover design. Outcome measures included 11 standard neuropsychological
tests and the score from a cognitive-neurophysiologic test of attention and
memory. Evaluations were conducted at screening, baseline pre-drug treatment, end
of each maintenance phase (4 weeks), and end of each washout period after drug
treatment. RESULTS: A total of 28 adults (17 women) with mean age of 33 years
(range 18 to 51) completed the study. Mean maintenance doses (+/-SD) were CBZ =
564 mg/day (110) and LEV = 2,000 mg/day (0). CBZ was adjusted to mid-range
therapeutic level. Mean serum levels (+/-SD) were CBZ = 7.5 mcg/mL (1.5) and LEV
= 32.2 mcg/mL (11.2). An overall composite score including all measures revealed
worse effects for CBZ compared to LEV (p I274 > A270 > G275). The average Phi-value for the whole
linker was approximately 0.64. One interpretation of this result is that the
gating motions of the M2-M3 linker are approximately synchronous with those of
much of M2 (approximately 0.64), but occur after those of the transmitter binding
site region (approximately 0.93) and loops 2 and 7 (approximately 0.77). We also
examined mutants of six cys-loop residues (V132, T133, H134, F135, P136, and
F137). Mutation of V132, H134, and F135 changed K(eq) by 2800-, 10-, and 18-fold,
respectively, and with an average Phi-value of 0.74, similar to those of other
cys-loop residues. Even though V132 and I274 are close, the energetic coupling
between I and V mutants of these positions was small (< or =0.51 kcal mol(-1)).
The M2-M3 linker appears to be the key moving part that couples gating motions at
the base of the ECD with those in TMD. These interactions are distributed along
an approximately 16-A border and involve about a dozen residues.
PMID- 18040058
TI - Acetylcholine receptor gating at extracellular transmembrane domain interface:
the "pre-M1" linker.
AB - Charged residues in the beta10-M1 linker region ("pre-M1") are important in the
expression and function of neuromuscular acetylcholine receptors (AChRs). The
perturbation of a salt bridge between pre-M1 residue R209 and loop 2 residue E45
has been proposed as being a principle event in the AChR gating conformational
"wave." We examined the effects of mutations to all five residues in pre-M1
(positions M207-P211) plus E45 in loop 2 in the mouse alpha(1)-subunit. M207,
Q208, and P211 mutants caused small (approximately threefold) changes in the
gating equilibrium constant (K(eq)), but the changes for R209, L210, and E45 were
larger. Of 19 different side chain substitutions at R209 on the wild-type
background, only Q, K, and H generated functional channels, with the largest
change in K(eq) (67-fold) from R209Q. Various R209 mutants were functional on
different E45 backgrounds: H, Q, and K (E45A), H, A, N, and Q (E45R), and K, A,
and N (E45L). Phi values for R209 (on the E45A background), L210, and E45 were
0.74, 0.35, and 0.80, respectively. Phi values for R209 on the wt and three other
backgrounds could not be estimated because of scatter. The average coupling
energy between 209/45 side chains (six different pairs) was only -0.33 kcal/mol
(for both alpha subunits, combined). Pre-M1 residues are important for expression
of functional channels and participate in gating, but the relatively modest
changes in closed- vs. open-state energy caused mutations, the weak coupling
energy between these residues and the functional activity of several unmatched
charge pairs are not consistent with the perturbation of a salt bridge between
R209 and E45 playing the principle role in gating.
PMID- 18040059
TI - Acetylcholine receptor gating: movement in the alpha-subunit extracellular
domain.
AB - Acetylcholine receptor channel gating is a brownian conformational cascade in
which nanometer-sized domains ("Phi blocks") move in staggering sequence to link
an affinity change at the transmitter binding sites with a conductance change in
the pore. In the alpha-subunit, the first Phi-block to move during channel
opening is comprised of residues near the transmitter binding site and the second
is comprised of residues near the base of the extracellular domain. We used the
rate constants estimated from single-channel currents to infer the gating
dynamics of Y127 and K145, in the inner and outer sheet of the beta-core of the
alpha-subunit. Y127 is at the boundary between the first and second Phi blocks,
at a subunit interface. alphaY127 mutations cause large changes in the gating
equilibrium constant and with a characteristic Phi-value (Phi = 0.77) that places
this residue in the second Phi-block. We also examined the effect on gating of
mutations in neighboring residues deltaI43 (Phi = 0.86), epsilonN39 (complex
kinetics), alphaI49 (no effect) and in residues that are homologous to alphaY127
on the epsilon, beta, and delta subunits (no effect). The extent to which
alphaY127 gating motions are coupled to its neighbors was estimated by measuring
the kinetic and equilibrium constants of constructs having mutations in alphaY127
(in both alpha subunits) plus residues alphaD97 or deltaI43. The magnitude of the
coupling between alphaD97 and alphaY127 depended on the alphaY127 side chain and
was small for both H (0.53 kcal/mol) and C (-0.37 kcal/mol) substitutions. The
coupling across the single alpha-delta subunit boundary was larger (0.84
kcal/mol). The Phi-value for K145 (0.96) indicates that its gating motion is
correlated temporally with the motions of residues in the first Phi-block and is
not synchronous with those of alphaY127. This suggests that the inner and outer
sheets of the alpha-subunit beta-core do not rotate as a rigid body.
PMID- 18040060
TI - Voltage-dependent dynamic FRET signals from the transverse tubules in mammalian
skeletal muscle fibers.
AB - Two hybrid voltage-sensing systems based on fluorescence resonance energy
transfer (FRET) were used to record membrane potential changes in the transverse
tubular system (TTS) and surface membranes of adult mice skeletal muscle fibers.
Farnesylated EGFP or ECFP (EGFP-F and ECFP-F) were used as immobile FRET donors,
and either non-fluorescent (dipicrylamine [DPA]) or fluorescent (oxonol dye
DiBAC(4)(5)) lipophilic anions were used as mobile energy acceptors. Flexor
digitorum brevis (FDB) muscles were transfected by in vivo electroporation with
pEGFP-F and pECFP-F. Farnesylated fluorescent proteins were efficiently expressed
in the TTS and surface membranes. Voltage-dependent optical signals resulting
from resonance energy transfer from fluorescent proteins to DPA were named QRET
transients, to distinguish them from FRET transients recorded using DiBAC(4)(5).
The peak DeltaF/F of QRET transients elicited by action potential stimulation is
twice larger in fibers expressing ECFP-F as those with EGFP-F (7.1% vs. 3.6%).
These data provide a unique experimental demonstration of the importance of the
spectral overlap in FRET. The voltage sensitivity of QRET and FRET signals was
demonstrated to correspond to the voltage-dependent translocation of the charged
acceptors, which manifest as nonlinear components in current records. For DPA,
both electrical and QRET data were predicted by radial cable model simulations in
which the maximal time constant of charge translocation was 0.6 ms. FRET signals
recorded in response to action potentials in fibers stained with DiBAC(4)(5)
exhibit DeltaF/F amplitudes as large as 28%, but their rising phase was slower
than those of QRET signals. Model simulations require a time constant for charge
translocation of 1.6 ms in order to predict current and FRET data. Our results
provide the basis for the potential use of lipophilic ions as tools to test for
fast voltage-dependent conformational changes of membrane proteins in the TTS.
PMID- 18040061
TI - The relationship of stress, impulsivity, and beliefs to drug use severity in a
sample of women prison inmates.
AB - There is a paucity of research examining substance abuse issues among women
prisoners. This study explored relationships between perceived stress,
impulsivity, and beliefs in the efficacy of drugs as these variables pertain to
self-reported drug use severity. Women prisoners (N = 100) participated in
structured face-to-face interviews based on established research instruments.
Although there was no significant correlation between demographic characteristics
and substance use severity, positive relationships were found between substance
use severity, perceived stress, impulsivity, and beliefs. A multiple linear model
was estimated regressing drug use severity on beliefs, impulsivity, and perceived
stress. Only the measures of beliefs and impulsivity were significant correlates
of drug use severity. Implications are discussed for prison substance abuse
programming and future research.
PMID- 18040063
TI - The human red blood cell proteome and interactome.
AB - The red blood cell or erythrocyte is easily purified, readily available, and has
a relatively simple structure. Therefore, it has become a very well studied cell
in terms of protein composition and function. RBC proteomic studies performed
over the last five years, by several laboratories, have identified 751 proteins
within the human erythrocyte. As RBCs contain few internal structures, the
proteome will contain far fewer proteins than nucleated cells. In this
minireview, we summarize the current knowledge of the RBC proteome, discuss
alterations in this partial proteome in varied human disease states, and
demonstrate how in silico studies of the RBC interactome can lead to considerable
insight into disease diagnosis, severity, and drug or gene therapy response. To
make these latter points we focus on what is known concerning changes in the RBC
proteome in Sickle Cell Disease.
PMID- 18040064
TI - Inflammation and oxidative stress in obstructive sleep apnea syndrome.
AB - Similar to obesity, with which it is closely associated, obstructive sleep apnea
syndrome (OSAS) is rapidly becoming a worldwide epidemic. Current knowledge of
its pathogenesis has been significantly enriched by numerous experimental studies
that have demonstrated an important role of oxidative stress and inflammation.
Furthermore, new and exciting data strongly connect these two components in the
perpetuation of the condition via the overexpression of nuclear factor kappaB.
Experimental data support the hypothesis that nutrition might represent a
promising future approach with antioxidants currently being good candidates for
the modulation of cardiovascular sequelae, although weight reduction and
controlled positive airway pressure remain the only established treatments for
OSAS. We discuss herein the recent literature that illustrates these new
paradigms and speculate on possible implications and future scenarios.
PMID- 18040065
TI - Effects of dexrazoxane and amifostine on evolution of Doxorubicin cardiomyopathy
in vivo.
AB - Doxorubicin is one of the most active drugs in oncology, with cardiotoxicity as a
serious side effect of its application. The aim of this study was to investigate
dexrazoxane and amifostine impact on the evolution of myocardial changes induced
by doxorubicin. BalbC female mice were treated with doxorubicin only (10 mg/kg,
single intravenous push), or with dexrazoxane (200 mg/kg, intraperitoneal [ip])
or amifostine (200 mg/kg, ip) 60 mins or 30 mins prior to treatment with
doxorubicin, respectively. Blood sampling for determination of conventional serum
marker activity was performed 48 hrs later. The grade of histopathology changes
was evaluated by light microscopy 1.5 and 3 months after treatments using the
Billingham scoring method. Control groups consisted of nontreated mice. After
doxorubicin-only treatment, the grade of heart tissue damage was found to
increase in the period between 1.5 and 3 months. A similar but less intense
progression was also detected in amifostine-pretreated animals, with significant
difference among median Billingham scores between the two time points. The
pretreatment with dexrazoxane suspended expansion of tissue lesions in time.
Changes in serum enzyme activity revealed two correlations: the greater reduction
in alpha-hydroxybutyrate dehydrogenase (alpha-HBDH) leakage is associated with a
lower percentage of damaged tissue, and the creatine kinase to alpha-HBDH percent
of difference ratio being greater than one is correlated with limited spreading
of pathological lesions. Our results indicate that the development of doxorubicin
induced heart failure is based on a slow and persistent expansion of pathological
process even long after the completion of the treatment. Dexrazoxane has proved
to be successful and superior over amifostine against such an evolution of
doxorubicin cardiomyopathy.
PMID- 18040066
TI - Polygoni cuspidati radix inhibits the activation of Syk kinase in mast cells for
antiallergic activity.
AB - The antiallergic activity of Polygoni cuspidati radix (PR) and the mechanism of
action by which it functions were investigated in this study. The extract of PR
exhibited potent inhibitory activity in mast cells; its IC50 values were 62 +/-
2.1 microg/ml for RBL-2H3 mast cells and 46 +/- 3.2 microg/m for bone marrow
derived mast cells by antigen stimulation, and it also suppressed the expression
of tumor necrosis factor-alpha and interleukin-4 in RBL-2H3 cells. According to
the in vivo animal allergy model, it inhibited a local allergic reaction, passive
cutaneous anaphylaxis, in a dose-dependent manner. With regard to its mechanism
of action, PR inhibited the activating phosphorylation of Syk, a key signaling
protein for the activation of mast cells. It also suppressed Akt and the mitogen
activated protein kinases ERK1/2, p38, and JNK, which are critical for the
production of various inflammatory cytokines in mast cells. The results of the
study indicate that the antiallergic activity of PR is mediated through the
inhibition of histamine release and allergic cytokine production by the
inhibition of Syk activating phosphorylation in mast cells.
PMID- 18040067
TI - Nitrosyl-cobinamide, a new and direct nitric oxide releasing drug effective in
vivo.
AB - A limited number of nitric oxide (NO)-generating drugs are available for clinical
use for acute and chronic conditions. Most of these agents are organic nitrates,
which do not directly release NO; tolerance to the drugs develops, in part, as a
consequence of their conversion to NO. We synthesized nitrosyl-cobinamide (NO
Cbi) from cobinamide, a structural analog of cobalamin (vitamin B12). NO-Cbi is a
direct NO-releasing agent that we found was stable in water, but under
physiologic conditions, it released NO with a half-life of 30 mins to 1 h. We
show in five different biological systems that NO-Cbi is an effective NO
releasing drug. First, in cultured rat vascular smooth muscle cells, NO-Cbi
induced phosphorylation of vasodilator-stimulated phosphoprotein, a downstream
target of cGMP and cGMP-dependent protein kinase. Second, in isolated Drosophila
melanogaster Malpighian tubules, NO-Cbi-stimulated fluid secretion was similar to
that stimulated by Deta-NONOate and a cGMP analog. Third, in isolated mouse
hearts, NO-Cbi increased coronary flow much more potently than nitroglycerin.
Fourth, in contracted mouse aortic rings, NO-Cbi induced relaxation, albeit to a
lesser extent than sodium nitroprusside. Fifth, in intact mice, a single NO-Cbi
injection rapidly reduced blood pressure, and blood pressure returned to normal
after 45 mins; repeated NO-Cbi injections induced the expected fall in blood
pressure. These studies indicate that NO-Cbi is a useful NO donor that can be
used experimentally in the laboratory; moreover, it could be developed into a
vasodilating drug for treating hypertension and potentially other diseases such
as angina and congestive heart failure.
PMID- 18040068
TI - QiHong prevents death in coxsackievirus B3 induced murine myocarditis through
inhibition of virus attachment and penetration.
AB - Viral myocarditis affects about 5% to 20% of the population. So far, there are
not many effective antiviral treatments available. QiHong, the combination of the
extracts from Astragali (Huangqi), Rhadiola rosea (Hongjingtian), and Sophora
flavescens (Kushen), was developed based on laboratory research. The aim of this
study was to investigate the effect and mechanism of QiHong on coxsackievirus B3
(CVB3)-induced myocarditis. The antiviral activity of QiHong in vitro was
evaluated on HeLa and Vero cells infected by CVB3. Ribavirin was chosen as
positive control. Our results showed that QiHong possessed potent antiviral
effects on CVB3 by sodium 3'-[1-(phenylamino-carbonyl)-3, 4-tetrazolium]-bis (4
methoxy-6-nitro) benzene sulfonic acid and plaque-forming assay (50% inhibitory
concentrations [IC50] were 7.16 +/- 0.8 microg/ml and 2.63 +/- 0.5 microg/ml,
respectively). The 50% cytotoxicity concentration (CC50) was 16-fold higher in
QiHong-treated cells than in ribavirin-treated cells. Time course studies
demonstrated that the antiviral effect of QiHong was mainly found during 0-4 hrs
of infection, and it blocked the attachment and penetration of CVB3 into cells.
In vivo 4-week-old male Balb/C mice were used and inoculated intraperitoneally
with CVB3 suspension or normal saline. At 48 hrs after inoculation, the infected
mice were gavaged with QiHong or ribavirin. On Day 6, myocardial virus titers
were significantly lower in the QiHong-treated group than in the viral-infected
groups. On Day 14, QiHong significantly ameliorated CVB3-induced myocardium
necrosis; on Day 28, QiHong treatment increased survival rate 4-fold compared
with CVB3-infected controls (64% vs. 16%; P < 0.05). The results showed that
QiHong is a very promising potent antiviral agent with a highly significant
favorable effect on survival and pathologic changes in CVB3-induced myocarditis
with less toxicity than ribavirin. The antiviral activity of QiHong is at least
partially due to an inhibitory effect on virus attachment and penetration.
PMID- 18040069
TI - Fundectomy-evoked osteopenia in pigs is mediated by the gastric-hypothalamic
pituitary axis.
AB - The aim of the study was to determine the effects of gastric impairment in pigs
on the axial and peripheral skeletal system properties and to test the hypothesis
that fundectomy-evoked osteopenia is related to disturbed gastric-hypothalamic
pituitary axis function. Forty-day-old male piglets were subjected to
experimental fundectomy (FX group, n = 6) to induce osteopenia, while sham
operation was performed in the controls (SHO group, n = 6). At the age of 8
months, serum samples were collected, and the animals were sacrificed to obtain
lumbar vertebrae (L1-L6) and right humerus for analysis. Using quantitative
computed tomography (QCT) and dual-energy x-ray absorptiometry (DEXA) methods,
bone mineral density and bone mineral content of the vertebrae and humerus were
measured. The compression and three-point bending tests were applied to determine
mechanical properties of lumbar vertebrae and humerus, respectively. Furthermore,
geometric properties of humerus were assessed. Serum concentrations of ghrelin,
growth hormone (GH), insulin-like growth factor-1 (IGF-1), and selected macro-
and microelements were also determined. Performed fundectomy decreased body
weight in pigs by 66% compared with pair-fed sham operated pigs (P < 0.0001).
Bone weight, bone mineral density, and bone mineral content of the lumbar
vertebrae and humerus were significantly decreased in the fundectomized pigs (P <
0.01). Mechanical parameters of the lumbar spine and humerus were decreased after
the fundectomy, as well. Serum concentrations of ghrelin, GH, and IGF-1 were
lowered by 74.4%, 90.6%, and 54.6% in the fundectomized pigs, respectively (all P
< 0.001). Moreover, the serum concentrations of calcium, magnesium, iron and
copper in the fundectomized animals were significantly decreased by 15.5%, 45.3%,
26.7%, and 26.2%, respectively (P 0.005 using paired sample T test) in all the domains of QOLIE-31 in the
good outcome group after surgery. Health-related quality of life improvement was
seen in all the domains in the poor outcome group also, however, it was
statistically significant only for the following parameters: seizure worry,
overall QOL, emotional wellbeing, energy fatigue and social functioning domains.
Improvement in seizure worry, overall QOL, emotional wellbeing and social
functioning was significantly more in Group 1 as compared to Group 2. CONCLUSION:
Complete seizure-free state after surgery is associated with very significant
improvement in HRQOL parameters. Several, but not all parameters of HRQOL as
assessed by QOLIE-31, improved after surgery even in the poor seizure outcome
group. The improvement in domains of seizure worry, overall QOL, emotional
wellbeing and social functioning is significantly more in those patients in whom
complete seizure-free state is achieved.
PMID- 18040105
TI - Gender differences in blood lipids and the risk of ischemic stroke among the
hypertensive adults in rural China.
AB - BACKGROUND: Though large epidemiological studies have not established
associations between blood lipids and ischemic stroke, increasing evidences have
suggested that lipid-modifying agents may reduce cerebrovascular events. AIMS: To
determine whether blood lipids are risk factors for ischemic stroke among
hypertensive rural adults in China. SETTINGS AND DESIGN: A cross-sectional survey
was conducted during 2004-2006, which underwent cluster multistage sampling to a
hypertensive resident group in the countryside of China. MATERIALS AND METHODS: A
total of 6,412 individuals (2,805 men, 3,607 women) with age>or=35 years were
included. At baseline, lifestyle and other factors were obtained and blood lipids
were assessed at a central study laboratory. Ischemic stroke was defined
according to the criteria established by the National Survey of Stroke and all
cases were further classified into lacunar infarction and other ischemic strokes.
STATISTICAL ANALYSIS: Univariable and multivariable logistic regression were
used. RESULTS: In the univariable logistic regression model, LDL cholesterol
(LDLc) in men and total cholesterol (TC), LDLc and TC-to-HDL cholesterol (TC:
HDLc ratio) in women were risk factors for other ischemic strokes, with OR 1.42
(95% CI, 1.16-1.75), 1.31 (95% CI, 1.11-1.55), 1.47 (95% CI, 1.16-1.88) and 1.67
(95% CI, 1.28-2.14), respectively. After adjusting for independent variables, an
increase in non-HDL cholesterol (non-HDLc) was associated with a significant
increased risk of other ischemic strokes in women, with adjusted OR 1.45 (95% CI,
1.08-1.93). CONCLUSIONS: LDLc was the common risk factor for ischemic stroke in
men and women, whereas Non-HDLc, TC and TC: HDLc ratio levels were related to
ischemic stroke as risk factors only in women.
PMID- 18040107
TI - Intensive care management of head injury patients without routine intracranial
pressure monitoring.
AB - BACKGROUND: Head injury contributes significantly to mortality and morbidity in
India. Evaluation of the available trauma care facilities may help improve
outcome. AIM: To evaluate the factors influencing the mortality of patients with
head injury who had intensive care management and evolve strategies to improve
outcome. SETTING AND DESIGN: Retrospective study in a tertiary hospital where
intracranial pressure monitoring (ICPM) is not routinely practiced. MATERIALS AND
METHODS: All patients with head injury managed in the intensive care unit in a
two-year period were included. The factors evaluated were age, vital signs,
Glasgow Coma scale score (GCS) at admission, pupillary light reflex (PR),
oculocephalic reflex (OCR), hemodynamic stability, computerized tomography (CT)
findings, diabetes mellitus, anemia, infections and abnormalities of serum
sodium. RESULTS: We analyzed 208 patients (202 without ICPM). In-hospital
mortality was 64 (31%). Only 24 (11.5%) patients were admitted within one hour of
injury, while one-third arrived after six hours. The clinical factors (at
admission) that influenced mortality included age, GCS, PR, OCR and diastolic
blood pressure (DBP). Effacement of the basal cisterns in the initial and repeat
CT scans, hyperglycemia, hemodynamic instability and serum sodium imbalances were
associated with higher mortality. The independent predictors of mortality by
logistic regression were initial GCS, DBP, hemodynamic instability and effacement
of cisterns on repeat CT. CONCLUSIONS: Mortality following head injury is high.
Pre-hospital emergency medical services are disorganized. The key to reducing
mortality within the limitations of our current trauma system is maintenance of
DBP>70 mmHg and SBP>90 mmHg from the time of first contact.
PMID- 18040108
TI - Individualized ventriculostomy in hydrocephalus: an intravital anatomical study.
AB - BACKGROUND: Ventriculostomy is a common neuroendoscopic operation but one with
disastrous complications in rare cases. AIMS: The aim of this study was to
perform an intravital analysis of the configuration at the floor of the third
ventricle as a possible basis for selection of the ventriculostomy site.
MATERIALS AND METHODS: The study population consisted of 32 patients who
underwent ventriculostomy for the treatment of hydrocephalus. Perforation of the
floor of the third ventricle was carried out on an individual basis following
evaluation of the anatomic situation. Video material and magnetic resonance
images (MRI) were analyzed. RESULTS: A classification system including three
major groups was developed using the inner distance of the mamillary bodies as
the key criterion. It was defined as narrow for values between 0 and 1 mm
(observed range: 0-0.5 mm), medium for values between 1.1 and 3.4 mm (range 1.1
3.4 mm) and large for values greater than 3.4 mm (range: 3.8-6.9 mm). Statistical
analysis of MR and video measurements revealed a good correlation. The
ventriculostomy site was rostral of the mamillary bodies in 23 of the patients
(n=27) and slightly occipital in four. The ventriculostomy site was located more
to the left in 22 patients and more to the right in five. CONCLUSION: As a
conclusion the ventriculostomy site has to be chosen in each case following a
careful review of all available information. A classification system for the
anatomical variations as well as the exact size and site of ventriculostomy
should be introduced.
PMID- 18040109
TI - Occipitocervical contoured rod stabilization: does it still have a role amidst
the modern stabilization techniques?
AB - BACKGROUND: The occipitocervical contoured rod (CR) stabilization for use in
craniovertebral junction (CVJ) pathologies is an effective and economical
technique of posterior fusion (PF). AIMS: The various indications for CR in CVJ
pathologies are discussed. SETTINGS AND DESIGN: Retrospective analysis. MATERIALS
AND METHODS: Fifty-four patients (mean age: 31.02+/-13.44 years; male: female
ratio=5.75:1) who underwent CR stabilization are included. The majority had
congenital atlantoaxial dislocation (AAD; n=50); two had CVJ tuberculosis; one
each had rheumatoid arthritis and C2-3 listhesis, respectively. The indications
for CR fusion in congenital AAD were associated Chiari 1 malformation (C1M)
(n=29); occipitalized C1 arch and/or malformed or deficient C1 or C2 posterior
elements (n=9); hypermobile AAD (n=2); and, rotatory AAD (n=3). Contoured rod as
a revision procedure was also performed in seven patients. Most patients were in
poor grade (18 in Grade III [partial dependence for daily needs] and 15 in Grade
IV [total dependence]); 15 patients were in Grade II [independent except for
minor deficits] and six in Grade I [no weakness except hyperreflexia or neck
pain]. RESULTS: Twenty-four patients improved, 18 stabilized and six deteriorated
at a mean follow-up (FU) of 17.78+/-19.75 (2-84) months. Six patients were lost
to FU. In 37 patients with a FU of at least three months, stability and bony
union could be assessed. Thirty-one of them achieved a bony fusion/stable
construct. CONCLUSIONS: Contoured rod is especially useful for PF in cases of
congenital AAD with coexisting CIM, cervical scoliosis, sub-axial instability
and/or asymmetrical facet joints. In acquired pathologies with three-column
instability, inclusion of joints one level above the affected one by using CR,
especially enhances stability.
PMID- 18040110
TI - Validity and reliability of the Persian version of the multiple sclerosis quality
of life questionnaire.
AB - BACKGROUND AND AIMS: To translate and test the reliability and validity of the
Multiple Sclerosis Quality of Life Questionnaire (MSQoL-54) in Iranian MS
patients. SETTING AND DESIGN: Using a standard "forward-backward" translation,
cognitive debriefing and cultural adaptation procedure, the English version of
the MSQoL-54 was translated to Persian which is the Iranian official language.
MATERIALS AND METHODS: The subjects were multiple sclerosis (MS) patients
referred to Motaharri clinic, Shiraz, South of Iran. Demographic data were
recorded. Epidemiological data concerning MS type, duration of the disease,
Functional System Score (FSS) and Expanded Disability Status Scale (EDSS) of
patients were also provided by a qualified neurologist. STATISTICAL ANALYSIS: The
reliability of the questionnaire was assessed by Cronbach's alpha coefficient.
Construct validity was assessed through factor analysis. Factor analysis was
performed to determine that the Persian version is a two-dimensional measure
including physical and mental parameters. RESULTS: Multiple sclerosis patients
(female:106 (75.2%), male:35 (24.8%)), with a mean+/-SD age of 32.2+/-9.8 years
were enrolled in the study. Cronbach's alpha was 0.962. There were no significant
differences between each item and the mean of physical and mental scores of MSQoL
54, regarding sex, marital status and education. There was a negative significant
correlation between EDSS and physical health, role limitation due to physical
problems, pain, energy, health perception, social function, cognitive function,
health distress, overall Quality of Life. The scaling success rates were 100%,
demonstrating convergent validity of each scale. Factor analysis confirmed the
construct validity of the questionnaire. CONCLUSIONS: The Persian version of the
MSQoL-54 questionnaire has a good structural characteristic, it is a reliable and
valid instrument and can be used for measuring the effect of MS on the Quality of
Life.
PMID- 18040111
TI - Anesthesia for awake craniotomy: a retrospective study.
AB - CONTEXT: Awake craniotomy is increasingly performed the world over. We share our
experience of performing craniotomy awake with our anesthetic protocol. AIMS: To
evaluate and analyze the anesthesia records of the patients who underwent awake
craniotomy at our institution. SETTINGS AND DESIGN: University teaching hospital,
Retrospective study. MATERIALS AND METHODS: We reviewed records of the 42
consecutive patients who underwent awake craniotomy under conscious sedation
using Fentanyl and Propofol infusion until December 2005. The drugs were titrated
(Bispectral monitoring was used in 16 patients) to facilitate intermittent
intraoperative neurological testing. All patients received scalp blocks with a
mixture of bupivacaine and lignocaine with adrenaline. Haloperidol and
ondansetron were administered in all patients at induction of anesthesia.
RESULTS: All patients completed the procedure. One patient each needed
endotracheal intubation and LMA for airway control during closure, while another
required CPAP perioperatively because of desaturation to <80%. There was
significantly decreased use of anesthetics (P<0.001) and a trend towards
reduction in complications (e.g. respiratory depression and deep sedation)
(P>0.05) with the use of BIS as compared to without BIS. Intraoperative
complications were hypertension (19%), tight brain (14.2%), focal seizure (9.5%)
respiratory depression (7.1%), deep sedation (7.1%), tachycardia (7.1%) and
bradycardia. Two patients desaturated to <95%. 23.8% patients developed transient
neurological deficits. The most frequent postoperative complications were PONV
(19%) and seizures (16.6%). CONCLUSIONS: With the use of advanced monitoring and
newer anesthetics, awake craniotomy is a relatively safe procedure with an
accepted rate of complications.
PMID- 18040112
TI - Syndrome of spontaneous cerebrospinal fluid hypovolemia: report of six cases.
AB - Syndrome of spontaneous cerebrospinal fluid hypovolemia (SCH) is a rare cause of
new onset headache. We report six cases of SCH presenting with new onset
headache. All six cases were females. Acute onset orthostatic headache and neck
pain were the chief characteristics of SCH in our cases. The MRI brain showed
pachymeningeal gadolinium enhancement in all patients. Spinal extradural CSF
collection was demonstrable on MRI in three cases. All cases improved with
conservative therapy. High index of clinical suspicion and contrast enhanced MRI
brain is the key to accurate diagnosis in the majority of cases.
PMID- 18040113
TI - Magnetic resonance spectroscopy study in basal ganglia of patients with myoclonic
epilepsy with ragged-red fibers.
AB - Abnormal magnetic resonance spectroscopic (MRS) signals in the basal ganglia may
be one of the characteristics in mitochondrial disease. We report MRS study in a
family with myoclonic epilepsy with ragged-red fibers (MERRF). Their MRS studies
over the basal ganglia revealed decreased N-acetylaspartate/creatine ratio and
increased choline/creatine ratio in the four symptomatic members, but normal in
the two asymptomatic members. However, negative MRI study was found in all
members of this family. Our report suggests that the increased choline/creatine
ratio in basal ganglia MRS may be one of the early information to suspect MERRF
disease.
PMID- 18040114
TI - Teflon sponge shunt for recurrent arachnoid cyst.
AB - A 50-year-old female presented with complaints of progressive ataxia.
Investigations showed a large intradural arachnoid cyst located anterior to the
brainstem. Following marsupialization of the cyst she improved remarkably in her
symptoms. The symptoms recurred nine months later and investigations revealed
recurrence of the cyst. The cyst was evacuated again and two Teflon sponge sheets
were placed such that they traversed the length of the cyst cavity and extended
into the cisterna magna. At follow-up after 25 months, there has been no
recurrence of symptoms or the cyst. The role and advantages of Teflon sponge in
such cases is evaluated.
PMID- 18040115
TI - Worsening of cavernous sinus dural arteriovenous fistula with incomplete superior
ophthalmic thrombosis after palliative transarterial embolization.
AB - Worsening of ocular symptoms in cavernous sinus dural arteriovenous fistulae
(CSDAVF) is rarely due to superior ophthalmic vein (SOV) thrombosis after
palliative transarterial embolization and may resolve spontaneously. We present a
unique case of a 38-year-old female whose ocular symptoms worsened three days
after palliative embolization. Repeat angiography revealed incomplete thrombus of
SOV and severe orbital venous congestion. Complete occlusion of the CSDAVF was
achieved by urgent transvenous embolization through the SOV and the patient's
ocular symptoms and visual impairment recovered. In such situations repeat
angiogram may be needed in evaluating the subtle angiographic changes and
curative embolization may be necessary.
PMID- 18040116
TI - Postural tremor induced by paint sniffing.
AB - Volatile substance abuse is the intentional inhalation of volatile solvents,
aerosols, gases or nitrates for the purpose of intoxication. This practice is
more common among young people, due, in part, to the low cost and ready
availability of these inhalants. In this report, we present the case of a 22-year
old male with a seven-year history of chronic paint sniffing. The patient
presented with vigorous postural and kinetic tremor in both hands. A neurological
examination revealed a bilateral, non-fatiguing geotropic positional nystagmus
and a mild ataxia together with dysdiadochokinesis. He also had a mild chronic
encephalopathy. Following treatment with clonazepam, the tremors subsided, but
were not completely controlled.
PMID- 18040117
TI - Treatment of traumatic trigeminal-cavernous fistula by coil embolization and
compression of carotid artery.
AB - We report a case of a traumatic cavernous fistula supplied by a persistent
primitive trigeminal artery. The process of treatment was unique in this case.
Fistula was subcompletely occluded by coiling from primitive trigeminal artery.
Residual fistula was helped to form thrombosis by compression of the carotid
artery with hand in the procedure. Long-term follow-up was satisfactory.
Traumatic cavernous fistula supplied by a persistent primitive trigeminal artery
could be treated by embolization and temporal compression of the parent artery
might be useful for residual minimal fistula.
PMID- 18040118
TI - Dysmyelinating neuropathy in benign form of megalencephalic leukoencephalopathy
with subcortical cysts: a novel observation from south India.
AB - A 37-year-old gentleman presented with macrocephaly since early childhood and
progressive impairment of motor and cognitive functions. Magnetic resonance
imaging revealed extensive white matter involvement and frontotemporal
subcortical cysts. Absent ankle jerk and abnormal nerve conduction study raised a
possibility of associated peripheral neuropathy. Sural nerve biopsy was
suggestive of dysmyelinating neuropathy. This report serves to expand the
clinical spectrum of this rare leukodystrophy.
PMID- 18040119
TI - Postoperative reversible deterioration in a spinal dural arteriovenous fistula.
AB - This 61-year-old man presented with weakness and sensory disturbance in the legs.
There was a spinal dural arteriovenous fistula (SDAVF) fed by the left sixth
intercostal artery with dorsal perimedullary drainage. Surgical division of the
perimedullary drainage led to rapid neurological improvement. However, on the
second postoperative day he experienced transient deterioration of second neuron
function in the left upper lumbar segment resulting in motor weakness of the
proximal leg muscles, absence of the patellar deep tendon reflex and thigh pain.
No radiological findings explaining this deterioration were obtained. He was
treated conservatively and all segmental symptoms and signs subsided by the fifth
postoperative day. Although the precise mechanisms underlying the dramatic but
often reversible deterioration after radical SDAVF treatment remain to be
determined, we postulate that this was attributable to postoperative segmental
venous hemodynamic changes based on the neurological changes.
PMID- 18040120
TI - An incidentally detected third ventricle chordoid glioma.
AB - Chordoid glioma is a rare low-grade tumor located in the third ventricle
hypothalamic region. Since its first report, 37 cases have been described in the
literature. We report on an additional case that we considered significant
because of its incidental detection and its uneventful surgical removal.
PMID- 18040122
TI - Association between pituitary adenomas and intracranial aneurysms: an
illustrative case and review of the literature.
AB - The co-existence of cerebral aneurysms and pituitary adenomas is rare. Here, we
report a patient with a coexisting anterior communicating artery aneurysm and a
pituitary adenoma and review the available literature concerning this phenomenon.
There is a debate in the literature regarding any causal relationship between
aneurysms and pituitary tumors, although there are many reports of aneurysms
caused by trauma or radiation following treatment of pituitary tumors. These
simultaneous lesions are best diagnosed with magnetic resonance imaging with
magnetic resonance angiography. Craniotomy for simultaneous aneurysm clipping and
resection of the pituitary tumor is the best treatment option.
PMID- 18040121
TI - Severe phenytoin toxicity in a CYP2C9*3*3 homozygous mutant from India.
AB - The authors report an Indian adult female patient with a history of generalized
tonic clonic seizures who developed severe features of phenytoin (DPH) toxicity
on therapeutic dosage of this antiepileptic drug. Administration of 300 mg/day of
DPH in this patient resulted in toxic symptoms associated with an excessive serum
DPH concentration of 33 microg/ml. The PCR-RFLP analysis revealed a homozygosity
involving CYP2C9*3*3. This mutation results in a marked decrease in the enzymatic
activity (CYP2C9) and leads to a decreased clearance of the drug which can lead
to severe acute and chronic toxicity. On switching the antiepileptic therapy from
DPH to sodium valproate, there was reversal of both.
PMID- 18040123
TI - Acute intermittent porphyria presenting with neurological emergency: review of
six cases.
AB - Acute intermittent porphyria presenting with short duration of gastrointestinal
symptoms followed by rapidly progressive fulminant neurological syndrome during
first attack is relatively uncommon. It is a neurological emergency and mimics
many other psychiatric and medical disorders and can be fatal if it remains
undiagnosed and untreated. Further, specific treatment in the form of Heme
arginate is not universally available and very costly, so high clinical suspicion
and early diagnosis and management of acute attack and prevention of further
attacks are very important. We report a series of six cases who presented with
convulsion and/or polyneuropathy early in the course of disease to highlight this
fact.
PMID- 18040124
TI - Intracerebral hemorrhage in a patient with Churg-Strauss syndrome.
PMID- 18040125
TI - Survival in rhinocerebral mucormycosis: is iron the key?
PMID- 18040126
TI - Bilateral thalamic involvement in dengue infection.
PMID- 18040127
TI - Nuchal extra-abdominal aggressive fibromatosis of desmoid type in a 77-year-old
female.
PMID- 18040128
TI - Paradoxical progression of conus tuberculoma during chemotherapy of tuberculous
meningitis.
PMID- 18040129
TI - Variability of the palmar cutaneous branch median nerve sensory nerve action
potential with carpal tunnel syndrome.
PMID- 18040130
TI - Subperiosteal hematoma of the orbit associated with subfrontal hematoma
presenting as proptosis.
PMID- 18040131
TI - Ganglion: an uncommon cause of compressive peroneal neuropathy.
PMID- 18040132
TI - Relapsing myelopathy as the initial manifestation of primary central nervous
system angiitis.
PMID- 18040133
TI - Spinal cord involvement and ganglionitis in leprosy.
PMID- 18040134
TI - Osteochondroma of rib with neural foraminal extension and cord compression.
PMID- 18040135
TI - Giant sphenoethmoidal nasopaharyngeal schwannoma with anterior skull base
extension managed by a total anterior transbasal approach.
PMID- 18040136
TI - Lumbosacral subdural hematoma following a ruptured aneurysmal subarachnoid
hemorrhage.
PMID- 18040137
TI - Complex spontaneous extracranial-intracranial collateralization in progressive
systemic atherosclerotic disease.
PMID- 18040138
TI - Scalp and intracranial metastasis from pleomorphic adenocarcinoma of the parotid
gland.
PMID- 18040139
TI - Elevated cerebrospinal fluid levels of placental alkaline phosphatase and beta
human chorionic gonadotrophin in a case of intracranial germinoma with normal
levels in blood.
PMID- 18040140
TI - An extra hill in a poly-hill sign in a patient with facioscapulohumeral
dystrophy.
PMID- 18040141
TI - Subdural hematoma, subarachnoid hemorrhage and intracerebral parenchymal
hemorrhage secondary to cerebral sinovenous thrombosis: a rare combination.
PMID- 18040143
TI - MALT lymphoma : recent advances in aetiology and molecular genetics.
AB - Mucosa-associated lymphoid tissue (MALT) lymphoma is a common low grade B-cell
lymphoma arising from a background of chronic inflammatory disease at a number of
mucosal sites. Those originating in the stomach are causatively linked to
Helicobacter pylori infection and eradication of the bacterium with antibiotics
leads to long-term complete regression of the lymphoma in aproximately 70% of
cases. Now, there is further evidence of linking Campylobacter jejuni, Borrelia
burgdorferi and Chlamydia psittaci infection with immunoproliferative small
intestine disease, MALT lymphoma of the skin and ocular adnexa respectively.
t(11;18)/API2-MALT1, t(1;14)/IGH-BCL10, t(14;18)/IGH-MALT1 and t(3;14)/IGH-FOXP1
occur at considerably variable incidences in MALT lymphomas of different sites.
The first three chromosome translocations are specifically associated with the
MALT lymphoma entity and the oncogenic products of these translocations have been
shown to target a common molecular pathway, i.e. the nuclear factor-kappaB
pathway. Here, I review the recent advances in our understanding of the
association of microbial pathogens with MALT lymphoma of various sites and the
molecular genetics underlying the lymphoma development.
PMID- 18040144
TI - Radioimmunotherapy for non-hodgkin lymphoma : historical perspective and current
status.
AB - Radioimmunotherapy (RIT) treatment for lymphoma is a novel targeted therapeutic
approach. Several years of development of radioimmunotherapeutic compounds came
to fruition in February of 2002 when (90)Y-ibritumomab tiuxetan (Zevalin, Y2B8)
was approved in the USA and later in Europe, for the treatment of relapsed or
refractory, low grade or transformed B-cell lymphoma. (90)Y-ibritumomab tiuxetan
utilizes a monoclonal anti-CD20 antibody to deliver beta-emitting yttium-90 to
the malignant B-cells. Clinical trials have demonstrated its efficacy, which is
largely independent of the intrinsic activity of the anti-CD20 antibody. A
similar anti-CD20 radiotherapeutic compound, (131)I-tositumomab, was subsequently
approved in the USA. The advantages of increased efficacy compared to the naked
antibody are gained at the expense of myelotoxicity which is dose limiting but
reversible. Studies exploring expanded applications of radioimmunotherapy have
been recently completed or are under way. It is hoped that RIT will be an ideal
agent for consolidation after chemotherapy for both indolent and aggressive non
Hodgkin lymphoma as well as a useful addition to preparatory high dose regimens
prior to transplant. RIT has been shown to be an effective and clinically
relevant complementary therapeutic approach for patients with lymphoma.
PMID- 18040145
TI - Enhancement of anti-tumor cytotoxicity of expanded gammadelta T Cells by
stimulation with monocyte-derived dendritic cells.
AB - In order to establish the method of generating powerful gammadelta T cells for
anti-tumor immunotherapy, we investigated the effects of monocyte-derived
dendritic cells (mo-DCs) on anti-tumor cytotoxicity of expanded gammadelta T
cells. Activation of gammadelta T cells co-cultured for 2-3 days with immature or
mature mo-DCs was evaluated by CD69 expression and anti-tumor cytotoxicity using
two assays : the 5- (and 6-) carboxyfluorescein diacetate, succinimidyl ester
based cytotoxicity assay and the calcein-AM-based Terascan assay. gammadelta T
cells were used as effector cells and myeloma cell line (RPMI8226) or chronic
myelogenous leukemia blastic crisis cell line (C2F8) were used as target cells.
CD69 expression on gammadelta T cells was enhanced by co-culture with both
immature and mature mo-DCs in a cell-number-dependent fashion. CD69 expression
was enhanced after addition of mo-DCs of either autologous or allogeneic origin.
Activation of gammadelta T cells with mo-DCs enhanced anti-tumor cytotoxicity of
gammadelta T cells against RPMI8226 and C2F8 in an effector-to-target ratio
dependent manner. Activation of gammadelta T cells by mo-DCs was associated with
the enhancement of anti-tumor cytotoxicity of gammadelta T cells. Potent
gammadelta T cells activated by mo-DCs were considered to be applicable to an
efficient gammadelta T cell-mediated immunotherapy for tumors.
PMID- 18040146
TI - Primary hepatic follicular lymphoma : a case report and discussion of
chemotherapy and favorable outcomes.
AB - This report concerns a rare case of follicular lymphoma with features suggestive
of primary hepatic lymphoma. At the disease onset, multiple nodular lesions in
the liver and small para-aortic nodes were detected by abdominal magnetic
resonance imaging without generalized lymphadenopathy. After careful observation
for three months, lymphadenopathy was observed in the right occipital, para
aortic, and bilateral inguinal regions. The patient was treated with R-CHOP
(rituximab, cyclophosphamide, doxorubicin, vincristine and prednisone) and
achieved complete remission for more than 2 years. To the best of our knowledge,
this is the ninth report of primary hepatic follicular lymphoma. Insufficient
cases have been reported to determine the long-term outcome and clinical
characteristics of primary hepatic follicular lymphoma. However, it seems that
patients with primary hepatic follicular lymphoma that are treated with
appropriate chemotherapy with or without surgical resection have favorable
outcomes.
PMID- 18040147
TI - Spontaneous regression of bilateral conjunctival extranodal marginal zone B-cell
lymphoma of mucosa-associated lymphoid tissue.
AB - We report the case of a patient who showed spontaneous regression of bilateral
conjunctival extranodal marginal zone B-cell lymphoma of mucosa-associated
lymphoid tissue (MALT lymphoma). A 72-year-old man underwent excisional biopsy
for salmon-pink lesions involving the whole circumference of the conjunctiva in
the right eye and the lower fornix in the left eye. Histopathology and
immunohistochemistry showed MALT lymphoma with immunoglobulin kappa monotype
shared by the lesions in both eyes. Because the patient had recurrent pulmonary
tuberculosis, radiation initially planned for the large residual lesion in the
right eye was postponed. Over two years, including 6 mon with anti-tuberculous
treatment, the large lesion in the right eye showed spontaneous regression. The
spontaneous regression of conjunctival MALT lymphoma observed in this patient
suggests that following excisional biopsy for histopathological diagnosis,
observation is a treatment option.
PMID- 18040148
TI - Splenic inflammatory pseudotumor (inflammatory myofibroblastic tumor).
AB - We report a case of a splenic inflammatory pseudotumor (myofibroblastic tumor) in
a 43-year-old man with a 5-year history of chronic bronchitis and sleep apnea
syndrome. The patient was hospitalized because of a screen-detected splenic mass
lesion. His sputum cultures revealed Mycobacterium avium complexes on only one
occasion. Imaging studies revealed a 7 cm solitary tumorous lesion, and
differential diagnoses of splenic hamartoma, hemangioma, lymphoma, and
angiosarcoma were obtained from the radiologist. A splenectomy followed by
pathological investigations was performed. By histology, the lesion contained
fibroblastic or myofibroblastic spindle cell proliferations, accompanied by
variable degrees of inflammatory cell infiltration. Ziehl-Neelsen staining did
not reveal acid-fast bacteria. Immunohistochemically, the fibroblastic or
myofibroblastic spindle cells were positive for vimentin, human smooth muscle
actin, and muscle actin, but negative for desmin, CD8, CD21, CD23, CD35, p80,
Epstein-Barr virus LMP, and human herpesvirus type 8. The infiltrating lymphoid
cells demonstrated a nonneoplastic pattern. The results of in situ hybridization
for Epstein-Barr virus encoded RNA were negative. The postoperative course was
uneventful and he has had no recurrence in 22 months. His sleep apnea syndrome
and chronic bronchitis have resolved spontaneously since the splenectomy.
PMID- 18040149
TI - [Paramyxovirus budding].
AB - Our knowledge about envelope virus budding has been dramatically increased, since
L-domain motifs were identified within their matrix and retroviral Gag proteins
which drive virus budding. These viral proteins have been shown to interact with
host cellular proteins involved in endocytosis and/or multi-vesicular body (MVB)
sorting via their L-domains. Since budding of many enveloped viruses have been
reported to be dependent on the activity of cellular Vps4, which catalyzes the
disassembly of ESCRT machinery in the final step of protein sorting, this
cellular function is believed to be utilized for efficient virus budding.
However, for many enveloped viruses, L-domain motifs have not yet been
identified, and the involvement of MVB sorting machinery in virus budding is
still unknown. In this review, we will focus on paramyxoviruses among such
viruses, and discuss their budding with the latest information.
PMID- 18040150
TI - [Subcellular locations at which HIV-1 assembles].
AB - Virus particle formation of HIV-1 is driven by the viral structural protein Gag.
In most cell types including T cells, Gag assembles into virus particles at the
plasma membrane whereas, in HIV-1-infected macrophages, Gag and virus particles
have been shown to accumulate in intracellular vesicles. At the moment, what
causes this difference between cell types remains unknown. However, recent
findings on the relationships between Gag and the cellular membrane system have
substantially increased our understanding of the mechanisms by which sites of
virus assembly are determined. I will review our current knowledge regarding the
roles played by endosomal trafficking pathways, membrane microdomains, and plasma
membrane lipids, and discuss the physiological significance of the interactions
between Gag and specific membrane structures.
PMID- 18040151
TI - [Anticancer immunotherapy using inactivated Sendai virus particles].
AB - Ultraviolet-inactivated, replication-defective Sendai virus particles
(Hemagglutinating virus of Japan envelope, HVJ-E) injected into murine colon
carcinoma (CT26) tumors growing in syngeneic Balb/c mice eradicated 60-80% of the
tumors and obviously inhibited the growth of the remainder. Induced adaptive anti
tumor immune responses were dominant in the tumor eradication process because the
effect was abrogated in severe combined immunodeficient (SCID) mice. Murine and
human dendritic cells (DCs) underwent dose-dependent maturation by HVJ-E in
vitro. Profiles of cytokines secreted by DCs after HVJ-E stimulation showed that
the amount of IL-6 released was comparable to that elicited by live HVJ. Real
time RT-PCR and immunohistochemistry revealed that HVJ-E induced a remarkable
infiltration of DCs, CD4+ and CD8+ T cells into tumors and CT26 specific
cytotoxic T lymphocytes (CTL) were induced. On the other hand, conditioned medium
from DCs stimulated by HVJ-E (H-DCCM) rescued CD4+CD25- effector T cell
proliferation from Foxp3+CD4+CD25+ regulatory T cell (Treg) mediated suppression
and IL-6 was presumably dominant for this phenomenon. We also confirmed such
rescue in mice treated with HVJ-E in vivo. Moreover, anti-tumor effect of HVJ-E
was significantly reduced by an in vivo blockade of IL-6 signaling. Depending on
cancer cell types, it is also expected that HVJ-E eradicates tumor by its direct
cytotoxity against cancer cells or activating NK cells. Because it can enhance
anti-tumor immunity and simultaneously remove Treg mediated suppression, HVJ-E
shows promise as a novel therapeutic for cancer immunotherapy.
PMID- 18040152
TI - [Sendai virus vector: vector development and its application to health care and
biotechnology].
AB - Sendai virus (SeV) is an enveloped virus with a nonsegmented negative-strand RNA
genome and a member of the paramyxovirus family. We have developed SeV vector
which has shown a high efficiently of gene transfer and expression of foreign
genes to a wide range of dividing and non-dividing mammalian cells and tissues.
One of the characteristics of the vector is that the genome is located
exclusively in the cytoplasm of infected cells and does not go through a DNA
phase; thus there is no concern about unwanted integration of foreign sequences
into chromosomal DNA. Therefore, this new class of "cytoplasmic RNA vector", an
RNA vector with cytoplasmic expression, is expected to be a safer and more
efficient viral vector than existing vectors for application to human therapy in
various fields including gene therapy and vaccination. In this review, I describe
development of Sendai virus vector, its application in the field of biotechnology
and clinical application aiming to treat for a large number of diseases including
cancer, cardiovascular disease, infectious diseases and neurologic disorders.
PMID- 18040153
TI - [Gene engineering of the adenovirus vector].
AB - The adenovirus vector is very attractive tool not only for the gene therapy but
also for the basic sciences. However, because a construction method of this
vector had been complex, only limited scientists had constructed and enjoyed the
benefits. Recently, various methods were developed and the researchers came to be
able to choose an efficient method, which is the COS-TPC method, or a concise
procedure, which is the intact-genome transfection method (in vitro ligation
method). Here we described not only these methods but also new method to
construct the various Ads simultaneously using the recombinase-mediated cassette
exchange (RMCE) by the site-specific recombinase. And also we want to refer the
possibility to the worth of the vector, especially the vector of the expression
switch.
PMID- 18040154
TI - [Gene therapy using AAV].
AB - AAV (adeno-associated virus) vectors are considered to be promising gene-delivery
vehicles for gene therapy, because they are derived from non-pathogenic virus,
efficiently transduce non-dividing cells, and cause long-term gene expression.
Appropriate AAV serotypes are utilized depending on the type of target cells.
Among various neurological disorders, Parkinson's disease (PD) is one of the most
promising candidates of gene therapy. PD is a progressive neurodegenerative
disorder that predominantly affects dopaminergic neurons in the substantia nigra.
One of the major approaches to gene therapy of PD is the intrastriatal expression
of dopamine (DA)-synthesizing enzyme genes. As for the initial step of clinical
application, AAV vector-mediated AADC (aromatic L-amino acid decarboxylase; the
enzyme converting L-DOPA to DA) gene transfer in combination with oral
administration of L-DOPA would be appropriate, since DA production can be
regulated by adjusting the dose of L-DOPA. Second, intramuscular injection of AAV
vectors is appropriate to protein-supplement gene therapy. Monogenic diseases
such as hemophilia and Fabry disease are suitable candidates. Regarding cancer
gene therapy, AAV vectors may be utilized to inhibit tumor angiogenesis,
metastasis, and invasion. When long-term transgene expression in stem cells is
needed, a therapeutic gene should be introduced with a minimal risk of
insertional mutagenesis. To this end, site-specific integration into the AAVS1
locus on the chromosome 19 (19q13.4) by using the integration machinery of AAV
would be particularly valuable.
PMID- 18040155
TI - [Oncolytic virotherapy using replication-competent herpes simplex viruses].
AB - Oncolytic virotherapy using replication-competent viruses has attracted us as a
new modality for cancer treatment. The fundamental concept of oncolytic
virotherapy is that the viruses selectively replicate in and lyse tumor cells.
Since 1997, numbers of clinical trials have been done in over 500 cancer
patients. However, the results of those trials have been disappointing in most
cases. We have isolated a spontaneously occurring herpes simplex virus type 1
mutant, designated HF10, which efficiently replicates and induces cell fusion in
most transformed cells, but is highly attenuated in mice. HF10 has a number of
deletions and insertions in the genome, resulting in the lack of the functional
expression of UL43, UL49.5, UL55, UL56 and latency-associated transcripts. We
have found that HF10 can be used as an oncolytic virus for treatment of malignant
tumors in various animal models. Clinical trials have shown that intratumoral
injection of HF10 can induce extensive tumor cell death in patients with
recurrent breast cancer and head and neck squamous cell carcinoma without
significant adverse effects. HF10 is a promising agent for use in oncolytic
virotherapy in non-central nervous system malignancies.
PMID- 18040156
TI - [Analysis of Aichi virus replication].
AB - Aichi virus is a member of the Family Picornaviridae. This virus was first
isolated in 1989 from a stool specimen from a patient with oyster-associated
gastroenteritis in Aichi, Japan. We analyzed the function of the 5' terminal
region of the genome and the leader protein in virus replication. The results
indicate that both the 5' terminal region of the genome and the leader protein
are involved in viral RNA replication and encapsidation.
PMID- 18040157
TI - [Feline immunodeficiency virus tropism].
AB - Feline immunodeficiency virus (FIV) induces a disease similar to acquired
immunodeficiency syndrome (AIDS) in cats, yet in contrast to human
immunodeficiency virus (HIV), CD4 is not the viral receptor. We identified a
primary receptor for FIV as CD134 (OX40), a T cell activation antigen and
costimulatory molecule. CD134 expression promotes viral binding and renders cells
permissive for viral entry, productive infection, and syncytium formation.
Infection is CXCR4-dependent, analogous to infection with X4 strains of HIV.
Thus, despite the evolutionary divergence of the feline and human lentiviruses,
both viruses use receptors that target the virus to a subset of cells that are
pivotal to the acquired immune response. Further, we applied the new method for
FIV receptor to Ebola virus entry factors with some modifications, and identified
receptor-type tyrosine kinases, Axl and Dtk (members of Tyro3 family).
Distribution of the molecules matches well with the Ebola virus tropism.
PMID- 18040158
TI - [Reverse genetics system for measles virus: establishment and applications for
analysis of virus replication and pathogenesis].
AB - In 1990 Kobune et al. succeeded in isolating pathogenic wild-type strains of
measles virus (MV) using a marmoset B-lymphoblastoid cell line B95a. Their data
indicated that MV strains that have been used in laboratories are attenuated
strains through the adaptation to grow in a variety of cultured cells. We have
established a very efficient reverse genetics system that allows us to engineer
the genome of a wild-type MV strain at will by site-directed mutagenesis or
recombination. Using the system it was shown that (1) the H protein determines
tropism of MV, (2) the M protein regulates mode of MV spread, (3) the C protein
inhibits host innate immune responses, and (4) the long untranslated regions in
the M and F genes function to moderate cytopathogenicity by MV. These data
advanced our understanding of molecular bases for MV pathogenicity and mechanisms
of MV adaptation to grow in cultured cells.
PMID- 18040159
TI - [Important points in virus research using recombinant DNA technology].
AB - Cartagena Protocol on Biosafety to the Convention on Biological Diversity seeks
to protect biological diversity from potential risks posed by living modified
organisms (LMOs) resulting from modern biotechnology. This protocol was ratified
in Japan after establishing domestic law and regulations for the protocol. In the
domestic law, use of LMOs is classified into type 1 use (use without containment
measures) and type 2 use (use with containment measures). According to the
domestic law, most of experiments using recombinant viruses are required for the
approval of the Minister. In this article, we will explain Cartagena Protocol and
the Japanese domestic low and indicate an example of application form for the
approval of the Minister.
PMID- 18040160
TI - Investigating the empirical evidence for understanding vulnerability and the
associations between poverty, HIV infection and AIDS impact.
PMID- 18040161
TI - HIV infection does not disproportionately affect the poorer in sub-Saharan
Africa.
AB - BACKGROUND: Wealthier populations do better than poorer ones on most measures of
health status, including nutrition, morbidity and mortality, and healthcare
utilization. OBJECTIVES: This study examines the association between household
wealth status and HIV serostatus to identify what characteristics and behaviours
are associated with HIV infection, and the role of confounding factors such as
place of residence and other risk factors. METHODS: Data are from eight national
surveys in sub-Saharan Africa (Kenya, Ghana, Burkina Faso, Cameroon, Tanzania,
Lesotho, Malawi, and Uganda) conducted during 2003-2005. Dried blood spot samples
were collected and tested for HIV, following internationally accepted ethical
standards and laboratory procedures. The association between household wealth
(measured by an index based on household ownership of durable assets and other
amenities) and HIV serostatus is examined using both descriptive and multivariate
statistical methods. RESULTS: In all eight countries, adults in the wealthiest
quintiles have a higher prevalence of HIV than those in the poorer quintiles.
Prevalence increases monotonically with wealth in most cases. Similarly for
cohabiting couples, the likelihood that one or both partners is HIV infected
increases with wealth. The positive association between wealth and HIV prevalence
is only partly explained by an association of wealth with other underlying
factors, such as place of residence and education, and by differences in sexual
behaviour, such as multiple sex partners, condom use, and male circumcision.
CONCLUSION: In sub-Saharan Africa, HIV prevalence does not exhibit the same
pattern of association with poverty as most other diseases. HIV programmes should
also focus on the wealthier segments of the population.
PMID- 18040162
TI - The socioeconomic determinants of HIV incidence: evidence from a longitudinal,
population-based study in rural South Africa.
AB - BACKGROUND: Knowledge of the effect of socioeconomic status on HIV infection in
Africa stems largely from cross-sectional studies. Cross-sectional studies suffer
from two important limitations: two-way causality between socioeconomic status
and HIV serostatus and simultaneous effects of socioeconomic status on HIV
incidence and HIV-positive survival time. Both problems are avoided in
longitudinal cohort studies. METHODS: We used data from a longitudinal HIV
surveillance and a linked demographic surveillance in a poor rural community in
KwaZulu-Natal, South Africa, to investigate the effect of three measures of
socioeconomic status on HIV incidence: educational attainment, household wealth
categories (based on a ranking of households on an assets index scale) and per
capita household expenditure. Our sample comprised of 3325 individuals who tested
HIV-negative at baseline and either HIV-negative or -positive on a second test
(on average 1.3 years later). RESULTS: In multivariable survival analysis, one
additional year of education reduced the hazard of acquiring HIV by 7% (P =
0.017) net of sex, age, wealth, household expenditure, rural vs. urban/periurban
residence, migration status and partnership status. Holding other factors equal,
members of households that fell into the middle 40% of relative wealth had a 72%
higher hazard of HIV acquisition than members of the 40% poorest households (P =
0.012). Per capita household expenditure did not significantly affect HIV
incidence (P = 0.669). CONCLUSION: Although poverty reduction is important for
obvious reasons, it may not be as effective as anticipated in reducing the spread
of HIV in rural South Africa. In contrast, our results suggest that increasing
educational attainment in the general population may lower HIV incidence.
PMID- 18040163
TI - Explaining continued high HIV prevalence in South Africa: socioeconomic factors,
HIV incidence and sexual behaviour change among a rural cohort, 2001-2004.
AB - OBJECTIVES: To estimate HIV incidence and explore evidence for changing sexual
behaviour over time among men and women belonging to different socioeconomic
groups in rural South Africa. DESIGN AND METHODS: A cohort study conducted
between 2001 and 2004; 3881 individuals aged 14-35 years enumerated in eight
villages were eligible. At least three household visits were made to contact each
eligible respondent at both timepoints. Sexual behaviour data were collected in
structured, respondent-focused interviews. HIV serostatus was assessed using an
oral fluid enzyme-linked immunosorbent assay at each timepoint. RESULTS: Data on
sexual behaviour were available from 1967 individuals at both timepoints. A total
of 1286 HIV-negative individuals at baseline contributed to the analysis of
incidence. HIV incidence was 2.2/100 person-years among men and 4.9/100 person
years in women, among whom it was highest in the least educated group. Median age
at first sex was lower among later birth cohorts. A higher number of previously
sexually active individuals reported having multiple partners in the past year in
2004 than 2001. Condom use with non-spousal partners increased from 2001 to 2004.
Migrant men more often reported multiple partners. Migrant and more educated
individuals of both sexes and women from wealthier households reported higher
levels of condom use. DISCUSSION: HIV incidence is high in rural South Africa,
particularly among women of low education. Some risky sexual behaviours (early
sexual debut, having multiple sexual partners) are becoming more common over
time. Condom use is increasing. Existing HIV prevention strategies have only been
partly effective in generating population-level behavioural change.
PMID- 18040164
TI - Household and community income, economic shocks and risky sexual behavior of
young adults: evidence from the Cape Area Panel Study 2002 and 2005.
AB - OBJECTIVE: To describe recent trends in adolescent sexual behavior in Cape Town,
South Africa, and to determine whether household and community poverty and
negative economic shocks predict risky sexual behavior. DATA: Matched survey data
on 2993 African and coloured youth from the Cape Area Panel Study 2002 and 2005.
MAIN OUTCOME MEASURES: Sexual debut, multiple sexual partners in past year,
condom use at last sex, measured in 2002 and 2005. METHODS: We tested for changes
over time in reported sexual behavior and estimate multivariate probit models to
measure the association between 2002 individual, household and community
characteristics and 2005 sexual behavior. RESULTS: There was a statistically
significant increase in condom use and a decrease in the incidence of multiple
sexual partners between 2002 and 2005 for young women aged 17-22 years. Young
women in households with 10% higher income were 0.53% less likely to debut
sexually by 2005; young men in communities with a 10% higher poverty rate were 5%
less likely to report condom use at last sex. Negative economic shocks are
associated with a 0.04% increase in the probability of multiple partnerships for
young women. Education is positively correlated with sexual debut for young women
and with multiple partnerships for both sexes. CONCLUSION: Trends in sexual
behavior between 2002 and 2005 indicate significant shifts towards safer
practices. There is little evidence of a relationship between negative economic
shocks, household and community poverty, and risky behavior. We hypothesize that
the unexpected positive relationship between education and sexual debut may be
driven by peer effects in schools with substantial age mixing.
PMID- 18040165
TI - Is poverty or wealth driving HIV transmission?
AB - Evidence of associations between socioeconomic status and the spread of HIV in
different settings and at various stages of the epidemic is still rudimentary.
Few existing studies are able to track incidence and to control effectively for
potentially confounding factors. This paper reviews the findings of recent
studies, including several included in this volume, in an attempt to uncover the
degree to which, and the pathways through which, wealth or poverty is driving
transmission in sub-Saharan Africa. We investigate the question of whether the
epidemic is transitioning from an early phase in which wealth was a primary
driver, to one in which poverty is increasingly implicated. The paper concludes
by demonstrating the complexity and context-specificity of associations and the
critical influence of certain contextual factors such as location, gender and age
asymmetries, the mobility of individuals, and the social ecology of HIV
transmission. Whereas it is true that poor individuals and households are likely
to be hit harder by the downstream impacts of AIDS, their chances of being
exposed to HIV in the first place are not necessarily greater than wealthier
individuals or households. What is clear is that approaches to HIV prevention
need to cut across all socioeconomic strata of society and they need to be
tailored to the specific drivers of transmission within different groups, with
particular attention to the vulnerabilities faced by youth and women, and to the
dynamic and contextual nature of the relationship between socioeconomic status
and HIV.
PMID- 18040166
TI - HIV incidence and poverty in Manicaland, Zimbabwe: is HIV becoming a disease of
the poor?
AB - BACKGROUND: In Zimbabwe, socioeconomic development has a complicated and
changeable relationship with HIV infection. Longitudinal data are needed to
disentangle the cyclical effects of poverty and HIV as well as to separate
historical patterns from contemporary trends of infection. METHODS: We analysed a
large population-based cohort in eastern Zimbabwe. The wealth index was measured
at baseline on the basis of household asset ownership. The associations of the
wealth index with HIV incidence and mortality, sexual risk behaviour, and sexual
mixing patterns were analysed. RESULTS: The largest decreases in HIV prevalence
were in the top third of the wealth index distribution (tercile) in both men at
25% and women at 21%. In men, HIV incidence was significantly lower in the top
wealth index tercile (15.4 per 1000 person-years) compared with the lowest
tercile (27.4 per 1000 person-years), especially among young men. Mortality rates
were significantly lower in both men and women of higher wealth index. Men of
higher wealth index reported more sexual partners, but were also more likely to
use condoms. Better-off women reported fewer partners and were less likely to
engage in transactional sex. Partnership data suggests increasing like-with-like
mixing in higher wealth groups resulting in the reduced probability of
serodiscordant couples. CONCLUSION: HIV incidence and mortality, and perhaps
sexual risk, are lower in higher socioeconomic groups. Reduced vulnerability to
infection, led by the relatively well off, is a positive trend, but in the
absence of analogous developments in vulnerable groups, HIV threatens to become a
disease of the poor.
PMID- 18040167
TI - The economic impacts of premature adult mortality: panel data evidence from
KwaZulu-Natal, South Africa.
AB - Measuring the household level economic impacts of AIDS-related deaths is of
particular salience in South Africa, a country struggling with a legacy of
poverty and economic inequality in the midst of an HIV epidemic. Household panel
data that span more than a decade permit us to resolve many of the statistical
problems that make it difficult to determine these impacts. After allowing for
the impact of demographic adjustments and other coping strategies, we found
evidence that these impacts are quite different across different types of
households, and that the largest and most persistent effects were in the middle
ranges of the South African income distribution, that is, households just above
the poverty line. Households below that level seem less severely affected,
whereas those above it seem to recover more quickly. All these results need to be
treated with caution because their statistical precision is weak.
PMID- 18040168
TI - The financial impact of HIV/AIDS on poor households in South Africa.
AB - BACKGROUND: Rising mortality rates caused by HIV/AIDS in South Africa have
substantial and lingering impacts on poor households. METHODS: This is a
descriptive paper using a new dataset of daily income, expenditure and financial
transactions collected over a year from a total of 181 poor households in South
African rural and urban areas. One of the key pathways through which HIV/AIDS
impacts on household wellbeing is through the socioeconomic impacts of death,
which this dataset is especially useful in quantifying. RESULTS: The key impacts
of death on households are funerals and the loss of income. Funerals often cost
up to 7 months of income. Nearly all households in the sample attempt to cover
such costs by holding a portfolio of funeral insurance. Despite these efforts to
insure against funeral costs, 61% of households are underinsured against the cost
of a funeral. Nearly half the sample households are dependent on a regular wage
earner, and another quarter are dependent on a grant recipient. Eighty per cent
of these households would lose over half of their monthly income should the
highest income recipient in the household die. Even by selling liquid assets,
only one third of the sample households would be able to maintain their pre-death
living standards for a year or more. CONCLUSION: Death poses substantial and
lingering burdens from the funerals that surviving household members need to
finance and the ongoing loss of income once brought into the household by the
deceased. These costs pose so great a threat to households that they dominate
household saving and insurance behavior.
PMID- 18040169
TI - Father figures: the progress at school of orphans in South Africa.
AB - OBJECTIVE: To examine the progress in their schooling of maternal and paternal
orphans in a province of South Africa with high AIDS mortality and contrast it
with that of both children who lived in different households from their parents
and children who resided with their parents. METHODS: The KwaZulu-Natal Income
Dynamics Study is a panel of households first interviewed in 1993. The 1998 and
2004 waves of fieldwork collected 5477 reports on children aged 8-20 years. We
studied the determinants of the proportion of these children who had completed 2+
grades fewer than expected for their year of birth using both household fixed
effects models and difference-in-difference models fitted to children reported on
twice. RESULTS: Co-residence with a well-educated mother benefited children's
schooling, but the fixed-effects models provide no evidence that maternal
orphanhood or living apart from their mother adversely affected children's
schooling. In contrast, both paternal orphanhood and belonging to a different
household from one's father resulted in slower progress at school. Although
absence of the father was associated with household poverty, this was not why it
was associated with falling behind at school. DISCUSSION: Both the substantial
benefits of living with their fathers for children's schooling and the limited
importance of maternal orphanhood conflict with the results of most studies in
this issue, including those of other research in the same part of South Africa.
These findings caution against drawing general conclusions about the impact of
the AIDS epidemic from a few studies of geographically localized populations.
PMID- 18040170
TI - Exploring the Cinderella myth: intrahousehold differences in child wellbeing
between orphans and non-orphans in Amajuba District, South Africa.
AB - OBJECTIVE: To determine whether differences in wellbeing (defined by a variety of
education and health outcomes) exist between recent school-aged orphans and non
orphans who live in the same household in a context of high HIV/AIDS mortality in
KwaZulu Natal, South Africa. DESIGN: The data come from the first 2 years (2004
2006) of an ongoing 3-year longitudinal cohort study in a district in KwaZulu
Natal, the Amajuba Child Health and Well-being Research Project. Using stratified
cluster sampling based on school and age, we constructed a cohort of 197 recent
orphans and 528 non-orphans aged 9-16 years and their households and caregivers.
Household heads, caregivers, and children were interviewed regarding five domains
of child wellbeing: demographic, economic, educational,
health/nutrition/lifestyle, and psychosocial status. METHODS: The analytical
sample consists of 174 children (87 orphans and 87 comparable non-orphans who
live together) at baseline and 124 children in round 2. We estimated a linear
regression model using household fixed effects for continuous outcomes (grade
adjusted for age, annual expenditure on schooling and body mass index) and a
logit model using household fixed effects for categorical variables
(malnutrition) to compare co-resident orphans and non-orphans. RESULTS: We found
no statistically significant differences in most education, health and labour
outcomes between orphans and the non-orphans with whom they live. Paternal
orphans are more likely to be behind in school, and recent mobility has a
positive effect on schooling outcomes.
PMID- 18040171
TI - Surgical cephalometrics: applications and developments.
AB - LEARNING OBJECTIVES: After studying this article, the participant should be able
to: 1. Describe the historical origins of modern cephalometry. 2. Identify common
landmark points on the lateral cephalogram. 3. Describe multiple common clinical
uses for cephalometry. 4. Exhibit knowledge of developments in imaging and
analysis alternatives. BACKGROUND: Interest in the dimensions of the human head
has been present since antiquity. Proportional analysis and measures from
cadaveric specimens led to the development of radiologic image capture and
analysis on living subjects. These techniques were originally applied to
establishing normative values, documenting growth, and diagnosing dentofacial
disharmonies. This article reviews the origins of cephalometric methodology and
current developments and applications. METHODS: The authors conducted a MEDLINE
search and review of all English language articles using the keywords
"cephalometric" and "cephalometrics." RESULTS: Cephalometrics have undergone
substantial use and development since the introduction of radiologic imaging on
living human subjects in 1931. Although frequently associated with orthognathic
surgery, cephalometrics have been applied to a number of conditions involving
altered craniofacial morphology. Advances in imaging and computing have led to
increased interest in three-dimensional and non-x-ray-based assessment of the
human head. Mathematical models have been applied to standard cephalometric
information to increase the descriptive accuracy of the complex shapes involved.
CONCLUSIONS: Cephalometric techniques and analyses are versatile tools that can
be applied to a wide variety of clinical scenarios involving the craniofacial
region. New technologies and expanded applications promise to continue the
development and use of this well-established methodology.
PMID- 18040172
TI - Raising perforator flaps for breast reconstruction: the intramuscular anatomy of
the deep inferior epigastric artery.
AB - BACKGROUND: The deep inferior epigastric artery (DIEA) perforator flap is used
increasingly for breast reconstruction, preferred as a muscle-sparing operation
over traditional transverse rectus abdominis musculocutaneous (TRAM) flaps.
Complications related to the inclusion of rectus abdominis include abdominal wall
weakness and a predisposition to ventral abdominal wall hernias. DIEA perforator
flaps still present this complication, with clinical experience demonstrating
frequent transection of rectus fibers during dissection of perforators. Despite
this, published descriptions of the DIEA in the literature describe a "direct"
course through rectus abdominis. This study interprets these clinical findings by
analyzing the intramuscular course of the perforators. METHODS: The investigation
was performed on 31 hemiabdominal walls from both fresh and embalmed cadavers,
using a combination of dissection and radiography of multiple cross-sectional
planes. Intramuscular distances were measured using the distances between entry
and exit points of perforators from rectus abdominis muscle, allowing
characterization of the intramuscular course. RESULTS: Substantial longitudinal
(mean, 1.52 cm; range, 0.2 to 4.1 cm) and transverse (mean, 1.32 cm; range, 0.3
to 3.5 cm) distances were traversed by each of the 76 perforators within the
muscle. CONCLUSIONS: A significant transverse distance was shown to be traversed
by perforators, confirming the need for division of rectus abdominis fibers
during operation. This information may help explain the clinical findings of
abdominal wall morbidity following perforator flaps, and may pave the way for
improved preoperative selection of patients for DIEA perforator flaps.
PMID- 18040173
TI - An Intraoperative algorithm for use of the SIEA flap for breast reconstruction.
AB - BACKGROUND: The deep inferior epigastric perforator (DIEP) flap has been shown to
be a reliable option for breast reconstruction. A further refinement in the
transfer of lower abdominal tissue for breast reconstruction is the superficial
inferior epigastric artery (SIEA) flap. A retrospective study was conducted to
assess the reliability and examine the outcomes of SIEA flaps for breast
reconstruction while considering an intraoperative algorithm established in this
study. METHODS: Ninety-nine SIEA flap reconstructions were performed in 82
patients in a 3(1/2)-year period. Patients were divided into two groups (before
and after algorithm implementation), and their medical records were evaluated
with respect to demographic information, tumor type, tobacco use, ischemic time,
flap weight, and complications. Potential risk factors for complications were
also assessed. RESULTS: Of the first 72 SIEA flaps, five were lost because of
arterial thrombosis. All failed flaps had an SIEA diameter of less than 1.5 mm at
the level of the lower abdominal incision. In February of 2004 (point T), the
senior author (A.J.S.) implemented an intraoperative algorithm for flap selection
that allowed use of the SIEA flap only when the SIEA diameter was 1.5 mm or
greater than. In the remaining cases, a DIEP flap was used for breast
reconstruction. After point T, 27 SIEA flap procedures were performed without any
flap losses. Overall fat necrosis and partial flap loss rates were 1.0 and 5.1
percent, respectively. No abdominal bulges/hernias were observed. Only smoking at
the time of surgery was associated with increased donor-site complications (p =
0.016). CONCLUSION: The intraoperative algorithm helped decrease flap and
abdominal complication rates for the SIEA flap.
PMID- 18040174
TI - Dermabond skin closures for bilateral reduction mammaplasties: a review of 255
consecutive cases.
AB - BACKGROUND: 2-Octyl cyanoacrylate (Dermabond; Ethicon, Inc., Somerville, N.J.)
has been available as a skin closure alternative or adjunct since 1997. The
purpose of this study was to review a large series of 255 consecutive bilateral
reduction mammaplasty patients to evaluate the safety and efficacy of Dermabond
for these procedures. METHODS: A review was undertaken of 255 consecutive
bilateral reduction mammaplasties performed by a single surgeon from 1999 to 2005
with Dermabond used for skin closure. This series of patients was compared with
an earlier review by the same surgeon of 415 consecutive bilateral reduction
mammaplasties using standard layered sutured skin closures. RESULTS: Dermabond
was associated with decreased operative times compared with the sutured closures
(93 minutes compared with 118 minutes; 25 minutes or 20 percent less time). The
rates for minor wound dehiscence (1.18 percent), major wound dehiscence (0.78),
hypertrophic scar revisions (2.75 percent), and cellulitis (2.75 percent) were
all lower in the Dermabond group, but these differences were not statistically
significant. CONCLUSIONS: Dermabond is a safe and effective means of skin closure
for bilateral reduction mammaplasties. Shortened operative times can lead to
economic health cost savings. Patient discomfort is minimized and postoperative
care is simplified.
PMID- 18040175
TI - The versatility of the superomedial pedicle with various skin reduction patterns.
AB - BACKGROUND: The inferior pedicle technique remains one of the most commonly used
techniques in breast reduction surgery, despite lengthy operating times, poor
nipple sensation, and bottoming-out over time. The superomedial pedicle in
reduction mammaplasty has previously been described using limited incision
patterns. This study evaluated the safety and reliability of the superomedial
pedicle with various skin reduction patterns and compared the surgical time with
the inferior pedicle technique. METHODS: A total of 279 superomedial breast
reductions were reviewed over a 6-year period, representing the transition period
from inferior pedicle to superomedial pedicle techniques of three attending
surgeons. Among these reductions, 215 had complete records and were included in
the data analysis. The remaining 64 records were evaluated for viability of the
nipple-areola complex. Assessments included skin pattern markings, average size
of reduction, average body mass index, and complications. Risk factors and
patient comorbidities were also recorded. RESULTS: There were no cases of nipple
loss in the series. The overall complication rate was 18 percent; patients'
average body mass index was 29. The revision rate for contour or scar improvement
was 4 percent. A statistically significant reduction in operating time of 41
minutes (p = 0.0001) was seen in comparison with the inferior pedicle reduction.
CONCLUSIONS: The superomedial dermoglandular pedicle is a safe and reliable
technique for reduction mammaplasty. Its versatility allows for reproducible
results in a broad range of patients with various skin excision patterns. Use of
the superomedial pedicle provides consistent results with respect to breast
contour, nipple viability, and lasting superomedial fullness, and saves operating
time compared with the inferior pedicle technique.
PMID- 18040176
TI - Immediate postoperative complications in DIEP versus free/muscle-sparing TRAM
flaps.
AB - BACKGROUND: The deep inferior epigastric perforator (DIEP) flap is a major
advance in breast reconstruction, but many surgeons are reluctant to use it
because of concerns about a higher flap loss rate when compared with free/muscle
sparing transverse rectus abdominis myocutaneous (TRAM) flaps. Previous studies,
however, have not statistically analyzed the relationship of patient
characteristics to outcome. This study evaluates the authors' institutional
experience with immediate postoperative complications following DIEP and
free/muscle-sparing TRAM flaps. METHODS: Results of 200 consecutive free/muscle
sparing TRAM and DIEP flaps performed by two surgeons at a single institution
between 2003 and 2005 were reviewed using a prospectively maintained database.
The incidence of flap complications was compared. Patient demographics, procedure
type, diagnosis, adjuvant treatment, and complications were recorded. Outcome
variables included total and partial flap loss, infection, seroma, hematoma,
wound-healing problems, fat necrosis, and mastectomy flap necrosis. RESULTS: One
hundred forty-three patients were treated with 159 free/muscle-sparing TRAM flaps
and 41 DIEP flaps. The demographics of the two groups were statistically similar.
No statistically significant differences were noted in total or partial flap
loss. CONCLUSIONS: In the authors' series, the use of the DIEP flap did not
result in more postoperative flap-related complications when compared with the
free/muscle-sparing TRAM flap. Furthermore, no patient characteristics were
statistically associated with a more successful result. The authors conclude that
in patients whose anatomy reveals perforators of adequate size, the DIEP flap is
a safe and reliable procedure for breast reconstruction.
PMID- 18040177
TI - Correction of inverted nipples by strong suspension with areola-based dermal
flaps.
PMID- 18040178
TI - Norian craniofacial repair system: compatibility with resorbable and
nonresorbable plating materials.
AB - BACKGROUND: Choice of bone replacement materials is important when reconstructing
large craniofacial defects. Hydroxyapatite cements are often used for such
reconstructions. Recent advances in the development of these cements have
produced locally applied, in situ hardening materials excellent for use in
craniofacial defects. To date, there has been a paucity of data comparing the use
of calcium phosphate cements in combination with titanium or resorbable plating
systems and their combined biocompatibility. An experimental dog model was used
to compare these systems. METHODS: Two 4 x 4-cm calvarial defects were created in
each of 18 mongrel dogs, and defects were reconstructed with calcium phosphate
cement with either titanium or resorbable mesh sheets to evaluate their
interaction. Specimens were harvested and evaluated histologically for the
development of new bone formation at 3, 6, and 12 months. RESULTS: At 3 months,
no differences were noted in the amount of bone formed between titanium and
resorbable plating. By 6 months, the resorbable mesh sheet showed delayed bone
formation compared with the titanium mesh. At 12 months, bone formation over the
resorbable mesh accelerated to be no different from the titanium mesh.
Importantly, new bone formation was seen within the monocalcium phosphate cement
Norian Craniofacial Repair System on a reliable basis, regardless of mesh plate
used. CONCLUSIONS: There are no long-term adverse effects with the use of Norian
cement with either titanium or resorbable mesh. However, further studies need to
be conducted to determine why there is an arrested healing phase between 3 and 6
months with the Norian cement and resorbable plating materials.
PMID- 18040179
TI - Experimental animal model proving the benefit of primary defatting of full
thickness random-pattern skin flaps by suppressing "perfusion steal".
AB - BACKGROUND: The value of primary flap defatting remains unclear. This
experimental animal study provides a novel theory on the problem of primary
defatting, "steal of perfusion" to the skin by fat. This theory is based on the
fact that the fat brings blood supply to the proximal flap portion but blood is
sequestered in the fat of the distal flap portion, to the disadvantage of skin
perfusion. METHODS: Fifteen full-thickness random-pattern skin flaps, with a 3:1
length-to-width ratio, elevated on the necks of pigs and then left in situ on a
plastic sheet interposed between the flap and the deep vascularization from the
muscle, were compared with 15 identical contralateral flaps, defatted in the
distal half. RESULTS: In the 15 nondefatted flaps, necrosis of the distal half of
the flap was observed. Among the 15 defatted flaps, no necrosis was observed in
four defatted flaps, partial necrosis was seen in five defatted flaps, concentric
necrosis in three defatted flaps, partial necrosis in two defatted flaps, and
necrosis in one defatted flap. Necrosis in defatted flaps was significantly less
than that in nondefatted flaps (25.6 +/- 21.8 percent of flap surface versus 50
+/- 2.7 percent; p < 0.001). CONCLUSIONS: There is a benefit to primary
defatting. Perfusion steal exists for pedicular and peripheral vascularization.
Primary partial defatting of a random-pattern flap is beneficial for distal
perfusion, which is attributed to suppression of perfusion steal. Complete
defatting is detrimental to flap survival. The fat is indispensable for perfusion
of the proximal flap portion and is paradoxically detrimental for the distal
region.
PMID- 18040180
TI - rhBMP-4 gene therapy in a juvenile canine alveolar defect model.
AB - BACKGROUND: Autologous bone grafts have the disadvantages of donor-site pain and
morbidity, finite supply, increased costs, and prolonged hospitalizations. Using
a juvenile canine model, the authors hypothesized that recombinant human (rh)
bone morphogenetic protein (BMP)-4 gene therapy-treated alveolar defects would
promote bone healing and canine tooth eruption equal to their autografted
counterparts. METHODS: Sixty-five maxillary alveolar defects were created in
juvenile foxhound dogs with a mean age of 80.8 days. Nineteen defects were
treated with DNA plasmid encoding rhBMP-4, 16 defects were autografted, 15
defects contained scaffold only, and 15 defects were left unrepaired. At 4 and 12
weeks after surgery, bone density and tooth eruption were measured, respectively.
Data were subjected to one-way analysis of variance testing with statistical
significance established at p < 0.05. RESULTS: At 4 weeks, the bone densities in
the rhBMP-4, autografted, scaffold-only, and defect-only groups were 31.2 +/-
6.5, 30.5 +/- 8.1, 18.4 +/- 3.8, and 15.2 +/- 4.0 percent, respectively. A
significant effect (p < 0.05) was observed between the rhBMP-4 gene therapy
treated and autografted groups compared with the scaffold-only and defect-only
groups. At 12 weeks, the rates of tooth eruption measured in the rhBMP-4,
autografted, scaffold-only, and defect-only groups were 67.4 +/- 15.8, 58.3 +/-
18.8, 52.7 +/- 16.2, and 45.0 +/- 13.3 percent, respectively. A significant
effect (p < 0.05) was observed between the rhBMP-4 gene therapy-treated and
defect-only groups. CONCLUSIONS: In the present studies, rhBMP-4 gene therapy was
equivalent to autografting and superior to the scaffold-only and unrepaired
defect in bone regeneration and tooth eruption. With decreased morbidity and
cost, rhBMP-4 gene therapy may ultimately become an alternative to autografting
to repair bony defects.
PMID- 18040181
TI - Influences of preservation at various temperatures on liposuction aspirates.
AB - BACKGROUND: Aspirated fat is not only a filler material but also an abundant
source of adipose-derived stem cells. The aim of this study was to assess
degeneration of aspirated fat during preservation and optimize the preservation
method for lipoaspirates. METHODS: Aspirated fat was preserved at room
temperature for 1, 2, 4, and 24 hours (n = 10 each); at 4 degrees C for 1, 2, and
3 days (n = 14 each); or at -80 degrees C for 1 month (n = 3). Morphologic
changes were assessed with scanning electron microscopy. Adipose-derived stem
cell yield was measured after 1 week of culture. For aspirated fat preserved at
room temperature, damaged adipocytes were assessed by measuring the oil volume
ratio after centrifugation (n = 6) and glycerol-3-phosphate-dehydrogenase
activity in washing solution (n = 4). Cell surface marker expression was examined
by flow cytometry (n = 3). RESULTS: Although the scanning electron microscopic
assay indicated no remarkable anatomical changes based on preservation methods,
oil volume significantly increased in fat preserved at room temperature for 4
hours. Adipose-derived stem cell yield was significantly reduced by preservation
at room temperature for 24 hours and by preservation at 4 degrees C for 2 or 3
days. Flow cytometric analysis suggested that the biological properties of
adipose-derived stem cells did not significantly change at 4 degrees C up to 3
days. The cells were isolated from cryopreserved fat, but the yield was much less
than that from fresh aspirated fat. CONCLUSIONS: Aspirated fat should be
transplanted as quickly as possible if it is preserved at room temperature. For
adipose-derived stem cell isolation, aspirated fat can be stored or transported
overnight if it is preserved at 4 degrees C without adipose-derived stem cell
yield loss or changes in biological properties.
PMID- 18040182
TI - Impact of the SMAS on Frey's syndrome after parotid surgery: a prospective, long
term study.
AB - BACKGROUND: Clinical observations indicate that creation of the superficial
musculoaponeurotic system (SMAS) flap during parotid surgery decreases
postoperative gustatory sweating (Frey's syndrome) and improves cosmesis after
surgery. METHODS: On the basis of their previous study with 23 patients where no
SMAS flap was used, the authors performed a prospective, long-term study of
6(1/2) years in 25 patients, using the SMAS flap, and compared these results with
the postoperative results of their initial study. Twenty-two patients of the SMAS
flap group were available for reassessment of gustatory sweating and symptoms.
The Minor starch test was used to document the extent and intensity of
postoperative sweating. Satisfaction with postoperative cosmetic results was
assessed through a semiquantitative questionnaire as used in the previous study.
RESULTS: The incidence of symptomatic Frey's syndrome was significantly higher in
the no-SMAS flap group after 23 months (43 percent versus 0 percent; p = 0.003).
The surface extent of Frey's syndrome after 23 months was significantly reduced
in the SMAS flap group (p = 0.006). At final follow-up, the incidence and extent
of symptomatic Frey's syndrome showed no significant differences between the two
groups (41 percent versus 56 percent; p = 0.42). The rate of satisfactory
cosmetic results was significantly higher in the SMAS flap group (96 percent
versus 35 percent; p < 0.05). CONCLUSIONS: Creation of the SMAS flap in parotid
surgery for benign lesions delays the onset and reduces the intensity and extent,
but does not prevent the occurrence, of Frey's syndrome. It reliably improves the
cosmetic results by reducing the retromandibular depression after parotid
surgery.
PMID- 18040183
TI - Reconstruction of postburn neck contractures using free thin thoracodorsal artery
perforator flaps with cervicoplasty.
AB - BACKGROUND: Severe postburn neck contractures are devastating functional and
cosmetic deformities. The ideal material for coverage of defects created by neck
contracture release is thin, supple, large, well-vascularized, healthy tissue.
The thoracodorsal artery perforator flap, a workhorse flap for reconstruction,
allows a large dimension and free thickness control with low donor-site
morbidity. The value of a free thin thoracodorsal artery perforator flap for
anterior neck burn deformities has not been evaluated in a substantial series.
METHODS: Four men and eight women underwent neck reconstruction from December of
2002 to August of 2004. Mean patient age was 34.3 years (range, 21 to 47 years).
Thorough burn scar contracture releases were performed and cervicoplasty was
added for optimal neck appearance. Uniformly thin thoracodorsal artery perforator
flaps were made. Both lateral ends of these flaps were designed with a fishtail
shape for sufficient release and to minimize linear scar band formation in the
most lateral region of the neck. RESULTS: Elevated flaps as large as 24 x 12 cm
and with a mean thickness 5.3 mm were used. All flaps survived without
significant complications. Range of neck motion increased, and the
cervicomandibular angle was sharpened in all patients. A highly natural neck
contour was universally obtained without a secondary debulking procedure.
CONCLUSIONS: The free thin thoracodorsal artery perforator flap with
cervicoplasty provides good functional and aesthetic results in terms of neck
contour and cervicomental angle, with a reduced necessity for secondary
procedures and low donor-site morbidity. This method is highly valuable for
reconstruction of severe postburn neck contractures.
PMID- 18040184
TI - A face lift approach for sentinel node biopsy in head and neck melanoma patients.
AB - BACKGROUND: Management of head and neck melanoma has changed dramatically with
the use of sentinel node biopsy for staging. Nodal dissection may now be delayed
or deferred based on the results of the sentinel node biopsy. The authors suggest
using a face lift incision to access the nodal basins for sentinel node biopsy in
head and neck melanoma. METHODS: A face lift incision was used successfully for
sentinel node biopsy in 21 patients. The diagnosis of melanoma, histologic
subtype, and depth of penetration were established by biopsy with permanent
sections. All patients underwent lymphoscintigraphy on the morning of their
surgery. If the scan showed multiple nodes at various levels of the neck or
parotid, the patient was selected for a face lift incision for biopsy. RESULTS:
The study comprised 14 men and seven women between the ages of 26 and 82 years
(mean age, 55 years). The sites of melanoma included the temple in six patients,
cheek in five, neck in four, and ear and scalp in two patients each. The average
Clark's level and Breslow depth were 3.67 and 1.76 mm, respectively. The average
number of basins involved was 2.14; the average number of nodes was 3.33, with an
average of 1.56 nodes per basin. Follow-up ranged from 2 to 53 months (average,
26 months). Only two patients had sentinel nodes that were positive for
metastatic melanoma. One complication, a transient paresis of the right marginal
mandibular nerve, was observed. CONCLUSIONS: Using a face lift incision for
sentinel node biopsy in head and neck melanoma is a safe, reliable technique. It
provides excellent access to multiple nodal basins, well-concealed incisions,
wide exposure for delayed therapeutic nodal dissection, and local and regional
flap options for reconstructing the excision site.
PMID- 18040185
TI - Anatomical and technical aspects of harvesting the auricle as a neurovascular
facial subunit transplant in humans.
AB - BACKGROUND: Auricular transplants from cadaveric sources may be a viable
alternative for difficult auricular reconstruction once immunologic problems are
largely solved. The authors report on the neurovascular anatomy and technical
details of harvesting the auricle as a single facial subunit. METHODS: Nine
auricles were studied in latex-injected (n = 5) and fresh cadaveric heads (n =
4). In latex-injected heads, dissection in the neck and auricular region and
microdissection within the substance of the auricle were performed under loupe
magnification. The arterial network was exposed and measurements were taken,
including the size, length, and diameters of vessels. The number of branches
supplying the entire auricle was noted. Methylene blue dye was injected into
fresh cadaveric heads through the posterior auricular (n = 2) or superficial
temporal arteries (n = 2) to assess the territory supplied by each arterial
system. RESULTS: Dye injected into the superficial temporal artery stained the
upper two-thirds of the anterior and posterior auricular regions; all anterior
cartilaginous eminences, except the antitragus, were homogenously stained. Dye
injected into the posterior auricular artery stained the lobule, posterior
auricular skin, and the depressed anterior auricular regions, including the cavum
conchae, scapha, and triangular fossa. Neither the superficial temporal nor the
posterior auricular arteries could adequately nourish the entire auricle as
single pedicles. The auriculotemporal and great auricular nerves can be included
in the transplant for sensation. The temporoparietal scalp can also be reliably
included to meet reconstructive requirements. CONCLUSIONS: The auricle can be
reliably elevated as a transplant when nourished by both the superficial temporal
and posterior auricular arterial systems. The external jugular vein and external
carotid artery can therefore be used as the vascular pedicle for auricular
transplantation.
PMID- 18040186
TI - Measuring sensibility of the trigeminal nerve.
PMID- 18040187
TI - The superior and inferior gluteal artery perforator flaps.
AB - BACKGROUND: Perforator flaps have allowed reconstruction of soft-tissue defects
throughout the body. The superior and inferior gluteal artery perforator flaps
have been used clinically, yet the published anatomical studies describing the
blood supply to the gluteal skin are inadequate. This study comprehensively
evaluated the anatomical basis of these flaps to present anatomical landmarks to
facilitate flap dissection. METHODS: In six fresh cadavers, the integument of the
gluteal region was dissected. Cutaneous perforators of the superior and inferior
gluteal arteries were identified. Their course, size, location, and type
(septocutaneous versus musculocutaneous) were recorded based on dissection,
angiography, and photography. The surface areas of cutaneous territories and
perforator zones were measured and calculated. RESULTS: The average number of
superior and inferior cutaneous perforators greater than or equal to 0.5 mm in
the gluteal region was 5 +/- 2 and 8 +/- 4, respectively, with all of the
superior and 99 percent of the inferior gluteal artery perforators being
musculocutaneous. Their average perforator internal diameter was 0.6 +/- 0.1 mm.
The average superior and inferior gluteal artery cutaneous vascular territory was
69 +/- 56 cm and 177 +/- 38 cm, respectively. The superior gluteal perforators
were found adjacent to the medial two-thirds of a line drawn from the posterior
superior iliac spine to the greater trochanter. The inferior gluteal artery
perforators were concentrated along a line in the middle third of the gluteal
region above the gluteal crease. CONCLUSION: The reliable size and consistency of
the superior and inferior gluteal artery perforators allow the use of pedicled
and free superior and inferior gluteal artery perforator flaps in a variety of
clinical situations.
PMID- 18040189
TI - Treatment of giant omphalocele with intraabdominal tissue expansion.
PMID- 18040188
TI - Resumption of sexual activity after plastic surgery: current practice and
recommendations.
AB - BACKGROUND: Information on sexual counseling and practice guidelines after
plastic surgery is quite limited and poorly documented as part of clinical care
after surgery. The aim of this study was to assess board-certified plastic
surgeons' current practices and to make clinical recommendations for resumption
of sexual activity in the postoperative period. METHODS: A descriptive mailed
survey of randomly chosen American Society of Plastic Surgeons' members was
designed to evaluate plastic surgeons' methods of screening for sexual concerns,
the frequency of postoperative discussions with patients, and clinical
recommendations for safe sexual positions. RESULTS: There were 281 respondents,
for a response rate of 40 percent. A minority of plastic surgeons (32.9 percent)
felt it was the surgeon's role to provide postoperative sexual counseling
regarding restrictions and guidelines; the majority of plastic surgeons (63
percent) felt that their nurse should provide this service. Patients never (46.6
percent) or rarely (23.8 percent) asked about sexual activity restrictions after
surgery. Some surgeons (27.8 percent) proactively discussed postoperative sexual
activity, but 57.3 percent said they rarely or never gave specific advice. There
were gender differences; male plastic surgeons discussed specific sexual
techniques and positions significantly more frequently than female plastic
surgeons (p = 0.001), and patients ask male plastic surgeons significantly more
frequently about sexual activity restrictions than they do female plastic
surgeons (p = 0.001). CONCLUSIONS: Many plastic surgeons gave little or no advice
to patients regarding resumption of sexual activity after surgery, and the
majority of patients do not initiate the discussion. Most surgeons expect their
nursing staff to provide sexual counseling.
PMID- 18040190
TI - Outcome of simultaneous and staged microvascular free tissue transfer connected
to arteriovenous loops in areas lacking recipient vessels.
AB - BACKGROUND: Arteriovenous loops are an indispensable tool in free flap surgery
when appropriate recipient vessels are missing. In this study, the authors
analyzed whether the outcome differs when flaps were transferred simultaneously
or subsequently after construction of arteriovenous loops. METHODS: Twenty-seven
patients requiring free tissue transfer received arteriovenous loops by pedicled
or free vein grafts because of inadequate local recipient vessels. In head and
neck reconstruction, pedicled brachiocephalic or free saphenous vein grafts were
anastomosed to cervical or axillary vessels. Pedicled major saphenous vein grafts
were used in the pelvic area whereas, in lower leg and foot reconstruction, free
saphenous or brachiocephalic veins were used. Flaps were transferred
simultaneously (n = 10) or 4 to 17 days later (n = 17). RESULTS: Thrombosis
required revision in staged transfer (n = 3 patients) or in simultaneous flap
transfer (n = 2). No free flap was lost. Fisher's exact test did not indicate a
significant difference between a simultaneous or staged flap transfer.
CONCLUSIONS: Temporary arteriovenous loops provide adequate recipient vessels and
flow to supply microvascular free flap tissue transfer in areas lacking recipient
vessels and in which no other reconstructive options exists. No statistical
differences in complications and overall outcome were found between immediate or
secondary free tissue transfer. Meticulous monitoring of microvascular perfusion,
however, is mandatory in both approaches and early intervention is necessary to
ensure successful tissue transfer.
PMID- 18040191
TI - Use of the soleus musculocutaneous perforator for skin paddle salvage of the
fibula osteoseptocutaneous flap: anatomical study and clinical confirmation.
AB - BACKGROUND: The skin paddle of the fibula osteoseptocutaneous flap is reliably
vascularized by septocutaneous perforators from the peroneal artery. However, in
5 to 10 percent of lower limbs, these perforators are absent. This anatomical
study evaluated use of the soleus musculocutaneous perforator for skin paddle
salvage in such situations. METHODS: Latex injection studies were performed on 20
cadaveric lower limbs. The presence, prevalence, and location of the
musculocutaneous perforators in the distal leg were documented. The perforators
were traced proximally to determine their origins. RESULTS: Of the 20 cadaveric
limbs, one or more musculocutaneous perforators of at least 0.5 mm in diameter
were noted in 18 specimens (90 percent). They were located within 6 cm of the
junction of the middle and lower thirds of the fibula. The soleus
musculocutaneous perforators originated in the peroneal artery in 10 specimens
(50 percent), the posterior tibial artery in seven (35 percent), and the
tibioperoneal trunk in one (5 percent). This information was successfully used to
salvage the skin paddle in two of our clinical cases. CONCLUSIONS: Use of the
soleus musculocutaneous perforator depends on its origin. When it arises from the
peroneal artery, a single set of anastomoses is all that is necessary for flap
revascularization, with the skin paddle serving as a monitor for the bone flap.
When it originates from the posterior tibial artery or tibioperoneal trunk, a
second set of anastomoses is needed and the skin paddle cannot monitor the bone
flap. The authors propose that one or two soleus musculocutaneous perforators be
preserved during harvest until existence of the septocutaneous perforator is
confirmed.
PMID- 18040192
TI - Evaluation of elbow flexion as a predictor of outcome in obstetrical brachial
plexus palsy.
AB - BACKGROUND: The purpose of this study was to answer two questions. First, are
there obstetrical brachial plexus palsy patients with no elbow flexion at 3
months who go on to recover useful upper extremity function without surgical
intervention? Second, are there patients with evidence of elbow flexion at 3
months who do benefit from brachial plexus reconstruction? METHODS: The authors
retrospectively reviewed a sample drawn from 253 consecutive patients at The
Hospital for Sick Children obstetrical brachial plexus database from 1993 to
1996. Inclusion criteria were examination at age 3 months and either complete
spontaneous recovery or repeated examination after age 3 years. Two hundred nine
patients satisfied the inclusion criteria. Patients were distributed into four
groups: group A, no elbow flexion at age 3 months (operative management); group
B, elbow flexion present at 3 months (operative management); group C, no elbow
flexion at age 3 months (nonoperative management); and group D, elbow flexion
present at 3 months (nonoperative management). RESULTS: Groups A, B, and C showed
significant intragroup improvements in both elbow flexion (p < 0.0001) and total
limb motion scores (the sum of 15 individual joint motions) (p < 0.0001) with
time. No differences were noted among groups A, B, and C for either elbow flexion
or total limb motion scores at final follow-up. CONCLUSIONS: Early elbow flexion
alone is not a sufficient criterion to recommend a nonoperative approach. In
addition, spontaneous recovery of useful upper extremity function has been
observed in a carefully selected subset of patients without elbow flexion at 3
months.
PMID- 18040193
TI - Intravenous regional anesthesia administered by the operating plastic surgeon: is
it safe and efficient? Experience of a medical center.
AB - BACKGROUND: Intravenous regional anesthesia (Bier's block) is an effective method
of providing anesthesia for extremity surgery. This technique is most suitable
for short-duration, less than 60-minute surgical procedures in distal
extremities. Earlier studies recommended that intravenous regional anesthesia be
performed by anesthesiologists who are familiar with the technique and fully
trained to treat its complications. This study was conducted to demonstrate that
intravenous regional anesthesia administered by the operating plastic surgeon is
safe, efficient, and simple to perform. METHODS: A 5-year retrospective chart
review (January of 2000 to December of 2004) was undertaken. The study included
patients who underwent surgical procedures and were administered intravenous
regional anesthesia by the surgeon. RESULTS: Four hundred forty-eight patients
were included in the study, and 479 operations of 483 scheduled were completed
under intravenous regional anesthesia performed by the operating surgeon.
Intravenous regional anesthesia was efficient in 478 of 479 of the cases (99.8
percent). Tourniquet-related technical problems were noted in five of 483 cases
(1 percent), resulting in cancellation of four operations, with no reported
consequent anesthetic toxicity. Minor complications were reported in six of 479
of the cases (1.2. percent). No major complications occurred. CONCLUSIONS:
Despite earlier worries about the safety of intravenous regional anesthesia if
not administered by anesthesiologists, the authors found that intravenous
regional anesthesia represents a safe and efficient technique of anesthesia for
extremity surgery when performed carefully and appropriately by the operating
surgeon. The authors suggest that plastic surgeons familiarize themselves with
this technique, which is simple to perform. This could probably result in lower
overall costs and greater autonomy for the surgeon.
PMID- 18040194
TI - Hand evaluation following ulnar forearm perforator flap harvest: a prospective
study.
PMID- 18040195
TI - Primary grafting with autologous cranial particulate bone prevents osseous
defects following fronto-orbital advancement.
AB - BACKGROUND: Approximately 20 percent of patients require cranioplasty for defects
after fronto-orbital advancement. The authors hypothesized that corticocancellous
cranial particulate bone placed over exposed dura at the time of fronto-orbital
advancement would decrease the frequency of incomplete ossification. METHODS: The
authors retrospectively analyzed consecutive children who underwent fronto
orbital advancement for craniosynostosis between 1988 and 2006. In group I,
cranial gaps resulting from frontal advancement were left open and allowed to
heal spontaneously. In group II, corticocancellous particulate bone was used to
fill the calvarial defects. Bone was harvested from the endocortex of the frontal
segments or parietal calvaria using a hand-driven brace and bit. Outcome
variables were persistent osseous defects and need for corrective cranioplasty.
RESULTS: The study included 213 children. There were 50 patients in group I: mean
age at fronto-orbital advancement was 7.0 months (range, 2 to 15 months); 12
patients (24 percent) had residual defects and 10 (20 percent) required
cranioplasty. Group II contained 163 patients: mean age at fronto-orbital
advancement was 14.1 months (range, 6 to 72 months); nine children (5.5 percent)
had a cranial defect and five (3.1 percent) required cranioplasty. Infants in
group II were less likely to have bony defects (p = 0.0005) or require
cranioplasty (p = 0.0002) compared with children in group I, despite being older
at the time of fronto-orbital advancement (p = 0.001). CONCLUSION:
Corticocancellous cranial particulate bone grafting during fronto-orbital
advancement reduces the prevalence of osseous defects and the need for secondary
cranioplasty, independent of patient age.
PMID- 18040196
TI - Evaluation of cleft lip bony depression of piriform margin and nasal deformity
with cone beam computed tomography: "retruded-like" appearance and
anteroposterior position of the alar base.
AB - BACKGROUND: As the nasal platform, the piriform margin is considered the most
important nasal structure. An insufficient bony structure has been suggested to
be the major factor in secondary nasal deformities such as the "depressed alar
base." It is unclear, however, how the piriform margin is depressed or how bony
depression influences nasal shape. METHODS: Using cone beam computed tomography,
the anteroposterior positions of the cleft-side piriform margin and alar base
were compared with those of the noncleft side in 52 postoperative unilateral
cleft lip patients with no alveolar bone graft. Patients were divided based on
cleft type into either the unilateral cleft lip, alveolus, and palate group or
the unilateral cleft lip and alveolus group. RESULTS: In all cases, the cleft
side piriform margin was depressed. The anteroposterior position of the alar base
was related to the piriform depression in both groups. However, in contrast with
bony depression, the cleft-side alar base was located more anteriorly than the
non-cleft-side alar base in 35 of 52 patients. CONCLUSIONS: The authors' study
suggests that bony depression does not necessarily lead to postoperative alar
depression. The postoperative cleft lip alar position can be maintained
independently of the collapsed lesser segment of the maxilla. In addition, in
many cleft lip newborns the cleft alar crease is hypoplastic, and the paranasal
triangle is easily elevated by operative manipulation because of the muscular
dysfunction. This shallowness leads to a "retruded" appearance. For improvement,
preservation of the paranasal triangle and alar crease plasty are important.
PMID- 18040197
TI - Midterm follow-up of midface distraction for syndromic craniosynostosis: a
clinical and cephalometric study.
AB - BACKGROUND: The authors studied the effect of midface distraction on maxillary
skeletal position and clinical appearance in patients with Crouzon, Pfeiffer, and
Apert syndromes, and examined the stability of these changes at 1 year after
distraction. METHODS: Fifteen consecutive patients (six male and nine female;
average age, 5.9 years) underwent Le Fort III osteotomy with midface advancement
using a rigid external distraction device. Six patients had Crouzon, five had
Pfeiffer, and four had Apert syndrome. Midface advancement was initiated at the
level of the occlusal splint and at the zygomatic/maxillary anchor screws. The
device was activated 11 mm on average, at a rate of 1 mm per day. Twenty
anatomical landmarks were identified and digitized at three time intervals, and
displacement of each landmark was compared with its pretreatment position.
RESULTS: By the time of device removal, point A had advanced sagittally along the
x axis 15.85 mm and moved downward 1.06 mm along the y axis; the orbitale was
moved sagittally along the x axis 12.72 mm and downward 1.99 mm along the y axis.
Maximum mean advancement (17.16 mm) was observed at the upper incisal edge.
Maxillary and mandibular skeletal discrepancy was significantly decreased, with
the ANB angle changing from -5.87 to +13.17 degrees. At 1 year after distraction,
point A had advanced an additional 0.81 mm, and the orbitale and upper incisal
edge had moved posteriorly 0.07 mm and 1.34 mm, respectively. CONCLUSION:
Significant midface advancement can be achieved and maintained with rigid
external distraction of the Le Fort III osteotomy segment (up to 24 mm), with
excellent stability of the advanced midfacial skeleton.
PMID- 18040198
TI - The septospinal ligament in cleft lip nose deformity: study in adult unilateral
clefts.
AB - BACKGROUND: Septal deviation and alar cartilage deformities constitute an
important component of both the aesthetic deformity and airway compromise in
unilateral cleft lip nose deformity. The purpose of this study was to examine the
retrocolumellar preseptal area in this deformity for evaluation of deforming
forces in the adult population. METHODS: Fifty-five patients aged 13 years or
older presenting with unilateral cleft nasal deformity were included. The caudal
border of the septum was accessed using an incision along the ipsilateral
membranous septum. Perioperatively, the curved caudal septal edge was exposed and
explored down to its attachment with the hypertrophied anterior nasal spine. The
overlying tethering tissues were excised and submitted for histopathologic
examination. The curved septal cartilage was straightened and the misplaced
anterior nasal spine was excised. The remaining cleft nasal deformity was
corrected depending on the specific presenting pathologic abnormality. RESULTS: A
well-defined, tough, fibrous band was detected extending from the deviated curved
surface of the septal cartilage to the anterior nasal spine that was filling up
the retrocolumellar area. Histopathologic examination revealed fibrous tissue in
all cases studied, consistent with diagnosis of a ligament. Postoperatively, the
nasal tip complex cosmetic result was considered to be good or very good in 89.7
percent, satisfactory in 8.1 percent, and poor in 2.0 percent of patients.
CONCLUSIONS: A well-defined ligament has been documented and demonstrated in
adult patients with unilateral cleft lip nose deformity. The authors recommend
that this septospinal ligament, previously unreported, should be excised in toto
to achieve straightening of the septum, columellar centralization, and nasal sill
symmetry in unilateral cleft lip nose deformity.
PMID- 18040199
TI - A virtual reality atlas of craniofacial anatomy.
AB - BACKGROUND: Head and neck anatomy is complex and represents an educational
challenge to the student. Conventional two-dimensional illustrations inherently
fall short in conveying intricate anatomical relationships that exist in three
dimensions. A gratis three-dimensional virtual reality atlas of craniofacial
anatomy is presented in an effort to address the paucity of readily accessible
and customizable three-dimensional educational material available to the student
of head and neck anatomy. METHODS: Three-dimensional model construction was
performed in Alias Maya 4.5 and 6.0. A basic three-dimensional skull model was
altered to include surgical landmarks and proportions. Some of the soft tissues
were adapted from previous work, whereas others were constructed de novo.
Texturing was completed with Adobe Photoshop 7.0 and Maya. The Internet
application was designed in Viewpoint Enliven 1.0. RESULTS: A three-dimensional
computer model of craniofacial anatomy (bone and soft tissue) was completed. The
model is compatible with many software packages and can be accessed by means of
the Internet or downloaded to a personal computer. As the three-dimensional
meshes are publicly available, they can be extensively manipulated by the user,
even at the polygonal level. CONCLUSIONS: Three-dimensional computer graphics has
yet to be fully exploited for head and neck anatomy education. In this context,
the authors present a publicly available computer model of craniofacial anatomy.
This model may also find applications beyond clinical medicine. The model can be
accessed gratis at the Plastic and Reconstructive Surgery Web site or obtained as
a three-dimensional mesh, also gratis, by contacting the authors.
PMID- 18040200
TI - Anatomy of the corrugator supercilii muscle: part I. Corrugator topography.
AB - BACKGROUND: Complete corrugator supercilii muscle resection is important for the
surgical treatment of migraine headaches and may help prevent postoperative
abnormalities in surgical forehead rejuvenation. Specific topographic analysis of
corrugator supercilii muscle dimensions and its detailed association with the
supraorbital nerve branching patterns has not been thoroughly delineated. Part I
of this two-part study aims to define corrugator supercilii muscle topography
with respect to external bony landmarks. METHODS: Twenty-five fresh cadaver heads
(50 corrugator supercilii muscles and 50 supraorbital nerves) were dissected to
isolate the corrugator supercilii muscle from surrounding muscles. Standardized
measurements of corrugator supercilii muscle dimensions were taken with respect
to the nasion and lateral orbital rim. RESULTS: Relative to the nasion, the most
medial origin of the corrugator supercilii muscle was found at 2.9 +/- 1.0 mm;
the most lateral origin point, 14.0 +/- 2.8 mm. The lateralmost insertion of the
corrugator supercilii muscle measured 43.3 +/- 2.9 mm from the nasion or 7.6 +/-
2.7 mm medial to the lateral orbital rim. The most cephalic extent (apex) of the
muscle was located 32.6 +/- 3.1 mm cephalad to the nasion-lateral orbital rim
plane and 18.0 +/- 3.7 mm medial to the lateral orbital rim. There were no
statistical differences noted between the right and left sides. CONCLUSIONS: The
dimensions of the corrugator supercilii muscle are more extensive than previously
described and can be easily delineated using fixed bony landmarks. These data may
prove beneficial in performing safe, complete, and symmetric corrugator
supercilii muscle resection for forehead rejuvenation and for effective
decompression of the supraorbital nerve and supratrochlear nerve branches in the
surgical treatment of migraine headaches.
PMID- 18040201
TI - Versatility of diced cartilage-fascia grafts in dorsal nasal augmentation.
AB - BACKGROUND: Augmentation of the nasal dorsum using autologous cartilage remains
an exacting task in rhinoplasty. Precise, long-term control over graft contour
and alignment can be difficult to achieve. In an attempt to alleviate these
problems, the use of diced cartilage wrapped in a supportive sleeve has recently
seen a resurgence of interest. The Turkish delight technique uses Surgicel as the
sleeve material, whereas Daniel and Calvert have proposed autologous fascia.
METHODS: The objective of this study was to assess the efficacy and reliability
of diced cartilage-fascia grafts in the treatment of dorsal nasal volume
deficiencies. RESULTS: Over a 2-year period, 20 adult patients underwent nasal
augmentation using diced cartilage-fascia grafts. The indications for dorsal
grafting were congenital saddling, racial refinement, or iatrogenic or
posttraumatic deformities. Apart from one infection, all of the hybrid grafts
retained their original volume and had not undergone resorption by a mean time of
16 months postoperatively. A critical analysis of the radix-dorsum aesthetics led
to a minor modification of the original technique. CONCLUSION: This series
supports the use of diced cartilage-fascia grafts for the correction of difficult
dorsal nasal defects with grafting in the 3- to 5-mm range in primary and
secondary rhinoplasty.
PMID- 18040203
TI - The change of maximum bite force after botulinum toxin type a injection for
treating masseteric hypertrophy.
AB - BACKGROUND: A botulinum toxin type A injection into the masseter muscle has been
used as a noninvasive treatment for masseteric hypertrophy. However, muscle
atrophy inevitably causes a change of bite force. The aim of this study was to
evaluate the change in the maximum bite force after a botulinum toxin type
injection for the treatment of masseteric hypertrophy. METHODS: Seven patients
who had presented for treatment of masseteric hypertrophy participated in this
study. Twenty-five units of botulinum toxin type was injected into each masseter
muscle, 50 units in total, at two to five points at the prominent portions of the
mandibular angle. The bite-force measurement apparatus included a digital
multimeter and a bite-force transducer. The maximum bite force between the
maxillary and mandibular first molars was measured before injection and at 2, 4,
8, and 12 weeks after injection. RESULTS: The difference in maximum bite force
between the preinjection and 2-, 4-, and 8-week postinjection time points was
statistically significant. However, there was no such difference between the
preinjection and 12-week postinjection values (p < 0.05). CONCLUSIONS: The
maximum bite force was significantly reduced after injection of botulinum toxin
type A for the treatment of masseteric hypertrophy. However, it gradually
recovered by 12 weeks.
PMID- 18040204
TI - A comparison of face lift techniques in eight consecutive sets of identical
twins.
AB - BACKGROUND: Selecting the "correct" face lift technique has always been a
difficult decision for the plastic surgeon. A technique that provides optimal
aesthetics for one patient may not provide the same result for another. The
complexity of comparing these different results on patients with different facial
features further confounds one's ability to decide on a given technique. Even
identical twins are often treated more appropriately with a different technique
from one twin to the other because the character and severity of facial aging may
differ between them. By comparing different superficial musculoaponeurotic system
techniques on "less different" people (identical twins), perhaps the ideal
technique may be determined. METHODS: Between November of 1997 and April of 1999,
eight sets of twins underwent face lift surgery by the senior author (D.E.A.),
using one of four techniques. The charts and photographs of the eight consecutive
pairs of twins (16 patients) were reviewed retrospectively. RESULTS: No one face
lift technique performed in this study produced a superior result as compared
with another when performed on the appropriate patient. CONCLUSION: There exists
no face lift technique suitable for every patient. As the current literature
suggests, there is no one "best" face lift technique of those studied.
PMID- 18040205
TI - One-stage mastopexy with breast augmentation: a review of 321 patients.
AB - BACKGROUND: One-stage mastopexy with breast augmentation is an increasingly
popular procedure among patients. In the past 9 years, there has been a 506
percent increase in mastopexy procedures alone. Although some recommend a staged
mastopexy and breast augmentation, there are currently no large studies
evaluating the safety and efficacy of a one-stage procedure. METHODS: A
retrospective chart review was conducted of 321 consecutive patients who
underwent one-stage mastopexy and breast augmentation. Data collected included
the following: patient characteristics, implant information, operative technique,
and postoperative results. Complication and revision rates were calculated to
evaluate the safety and efficacy of the one-stage procedure. RESULTS: No severe
complications were recorded over an average of 40 months' follow-up. The most
common complication was deflation of a saline implant (3.7 percent), followed by
poor scarring (2.5 percent), recurrent ptosis (2.2 percent), and areola asymmetry
(2.2 percent). Forty-seven patients (14.6 percent) underwent some form of
revision surgery following the one-stage procedure. Thirty-five (10.9 percent) of
these were for an implant-related issue, whereas 12 patients (3.7 percent)
underwent a tissue-related revision. This 10.9 percent implant-related revision
rate is less than a previously documented 13.2 percent 3-year reoperation rate
for breast augmentation alone. The authors' 3.7 percent tissue-related revision
rate also compares favorably to an 8.6 percent revision surgery rate in patients
who underwent mastopexy alone. CONCLUSIONS: Although it has been stated that the
risks of a one-stage procedure are more than additive, the results of our review
suggest otherwise. Although a revision rate of 14.6 percent is significant, it is
far from the 100 percent reoperation rate required for a staged procedure.
PMID- 18040206
TI - The Brava external tissue expander: is breast enlargement without surgery a
reality?
AB - BACKGROUND: Controlled trials have shown that an external breast tissue expander
(Brava; Brava LLC Miami, Fla.) can effectively enlarge the breast without
surgery. However, satisfaction with the results has varied among doctors and
patients. The first author critically evaluated her clinical experience with
Brava and attempted to identify factors associated with a successful outcome.
METHODS: Between May of 2003 and September of 2005, the first author supervised
the treatment of 50 women. Volume measurements and standardized photographs of
the breasts were obtained at the beginning of treatment and up to 12 months after
treatment ended. At the final visit, women completed a satisfaction
questionnaire. RESULTS: Forty women were evaluated at an average of 10 months
after discontinuation of treatment (range, 7 to 20 months). Reasons for drop-out
were noncompliance with the treatment (n = 6), unwillingness to attend follow-up
visits (n = 3), and more than 5 percent body weight change (n = 1). The women
used Brava 11 hours a day for a median period of 18.5 weeks (range, 14 to 52
weeks). The median volume increase was 155 cc (range, 95 to 300 cc). Thirty women
(75 percent) were satisfied or very satisfied with the results, five (12.5
percent) acknowledged enlargement of their breasts but considered the treatment
too bothersome, and five (12.5 percent) were disappointed because of little
growth. Factors associated with poor growth included lesser intensity of wear (p
< 0.002) and low body mass index (p = 0.055). CONCLUSIONS: Long-term breast
enlargement without surgery is possible with an external tissue expander. The
more it is used, the more the breasts grow. To avoid disappointments and drop
outs, women have to be well informed about the time and lifestyle commitment.
PMID- 18040208
TI - Back contouring in weight loss patients.
AB - BACKGROUND: Body contouring in the post-bariatric surgery patient has focused
predominantly on the resulting tissue excesses of the abdomen, breasts, and arms.
The back, however, has not received the same attention and, although the skin
folds on the back may sometimes be improved by addressing the previously
mentioned areas, the result is usually unsatisfactory and leaves the patient with
significant residual excess. METHODS: The senior author (B.S.) has developed a
classification system and surgical treatment for the excess back tissue that
eliminates these folds. RESULTS: Modifications of the senior author's techniques
of mammaplasty/mastopexy and circumferential abdominoplasty, in addition to
direct excision, are used to improve the contour of the back. CONCLUSIONS:
Contouring of the back roll deformities seen in post-bariatric surgery patients
requires a systematic approach. With this approach, the authors have been able to
achieve uniform patient satisfaction with low morbidity. Although patients are
left with additional scarring, this tradeoff is accepted by nearly all patients
for the dramatic improvement in body contour.
PMID- 18040209
TI - Endoscopic brow lift, upper and lower blepharoplasty, retinacular canthopexy:
personal approach.
PMID- 18040210
TI - Operating room fires: optimizing safety.
AB - BACKGROUND: This prospective study was undertaken to determine the safest means
of supplemental oxygen delivery for patients undergoing facial cosmetic surgery
under conscious sedation. Two common methods of oxygen delivery were used in 20
patients: (1) a nasal cannula and (2) a red rubber nasopharyngeal tube through
which the cut ends of the nasal cannula were passed into the posterior pharynx.
METHODS: The project was carried out in two parts. In part one, each subject was
placed supine and oxygen supplementation at 3 liters/minute was applied through
the nasal cannula. The oxygen concentration at 24 different set locations around
the patient's face was analyzed using the random access mass spectrometer unit,
starting at the right and left alar rim and then at 2-cm intervals laterally,
superiorly, and inferiorly. The procedure in part one was repeated with oxygen
being delivered by passing the cut cannula end through a red rubber
nasopharyngeal tube into the posterior pharynx. RESULTS: Statistical analysis has
showed that in all sites at or above the nasal area, the difference between the
nasal cannula and red rubber nasopharyngeal tube is significantly greater than 0,
indicating that higher concentrations are observed with the nasal cannula than
with the red rubber nasopharyngeal tube (p = 0.004). CONCLUSION: The authors'
study demonstrates a significant reduction in oxygen concentration, to levels
consistent with ambient air, even at points extremely close to the oxygen source,
when the nasopharyngeal tube system was used.
PMID- 18040211
TI - 50th Anniversary Plastic Surgery Research Council Panel on the Future of Academic
Plastic Surgery.
PMID- 18040212
TI - Bridging the gap: the 34th Varaztad H. Kazanjian Memorial Lecture.
PMID- 18040213
TI - The lagging U.S. Health care information technology infrastructure: parallel
challenges for plastic surgery.
PMID- 18040214
TI - Appropriate prophylactic antibiotic use in plastic surgery: the time has come.
PMID- 18040217
TI - Putting wild maggots on your head is not "maggot therapy," but it does suggest
pre-existing pathology.
PMID- 18040218
TI - Maggot debridement therapy.
PMID- 18040221
TI - Surgical treatment of partial defects of the external ear.
PMID- 18040223
TI - Augmentation mentoplasty with diced high-density porous polyethylene.
PMID- 18040224
TI - Medial epicanthoplasty using the skin redraping method.
PMID- 18040225
TI - Securing nasal tip rotation through suspension suture technique.
PMID- 18040228
TI - Anatomical study of factors contributing to zygomatic complex fracture
instability in human cadavers.
PMID- 18040230
TI - Minimally invasive surgery for the treatment of focal axillary hyperhidrosis.
PMID- 18040231
TI - The double opposing periareola flap: a novel concept for nipple-areola
reconstruction.
PMID- 18040233
TI - Perforator-plus flaps or perforator-sparing flaps: different names, same concept.
PMID- 18040235
TI - Iron toxicity as a potential factor in AMD.
AB - While it has been known for years that iron overload is associated with retinal
degeneration in the context of ocular siderosis, intraocular hemorrhage, and the
hereditary diseases aceruloplasminemia and pantothenate kinase associated
neurodegeneration, recent evidence suggests that age-related macular degeneration
(AMD) may also be exacerbated by retinal iron overload. In the retina, iron is
necessary for normal cellular function. Iron overload, however, can cause retinal
toxicity through the generation of oxygen free radicals. Histopathology of eyes
with macular degeneration has shown elevated levels of iron in the retinal
pigment epithelium, Bruch membrane, and within drusen, some of which was
chelatable in vitro with deferoxamine. In this review, the authors summarize the
evidence that iron overload may contribute to AMD pathogenesis. It is hoped that
continued investigation of the role of iron and iron associated proteins in the
retina will uncover clues to AMD pathogenesis and lead to new preventative or
therapeutic options.
PMID- 18040236
TI - Intravitreal bevacizumab injections for treatment of central retinal vein
occlusion: six-month results of a prospective trial.
AB - PURPOSE: To evaluate the effect of intravitreal bevacizumab (Avastin; Genentech,
Inc., South San Francisco, CA) injections on visual acuity and foveal retinal
thickness in patients with central retinal vein occlusion (CRVO). METHODS: In
this prospective, noncomparative, consecutive, interventional case series, 46
patients received repeated intravitreal injections (1.25 mg) of bevacizumab. Main
outcome measures were visual acuity (Snellen and ETDRS charts) and optical
coherence tomography measurements in a 6-month follow-up period. RESULTS: Mean
visual acuity improved from 20/250 at baseline to 20/80 at the 6-month follow-up
(P < 0.001). ETDRS chart findings revealed a mean letter gain +/-SD from baseline
to 6 months of 13.9 +/- 14.4 letters. Mean central retinal thickness +/-SD
decreased from 535 +/- 148 microm at baseline to 323 +/- 116 microm at the 6
month follow-up. Ischemic CRVO was associated with significantly lower visual
acuity than nonischemic CRVO (P < 0.001). However, visual acuity gain was similar
in both groups. Independent of duration of symptoms, CRVO was associated with a
similar gain in visual acuity. CONCLUSION: Intravitreal injection of bevacizumab
appears to be a new treatment option for patients with macular edema secondary to
CRVO.
PMID- 18040237
TI - Intravitreal bevacizumab (avastin) in central retinal vein occlusion.
AB - PURPOSE: To describe the effects of intravitreal bevacizumab in eyes with macular
edema resulting from central retinal vein occlusions (CRVO). METHODS:
Retrospective consecutive case series of patients diagnosed with macular edema
from CRVO who received intravitreal bevacizumab. RESULTS: Thirty eyes of 29
patients with an average age of 72 years (range, 54-87 years) had intravitreal
bevacizumab injections. Mean follow-up was 18.1 weeks. Initial mean visual acuity
was 20/394. At the 1- and 2-month follow-up, mean visual acuity improved to
20/237 (n = 26, P = 0.04) and 20/187 (n = 21, P = 0.008), respectively. At the 3-
and 4-month follow-up, visual acuity improved from 20/228 to 20/157 (n = 15, P =
0.05) and from 20/313 to 20/213 (n = 11, P = 0.03), respectively. No significant
changes in visual acuity were found after 4 months though the number of patients
in this group was small. Duration of treatment effect following an injection
appears to be limited to 2 months for most patients. No ocular or systemic
adverse reactions were noted. CONCLUSIONS: The visual benefits of intravitreal
bevacizumab for macular edema due to CRVO are apparent early but are not
sustained without repeated injections. Larger clinical studies with long-term
follow-up will be necessary to better elicit the best regimen for this therapy.
PMID- 18040238
TI - Effect of oral pentoxifylline on cystoid macular edema associated with central
retinal vein occlusion.
AB - PURPOSE: To determine whether oral pentoxifylline, a xanthine-derived
hemorheologic agent, decreases cystoid macular edema (CME) and improves visual
acuity in eyes with a perfused central retinal vein occlusion (CRVO). METHODS:
Retrospective chart review of consecutive patients on pentoxifylline (400 mg po
TID) for CRVO was performed. Inclusion criteria included CME, pentoxifylline use
for at least 1 month, and a follow-up period of at least 4 months. Exclusion
criteria included nonperfused or indeterminate CRVO, the presence of
neovascularization, and previous or concurrent laser therapy or any other
treatment for CRVO. Statistical analysis of collected data was performed.
RESULTS: Eleven patients were identified. All patients had a perfused CRVO. The
mean best-corrected Early Treatment Diabetic Retinopathy Study visual acuity was
60 letters (Snellen equivalent 20/128) before the initiation of oral
pentoxifylline. The mean time from onset of CRVO to start of pentoxifylline
therapy was 5 months (range, 1-12 months). The mean duration of pentoxifylline
use was 5.3 months (range, 2.5-10.2 months). The mean follow-up period was 8
months (range, 2.7-16.5 months). Cystoid macular edema had improved in 64% (7/11)
of eyes at last follow-up as measured by biomicroscopy and optical coherence
tomography. The visual acuity was not significantly changed at 62 letters (20/128
+2) (Student t-test, P = 0.7) at last follow-up. There were no significant side
effects from pentoxifylline. One patient had mild gastrointestinal disturbance.
CONCLUSION: Pentoxifylline has a favorable adverse effect profile, and can reduce
CME in eyes with CRVO. Visual acuity does not appear to change significantly. A
larger, randomized, multiarmed clinical trial evaluating the effects of
pentoxifylline as an adjunctive treatment modality may be of benefit since even a
small positive effect in altering the natural history of CME related to CRVO may
be of value for these patients.
PMID- 18040239
TI - Intravitreal triamcinolone acetonide for treatment of serous macular detachment
in central retinal vein occlusion.
AB - PURPOSE: To evaluate the effect of intravitreal triamcinolone acetonide (IVTA)
treatment on serous macular detachment in patients with central retinal vein
occlusion (CRVO). METHODS: Ten eyes of 10 patients with CRVO (6 men and 4 women;
age range, 54-78 years) made up the study population. The eligibility criteria
for this study included clinically and angiographically detectable cystoid
macular edema (CME) in which the presence of serous macular detachment was
documented by optical coherence tomography (OCT). After IVTA (4 mg/0.1 mL)
injection, visual and anatomical responses were observed. RESULTS: In all eyes,
after IVTA injection, CME and serous macular detachment regressed. At 3 months
and 6 months, CME and serous macular detachment had recurred in 4 (40%) and 5
eyes (50%), respectively. Patients with recurrence were retreated. No eyes lost
vision at 1 month, and all eyes had improvement. At 3 months, no eyes had lost
vision from baseline, and 7 eyes (70%) had improvement. At 6 months, again no
eyes had lost vision from baseline, and 6 eyes (60%) maintained improved visual
acuity. CONCLUSIONS: Our study shows that IVTA is effective treatment for serous
macular detachment in patients with CRVO.
PMID- 18040240
TI - Role of posterior vitreous detachment induced by intravitreal tissue plasminogen
activator in macular edema with central retinal vein occlusion.
AB - PURPOSE: To evaluate the effects of posterior vitreous detachment (PVD) in
macular edema associated with central retinal vein occlusion (CRVO) treated with
intravitreal tissue plasminogen activator (tPA). METHODS: The authors conducted a
retrospective study of 36 eyes of 36 patients with macular edema by CRVO treated
with intravitreal tPA. In 16 of 21 eyes without pretreatment PVD, PVD developed
after the treatment. Multiple linear regression analysis was used to evaluate the
correlation between logarithm of the minimum angle of resolution (logMAR) visual
acuity (VA) changes and several variables. RESULTS: The VA and macular thickness
significantly improved after treatment. The pretreatment logMAR VA (R = 0.646; P
< 0.0001), PVD development after tPA (R = -0.303; P = 0.025), and age (R = 0.255;
P = 0.050) correlated with the logMAR VA at final visit. The greater improvement
in logMAR VA was correlated only with PVD development (R = 0.467; P = 0.0041).
Macular thickness in the eyes with PVD development was significantly less than
without PVD development at the 6-month visit and the end of follow-up.
CONCLUSION: The findings suggest that PVD development after intravitreal tPA may
partly contribute to the resolution of macular edema and a better VA outcome.
PMID- 18040241
TI - Relationship between retinal vein occlusion and carotid artery lesions.
AB - PURPOSE: To investigate the relationship between retinal vein occlusion (RVO) and
carotid artery lesions. METHODS: For patients with RVO who presented to the
Ophthalmology Department of Tokyo Medical University Hospital between 2000 and
2003, carotid artery evaluation was possible on 58 eyes of 57 patients aged 51
years to 88 years (mean, 70.1 years). Thirty-nine patients (40 eyes) had central
RVO (CRVO), and 18 patients (18 eyes) had branch RVO (BRVO). The observation
period ranged from 6 months to 28 months (mean, 14 months). A diagnostic
ultrasound device was used to detect carotid artery lesions. RESULTS: Carotid
artery lesions were detected in 19 (49%) of 39 patients with CRVO and in 4 (22%)
of 18 patients with BRVO. In CRVO, 6 eyes without carotid artery lesions but no
eye with carotid artery lesions had good decimal visual acuity of >or=0.8 (P <
0.05). Fluorescein angiographic findings identified a significantly (P < 0.01)
higher incidence of the ischemic type in cases with carotid lesions (15 eyes;
79%) than in cases without carotid lesions (8 eyes; 40%). CONCLUSIONS: The
findings suggest that the presence of a carotid artery lesion has a considerable
association with the development and prognosis of CRVO.
PMID- 18040242
TI - Changes of intraocular pressure after intravitreal injection of bevacizumab
(avastin).
AB - PURPOSE: To determine changes and need to monitor intraocular pressure (IOP)
following intravitreal injection of bevacizumab (Avastin). METHODS: Seventy
patients (122 injections) underwent an intravitreal injection of Avastin for
exudative age-related macular degeneration treatment. Forty-one eyes (59%) had
single injection, 29 eyes (41%) had repeated injections. IOP was measured before
and after Avastin injection at 3, 10, and 15 minutes. Twenty-nine eyes were
evaluated for baseline IOP changes after multiple injections. Statistical
analysis was performed. RESULTS: Baseline mean IOP was 15.17 +/- 3.42 mm Hg, with
range from 08 mm Hg to 23 mm Hg. Postinjection 3 minutes the IOP had risen to a
mean of 36.27 +/- 5.1 mm Hg and fell spontaneously to a mean of 24.56 +/- 5.9 mm
Hg at 10 minutes. Ten eyes (14%) needed 15 minutes to drop below 30 mm Hg. All
eyes were below 30 mm Hg at 15 minutes. No significant change between multiple
baseline IOP measurements was detected. CONCLUSION: Avastin injections caused a
predictable probably volume-related rise in IOP which never occluded the central
retinal artery and which spontaneously fell to below 30 mm Hg in all eyes within
15 minutes. This strong safety profile provides guidelines on monitoring IOP
after Avastin injections. There was no IOP change after multiple injections.
PMID- 18040243
TI - Untoward outcomes in 25-gauge versus 20-gauge vitreoretinal surgery.
AB - PURPOSE: This study compares the incidence of complications in eyes undergoing 25
gauge versus 20-gauge vitreoretinal procedures. METHODS: Retrospective case
series. A chart review of consecutive patients who underwent primary 25-gauge
(129 eyes) and 20-gauge (129 eyes) vitreoretinal surgery by four surgeons at a
single center between September 2002 and November 2005 was conducted. RESULTS:
Mean follow-up was 9.1 +/- 4.9 months in 25-gauge eyes and 14.3 +/- 8.4 months in
20-gauge eyes (P < 0.01). Intraoperative complications were mainly rhegmatogenous
in nature and occurred at statistically similar incidence (4.7% in 25-gauge eyes,
6.9% in 20-gauge eyes). Postoperative complications that were significantly
associated with surgical method included hypotony and serous choroidal effusions
(7.9% in 25-gauge eyes, 1.6% in 20-gauge eyes, P = 0.02). Other postoperative
complications noted included retinal tears and detachments (5.4% in 25-gauge
eyes, 4.7% in 20-gauge eyes), persistent vitreous hemorrhage (5.4% in each
group), and new vitreous hemorrhage (3.9% in 25-gauge eyes, 0.8% in 20-gauge
eyes). Endophthalmitis was noted only in 25-gauge eyes in 2 cases (1.6%).
Cataract progression occurred at similar rates in both groups (46%). CONCLUSION:
25-gauge surgery is associated with a significantly greater incidence of
postoperative serous choroidal effusions and hypotony. Of particular concern in
25-gauge surgery is the increased risk of associated endophthalmitis. Operative
indications and case selection may be important in minimizing the tendency toward
certain postoperative events.
PMID- 18040244
TI - Silicone oil tamponade in 23-gauge transconjunctival sutureless vitrectomy.
AB - PURPOSE: To describe 20 consecutive patients treated with 23-gauge
transconjunctival sutureless vitrectomy and silicone oil tamponade for retinal
detachments (RD) of different etiologies. METHODS: Prospective case series.
Twenty patients with complex retinal detachment were submitted to a primary 23
gauge transconjunctival pars plana vitrectomy: rhegmatogenous retinal detachment
with proliferative vitreoretinopathy (RRD + PVR) in 7 cases, diabetic tractional
retinal detachment (DTRD) in 5 cases, giant retinal tear (GRT) in 2 cases, RRD
with multiple tears in 2 cases, GRT + uveitis in 1 case, RRD + uveitis in 1 case,
DTRD + RRD in 1 case, and RRD + PVR with intraocular foreign body (IOFB) in 1
case. Length of postoperative follow up ranged from 3 to 14 months. RESULTS:
Final visual acuity ranged from 20/25 to hand motion. Postoperatively, none of
the 20 eyes had hypotony or leakage of silicone oil through the sclerotomies.
Seventeen out of 20 (85%) had improved vision. CONCLUSION: Silicone oil tamponade
was demonstrated to be a feasible option in conjunction with 23-g
transconjunctival sutureless vitrectomy to treat complex retinal detachment.
PMID- 18040245
TI - Removal of silicone oil with 25-gauge transconjunctival sutureless vitrectomy
system.
AB - PURPOSE: To report a new and simple technique for silicone oil removal from pars
plana with 25-gauge (G) transconjunctival sutureless vitrectomy system (TSVS).
METHODS: In this prospective, interventional clinical trial, 13 eyes underwent
silicone oil (1000 centistokes) removal from pars plana passively, with 25-G
TSVS, between January and May 2005. Main outcome measures were postoperative
visual acuity, intraocular pressure (IOP), silicone oil removal time,
complications, and number of sutured sites. RESULTS: In all eyes silicone oil was
removed successfully under sub-Tenon (n = 12) and topical (n = 1) anesthesia. The
mean silicone oil removal time was 7.27 +/- 0.48 minutes. No intraoperative
complications were reported. No suture placement was necessary. Mean
postoperative follow-up period was 3.92 +/- 1.49 (range: 3-7) months. Visual
acuity improved or stabilized in 11 (84.61%) eyes. Retinal redetachment occurred
in 2 (15.38%) eyes resulting in a decrease in vision. Decrease in postoperative
IOP was found only at 2 hours (P = 0.003). Postoperatively, transient hypotony at
2 hours (n = 1) and transient vitreous hemorrhage (n = 1) were noted. There were
no cases of choroidal detachment, endophthalmitis, clinically significant corneal
endothelial decompensation, or macular edema. CONCLUSION: Removal of silicone oil
of 1000 centistokes with 25-G transconjunctival sutureless sclerotomies was
effective and safe.
PMID- 18040246
TI - Current visual and anatomic outcomes of pneumatic retinopexy.
AB - PURPOSE: To assess current visual and anatomic outcomes of pneumatic retinopexy
(PR) for the repair of rhegmatogenous retinal detachment (RD). METHODS:
Retrospective chart review of 150 patients who underwent PR for RD between
January 2000 and February 2005. Patients with a history of scleral buckling,
Lincoff balloon, or proliferative diabetic retinopathy in the same eye were
excluded. RESULTS: Successful reattachment was achieved in 76.7% with a single
procedure and 98.7% after additional procedures. A total of 17.3% underwent a
subsequent procedure for a new or persistent tear. The success rate remained
consistent in pseudophakic and aphakic eyes, and eyes with traumatic detachments,
macular detachment, multiple breaks, poor preoperative visual acuity (VA)
(<20/50), superior and inferior lattice degeneration, >or=6 clock hours of
detachment, and prior pars plana vitrectomy. A total of 2.7% of eyes underwent a
second additional procedure for RD. A total of 32% of males versus 15.3% of
females underwent an additional procedure for RD (P = 0.07). Final VA >or=20/50
was achieved in 80.8% of macula-on and 73.6% of macula-off RDs. Of eyes that
underwent an additional procedure, final VA >or=20/50 was achieved in 63.2% of
macula-on and 43.8% of macula-off RDs, and those with macula-on RD lost a mean of
1.79 (+/-4.9) lines of Snellen VA, while those with macula-off RD gained a mean
of 5.6 (+/-4.6) lines (P = 0.00006). CONCLUSIONS: : PR had a high anatomic
success rate in eyes with a wide variety of complicated RDs. Male sex may be a
risk factor for failed PR. Careful monitoring and treatment of postoperative
tears is important in preventing redetachment.
PMID- 18040247
TI - Rituximab penetrates full-thickness retina in contrast to tissue plasminogen
activator control.
AB - PURPOSE: To assess whether intravitreal rituximab 1 mg/0.1 cc penetrates the
retina of Dutch-belted rabbits. METHODS: Two right eyes of two rabbits were
injected with intravitreal rituximab 1 mg/0.1 mL, and one right eye of one rabbit
was injected with intravitreal tissue plasminogen activator (tPA) 12.5 microg/0.1
mL, as a protein control. The three left eyes received no intravitreal
injections. The rabbits were killed; the eyes were enucleated and immediately
frozen at -80 degrees C. Rituximab was detected using rabbit antihuman IgG (whole
molecule) peroxidase conjugated antibody. tPA was detected using an antihuman IgG
with a sheep antihuman tPA antibody conjugated with peroxidase. RESULTS: There
was staining in all retinal layers of the two eyes injected with intravitreal
rituximab, and no staining of the retina in the eye injected with intravitreal
tPA. The three control eyes showed no staining in any retinal layer. CONCLUSION:
Intravitreal rituximab at a dose of 1 mg/0.1 cc penetrates the retina of Dutch
belted rabbits while the control intravitreal tPA at a dose of 12.5 microg/0.1 cc
does not.
PMID- 18040248
TI - Plasmin-assisted vitrectomy for management of proliferative membrane in
proliferative diabetic retinopathy: a pilot study.
AB - PURPOSE: To demonstrate the feasibility of autologous plasmin for treatment of
proliferative diabetic retinopathy. METHODS: The study examined prospectively six
patients with bilateral proliferative diabetic retinopathy. Comparisons of the
surgical time and the incidence of retinal tears were made between the eyes
treated with autologous plasmin and their respective opposite eyes, which were
treated without plasmin. RESULTS: All eyes treated with autologous plasmin
required significantly less surgical time (68 versus 89 minutes, P = 0.04, paired
t-test). In the plasmin group, no additional surgical procedures for removing the
proliferative membrane were needed, including membrane delamination or
segmentation. Moreover, with plasmin pretreatment, there were no retinal tears,
which was in contrast to the control group, where three eyes with retinal tears
were observed. There was no significant difference found between the two groups
for final visual outcomes. CONCLUSION: Autologous plasmin may be beneficial in
the surgical management of proliferative diabetic retinopathy.
PMID- 18040249
TI - Plasmin enzyme-assisted vitreoretinal surgery in congenital X-linked
retinoschisis: surgical techniques based on a new classification system.
AB - PURPOSE: To review the surgical outcome of autologous plasmin enzyme-assisted
vitreoretinal surgery in managing complications associated with congenital X
linked retinoschisis (CXLRS). METHODS: Medical records of 20 patients (22 eyes)
with CXLRS complications, treated with autologous plasmin enzyme-assisted
vitreoretinal surgery, were reviewed. Surgical techniques were adapted according
to a new CXLRS classification. RESULTS: Median age of the cohort was 3.5 years.
Indications for surgical intervention included 8 eyes with schisis involving or
threatening the macula, 7 eyes with rhegmatogenous retinal detachment, 4 eyes
with tractional retinal detachment, 1 eye with vitreous and intraschisis
hemorrhage, 1 eye with obstruction of the macula by an overhanging bullous
schisis cavity, and 1 eye with macular pucker. Ninety-one percent (20/22) of eyes
had retinal attachment postoperatively after an average of 1.3 procedures per
eye. Of the eyes in which visual acuity was measured, 53% (8/15) improved, 33%
(5/15) had no change, and 13% (2/15) lost vision. CONCLUSION: Plasmin enzyme
assisted vitreoretinal surgery is a safe and effective method for managing the
complications associated with CXLRS. Most patients had improved or stable
postoperative visions.
PMID- 18040250
TI - Elevated levels of cystatin C and tenascin-C in schisis cavities of patients with
congenital X-linked retinoschisis.
AB - PURPOSE: To describe the finding of tenascin C and cystatin-C in the intraschisis
cavities of pediatric patients with intraretinal schisis cavities. METHODS: Three
patients with congenital X-linked retinoschisis (CXLRS) and one girl with
clinical retinoschisis underwent vitrectomy for vision-threatening schisis
cavities. At the time of surgery undiluted samples of intraschisis fluid and
vitreous fluid from four eyes (three male and one female) were obtained and
analyzed by gel electrophoresis and protein sequencing for the presence of
tenascin C and cystatin-C. RESULTS: Tenascin C and cystatin-C were found in all
four samples of fluid from the intraschisis cavities, including a girl with a
clinical presentation of CXLRS. The vitreous samples did not have detectable
levels of either protein as determined by gel electrophoresis. CONCLUSIONS:
Tenascin C and cystatin-C levels are elevated in intraschisis cavity fluid.
Interestingly, this was also found in a girl not carrying a mutation in the
retinoschisin gene, indicating that elevated concentrations of tenascin C and
cystatin-C result from pathologic changes in the retina and not from the presence
of aberrant retinoschisin.
PMID- 18040251
TI - Microplasmin-induced posterior vitreous detachment affects vitreous oxygen
levels.
AB - PURPOSE: To determine if enzymatic induction of a posterior vitreous detachment
(PVD) and/or vitreous liquefaction affects O2 concentration in the vitreous
cavity in animals with vascularized and avascular retinal circulations. METHODS:
Either microplasmin or hyaluronidase was injected intravitreally into guinea pigs
(avascular retinal circulation), brown Norway rats (vascularized retinal
circulation without fovea), or cats (vascularized retinal circulation with fovea)
with the contralateral eye used as a control. One to 2 weeks post injection,
vitreal oxygen concentration was measured using a highly sensitive, platinum
based fluorophore O2 sensor. In addition, control and microplasmin-injected rats,
guinea pigs, and cats were exposed to 100% oxygen and vitreal O2 levels were
measured over time. Scanning electron microscopy (SEM) was used to evaluate the
vitreoretinal interface for the presence of a PVD. RESULTS: In animals with a
vascularized retinal circulation (brown Norway rats and cats), intravitreal
injection of microplasmin with induction of a PVD significantly increased
baseline O2 concentration in the vitreous cavity compared to hyaluronidase
injected eyes and controls in rats (35, 25, and 23 mm Hg, P < 0.001 and P <
0.001, respectively) and cats (26, 18, and 16 mm Hg, P < 0.01 and P < 0.001,
respectively). Interestingly, intravitreal injection of hyaluronidase (vitreous
liquefaction without induction of a PVD) did not significantly increase vitreal
O2 levels in any of the animal species (P > 0.1). Upon exposure to 100% oxygen by
facemask, microplasmin injected animals showed a rapid increase in vitreal oxygen
levels compared to hyaluronidase injected animals and controls, indicating that
the presence of a PVD allows rapid O2 exchange within the vitreous cavity.
Similarly, once O2 was discontinued, the O2 concentration decreased in a
similarly rapid rate. SEM showed smooth retinal surfaces in microplasmin-injected
cat eyes, indicating the presence of a PVD which was not present in hyaluronidase
injected or control eyes. CONCLUSION: The results suggest that enzymatic-assisted
PVD with microplasmin increases vitreal O2 levels and increases the rate of O2
exchange within the vitreous cavity.
PMID- 18040252
TI - Autofluorescence of congenital hypertrophy of the retinal pigment epithelium.
AB - PURPOSE: To describe the autofluorescence features of CHRPE. DESIGN:
Noncomparative case series. PARTICIPANTS: Thirteen consecutive eyes with CHRPE.
METHODS: : CHRPE was studied with fundus photography and autofluorescence.
Autofluorescence was judged relative to the surrounding retinal pigment
epithelium. MAIN OUTCOME MEASURE: Autofluorescence features of CHRPE. RESULTS:
The mean CHRPE basal dimension was 4.75 mm and the tumor location was between the
macular and equator (n = 10) or equator and ora serrata (n = 3). Overall, CHRPE
show hypoautofluorescence in every case and was classified as trace (n = 1),
moderate (n = 6), or marked (n = 6) hypoautofluorescence. Compared to the central
portion of CHRPE, the margin showed isoautofluorescence (n = 8) or trace
hyperautofluorescence (n = 5). There were eight lesions with lacunae and this
feature generally showed trace to moderate hyperautofluorescence (n = 6). A
nonpigmented halo was present surrounding six lesions, generally showing trace
hyperautofluorescence (n = 3). A pigmented halo was present surrounding eight
lesions, usually showing isoautofluorescence (n = 6). CONCLUSIONS: CHRPE shows
striking hypoautofluorescence and this correlates with known histopathologic
evidence of lack of lipofuscin in the retinal pigment epithelium of CHRPE. The
lacunae showed general mild hyperautofluorescence that might correlate with
scleral autofluorescence.
PMID- 18040253
TI - Autofluorescence of basal laminar drusen.
AB - PURPOSE: To compare noninvasive autofluorescence (AF) photography with
conventional fundus photography and fluorescein angiography (FA) in the detection
of basal laminar drusen (BLD). METHODS: A retrospective case review of 20
patients with BLD studied with AF and conventional imaging was performed. Three
selected patients with different degrees of BLD are presented. AF imaging
employed an excitation filter at 580 nm and a barrier filter at 695 nm with
acquisition by a Topcon 50X fundus camera. Corresponding detail regions in each
image were enlarged for comparison. The AF detail image was registered by a
projective transformation in Matlab (Mathworks 7.0, Natick, MA) with the color
photograph/red free photograph (RF) and/or FA image detail for exact
superimposition in Photoshop and lesion comparison. RESULTS: Each visible drusen
in the color or RF photograph corresponded when superimposed to a focal
hypoautofluorescent lesion in the AF image. However, similar to the starry sky
pattern in FA, the AF lesions significantly outnumbered the clinically evident
drusen. When BLD lesions were not advanced enough to show the classic starry sky
fluorescein hyperfluorescence, the BLD were detectable with AF. CONCLUSIONS: In
our case series, AF imaging demonstrated a higher level of sensitivity when
compared with conventional fundus photography and is less invasive than FA for
the detection of BLD. Fundus AF, therefore, is valuable for diagnosing and
following BLD, particularly since these patients are at risk for development of
pseudovitelliform detachment which may simulate CNV.
PMID- 18040254
TI - Autofluorescence of orange pigment overlying small choroidal melanoma.
AB - PURPOSE: To describe the autofluorescence features of orange pigment
(intracellular lipofuscin) overlying small presumed choroidal melanomas. METHODS:
The diagnostic testing results (fluorescein angiography, optical coherence
tomography, and fundus autofluorescence imaging) for two patients with pigmented
choroidal melanoma and two patients with nonpigmented choroidal melanoma were
reviewed. RESULTS: Clinically, the intracellular lipofuscin pigment appeared
brown overlying the nonpigmented melanoma (two cases) and orange overlying the
pigmented melanoma (two cases). Fluorescein angiography demonstrated that the
lipofuscin pigment displayed fluorescein blockage in all four cases. Optical
coherence tomography revealed serous retinal detachment in all four cases. Fundus
autofluorescence imaging showed discrete, bright hyperautofluorescence of the
orange pigment separated by hypoautofluorescent voids. The melanoma was
isoautofluorescent. CONCLUSIONS: Intracellular lipofuscin overlying small
choroidal melanomas shows discrete and bright hyperautofluorescence. The
brightness correlates directly with the clinically visible orange pigment.
PMID- 18040255
TI - Rebound of cystoid macular edema with continued use of acetazolamide in patients
with retinitis pigmentosa.
AB - PURPOSE: To demonstrate the presence of a rebound effect with the use of
acetazolamide for the treatment of cystoid macular edema (CME) in patients with
retinitis pigmentosa (RP). METHODS: Six patients with RP and cystic-appearing
lesions in the macula demonstrated by fluorescein angiography and/or optical
coherence tomography (OCT) were treated with an oral form of carbonic anhydrase
inhibitor (acetazolamide [500 mg]) as a single daily dose. RESULTS: All patients,
treated with acetazolamide for a period of 3 weeks to 5 weeks, had initial
improvement of macular edema demonstrated by OCT. However, extended use of
acetazolamide, for at least 8 weeks to 12 weeks, resulted in recurrence (rebound)
of CME in 3 of the 6 patients. CONCLUSIONS: Results from our study suggest that
rebound of CME with the continued use of acetazolamide observed by OCT may occur
more frequently than previously appreciated.
PMID- 18040256
TI - Sensitivity and specificity of the optos optomap for detecting peripheral retinal
lesions.
AB - PURPOSE: To compare the sensitivity and specificity of the Optomap Panoramic200
wide-field confocal scanning laser imaging system for detecting peripheral
retinal lesions. METHODS: Optomap images were obtained in patients with known
retinal pathology. Two masked retinal specialists evaluated Optomap images to
identify lesions requiring referral to a retinal specialist. Their performance
was compared to gold standard examination with scleral indentation performed by a
retinal specialist. Sensitivity was calculated overall and again for lesions that
were found on clinical examination to require treatment. These sensitivities were
calculated separately for lesions posterior and anterior to the equator.
Specificity was calculated from fellow eyes that were found to have no pathology
on clinical examination. RESULTS: For retinal lesions posterior to the equator,
sensitivity was 74% (95% confidence interval [95% CI] 61%-87%) overall for all
lesions and 76% (95% CI 59%-93%) for lesions requiring treatment. For anterior
lesions, sensitivity was 45% (95% CI 28%-62%) overall and 36% (95% CI 14%-58%)
for treatable lesions. Specificity was 85% (95% CI 63%-100%). CONCLUSIONS: The
Optomap showed high specificity and moderate sensitivity for lesions posterior to
the equator and low sensitivity for lesions anterior to the equator.
PMID- 18040257
TI - Effect of blood on susceptibility to Staphylococcal endophthalmitis.
AB - PURPOSE: The authors examined the effect of blood on susceptibility to
experimental endophthalmitis. METHODS: Forty rabbits received an injection of 5
25 colony-forming units of Staphylococcus epidermidis into the vitreous of the
right eye. Twenty of these same eyes received a subsequent intravitreal injection
of 0.2 mL blood while the remaining 20 received an intravitreal injection of 0.2
mL of a salt solution. All eyes were examined daily for signs of endophthalmitis.
Vitreous cultures were obtained on day 2 from 30 of the 40 rabbits. Twenty
rabbits were assigned for culture and euthanasia at day 5 and those remaining
were cultured and killed at day 7. RESULTS: In rabbits with blood and bacteria,
10 of 15 (67%) were culture positive at 2 days, compared to 2 of 15 (13%) that
received salt solution and bacteria (P < 0.01). At days 5 and 7 there was no
statistically significant difference in culture results. However, inflammatory
scores were significantly higher at days 3-7 in rabbits with blood compared to
those with salt solution (P /=12 weeks
(PFS12). Secondary end points were overall response, overall survival, and
toxicity. Fifty-seven patients with PC were enrolled. Two patients had to be
withdrawn from the set of eligible patients. According to RECIST criteria, 4
patients out of 55 evaluable patients showed stable disease (SD). According to
PSA-response, we saw 11 patients with SD PSA and 2 patients were responders at 12
weeks (PFS12=17/55=31%). Among the 257 adverse events, 15 were considered drug
related of maximum CTC-grade 3. Twenty-four serious adverse events occurred in 14
patients (14/55=26%). Seven of them were determined to be drug related. No
treatment-related death was observed. Sorafenib has antitumour activity in HRPCP
when evaluated for RECIST- and PSA-based response. Further investigation as a
component of combination regimens is necessary to evaluate its definite or
overall clinical benefit for HRPCP.
PMID- 18040274
TI - Evaluation of 18F-2-deoxy-2-fluoro-glucose positron emission tomography for
gastric cancer screening in asymptomatic individuals undergoing endoscopy.
AB - (18)F-2-deoxy-2-fluoro-glucose Positron Emission Tomography (FDG-PET) has been
recently proposed as a promising cancer-screening test. However, the validity of
FDG-PET in cancer screening has not been evaluated. We investigated the
sensitivity of FDG-PET compared with upper gastric endoscopy in gastric cancer
screening for asymptomatic individuals. A total of 2861 consecutive subjects
(1600 men and 1261 women) who were asymptomatic and who underwent both FDG-PET
and upper gastrointestinal endoscopy between 1 February 2004 and 31 January 2005
were included in this study. Both endoscopists and a radiologist were unaware of
the results of the other diagnostic tests. The FDG-PET images were examined using
criteria determined by the pattern of FDG accumulation. Sensitivity and
specificity of FDG-PET were calculated compared with endoscopic diagnosis as the
gold standard. Among 2861 subjects enrolled in the study, there were 20 subjects
with gastric cancer, of whom 18 were T1 in depth of cancer invasion. Positive FDG
PET results were obtained only in 2 of the 20 cancer subjects. The calculated
sensitivity and specificity for overall gastric cancers were 10.0% (95%
confidence interval (CI): 1.2-31.7%) and 99.2% (95% CI: 98.8-99.5%),
respectively. (18)F-2-deoxy-2-fluoro-glucose Positron Emission Tomography was
poorly sensitive for detection of gastric cancer in the early stages.
PMID- 18040277
TI - TGF-beta1 and TGF-beta2 expression after traumatic human spinal cord injury.
AB - STUDY DESIGN: Immunohistochemical investigation in control and lesioned human
spinal cords. OBJECTIVES: To assess the spatial and temporal expression patterns
of transforming growth factor-beta1 and -beta2 (TGF-beta1 and TGF-beta2) in the
human spinal cord after traumatic injury. SETTING: Germany, Aachen, Aachen
University Hospital. METHODS: Sections from human spinal cords from 4 control
patients and from 14 patients who died at different time points after traumatic
spinal cord injury (SCI) were investigated immunohistochemically. RESULTS: In
control cases, TGF-beta1 was confined to occasional blood vessels, intravascular
monocytes and some motoneurons, whereas TGF-beta2 was only found in intravascular
monocytes. After traumatic SCI, TGF-beta1 immunoreactivity was dramatically
upregulated by 2 days after injury (the earliest survival time investigated) and
was detected within neurons, astrocytes and invading macrophages. The staining
was most intense over the first weeks after injury but gradually declined by 1
year. TGF-beta2 immunoreactivity was first detected 24 days after injury. It was
located in macrophages and astrocytes and remained elevated for up to 1 year. In
white matter tracts undergoing Wallerian degeneration, there was no induction of
either isoform. CONCLUSION: The early induction of TGF-beta1 at the point of SCI
suggests a role in the acute inflammatory response and formation of the glial
scar, while the later induction of TGF-beta2 may indicate a role in the
maintenance of the scar. Neither of these TGF-beta isoforms appears to contribute
to the astrocytic scar formation in nerve fibre tracts undergoing Wallerian
degeneration.
PMID- 18040278
TI - International lower urinary tract function basic spinal cord injury data set.
AB - OBJECTIVE: To create the International Lower Urinary Tract Function Basic Spinal
Cord Injury (SCI) Data Set within the framework of the International SCI Data
Sets. SETTING: International working group. METHODS: The draft of the Data Set
was developed by a working group consisting of the members appointed by the
International Continence Society, the European Association of Urology, the
American Spinal Injury Association (ASIA), the International Spinal Cord Society
(ISCoS) and a representative of the Executive Committee of the International SCI
Standards and Data Sets. The final version of the Data Set was developed after
review and comments by the members of the Executive Committee of the
International SCI Standards and Data Sets, the ISCoS Scientific Committee, ASIA
Board, relevant and interested (international) organizations and societies
(around 40) and persons, and the ISCoS Council. Endorsement of the Data Set by
relevant organizations and societies will be obtained. To make the Data Set
uniform, each variable and each response category within each variable have been
specifically defined in a way that is designed to promote the collection and
reporting of comparable minimal data. RESULTS: Variables included in the
International Lower Urinary Tract Function Basic SCI Data Set are as follows:
date of data collection, urinary tract impairment unrelated to spinal cord
lesion, awareness of the need to empty the bladder, bladder emptying, average
number of voluntary bladder emptyings per day during the last week, incontinence
within the last 3 months, collecting appliances for urinary incontinence, any
drugs for the urinary tract within the last year, surgical procedures on the
urinary tract and any change in urinary symptoms within the last year. Complete
instruction for data collection, data sheet and training cases available at the
website of ISCoS (www.iscos.org.uk) and ASIA (www.asia-spinalinjury.org).
PMID- 18040280
TI - Many human immunoglobulin heavy-chain IGHV gene polymorphisms have been reported
in error.
AB - The identification of the genes that make up rearranged immunoglobulin genes is
critical to many studies. For example, the enumeration of mutations in
immunoglobulin genes is important for the prognosis of chronic lymphocytic
leukemia, and this requires the accurate identification of the germline genes
from which a particular sequence is derived. The immunoglobulin heavy-chain
variable (IGHV) gene repertoire is generally considered to be highly polymorphic.
In this report, we describe a bioinformatic analysis of germline and rearranged
immunoglobulin gene sequences which casts doubt on the existence of a substantial
proportion of reported germline polymorphisms. We report a five-level
classification system for IGHV genes, which indicates the likelihood that the
genes have been reported accurately. The classification scheme also reflects the
likelihood that germline genes could be incorrectly identified in mutated VDJ
rearrangements, because of similarities to other alleles. Of the 226 IGHV alleles
that have previously been reported, our analysis suggests that 104 of these
alleles almost certainly include sequence errors, and should be removed from the
available repertoire. The analysis also highlights the presence of common
mismatches, with respect to the germline, in many rearranged heavy-chain
sequences, suggesting the existence of twelve previously unreported alleles.
Sequencing of IGHV genes from six individuals in this study confirmed the
existence of three of these alleles, which we designate IGHV3-49*04, IGHV3-49*05
and IGHV4-39*07. We therefore present a revised repertoire of expressed IGHV
genes, which should substantially improve the accuracy of immunoglobulin gene
analysis.
PMID- 18040281
TI - Quantitative and qualitative approaches to GOD: the first 10 years of the clonal
selection theory.
AB - Of the contentious issues surrounding the clonal selection theory, one of the
most influential was that of the mechanism for the generation of diversity of
antibody specificity. While Burnet's qualitative theory assumed a very large
antibody repertoire, Talmage provided a detailed quantitative argument supporting
only 5000 individual globulin patterns that provided an antiserum its specificity
through combinatorial action. This methodological difference between the two men,
and the mechanistic difference between their models, is key to the understanding
of the clonal selection theory, its later acceptance and the proportion of credit
paid to Burnet.
PMID- 18040282
TI - The evolution of clinical immunology and allergy in Australia.
PMID- 18040283
TI - Selection, memory and selective memories: T cells, B cells and Sir Mac 1968.
PMID- 18040284
TI - Autoimmunity since the 1957 clonal selection theory: a little acorn to a large
oak.
AB - Knowledge on autoimmunity is examined from the launch of clonal selection theory
1957-1959. Crucial elements then were 'forbidden clones' of immunocytes as agents
of tissue damage, somatic mutations that generated such clones and 'homeostatic
mechanisms' that controlled them. The understanding of autoimmunity over the
succeeding 50 years has expanded immensely, and many more diseases now come under
this rubric. Examined here are current problems of definition including
'adaptive' and 'innate' types of autoimmunity, estimations of population burdens
of autoimmune diseases, the nature of autoepitopes in the context of the diabetes
autoantigen GAD65, and the complexities of immune tolerance and the genetic
influences thereon, leading to the nomination of multiple
'tolerance/autoimmunity' genes as critical components of pathogenesis. Burnet's
concept of mutagenesis as a basic feature of various pathologies including
autoimmunity is given a contemporary focus, his views on deletional tolerance
have been well vindicated, his 'forbidden clones' remain as unphysiological as
before albeit phenotypically resembling normal lymphocytes, and his 'homeostatic
mechanisms' can be now interpreted in terms of immunoregulatory networks.
PMID- 18040285
TI - Cunning factor: macrophage migration inhibitory factor as a redox-regulated
target.
AB - Macrophage migration inhibitory factor (MIF) has an amazing history of
rediscoveries and controversies surroundings its true biological function. It has
been classified as a powerful cytokine capable of inducing tumour necrosis factor
(TNF)-alpha, IL-1beta, IL-6, IL-8, PGE2 along with its ability to override
glucocorticoid activity in relation to TNF-alpha release from monocytes. However,
our recent study has failed to reproduce findings on MIF as a factor with
cytokine-inducing properties but it has confirmed that MIF is capable of inducing
glucocorticoid-counter regulating activity and amplifying LPS-driven cytokine
responses. The aim of this review is to analyse the plethora of data surrounding
MIF not just as a cytokine, but also as a hormone-like molecule, enzyme with
atypical properties and as a thioredoxin-like protein to address fundamental
questions about MIF functionality.
PMID- 18040286
TI - Perlecan: a major IL-2-binding proteoglycan in murine spleen.
AB - Although interleukin-2 (IL-2) is typically considered a soluble cytokine, our
laboratory has shown that the availability of IL-2 in lymphoid tissues is
regulated, in part, by an association with heparan sulfate glycosaminoglycan.
Heparan sulfate is usually found in proteoglycan form, in which the heparan
sulfate chains are covalently linked to a specific core protein. We now show that
perlecan is one of the major IL-2-binding heparan sulfate proteoglycans in murine
spleen. IL-2 binds perlecan via heparan sulfate chains, as enzymatic removal of
heparan sulfate from splenic perlecan abolishes its ability to bind IL-2.
Furthermore, we demonstrate that perlecan-bound IL-2 supports the proliferation
of an IL-2-dependent cell line. Identification of perlecan as a major heparan
sulfate proteoglycan that binds IL-2 has implications for both the localization
and regulation of IL-2 in vivo.
PMID- 18040287
TI - Oncoprotein p28 GANK binds to RelA and retains NF-kappaB in the cytoplasm through
nuclear export.
AB - p28(GANK) (also known as PSMD10, p28 and gankyrin) is an ankyrin repeat anti
apoptotic oncoprotein that is commonly overexpressed in hepatocellular carcinomas
and increases the degradation of p53 and Rb. NF-kappaB (nuclear factor-kappaB) is
known to be sequestered in the cytoplasm by I kappaB (inhibitor of NF-kappaB)
proteins, but much less is known about the cytoplasmic retention of NF-kappaB by
other cellular proteins. Here we show that p28(GANK) inhibits NF-kappaB activity.
As a nuclear-cytoplasmic shuttling protein, p28(GANK) directly binds to NF
kappaB/RelA and exports RelA from nucleus through a chromosomal region
maintenance-1 (CRM-1) dependent pathway, which results in the cytoplasmic
retention of NF-kappaB/RelA. We demonstrate that all the ankyrin repeats of
p28(GANK) are required for the interaction with RelA and that the N terminus of
p28(GANK), which contains the nuclear export sequence (NES), is responsible for
suppressing NF-kappaB/RelA nuclear translocation. These results suggest that
overexpression of p28(GANK) prevents the nuclear localization and inhibits the
activity of NF-kappaB/RelA.
PMID- 18040288
TI - The transmembrane domain of TACE regulates protein ectodomain shedding.
AB - Numerous membrane proteins are cleaved by tumor necrosis factor-alpha converting
enzyme (TACE), which causes the release of their ectodomains. An ADAM (a
disintegrin and metalloprotease domain) family member, TACE contains several
noncatalytic domains whose roles in ectodomain shedding have yet to be fully
resolved. Here, we have explored the function of the transmembrane domain (TM) of
TACE by coupling molecular engineering and functional analysis. A TM-free TACE
construct that is anchored to the plasma membrane by a
glycosylphosphatidylinositol (GPI)-binding polypeptide failed to restore shedding
of transforming growth factor-alpha (TGF-alpha), tumor necrosis factor-alpha (TNF
alpha) and L-selectin in cells lacking endogenous TACE activity. Substitution of
the TACE TM with that of the prolactin receptor or platelet-derived growth factor
receptor (PDGFR) also resulted in severe loss of TGF-alpha shedding, but had no
effects on the cleavage of TNF-alpha and L-selectin. Replacement of the TM in TGF
alpha with that of L-selectin enabled TGF-alpha shedding by the TACE mutants
carrying the TM of prolactin receptor and PDGFR. Taken together, our observations
suggest that anchorage of TACE to the lipid bilayer through a TM is required for
efficient cleavage of a broad spectrum of substrates, and that the amino-acid
sequence of TACE TM may play a role in regulatory specificity among TACE
substrates.
PMID- 18040289
TI - A highly homozygous and parthenogenetic human embryonic stem cell line derived
from a one-pronuclear oocyte following in vitro fertilization procedure.
AB - Homozygous human embryonic stem cells (hESCs) are thought to be better cell
sources for hESC banking because their human leukocyte antigen (HLA) haplotype
would strongly increase the degree of matching for certain populations with
relatively smaller cohorts of cell lines. Homozygous hESCs can be generated from
parthenogenetic embryos, but only heterozygous hESCs have been established using
the current strategy to artificially activate the oocyte without second polar
body extrusion. Here we report the first successful derivation of a human
homozygous ESC line (chHES-32) from a one-pronuclear oocyte following routine in
vitro fertilization treatment. chHES-32 cells express common markers and genes
with normal hESCs. They have been propagated in an undifferentiated state for
more than a year (>P50) and have maintained a stable karyotype of 46, XX. When
differentiated in vivo and in vitro, chHES-32 cells can form derivatives from all
three embryonic germ layers. The almost undetectable expression of five
paternally expressed imprinted genes and their HLA genotype identical to the
oocyte donor indicated their parthenogenetic origin. Using genome-wide single
nucleotide polymorphism analysis and DNA fingerprinting, the homozygosity of
chHES-32 cells was further confirmed. The results indicated that 'unwanted' one
pronuclear oocytes might be a potential source for human homozygous and
parthenogenetic ESCs, and suggested an alternative strategy for obtaining
homozygous hESC lines from parthenogenetic haploid oocytes.
PMID- 18040290
TI - Diagnosis of pediatric Cushing's syndrome--which test is best?
PMID- 18040291
TI - Which children with idiopathic short stature should receive growth hormone
therapy?
PMID- 18040292
TI - Cascaded synchronization of external-cavity laser diodes.
AB - An experimental demonstration of optical synchronization of a chain of chaotic
external-cavity laser diodes is reported for what is believed to be the first
time. The experiment is performed in the low-frequency-fluctuation regime.
PMID- 18040293
TI - Microtorus: a high-finesse microcavity with whispering-gallery modes.
AB - We demonstrate a 165-mu;m oblate spheroidal microcavity with a free spectral
range of 383.7 GHz (3.06 nm), a resonance bandwidth of 23 MHz (quality factor Q
approximately 10(7)) at 1550 nm, and finesse F>/=10(4) . The highly oblate
spheroidal dielectric microcavity combines a very high Q factor, typical of
microspheres, with a vastly reduced number of excited whispering-gallery modes
(by 2 orders of magnitude). The very large free spectral range in this novel
microcavity-a few hundred gigahertz instead of a few gigahertz as in typical
microspheres-is desirable for applications in spectral analysis, narrow-linewidth
optical and rf oscillators, and cavity QED.
PMID- 18040294
TI - Capping layers for extreme-ultraviolet multilayer interference coatings.
AB - The reflectivity of extreme-ultraviolet thin-film multilayer (ML) interference
coatings, terminated by a native oxide or other capping layer (CL), is critically
dependent on the thickness of the final deposited layer of the top period. We
show in this numerical study that, for a molybdenum-silicon ML, a high
reflectivity loss may be incurred if the final Si layer is not of optimum
thickness. For maximum reflectivity the thickness of the final Si layer must be
controlled such that the node of the standing wave lies within the absorptive
CL.The final Si layer may be replaced, at the expense of reflectivity, by SiC and
capped with another inert material for improved protection of the ML.
PMID- 18040295
TI - Stimulated effects in one-photon resonant interferometric four-wave mixing with
incoherent light.
AB - The interferometric four-wave mixing technique with broadband light is extended
to measurements of population relaxation. For what is believed to be the first
time, the population relaxation rate in the presence of a pump field is measured.
It is shown that stimulated emission can significantly affect the results when
one is dealing with resonant transient wave mixing, and, consequently, in most
cases stimulated processes should be taken into account.
PMID- 18040296
TI - Bidirectional, synchronously pumped, ring optical parametric oscillator.
AB - We report the operation of a bidirectional femtosecond pulsed ring optical
parametric oscillator based on periodically poled lithium niobate, pumped
alternately with nonsimultaneous pulses from a Ti:sapphire mode-locked laser. A
beat note between the two counterpropagating beams attests to a gyro response
without dead band. The sensitivity of the device to differential phase changes is
demonstrated by measurement of the nonlinear index of lithium niobate.
PMID- 18040297
TI - Generation of dual-wavelength pulses by frequency doubling with quasi-phase
matching gratings.
AB - We demonstrate generation of two synchronized picosecond pulses at different
wavelengths near 778 nm by frequency doubling of a femtosecond pulse. We use
nonlinear frequency filtering with quasi-phase-matching gratings, which allow us
to obtain second-harmonic spectral intensities that are higher than the spectral
intensities of the pump.
PMID- 18040298
TI - Experiments on induced modulational instability of an incoherent optical beam.
AB - We report the observation of modulational instability (MI) of a partially
spatially incoherent beam induced by seeding noise through cross-phase
modulation. We show experimentally that a threshold exists for such induced
incoherent MI to occur that depends on the degree of spatial coherence as well as
on the strength of the nonlinearity. Above threshold, the induced MI leads to the
formation of ordered and disordered patterns of incoherent light.
PMID- 18040299
TI - Superresolution optical disk with a thermoreversible organic thin film.
AB - Recording and retrieving small marks far beyond the optical diffraction limit in
a high-speed rotating phase-change optical disk have been investigated by use of
a thermoreversible organic thin film as a superresolution mask layer. The organic
thin film exhibited significant thermoreversibility and rapid response on laser
irradiation. Recorded marks as small as 120 nm in length could be detected by a
dynamic disk tester with a laser wavelength of 635 nm and a numerical aperture of
0.6.
PMID- 18040300
TI - Femtosecond laser-assisted three-dimensional microfabrication in silica.
AB - We demonstrate direct three-dimensional (3-D) microfabrication inside a volume of
silica glass. The whole fabrication process was carried out in two steps:(i)
writing of the preprogrammed 3-D pattern inside silica glass by focused
femtosecond (fs) laser pulses and (ii) etching of the written structure in a 5%
aqueous solution of HF acid. This technique allows fabrication of 3-D channels as
small as 10mum in diameter inside the volume with any angle of interconnection
and a high aspect ratio (10mum -diameter channels in a 100mum -thick silica
slab).
PMID- 18040301
TI - Optical absorption modeling of thermal infrared detectors by use of the finite
difference time-domain method.
AB - The optical absorption of thin-film thermal infrared detectors was calculated as
a function of wavelength, pixel size, and area fill factor by use of the finite
difference time-domain (FDTD) method. The results indicate that smaller pixels
absorb a significantly higher percentage of incident energy than larger pixels
with the same fill factor. A polynomial approximation to the FDTD results was
derived for use in system models.
PMID- 18040302
TI - Continuous-wave broadband emitter based on a transition-metal-ion-doped
waveguide.
AB - We demonstrate the suitability of a simple continuous-wave-pumped transition
metal-ion-doped waveguide as a broadband light source in the wavelength region
600-1000 nm for interferometric applications. Spatially coherent (single mode in
the confined direction), spectrally broadband (~130-nm FWHM) luminescence with
output powers of several hundreds of microwatts is obtained from a Ti:sapphire
planar waveguide with incident pump powers from an Ar-ion laser of up to 1 W.
This result represents an increase in power by several orders of magnitude from
previously reported simple broadband light sources in this wavelength range.
PMID- 18040303
TI - Quantitative analysis of bending efficiency in photonic-crystal waveguide bends
at lambda = 1.55 mum wavelengths.
AB - Based on a photonic-crystal slab structure, a 60 degrees photonic-crystal
waveguide bend is successfully fabricated. Its bending efficiency within the
photonic bandgap is measured, and near 100% efficiency is observed at certain
frequencies near the valence band edge. The bending radius is ~1mum at a
wavelength of lambda~1.55mum . The measured eta spectrum also agrees well with a
finite-difference time-domain simulation.
PMID- 18040304
TI - Measurement of mode-locked laser timing jitter by use of phase-encoded optical
sampling.
AB - The phase-noise characteristics of a harmonically mode-locked fiber laser are
investigated with a new measurement technique called phase-encoded optical
sampling. A polarization-maintaining ring laser is mode locked by use of the
short-pulse electrical output of a resonant-tunneling diode oscillator, enabling
it to produce 30-ps pulses at a 208-MHz repetition rate. The interferometric
phase-encoded sampling technique provides 60-dB suppression of amplitude-jitter
noise and allows supermode phase noise to be observed and quantified. The white
noise pulse-to-pulse timing jitter and the rms supermode timing jitter of the
laser are measured to be less than 50 and 70 fs, respectively.
PMID- 18040305
TI - All-solid-state Cr:forsterite laser generating 14-fs pulses at 1.3 mum.
AB - We report the generation of 14-fs pulses at 1.3mum with 80-mW average power at
100-MHz repetition rate by an all-solid-state Kerr-lens mode-locked Cr:forsterite
laser. The laser spectrum covers wavelengths of 1230-1580 nm, with a FWHM of 250
nm. Since 1.3-mum wavelengths are close to the zero dispersion wavelength of
Cr:forsterite, higher-order dispersion is the main factor limiting pulse
durations. We use specially designed and fabricated double-chirped mirrors in
combination with high-index PBH71 prisms to compensate for the intracavity
dispersion over almost 300 nm.
PMID- 18040306
TI - Ultrafast, jitter-free x-ray streak camera that uses single-photon counting.
AB - A novel method developed to increase the temporal resolution of x-ray streak
cameras is described. The method is analogous to the time-correlated single
photon-counting technique, which is commonly used in atomic physics. By use of
short-pulse x-ray radiation from a laser-produced plasma, generated by an
ultrafast laser, it is shown that a standard x-ray streak camera with a nominal
temporal resolution of >5ps can yield a temporal response of 1.6 ps. The readout
technique also removes temporal jitter with respect to the triggering laser.
Capabilities and limitations of the technology are discussed.
PMID- 18040307
TI - Synthesis of periodic femtosecond pulse trains in the ultraviolet by phase-locked
Raman sideband generation.
AB - We demonstrate a new technique for femtosecond-pulse generation that employs
ultrafast modulation of a laser field phase by impulsively excited molecular
rotational or vibrational motion with subsequent temporal compression. An
ultrashort pump pulse at 800 nm performs impulsive excitation of a molecular gas
in a hollow waveguide, and a weak delayed probe pulse at 400 nm is scattered on
the temporal oscillations of its dielectric index. The resultant sinusoidal phase
modulation of the probe pulse permits probe pulse temporal compression by use of
both positively and negatively dispersive elements. The potential of this new
method is demonstrated by the generation of a periodic train of 5.8-fs pulses at
400 nm with positive group-delay dispersion compensation.
PMID- 18040308
TI - Chirped-pulse amplification of ultraviolet femtosecond pulses by use of
Ce(3+):LiCaAlF(6) as a broadband, solid-state gain medium.
AB - Chirped-pulse amplification in the ultraviolet region is demonstrated by use of a
broadband Ce(3+): LiCaAlF(6) laser medium. A modified bow-tie-style four-pass
amplifier pumped by 100-mJ, 266-nm pulses from a Q -switched Nd:YAG laser has a
gain factor of 370 and delivers 6-mJ, 290-nm pulses. After dispersion
compensation, the output pulses can be compressed to 115 fs.
PMID- 18040309
TI - Femtosecond fiber-feedback optical parametric oscillator.
AB - We demonstrate what is to our knowledge the first synchronously pumped high-gain
optical parametric oscillator (OPO) with feedback through a single-mode fiber.
This device generates 2.3-2.7 W of signal power in 700-900-fs pulses tunable in a
wavelength range from 1429 to 1473 nm. The necessary high gain was obtained from
a periodically poled LiTaO(3) crystal pumped with as much as 8.2 W of power at
1030 nm from a passively mode-locked Yb:YAG laser with 600-fs pulse duration and
a 35-MHz repetition rate. The fiber-feedback OPO setup is compact because most of
the resonator feedback path consists of a standard telecom fiber. Because of the
high parametric gain, the fiber-feedback OPO is highly insensitive to intracavity
losses. For the same reason, the synchronization of the cavity with the pump
laser is not critical, so active stabilization of the cavity length is not
required.
PMID- 18040310
TI - Precursor experiment for an active true airspeed sensor.
AB - The feasibility of an active optical true airspeed sensor was demonstrated with a
coherent short-range Doppler lidar. Even at the long wavelength of 10.6 mum ,
aerosol events at the high altitude of 12, 000 m were measured. A comparison of
the line-of-sight velocity obtained by lidar measurements with a conventional
five-hole probe on an aircraft showed an excellent coincidence in the average
value, although the lidar detected turbulence effects much more sensitively.
PMID- 18040311
TI - Measurement of angular distributions by use of low-coherence interferometry for
light-scattering spectroscopy.
AB - We present a novel interferometer for measuring angular distributions of
backscattered light. The new system exploits a low-coherence source in a modified
Michelson interferometer to provide depth resolution, as in optical coherence
tomography, but includes an imaging system that permits the angle of the
reference field to be varied in the detector plane by simple translation of an
optical element. We employ this system to examine the angular distribution of
light scattered by polystyrene microspheres. The measured data indicate that size
information can be recovered from angular-scattering distributions and that the
coherence length of the source influences the applicability of Mie theory.
PMID- 18040312
TI - Arbitrary-lattice photonic crystals created by multiphoton microfabrication.
AB - We used voxels of an intensely modified refractive index generated by multiphoton
absorption at the focus of femtosecond laser pulses in Ge-doped silica as
photonic atoms to build photonic lattices. The voxels were spatially organized in
the same way as atoms arrayed in actual crystals, and a Bragg-like diffraction
from the photonic atoms was evidenced by a photonic bandgap (PBG) effect.
Postfabrication annealing was found to be essential for reducing random
scattering and therefore enhancing PBG. This technique has an intrinsic
capability of individually addressing single atoms. Therefore the introduction of
defect structures was much facilitated, making the technique quite appealing for
photonic research and applications.
PMID- 18040313
TI - Interrogation of fiber gratings by use of low-coherence spectral interferometry
of noiselike pulses.
AB - We demonstrate an innovative method for a real-time interrogation of fiber Bragg
gratings based on low-coherence spectral interferometry of noiselike pulses. By
analyzing the spectral interference at the output of a Michelson interferometer
we obtained the impulse response of the grating with a time resolution of ~350 fs
. Using the Gabor transformation, we could directly detect nonuniform regions
inside the grating and could measure the spatial dependence of the resonance
wavelength along the grating.
PMID- 18040314
TI - 57-km single-ended spontaneous Brillouin-based distributed fiber temperature
sensor using microwave coherent detection.
AB - We present a novel technique for performing single-ended distributed fiber
temperature measurements by use of microwave heterodyne detection of spontaneous
Brillouin scattering. Brillouin frequency-shift measurements were obtained for a
sensing length of 57 km, with a spatial resolution of 20 m. The rms error in
frequency measurements at the far end of the sensing fiber was less than 3 MHz,
and the overall frequency dependence on temperature was 1.07+/-0.06 MHz/K.
PMID- 18040315
TI - Elimination of beam walk-off in low-coherence off-axis photorefractive
holography.
AB - Whole-field photorefractive holography can be combined with low-coherence
interferometry for three-dimensional imaging and other applications, including
imaging through turbid media, but the off-axis holographic recording geometry
results in a limited field of view when light of low temporal coherence is used.
We show that tilting the energy fronts with respect to the wave fronts by use of
prisms can eliminate this problem and point out that this approach will be useful
for many linear and nonlinear wave-mixing experiments.
PMID- 18040316
TI - Diode-pumped regenerative amplifier delivering 100-mJ single-mode laser pulses.
AB - We report on a side-pumped Nd:phosphate laser regenerative amplifier that
delivers laser pulses of as much as 100 mJ in a single TEM mode. The laser beam
is mode matched to the amplification medium by an intracavity fused-silica phase
plate for mode shaping and a telescope for adjustment of the beam mode to the
amplification rod section such that most of the energy stored in the rod is
transferred to the laser pulses. As a result of the good overlap and the low
loss, an optical-to-optical conversion efficiency of as much as 10% was measured
for a pumping current of 80 A and greater than 100-mJ output pulses.
PMID- 18040317
TI - Fourier synthesis of 1.8-THz optical-pulse trains by phase locking of three
independent semiconductor lasers.
AB - Fourier synthesis of ultrafast optical-pulse trains was demonstrated based on
optical phase locking of three independent continuous-wave semiconductor lasers.
Pulse repetition frequencies as high as 1.81 THz were limited by the gain
bandwidth of an erbium-doped fiber amplifier. The waveforms of the pulse trains
were maintained over a long period by use of an auxiliary optical phase-locked
loop. The repetition frequency could be tuned continuously over a range of 100
MHz.
PMID- 18040318
TI - All-fiber passively Q-switched low-threshold erbium laser.
AB - A novel all-fiber passively Q-switched erbium laser with a Co(2+):ZnSe crystal as
a saturable absorber is demonstrated experimentally. A pump power threshold of
20.5 mW, which the authors believe is the lowest to date, has been measured.
Giant pulses with energy of 3.6 nJ and peak power of 0.7 mW have been obtained.
PMID- 18040319
TI - Cascade lasing with spherical microparticles.
AB - Lasing characteristics of dye-doped spherical solid microparticles produced by
photopolymerization in aerosols are investigated. It is well known that
dielectric spherical microparticles are optical resonators with very high
quality. The resonant internal field is not completely confined to the interior
of the particle. An evanescent wave extends a couple of micrometers into the
surrounding area. This evanescent field decays exponentially. We demonstrate that
cascade lasing can be excited by coupling of two spherical microresonators
through this evanescent field.
PMID- 18040320
TI - Zeeman laser-scanning confocal microscopy in turbid media.
AB - A novel Zeeman laser-scanning confocal microscope (ZLSCM) is proposed. It has the
same configuration as the conventional laser-scanning confocal microscope (LSCM)
in which a Zeeman laser in conjunction with a Glan-Thompson analyzer is used. In
our system, the analyzer with the bandpass filter, which act simultaneously as a
polarization gate and a coherence gate, enhance the collection efficiency of the
weak-scattering photons and simultaneously suppress the multiple-scattering
photons. The improvement in depth resolution of a ZLSCM in a scattering medium
compared with that of a conventional LSCM is discussed and demonstrated
experimentally.
PMID- 18040321
TI - Optical parametric amplification in periodically poled KTiOPO(4) seeded by an Er
Yb:glass microchip laser.
AB - An optical parametric amplifier based on periodically poled KTiOPO(4) was used to
generate 3-ns pulses at 1.544 mum . The device was pumped by a Q-switched Nd:YAG
laser, and the signal output-pulse energy reached 71 muJ ; the maximum gain was
66 dB. The seed source was an Er-Yb:glass microchip laser. A theoretical fit to
experimental data gave an effective nonlinear coefficient of 9.7 pm/V , close to
the highest values reported for periodically poled KTiOPO(4) . Furthermore, the
broad parametric gain observed could be used for broadband pulse amplification.
PMID- 18040322
TI - Cross-polarized wave generation by effective cubic nonlinear optical interaction.
AB - A new cubic nonlinear optical effect in which a linearly polarized wave
propagating in a single quadratic medium is converted into a wave that is cross
polarized to the input wave is observed in BBO crystal. The effect is explained
by cascading of two different second-order processes: second-harmonic generation
and difference frequency mixing.
PMID- 18040323
TI - Soliton self-frequency shift in a short tapered air-silica microstructure fiber.
AB - We report a soliton self-frequency shift of more than 20% of the optical
frequency in a tapered air-silica microstructure fiber that exhibits a widely
flattened large anomalous dispersion in the near infrared. Remarkably, the large
frequency shift was realized in a fiber of length as short as 15 cm, 2 orders of
magnitude shorter than those reported previously with similar input pulse
duration and pulse energies, owing to the small mode size and the large and
uniform dispersion in the tapered fiber. By varying the power of the input
pulses, we generated compressed sub-100-fs soliton pulses of ~1-nJ pulse energy
tunable from 1.3 to 1.65 mum with greater than 60% conversion efficiency.
PMID- 18040324
TI - Raman-excited spin coherences in nitrogen-vacancy color centers in diamond.
AB - Raman-excited spin coherences were experimentally observed in nitrogen-vacancy (N
V) diamond color centers by means of nondegenerate four-wave mixing and
electromagnetically induced transparency. The maximal absorption suppression was
found to be 17%, which corresponds to 70% of what is possible given the random
geometric orientation of the N-V center in diamond. In the context of quantum
computing in solids, this level of transparency represents efficient preparation
of quantum bits, as well as the ability to perform arbitrary single-quantum-bit
rotations.
PMID- 18040325
TI - Low-noise detection of ultracold atoms.
AB - We have demonstrated a new technique for detecting ultracold atoms. A balanced
detection technique was used to reduce laser-induced detection noise in
conjunction with modulation-transfer spectroscopy to distinguish cold atoms from
a thermal cloud. Using this technique, we have achieved signal-to-noise ratios in
excess of 2000:1.
PMID- 18040326
TI - Observation of twin-beam-type quantum correlation in optical fiber.
AB - We report generation of pulsed twin beams of light through optical parametric
amplification in a fiber Sagnac loop. By pumping the Sagnac loop with picosecond
pulses at a wavelength near the zero-dispersion wavelength of the fiber, we
achieve phase-matched nondegenerate four-wave mixing with gain. For a gain of
2.2, the intensity noises of the amplified signal and the generated idler
(conjugate) pulses are found to be correlated by 5.0 dB, and the subtracted noise
drops below the shot-noise limit by 1.1 dB (2.6 dB when corrected for losses). We
have investigated the gain dependence of the quantum-noise reduction as well as
of the intensity noises of the amplified signal and idler pulses. As the gain
increases, we observe the onset of excess noise on the idler pulses.
PMID- 18040327
TI - Basic factorization for multilayers.
AB - We present a factorization scheme that is particularly suited to multilayer
optics, and we exploit it to develop a consistent description of the action of a
multilayer in terms of three basic matrices. Connections with equivalent
behaviors in geometrical optics are discussed.
PMID- 18040329
TI - Focusing properties of shocking optical pulses.
AB - We show that optical-cycle steepening in a nonlinear dielectric before focusing
results in an arbitrarily large enhancement of the focused intensity and energy
density. The focusing of an optical shock produces singular intensity and energy
density at the focal point.
PMID- 18040328
TI - Generation of 5-fs pulses and octave-spanning spectra directly from a Ti:sapphire
laser.
AB - Spectra extending from 600 to 1200 nm have been generated from a Kerr-lens mode
locked Ti:sapphire laser producing 5-fs pulses. Specially designed double-chirped
mirror pairs provide broadband controlled dispersion, and a second intracavity
focus in a glass plate provides additional spectral broadening. These spectra are
to our knowledge the broadest ever generated directly from a laser oscillator.
PMID- 18040330
TI - Widely tunable pulse durations from a passively mode-locked thin-disk Yb:YAG
laser.
AB - We demonstrate a passively mode-locked thin-disk Yb:YAG laser that generates
solitonlike pulses with durations that are continuously tunable in a very wide
range from 3.3 to 89 ps or from 0.83 to 1.57 ps. The average powers are typically
~12 W . Previously [Opt. Lett. 25, 859 (2000)], only pulse durations in a narrow
range near 0.7 ps could be obtained from such lasers because of the effect of
spatial hole burning. We achieved this much wider range by constructing a laser
cavity with two different angles of incidence on the thin disk, which greatly
reduces the effect of spatial hole burning.
PMID- 18040331
TI - Quadrature spectral interferometric detection and pulse shaping.
AB - We introduce a new variant of spectral interferometry, using spectrally dispersed
ultrafast laser pulses and quadrature detection to measure optical thickness
variations related to surface structure. We can resolve surface features with
depths of 3 mm to 25 nm, using a lateral resolution of ~100mum . Quadrature
detection gives a larger dynamic range and solves the sign ambiguity problem.
This method has potential applications in device manufacture, optical
communications, and error compensation in pulse shaping.
PMID- 18040332
TI - Nonlinear optical generation and detection of ultrashort electrical pulses in
transmission lines.
AB - The nonlinear optical generation and detection of subpicosecond electrical pulses
on coplanar transmission lines is demonstrated. The electrical pulses are
generated by optical rectification of ultrashort optical pulses and detected by
electro-optic sampling. Both processes are the result of a second-order nonlinear
optical response that occurs in the same poled polymer medium. A bipolar temporal
waveform with a FWHM duration of 180 fs for the positive lobe that was measured
after a propagation distance of 125 mum was observed. Pulse broadening was
minimized by careful attention to the device structure.
PMID- 18040333
TI - Focusing in microlenses close to a wavelength in diameter.
AB - Light focused from air into a spherical microlens is affected by diffraction at
the lens surface as its diameter approaches the wavelength of light. Through an
extension of Mie theory, we show that a converging wave that is incident upon a
Si microlens with a diameter less than approximately 4lambda creates a spot as
much as 25% smaller than predicted with vector diffraction theory. Si microlenses
only a wavelength in diameter are shown to be virtually insensitive to variations
in the maximum illumination angle, and changes in index of refraction are not
found to cause the proportional changes in spot size that would be expected from
vector diffraction theory.
PMID- 18040334
TI - Wave-front sensing with time-of-flight phase diversity.
AB - We present a new way to sense atmospheric wave-front phase distortion. Short
collimated pulses of laser light at ~350nm are projected from a small auxilliary
telescope. Rayleigh scattering from each pulse is recorded over a wide range of
height through the main telescope aperture in a continuous sequence of fast video
frames by a detector conjugate to mid-height. Phase diversity is thus naturally
introduced as the pulses approach and pass through focus. We show that an
iterative algorithm can extract the phase structure from the recorded images and
do so with a much higher signal-to-noise ratio than is possible with existing
techniques. If the requirements for real-time data recording and reduction can be
met, the new method will address the need for tomographic wave-front sensing at
planned 30-m-class telescopes.
PMID- 18040335
TI - Orbital angular momentum of partially coherent beams.
AB - The definition of the orbital angular momentum established for coherent beams is
extended to partially coherent beams, expressed in terms of two elements of the
beam matrix. This extension is justified by use of the Mercer expansion of
partially coherent fields. General Gauss-Schell-model fields are considered, and
the relation between the twist parameter and the orbital angular momentum is
analyzed.
PMID- 18040336
TI - Transport of broadband arbitrary radiation through a bleaching medium.
AB - A novel analytical treatment of the transport of broadband radiation arbitrarily
directed through a bleaching medium is presented. The emphasis is on the
principle features of photodecomposition (bleaching) wave formation. Considering
radiation from a uniform planar source with stable radiative characteristics and
media with a uniform initial distribution of the absorptive component, we show
that this problem has an asymptotic stationary solution for a wave propagating
through a medium characterized by a photodecomposition spectrum without any
portion in which the absorption cross section continually runs down to zero.
PMID- 18040337
TI - Generation of achromatic and propagation-invariant spot arrays by use of
continuously self-imaging gratings.
AB - A particular class of Montgomery's self-imaging objects that we call continuously
self-imaging gratings (CSIG's) is introduced. When they are illuminated by a
plane wave, these objects produce a field whose intensity profile is a
propagation- and wavelength-invariant biperiodic array of bright spots. The
mathematical construction of these objects and their intrinsic properties are
described. On a practical level, CSIG's are compact and achromatic nondiffracting
array generators. We show that a good CSIG approximation can be realized by a two
level phase grating that is experimentally tested.
PMID- 18040338
TI - Planar holographic optical processing devices.
AB - Time-domain optical processing implemented through linear spectral filtering
offers unique potential for future high-bandwidth communications systems. One key
to realization of this potential is the development of robust, cost-effective,
fully integrated filtering devices. A new spectral filtering device concept,
derived from the unique properties of index holograms stamped or otherwise
written in thin planar waveguide slabs, is described. The holograms that are
described provide for high-resolution spectral filtering while at the same time
mapping general input spatial waveforms to desired output waveforms.
PMID- 18040339
TI - Optimized quantization for diffractive phase elements by use of uneven phase
levels.
AB - Many applications of diffractive phase elements involve the calculation of a
continuous phase profile, which is subsequently quantized for fabrication. The
quantization process maps the continuous range of phase values to a limited
number of discrete steps. We present a new scheme with unevenly spaced levels for
the design of diffractive elements and apply it to the design of intracavity mode
selecting elements. We show that this modified quantization can produce
significantly better results than are possible with a regular or even the bias
phase-optimized quantization scheme that we reported here earlier. In principle
this process can be employed to a greater or lesser extent in any quantization
process, allowing the fabrication of diffractive elements with much improved
performance.
PMID- 18040340
TI - Compensation of phase change on reflection in white-light interferometry for step
height measurement.
AB - We present a method for compensating for the phase change on reflection in
scanning white-light inteferometry that practically permits precise three
dimensional profile mapping of composite target surfaces that comprise multiple,
dissimilar materials. The compensation method estimates the variation of phase
change with the spectral distribution of the light source through a first-order
approximation and then directly compensates for the measurement errors by
performing two additional quasi-monochromatic phase-measuring interferometric
measurements. Experimental results prove that the proposed compensation method is
capable of reducing the measurement error in step height gauging to +/-5 nm or
less.
PMID- 18040341
TI - Absolute wavelength calibration of pulsed lasers by use of machine vision.
AB - We developed a new absolute wavelength calibration system that uses machine
vision for measurement of low-repetition-rate, short-pulse-duration (10-Hz, 5-ns)
tunable lasers. Weak fluorescence from an iodine cell was measured by use of
machine vision as a spatially gated integrator, and a pulsed dye-laser wavelength
was calibrated with an accuracy of +/-0.005 nm , which is precise enough for
differential absorption lidar application.
PMID- 18040342
TI - High-conversion-efficiency, diode-pumped continuous-wave Raman laser.
AB - We demonstrate a diode-pumped cw Raman laser in H(2) with photon-conversion
efficiency of (66+/-8)%. Pumped by an injection-locked diode laser at 792 nm, the
Stokes laser produces a peak output power of ~16mW at 1180 nm. Accompanying the
high Stokes power are deviations from the existing theory, which are believed to
be caused by the thermal-lensing effect of the Raman gas.
PMID- 18040343
TI - Spectral hole burning in thulium-doped glass ceramics.
AB - We have used spectral hole burning to measure the homogeneous linewidth of the
(3)H(6)(1)- (3)F(3)(1) transition of Tm(3+) ions doped into oxyfluoride glass
ceramics consisting of nanocrystals of LaF(3) in an aluminosilicate glass matrix.
From the magnitude of the hole width in the nanocrystals and its dependence on
temperature, we propose that excitation of tunneling modes in the adjacent glassy
phase as well as of confined mechanical modes in the nanocrystals is responsible
for the broadening.
PMID- 18040344
TI - Polarization gating in ultrafast-optics imaging of skeletal muscle tissues.
AB - By comparing the results of polarization-dependent, time-resolved intensity
profiles of photons transmitted through diluted milk, chicken breast tissue, and
chopped chicken breast tissue, we found that the inherent anisotropic optical
property of skeletal muscle tissue resulted in coherent coupling between two
mutually perpendicular polarization directions. This coupling process led to
difficulty in using the conventional polarization gating method for imaging
unless the anisotropy characteristics were well understood. However, imaging
based on polarization gating in diluted milk and chopped chicken breast tissue,
which had an isotropic random-scattering nature, was quite effective.
PMID- 18040345
TI - Multipole spatial vector solitons.
AB - We introduce the concept of multipole spatial optical vector solitons associated
with higher-order guided modes trapped by a soliton-induced waveguide in a bulk
medium. Such stationary localized waves include previously predicted vortex- and
dipole-mode vector solitons and also describe new higher-order vector solitons
and necklace-type beams. We present the theoretical and experimental results of
the structure, formation, and instability development of the quadrupole vector
solitons.
PMID- 18040346
TI - Electric-field-induced second-harmonic generation in GaN devices.
AB - Electric-field-induced second-harmonic generation is used to detect electric
fields in a GaN UV Schottky photodiode and in a GaN light-emitting diode. The
second-harmonic signal is measured as a function of bias voltage and incident
laser power. This technique is sensitive to small applied voltages and can be
used to track electronic waveforms. The photocurrent generated by this technique
is found to be less than 100 pA when the fundamental and second-harmonic
frequencies are both below the device bandgap.
PMID- 18040347
TI - Dynamics of light-induced reflectivity switching in gallium films deposited on
silica by pulsed laser ablation.
AB - We present what is to our knowledge the first experimental study of light-induced
reflectivity changes at an alpha-Ga/Si interface irradiated by femtosecond and
picosecond laser pulses. After exposure, the reflectivity can increase from
R?0.55 , which is typical for alpha-Ga , to R?0.8 , which is close to that of
liquid Ga. The initial step in the reflectivity change of 2-4 ps is resolved with
150-fs laser pulses. The light-induced reflectivity change relaxes during 100ns
10 mus , depending strongly on the background temperature of the Ga mirror and
the laser fluence.
PMID- 18040348
TI - Volume holographic data storage at an areal density of 250 gigapixels/in.(2).
AB - One thousand volume holographic data pages, each containing 1x10(6)pixels , are
stored in a common volume of LiNbO(3) :Fe by use of the 90 degrees geometry. An
effective transverse aperture of 1.6 mm x 1.6mm , realized by repetition of this
experiment at each of the eight surrounding locations, results in a demonstrated
areal density of 394pixels/mum (2) (254 Gpixels/in. (2)) . Short-focal-length
Fourier optics provide a tightly confined object beam at the crystal; the
reference beam is angle multiplexed. Data pages retrieved with a 1024 x 1024 CCD
camera are processed to remap bad spatial light modulator pixels and to
compensate for global and local pixel misregistration and are then decoded with a
strong 8-bits-from-12-pixels modulation code. The worst-case raw bit-error rate
(BER) before error correction was 1.1x10(-3) , sufficient to deliver a user BER
of 10(-12) at an overall code rate of 0.61 user bits per detector pixel. This
result corresponds to 1.08% of the well-known theoretical volumetric density
limit of 1/lambda(3) .
PMID- 18040349
TI - Fast optical recording in dye-doped polymer-dispersed liquid-crystal films.
AB - We describe a fast optical recording material based on a dye-doped polymer
dispersed liquid-crystal film. A holographic grating is written in this film with
a single Q -switched Nd:YAG laser pulse that has a duration of ~6 ns . Such a
grating is due to the reorientation effect of the liquid-crystal molecules
through interaction with the photoinduced adsorption of the doped azo dyes.
Experimental results indicate that the grating thus formed is permanent but
electrically switchable.
PMID- 18040350
TI - Delay in light transmission through small apertures.
AB - We demonstrate a technique for measuring pulse propagation time delays with 0.5
fs resolution by use of a widely available 100-fs pulsed laser. Using this
technique, we measured the time delay of a light pulse transiting through
subwavelength apertures placed on a 0.3-mum metallic film. We measured a 7-fs
total transit time, corresponding to an effective group velocity of c/7 . The
experimental result yielded additional evidence that light interacts resonantly
with oscillators formed by the surface modes near the small apertures.
PMID- 18040351
TI - 0.09-terawatt pulses with a 31% efficient, kilohertz repetition-rate Ti:sapphire
regenerative amplifier.
AB - We present an efficient, ultrafast regenerate amplifier that increases the energy
of a laser pulse from 300 pJ to 6 mJ and produces average powers of as much as 9
W in a TEM(00) spatial mode. As an ultrafast amplifier, the system produces 4-mJ
pulses with 0.09 TW of peak power.
PMID- 18040352
TI - Pulse compression without chirp control and frequency detuning by high-order
coherent Raman scattering in impulsively excited media.
AB - It is shown that phase-locked pulses as short as 3 fs can be generated by
coherent scattering in impulsively excited Raman media without the necessity of
external phase control. The underlying mechanism, temporal characteristics,
spectra, phase relations, physical limitations owing to competition processes,
and precompensation of dispersion by the hollow waveguide window are studied
analytically and numerically without the use of the slowly varying envelope
approximation and with a global approach to dispersion. Additionally, the large
frequency shifts in both the Stokes and anti-Stokes directions of as much as half
the carrier frequency raise the possibility of generating widely tunable
ultrashort pulses.
PMID- 18040353
TI - Quasi-linear optical pulses in strongly dispersion-managed transmission systems.
AB - A unified analytical description of the evolution of quasi-linear optical pulses
and solitons in strongly dispersion-managed transmission systems is developed.
Asymptotic analysis of the nonlocal equation that describes the averaged dynamics
of a dispersion-managed system shows that the nonlinearity decreases for large
map strength s , as O(log s/s) . The spectral intensity is found to be an
invariant of the propagation, which allows the phase shift to be computed. These
findings provide a clear description of pulse propagation in the quasi-linear
regime, which is characterized by much lower energies than those required for
stable dispersion-managed soliton transmission with the same dispersion map.
PMID- 18040354
TI - Adjusting pulse-front tilt and pulse duration by use of a single-shot
autocorrelator.
AB - We present a method of adjusting the pulse duration and eliminating the pulse
front tilt of an ultrashort pulse in real time by use of a specially configured
single-shot autocorrelator. Pulse-front tilt, or a temporal delay across the
pulse front, is a common ultrashort-pulse phenomenon when dispersive elements are
being used. We show the design of an autocorrelator that can be used to eliminate
the pulse-front tilt and simultaneously adjust the pulse duration in real time by
adjustment of the pulse compressor of a chirped-pulse amplified laser system.
PMID- 18040355
TI - High-efficiency, single-stage 7-kHz high-average-power ultrafast laser system.
AB - We demonstrate a simple and practical single-stage ultrafast laser amplifier
system that operates at a repetition frequency from 1 to 10 kHz, with millijoule
pulse energy and as much as 13 W of average power. The repetition rate can be
adjusted continuously from 1 to 10 kHz by new all-solid-state pump laser
technology. This is to our knowledge the highest average power ever obtained from
a single-stage ultrafast laser amplifier system. This laser will significantly
increase the average power and the repetition rate that is easily accessible for
high-field experiments such as coherent x-ray generation or for laser-synchrotron
studies.
PMID- 18040356
TI - Molybdenum-strontium multilayer mirrors for the 8-12-nm extreme-ultraviolet
wavelength region.
AB - Mo-Sr multilayer mirrors were successfully deposited by dc-magnetron sputtering
and characterized in situ with synchrotron radiation. Normal-incidence (3.6
degrees ) reflectance of 23.0% at 8.8 nm, 40.8% at 9.4 nm, and 48.3% at 10.5 nm
were measured before the samples were exposed to air. After exposure, as a result
of the reactivity of Sr with oxygen and water vapor, the reflectance of these
multilayers decreased rapidly. Attempts to use thin layers of C to passivate the
surface of these Mo-Sr multilayers were unsuccessful.
PMID- 18040357
TI - Comment on Radiative transfer over small distances from a heated metal.
PMID- 18040358
TI - Comment on Radiative transfer over small distances from a heated metal.
AB - We show that there is no contradiction between the results presented by Pan [Opt.
Lett. 25, 369 (2000)] and the 1/L(2) dependence of the radiative energy flux
between two half-spaces separated by a small vacuum gap of width L obtained by
Polder and Van Hove [Phys. Rev. B 4, 3303 (1971)] and by Loomis and Maris [Phys.
Rev. B 50, 18517 (1994)].
PMID- 18040359
TI - Reply to comments on "Radiative transfer over small distances from a heated
metal".
AB - Some previous works showed that radiative transfer between two closely spaced,
lossy media diverges as 1/L(2), where L is the spacing between the two media.
This divergent power transfer clearly violates energy conservation. The
explanation for this unphysical result is that too many optical modes were
counted in those previous works, and many of those modes are physically
unattainable. Moreover, many physically significant optical modes were not
counted in the previous works.
PMID- 18040360
TI - Physical origin of the Gouy phase shift.
AB - We show explicitly that the well-known Gouy phase shift of any focused beam
originates from transverse spatial confinement, which, through the uncertainty
principle, introduces a spread in the transverse momenta and hence a shift in the
expectation value of the axial propagation constant. A general expression is
given for the Gouy phase shift in terms of expectation values of the squares of
the transverse momenta. Our result also explains the phase shift in front of the
Kirchhoff diffraction integral.
PMID- 18040361
TI - Symmetry and degeneracy in microstructured optical fibers.
AB - The symmetry of an optical waveguide determines its modal degeneracies. A fiber
with rotational symmetry of order higher than 2 has modes that either are
nondegenerate and support the complete fiber symmetry or are twofold degenerate
pairs of lower symmetry. The latter case applies to the fundamental modes of
perfect microstructured optical fibers, guaranteeing that such fibers are not
birefringent. We explore two numerical methods and demonstrate their agreement
with these symmetry constraints.
PMID- 18040362
TI - Propagation characteristics of a segmented cladding fiber.
AB - We propose a novel optical fiber design that consists of a uniform core and a
segmented cladding formed by alternate regions of high and low refractive indices
in the azimuthal direction. The structure is analyzed by use of the radial
effective-index method, and the propagation characteristics of the structure are
studied. The fiber has a highly dispersive cladding and shows characteristics
similar to those of photonic-crystal fibers and holey fibers. The novel fiber
offers the possibility of single-mode operation over a wide range of wavelengths
with a large core diameter.
PMID- 18040363
TI - Experimental implementation of a Wiener filter in a hybrid digital--optical
correlator.
AB - We present the implementation of a clutter-tolerant filter in a hybrid correlator
system. Wiener filters were mapped with a complex encoding technique onto a
smectic A(*) liquid-crystal spatial light modulator (SLM). The technique
overcomes the problem of representing high-dynamic-range data on SLM's that have
limited modulation capabilities. It also provides a compact image recognition
system that is robust enough for many real-world applications. Experimental
results are presented.
PMID- 18040364
TI - Peering into darkness with a vortex spatial filter.
AB - I propose to use as a window the dark core of an optical vortex to examine a weak
background signal hidden in the glare of a bright coherent source. Applications
such as the detection of an astronomical object, forward-scattered radiation, and
incoherent light are described whereby signal enhancements of at least 7 orders
of magnitude may be achieved.
PMID- 18040365
TI - Determination of the stimulated-emission cross section in an end-pumped solid
state laser from laser-induced pump saturation data.
AB - A novel method for the determination of the stimulated-emission cross section in
an end-pumped solid-state laser is presented. The technique is based on the fact
that increasing intracavity laser intensity stimulates faster decay of excited
atoms and reduces the saturation of pump absorption. The use of this laser
induced pump saturation method is demonstrated with experimental data collected
from a Cr(4+): YAG laser and analyzed by use of a numerical model that calculates
the change in the pump transmission as a function of the intracavity laser
intensity. The predicted cross-section value of 1.1x10(-19)cm(2) agrees well with
previously reported results.
PMID- 18040366
TI - Observation of vacuum-ultraviolet Kr(2)* laser oscillation pumped by a compact
discharge device.
AB - We have demonstrated vacuum-ultraviolet (VUV) Kr(2) * laser oscillation as a
result of the realization of a stable self-sustained discharge of high-pressure
Kr by use of a compact discharge device. Glow discharge was obtained with as much
as 10 atm of pure Kr. The VUV emission intensity centered at 147.8 nm abruptly
increased when the charging voltage exceeded a certain value. In addition to this
threshold behavior, considerable spectral narrowing (4.0?0.4 nm) was observed
when the charging voltage increased. The maximum output energy at 148 nm was
150muJ . The gain coefficient was estimated to be 1.1% cm (-1) .
PMID- 18040367
TI - Vertically coupled GaInAsP--InP microring resonators.
AB - Vertically coupled microring resonator channel-dropping filters are demonstrated
in the GaInAsP-InP material system. These devices were fabricated without
regrowth. In this method, low-loss single-mode waveguides are removed from the
growth substrate and bonded to a GaAs transfer substrate with benzocyclobutene.
This permits fabrication of vertically coupled waveguides on both sides of the
epilayer. Optical quality facets are obtained by cleaving through the transfer
substrate. Operation of single-mode, single-ring optical channel-dropping filters
is demonstrated.
PMID- 18040368
TI - Hybrid glass substrates for waveguide device manufacture.
AB - Hybrid glass substrates were prepared by a novel, low-temperature process joining
active (Er-Yb codoped) and passive phosphate glass. The resulting hybrid
substrates are chemically and physically robust; they can be cut, ground, and
polished by conventional, water-based techniques. The entire substrate can be
immersed in a molten-salt bath to produce waveguides simultaneously in the active
and passive regions. A low reflectance of -34+/-2 dB was measured at the joint
interface with 1531.2-nm light by optical low-coherence reflectometry. Further, a
hybrid laser waveguide device exhibited a slope efficiency of 33% at 1540 nm when
pumped at 975 nm.
PMID- 18040369
TI - Optical coherence topography based on a two-dimensional smart detector array.
AB - A low-coherence reflectometer based on a conventional Michelson interferometer
and a novel silicon detector chip with a two-dimensional array of pixels that
allows parallel heterodyne detection is presented. We demonstrate acquisition of
three-dimensional images with more than 100,000 voxels per scan at a sensitivity
of -58 dB and a rate of 6 Hz.
PMID- 18040370
TI - Imaging of Ca(2)+ intracellular dynamics with a third-harmonic generation
microscope.
AB - We describe the promising development of third-harmonic generation (THG) in laser
scanning microscopy for study of the functional imaging of live biological cells.
The dynamics of Ca(2+) in biological cells is shown. The Ca(2+) signal consists
of a transient increase in the intracellular concentration. THG microscopy allows
one to temporally visualize the release of Ca(2+) from internal stores and (or)
calcium influx.
PMID- 18040371
TI - Quantitative differential phase measurement and imaging in transparent and turbid
media by optical coherence tomography.
AB - Differential phase-contrast optical coherence tomography allows one to measure
the path-length differences of two transversally separated beams in the nanometer
range. We calculate these path-length differences from the phase functions of the
interferometric signals. Pure phase objects consisting of chromium layers
containing steps of approximately 100-200-nm height were imaged. Phase
differences can be measured with a precision of +/-2 degrees , corresponding to a
path-difference resolution of 2-3 nm. To investigate the influence of scattering,
we imaged the phase objects through scattering layers with increasing scattering
coefficients. The limit of phase imaging through these layers was at
approximately 8-9 mean free path lengths thick (single pass).
PMID- 18040372
TI - Observation of self-diffraction by gratings in nematic liquid crystals doped with
carbon nanotubes.
AB - Diffraction gratings were studied in cells of the homogeneously aligned liquid
crystal E7 doped with multiwall carbon nanotubes. These phase gratings were
induced by interference modulation of two coherent optical beams, in conjunction
with an applied dc field that was perpendicular to the unperturbed director axis.
Self-diffraction was observed at all angles of incidence of the writing beams,
including normal incidence. A superior nonlinear-index coefficient of 5x10(
2)cm(2)/W was obtained after passage of a 44-mW/cm(2) beam through a film with a
grating constant of 18 mum under an external voltage of 15 V. The observed
phenomenon depends strongly on the applied dc field, and the memory effect in a
nematic film depends strongly on the grating constant.
PMID- 18040373
TI - Transmission of images through highly nonlinear media by gradient-index lenses
formed by incoherent solitons.
AB - We experimentally demonstrate image transmission through a noninstantaneous self
focusing medium. A partially spatially incoherent soliton is used to form a
multimode waveguide in a photorefractive crystal, and the modes of that waveguide
are used to transmit an incoherent image through this nonlinear medium.
PMID- 18040374
TI - Two-wave mixing of phase-modulated beams in GaP under a dc electric field.
AB - Two-wave mixing of phase-modulated light beams in crystals of cubic symmetry is
analyzed on the basis of the vectorial theory of light diffraction. We derive an
analytical expression for phase-to-intensity transformation in crystals of the
43m point group of symmetry, which differs from the previously obtained solution
based on the scalar approach. The most effective transformation is achieved when
the amplitude of the space-charge-field grating is equal to the quarter-wave
field. It is shown that the space-charge-field grating created in GaP semi
insulating crystal at the wavelength of 632 nm is much smaller than can be
predicted from the one-level band-transport model.
PMID- 18040375
TI - Higher-order stimulated Brillouin scattering with nondiffracting beams.
AB - We report on an experimental investigation of stimulated Brillouin scattering
pumped with a Bessel beam. Owing to the extended interaction length along the
diffraction-free propagation, higher-order Stokes components are generated in a
bulk Brillouin-active medium with odd and even orders propagating in opposite
directions. The spatial, spectral, and temporal properties of the interacting
waves are discussed.
PMID- 18040376
TI - Backward supercontinuum emission from a filament generated by ultrashort laser
pulses in air.
AB - Backward emission of the supercontinuum from a light filament induced by high
intensity femtosecond laser pulses propagating in air has been observed to be
enhanced compared with linear Rayleigh-Mie scattering. This enhancement is
interpreted as a nonlinear scattering process onto longitudinal refractive-index
changes induced by the laser pulse itself. The spectral dependence of the
supercontinuum angular distribution is also investigated.
PMID- 18040377
TI - Pulsations induced by quantum interference in a microchip solid-state laser
operating on a ? transiton.
AB - Self-induced pulsations that are associated with atomic interference have been
found in a laser-diode-pumped LiNdP(4)O(12) microchip laser with an intracavity
KTP frequency-doubling crystal operating in a ? scheme. The instability and
peculiar pulsations that were observed have been verified by a linear stability
analysis and numerical simulation of two-mode laser equations, including
nonlinear absorptions of a purely quantum nature and spontaneous-emission noise.
PMID- 18040378
TI - Efficient collinear fourth-harmonic generation by two-channel multistep cascading
in a single two-dimensional nonlinear photonic crystal.
AB - We investigate efficient fourth-harmonic generation in a single two-dimensional
(2D) quadratically nonlinear photonic crystal. We propose a novel parametric
process that starts with phase-matched generation of a pair of symmetric second
harmonic waves, which then interact to produce a fourth-harmonic wave that is
collinear to the fundamental. We show that this process is more efficient than
conventional fourth-harmonic-generation schemes by a factor that reaches 4 at low
intensities and discuss how to design and optimize the nonlinear 2D photonic
crystals that are implemented in LiNbO(3) and LiTaO(3) .
PMID- 18040379
TI - Compensation for pixel misregistration in volume holographic data storage.
AB - We describe what we believe to be a novel postprocessing algorithm for
compensating for misregistrations between a detector array and the coherent image
of a pixelated two-dimensional data page. A lookup table of baseline local
offsets is combined with the dynamically measured global offset of the received
data page, producing an estimate of the total lateral shift of each small block
of pixels. A serial algorithm then reallocates the appropriate portion of the
signal detected by each pixel to its neighbors, accounting for both the linear
and the quadratic contributions introduced by coherent illumination of square-law
detectors. This procedure can relax the tight constraints on page registration,
optical distortion, and material shrinkage that currently hamper page-oriented
holographic storage systems. Experimental results from a pixel-matched 1-Mpixel
volume holographic system are presented, showing an increase in position
tolerance (for a raw bit-error rate <10(-3)) from +/-16% to +/-40% of the pixel
pitch.
PMID- 18040380
TI - Critical foliations.
AB - Critical foliations are special two-dimensional slices (planes of observation) of
a three-dimensional optical field in which an infinitesimally small change in the
angle of observation produces major qualitative differences in the observed field
structure. They are common, but previously unrecognized, features of optical
fields that contain vortices. An experimentally realizable, on-axis example of
such a foliation is described for a paraxial Gaussian laser beam.
PMID- 18040381
TI - Nonlinear absorption by quantum interference in cold atoms.
AB - We report an experimental observation of third-order nonlinear absorption by
quantum interference in (87)Rb atoms cooled and confined in a magneto-optic trap.
A coupling laser creates electromagnetically induced transparency (EIT) in a
multilevel Rb system in which the third-order nonlinear absorption is enhanced by
constructive quantum interference while the linear absorption is inhibited by
destructive interference. Our experiment demonstrates the EIT system proposed by
Harris and Yamamoto [Phys. Rev. Lett. 81, 3611 (1998)], which absorbs two photons
but not one photon in the dressed-state picture.
PMID- 18040382
TI - Dynamic light scattering in localized coherence volumes.
AB - We introduce a novel light-scattering technique for investigating the dynamics of
random media with a broad range of optical densities. By use of the spatial
coherence properties of a single-mode optical fiber and the temporal coherence of
a broadband source, the measurement volume is isolated at the end of the optical
waveguide. Optical mixing between the fluctuating scattered light and the Fresnel
reflected field at the fiber-medium interface is analyzed directly in the
frequency domain. The unique characteristics of this new technique are discussed
in the context of simultaneous measurement of average scatterer size and
concentration in dense colloidal suspensions.
PMID- 18040383
TI - Terahertz nonlinear optics with strained p-type quantum wells.
AB - Valence-subband nonparabolicity is shown theoretically to lead to nonlinearities
associated with terahertz third-harmonic generation in strained p -type quantum
wells. For strained InAs quantum wells it is found that the corresponding value
of chi((3)) can be as large as ~10(-12)(m/V)(2). The predicted values of chi((3))
are in the range of those associated with intersubband transitions in the mid
infrared region of the spectrum.
PMID- 18040384
TI - Femtosecond polarization pulse shaping.
AB - We report computer-controlled femtosecond polarization pulse shaping where
intensity, momentary frequency, and light polarization are varied as functions of
time. For the first time to our knowledge, a pulse shaper is used to modulate the
degree of ellipticity as well as the orientation of the elliptical principal axes
within a single laser pulse by use of a 256-pixel two-layer liquid-crystal
display inside a zero-dispersion compressor. Interferometric stability of the
setup is not required. Complete pulse characterization is achieved by dual
channel spectral interferometry. This technology has a large range of
applications, especially in the field of quantum control.
PMID- 18040385
TI - Generation of 90-nJ pulses with a 4-MHz repetition-rate Kerr-lens mode-locked
Ti:Al(2)O(3) laser operating with net positive and negative intracavity
dispersion.
AB - We demonstrate the generation of high-energy pulses by using a low-repetition
rate Kerr-lens mode-locked laser. Repetition rates as low as 4 MHz were achieved
with a long, multiple-pass cavity and a semiconductor saturable Bragg reflector.
The laser generated pulses of 55-fs duration with a pulse energy of 48 nJ when it
was mode locked in the net negative dispersion regime. Mode locking in the
positive dispersion regime reduces instabilities and enables pulses to have
durations of 80 fs and energies as high as 90 nJ. This is, to our knowledge, the
highest pulse energy and the lowest repetition rate ever generated directly from
a femtosecond laser resonator without cavity dumping.
PMID- 18040386
TI - Generation of tunable narrow-band surface-emitted terahertz radiation in
periodically poled lithium niobate.
AB - Generation of tunable narrow-band terahertz (THz) radiation perpendicular to the
surface of periodically poled lithium niobate by optical rectification of
femtosecond pulses is reported. The generated THz radiation can be tuned by use
of different poling periods and different observation angles, limited only by the
available bandwidth of the pump pulse. Typical bandwidths were 50-100 GHz,
depending on the collection angle and the number of periods involved.
PMID- 18040387
TI - Sonoluminescence: nature's smallest blackbody.
AB - The transduction of sound into light through the implosion of a bubble of gas
leads to a flash of light whose duration is delineated in picoseconds. Combined
measurements of spectral irradiance, Mie scattering, and flash width (as
determined by time-correlated single-photon counting) suggest that
sonoluminescence from hydrogen and noble-gas bubbles is radiation from a
blackbody with temperatures ranging from 6000 K (H(2)) to 20,000 K (He) and a
surface of emission whose radius ranges from 0.1 microm (He) to 0.4 microm (Xe) .
The state of matter that would admit photon-matter equilibrium under such
conditions is a mystery.
PMID- 18040388
TI - Multifractional correlation.
AB - Multifractional correlation is proposed that is based on a new generalized
fractional Fourier transform to which we refer as a multifractional Fourier
transform. The multifractional correlation yields remarkable improvements in the
correlation output peak intensity, peak sharpness, and light efficiency compared
with convention correlation, which uses matched and phase-only filters, and still
maintains better target discrimination capability and a reasonable robustness to
noise. An optoelectronic hybrid system that can implement the multifractional
correlation is also suggested.
PMID- 18040389
TI - Holographic reflection gratings in azobenzene polymers.
AB - Writing and reading of reflection gratings in films of an azo side-group polymer
are reported. The gratings were induced holographically by use of an argon-ion
laser at 488 nm. The measured diffraction efficiency was in the range 2-10%. To
estimate the scattering of the reflected light within the material, we further
characterized the gratings by calculating a characteristic transmission. A
distinct minimum for this transmission was observed, which was redshifted farther
from the writing wavelength for gratings written in 50-microm polymer samples.
PMID- 18040390
TI - Narrow-linewidth bandpass filters with diffractive thin-film layers.
AB - Bandpass filters based on guided-mode resonance effects in waveguide-grating
structures are obtained by use of a genetic algorithm search-and-optimization
routine. Calculated examples show that narrow linewidths, high peaks, and low
sideband transmittances can be achieved in thin-film diffractive devices with few
layers. A filter with a linewidth of 0.2 nm at a central wavelength of 0.55
microm is demonstrated in a two-layer-two-grating structure. At 10.6-microm
wavelength, a filter consisting of a single binary grating is obtained that has a
linewidth of 12.7 nm and extended, low sideband transmittance. A three-layer
device with a surface relief Si grating and two underlying homogeneous layers of
SiO(2) and Si yields a high-efficiency filter centered at 1.55 microm with a
linewidth of 0.1 nm.
PMID- 18040391
TI - Polarization beam splitters using polarization diffraction gratings.
AB - We report a polarization beam splitter that uses polarization gratings written
onto a zero-twist nematic liquid-crystal display. We show three configurations.
The first two separate the diffracted light into two orthogonally polarized
orders that are either linearly or circularly polarized. In the third
configuration, we demonstrate a novel case for which the output is separated into
two nonorthonormal polarization states. One component is linearly polarized and
the second is circularly polarized.
PMID- 18040392
TI - Distributed pressure sensor with a mode-locked fiber-ring laser.
AB - We propose and demonstrate a novel distributed fiber-laser pressure sensor. A
transverse pressure position is located by determination of the free-spectral
range shift induced by mode coupling in an intracavity polarization-maintaining
fiber. We demonstrate that the stability and the spatial resolution of the sensor
can be enhanced drastically by introduction of a novel mode-locking technique.
Resolution of 54 cm in the 150-m range can be realized.
PMID- 18040393
TI - Very compact tunable solid-state laser utilizing a thin-film organic
semiconductor.
AB - Optically pumped organic semiconductor lasers are fabricated by evaporation of a
thin film of tris(8-hydroxyquinoline) aluminum (Alq(3)) molecularly doped with a
laser dye on top of a polyester substrate with an embossed grating structure. We
achieve low-threshold, longitudinally monomode distributed-feedback laser
operation. By varying the film thickness of the organic semiconductor film, we
can tune the wavelength of the surface-emitting laser over 44 nm. The low laser
threshold allows the use of a very compact all-solid-state pump laser (
approximately 10 cm long). This concept opens up a way to obtain inexpensive
lasers that are tunable over the whole visible range.
PMID- 18040394
TI - Synchronization of high-frequency chaotic optical pulses.
AB - Synchronization of high-frequency chaotic optical pulses is demonstrated in two
semiconductor lasers with delayed optoelectronic feedback. The difference between
synchronization and modulation is discussed. Evidence is presented to show that
true synchronization, rather than modulation or amplification, is accomplished in
our optoelectronic feedback system.
PMID- 18040395
TI - High-repetition-rate, high-average-power, diode-pumped 2.94-microm Er:YAG laser.
AB - We have demonstrated more than 3 W of average output power from a 2.94-mu;m quasi
cw diode-pumped total internal reflection (TIR) Er:YAG laser operating at 100 Hz
with a 4% duty cycle. Moreover, repetition rates of up to 600 Hz at more than 1.2
W output power have been achieved. The cavity consists of a plane-mirror
resonator of 40-mm length and uses three of five TIR regions within the laser
crystal as pump facets to efficiently couple the pump energy into the resonant
laser mode. Differential efficiencies of up to 18.3% have been achieved at an
optimum pump pulse width in the range of 300 micros .
PMID- 18040397
TI - Polarization competition in a quasi-isotropic CO(2) laser.
AB - We experimentally study the polarization dynamics of a single-mode CO(2) laser
during the switch-on transient of the laser intensity. We find a strong
competition between two linearly polarized fields, which finally collapse into a
single field. As a result of this competition, the two coexisting fields
oscillate out of phase by pi rad for time intervals much longer than that of the
relaxation oscillation. One can control the oscillation frequency of the two
polarized fields by varying the intracavity anisotropies. This phenomenon is
interpreted in the framework of Maxwell-Bloch equations by addition of nonlinear
terms to the polarization equations that allow the fields to compete while they
interact with the same population inversion.
PMID- 18040396
TI - 28% electrical-efficiency operation of a diode-side-pumped Nd:YAG rod laser.
AB - We propose a highly efficient quasi-cw Nd:YAG rod laser with a novel side-pumping
design that uses microlens-free diode stacks. We demonstrate 320-W output power
with 28% electrical-to-optical efficiency, which is, to our knowledge, the
highest efficiency reported for diode-pumped solid-state lasers.
PMID- 18040398
TI - Ultrahigh-resolution optical coherence tomography using continuum generation in
an air-silica microstructure optical fiber.
AB - We demonstrate ultrahigh-resolution optical coherence tomography (OCT) using
continuum generation in an air-silica microstructure fiber as a low-coherence
light source. A broadband OCT system was developed and imaging was performed with
a bandwidth of 370 nm at a 1.3-mu;m center wavelength. Longitudinal resolutions
of 2.5 microm in air and ~2 microm in tissue were achieved. Ultrahigh-resolution
imaging in biological tissue in vivo was demonstrated.
PMID- 18040399
TI - Photorefractive BaTiO(3) spheres and spherical disks.
AB - We have produced single-crystal spheres and spherical disks of photorefractive
barium titanate (BaTiO(3)) . The spheres and disks allow direct fiber-to-fiber
two-beam coupling without additional optical elements. We also investigate the
spontaneous oscillation of triangles and other whispering-gallery modes in these
spherical structures.
PMID- 18040400
TI - Generation of sub-6-fs blue pulses by frequency doubling with quasi-phase
matching gratings.
AB - We demonstrate the generation of sub-6-fs pulses centered at 405 nm by frequency
doubling of 8.6-fs Ti:sapphire laser pulses. The frequency doubling is carried
out in a nonlinearly chirped quasi-phase-matching grating fabricated in a lithium
tantalate substrate. This device simultaneously provides frequency conversion and
pulse compression of the positively prechirped fundamental pulses. The second
harmonic pulses are characterized in a cross-correlation setup, and their pulse
shapes are retrieved by two iterative phase-reconstruction algorithms. The
generated second-harmonic spectrum spans a bandwidth of 220 THz. To our
knowledge, these are the shortest pulses ever generated in the blue spectral
region.
PMID- 18040401
TI - Mid-infrared (2.75-6.0-microm) second-harmonic generation in LiInS(2).
AB - Phase-matched second-harmonic generation is obtained in various LiInS(2) crystals
by use of the tunable picosecond output of the free-electron laser for infrared
experiments (FELIX) as the pump source in the mid-IR range from 2.75 to 6.0
microm. Deviations from the phase-matching curve calculated from Boyd's
refractive-index data are observed. Furthermore, the optical damage threshold of
the crystals has been measured to be 1.1. J/cm(2)(>6 GW/cm(2)) at the 5-microm
wavelength. LiInS(2) holds great promise for parametric interaction in the 1-13
microm range.
PMID- 18040402
TI - 92% pump depletion in a continuous-wave one-pump fiber optical parametric
amplifier.
AB - Theory shows that near-complete pump depletion can be obtained in uniform fiber
optic parametric amplifiers (OPA's) for a particular phase-matching condition. We
have demonstrated 92% pump depletion in a cw fiber OPA, with a 200-mW pump at
1560 nm in an 11-km-long dispersion-shifted fiber.
PMID- 18040403
TI - Efficient third-harmonic generation in partly periodically poled KTiOPO(4)
crystal.
AB - A partly periodically poled KTiOPO(4) (KTP) crystal has been designed to
integrate quasi-phase-matched second-harmonic generation (QPM SHG) with sum
frequency generation in one crystal for generating a third-harmonic beam. The
highest conversion efficiencies of 45% and 3% have been achieved in our
experiments for QPM SHG and third-harmonic generation, respectively, by use of
picosecond laser pulses at 1.327 microm . We have also discovered that
periodically poled KTP has slightly different indices (n(z)) from bulk KTP.
PMID- 18040404
TI - All-optical sampling with a monolithically integrated Mach-Zehnder interferometer
gate.
AB - An all-optical sampler consisting of a polarization-independent monolithic Mach
Zehnder interferometer with integrated semiconductor optical amplifiers and a
temporal resolution of 1 ps is presented. As an example, a simple 320-Gbit/s
pattern has been successfully sampled, demonstrating the functionality of this
scheme for high-bit-rate waveform characterizations in light-wave systems.
PMID- 18040405
TI - Composite optical waveguide composed of a tapered film of bromothymol blue
evaporated onto a potassium ion-exchanged waveguide and its application as a
guided wave absorption-based ammonia-gas sensor.
AB - For what is the first time to our knowledge, we have successfully evaporated a
tapered film of bromothymol blue (BTB) onto a potassium ion-exchanged (PIE)
waveguide to form a composite optical waveguide (COWG) for trace-ammonia
detection. The BTB film has a high refractive index (1.69) and a smooth surface
and is transparent to a 633-nm laser beam in air. In the COWG structure, the BTB
film serves as a single-mode waveguide, and adiabatic transition of the TE(0)
mode was realized between the BTB waveguide and the PIE waveguide with both BTB
tapers. In the presence of ammonia, the BTB film changes color from yellow to
blue, which causes absorption of the 633-nm guided wave. Our experimental results
demonstrate that such a guided wave absorption-based ammonia-gas sensor is much
more sensitive than one based on evanescent-wave absorption. A detection limit of
part in 10(9) of ammonia has been realized for a BTB film-PIE glass COWG.
PMID- 18040406
TI - Multimode resonances in square-shaped optical microcavities.
AB - Square-shaped two-dimensional optical microcavities (micro-cavities) were
investigated for possible applications as filters for dense wavelength-division
multiplexing. Multimode cavity resonances were observed in the elastic scattering
of approximately 200-microm square-shaped micro-cavities in fused silica. Based
on a two-dimensional k-space representation, we accounted for the multimode
spectrum by different normal modes with rays confined by total internal
reflection. The cavity-mode trajectories need not be closed after each round
trip. Single-mode spectra are expected from smaller square-shaped micro-cavities.
PMID- 18040407
TI - Cesium optical atomic clock: an optical pulse that tells the time.
AB - We propose a new cesium (Cs) atomic clock whose microwave source is a 9.1926-GHz
harmonically and regeneratively mode-locked erbium fiber laser rather than a
quartz oscillator and a multiplexer. The repetition rate of the laser is directly
locked to the Cs resonance, and the frequency stability evaluated by the Allan
variance is 7.1 x 10(-12) for tau = 1 s. This new atomic clock provides not only
a precise 1-s time standard after demultiplexing but also an optical pulse train
with the same stability, which means that the ultrastable clock signal can be
delivered throughout the world by means of optical fiber networks.
PMID- 18040408
TI - Nonstationary chaotic oscillations in lasers with frequency-shifted feedback.
AB - The nonlinear dynamics of lasers with frequency-shifted delayed feedback are
investigated. Resonant excitation of sustained relaxation oscillations by
harmonic resonance is demonstrated. Self-induced switching between sustained
relaxation oscillation and spiking oscillation is observed as the feedback
coefficient is increased. Observed instabilities are well reproduced by numerical
simulations of proposed model equations. A statistical analysis of this switching
phenomenon is carried out numerically, and the results indicate that an inverse
power relation with the feedback coefficient determines the periods over which
the system dwells in its relaxation-oscillation state.
PMID- 18040409
TI - Ultrahigh-resolution spectrometer for the 5-microm wavelength region.
AB - We present an ultrahigh-resolution saturation spectrometer based on a line
tunable carbon monoxide laser near 60 THz (lambda = 5 microm). A spectral
resolution of 14 kHz (Dnu/nu = 2.3 x 10(-10)) for CO fundamental-band transitions
was achieved, which improves on earlier results by one order of magnitude. A
frequency-locking scheme using tunable microwave sidebands provides tunability
and absolute frequency control of the CO laser on the kilohertz. Transit-time
broadening and pressure broadening of the observed transitions are significantly
reduced by use of expanded laser beams in a 24-m absorption cell at pressures
down to 0.0 1Pa. The new spectrometer is suitable for the study of saturation
line shapes and the development of a new generation of frequency standards in the
60-THz region.
PMID- 18040410
TI - Statistical techniques for target detection in polarization diversity images.
AB - We address the problem of target detection in active polarimetric images. This
technique, which has the appealing feature of revealing contrasts that do not
appear in conventional intensity images, provides several images of the same
scene. However, because of the presence of nonhomogeneity in the reflected
intensity, it is preferable to perform target detection on the orthogonal-state
contrast image, which is a measure of the degree of polarization of the reflected
light when the coherency matrix is diagonal. We show that one can determine a
simple nonlinear transformation of this orthogonal-state contrast image that
leads to additive noise, and we then propose a simple and efficient technique for
detecting targets in these images.
PMID- 18040411
TI - Third- and fourth-order active dispersion compensation with a phase modulator in
a terabit-per-second optical time-division multiplexed transmission.
AB - Broadening of the pulse waveforms by the higher-order dispersion of a
transmission line is a critical limiting factor in achieving terabit-per-second
optical time-division multiplexed (OTDM) transmission with femtosecond pulses. We
show that the third- and fourth-order dispersion of a transmission line can be
simultaneously compensated for by use of a phase modulator. In this method,
sinusoidal phase modulation applied to the linearly chirped pulse before
transmission compensates for the phase shift caused by the third- and fourth
order dispersion of the transmission line. The pulse broadening of a 380-fs pulse
after a 70-km transmission in a 1.28-Tbit/s OTDM experiment was as small as 20
fs.
PMID- 18040412
TI - Angular spectrum redistribution from a real image of a light as a secondary
source.
AB - Coherence theory predicts that the correlation in the fluctuations of a source
distribution can cause frequency shifts in the spectrum of the emitted radiation,
even when the source is at rest relative to the observer. Recently we measured
angular spectrum redistribution, or frequency shifts from a real image of a point
source, and further verified the coherent interference effect of a finite-band
source.
PMID- 18040413
TI - Measurement of positive and negative Goos--Hanchen effects for metallic gratings
near Wood anomalies.
AB - Large Goos-Hanchen effects are isolated for reflection on a metallic grating.
These shifts occur in the vicinity of Wood anomalies. Depending on the nature of
the anomaly, these displacements are found to be either positive or, contrary to
the usual GH effect, clearly negative. Those shifts, associated with forward and
backward leaky surface waves, are as large as plus or minus tens of wavelengths
for a classic metallic grating.
PMID- 18040414
TI - Soliton squeezing at the gigahertz rate in a Sagnac loop.
AB - We demonstrate what is to our knowledge the first all-fiber squeezing experiment.
A balanced Sagnac loop is used, and a record 6.1+/-0.2dB of noise reduction below
shot noise has been obtained without stabilization. A gigahertz Er-doped fiber
laser and a high-power double-clad Er-Yb amplifier have been developed to
suppress guided acoustic-wave Brillouin scattering and to make possible the all
fiber configuration.
PMID- 18040415
TI - Comparison of soliton robustness with respect to polarization-mode dispersion
with first-order polarization-mode dispersion compensated linear systems.
AB - Soliton robustness to polarization-mode dispersion (PMD) is compared, both
analytically and numerically, with that of linear pulses that use first-order PMD
compensation. It is found that soliton robustness to PMD is comparable with first
order PMD compensation and in some cases is even better. The effects of soliton
control methods on soliton robustness to PMD were also investigated, and it was
found that soliton control methods can significantly improve the soliton's
robustness to PMD, particularly for long-distance systems.
PMID- 18040416
TI - Statistics of the Jones matrix of fibers affected by polarization mode
dispersion.
AB - We carry out a statistical characterization of Jones matrix eigenvalues and
eigenmodes to gain deeper insight into recently proposed fiber models based on
Jones matrix spectral decomposition. A set of linear dynamic equations for the
Pauli coordinates of the Jones matrix is established. Using stochastic calculus,
we determine the joint distribution of the retardation angle of the eigenmodes
and, indirectly, their autocorrelation function. The correlation bandwidth of the
eigenmodes is found to be radical2/3 that of the polarization mode dispersion
vector. The results agree well with simulations performed with the standard
retarded plate model.
PMID- 18040417
TI - Optical security and encryption with totally incoherent light.
AB - We present a method for securing and encrypting information optically by use of
totally incoherent illumination. Encryption is performed with a multichannel
optical processor working under natural (both temporal and spatially incoherent)
light. In this way, the information that is to be secured can be codified by use
of color signals and self-luminous displays. The encryption key is a phase-only
mask, providing high security from counterfeiting. Output encrypted information
is recorded as an intensity image that can be easily stored and transmitted
optically or electrically. Decryption or authentication can also be performed
optically or digitally. Experimental results are presented.
PMID- 18040418
TI - Time reversal and object reconstruction with single-cycle pulses.
AB - We demonstrate the reconstruction of one- and two-dimensional objects by
numerically backpropagating measured scattered terahertz transients. The spatial
resolution determined by the Sparrow criterion is found to correspond to
approximately 30% of the peak wavelength and 85% of the mean wavelength of the
power spectrum of the single-cycle waveform.
PMID- 18040419
TI - Small-phase solution to the phase-retrieval problem.
AB - A solution to the phase-retrieval problem when the unknown phase is small is
presented. The solution specifies the even and odd parts of the unknown phase in
two separate equations. The odd part requires a single intensity measurement, and
the even part requires two measurements. Phase diversity is used for the second
measurement, and computer simulations are given.
PMID- 18040421
TI - Effective traveling-wave excitation below the speed of light.
AB - We demonstrate that effective traveling-wave excitation of high-gain amplifiers
requires velocities that are remarkably slower than the velocity of light.
Experiments with a femtosecond-laser-pumped molecular hydrogen laser exhibit
pronounced enhancement of the intensity if an excitation velocity that is slower
than the velocity of light is employed. These results are directly scalable to
shorter wavelengths, paving the way for a more effective pump setup for x-ray
lasers.
PMID- 18040420
TI - Phase-dispersion optical tomography.
AB - We report on phase-dispersion optical tomography, a new imaging technique based
on phase measurements using low-coherence interferometry. The technique
simultaneously probes the target with fundamental and second-harmonic light and
interferometrically measures the relative phase shift of the backscattered light
fields. This phase change can arise either from reflection at an interface within
a sample or from bulk refraction. We show that this highly sensitive (~5 degrees
) phase technique can complement optical coherence tomography, which measures
electric field amplitude, by revealing otherwise undetectable dispersive
variations in the sample.
PMID- 18040422
TI - Suppression of Q-switch instabilities by feedback control in passively mode
locked lasers.
AB - We propose a feedback technique for obtaining stable mode-locked operation in
lasers that would normally exhibit Q switching. Using the Haus model with
population dynamics, we examine numerically the case in which the intensity is
monitored by a slow detector and fed back to the pump power after electronic
derivation. This approach allows elimination of Q switching in all situations
considered, in particular, in lasers with a long upper-state lifetime.
PMID- 18040423
TI - Suppression of the intensity noise of a laser-diode-pumped single-frequency ring
Nd:YVO(4)-KTP green laser by optoelectronic feedback.
AB - We investigate the different characteristics of the intensity noise of a laser
diode-pumped single-frequency ring Nd:YVO (4) laser and a Nd:YVO (4)-KTP green
laser. By use of an optoelectronic feedback circuit connected directly to the
pump current of the laser diode, the low-frequency intensity noise of the
intracavity frequency doubler was suppressed to some extent.
PMID- 18040424
TI - Longitudinally diode-pumped Nd:YAG double-clad planar waveguide laser.
AB - We report the demonstration of a near-diffraction-limited, compact, diode-end
pumped double-clad planar waveguide Nd:YAG laser. Efficient laser operation was
achieved for the three dominant Nd(3+) transitions, at 1.064, 0.946, and
1.32microm , with TE polarized output powers of 1.33, 0.57, and 0.33 W for the
available output couplers. The output beam from the monolithic plane-plane laser
cavity had measured M(2) values of 1.0 and 1.8 perpendicular and parallel,
respectively, to the plane of the waveguide.
PMID- 18040425
TI - Optimization of optode arrangements for diffuse optical tomography: A singular
value analysis.
AB - We develope a method to optimize the resolution of diffuse optical tomographic
instruments. Singular-value analysis of the tomographic weight matrix associated
with specific data types, geometries, and optode arrangements is shown to provide
a measure of image resolution. We achieve optimization of device configuration by
monitoring the resolution measure described. We introduce this idea and
demonstrate its utility by optimizing the spatial sampling interval and field-of
view parameters in the parallel-plane transmission geometry employed for diffuse
optical breast imaging. We also compare resolution in transmission and remission
geometries.
PMID- 18040426
TI - Error consideration in contrast-enhanced three-dimensional optical tomography.
AB - We present three-dimensional tomographic images of the absorption coefficient
that is due to the presence of a fluorophore reconstructed from frequency domain
fluence measurements of a tissue phantom containing a single, fluorescence
contrast-enhanced inclusion. We show that such a reconstruction may be improved
when the importance of measurement error correlations between relative phase
shift and amplitude is assessed and when measurements are preprocessed to reduce
the magnitude and the bias of system error.
PMID- 18040427
TI - Fundamentals and model of photonic-force microscopy.
AB - Exact calculations of the near-field electromagnetic force on a nanoparticle
exerted by the presence of a corrugated dielectric interface are carried out. The
illumination of this system excites the particle eigenmodes. The calculation is
two-dimensional, so the nanoparticle is actually a nanocylinder that scans
parallel to the interface. This system constitutes a model of force transduction
and surface topography imaging for a photonic-force microscope with signal
enhancement owing to morphological resonance excitation of the probe.
PMID- 18040428
TI - High-efficiency parametric oscillation and spectral control in the red spectral
region with periodically poled KTiOPO(4).
AB - Narrow-linewidth optical pulses at wavelengths near 630 nm with 2.2-mJ energy
were generated with 61% efficiency in a periodically poled KTiOPO(4) parametric
oscillator pumped by a frequency-doubled Q -switched Nd:YAG laser. The tuning
range was extended to 30 nm by a noncollinear elliptical pumping geometry. We
demonstrate that by angular dispersion a noncollinear optical parametric
oscillator can be used to control the spectral and spatial characteristics of the
output signal beam.
PMID- 18040429
TI - Hexagonal patterns in multistep optical parametric processes.
AB - The existence and competition of a novel class of hexagonal patterns in a
nonlinear optical system are reported. These states are found in a mean-field
model of a doubly resonant frequency divide-by-3 optical parametric oscillator
(3omega?2omega+omega) in which the multistep parametric process,
2omega=omega+omega , is weakly phase matched. A generalized Swift-Hohenberg
equation and a set of amplitude equations are derived to describe the coexistence
of hexagonal patterns formed by the superposition of either three or six phase
locked tilted waves.
PMID- 18040430
TI - Ultraviolet transparent silicon oxynitride waveguides for biochemical
microsystems.
AB - The UV wavelength region is of great interest in absorption spectroscopy, which
is employed for chemical analysis, since many organic compounds absorb in only
this region. Germanium-doped silica, which is often preferred as the waveguide
core material in optical devices for telecommunication, cannot accommodate
guidance below 400 nm, owing to the presence of UV-absorbing centers. We show
that silicon oxynitride (SiO(x) N(y)) waveguides exhibit very good UV
performance. The propagation loss for 24-microm -wide SiO(x)N (y) waveguides was
found to be ~1.0dB/cm in the wavelength range 220-550 nm. The applicability of
these waveguides was demonstrated in a biochemical microsystem consisting of
multimode buried-channel SiO(x)N (y) waveguides that were monolithically
integrated with microfluidic channels. Absorption measurements of a beta
blocking agent, propranolol, at 212-215 nm were performed. The detection limit
was reached at a concentration of 13microM , with an optical path length of
500microm (signal/noise ratio, 2).
PMID- 18040431
TI - Group-velocity-matched three-wave mixing in birefringent crystals.
AB - It is shown that the combination of pulse-front slant, kappa -vector tilt, and
crystal birefringence often permits exact matching of both phase and group
velocities for three-wave mixing in birefringent crystals. This exact match makes
possible more-efficient mixing of short light pulses, and it permits efficient
mixing of chirped or broadband light. I analyze this process and present
examples.
PMID- 18040432
TI - Transient sum-frequency mixing with temporally tailored laser pulses.
AB - We discuss the possibility of using temporally tailored pump laser pulses to
control the temporal width and shape of optical pulses generated in a process of
transient sum-frequency mixing in crystals with second-order nonlinearity.
Specific calculations performed in a model crystal in the case of fifth-harmonic
generation are presented.
PMID- 18040433
TI - Nanoscopic study of second-harmonic generation in organic crystals with
collection-mode near-field scanning optical microscopy.
AB - Collection-mode near-field scanning optical microscopy (NSOM) is used to map
nanoscopic second-harmonic generation (SHG) in N -(4-nitrophenyl)- (L) -prolinol
crystals. A spatial resolution of 98 nm is achieved. Near-field polarization
dependent SHG measurement is performed, and a local effective SHG susceptibility
of 224+/-18 pm/V is obtained.
PMID- 18040434
TI - Optical frequency conversion by a rotating molecular wave plate.
AB - We demonstrate efficient four-wave mixing in low-pressure molecular deuterium
without the need for phase matching. We use two laser fields with opposite
circular polarizations to produce a strong excitation of a rovibrational
transition at a frequency of 3167 cm(-1) . The coherent molecular motion, in
turn, modulates a third laser field (also circularly polarized) and results in
highly efficient single-sideband conversion.
PMID- 18040435
TI - Picosecond time-resolved photoluminescence at detection wavelengths greater than
1500 nm.
AB - We report what is to our knowledge the first application of high-efficiency
InGaAs/InP photon-counting diode detectors in time-resolved photoluminescence
measurements at wavelength greater than 1500 nm. When they were cooled to 77 K
and used in conjunction with the time-correlated single-photon counting
technique, the detectors were capable of an instrumental response of 230 ps and a
noise equivalent power of 2x10(-17)W Hz(-1/2) . Preliminary measurement of a
semiconductor heterostructure indicates sensitivity at photogenerated carrier
densities as low as 10(14)cm (-3) . This development facilitates the detailed
characterization of dominant recombination mechanisms in semiconductor
optoelectronic materials and devices designed to operate in the third
telecommunications spectral window.
PMID- 18040436
TI - Observation of propagation of surface plasmon polaritons along line defects in a
periodically corrugated metal surface.
AB - Propagation of surface plasmon polaritons (SPPs) excited in the wavelength range
720-830 nm at a corrugated gold-film surface with areas of 150-nm-wide and 45-nm
high scatterers arranged in a 380-nm-period triangular lattice containing line
defects is investigated by use of near-field optical microscopy. We demonstrate
that the SPP at 740-750 nm propagates along 2.2-microm -wide and 16-microm -long
line defects with ~50% loss, whereas its propagation along narrower line defects
is strongly damped and in periodically corrugated areas is inhibited. We observe
significant deterioration of these effects for both longer and shorter
wavelengths and conclude that the SPP guiding occurs as a result of the SPP
bandgap effect in the structures.
PMID- 18040437
TI - Non-Gaussian speckle statistics in adaptive-optics partial compensation.
AB - Wave fronts distorted by the atmosphere can be partially reconstructed by use of
adaptive-optics systems. The intensity statistics at the image plane is a
function of the ratio D/r(0) and of the level of compensation performed by the
adaptive-optics system. We show that a non-Gaussian statistics is obtained when
the aperture diameter is slightly greater than the Fried parameter. This
situation can frequently be found when one is working in the IR. The light
statistics is obtained by use of a simple model to describe the atmosphere and
application of the same procedure used in the analysis of scattered light.
Special attention is paid to very high- and very low-compensation regimes.
PMID- 18040438
TI - Quality factor for localized defect modes in a photonic crystal slab upon a low
index dielectric substrate.
AB - Localized defect modes in a two-dimensional photonic crystal slab upon a SiO(2)
substrate have been analyzed by a numerical simulation of dipole radiation based
on the finite-difference time-domain method. A degenerate pair of the defect mode
of E(1) spatial symmetry was found in a pseudogap in the spectrum of dipole
radiation. We achieved a quality factor as large as 800 by optimizing the sample
geometry.
PMID- 18040439
TI - Coherent broadband pulse shaping in the mid infrared.
AB - We demonstrate broadband infrared pulse shaping by difference-frequency mixing of
two visible phase-locked linearly chirped pulses in GaAs. Control of the temporal
profile of the emitted field is achieved through this direct tailoring of the
exciting visible intensity. The results are in agreement with a simulation with
no adjustable parameter.
PMID- 18040440
TI - Closed-loop adaptive optics in the human eye.
AB - We have developed a prototype apparatus for real-time closed-loop measurement and
correction of aberrations in the human eye. The apparatus uses infrared light to
measure the wave-front aberration at 25 Hz with a Hartmann-Shack sensor. Defocus
is removed by a motorized optometer, and higher-order aberrations are corrected
by a membrane deformable mirror. The device was first tested with an artificial
eye. Correction of static aberrations takes approximately five iterations, making
the system capable of following aberration changes at 5 Hz. This capability
allows one to track most of the aberration dynamics in the eye. Results in living
eyes showed effective closed-loop correction of aberrations, with a residual
uncorrected wave front of 0.1microm for a 4.3-mm pupil diameter. Retinal images
of a point source in different subjects with and without adaptive correction of
aberrations were estimated in real time. The results demonstrate real-time closed
loop correction of aberration in the living eye. An application of this device is
as electro-optic "spectacles" to improve vision.
PMID- 18040441
TI - Switchable optical element with Bragg mode diffraction.
AB - A theoretical model of a new electronically switchable grating design that uses a
multilayer structure of an electro-optic (EO) material with an interdigitated
electrode type of array is proposed as an original technique for calculating the
induced refractive index. It is shown that asymmetrical distribution of the
electric field induces a slanted Bragg grating, which allows the slant angle to
be switched electronically among more than two switching states. Parameters of
the suggested design are calculated for a number of EO materials. A special case
of frequency-based switching is anticipated for some polymer-dispersed liquid
crystal materials.
PMID- 18040442
TI - 54-fs, 10-GHz soliton generation from a polarization-maintaining dispersion
flattened dispersion-decreasing fiber pulse compressor.
AB - A 10-GHz train of nearly transform-limited 54-fs soliton pulses was generated by
adiabatic compression of the output of a mode-locked fiber laser with a
polarization-maintaining dispersion-flattened dispersion-decreasing fiber. The
peak-to-pedestal ratio exceeded 23 dB. At high input powers, the pulse width was
reduced to as short as 43 fs, although the wings of the pulse were degraded and
the jitter increased. The compression properties are different for the two
polarization axes, owing to their different dispersion characteristics. The
output polarization exhibits no drift.
PMID- 18040443
TI - Fabrication of long-period fiber gratings by use of focused ion-beam irradiation.
AB - Long-period gratings have been made in nonphotosensitive optical fibers by
irradiation of the core of a fiber with a focused beam of high-energy protons.
The irradiated fibers exhibit relatively low loss, even before thermal annealing,
and possess strongly wavelength-dependent transmission. The absence of a mask
provides the opportunity to tailor the grating to a desired profile, and a
variety of grating profiles were explored. The profile most resembling a sinusoid
was found to produce the cleanest transmission spectra.
PMID- 18040444
TI - All-guided stellar interferometer with an integrated optics recombiner.
AB - We report laboratory tests of an all-guided stellar interferometer used for
optical aperture synthesis. In anticipation of use of the interferometer in space
missions, this research is focused especially on compactness of the recombining
device. The coherent transport and delay lines are implemented with polarization
maintaining fiber. Beam recombination is achieved by means of an integrated
optics component. This two-arm interferometer operates at 670-nm mean wavelength
and allows for a 24-cm correction for the differential air path.
PMID- 18040445
TI - Fabrication of strong long-period gratings in hydrogen-free fibers with 157-nm F2
laser radiation.
AB - Long-period gratings were fabricated in standard telecommunication fiber (Corning
SMF-28) by use of what is believed to be record short-wavelength light from a 157
nm F(2) laser. Strong loss peaks were formed without the need for enhancement
techniques such as hydrogen loading. The magnitude of the attenuation peak was
sensitive to the single-pulse laser fluence, decreasing with increasing pulse
fluence as a result of nonuniform 157-nm laser interaction with both the fiber
cladding and core. The long-period fiber gratings have good wavelength stability
(Dlambda~7 nm) under thermal annealing at 150 degrees C.
PMID- 18040446
TI - Sampled fiber Bragg grating for simultaneous refractive-index and temperature
measurement.
AB - We present a novel scheme for simultaneous measurement of temperature and
refractive index by use of a single sampled fiber Bragg grating (SFBG). The
intrinsic nature of a SFBG that possesses both fiber Bragg grating and long
period grating spectral responses permits the temperature effect to the measured
solely from the former, whereas the refractive-index information is extracted
from the latter. Using such a dual-parameter sensor, we successfully demonstrated
measurement of the dependence on temperature of the refractive index of an
aqueous solution of sucrose.
PMID- 18040447
TI - Nonlinear and noise limitations in dispersion-managed soliton wavelength-division
multiplexing transmissions with distributed Raman amplification.
AB - We the study limitations to error-free transmission distance as set by noise
accumulation and nonlinear pulse interactions in dispersion-managed Nx40-Gbit/s
transmission systems with either distributed backward Raman amplification or
lumped erbium-doped fiber amplifiers. Significant performance improvement is
achievable with Raman amplification.
PMID- 18040448
TI - Bragg modulational instability induced by a dynamic grating in an optical fiber.
AB - By means of a dynamic Bragg grating obtained through cross-phase modulation with
a beating wave in a highly birefringent fiber, we perform a detailed experimental
study of Bragg modulational instability.
PMID- 18040449
TI - Co(2+)-doped flatband optical fiber attenuator.
AB - The attenuation properties of Co(2+) -doped single-mode optical fibers were
experimentally and analytically investigated for preparation of a stable flatband
attenuator. By controlling the Co(2+) -doped region in a fiber core, we could
prepare a flatband attenuator in which the attenuation in wavelengths 1530-1610
nm was held to within 9.9-10.2 dB (3% variation) and the fluctuation of
attenuation was less than 0.1 dB with 1-W input power for 100 h.
PMID- 18040450
TI - Study of angular responses of mixed amplitude--phase holographic gratings:
shifted Borrmann effect.
AB - We present theoretical results for angular responses of transmitted and
diffracted beams in mixed amplitude-phase holographic gratings. Experimental
results for gratings recorded in photographic emulsions and developed without a
bleaching bath, with diffraction efficiencies of >20% , are also presented. The
model shows an angular shift between minimum transmittance and maximum
diffraction efficiency when both index modulation and absorption coefficient
modulation are present. Also, the Borrmann effect was found outside the Bragg
angle. This method can be extended to a study of the mechanism of image formation
in materials such as bacteriorhodopsin and azo-dye-doped polymers that exhibit
both types of modulations (index and absorption).
PMID- 18040451
TI - Reflected image of a strongly focused spot.
AB - We describe the reflection of a strongly focused beam from an interface between
two dielectric media. If the beam is incident from the optically denser medium,
the image generated by the reflected light is strongly aberrated. This situation
is encountered in high-resolution confocal microscopy and data sampling based on
solid immersion lenses and oil immersion objectives. The origin of the observed
aberrations lies in the nature of total internal reflection, for which there is a
phase shift between incident and reflected waves. This phase shift displaces the
apparent reflection point beyond the interface, similarly to the Goos-Hanchen
shift.
PMID- 18040452
TI - Multilayer mirror for x rays below 190 eV.
AB - La/B(4)C multilayers have been fabricated by magnetron sputtering for use as x
ray mirrors at energies below 190 eV, particularly for detection of boron K and
alpha x rays at 183 eV, their performance has been compared with that of Mo/B(4)C
multilayers, which are currently the best-performing multilayers for this energy
range. Transmission electron microscopy and synchrotron soft-x-ray reflectometry
were used to study the structural quality of the multilayers and their
performance as x-ray mirrors. The results show a significant improvement of the
peak reflectivity and the spectral purity, indicating that La/B(4)C has a high
potential to replace Mo/B(4)C in many x-ray optical applications below 190 eV.
PMID- 18040453
TI - High-Q concentrated directional emission from egg-shaped asymmetric resonant
cavities.
AB - We propose the use of egg-shaped asymmetric resonant cavities (ARCs), each of
which consists of a half-circular part and a half-deformed part, as promising
candidates in obtaining desirable whispering-gallery-mode resonances. According
to numerical analysis based on a ray-optics model, more than an order-of
magnitude higher Q and more-concentrated emission from the tip of the egg region
were obtained for egg-shaped ARCs than for the previously studied quadrupolar
ARCs.
PMID- 18040454
TI - Intracavity control of a 200-W continuous-wave Nd:YAG laser by a micromachined
deformable mirror.
AB - A silicon micromachined membrane deformable mirror with a diameter of 10 mm,
reflectivity of better than 99.8%, and a surface deflection range of 3mum has
been used for intracavity control of an industrial 200-W cw Nd:YAG laser. When it
was placed in the resonator, the mirror demonstrated continuous (more than 40-h)
stable operation under an ~550-W cw optical load, with beam diameters in the
range 3-6 mm. Periodic modulation of the curvature of the deformable mirror with
a frequency of 250 Hz produced quick switching between stable and unstable
resonator configurations, which resulted in pulse-period Q -switched generation
with average power in the range 50-200 W, modulation depth from 95% to 10%, and
an M(2) parameter of 6.5 to 30.
PMID- 18040455
TI - Laser performance of highly neodymium-doped yttrium aluminum garnet crystals.
AB - The laser performance of highly neodymium-doped yttrium aluminum garnet (YAG)
crystals is reported. In cw laser-diode pumping, a slope efficiency of 54% was
achieved for a 2-at. %-doped sample, which was comparable with the slope
efficiency of 55% of YVO(4) . The crystal also exhibited a slope efficiency of
64% under pulsed Ti:sapphire pumping. Such a high efficiency suggests a total
cavity loss of ~1% , which is as low as that of commercially available 1-at. %
YAG crystal. The excellent performance of the neodymium-doped YAG crystals
indicates their high optical quality.
PMID- 18040456
TI - Ultraviolet lasing in cholesteric liquid crystals.
AB - We report the observation of stimulated emission and mirrorless lasing in pure
cholesteric liquid crystals. The lasing action is attributed to the combination
of the fluorescence and the distributed feedback that are due to the inherent
periodic structure of the liquid crystal. If the reflection band matches the
intrinsic emission of the cholesteric liquid crystal, the crystal becomes a
natural laser material, which will self-lase, without any optical elements or the
addition of dyes, under picosecond excitation at 355 nm. Samples have been made
to lase at different wavelengths in the near UV by shifting of the edge of the
reflection band in the range of 385-405 nm. Typical linewidths observed are of
the order of 0.5 nm.
PMID- 18040457
TI - 50-W average-power, 480-fs KrF excimer laser with gated gain amplification.
AB - We have developed a 50-W average-power KrF excimer laser with a pulse width of
480 fs by using the method of gated gain amplification at a 200-Hz repetition
rate.
PMID- 18040458
TI - Modulational-instability sigma-resonator fiber laser.
AB - A modulational-instability laser with a resonator in a sigma configuration has
been developed. The importance of a suitable intracavity filter for removing the
autocorrelation background of the output signal is shown. A pulse train with a
repetition rate of 107 GHz determined by the Fabry-Perot etalon used in the
resonator was obtained at 1.56mum .
PMID- 18040459
TI - Efficient shortening of self-chirped picosecond pulses in a high-power CO(2)
amplifier.
AB - We report a factor-of-6 shortening of the 240-ps (FWHM) pulses in a triple-pass,
2.5-atm CO(2) amplifier. This technique is based on the self-phase modulation of
a 10-mum pulse in a plasma after the first pass of amplification, followed by
narrowing of this chirped pulse during further amplification. Subsequently,
strong power broadening provides the necessary bandwidth to amplify 40-ps pulses
to terawatt power levels.
PMID- 18040460
TI - Sudden chaotic transitions in an optically injected semiconductor laser.
AB - We study sudden changes in the chaotic output of an optically injected
semiconductor laser. For what is believed to be the first time in this system, we
identify bifurcations that cause abrupt changes between different chaotic
outputs, or even sudden jumps between chaotic and periodic output. These sudden
chaotic transitions involve attractors that exist for large regions in parameter
space.
PMID- 18040461
TI - Nanodissection of human chromosomes with near-infrared femtosecond laser pulses.
AB - Near-infrared laser pulses of a compact 80-MHz femtosecond laser source at 800
nm, a mean power of 15-100 mW, 170-fs pulse width, and millisecond beam dwell
times at the target have been used for multiphoton-mediated nanoprocessing of
human chromosomes. By focusing of the laser beam with high-numerical-aperture
objectives of a scanning microscope to diffraction-limited spots and with light
intensities of terawatts per cubic centimeter, precise submicrometer holes and
cuts in human chromosomes have been processed by single-point exposure and line
scans. A minimum FWHM cut size of ~100 nm during a partial dissection of
chromosome 1, which is below the diffraction-limited spot size, and a minimum
material removal of ~0.003mum (3) were determined by a scanning-force microscope.
The plasma-induced ablated material corresponds to ~1/400 of the chromosome 1
volume and to ~65x10(3) base pairs of chromosomal DNA. A complete dissection
could be performed with FWHM cut sizes below 200 nm. High-repetition-frequency
femtosecond lasers at low mean power in combination with high-numerical-aperture
focusing optics appear therefore as appropriate noncontact tools for
nanoprocessing of bulk and (or) surfaces of transparent materials such as
chromosomes. In particular, the noninvasive inactivation of certain genomic
regions on single chromosomes within living cells becomes possible.
PMID- 18040462
TI - Initial studies of in vivo absorbing and scattering heterogeneity in near
infrared tomographic breast imaging.
AB - Simultaneously recovered absorption and scattering images that separate these
optical property features within the female breast are demonstrated from
frequency-domain measurements. A study of known absorbing and scattering objects
is presented as a foundation for interpreting these in vivo images once the
contrast space has been fully characterized. No measurable influence of absorbing
object contrast appears in the scattering images, whereas localized scattering
contrast enhances the corresponding region within the absorption image by
approximately 30% (e.g., a 2:1 scatterer also reconstructs as an approximately
1.3:1 absorber). Scattering and absorption images of a female volunteer with a
3.4-cm fibroadenoma show a clear 2:1 localized increase in absorption coefficient
with little or no evidence of scattering enhancement in the lesion.
PMID- 18040463
TI - Time-gated biological imaging by use of colloidal quantum dots.
AB - The long (but not too long) fluorescence lifetime of CdSe semiconductor quantum
dots was exploited to enhance fluorescence biological imaging contrast and
sensitivity by time-gated detection. Significant and selective reduction of the
autofluorescence contribution to the overall image was achieved, and enhancement
of the signal-to-background ratio by more than an order of magnitude was
demonstrated.
PMID- 18040464
TI - Three-dimensional resolution enhancement in fluorescence microscopy by harmonic
excitation.
AB - A method for increasing lateral as well as axial resolution in fluorescence
microscopy is presented. A passband with a high cutoff frequency throughout
reciprocal space can be achieved by illumination of the object with spatially
harmonic excitation patterns generated by the interference of two collimated
laser beams. Theoretical calculations show an almost isotropic point-spread
function with a FWHM near 100 nm.
PMID- 18040465
TI - Generation of milliwatt narrow-bandwidth vacuum ultraviolet radiation by an all
solid-state tunable high-average-power laser system.
AB - Generation of milliwatt narrow-bandwidth vacuum ultraviolet radiation by two
photon resonant four-wave mixing in Xe at 153 nm is demonstrated. The output of
extreme ultraviolet radiation was at the microwatt level at 85 nm. For this
demonstration, we developed an all-solid-state tunable 5-kHz Ti:sapphire laser
system that produces 0.6-ns 0.7-GHz-bandwidth pulses at an average power of 32 W
at the fundamental, 12 W at the second harmonic, and 6.3 W at the third harmonic.
PMID- 18040466
TI - Simultaneous multiwavelength generation from a mode-locked all-solid-state
Cr:forsterite laser.
AB - We demonstrate a multiple-channel, mode-locked, all-solid-state Cr:forsterite
laser. By inserting an etalon into the laser cavity, we have generated 12 phase
locked channels with 9-19-ps pulse width near 1230 nm with 280-mW average output
power from a single laser oscillator. By tuning the etalon bandwidth we can
shorten the pulse width in a specific channel to 1.8 ps.
PMID- 18040467
TI - Laser-induced quasi-phase matching in thermally poled glasses.
AB - Quasi phase matching was induced within a few minutes by optical means in a
thermally poled glass sample. The spatial modulation of chi((2)) that was induced
by two-photon absorption of the sample subjected to an intense sinusoidal pump
intensity pattern resulted in a chi((2)) grating. The second-harmonic generation
produced by a probe beam was diffracted in specific directions by this chi((2))
grating, thus providing a way to monitor its inscription inside the glass. This
chi((2)) grating is extremely stable at room temperature and in ambient
laboratory conditions. During the process of inscription, a linear index grating
was also induced. It was not stable, and it relaxed rapidly.
PMID- 18040468
TI - Deterministic vectorial effects lead to multiple filamentation.
AB - The standard explanation for multiple filamentation of laser pulses is that it is
caused by noise in the input beam. We propose an alternative explanation that is
based on deterministic vectorial (polarization) effects. We present numerical
simulations in support of the vectorial-effects explanation and suggest a simple
experiment for deciding whether multiple filamentation is due to vectorial
effects.
PMID- 18040469
TI - Beamlike twin-photon generation by use of type II parametric downconversion.
AB - Beamlike twin-photon generation by use of type II spontaneous parametric
downconversion is demonstrated. The intensity distribution of each beam is round,
and the emission angle is very small (0.9 degrees ). As a result, a high
coincidence-count rate per unit of pump power was recorded. The ratio of
coincidence-count rate to single-count rate was estimated to be 80% in this
experiment. These features suggest that this method is useful for generation of a
single-photon state and is applicable to bright, entangled twin-photon sources.
PMID- 18040470
TI - Undistorted guided-wave propagation of subpicosecond terahertz pulses.
AB - We report efficient quasi-optic coupling of a freely propagating beam of
terahertz (THz) pulses into a parallel-plate copper waveguide (with a plate
separation of 108mum) and subsequent low-loss, single-TEM-mode propagation with
virtually no group-velocity dispersion. Undistorted, low-loss propagation of the
incoming 0.3-ps FWHM THz pulses was observed within the bandwidth from 0.1 to 4
THz for a length of 24.4 mm. We compare experimentally derived values for the
absorption and phase velocity with theory to show consistency. This demonstration
is direct proof of the excellent performance of the parallel-plate waveguide as a
wideband THz interconnect.
PMID- 18040471
TI - Origin of magnetic field enhancement in the generation of terahertz radiation
from semiconductor surfaces.
AB - We present a theory of the magnetic field enhancement of terahertz (THz) emission
from photogenerated carriers in the surface depletion region of a semiconductor.
A combination of the Drude-Lorentz model for the carrier dynamics with an
appropriate solution of the radiation problem is sufficient to explain the strong
B -field enhancement in THz radiation that has been observed experimentally. The
effect arises primarily from the increased radiation efficiency of transient
currents flowing in the plane of the surface. The model provides quantitative
agreement with experiment for the pronounced angular dependence of the
enhancement and predicts the correct trend for the enhancement in a variety of
materials.
PMID- 18040472
TI - Dynamics of light-induced reflectivity switching in gallium films deposited on
silica by pulsed laser ablation: errata.
PMID- 18040473
TI - Trapping and manipulation of low-index particles in a two-dimensional
interferometric optical trap.
AB - We demonstrate optical trapping and manipulation of low-index spheres in two
dimensions, using the pattern produced by two interfering plane waves. This
technique shows, for what is believed to be the first time, alignment of an array
of hollow spheres and simultaneous manipulation of high- and low-index particles
in the horizontal plane. Furthermore, rodlike particles (up to 30microm in
length) are manipulated simultaneously with the low-index particles. This
technique offers a practical method for manipulating bubbles, low-index droplets,
or rodlike biological samples.
PMID- 18040474
TI - Chaotic on off keying for secure communications.
AB - We experimentally demonstrate a chaotic on-off keying method for secure
communications by using chaos synchronization in two microchip lasers. The output
of the microchip laser in the transmitter is externally modulated with an acousto
optic modulator at ~4 MHz . One encodes a digital message in the chaotic carrier
by turning the modulation on and off at 100 kHz. Because the accuracy of
synchronization for the slave laser in the receiver tends to be degraded in the
presence of external modulation in the injection laser signal, one can
distinguish two binary states. The digital message can be recovered as an
envelope of the chaotic oscillation when the difference between the two laser
outputs of the transmitter and the receiver is calculated.
PMID- 18040475
TI - Spectral hole in the amplified spontaneous emission spectrum of a fiber optical
parametric amplifier.
AB - The amplified spontaneous emission (ASE) spectrum of a fiber optical parametric
amplifier in a gain-saturation condition is studied. We experimentally observed
holes around the signal and the idler in the ASE spectrum of a deeply gain
saturated amplifier. This observation is explained by a theoretical model that
includes parametric interactions among ASE components and the pump, signal, and
idler beams.
PMID- 18040476
TI - Optimized absorption in a chaotic double-clad fiber amplifier.
AB - Double-clad fibers with a doped single-mode core and a noncylindrical multimode
chaotic cladding are shown to provide optimal pump-power absorption in power
amplifiers. Based on the chaotic dynamics of rays in such fibers, we propose a
quantitative theory for the pump-absorption ratio and favorably compare the
predictions of the theory with numerical results obtained through an adapted beam
propagation scheme.
PMID- 18040477
TI - Electronic imaging using a logarithmic asphere.
AB - Transmission functions are derived that are valid in the nonparaxial case for a
class of lenses that will image a continuum of points along an optical axis to a
single image point. This lens, which we call a logarithmic asphere, is then used
in a digital camera. The resolution of the camera is limited by the pixel size of
the CCD; i.e., it is not diffraction limited. Digital processing is used to
recover the image, and image-plane processing is used for speed. We find a
tenfold increase in the depth of field over that for the diffraction-limited
case.
PMID- 18040479
TI - Continuous-wave and passively Q-switched cladding-pumped planar waveguide lasers.
AB - Greater than 12 W of average output power has been generated from a diode-pumped
Yb:YAG cladding-pumped planar waveguide laser. The laser radiation developed is
linearly polarized and diffraction limited in the guiding dimension. A slope
efficiency of 0.5 W/W with a peak optical-optical conversion efficiency of 0.31
W/W is achieved. In a related structure, greater than 8 W of Q -switched average
output power has been generated from a Nd:YAG cladding-pumped planar waveguide
laser by incorporation of a Cr(4+): YAG passive Q switch monolithically into the
waveguide structure. Pulse widths of 3 ns and pulse-repetition frequencies as
high as 80 kHz have been demonstrated. A slope efficiency of 0.28 W/W with a peak
optical-optical conversion efficiency of 0.21 W/W is achieved.
PMID- 18040478
TI - Compact 3-dB tapered multimode interference coupler in silicon-on-insulator.
AB - We have fabricated a compact 3-dB multimode interference coupler with a large
silicon-on-insulator cross section. To reduce the length of the usual symmetric
interference multimode interference coupler, we propose using a parabolically
tapered structure. The length of the device is 398microm . The device has a
uniformity of 0.28 dB.
PMID- 18040480
TI - Highly efficient hybrid fiber taper coupled microsphere laser.
AB - A novel hybrid fiber taper is proposed and demonstrated as the coupler in a
microsphere laser system. The pump wave and the laser emission, respectively, are
more efficiently coupled to and from the sphere modes with this taper structure.
A 980-nm pumped erbium-ytterbium codoped phosphate microsphere laser is
demonstrated in the 1550-nm band. As much as 112microW of single-frequency laser
output power was measured, with a differential quantum efficiency of 12%.
PMID- 18040481
TI - Photoacoustic spectroscopy with quantum cascade distributed-feedback lasers.
AB - We present photoacoustic (PA) spectroscopy measurements of carbon dioxide,
methanol, and ammonia. The light source for the excitation was a single-mode
quantum cascade distributed-feedback laser, which was operated in pulsed mode at
moderate duty cycle and slightly below room temperature. Temperature tuning
resulted in a typical wavelength range of 3cm(-1)at a linewidth of 0.2cm(-1). The
setup was based on a Herriott multipass arrangement around the PA cell; the cell
was equipped with a radial 16-microphone array to increase sensitivity. Despite
the relatively small average laser power, the ammonia detection limit was 300
parts in 10(9)by volume.
PMID- 18040482
TI - Microscope-based static light-scattering instrument.
AB - We describe a new design for a microscope-based static light-scattering
instrument that provides simultaneous high-resolution images and static light
scattering data. By correlating real space images with scattering patterns, we
can interpret measurements from heterogeneous samples, which we illustrate by
using biological tissue.
PMID- 18040483
TI - Experimental three-dimensional fluorescence reconstruction of diffuse media by
use of a normalized Born approximation.
AB - We present a normalized Born expansion that facilitates fluorescence
reconstructions in turbid, tissuelike media. The algorithm can be particularly
useful for tissue investigations of fluorochrome distributionin vivo, since it
does not require absolute photon-field measurements or measurements before
contrast-agent administration. This unique advantage can be achieved only in
fluorescence mode. We used this algorithm to three-dimensionally image and
quantify an indocyanine fluorochrome phantom, using a novel fluorescence
tomographic imager developed for animals.
PMID- 18040484
TI - Studies of the fifth-order nonlinear susceptibility of ultraviolet-grade fused
silica.
AB - Femtosecond transient absorption pump-probe spectroscopy has been used to study
the third- and fifth-order nonlinear susceptibility of UV-grade fused silica.
Theoretical simulations support the experimental evidence of interference between
the third- and fifth-order contributions to the signal. Approximate values of the
second-order nonlinear refractive index n(4) and of the three-photon absorption
coefficient gamma are obtained.
PMID- 18040485
TI - Third-harmonic generation in a general two-component quasi-periodic optical
superlattice.
AB - The quasi-periodic optical superlattice is a promising material for use in
optical frequency conversion. We propose a method for designing a quasi-periodic
structure for efficient third-harmonic generation (THG) at any given wavelength.
With this method we have made a LiTaO(3) sample in which 27% THG at 0.48microm
was achieved, together with a series of highly efficient multiwavelength second
harmonic generation outputs. The result is in good agreement with the theoretical
prediction.
PMID- 18040486
TI - Self-phase modulation in polydiacetylene single crystal measured at 720-1064nm.
AB - Detailed measurement of spectral broadening in a poly-[2, 4 hexadiyne-1, 6 diol
bis-(p -toluene sulfonate)] (PTS) single crystal owing to self-phase modulation
was performed as a function of wavelength by use of a Ti:sapphire laser producing
200-fs pulses at 720-920 nm and a Nd:YAG laser producing 50-ps pulses at 1064 nm.
The nonlinear refractive index (n(2)) of PTS at these wavelengths was determined
from the measured phase shift. Group-velocity dispersion was estimated and found
to have a negligible effect on the observed spectral broadening. The two-photon
absorption coefficient (alpha(2)) over this wavelength range was determined from
nonlinear transmission measurements. The largest magnitude of n(2) observed at
720 nm was 3.9x10(-5)cm (2)/MW . The results show that the magnitude of n(2)
monotonically decreases as wavelength is increased away from resonance, and two
photon absorption does not make a significant contribution to n(2) at off
resonant wavelengths up to 1064 nm.
PMID- 18040487
TI - Experiments in quadratic spatial soliton generation and steering in a
noncollinear geometry.
AB - Generation of spatial solitons with noncollinear excitation beams was
experimentally investigated for type II second-harmonic generation in KTP.
Spatial switching at a distance of 220microm and steering in a 330-microm range
were demonstrated. Changes in soliton behavior induced by modification of the
phase-matching condition (and) or by an imbalance of the inputs at the
fundamental frequency have been characterized.
PMID- 18040488
TI - Anisotropic charge displacement supporting isolated photorefractive optical
needles.
AB - Strong asymmetry in the charge distribution supporting a single noninteracting
spatial needle soliton in a paraelectric photorefractive is directly observed by
means of electroholographic readout. Whereas in trapping conditions a quasi
circular wave is supported, the underlying double-dipolar structure can be made
to support two distinct propagation modes.
PMID- 18040490
TI - Photoinduced stable second-harmonic generation in chalcogenide glasses.
AB - We report on photoinduced second-harmonic generation (SHG) in chalcogenide
glasses. Fundamental and second-harmonic waves from a nanosecond pulsed Nd:YAG
laser were used to induce second-order nonlinearity in chalcogenide glasses. The
magnitude of SHG in 20G?20A?60S glass was 10(4) larger than that of tellurite
glass with a composition of 15Nb(2)O (5) 85TeO(2) (mol.%). Moreover, no apparent
decay of photoinduced SHG in 20G?20A?60S glass was observed after optical poling
at room temperature. We suggest that the large and stable value of chi((2)) is
due to the induced defect structures and large chi((3)) of the chalcogenide
glasses.
PMID- 18040489
TI - Self-trapping of bright rings.
AB - We present experimental observations of self-trapped rings carrying zero
topological charge, along with simulations that display the self-focusing
dynamics of the rings and their stability features in materials with saturable
nonlinearities.
PMID- 18040491
TI - Synthesis of a parallel-coupled ring-resonator filter.
AB - An effective and exact synthesis technique for the design of parallel-coupled
ring-resonator filters with a maximally flat stop-band characteristic of any
order is presented. Simple closed-form formulas determine the Q factor of each
resonator and the coupling coefficients. The performances of these filters are
discussed for their applications as interleavers and channel-dropping filters in
wavelength-division multiplexing systems.
PMID- 18040492
TI - Dynamic complex wave-front modulation with an analog spatial light modulator.
AB - A method of producing an arbitrary complex field modulation by use of two pixels
of an analog ferroelectric spatial light modulator (SLM) is demonstrated. The
method uses the gray-scale modulation capabilities of a SLM to spatially encode
the complex data on two pixels. A spatial filter is used to remove the carrier
signal. This technique gives fast gray-level amplitude and phase modulation.
PMID- 18040494
TI - Diode-laser noise conversion in an optically dense atomic sample.
AB - We report on oscillating complex noise spectra obtained when a diode-laser beam
passes through a resonant dense Doppler-broadened cesium-vapor cell. Atomic
coherence converts the laser phase noise into amplitude noise in the transmitted
beam. We have found that the level of amplitude noise is orders of magnitude
above the intrinsic laser noise. As a function of laser detuning, this noise
extends over several inhomogeneous widths, depending on the spectral frequency.
Numerical calculations based on a simple theory remarkably mimic the details of
the experimental noise spectra.
PMID- 18040493
TI - Cavity-damping-induced transitions in a driven atom- cavity system.
AB - We investigate the fluorescence spectrum of a two-level atom in a cavity when the
atom is driven by a classical field. We show that forbidden dipole transitions in
the Jaynes-Cummings ladder structure are induced in the presence of cavity
damping, which deteriorates the degree of otherwise perfect destructive
interference among the transition channels. With the larger cavity decay, these
transitions are more enhanced.
PMID- 18040495
TI - Use of a graded gain random amplifier as an optical diode.
AB - The spectral characteristics of liquid amplifying media have been used to design
and experimentally realize an optical device that prevents the propagation of a
band of wavelengths in one direction and permits it in the opposite direction,
thus acting as an optical diode. The addition of random scattering centers is
shown to narrow the width of the forbidden band. A model is proposed to explain
the observations and is verified by Monte Carlo simulations.
PMID- 18040496
TI - Highly simplified device for ultrashort-pulse measurement.
AB - We show that a frequency-resolved optical gating device using (1) a thick
nonlinear crystal to replace the usual thin crystal and spectrometer and (2) a
Fresnel biprism to replace the beam splitter and delay line yields a remarkably
simple single-shot ultrashort-pulse intensity-and-phase measurement device with
no sensitive alignment parameters and significantly greater sensitivity.
PMID- 18040497
TI - Generation of high-energy femtosecond pulses in multimode-core Yb-fiber chirped
pulse amplification systems.
AB - 220-fs pulses with energies of ~100microJ have been generated by use of two
different configurations of diode-pumped Yb-fiber chirped-pulse amplification
systems. Energy scaling was demonstrated with 25-microm -core diameter fibers, in
which stable diffraction-limited output (M(2)~1.1) was achieved. A two-stage
fiber-amplifier system produced average powers of up to 5.5 W at ~1- MHz pulse
repetition rate. A double-pass configuration provided 53-dB gain in a single Yb
fiber amplifier stage, thus eliminating the necessity for multiple amplification
stages as well as the need for using polarization-preserving fibers.
PMID- 18040498
TI - Adaptive control of femtosecond pulse propagation in optical fibers.
AB - Nonlinear effects present fundamental obstacles to the propagation of femtosecond
pulses of detectable energy in single-mode optical fibers, inducing severe
distortion even after a very short (a few meters) propagation distance. We show
here that adaptive pulse shaping can overcome these limitations by synthesizing
pulses that are self-correcting for higher-order nonlinear effects when they are
launched in the fiber. This approach would not only affect optical communications
but also yield benefits in various disciplines requiring optimized fiber-based
femtosecond pulse delivery, for example, nonlinear imaging techniques such as
multiphoton microscopy, material processing, and medical diagnostics.
PMID- 18040499
TI - Continuous-wave operation and Q-switched mode locking of Cr(4+):YAG microchip
lasers.
AB - Cw-operation, gain-switched, and passively Q -switched mode locking of Cr(4+):
YAG microchip lasers with output powers of several hundred milliwatts is
demonstrated experimentally in the eye-safe region near 1.5microm . Requirements
for cw mode locking of such lasers are investigated by numerical simulations.
PMID- 18040500
TI - Effect of group-delay ripples on dispersion-managed soliton communication systems
with chirped fiber gratings.
AB - The effect of group-delay ripples in dispersion-managed soliton communication
systems that use chirped fiber gratings for dispersion compensation is studied.
Using both a reduced model and direct numerical simulation, we find that
dispersion-managed solitons exist even in the presence of large dispersion
variation caused by group-delay ripples. The dispersion-managed solitons suppress
the growth of intersymbol interference induced by the group-delay ripples.
PMID- 18040501
TI - Measurement of birefringence correlation length in long, single-mode fibers.
AB - Measurements of birefringence correlation length performed on long single-mode
telecommunication fibers are reported. The proposed technique relies on the
statistical properties of the backscattered-field polarization, which was
measured by means of a polarization-sensitive optical time-domain reflectometer.
Experimental results are reported that show good agreement with the underlying
theory based on stochastic differential equations.
PMID- 18040502
TI - Interactions of dispersion-managed solitons in wavelength-division-multiplexed
optical transmission lines.
AB - We investigate interactions between pulses in dispersion-managed multichannel
wavelength-division-multiplexed soliton systems, using an improved variational
approximation. The frequency shifts are found to be smallest for moderate, i.e.,
relatively short-scale, dispersion management. The position shifts increase
monotonically with map strength.
PMID- 18040503
TI - Wigner function for highly convergent three-dimensional wave fields.
AB - The angle-impact Wigner function for highly convergent three-dimensional scalar
wave fields is derived directly by use of the three-dimensional generalized
optical transfer function rather than from a six-dimensional Wigner function. The
angle-impact Wigner function is a real four-dimensional function from which the
intensity at any point in space is readily determined.
PMID- 18040504
TI - Achromatic polarization-preserving beam displacer.
AB - We present a novel device based on four orthogonal reflections that displaces an
optical beam while preserving the state of polarization. The principle of
operation is the overall compensation of the phase shifts that s and p
polarization components of the light acquire at each reflection. This
compensation, which relies on the use of four identical reflectors, is
independent of the actual values of the s and p reflection coefficients and thus
is independent of the wavelength. Measurements of the polarization-preserving
properties with different sets of reflectors and tolerances to misalignments are
presented.
PMID- 18040505
TI - Correct-image reconstruction in the presence of severe anamorphism by means of
digital holography.
AB - It is demonstrated for the first time to the authors' knowledge that digital
holographic image reconstruction can be applied to correction of image
reconstruction in the presence of severe anamorphism and aberrations. It is shown
that anamorphism and aberrations can be taken into account and compensated for
separately to yield a correct-image reconstruction. Anamorphism and aberrations
are introduced by a holographic recording system based on a reflective grating
interferometer (RGI). The strong anamorphic behavior of the RGI prevents
reconstruction of a correct image unless that behavior is compensated for in the
process of numerical holographic reconstruction.
PMID- 18040507
TI - Combined phase locking and beam shaping of a multicore fiber laser by structured
mirrors.
AB - We present a novel mirror geometry combining the two features of phase locking
and simultaneous beam shaping of the emission of a multicore fiber (MCF) laser.
In the experiments we applied a Talbot cavity to a diode-pumped MCF laser with 18
microcores placed on a ring. The feedback mirror consisted of a highly reflecting
structure with 18 spokes and a circle in the center deposited on a glass
substrate. The spokes increased the discrimination between the supermodes and
suppressed independent lasing of the individual emitters. The central dot caused
strong diffraction of the output radiation, leading to a shaped beam profile. The
output power of the phase-locked MCF laser exceeded 5 W.
PMID- 18040508
TI - Intracavity LiNbO(3) Fabry-Perot etalon for frequency stabilization and tuning of
a single-mode quasi-continuous-wave titanium:sapphire ring laser.
AB - We describe an intracavity LiNbO(3) Fabry-Perot etalon for frequency
stabilization and tuning of a single-mode quasi-continuous-wave Ti:Al(2)O (3)
ring laser. Taking into account the 150-micros pulse duration and the 40-Hz
repetition rate of our laser, we achieve stabilization by 266-kHz modulation of
100-V peak-to-peak voltage applied to the electro-optic crystal. Tuning is
ensured by the presence of a gain loop that contains a lock-in amplifier reacting
with a multimorphous ceramic by means of 0-300-V dc voltage, which causes slight
rotation of the LiNbO(3) Fabry-Perot etalon. A continuous frequency scan of 6 GHz
was made that potentially can be extended to 6 cm(-1) .
PMID- 18040506
TI - Minimal-complexity segmentation with a polygonal snake adapted to different
optical noise models.
AB - Polygonal active contours (snakes) have been used with success for target
segmentation and tracking. We propose to adapt a technique based on the minimum
description length principle to estimate the complexity (proportional to the
number of nodes) of the polygon used for the segmentation. We demonstrate that,
provided that an up-and-down multiresolution strategy is implemented, it is
possible to estimate efficiently this number of nodes without a priori knowledge
and with a fast algorithm, leading to a segmentation criterion without free
parameters. We also show that, for polygonal-shaped objects, this new technique
leads to better results than using a simple regularization strategy based on the
smoothness of the contour.
PMID- 18040509
TI - Yb:YAG and Nd:YAG edge-pumped slab lasers.
AB - Experimental demonstrations of two edge-pumped zigzag slab lasers are presented.
The Nd:YAG slab laser generated 127 W of multimode output power with 300W of pump
power. Preliminary results with a Yb:YAG slab produced 46 W of output power with
315W of pump power. The edge-pumped slab design permits symmetric conduction
cooling and efficient pump absorption and accepts large-numerical-aperture pump
sources.
PMID- 18040510
TI - Waveguide grating mirror for large-area semiconductor lasers.
AB - We have fabricated and tested a waveguide grating mirror that uses anomalous
reflection of light associated with excitation of waveguide modes. Sharp features
are observed in the reflection spectra in both the wavelength and the angular
domains. We confirm experimentally that, when the waveguide grating mirror is
placed a short distance in front of a large-area semiconductor laser, it can
control the emission spectrum. This demonstration opens a new approach to the
design of very compact semiconductor lasers operating in the single-frequency
single-mode regime.
PMID- 18040511
TI - Noninvasive monitoring of glucose concentration with optical coherence
tomography.
AB - We have proposed a tested in tissue phantoms and in vivo a novel sensor based on
optical coherence tomography (OCT) for noninvasive and continuous monitoring of
blood glucose concentration. OCT images were obtained from pig and rabbit skin
before and after glucose administration. Slopes of OCT signals decreased
substantially (~40% in tissues in vivo) and linearly with the increase of blood
glucose concentration from 4 to 30 mM, typical for normal and diabetic subjects.
Phantom studies demonstrated 1% accuracy of scattering-coefficient measurement.
Our theoretical and experimental studies suggest that glucose concentration can
potentially be measured noninvasively with high sensitivity and accuracy with OCT
systems.
PMID- 18040512
TI - Active formation of an antiguide structure in a photorefractive polymer for
enhanced second-harmonic generation.
AB - An antiguide structure for enhanced second-harmonic generation was actively
constructed in a photorefractive polymer by use of a pump beam. Irradiation of a
pump beam enhanced second-harmonic power, and blocking the pump returned the
power to the initial value. The electric-field dependence of the degree of
enhancement of the second-harmonic power confirmed that the antiguide structure
was constructed through a photorefractive-index change in the medium. The
photorefractive-index change accompanied molecular reorientation induced by the
pump-generated space charges. The thermo-optic effect on formation of the
structure is also discussed.
PMID- 18040513
TI - Fast photorefractive response in strongly reduced near-stoichiometric LiNbO(3)
crystals.
AB - The photorefractive response time of LiNbO(3) crystal is of the order of minutes,
and such a long response time limits the crystal's practical applications. We
report the photorefractive properties of nominally pure near-stoichiometric
LiNbO(3) crystal that is strongly reduced in vacuum. A short photorefractive
response time of the order of 100 ms is measured at a wavelength of 514.5 nm,
with incident light intensity of 1.6 W/cm (2) , and possible corresponding
mechanisms are discussed. To our knowledge this is the first experimental
evidence of a subsecond photorefractive response in pure LiNbO(3) crystals. The
diffraction efficiency of a holographic grating written in this reduced crystal
is low but can be enhanced by an externally applied electric field.
PMID- 18040514
TI - Polymer-dispersed chiral smectic A with photorefractive properties.
AB - We present results on the photorefractive properties of chiral smectic A liquid
crystal phases dispersed in cylindrical cavities in a photoconducting polymer.
The refractive-index modulation is due to the electroclinic effect, which is
linear in the electric field, in contrast with the effect that is due to the
dielectric anisotropy that is typical of nematic phases. We obtained grating
buildup times of ~25 ms , limited by the speed of the space-charge field
formation in the photoconducting matrix.
PMID- 18040515
TI - Soliton algebra by vortex-beam splitting.
AB - We experimentally demonstrate the possibility of breaking up intense vortex light
beams into stable and controllable sets of parametric solitons. We report
observations performed in seeded second-harmonic generation, but the scheme can
be extended to all parametric processes. The number of generated solitons is
shown to be determined by a robust arithmetic rule.
PMID- 18040516
TI - Accurate switching intensities and length scales in quasi-phase-matched
materials.
AB - We consider unseeded type I second-harmonic generation in quasi-phase-matched
quadratic nonlinear materials and derive an accurate analytical expression for
the evolution of the average intensity. The intensity-dependent nonlinear phase
mismatch that is due to the cubic nonlinearity induced by quasi phase matching is
found. The equivalent formula for the intensity of maximum conversion, the
crossing of which changes the one-period nonlinear phase shift of the fundamental
abruptly by pi , corrects earlier estimates [Opt. Lett. 23, 506 (1998)] by a
factor of 5.3. We find the crystal lengths that are necessary to obtain an
optimal flat phase versus intensity response on either side of this separatrix
intensity.
PMID- 18040517
TI - Phase-locked, time-delayed harmonic pulses for high spectral resolution in the
extreme ultraviolet.
AB - We present experiments in the time and frequency domains aimed at confirming the
measured mutual phase coherence of time-delayed, collinear harmonic pulses. We
show that pairs of phase-locked harmonic pulses of medium order can be generated
for peak intensities up to ~1.5 10(14)W/cm(2) in xenon, demonstrating the
possibility of performing high-resolution spectroscopy in the extreme ultraviolet
with Ramsey-like techniques.
PMID- 18040518
TI - All-solid-state, tunable, single-frequency source of yellow light for high
resolution spectroscopy.
AB - We demonstrate a cw doubly resonant optical parametric oscillator that is
frequency doubled in an external resonant cavity to the visible spectral range.
We obtained single-frequency radiation in the range 565-590 nm with as much as
3.8 mW of power, which is continuously tunable over an 18-GHz range and step
tunable over 160 GHz. The source is well suited for high-resolution spectroscopy
in the visible region. As a demonstration, we performed persistent hyperfine
spectral hole-burning spectroscopy of Eu(3+): Y(2)SiO(5) . Reliable operation of
the source permitted studies of the hole's lifetime over several hours.
PMID- 18040519
TI - Large polarization rotation via atomic coherence.
AB - We report significant enhancement of the nonlinear Faraday rotation in optically
thick Rb vapor. Polarization rotation angles as large as 10 rad were observed for
what is believed to be the first time for sub-Gauss magnetic fields. The use of
this effect for high-precision magnetometry is also discussed.
PMID- 18040521
TI - Supercontinuum generation and pulse compression in hollow waveguides.
AB - We present a theoretical study of temporal and spectral characteristics and pulse
compression in hollow waveguides, using a global approach to dispersion without
application of the slowly varying envelope approximation. A novel ultrawide self
phase modulation-induced spectral-broadening regime with spectra covering almost
3 octaves is predicted for a pressure at which the group-velocity dispersion
parameter is small and anomalous. Compression to subcycle pulses by an
appropriate broadband modulator and pulse shortening without chirp control by a
spectral filter are studied.
PMID- 18040522
TI - Characterization of arbitrarily polarized ultrashort laser pulses by cross-phase
modulation.
AB - We propose a technique for time resolution of the polarization state of
ultrashort light pulses that also provides the overall time-varying phase of the
pulse. This method is based on a spectral polarimetric analysis of the pulse
after propagation through a Kerr medium. The feasibility of this method is
demonstrated both numerically and experimentally.
PMID- 18040520
TI - Miniband transmission in a photonic crystal coupled-resonator optical waveguide.
AB - We demonstrate in the near infrared the coupled-resonator optical waveguide
(CROW) concept that was recently proposed by Yariv et al. [Opt. Lett.24, 711
(1999)]. Two-dimensional photonic crystals have been used to define, in a GaAs
based waveguiding heterostructure, an array of micrometer-sized hexagonal
cavities coupled through thin walls. With the photoexcitation of InAs quantum
dots as an internal source, the transmission spectra of the coupled resonators
show marked minibands and minigaps, in agreement with theoretical predictions.
PMID- 18040523
TI - The synthesis of C-3beta functionalized indoles via a hydroboration/Suzuki
Miyaura coupling sequence.
AB - A method for the functionalization of C-3beta of vinyl indoles is described. The
procedure involves a hydroboration, followed by a Suxuki-Miyaura cross-coupling
with the intermediate alkyl borane. Triflates, bromides, and iodides are suitable
coupling partners, allowing access to a variety of elaborated indole compounds.
PMID- 18040524
TI - Prevalence of obesity and its associated factors in Aleppo, Syria.
AB - BACKGROUND: Obesity and its related adverse health effects have become major
public health problems in developing countries. It has been increasing more
rapidly in low-income and transitional than in industrialized countries. This
study aims to provide the first population-based estimates of the prevalence of
obesity in Aleppo, Syria, and to examine its association with a number of risk
factors in the adult population. METHODS: An interviewer-administered survey of
adults 18-65 years of age, residing in Aleppo, Syria was conducted in 2004,
involving a representative sample of 2038 participants (54.8% female, mean age
35.3+/-12.1, age range 18-65 years) with a response rate of 86%. Demographic
factors and anthropometric measurements were obtained for all participants. The
main outcome was prevalence of obesity which was defined as BMI>= 30 kg/m(2).
RESULTS: The prevalence of obesity was 38.2%, higher in women than in men (46.3%
and 28.4% respectively). It increased with age being highest in the 46-65 year
old age group. Obesity was highest among Arabs (40.1%), the unemployed (49.8%),
illiterate (50.4%), married (44%) especially women with multiparity, low socio
economic status(45.4%), and those with a low physical activity score (40.3%).
Obesity was seen among 48.2% of ex-smokers, 39.3% of non-users of alcohol and
57.5% of participants treated for depression. An association was observed between
obesity and an increasing frequency intake of certain food items. Among women, an
association was observed between obesity and the number of births. CONCLUSION:
Our data show that obesity is a major health problem in Aleppo, Syria especially
among women. It is related to age, marital status, and consumption of certain
food items and it shows a significant prevalence among women with repeated
pregnancies.
PMID- 18040526
TI - Effects of various nonopioid receptor antagonists on the antinociceptive activity
of Muntingia calabura extracts in mice.
AB - This study was carried out in mice to determine the nonopioid receptor signaling
pathway(s) that might modulate the antinociceptive activity of the aqueous and
chloroform extracts of Muntingia calabura (M. calabura) leaves, using the hot
plate test. The leaves of M. calabura were sequentially soaked [1:2 (w/v); 72 h]
in distilled water (dH(2)O) and chloroform. The 50% concentration extracts were
selected for this study based on the plant's previously established
antinociceptive profiles. The mice (n = 7) were pretreated (s.c.) for 10 min with
the selected nonopioid receptor antagonists, followed by the (s.c.)
administration of the respective extract. The latency of discomfort was recorded
at the interval time of 0.5, 1, 2, 3, 4 and 5 h after the extract administration.
The 5 mg/kg atropine, 10 mg/kg phenoxybenzamine, 10 mg/kg yohimbine, 10 mg/kg
pindolol, 1 mg/kg haloperidol and 10 mg/kg bicuculline caused significant (p <
0.05) reduction in the aqueous extract-induced antinociceptive activity. The 10
mg/kg phenoxybenzamine, 10 mg/kg yohimbine, 10 mg/kg pindolol and 10 mg/kg
bicuculline caused significant (p < 0.05) reduction in the chloroform extract
induced antinociceptive activity. In conclusion, the central antinociceptive
activity of M. calabura leaves appears to be involved in the modulation of
various nonopioid receptor signaling pathways. Its aqueous extract
antinociceptive activity is mediated via modulation of the muscarinic, alpha(1)
adrenergic, alpha(2)-adrenergic, beta-adrenergic, dopaminergic and GABAergic
receptors, while its chloroform extract activity is mediated via modulation of
the alpha(1)-adrenergic, alpha(2)-adrenergic, beta-adrenergic and GABAergic
receptors.
PMID- 18040525
TI - A role for MRE11, NBS1, and recombination junctions in replication and stable
maintenance of EBV episomes.
AB - Recombination-like structures formed at origins of DNA replication may contribute
to replication fidelity, sister chromatid cohesion, chromosome segregation, and
overall genome stability. The Epstein-Barr Virus (EBV) origin of plasmid
replication (OriP) provides episomal genome stability through a poorly understood
mechanism. We show here that recombinational repair proteins MRE11 and NBS1 are
recruited to the Dyad Symmetry (DS) region of OriP in a TRF2- and cell cycle
dependent manner. Depletion of MRE11 or NBS1 by siRNA inhibits OriP replication
and destabilized viral episomes. OriP plasmid maintenance was defective in MRE11
and NBS1 hypomorphic fibroblast cell lines and only integrated, non-episomal
forms of EBV were detected in a lympoblastoid cell line derived from an NBS1
mutated individual. Two-dimensional agarose gel analysis of OriP DNA revealed
that recombination-like structures resembling Holliday-junctions form at OriP in
mid S phase. MRE11 and NBS1 association with DS coincided with replication fork
pausing and origin activation, which preceded the formation of recombination
structures. We propose that NBS1 and MRE11 promote replication-associated
recombination junctions essential for EBV episomal maintenance and genome
stability.
PMID- 18040527
TI - Changes in serum carbonyl and malondialdehyde levels following colchicine and
vitamin E treatment in Behcet's disease.
AB - Behcet's disease (BD) is an inflammatory disorder of an unknown cause, but
growing evidence indicates that the oxidative stress is increased in BD, owing to
the overproduction of reactive oxygen species (ROS) and decreased efficiency of
antioxidant defenses. ROS affect proteins and lipids and cause their oxidation,
therefore, contributing to the formation of oxidation products: carbonyl, a
marker of protein oxidation, and malondialdehyde (MDA), a marker of lipid
peroxidation. The investigation was undertaken to evaluate protein oxidation
(carbonyl group) levels and lipid peroxidation (MDA) levels, and the role of
colchicine and vitamin E therapy on protein carbonyl group and MDA levels in
serum samples of patients with BD. In this study, subjects were classified as
control group, colchicine therapy group alone and colchicine and vitamin E
therapy group. Protein carbonyl and MDA levels at the beginning of the study were
significantly (p < 0.05) higher in both therapy groups compared with those of the
control group. We found that the protein carbonyl and MDA levels at the end of
the study showed no significant (p > 0.05) differences between the therapy groups
and control group. These results provide some evidence for a potential effect of
colchicine and vitamin E therapies on increased protein oxidation and lipid
peroxidation in BD.
PMID- 18040528
TI - Absorption and excretion of elderberry (Sambucus nigra L.) anthocyanins in
healthy humans.
AB - Pharmacokinetic variables of several dietary anthocyanins (potent natural
antioxidants) following consumption of elderberry (Sambucus nigra L.) extract
were evaluated in urine and plasma of six healthy volunteers. They were given a
single oral dose of either 30 ml (278 mg total anthocyanins) or 200 ml (1852 mg
total anthocyanins) of a commercially available elderberry extract. Within 7 h,
the fraction of orally administered total anthocyanins (calculated as the sum of
cyanidin-3-sambubioside and cyanidin-3-glucoside) excreted unchanged was 0.39%
and 0.27% following ingestion of 30 and 200 ml, respectively. The elimination
half-life of total anthocyanins was slightly lower following the consumption of
278 mg (1.85 h) than that after the consumption of 1852 mg (2.57 h). The renal
clearance (median) of total anthocyanins was 196 and 169 ml/min, respectively.
The peak and average systemic exposure to the major elderberry anthocyanidin
glycosides in plasma as well as their renal excretion exhibited approximate dose
proportional characteristics within the administered range. The low dose
normalized area under the concentration-time curve (AUC) and the fraction of
orally administered anthocyanins recovered unchanged in urine indicate a low
bioavailability of these compounds.
PMID- 18040530
TI - Comparison of the effects of unfractionated heparin and the low-molecular-weight
heparins dalteparin and enoxaparin on spontaneous platelet aggregation and
adenosine diphosphate activity in platelets during the third trimester of
pregnancy.
AB - Low-molecular-weight heparins (LMWHs) have demonstrable pharmacokinetic,
pharmacodynamic and safety advantages over unfractionated heparin (UH) in routine
clinical use and are now the preferred agents in routine anticoagulant therapy.
However, the utility and impact of the LMWH compared with that of UH has not been
studied extensively in human pregnancy, wherein the prophylaxis against venous
thromboembolism is imperative. Human pregnancy is a hypercoagulable state with an
increase in spontaneous platelet aggregation (SPA) in vivo. We evaluated and
compared the effects of UH and the LMWHs dalteparin and enoxaparin (10 U/ml) on
SPA in citrated whole blood with an ultraflow platelet counter in pregnancy and
also investigated the role of adenosine diphosphate (ADP) in heparin-induced
platelet aggregation in the third trimester of pregnant women (aged 28 +/- 3
years, gestational age 34 +/- 5 weeks) and in healthy, age-matched nonpregnant
women. Pregnant women showed a significantly increased SPA of 37% 6 5% compared
with 16% 6 3% in nonpregnant women (p < 0.01). UH exerted a significantly greater
proaggregatory effect on SPA compared with that of LMWHs or saline (p < 0.0002;
ANOVA). The maximum values of SPA were as follows: UH, 69% +/- 5%; dalteparin,
46% +/- 5%; and enoxaparin, 54% +/- 3%. There was no difference between SPA
induced by LMWHs and saline or between enoxaparin and dalteparin. At 480 s, there
was no difference in SPA induced by LMWH between pregnant and nonpregnant women,
but UH substantially and specifically increased SPA in pregnant women compared
with that in nonpregnant women (p < 0.01). This heparin-induced platelet
activation and thrombocytopenic response was reversed by apyrase grade II (ADP
scavenger) that also inhibited SPA in pregnancy to a level similar to that of
nonpregnant women (p < 0.0002; ANOVA). These results indicate that the LMWHs
dalteparin and enoxaparin cause significantly less platelet aggregation in whole
blood in pregnancy and in the nonpregnant state when compared with UH. The
proaggregatory platelet effects of UH is substantially enhanced in pregnancy, a
property not shared by LMWHs. The reversal of the heparin-induced platelet
activation by apyrase grade II suggests that the mechanism is, at least in part,
mediated by copious ADP release from platelets or red cells by heparin but not
LMWHs.
PMID- 18040529
TI - The effect of tiotropium on the pulmonary diffusing capacity.
AB - To our knowledge, there is no data on the effect of tiotropium on pulmonary gas
exchange in healthy subjects. The aim of this study was to assess the effects of
tiotropium on pulmonary diffusing capacity. Twenty-one healthy volunteers were
enrolled for a prospective, randomized, double-blind, placebo-controlled study.
Spirometric measurements, including pulmonary-diffusing capacity, were obtained
before and after inhalation of drug or placebo. There was a significant decrease
in forced vital capacity (FVC) and, consequently, an increase in the forced
expiratory volume in one second (FEV1) to FVC ratio after placebo inhalation (p <
0.05), but no changes were found for percent-predicted FVC, FEV1, percent
predicted FEV1, percent-predicted forced expiratory flow (FEF25%-75%), percent
predicted peak expiratory flow (PEF), diffusing capacity of the lung for carbon
monoxide (DLCO), single-breath alveolar volume (VA) and DLCO/VA ratio when
compared with the baseline. Tiotropium inhalation caused a significant increase
in FVC, percent-predicted FEV1, FEV1/FVC and percent-predicted FEF25%-75%,
although the decrease in DLCO was insignificant (12.4 +/- 0.9 to 11.4 +/- 0.9).
In conclusion, tiotropium does not change the pulmonary-diffusing capacity in
healthy volunteers.
PMID- 18040531
TI - Gateways to clinical trials.
AB - (-)-Epigallocatechin gallate, [188Re]-P2045, 12B75, 89-12; Abacavir
sulfate/lamivudine, Abatacept, Abiraterone acetate, ABT-869, Adalimumab, Ad-rh
Endostatin, AI-700, Alemtuzumab, Alvimopan hydrate, Amrubicin hydrochloride, AP
12009, Apomab 7.3, Arformoterol tartrate, Aripiprazole, AS-1404, Azacitidine, AZD
0530; Bevacizumab, BHT-3009, Biapenem, Bortezomib, Bosentan, Bremelanotide; CA9
SCAN, Calcitonin gene-related peptide, Canertinib dihydrochloride, Cannabidiol,
Carboxyamidotriazole, Caspofungin acetate, Celgosivir, Certolizumab pegol,
Cinacalcet hydrochloride, Clevudine, CP-751871, Curcumin, Cx-401, Cypher;
Darunavir, Decitabine, Deforolimus, Dexamet, Dipyridamole/prednisolone,
Drospirenone, Drospirenone/estradiol, DTPw-HepB-Hib, Duloxetine hydrochloride;
Efalizumab, Emtricitabine, Erlotinib hydrochloride, Escitalopram oxalate,
Eszopiclone; Ferumoxtran-10, Ferumoxytol, Fondaparinux sodium, Fosaprepitant
dimeglumine; gamma-Hydroxybutyrate sodium, Gefitinib, Genistein, Ghrelin (human),
Gimatecan, GM-CSF PMED, Golimumab, gp100 PMED; Imatinib mesylate, Immunoglobulin
intravenous (human), IV Gamma-globulin; LA-419, Laropiprant, L-BLP-25,
Levodopa/carbidopa/entacapone, Lidocaine/prilocaine, Lopinavir/ritonavir,
Lumiracoxib, LY-2076962; Mepolizumab, Methylnaltrexone bromide, Mitiglinide
calcium hydrate, Mycophenolic acid sodium salt, Myristyl nicotinate; Natalizumab,
Nesiritide, Niacin/lovastatin; Oblimersen sodium, Ofatumumab, Olmesartan
medoxomil, Olmesartan medoxomil/hydrochlorothiazide, Ozarelix; Palonosetron
hydrochloride, Parathyroid hormone (human recombinant), Pazopanib hydrochloride,
Pegaptanib octasodium, Pegfilgrastim, Peginterferon alfa- 2a, Peginterferon alfa
2b, Pegvisomant, Pemetrexed disodium, Pexelizumab, Picoplatin, Pimecrolimus,
Posaconazole, Pregabalin, PRO-1762, Progesterone caproate, Prulifloxacin;
Ramelteon, Ranelic acid distrontium salt, Reparixin, Rosuvastatin calcium;
Rotigotine; Satraplatin, Sertraline, Sipuleucel-T, SLIT-cisplatin, SNDX-275,
Solifenacin succinate, Sunitinib malate; Tadalafil, Talnetant, Tanespimycin,
Taxus, Tegaserod maleate, Telmisartan/hydrochlorothiazide, Tenofovir disoproxil
fumarate/emtricitabine, Teriparatide, tgAAC-94, Tiotropium bromide, Tocilizumab,
Tolvaptan, Trimethoprim; Vardenafil hydrochloride hydrate, Vatalanib succinate,
Vinflunine, Voriconazole, VX-680; XL-880; Yttrium 90 (90Y) ibritumomab tiuxetan.
PMID- 18040532
TI - Experiences of orphan care in Amach, Uganda: assessing policy implications.
AB - Uganda is estimated to have around two million orphans constituting approximately
19% of all the children in the country. This paper presents findings from a study
on the experiences of orphan care among Langi people of Amach sub-county in Lira
District, northern Uganda, and discusses their policy implications. The study
utilised the following methods in data collection: eight months of ethnographic
fieldwork; 21 in-depth interviews with community leaders; 45 with heads of
households caring for orphans; 35 with orphans; and five focus group discussions.
The findings revealed that the Langi people have an inherently problematic orphan
concept, which contribute toward discriminatory attitudes and practices against
orphans. The clan based decision-making to care for orphans, the category of kin
a particular orphan ends up living with, the sex and age of the orphan, as well
as the cessation of the 'widow-inheritance' custom emerged as prominent factors
which impact on orphan care. Thus there is the need to draw upon such local
knowledge in policy making and intervention planning for orphans. The paper
concludes with a discussion of potential approaches to alleviating the current
orphan challenges among the Langi people.
PMID- 18040534
TI - HIV/AIDS through the lens of Christianity: perspectives from a South African
urban support group.
AB - HIV is one of the most obscure viruses that humankind has had to face in recent
times. Compounding this obscurity are often contesting perspectives on what it
means to be HIV infected, and these perspectives are largely constituted by
people's rationalisation of complex situations or experiences. Using qualitative
research methods and ethnography in particular, this paper reflects on a broad
understanding of what it means to live with HIV in the context of Christianity,
using research participants' perspectives in an urban support group setting. Two
fundamental patterns are evident in this paper: (1) as support group members
rationalise their HIV infection, they continuously construct and reconstruct
their identities; and (2) support group members rationalise their HIV infection
to enhance their coping abilities, using Christianity and the Bible in
particular, as a reference. Whilst rationalising HIV infection, three viewpoints
emerge. The first viewpoint perceives HIV infection as an affliction by Satan;
the second viewpoint sees it as originating from God; while the last viewpoint
interprets HIV infection as a negotiated settlement between God and Satan. The
paper is intended to trigger debate, and hopefully also to seek and provide
answers from various sectors of society, and religious communities in particular,
in order to help other HIV positive people in similar situations better manage
their HIV condition.
PMID- 18040535
TI - Equity in access to ARV drugs in Malawi.
AB - This paper discusses the issue of equity in the distribution of ARV drugs in the
Malawi health system. Malawi is one of the countries most severely affected by
HIV/AIDS in southern Africa. It is also one of the poorest countries in the
world. ARV drugs are expensive.The Malawi government, with assistance from the
Global Fund on Tuberculosis, Malaria and HIV/AIDS, started providing free ARV
drugs to eligible HIV-infected people in September 2004. The provision of free
drugs brought the hope that everyone who was eligible would access them. Based on
data collected through a qualitative research methodology, it was found that
achieving equity in provision would face several challenges including policy,
operational and socio-economic considerations. Specifically, the existing policy
framework, shortage of medical personnel, access to information and inadequacy of
effective community support groups are some of the key issues affecting equity.
PMID- 18040533
TI - Difficult choices: infant feeding experiences of HIV-positive mothers in northern
Tanzania.
AB - Infant feeding represents a great challenge in the prevention of mother-to-child
transmission of HIV (pMTCT). The international guidelines informing infant
feeding counselling suggest feeding methods that reduce the risk of HIV
transmission, and discourage mixed feeding (combining breastfeeding with other
fluids and solids). The feasibility and the social acceptability of the
recommended feeding methods are hotly debated currently. Through the
documentation of HIV-positive women's experiences, this article aims to provide
empirically grounded knowledge on the relevance of the proposed feeding methods.
Drawing upon cultural theory and a view of infant feeding practices as socially
and culturally embedded, the article discusses the so-called 'informed choice' of
infant feeding method among women enrolled in the pMTCT programme at Kilimanjaro
Christian Medical Centre in northern Tanzania.The study is based on interviews
and follow-up of 20 HIV-positive mothers during the last part of pregnancy,
delivery and the first six months after birth. The article details four of these
cases describing the challenges linked to exclusive breastfeeding, cow's milk
feeding and formula feeding. The study demonstrates the gap between intentions
and infant feeding practice in a context where the social expectations to
breastfeed are high, and where kin and neighbours are part of the decision-making
team surrounding infant feeding. It highlights the tension between the competing
concerns of the medical and social risks involved in the choice of infant feeding
method, and documents that the feeding options may be difficult to adhere to,
whether a mother chooses exclusive breastfeeding or replacement feeding.
PMID- 18040537
TI - The future of the multidisciplinary clinic.
AB - The multidisciplinary clinic is the accepted model for health care delivery
related to spina bifida. This article focuses on the factors affecting
multidisciplinary care delivery and future challenges for multidisciplinary
programs.
PMID- 18040536
TI - Met and unmet palliative care needs of people living with HIV/AIDS in Rwanda.
AB - The rising number of people living with HIV/AIDS (PLWHA) worldwide has made
health care professionals and policy makers search for accessible health care
that will meet the needs of people who are suffering from the disease and enhance
their quality of life (QoL). This study investigated met and unmet palliative
care needs of PLWHA in selected areas in Rwanda. The study sample included 306
participants: PLWHA, health care professionals and coordinators of HIV/AIDS
units. Quantitative and qualitative methodologies were used. The data were
analysed separately and then triangulated. In the findings, over 50% of PLWHA had
symptoms related to HIV/AIDS most of the time, with the most common symptom being
pain. Participation in activities of daily living was significantly associated
with the health status of PLWHA (p<0.001). The most common perceived palliative
care needs of PLWHA were medical needs, psychosocial needs and the need for
financial assistance (77%); home-based care (47%); nutritional support (44%); and
pain relief and management of other symptoms (43%). Most PLWHA indicated these
palliative care needs were unmet, in particular the need for pain relief, symptom
management, financial assistance and nutritional support. Over 50% of health care
professionals reported they were not trained in palliative care. They indicated
that inadequate policy and resources were the main obstacles to the provision of
optimal palliative care. Addressing unmet palliative care needs would enhance the
QoL of PLWHA. In addition, developing policy related to the provision of
palliative care and building the capacity of health care providers is essential
for the provision of adequate palliative care services in Rwanda.
PMID- 18040538
TI - Increased apoptosis and proliferative capacity are early events in cyst formation
in autosomal-dominant, polycystic kidney disease.
AB - Previous studies have highlighted epithelial proliferation and apoptosis in the
cyst lining as common features in animal models of cystic disease. In this study,
we sought to evaluate the timing and extent of these changes in renal tissue
obtained from patients with autosomal-dominant, polycystic kidney disease (ADPKD)
subjected for nephrectomy for a variety of clinical indications. Cell
proliferation was assessed using an antibody to proliferating cell nuclear
antigen (PCNA), and apoptosis was evaluated by the use of terminal
deoxynucleotidyl transferase (TdT) digoxigenin-deoxyuridine (dUTP) nick end
labeling technique (ApopTag(R)). The origin of cystic structures was evaluated
using antibodies to epithelial membrane antigen (EMA). The lineage of
interstitial mononuclear cells was assessed by anti CD 45 and CD 68 monoclonal
antibodies. We found an increased rate of proliferation within the epithelium,
not only of cystic, but also of noncystic, tubules that was significantly higher
than the corresponding values from normal kidney (p pound 0.0001). Apoptotic
index values were significantly increased within the epithelium lining noncystic
and cystic structures (p < 0.001). In the interstitium, increased proliferation
and apoptosis rates were also noted. Interstitial infiltrates were dense and
consisted mainly of CD 68-positive macrophages and CD 45-positive lymphocytes.
The present study demonstrated that changes in cell turnover are early events in
cyst formation. The observation of mild proportionate elevation of both
proliferation and apoptosis values of the epithelium lining cysts explains the
lack of increase risk of renal cell carcinoma in ADPKD. The development of heavy
interstitial inflammation could contribute to progressive tubulointerstitial
scarring, leading to progressive renal failure.
PMID- 18040539
TI - Traumatic brain injury and delayed sequelae: a review--traumatic brain injury and
mild traumatic brain injury (concussion) are precursors to later-onset brain
disorders, including early-onset dementia.
AB - Brain injuries are too common. Most people are unaware of the incidence of and
horrendous consequences of traumatic brain injury (TBI) and mild traumatic brain
injury (MTBI). Research and the advent of sophisticated imaging have led to
progression in the understanding of brain pathophysiology following TBI. Seminal
evidence from animal and human experiments demonstrate links between TBI and the
subsequent onset of premature, psychiatric syndromes and neurodegenerative
diseases, including Alzheimer's disease (AD) and Parkinson's disease (PD).
Objectives of this summary are, therefore, to instill appreciation regarding the
importance of brain injury prevention, diagnosis, and treatment, and to increase
awareness regarding the long-term delayed consequences following TBI.
PMID- 18040540
TI - Origin, fate, and function of epicardium-derived cells (EPDCs) in normal and
abnormal cardiac development.
AB - During heart development, cells of the primary and secondary heart field give
rise to the myocardial component of the heart. The neural crest and epicardium
provide the heart with a considerable amount of nonmyocardial cells that are
indispensable for correct heart development. During the past 2 decades, the
importance of epicardium-derived cells (EPDCs) in heart formation became
increasingly clear. The epicardium is embryologically formed by the outgrowth of
proepicardial cells over the naked heart tube. Following epithelial-mesenchymal
transformation, EPDCs form the subepicardial mesenchyme and subsequently migrate
into the myocardium, and differentiate into smooth muscle cells and fibroblasts.
They contribute to the media of the coronary arteries, to the atrioventricular
valves, and the fibrous heart skeleton. Furthermore, they are important for the
myocardial architecture of the ventricular walls and for the induction of
Purkinje fiber formation. Whereas the exact signaling cascades in EPDC migration
and function still need to be elucidated, recent research has revealed several
factors that are involved in EPDC migration and specialization, and in the cross
talk between EPDCs and other cells during heart development. Among these factors
are the Ets transcription factors Ets-1 and Ets-2. New data obtained with
lentiviral antisense constructs targeting Ets-1 and Ets-2 specifically in the
epicardium indicate that both factors are independently involved in the migratory
behavior of EPDCs. Ets-2 seems to be especially important for the migration of
EPDCs into the myocardial wall, and to subendocardial positions in the
atrioventricular cushions and the trabeculae. With respect to the clinical
importance of correct EPDC development, the relation with coronary arteriogenesis
has been noted well before. In this review, we also propose a role for EPDCs in
cardiac looping, and emphasize their contribution to the development of the
valves and myocardial architecture. Lastly, we focus on the congenital heart
anomalies that might be caused primarily by an epicardial developmental defect.
PMID- 18040541
TI - The child with a disability: parental acceptance, management and coping.
AB - Research indicates that family reaction to the birth of a disabled child changes
according to the type of disability and the child's diagnostic category. The
differences are probably an indirect consequence of anticipated or actual
reactions by those surrounding the disabled child and the family, in addition to
parental reactions. Many researchers have recently mentioned the positive coping
and functioning of many families with developmentally disabled children. In the
past there was a tendency to emphasize issues of illness and pressures, spousal
strain and maladjustment within the family, while presently they are replaced
with questions concerning positive adjustment, satisfaction, acceptance, and
spousal harmony. Rather than perceiving the family as a helpless victim, it is
perceived as a unit that adapts by a process of structuring. Professionals must
acknowledge the importance of the family, this change towards a positive attitude
towards disability and that the controls decisions concerning the disabled child
and the family.
PMID- 18040542
TI - First do no harm: an analysis of the risk aspects and side effects of clinical
holistic medicine compared with standard psychiatric biomedical treatment.
AB - Clinical holistic medicine (CHM) is short-term psychodynamic psychotherapy (STPP)
complemented with bodywork and philosophical exercises, to be more efficient in
treating patients with severe mental and physical illness. STPP has already been
found superior to psychiatric treatment as usual (TAU) and thus able to compete
with psychiatric standard treatment as the treatment of choice for all non
organic mental illnesses; we have found the addition of bodywork and philosophy
of life to STPP to accelerate the process of existential healing and recovery
(salutogenesis). In this paper we compare the side effects, suicidal risk,
problems from implanted memory and implanted philosophy of CHM with
psychopharmacological treatment. METHOD: Qualitative and quantitative comparative
review. RESULTS: In all aspects of risks, harmfulness, and side effects, we have
been considering, CHM was superior to the standard psychiatric treatment. The old
principle of "first do no harm" is well respected by CHM, but not always by
standard psychiatry. CHM seems to be able to heal the patient, while
psychopharmacological drugs can turn the patient into a chronic, mentally ill
patient for life. Based on the available data CHM seems another alternative to
patients with mental illness. There seem to be no documentation at all for CHM
being dangerous, harmful, having side effects of putting patients at risk for
suicide. As CHM uses spontaneous regression there is no danger for the patient
developing psychosis as, according to some experts, has been seen with earlier
intensive psychodynamic methods. CHM is an efficient, safe and affordable cure
for a broad range of mental illnesses.
PMID- 18040543
TI - A review and integrative analysis of ancient holistic character medicine systems.
AB - The ancient holistic medical systems help the patient by balancing the "elements"
of the human character. This work aims to understand the nature of these elements
and the process of the physician balancing them. Using the concept of poly-ray
cosmology we see that the medical systems from ancient India, China and Greek
basically share the same inner structure and also the same logic of the treatment
processes. We analyze the double concept of yin-yang, the Ayurvedic triadic
concepts of Pitta, Kapha and Vata, the four elements of Hippocratic humoral
medicine, and the five elements of Chinese medicine, and find that each of these
conceptual frameworks make up a "theory" or model of the world that is a perfect
wholeness, allowing the physician to interpret the world and his patient in order
to identify the imbalances of his or her character that need to be treated.
Independently of the system this can be a palliative treatment, if energies are
only balanced in present time, or a causal cure if the physician is using the
similarity principle to take his patient into regression back to the events in
the personal history that originally created the imbalances (the traumas). To
help the patient back to the traumas he is exposed to a small dose of the
original harming stimulus; this can be an internal process like visualization
supported by the therapist words, or an external process provoked by his actions.
If the physician is balancing the elements without such a healing of the
patient's existential core this can still momentarily help the patient by
alleviating the symptoms, but it will not have a permanent effect.
PMID- 18040544
TI - Heparan sulfate proteoglycan-involving immunomodulation by cathelicidin
antimicrobial peptides LL-37 and PR-39.
PMID- 18040547
TI - [Abstracts of the XXIII Congress of Pulmonology, 8-10 November 2007, Guarda,
Portugal].
PMID- 18040545
TI - Fournier's gangrene--findings on computed tomography.
PMID- 18040546
TI - Pediatric burns in the Bedouin population in southern Israel.
AB - Burn trauma is an important public health concern, with increased risk for burns
in children. A cross-sectional study was performed to describe the
epidemiological characteristics and risk factors for burns in hospitalized
Bedouin children in Soroka University Medical Center during the years 2001-2002.
In a population of 558 hospitalized burn-injured patients, 282 Bedouin children
were identified. Two hundred and sixty five patients (94.0%) had burns involving
less than 20% of the body surface area. Cause of the burns was scald in 190
patients (67.4%), fire in 80 patients (28.4%), chemical in 8 patients (2.8%), and
explosion in 2 patients (0.7%). Two female patients (0.7%) aged 11 and 17 years
died of their burns that were caused by fire. The mean length of hospitalization
was 9.8 days. Pediatric burn injury has become a significant public health
problem in the Bedouin population of the Negev. To reduce the burden of burn
injury, it is necessary to increase current efforts in prevention of burns.
PMID- 18040589
TI - The prevalence of DSM-IV psychiatric disorders among 7-10 year old Yemeni
schoolchildren.
AB - BACKGROUND: The planning of child mental health services should be based on
recent high-quality data on the prevalence and type of mental health disorders,
and yet such data are not available in Yemen and many other Arab countries.
METHODS: Representative samples of Yemeni 7-10 year olds (n = 1,210) were
assessed using a two-phase design in an urban area and a one-phase design in a
rural area. Psychopathology was assessed using the Strengths and Difficulties
Questionnaire for screening purposes and the Development and Well-being
Assessment to generate psychiatric diagnoses. RESULTS: The overall prevalence of
DSM-IV disorders was 15.7% in Yemen (95% CI 11.7-20.2%). Anxiety disorders were
the commonest diagnostic grouping in Yemen (9.3%, 95% CI = 5.8-12.8%), followed
by behavioural disorders (7.1%, 95% CI = 4.4-9.9%) and attention
deficit/hyperactivity disorder (ADHD; 1.3%, 95% CI = 0.1-2.5%). There were no
significant urban-rural differences. CONCLUSIONS: Roughly a sixth of Yemeni
schoolchildren have at least one DSM-IV psychiatric disorder, involving a level
of distress or social impairment likely to warrant treatment.
PMID- 18040590
TI - Factors associated with use of psychotherapy.
AB - CONTEXT: Psychotherapies are recommended in manuals of good practice. There is
however little epidemiological data assessing access to this type of treatment,
and in particular the combined role of the offer and socio-demographic
characteristics. The present research aims to contribute data on the profiles of
a sample of individuals who underwent psychotherapy in France, and on the
respective impact of various factors such as mental health status, socio
demographic characteristics, life events and the care offer, focusing on a
specific population for whom the mode of financial cover for this type of care is
governed by a complementary health insurance (MGEN). METHODS: Between June 1999
and March 2000 a survey using a self-administered questionnaire was conducted on
a sample of MGEN-insured individuals. Ten thousand individuals aged between 20
and 60 were selected randomly, received a questionnaire, and up to three recalls
in case of non-response. The overall response rate was 66.5%. The questionnaire
comprised 261 questions enabling data collection concerning the main socio
demographic, professional and mental health variables. RESULTS: In this
population aged from 20 to 60, lifetime prevalence of recourse to psychotherapy
was 11.8%. The main factor associated with use of psychotherapy is the severity
of the clinical condition (assessed in terms of comorbidity). Certain traumatic
events experienced in childhood are also related. The effect of socio-demographic
variables varies according to severity, although it was noted that being female,
having high educational status, and being single were consistently related to
wider use of psychotherapy. The analysis also evidenced the importance of the
density of the care offer. CONCLUSION: Our results suggest that the use of
psychotherapy, within a well-informed population with high quality insurance
cover, is related primarily to clinical condition rather than to socio
demographic status, especially in people with high levels of comorbidity,
although the effect of educational status remains. However, this study only
looked at mere use of psychotherapy, without determining the suitability of the
treatment provided. It is indeed possible, and even probable, that variables such
as educational status, income, or care offer may have a link with resorting to
the right type of care, in terms of both relevance and adequate duration.
PMID- 18040591
TI - Plastid isoprenoid metabolism in the oyster parasite Perkinsus marinus connects
dinoflagellates and malaria pathogens--new impetus for studying alveolates.
PMID- 18040593
TI - Temporal trends and spatial variability of mercury in four fish species in the
Ontario segment of the St. Lawrence River, Canada.
AB - The Massena (New York) and Cornwall (Ontario) region has a long history of Hg
discharge into the St. Lawrence River. The objectives of this study were to
evaluate if Hg levels have declined in this portion of the river since 1975 and
to compare Hg level in fish species upstream and downstream of this area in order
to evaluate the anthropogenic contribution to Hg levels in fish. Mercury levels
in four fish species were monitored over a 20-year period (1975-1995). A general
linear model and an analysis of covariance were used to extract temporal trends
and spatial variability, respectively, while correcting the data for fish length.
Over time, Hg levels declined in most fish species. In the four regions studied,
Hg levels in fish were similar, which suggests that other sources like
atmospheric deposition and Hg loading from the Great Lakes may also contribute to
the Hg burden in fish in the St. Lawrence River. This indicates that fish, with
large home range, are good biomonitors of temporal Hg releases but their ability
to avoid point sources makes them less appealing as biomonitors to address
spatial variability in Hg releases.
PMID- 18040592
TI - Cadmium chloride-induced disruption of testicular steroidogenesis in rainbow
trout, Oncorhynchus mykiss.
AB - Cadmium (Cd) is a known endocrine disruptor with the ability to affect the
production of hormones involved in the regulation of reproductive processes. In
the present study, the effects of CdCl(2) on unstimulated and stimulated
testicular steroidogenesis were examined with the intention of furthering the
understanding of the potential site(s) of action in the signaling pathway for 11
KT synthesis in teleosts. In short-term (2-h) exposures, CdCl(2 )stimulated 11-KT
production (29% and 28% over controls) in minced testicular tissues at
concentrations of 10 and 100 microM, respectively. However, 11-KT production was
significantly lower than in controls (54%, 62%, and 54%) when tissues were
incubated for 18 h with 1, 10, and 100 microM Cd. Incubation of testicular
tissues with 100 IU/ml human chorionic gonadotropin (hCG) and 5 mM dibutyryl-cAMP
(dbcAMP), which activate rate-limiting steps in steroid synthesis, or 1.3 microM
25-hydroxycholesterol (25-OHC), which augments production, resulted in
significant increases in steroidogenesis over controls. hCG-stimulated
steroidogenesis was reduced to 54% and 62% that of stimulated controls when
tissues were incubated with CdCl(2) at 1 and 10 microM, respectively. 11-KT
production in dbcAMP-stimulated and 25-OHC-augmented tissues was not affected by
Cd exposure. The results of this study indicate that one site of action of Cd in
the signaling steroidogenic pathway is located prior to cAMP formation. This
impairment could be overcome when higher concentrations of Cd were used in hCG
stimulated cells, suggesting the presence of a stimulatory site at, or following,
hCG receptor binding.
PMID- 18040594
TI - Arterial anatomy of the lateral orbital and cheek region and arterial supply to
the "peri-zygomatic perforator arteries" flap.
AB - Arterial anatomy of the lateral orbital and cheek region and subsequently of the
"peri-zygomatic perforator arteries" flap is described, based upon the dissection
of the 24 human cadaver head halves. Each specimen was dissected in subdermal,
first fascial and deep level. The subdermal vascular network of lateral orbital
and cheek region, its orientation and contributing arteries were studied. Origin,
perforation sites and diameters of transverse facial, zygomaticoorbital,
zygomaticofacial and zygomaticotemporal arteries were also described and
measured. Our findings support the view that the cheek island flap used for lower
eyelid is a reverse flow axial pattern flap. It includes arterioles of the
transverse facial artery, which are part of the subdermal vascular plexus and are
uniformly longitudinally oriented. The flap receives its blood supply via
perforators of the zygomaticoorbital, zygomaticofacial and zygomaticotemporal
arteries, which are connected via their terminal branches with transverse facial
artery.
PMID- 18040595
TI - Parity mediates the association between infant feeding method and maternal
depressive symptoms in the postpartum.
AB - Maternal depression is the most common complication of the postpartum, having
devastating and long lasting effects on mother and infant. Lactation is
associated with attenuated stress responses, especially that of cortisol, and the
lactogenic hormones, oxytocin and prolactin, are associated with anti-depressant
and anxiolytic effects. These associations suggest that breast-feeding may
decrease maternal depressive symptoms, yet empirical results have been
conflicting. Recent findings have indicated that parity may mediate the
association between breast-feeding and stress response. Because a decreased
stress response is associated with a decreased risk for depression, parity may
also mediate the association between infant feeding method and depressive
symptoms. Specifically, the benefits of breast-feeding may appear in multiparous
but not primiparous mothers. In the present study, data drawn from a national
sample of primiparous and multiparous mothers were examined for possible
associations between infant feeding method and depressive symptoms, as assessed
by the Center for Epidemiological Survey-Depression scale (CES-D). After
controlling for several possible confounding variables, breast-feeding by
multiparas was associated with significantly decreased odds of having depression
compared with bottle-feeders (OR = 0.41, CI 0.19-0.87, p = 0.02); however, no
risk reduction from breast-feeding was evident among primiparas. The results
support a parity-mediated association between lactation and maternal depressive
symptoms. The results provide a reason for earlier conflicting findings, present
new research avenues, and suggest possible clinical approaches.
PMID- 18040596
TI - Postpartum depression in adolescents in Brazil: an issue of concern.
PMID- 18040597
TI - The present importance of the organic psychoses of pregnancy, parturition and the
puerperium.
PMID- 18040598
TI - The relationship between personality traits and eating pathology in adolescent
girls.
AB - This research investigated the relationship between personality and the tendency
towards developing eating disorders in adolescent females Personality traits were
assessed using the High School Personality Questionnaire (HSPQ) and dysfunctional
eating attitudes and behaviours were assessed using the Eating Attitudes Test
(EAT-26) and the Eating Disorder Inventory (EDI). The sample consisted of 244
students from a high school in Johannesburg, South Africa. Significant
relationships were found between certain personality traits and eating
dysfunction. Reservation, emotional instability, excitability, opportunism,
shyness, individualism, proneness to guilt feelings, self-sufficiency and high
tension were the personality factors that were significant in relation to eating
dysfunction. This thus suggests that personality appears to influence the
tendency towards developing eating disorders.
PMID- 18040600
TI - Abstracts from a joint meeting of the Faculty of Paediatrics of the Royal College
of Physicians of Ireland and the Irish Paediatric Association. May 17-18, 2007.
Limerick, Ireland.
PMID- 18040599
TI - Reliability and validity of the Italian version of the hand functional disability
scale in patients with systemic sclerosis.
AB - The English version of hand functional disability scale is a validated instrument
for measuring hand involvement in patients with systemic sclerosis (SSc). Because
validation of multiple-language versions of existing validated questionnaires
plays a key role in standardizing the outcome measurement and increasing the
statistical power of clinical studies, this study aims to validate a translated
Italian version of hand functional disability scale which is not available at the
moment. The Italian version of hand functional disability scale was tested on 50
patients with SSc. To determine test-retest reliability, 40 SSc patients were
asked to complete the questionnaire a second time within 2 weeks of the initial
testing session. The test-retest reliability and internal consistency were
determined by intra-class correlation coefficient (ICC) and Cronbach's alpha.
External consistency was measured by comparing with an already validated test,
the Health Assessment Questionnaire (HAQ), and clinical measurements. To explore
the relationships among these variables, multiple correspondence analysis (MCA)
was adopted. The statistical analysis of each domain and the total score revealed
a good test-retest reliability (ICCs > 0.75) and internal consistency (Cronbach's
alpha > 0.7). Furthermore, a good external consistency was confirmed by
evaluating the differences between the distributions of hand functional
disability scale score for SSc patients with or without hand involvement
(arthralgias, arthritis, flexion contractures, and digital ulcers) and comparing
results with those obtained for HAQ. Finally, MCA demonstrates a strong
correlation among functional disability scale areas and HAQ scores. The hand
functional disability scale is a self-administered questionnaire and it has been
specially developed to measure hand impairment in patients with hand disorders.
Our data support its validity and reliability in Italian SSc patients.
PMID- 18040601
TI - Rapid tumor doubling in the CNS.
PMID- 18040602
TI - Biological characterization of Sorona polymer from corn-derived 1,3-propanediol.
AB - The Sorona family of polymers, based on corn-derived 1,3-propanediol, have
recently been developed as novel bio-based materials for use in plastics, films,
and fibers. In the present study, Sorona polymers were investigated for their
effects on cell survival and inflammatory cell activation using in vitro mouse
cell cultures. Cytotoxicity of Sorona polymers was evaluated by placing material
samples in direct contact with 3T3 fibroblast cells. Both Sorona plastic and
Sorona films were non-cytotoxic to fibroblasts. The inflammatory potential of
Sorona samples was evaluated by exposing J774 macrophage cells to material
samples, and measuring TNF-alpha release from macrophages. Sorona plastic and
Sorona films did not elicit inflammatory TNF-alpha release from macrophages.
These results indicate that Sorona polymers are non-cytotoxic and non
inflammatory. While the 1,3-propanediol component of Sorona 3GT is manufactured
in a bacterial fermentation process, the absence of an inflammatory response to
Sorona film and Sorona plastic is highly encouraging. The results are significant
for the design of materials that utilize bio-based polymers.
PMID- 18040604
TI - Application of Taguchi's method in the optimization of bridging efficiency
between confluent and fresh microcarriers in bead-to-bead transfer of Vero cells.
AB - Taguchi's L8 experimental design was firstly applied to identify critical
parameters affecting the efficiency of bridge formation between confluent and
fresh microcarriers in bead-to-bead transfer of Vero cells. Statistical analysis
indicated that stirring time, rest time and the interactions between stirring
time and rest time, stirring speed and rest time had significant effects.
Subsequently, a two-stage cell transfer process was performed successfully, in
which an efficiency of bridge formation (15.3 +/- 1.7%) obtained from 8 h
intermittent agitation made percentage of bare microcarriers decrease quickly
from 66.7% to 2.0 +/- 0.8%.
PMID- 18040605
TI - Vanillin production using Escherichia coli cells over-expressing isoeugenol
monooxygenase of Pseudomonas putida.
AB - The isoeugenol monooxygenase gene of Pseudomonas putida IE27 was inserted into an
expression vector, pET21a, under the control of the T7 promoter. The recombinant
plasmid was introduced into Escherichia coli BL21(DE3) cells, containing no
vanillin-degrading activity. The transformed E. coli BL21(DE3) cells produced
28.3 g vanillin/l from 230 mM isoeugenol, with a molar conversion yield of 81% at
20 degrees C after 6 h. In the reaction system, no accumulation of undesired by
products, such as vanillic acid or acetaldehyde, was observed.
PMID- 18040603
TI - Inhibitory effects of arbutin-beta-glycosides synthesized from enzymatic
transglycosylation for melanogenesis.
AB - To develop a new skin whitening agent, arbutin-beta-glycosides were synthesized
and evaluated for their melanogenesis inhibitory activities. Three active
compounds were synthesized via the transglycosylation reaction of Thermotoga
neapolitana beta-glucosidase and purified by recycling preparative HPLC. As
compared with arbutin (IC(50 )= 6 mM), the IC(50 )values of these compounds were
8, 10, and 5 mM for beta-D -glucopyranosyl-(1-->6)-arbutin, beta-D:
glucopyranosyl-(1-->4)-arbutin, and beta-D -glucopyranosyl-(1-->3)-arbutin,
respectively. beta-D: -Glucosyl-(1-->3)-arbutin also exerted the most profound
inhibitory effects on melanin synthesis in B16F10 melanoma cells. Melanin
synthesis was inhibited to a significant degree at 5 mM, at which concentration
the melanin content was reduced to below 70% of that observed in the untreated
cells. Consequently, beta-D: -glucopyranosyl-(1-->3)-arbutin is a more effective
depigmentation agent and is also less cytotoxic than the known melanogenesis
inhibitor, arbutin.
PMID- 18040606
TI - Microcalorimetric studies of the biological effect of holmium (III) on
Halobacterium halobium R1 growth.
AB - The biological effect of Ho3+ on Halobacterium halobium R1 growth was analyzed by
a microcalorimetric technique. By means of LKB-2277 Bioactivity Monitor, ampoule
method at 37 degrees C, we obtained the thermogenic curves of H. halobium R1
growth. To analyze the results, the maximum power (Pm) and the growth rate
constants (k) were determined, which show that values of Pm and k are linked to
the concentration of Ho3+. In all, the addition of Ho3+ causes a decrease of the
maximum heat production and growth rate constants. For comparison, we observed
the shapes of H. halobium R1 cell by means of transmission electron microscope
(TEM). According to the thermogenic curves and TEM photos of H. halobium R1 under
different conditions, it is clear that metabolic mechanism of H. halobium R1
growth has been changed with the addition of Ho3+.
PMID- 18040607
TI - Changing management in patients with papillary thyroid cancer.
AB - The incidence of thyroid cancer has been increasing over the past 30 years, and
it is now the seventh most common cancer in women. Papillary thyroid cancer is
the most common subtype of thyroid cancer, occurring in 80% of cases. Its main
pattern of spread is to cervical lymph nodes, with distant metastases occurring
uncommonly. Initial treatment of papillary thyroid cancer involves resection of
the primary tumor, with resection of regional lymph nodes if involved with
metastatic disease. Postoperative adjuvant therapy consists of radioactive iodine
ablation for most patients, followed by thyroid-stimulating hormone (TSH)
suppression with thyroxine. An ongoing controversy in the surgical treatment of
papillary thyroid cancer is that of extent of thyroid gland and nodal resection.
Consensus guidelines recommend total or near-total thyroidectomy, rather than
thyroid lobectomy, as the initial procedure of choice, given its advantages of
treating potential multicentric disease, facilitating maximal uptake of adjuvant
radioactive iodine, and facilitating the post-treatment follow-up by monitoring
serum thyroglobulin (Tg) levels. In the hands of an experienced endocrine
surgeon, complication rates are comparable to those for lobectomy. Major changes
in the management of patients with papillary thyroid cancer over the last 10
years include the use of preoperative neck ultrasound, which can detect
nonpalpable cervical lymph node metastases and potentially change the initial
operation. In addition, neck ultrasound and measurement of serum Tg levels have
taken the place of routine whole body radioactive iodine scans in the
postoperative follow-up of patients with papillary thyroid cancer. Recurrent
locoregional cervical lymph node disease should be treated by compartmental lymph
node dissection, followed by another treatment dose of radioactive iodine.
Chemotherapy is generally ineffective for the treatment of metastatic disease.
For those patients whose tumor has become radioactive iodine resistant, emerging
therapies include redifferentiation agents, antiangiogenic agents, and multi
tyrosine kinase inhibitors.
PMID- 18040608
TI - Increased radiosensitivity as an indicator of genes conferring breast cancer
susceptibility.
AB - PURPOSE: This paper briefly summarizes the research on increased radiosensitivity
in breast cancer patients measured by the micronucleus test (MNT) and its
association to genetic variants in DNA repair genes. More preliminary data are
presented on the distribution of chromosomes and chromosome fragments in
micronuclei (MN) in order to gain more information on clastogenic and aneugenic
effects and better understand the phenotype of increased radiosensitivity.
MATERIAL AND METHODS: Reports of relevant studies obtained from a search of
PubMed and studies referenced in those reports were reviewed. In four patients
with high MN frequency (three cancer patients, one control) and four probands
with low MN frequency, the presence of chromosome fragments or whole chromosomes
in MN was determined by fluorescence in situ hybridization analysis for
chromosomes 1, 7, and 17. RESULTS: An increased MN frequency in breast cancer
patients compared to controls has consistently been reported with high
significance. Higher MN frequencies were observed in 20-50% of breast cancer
patients. Chromosomal fragments of chromosome 17, but not of chromosomes 1 and 7
were more frequent in the probands with high MN frequency than in those with low
frequency (p = 0.045). CONCLUSION: The MNT detects a cellular phenotype common to
a portion of sporadic breast cancer patients. This phenotype is very likely to be
genetically determined. For the genetic dissection of breast cancer
susceptibility this phenotype may turn out to be more efficient than breast
cancer itself. Additional parameters which can be measured simultaneously with
the MN frequency may be able to further enhance its usefulness.
PMID- 18040609
TI - DEGRO practical guidelines for radiotherapy of breast cancer I: breast-conserving
therapy.
AB - BACKGROUND: The present paper is an update of the practical guidelines for
radiotherapy of breast cancer published in 2006 by the breast cancer expert panel
of the German Society of Radiation Oncology (DEGRO) [34]. These recommendations
have been elaborated on the basis of the S3 guidelines of the German Cancer
Society that were revised in March 2007 by an interdisciplinary panel [18].
METHODS: The DEGRO expert panel performed a comprehensive survey of the
literature, comprising lately published meta-analyses, data from recent
randomized trials and guidelines of international breast cancer societies,
referring to the criteria of evidence- based medicine [25]. In addition to the
more general statements of the German Cancer Society, this paper emphasizes
specific radiotherapeutic aspects. It is focused on radiotherapy after breast
conserving surgery. Technique, targeting, and dose are described in detail.
RESULTS: Postoperative radiotherapy significantly reduces rates of local
recurrence. The more pronounced the achieved reduction is, the more substantially
it translates into improved survival. Four prevented local recurrences result in
one avoided breast cancer death. This effect is independent of age. An additional
boost provides a further absolute risk reduction for local recurrence
irrespective of age. Women > 50 years have a hazard ratio of 0.59 in favor of the
boost. For DCIS, local recurrence was 2.4% per patient year even in a subgroup
with favorable prognostic factors leading to premature closure of the respective
study due to ethical reasons. For partial-breast irradiation as a sole method of
radiotherapy, results are not yet mature enough to allow definite conclusions.
CONCLUSION: After breast-conserving surgery, whole-breast irradiation remains the
gold standard of treatment. The indication for boost irradiation should no longer
be restricted to women 10 cm), multiple asymptomatic SCAs, not associated with
von Hippel-Lindau disease, involving the entire pancreas. The patient has been
followed up for 3 years and remains asymptomatic. Tumor markers were within
normal ranges. On abdominal computed tomography (CT) and magnetic resonance
imaging (MRI), the lesions showed pathognomonic characteristics of SCAs, and
their uncommon dimensions and number remained stable over time. 18
Fluorodeoxyglucose positron emission tomography (PET) scan performed at 3-year
follow-up did not show hypermetabolic lesions.
PMID- 18040630
TI - Anomalous intrahepatic portal system in a patient with hilar bile duct cancer.
AB - We report a case of anomaly of the intrahepatic portal system in a 65-year-old
man with hilar bile duct cancer. Preoperatively, percutaneous transhepatic
portography demonstrated that there was a right posterior portal vein arising
from the main portal vein. In addition, a large portal branch originated from the
left portal vein and coursed toward the right hepatic lobe. Following portal
embolization of the right posterior branch, the patient underwent an extended
right hepatectomy with a caudate lobectomy. Intraoperatively, to the left at the
porta hepatis and then it first gave off the right anterior portal vein
originated from the left portal vein and coursed toward the right hepatic lobe
horizontally behind the gallbladder and then separated into superior and inferior
segmental branches to supply the right anterior segment of the liver. The
ramification of some major branches without malposition of the gallbladder or
round ligament was the important clinical feature of this anomaly.
PMID- 18040631
TI - Gallbladder torsion-induced emphysematous cholecystitis in a 16-year-old boy.
AB - The patient was a 16-year-old boy who had turned to the right rapidly as he
fielded a baseball that had come to him quickly. Two days after this event, which
occurred in July 2004, he was admitted to hospital with repeated vomiting and
increasing right hypochondralgia. Laboratory examination on admission showed
elevation of the white blood count and of serum C-reactive protein and total
bilirubin. Computed tomography on admission demonstrated an enlarged gallbladder
and a thickened wall without gallstones, and magnetic resonance imaging performed
1 day later showed air within the gallbladder wall. His symptoms worsened, with a
positive Murphy's sign, and emergency laparotomy was performed, with a diagnosis
of emphysematous cholecystitis. Intraoperatively, the gallbladder was dark red,
necrotic, distended, and enlarged. The cystic duct was attached only to the
mesentery, and the gallbladder was floating freely, with the neck of the
gallbladder having rotated 180 degrees counterclockwise, leading to a definitive
diagnosis of gallbladder torsion with emphysematous cholecystitis.
Cholecystectomy was performed, and analysis of bile showed Escherichia coli to be
the causative organism. Histopathologic examination revealed necrotized
cholecystitis. The patient is doing well 25 months after surgery, with an
uneventful postoperative course.
PMID- 18040632
TI - Arrogant misquotations from reference citations.
PMID- 18040633
TI - Current trends in bone grafting and the issue of banked bone allografts based on
the fourth nationwide survey of bone grafting status from 2000 to 2004.
AB - BACKGROUND: The Japanese Orthopaedic Association Committee on Tissue
Transplantation and Regenerative Medicine has conducted a nationwide survey of
the status of bone grafting in Japan every 5 years from 1985. We report here the
status of bone grafting from 2000 to 2004, show the trends in bone grafting from
1985 to 2004, and draw attention to the issues affecting banked bone allografts.
METHODS: Questionnaires devised by the Committee were sent to all educational and
training hospitals (2239 institutions) approved by the Japanese Orthopaedic
Association. RESULTS: Survey responses were obtained from 1263 institutions
(56%). Of these, 875 institutions performed tissue transplantation during this
period. A total of 163 564 tissue transplantations were performed, and 134 782
(82.4%) of them were bone grafts. Of the bone grafts, 76 015 (56.4%) were
autografts, 53 735 (40%) used a synthetic bone substitute, and 4886 (3.6%) were
banked bone allografts. The proportion of synthetic bone substitutes increased,
and the proportion of autografts decreased year by year. Synthetic bone
substitutes were most frequently used for replacement arthroplasty (31%). Fifty
percent of banked bone allografts were performed for joint disorders requiring
replacement arthroplasty. During this period, 271 institutions performed banked
bone allografts, with 210 preserving allografts in their own institutions. Donor
selection criteria, processing and preservation methods, and management of the
bone bank were not the same in all banks. CONCLUSIONS: Most bone grafts performed
in Japan during the four surveys were still autografts. However, the proportion
of autografts decreased, and the proportion of synthetic bone substitutes
increased. The number of synthetic bone substitutes and banked bone allografts
used for replacement arthroplasty increased significantly. However, the total
number of banked bone allografts reported in the fourth survey was still low.
Quality control of banked bone allografts and management of bone banks were not
satisfactory, although they were improved.
PMID- 18040634
TI - Japanese Orthopaedic Association Back Pain Evaluation Questionnaire. Part 2.
Verification of its reliability : The Subcommittee on Low Back Pain and Cervical
Myelopathy Evaluation of the Clinical Outcome Committee of the Japanese
Orthopaedic Association.
AB - BACKGROUND: The project to develop a new Japanese Orthopaedic Association (JOA)
score rating system for low back disorders, the JOA Back Pain Evaluation
Questionnaire (JOABPEQ), is currently in progress. Part 1 of the study selected
25 "candidate" items for use on the JOABPEQ. The purpose of this current Part 2
of the study was to verify the reliability of the questionnaire. METHODS: A total
of 161 patients with low-back disorders of any type participated in the study.
Each patient was interviewed twice at an interval of 2 weeks using the same
questionnaire. The reliability of the questionnaire was evaluated by determining
the extension of the kappa and weighted kappa coefficients. RESULTS: Both kappa
and weighted kappa were more than 0.50 for all but one item, which was 0.48. The
lower 95% confidence interval exceeded 0.4 in all but two items, which was 0.39.
This implied that the test-retest reliability of JOABPEQ was acceptable as a
measure of outcome. CONCLUSIONS: The tentative questionnaire of the JOABPEQ with
25 items was confirmed to be reliable enough to describe the quality of life of
patients who suffer low back disorders.
PMID- 18040635
TI - Imaging characteristics of deep-seated lipomatous tumors: intramuscular lipoma,
intermuscular lipoma, and lipoma-like liposarcoma.
AB - BACKGROUND: Lipoma-like liposarcomas mimic deep-seated lipomas in regard to
imaging as well as histological findings and occasionally cause problems
concerning diagnosis and treatment. The differences in the imaging findings among
these lesions are not well defined. The purpose of this study was to elucidate
the differences among the deep-seated adipocytic neoplasms including
intramuscular lipoma, intermuscular lipoma, and lipoma-like liposarcoma. METHODS:
The imaging and clinicopathological findings of 40 intramuscular lipomas, 27
intermuscular lipomas, and 22 lipoma-like liposarcomas were evaluated, and the
possibilities in the differential diagnosis were assessed. RESULTS: Although the
most frequent symptom was a palpable mass, swelling was a common symptom of
intramuscular lipomas and lipoma-like liposarcomas. Imaging studies revealed
dumbbell-shaped appearances among intermuscular lipomas, whereas spherical masses
were characteristic of intramuscular lipomas and lipoma-like liposarcomas.
Computed tomography and magnetic resonance imaging revealed fatty lesions
containing streaky structures in benign lesions, and CT revealed foci of hazy
amorphous density, representing spindle cell proliferation, in lipoma-like
liposarcoma. Although streaky structures corresponding to entrapped muscle fibers
were thick and occasionally interrupted in intramuscular lipomas, the streaky
structures corresponding to areolar fibrous tissue were thin and were usually not
interrupted in intermuscular lipomas. In lipoma-like well-differentiated
liposarcomas, thick streaks represented entrapped muscle fibers, and thin streaks
represented fibrous tissue or neoplastic spindle cell proliferation. CONCLUSIONS:
The imaging findings are helpful and often afford almost pathognomonic evidence
of these lesions and could help with the selection of appropriate surgery.
PMID- 18040636
TI - Pasteurized autograft-prosthesis composite for distal femoral osteosarcoma.
AB - BACKGROUND: We examined the survivorship and functional outcome of the
pasteurized autograft-prosthesis composite for distal femoral osteosarcoma. We
also evaluated complications including nonunion, loosening, bony resorption,
infection, and fracture. METHODS: We retrospectively reviewed 15 distal femoral
osteosarcoma patients who underwent reconstructions using pasteurized autograft
prosthesis composite between 1993 and 2003. RESULTS: No patient required graft
removal during a minimum follow-up of 35 months (average 56 months; range 35-78
months). The average Musculoskeletal Tumor Society System (MTSS) functional score
was 86%. Nonunion developed in five patients, and three of them subsequently
showed loosening of the stem. No patient experienced infection or fracture.
CONCLUSIONS: Our data suggest that the pasteurized autograft-prosthesis composite
could be an easily accessible alternative for the reconstruction of large
skeletal defects in the distal femur because of the satisfactory functional
outcome with a low rate of ultimate failure and complications in this study.
PMID- 18040637
TI - Gait analysis of patients in early stages after total hip arthroplasty: effect of
lateral trunk displacement on walking efficiency.
AB - BACKGROUND: Control of the mediolateral displacement of the center of gravity
(COG) is considered to be important for efficient walking. Few studies have been
published on the relation between walking efficiency and the lateral displacement
of the trunk, pelvis, or COG in patients soon after total hip arthroplasty (THA).
The present study was undertaken to examine the effects of lateral displacement
on walking efficiency after THA. METHODS: The subjects of this study were 15
women who had undergone unilateral THA 4 weeks before and 14 healthy women as
controls. Using a force plate and a three-dimensional motion analyzer, we
measured (1) gait speed, stride length, cadence; (2) lateral trunk displacement
(LTD) and lateral pelvis displacement (LPD); (3) lateral displacement of the
center of gravity; and (4) the total internal work done per unit mass and
distance walked (a negative index of walking efficiency) (WE(-1)). RESULTS:
Compared with healthy persons, THA patients showed significantly increased
amplitude of LTD and greater WE(-1) (P < 0.01). The WE(-1) value of the THA group
was 21.4% higher than that of the control group. The results suggest that the
patients need more energy to progress their body forward in a gait cycle,
indicating reduced walking efficiency. In THA patients, the stepwise multiple
regression analysis selected LTD as the sole significant variable affecting WE(
1) (R(2) = 0.72, P < 0.01). CONCLUSIONS: These results suggest that trunk
compensation strategy for hip abductor weakness in patients soon after THA can
lead to increased energy expenditure.
PMID- 18040638
TI - Osteogenic protein-1 with transforming growth factor-beta1: potent inducer of
chondrogenesis of synovial mesenchymal stem cells in vitro.
AB - BACKGROUND: Recently, cells derived from synovial mesenchymal stem cells (MSCs)
have been regarded as a potential source of cells to induce repair of articular
cartilage. To investigate more effective methods for promoting chondrogenesis, we
examined the effects of osteogenic protein (OP)-1 with or without transforming
growth factor-beta (TGFbeta1) on chondrogenesis of human MSCs in vitro. METHODS:
MSCs were isolated from the synovial membrane of patients with rheumatoid
arthritis undergoing knee replacement surgery. After expansion of the cells,
pellet cultures were performed in chondrogenic medium with OP-1 100-200 ng/ml,
TGFbeta1 10 ng/ml, or both agents for 3 or 6 weeks. Chondrogenesis was evaluated
histologically with safranin O staining, reverse transcription polymerase chain
reaction for aggrecan and type II collagen mRNA, and quantification of
glycosaminoglycan (GAG) content using a dimethylmethylene blue dye-binding assay.
GAG content was normalized by DNA content measured using Hoechst 33258 dye.
RESULTS: At 3 weeks of culture, mRNAs for type II collagen and aggrecan were
expressed by MSCs treated with either TGFbeta1 or OP-1; however, substantial
matrix production was not induced. At 6 weeks, OP-1 increased GAG accumulation
dose-dependently in the presence or absence of TGFbeta1, and the GAG content was
the highest after combined treatment with 200 ng OP-1 and TGFbeta1. Histological
staining for safranin O was poor after treatment with OP-1 or TGFbeta1 alone and
slightly increased after combined treatment with TGFbeta1 and OP-1 at 3 weeks. At
6 weeks, OP-1 increased the intensity of staining dose-dependently in the
presence or absence of TGFbeta1. However, the histological appearance of the
cells treated with OP-1 alone was similar to that of hypertrophic chondrocytes,
which was different from that of cells with combined treatment with OP-1 and
TGFbeta1. CONCLUSIONS: A high dose of OP-1 was useful for enhancing
chondrogenesis from synovium-derived MSCs in combined treatment with TGFbeta1.
PMID- 18040639
TI - Prevention of postoperative progression of pulmonary metastases in osteosarcoma
by antiangiogenic therapy using endostatin.
AB - BACKGROUND: We have previously offered data suggesting a positive linkage of
postoperative up-regulation of systemic angiogenic activity and postoperative
progression of pulmonary metastasis in osteosarcoma. The finding that the
significant down-regulation of endostatin was critical in angiogenic elevation
after primary tumor removal suggests that endostatin is a candidate for
antiangiogenic therapy for osteosarcoma. METHODS: In the current study, we
evaluated the effect of antiangiogenic therapy using endostatin on postoperative
progression of pulmonary metastasis from osteosarcoma. Mouse osteosarcoma cell
line LM 8 cells were inoculated in subcutaneous layer of nude mice. Two weeks
after tumor inoculation, the primary tumor was removed surgically, and
antiangiogenic therapy using adenovirus encoding endostatin expression vector
(Ad5CMV-mEnd) was performed. Two weeks after the antiangiogenic treatment,
pulmonary metastasis was evaluated by counting the number of metastatic nodules.
The evaluation of systemic angiogenic activity was performed using Matrigel plug
assay. RESULTS: Two weeks after the viral injection, mice were sacrificed, and
the macroscopic pulmonary metastases were counted. Notably, the number of
pulmonary metastases was smaller in the mice injected with Ad5CMV-mEnd than in
controls, accompanied by significant suppression of systemic angiogenic activity.
In addition, the sizes of the pulmonary metastases of the mice injected with
Ad5CMV-mEnd were smaller than in the control group. CONCLUSIONS: Our results
indicate that antiangiogenic therapy using endostatin has the potential to
prevent postoperative progression of pulmonary metastasis from osteosarcoma.
Although this therapeutic strategy cannot provide a cure for osteosarcoma, it
should enable osteosarcoma patients to coexist with dormant pulmonary metastasis
and lead to improvement of their prognosis.
PMID- 18040641
TI - Effect of lymphedema on the recovery of fractures.
AB - BACKGROUND: Lymphedema delays the healing of any wound by negatively affecting
its inflammatory period. Whether it affects bone healing in a similar negative
manner is unknown. Therefore, we experimentally investigated the effect of
lymphedema on fracture recovery. METHODS: We used thirty 200- to 250-g Sprague
Dawley rats for the experiment. The rats were randomly divided into two groups of
15 rats each for the experimental lymphedema and control groups. Lymphedema
development was confirmed by measuring the circumference and diameter of the
extremities together with lymphoscintigraphy. Twenty days after the development
of lymphedema, a fracture model was created in both groups in the right tibia
with mid-diaphyseal osteotomy and fixing with an intramedullary Kirschner wire.
After 6 weeks, all rats were sacrificed and the callus tissue that formed along
the osteotomy was compared between groups with respect to radiographic,
histological, and biomechanical characteristics. RESULTS: The three-point bending
test yielded an average stiffness value of 1227 N/mm (n = 6) in the control group
and 284 N/mm (n = 7) in the experimental lymphedema group (P < 0.05). At the end
of week 6, radiographic evaluation showed that solid knitting was obtained in the
control group, whereas in the lymphedema group delayed or no knitting was
observed. In the control group, histological investigation revealed normal callus
morphology. Trabecular bone was normal and osteoblast and osteoclast activity was
clearly evident. The bone was stained homogeneously with hematoxylin and eosin,
and ossification was within normal limits. In the lymphedema group, however, the
histological appearance was mostly that of scar tissue. In addition, osteoblast
and osteoclast activity was much less visible or absent. CONCLUSIONS: Lymphedema
negatively affected bone healing in rats. However, the mechanism of this negative
effect and its occurrence in humans are still unknown. Further experimental and
clinical studies are needed to support and extend our findings.
PMID- 18040640
TI - Effect of etidronate on COX-2 expression and PGE(2) production in macrophage-like
RAW 264.7 cells stimulated by titanium particles.
AB - BACKGROUND: The most common failure of total joint replacement is aseptic
loosening in association with osteolysis. Previous reports have shown that
prostaglandin E(2) (PGE(2)) secreted from macrophages that phagocytosed wear
debris induced periprosthetic osteolysis. Many clinical studies have reported
that bisphosphonate therapy reduced periprosthetic bone loss and loosening of the
implants after total joint replacements. Bisphosphonates are synthetic compounds
with the ability to decrease bone resorption. In addition, some bisphosphonates
have been reported to have anti-inflammatory effects by reducing the secretion of
pro-inflammatory cytokines. However, the mechanism of bisphosphonates that
reduces periprosthetic bone resorption remains unclear. The purpose of this study
was to investigate one of the mechanisms by which etidronate (EHDP) inhibits
periprosthetic bone resorption. METHODS: Macrophage-like RAW 264.7 cells were
treated with EHDP at concentrations of 0.001, 0.01, 0.1, 1, 10, and 100 microM
together with the titanium particles at a concentration of 1 mg/ml. After a 24-h
culture period, total mRNA was isolated and reverse transcription-polymerase
chain reaction (RT-PCR) was done to examine the expression of cyclooxygenase-2
(COX-2). The supernatants were also collected and production of PGE(2),
interleukin-1beta (IL-1beta), interleukin-6 (IL-6), and tumor necrosis factor
alpha (TNF-alpha) were quantified using an enzyme-linked immunosorbent assay
(ELISA). RESULTS: Analyses showed that COX-2 expression and PGE(2) production
were suppressed by EHDP in a dose-dependent manner. By 100 microM of EHDP, PGE(2)
production of the cells was suppressed approximately to the level of the
nonstimulated cells. Production of IL-1beta, IL-6, and TNF-alpha in the
supernatant was also suppressed by EHDP. CONCLUSIONS: The blockage effect of pro
inflammatory cytokines is a possible etidronate mechanism that reduces bone
resorption around implants.
PMID- 18040642
TI - Successful treatment of nonclostridial gas gangrene extending from
retroperitoneum to thigh associated with occult cecal cancer.
PMID- 18040643
TI - Exceedingly large femoral condyle intraosseous ganglion cyst following high
tibial osteotomy.
PMID- 18040644
TI - Juxta-facet hematoma.
PMID- 18040645
TI - Imaging characteristics of calcified leiomyoma of deep soft tissue.
PMID- 18040646
TI - Solitary bone metastasis as the first clinical manifestation in a patient with
small bowel adenocarcinoma.
PMID- 18040647
TI - Effects on kidney disease, fertility and development in mice inheriting a protein
truncating Denys-Drash syndrome allele (Wt1tmT396).
AB - Denys-Drash syndrome (DDS) is caused by heterozygous mutations of the Wilms'
tumour suppressor gene, WT1, characterised by early-onset diffuse mesangial
sclerosis often associated with male pseudohermaphroditism and/or Wilms'
tumourigenesis. Previously, we reported that the Wt1tmT396 allele induces DDS
kidney disease in mice. In the present study heterozygotes (Wt1tmT396/+) were
generated on inbred (129/Ola), crossbred (B6/129) and MF1 second backcross (MF1
N2) backgrounds. Whereas male heterozygotes on each background were fertile,
inbred heterozygous females were infertile. Kidney disease (proteinuria and
sclerosis) was not congenital and developed significantly earlier in inbred mice,
although with variable onset. Disease onset in MF1-N2 stocks occurred later in
Wt1tmT396/+ mice than reported previously for Wt1R394W/+ mice, and while no
kidney disease has been reported in B6/129 Wt1+/- mice, B6/129 Wt1tmT396/+ mice
were affected. Offspring of both male and female B6/129 and MF1-N2 Wt1tmT396/+
mice developed kidney disease, but its incidence was significantly higher in
offspring of female heterozygotes. Wt1tmT396/tmT396 embryos exhibited identical
developmental abnormalities to those reported for Wt1-/- embryos. The results
indicate that the Wt1 (tmT396) allele does not predispose to Wilms'
tumourigenesis or male pseudohermaphroditism, its effect on kidney disease and
female fertility depends on genetic background, stochastic factors may affect
disease onset, and disease transmission is subject to a partial parent-of-origin
effect. Since the Wt1tmT396 allele has no detectable intrinsic functional
activity in vivo, and kidney disease progression is affected by the type of Wt1
mutation, the data support the view that DDS nephropathy results from a dominant
negative action rather than WT1 haploinsufficiency or gain-of-function.
PMID- 18040648
TI - [Complex fracture of the proximal humerus in the elderly. Locking plate
osteosynthesis vs hemiarthroplasty].
AB - BACKGROUND: The purpose of the present study was a direct comparison of fixed
angle plate fixation (FAPF) and shoulder hemiarthroplasty (SHA) for complex
fractures of the proximal humerus in the elderly. PATIENTS AND METHODS: A single
institution case series of 52 consecutive geriatric patients (age > or =70 years)
treated with FAPF for three- and four-part fractures of the proximal humerus
between 2003 and 2005 was analysed 1 year after surgery. The analysis included
Constant Score (CS), Oxford Shoulder Score (OSS), and radiological evaluation.
Outcome was compared with a similar historic group of patients (n=59) who
received SHA in an earlier period (1995--1997). RESULTS: The patient groups
showed no differences in age, gender, or fracture type. Median CS was
significantly better for FAPF (71 vs 41). Evaluation of pain demonstrated no
differences between the two treatment modalities in the OSS. Revision surgery was
performed more often in the FAPF group (25% vs 2%). CONCLUSION: Compared to SHA,
functional outcome was superior with FAPF. However, this was associated with a
higher rate of revision surgery. Most patients were still able to live
independently in their original environment, regardless of the type of surgery.
PMID- 18040649
TI - [Hepaticolithiasis. Rare benign disease of the intrahepatic bile ducts].
AB - Hepaticolithiasis is a rare disease of the intrahepatic bile ducts endemic to
east Asia which also is known as oriental cholangiohepatitis. Beside strictures
and metabolic disturbance, the main cause of intrahepatic stones is acquired or
congenital malformation of the intrahepatic biliary ducts. In the following we
show a case of hepaticolithiasis and concurrent pancreatic metaplasia.
PMID- 18040651
TI - [An infant with pustules an both soles].
PMID- 18040650
TI - [Genital herpes and HSV transmission in HIV patients].
AB - There is a bidirectional relationship between HSV-2 and HIV-1, both at the
cellular level, as well as regarding transmission and even progression of HIV-1
infection. There is clear evidence that anti-herpetic therapy reduces the plasma
and mucosal HIV-1 viral load, as well as transmission. Serologic testing for HSV
2 in patients with an increased HIV-1 risk, coupled with a better understanding
of the interaction between the viruses and appropriate anti-herpetic suppression
therapy could both reduce the HIV-1 transmission rate and help the individual
with an HIV infection.
PMID- 18040652
TI - [Bilateral enlargement of the parotid glands in an HIV-positive patient].
PMID- 18040653
TI - [New writing direction for the journal HNO].
PMID- 18040654
TI - Hypoxia and chronic lung disease.
AB - The lung is both the conduit for oxygen uptake and is also affected by hypoxia
and hypoxia signaling. Decreased ventilatory drive, airway obstructive processes,
intra-alveolar exudates, septal thickening by edema, inflammation, fibrosis, or
damage to alveolar capillaries will all interpose a significant and potentially
life-threatening barrier to proper oxygenation, therefore enhancing the
alveolar/arterial pO2 gradient. These processes result in decreased blood and
tissue oxygenation. This review addresses the relationship of hypoxia with lung
development and with lung diseases. We particularly focus on molecular mechanisms
underlying hypoxia-driven physiological and pathophysiological lung processes,
specifically in the infant lung, pulmonary hypertension, and chronic obstructive
pulmonary disease.
PMID- 18040655
TI - [Suspected Alzheimer's disease. Selection of outpatients for neuropsychological
assessment].
AB - BACKGROUND: Incipient Alzheimer's disease (AD) is frequently suspected by
neurologists and psychiatrists, but diagnosis is difficult to establish. The aim
of this report was to analyse to what extent suspicion is confirmed by a
comprehensive neuropsychological examination intended to distinguish different
types of dementia. METHODS: Descriptive data analysis was used for investigating
the differential diagnoses of 47 outpatients with suspected AD referred to a
department of neuropsychology by physicians in private practice. Data analysis
was based upon the NINCDS-ADRDA diagnostic criteria of AD. RESULTS: Only 38% of
the outpatients examined with suspected AD met the NINCDS-ADRDA diagnostic
criteria for AD or mixed dementia from a neuropsychological point of view,
whereas 22% met criteria for other types of dementia. The remaining patients met
criteria for distinct differential diagnoses (23%) or lacked pathological
findings in neuropsychological functions (17%). CONCLUSIONS: Neuropsychology is
an essential part in the differential diagnosis of mild to moderate dementias. It
can aid in differential therapeutic considerations concerning the treatment of
dementia, for example in selecting appropriate treatments or avoiding expensive
but inappropriate ones.
PMID- 18040656
TI - FT genome A and D polymorphisms are associated with the variation of earliness
components in hexaploid wheat.
AB - The transition from vegetative to floral meristems in higher plants is determined
by the coincidence of internal and environmental signals. Contrary to the
photoperiod pathway, convergent evolution of the cold-dependent pathway has
implicated different genes between dicots and monocots. Whereas no association
between natural variation in vernalization requirement and Flowering time locus T
(FT) gene polymorphism has been described in Arabidopsis, recent studies in
Triticeae suggest implication of orthologous copies of FT in the cold response.
In our study, we show that nucleotide polymorphisms on A and D copies of the
wheat FT gene were associated with variations for heading date in a collection of
239 lines representing diverse geographical origins and status (landraces, old or
recent cultivars). Interestingly, polymorphisms in the non-coding intronic region
were strongly associated to flowering variation observed on plants grown without
vernalization. But differently from VRN1, no epistatic interaction between FT
homeologous copies was revealed. In agreement with the results of association
study, the A and D copies of FT were mapped in regions including major QTLs for
earliness traits in hexaploid wheat. This work, by identifying additional
homeoalleles involved in wheat vernalization pathway, will contribute to a better
understanding of the control of flowering, hence providing tools for the breeding
of varieties with enhanced adaptation to changing environments.
PMID- 18040657
TI - Structures of the three homoeologous loci of wheat benzoxazinone biosynthetic
genes TaBx3 and TaBx4 and characterization of their promoter sequences.
AB - Common wheat (2n=6x=42, genome formula AABBDD) accumulates benzoxazinones (Bxs)
as defensive compounds. There are five Bx biosynthetic genes (TaBx1-TaBx5), and
their homoeologous alleles are located on all three homoeologous chromosomes of
the A, B and D genomes. Here the molecular structures of the TaBx3 and TaBx4
loci, both of which are located on chromosomes 5A, 5B and 5D, were revealed by
sequencing transformation-competent artificial chromosome (TAC) clones. In all
homoeologous chromosomes, TaBx3 existed downstream of TaBx4 in a tail-to-head
manner, and the two genes were separated from each other by 9.0 kb in 5A, 7.3 kb
in 5B and 11.3 kb in 5D. Among the three homoeologs of TaBx3 and TaBx4, the
promoter sequences were less conserved than the coding sequences. The promoter
sequences of TaBx3 and TaBx4 were highly similar to those of their respective
orthologs in the diploid progenitors of common wheat, but were not similar to
those of the maize orthologs. Sequence similarity was found between the TaBx3 and
TaBx4 coding sequences, but not between their promoter sequences despite their
similar transcription pattern at the seedling stage. Some putative cis-elements
were found to be shared by all TaBx3 and TaBx4 promoter regions. These results
imply that stage-specific transcription of TaBx3 and TaBx4 is not controlled by
global sequence similarity of their promoters but by some essential cis-elements.
The promoter activity measured by transient assays in wheat protoplasts was
similar among the three homoeologs of TaBx3 and TaBx4 in spite of their
differential transcript levels in wheat seedlings.
PMID- 18040658
TI - Genetic mapping of clubroot resistance genes in oilseed rape.
AB - Clubroot caused by the obligate biotrophic protist Plasmodiophora brassicae is a
major disease of Brassica species. Clubroot resistances introduced from B.
oleracea var. 'Bohmerwaldkohl' and resistance from B. rapa ECD-04 were
genetically mapped in oilseed rape (B. napus L.). A doubled haploid (DH)
population of rape seed was developed by crossing a resistant DH-line derived
from a resynthesized B. napus with the susceptible cultivar 'Express'. The DH
population was tested in the greenhouse against seven P. brassicae isolates
showing low and high virulence toward B. oleracea or/and B. rapa. DH-lines with
highest or lowest disease scores were used in a bulked segregant analysis (BSA),
and 43 polymorphic AFLPs were identified. A genetic map of the whole genome was
constructed using 338 AFLP and 156 anchored SSR markers. Nineteen QTL were
detected on chromosomes N02, N03, N08, N13, N15, N16 and N19 giving resistance to
seven different isolates. Race-specific effects were observed for all QTL, none
of the QTL conferred resistance to all isolates. The phenotypic variance
explained by the respective QTL ranged between 10.3 and 67.5%. All QTL could be
assigned to both ancestral genomes of B. napus. In contrast to previous reports,
a clear differentiation into major QTL from B. rapa and minor QTL from B.
oleracea could not be found. Composite interval mapping confirmed the linkage
relationships determined by BSA, thus demonstrating that markers for oligogenic
traits can be selected by merely testing the distributional extremes of a
segregating population.
PMID- 18040659
TI - Replication of the association between variants in WFS1 and risk of type 2
diabetes in European populations.
AB - AIMS/HYPOTHESIS: Mutations at the gene encoding wolframin (WFS1) cause Wolfram
syndrome, a rare neurological condition. Associations between single nucleotide
polymorphisms (SNPs) at WFS1 and type 2 diabetes have recently been reported.
Thus, our aim was to replicate those associations in a northern Swedish case
control study of type 2 diabetes. We also performed a meta-analysis of published
and previously unpublished data from Sweden, Finland and France, to obtain
updated summary effect estimates. METHODS: Four WFS1 SNPs (rs10010131, rs6446482,
rs752854 and rs734312 [H611R]) were genotyped in a type 2 diabetes case-control
study (n = 1,296/1,412) of Swedish adults. Logistic regression was used to assess
the association between each WFS1 SNP and type 2 diabetes, following adjustment
for age, sex and BMI. We then performed a meta-analysis of 11 studies of type 2
diabetes, comprising up to 14,139 patients and 16,109 controls, to obtain a
summary effect estimate for the WFS1 variants. RESULTS: In the northern Swedish
study, the minor allele at rs752854 was associated with reduced type 2 diabetes
risk [odds ratio (OR) 0.85, 95% CI 0.75-0.96, p=0.010]. Borderline statistical
associations were observed for the remaining SNPs. The meta-analysis of the four
independent replication studies for SNP rs10010131 and correlated variants showed
evidence for statistical association (OR 0.87, 95% CI 0.82-0.93, p=4.5 x 10(-5)).
In an updated meta-analysis of all 11 studies, strong evidence of statistical
association was also observed (OR 0.89, 95% CI 0.86-0.92; p=4.9 x 10(-11)).
CONCLUSIONS/INTERPRETATION: In this study of WFS1 variants and type 2 diabetes
risk, we have replicated the previously reported associations between SNPs at
this locus and the risk of type 2 diabetes.
PMID- 18040660
TI - Duration of breast-feeding and the incidence of type 2 diabetes mellitus in the
Shanghai Women's Health Study.
AB - AIMS/HYPOTHESIS: The aim of this study was to examine the association between
lifetime breast-feeding and the incidence of type 2 diabetes mellitus in a large
population-based cohort study of middle-aged women. METHODS: This was a
prospective study of 62,095 middle-aged parous women in Shanghai, China, who had
no prior history of type 2 diabetes mellitus, cancer or cardiovascular disease at
study recruitment. Breast-feeding history, dietary intake, physical activity and
anthropometric measurements were assessed by in-person interviews. The Cox
regression model was employed to evaluate the association between breast-feeding
and the risk of type 2 diabetes mellitus. RESULTS: After 4.6 years of follow-up,
1,561 women were diagnosed with type 2 diabetes mellitus. Women who had breastfed
their children tended to have a lower risk of diabetes mellitus than those who
had never breastfed [relative risk (RR)=0.88; 95% CI, 0.76-1.02; p=0.08].
Increasing duration of breast-feeding was associated with a reduced risk of type
2 diabetes mellitus. The fully adjusted RRs for lifetime breast-feeding duration
were 1.00, 0.88, 0.89, 0.88, 0.75 and 0.68 (p trend=0.01) for 0, >0 to 0.99,
>0.99 to 1.99, >1.99 to 2.99, >2.99 to 3.99 and >or=4 years in analyses adjusted
for age, daily energy intake, BMI, WHR, smoking, alcohol intake, physical
activity, occupation, income level, education level, number of live births and
presence of hypertension at baseline. CONCLUSIONS/INTERPRETATION: Breast-feeding
may protect parous women from developing type 2 diabetes mellitus later in life.
PMID- 18040661
TI - Mean blood glucose compared with HbA1c in the prediction of cardiovascular
disease in patients with type 1 diabetes.
AB - AIMS/HYPOTHESIS: It is not known whether mean blood glucose (MBG) predicts the
risk of macrovascular complications in diabetes any differently from HbA1c. In
this study we therefore analysed data from the Diabetes Control and Complications
Trial (DCCT) to assess the relationship between MBG, HbA1c and glucose
variability with regard to the risk of cardiovascular disease in patients with
type 1 diabetes. METHODS: Pre- and postprandial seven-point glucose profiles were
collected quarterly during the DCCT in 1441 individuals. The relationship between
time to first cardiovascular event and MBG, HbA1c and daily SD of blood glucose
was assessed by Cox regression after adjusting for the known risk factors of
macrovascular disease and the treatment groups of the patients. RESULTS: Cox
regression showed MBG to be predictive of a cardiovascular event (p=0.019), but
not HbA1c (p=0.858). A rise of 1 mmol/l in MBG was associated with an 11% rise in
cardiovascular risk. MBG remained highly predictive (p=0.015) even after
adjustment for HbA1c values and glucose variability. CONCLUSIONS/INTERPRETATION:
This study has shown that during the DCCT MBG was a better predictor of the
macrovascular complications of type 1 diabetes than HbA1c. It indicates that the
cardiovascular risk associated with hyperglycaemia appeared within the time
period of the study and that blood glucose rather than HbA1c may be the preferred
means of assessing this risk.
PMID- 18040662
TI - Insulin therapy in the intensive care unit should be targeted to maintain blood
glucose between 4.4 mmol/l and 6.1 mmol/l.
PMID- 18040663
TI - Intensive insulin therapy improves endothelial function and microvascular
reactivity in young people with type 1 diabetes.
AB - AIMS/HYPOTHESIS: Macrovascular disease is an important cause of the increased
morbidity and mortality rates associated with type 1 diabetes, and this vascular
impairment begins in childhood. The aim of this study was to determine whether
introducing intensive diabetes management [intensive insulin therapy (IIT) and
'Sweet Talk' text-messaging support] produces measurable improvements in
endothelial function. METHODS: One hundred and twenty-six patients fulfilled the
eligibility criteria (type 1 diabetes for >1 year; on conventional insulin
therapy (CIT); aged between 8 and 18 years), of whom 92 enrolled. Patients were
randomised to group 1, CIT only (n=28); group 2, CIT and Sweet Talk (n=33); or
group 3, IIT and Sweet Talk (n=31). Vascular assessments (including measures of
endothelial damage, activation, dysfunction and oxidative stress) and HbA1c were
performed at baseline and repeated after 12 months of the study. RESULTS:
Glycaemic control deteriorated in patients on CIT, but improved significantly in
patients allocated to IIT (p=0.007). IIT was associated with significantly
greater improvements in E-selectin (p<0.0001) than CIT (group 1, p=0.026 and
group 2, p=0.053). Vascular responses to acetylcholine improved in patients on
IIT (p=0.017), but not in patients receiving CIT. These changes were all
independent of HbA1c level. CONCLUSIONS/INTERPRETATION: IIT appears to be
associated with improvements in vascular markers, independently of changes in
HbA1c, suggesting that IIT may confer vascular protection in addition to
improving glycaemic control.
PMID- 18040664
TI - Optimising islet engraftment is critical for successful clinical islet
transplantation.
AB - Clinical islet transplantation is currently being explored as a treatment for
persons with type 1 diabetes and hypoglycaemia unawareness. Although 'proof-of
principle' has been established in recent clinical studies, the procedure suffers
from low efficacy. At the time of transplantation, the isolated islets are
allowed to embolise the liver after injection in the portal vein, a procedure
that is unique in the area of transplantation. A novel view on the engraftment of
intraportally transplanted islets is presented that could explain the low
efficacy of the procedure.
PMID- 18040665
TI - Interactions between ethanol and cocaine, amphetamine, or MDMA in the rat:
thermoregulatory and locomotor effects.
AB - RATIONALE: (+/-)-3,4-methylenedioxymethamphetamine (MDMA, ecstasy) is often taken
recreationally with ethanol (EtOH). In rats, EtOH may potentiate MDMA-induced
hyperactivity, but attenuate hyperthermia. OBJECTIVE: Experiment 1 compared the
interactions between EtOH (1.5 g/kg) and MDMA (6.6 mg/kg) with EtOH + cocaine
(COCA; 10 mg/kg) and EtOH + amphetamine (AMPH; 1 mg/kg) on locomotor activity and
thermoregulation. Experiment 2 used a weaker dose of MDMA (3.3 mg/kg) and larger
doses of COCA (20 mg/kg) and AMPH (2 mg/kg). MATERIALS AND METHODS: Drug
treatments were administered on four occasions (2, 5, and 2 days apart,
respectively; experiment 1) or two (2 days apart; experiment 2). RESULTS: All
psychostimulants increased activity, and EtOH markedly increased the effect of
MDMA. AMPH alone-related hyperactivity showed modest sensitization across
treatment days, while MDMA + EtOH activity showed marked sensitization. AMPH,
COCA, and MDMA induced hyperthermia of comparable amplitude (+1 to +1.5 degrees
C). Co-treatment with EtOH and AMPH (1 mg/kg) or COCA (10 mg/kg) produced
hypothermia greater than that produced by EtOH alone. Conversely, EtOH attenuated
MDMA-related hyperthermia, an effect increasing across treatment days. These
results demonstrate that the interaction between MDMA and EtOH may be different
from the interaction between EtOH and AMPH or COCA. CONCLUSION: Because of
potential health-related consequences of such polydrug misuse, it is worth
identifying the mechanisms underlying these interactions, especially between EtOH
and MDMA. Given the different affinity profiles of the three drugs for serotonin,
dopamine, and norepinephrine transporters, our results appear compatible with the
possibility of an important role of serotonin in at least the EtOH-induced
potentiation of MDMA-induced hyperlocomotion.
PMID- 18040667
TI - Retention characteristics of a new butylimidazolium-based stationary phase. Part
II: anion exchange and partitioning.
AB - A surface-confined ionic liquid (SCIL) and a commercial quaternary amine silica
based stationary phase were characterized employing the linear solvation energy
relationship (LSER) method in binary methanol/water mobile phases. The retention
properties of the stationary phases were evaluated in terms of intermolecular
interactions between 28 test solutes and the stationary phases. The comparison
reveals a difference in the hydrophobic and hydrogen bond acceptance interaction
properties between the two phases. The anion exchange retention mechanism of the
SCIL phase was demonstrated using nucleotides. The utility of the SCIL phase in
predicting logk (IL/water) values by chromatographic methods is also discussed.
PMID- 18040666
TI - Age differences in the spontaneous acquisition of nicotine self-administration in
male Wistar and Long-Evans rats.
AB - RATIONALE: Epidemiological evidence suggests that adolescents may exhibit a
unique susceptibility to the motivational effects of nicotine compared to adults.
In contrast to the hypothesis of an enhanced vulnerability to nicotine during
adolescence, we have observed that nicotine is less reinforcing in adolescent
compared to adult rats using a progressive ratio reinforcement schedule in an
operant self-administration procedure, although prior operant conditioning
experience may have masked differences in initial sensitivity to nicotine.
OBJECTIVES: This study examined the spontaneous acquisition of nicotine self
administration in adolescent (postnatal day (PD) 31) and adult (PD87) male Wistar
and Long-Evans rats. MATERIALS AND METHODS: Rats self-administered nicotine
(0.015 or 0.03 mg/kg/infusion, i.v.) during 2-h operant conditioning sessions
under fixed-ratio-1 (FR1) and FR3 reinforcement schedules for six sessions each.
A subset of rats (adolescents: PD42, adults: PD98) underwent extinction of
responding and nicotine priming-induced reinstatement (0.15 mg/kg, s.c.). In a
separate group of rats, saccharin self-administration (0.1 ml of 0.2% w/v) was
tested to determine the specificity of our findings with nicotine. RESULTS: A
greater proportion of adult compared to adolescent rats acquired self
administration of 0.015 mg/kg/infusion nicotine, but both age groups readily
acquired self-administration of 0.03 mg/kg/infusion nicotine and saccharin. Age
differences in extinction of responding for nicotine or saccharin depended upon
strain, but priming-induced reinstatement was similar across age and strain.
CONCLUSIONS: The current findings are consistent with those obtained under a more
demanding progressive ratio reinforcement schedule and suggest that adolescents,
compared to adults, may not be as sensitive to the reinforcing effects of
nicotine.
PMID- 18040668
TI - Effects of medial olivocochlear efferent stimulation on the activity of neurons
in the auditory midbrain.
AB - Medial olivocochlear (MOC) efferents are known to suppress spontaneous activity
and sound-evoked responses of primary afferents by their actions on outer hair
cells in the cochlea. This study investigated the effects of MOC activation on
the responses of single neurons in the central nucleus of the inferior colliculus
(CNIC) of anaesthetized guinea pigs. Extracellular responses of CNIC neurons to
contralateral tones were recorded with and without MOC stimulation in normal
animals and in animals acutely treated with gentamicin to eliminate peripheral
effects of MOC activation. In normal animals, input-output functions of CNIC
neurons showed a variety of changes. Some effects resembled qualitatively those
reported for primary afferents. However, other effects were also observed,
including an increase of firing rates at medium- to high-tone levels and in a
small number of neurons (10%), an increase in spontaneous activity. In addition,
larger threshold shifts and larger reductions of spontaneous firing rates were
observed as compared to effects seen in the periphery. In gentamicin-treated
animals, activation of MOC efferents did not produce any changes in the input
output functions or spontaneous activity of CNIC neurons. This observation is
consistent with the majority of MOC-induced changes in monaural responses in the
CNIC being mediated by the actions of MOC terminals in the cochlea and resulting
from the interplay between altered afferent input and central circuitry.
PMID- 18040670
TI - Changes in cytochrome P450 side chain cleavage expression in the rat hippocampus
after kainate injury.
AB - Our previous study showed an increase in total cholesterol level of the
hippocampus after kainate-induced injury, but whether this is further metabolized
to neurosteroids is not known. The first step in neurosteroid biosynthesis is the
conversion of cholesterol to pregnenolone by the enzyme cytochrome P450 side
chain cleavage (P450scc). This study was carried out to elucidate the expression
of this enzyme in the kainate-lesioned rat hippocampus. A net decrease in P450scc
protein was detected in hippocampal homogenates by Western blots at 2 weeks post
kainate injection (time of peak cholesterol concentration after kainate injury).
Immunohistochemistry showed decreased labeling of the enzyme in neurons, but
increased expression in a small number of astrocytes. The level of pregnenolone
was also analyzed using a newly developed gas chromatography-mass spectrometry
(GC-MS) method, optimized for the rat hippocampus. A non-significant tendency to
a decrease in pregnenolone level was detected 2 weeks post-lesion. This is in
contrast to a large increase in oxysterols in the lesioned hippocampus at this
time (He et al. 2006). Together, they indicate that increased cholesterol in the
kainate lesioned hippocampus is mostly metabolized to oxysterols, and not
neurosteroids.
PMID- 18040669
TI - Adenosine receptor ligands protect against a combination of apoptotic and
necrotic cell death in cerebellar granule neurons.
AB - Agonists at A(1) receptors and antagonists at A(2A) receptors are known to be
neuroprotective against excitotoxicity. We set out to clarify the mechanisms
involved by studying interactions between adenosine receptor ligands and
endogenous glutamate in cultures of rat cerebellar granule neurons (CGNs).
Glutamate and the selective agonist N-methyl-D: -aspartate (NMDA), applied to
CGNs at 9 div (days in vitro), both induced cell death in a concentration
dependent manner, which was attenuated by treatment with the NMDA receptor
antagonists dizocilpine, D: -2-amino-5-phosphono-pentanoic acid (D: -AP5) or
kynurenic acid (KYA), but not by the non-NMDA receptor antagonist 6-cyano-7
nitroquinoxaline-2,3-dione (CNQX). Glutamate toxicity was reduced in the presence
of all of the following: cyclosporin A (CsA), a blocker of the membrane
permeability transition pore, the caspase-3 inhibitor, benzyloxycarbonyl-Asp(OMe)
Glu(OMe)-Val-Asp(OMe)-fluoromethylketone (Z-DEVD-fmk), the poly (ADP-ribose)
polymerase (PARP-1) inhibitor 3,4-dihydro-5-[4-(1-piperidinyl)butoxyl]-1(2H)
isoquinolinone (DPQ), and nicotinamide. This is indicative of involvement of both
apoptotic and necrotic processes. The A(1) receptor agonist, N (6)
cyclopentyladenosine (CPA), and the A(2A) receptor antagonist 4-(2-[7-amino-2-[2
furyl][1,2,4]triazolo[2,3-a][1,3,5]triazo-5-yl-amino]ethyl)phenol (ZM241385)
afforded significant protection, while the A(1) receptor blocker 8-cyclopentyl
1,3-dipropylxanthine (DPCPX) and the A(2A) receptor agonist 2-p-(2
carboxyethyl)phenethylamino-5'-N-ethylcarboxyamidoadenosine (CGS21680) had no
effect. These results confirm that glutamate-induced neurotoxicity in CGNs is
mainly via the NMDA receptor, but show that a form of cell death which exhibits
aspects of both apoptosis and necrosis is involved. The protective activity of
A(1) receptor activation or A(2A) receptor blockade occurs against this mixed
profile of cell death, and appears not to involve the selective inhibition of
classical apoptotic or necrotic cascades.
PMID- 18040671
TI - The effect of age on task-related modulation of interhemispheric balance.
AB - Normal aging is associated with less lateralised task-related activation of the
primary motor cortices. It has been hypothesized, but not tested, that this
phenomenon is mediated transcallosaly. We have used Transcranial Magnetic
Stimulation to look for age-related changes in interhemispheric inhibition (IHI).
Thirty healthy individuals (aged 19-78 years) were studied using a paired-pulse
protocol at rest and during a low-strength isometric contraction with the right
hand. The IHI targeting the right motor cortex was assessed at two intervals, 10
ms (IHI10) and 40 ms (IHI40). The corticospinal excitability of the left
hemisphere was assessed by means of input-output curves constructed during
voluntary construction. Age was not correlated with IHI10 or IHI40 at rest.
During muscle contraction IHI tended to increase at both intervals. However, this
increase in IHI during the active condition (changeIHI) was less evident with
advancing age for the 40 ms interval (r = 0.444, P = 0.02); in fact a degree of
disinhibition was often present. There was no correlation between age and
changeIHI10. Age was negatively correlated with the area under the recruitment
curve (r = -0.585, P = 0.001) and the size of the maximum MEP collected (r =
0.485, P = 0.007). ChangeIHI and measures of corticospinal excitability were not
intercorrelated. In conclusion, task-related increases in interhemispheric
inhibition seem to diminish with advancing age. This phenomenon is specific for
long-latency IHI and may underlie the age-related bihemispheric activation seen
in functional imaging studies. The mechanism underlying changes in IHI with
advancing age and the association with changes in corticospinal excitability need
further investigation.
PMID- 18040672
TI - Mutual pharmacokinetic interactions between steady-state bosentan and sildenafil.
AB - OBJECTIVE: The aim of this study was to systematically investigate the mutual
pharmacokinetic interactions in healthy volunteers between sildenafil, a
phosphodiesterase-5 inhibitor, and bosentan, a dual endothelin receptor
antagonist, both approved for treating pulmonary arterial hypertension (PAH).
METHODS: A randomised, double-blind, placebo-controlled, parallel-group study
with three treatment arms (sildenafil plus placebo, bosentan plus placebo and
sildenafil plus bosentan) was conducted in 55 healthy male volunteers (51
completers). Study duration was 18 days per treatment group. Sildenafil was
administered three times daily on Days 1-6 and 11-16 (20 mg initially, increased
to 80 mg after 3 days), and bosentan (125 mg) was administered twice daily on
Days 7-17. RESULTS: On Day 16, bosentan decreased the maximum plasma
concentration of sildenafil (c)(max)) by 55.4% [90% confidence interval (CI) 40.3
66.6%] and the area under the plasma concentration versus time curve over a
dosing interval (AUC(tau)) by 62.6% (90% CI 56.8-67.7%). Sildenafil increased
bosentan C(max) by 42.0% (90% CI 15.4-74.8%) and (AUC(tau)) by 49.8% (90% CI 28.7
74.5%). Bosentan and sildenafil in combination were well tolerated, with no
serious adverse events reported. All adverse events were of mild or moderate
intensity. CONCLUSIONS: In healthy volunteers, there is a mutual pharmacokinetic
interaction between bosentan and sildenafil that may influence the dosage of each
drug in a combination treatment. The clinical implications of combination therapy
with bosentan and sildenafil are as yet unknown, and further trials in patients
with PAH are needed.
PMID- 18040673
TI - Perfusion-CT for early assessment of traumatic cerebral contusions.
AB - INTRODUCTION: To investigate the value of perfusion-CT (PCT) for assessment of
traumatic cerebral contusions (TCC) and to compare the abilities of early
noncontrast CT and PCT modalities to evaluate tissue viability. METHODS: PCT
studies performed in 30 patients suffering from TCC during the acute phase of
their illness were retrospectively reviewed. Cerebral blood flow (CBF), volume
(CBV) and mean transit time (MTT) were measured in three different areas: the
hemorrhagic core of the TCC, the surrounding hypodense area and the perilesional
normal-appearing parenchyma. TCC area was measured on CBF-, CBV- and MTT-derived
maps and compared with the areas measured using the same slice obtained with CT
scans performed on admission, at the time of PCT (follow-up CT) and at 1 week.
RESULTS: TCC were characterized by low CBF and CBV values (9.2+/-6.6 ml/100 g per
min and 0.9+/-0.7 ml/100 g, respectively) and a significant prolongation of MTT
(11.9+/-10.7 s) in the hemorrhagic core whereas PCT parameters were more variable
in the hypodense area. The TCC whole area showed a noticeable growth of the
lesions during the first week of admission. In comparison with early noncontrast
CT, CBV and CBF maps proved to be more congruent with the findings of noncontrast
CT scans at 1 week. CONCLUSION: PCT confirmed the results of xenon-CT studies and
was shown to allow better evaluation of tissue viability than noncontrast CT.
These findings suggest that PCT could be implemented in the future for the early
assessment of patients with traumatic brain injury.
PMID- 18040674
TI - Diffusion tensor tractography of the lower spinal cord.
AB - INTRODUCTION: We employed a diffusion-tensor (DT) imaging technique involving a
single-shot echo-planar sequence in combination with parallel imaging for
tractography of the lower spinal cord and assessed the feasibility of this
technique. METHODS: Images were obtained at 1.5 T using a five-channel receiver
coil. We used a single-shot echo-planar sequence with parallel imaging to acquire
diffusion-weighted (DW) images in the axial plane with phase encoding in the
right-left direction. A motion-probing gradient was applied in six directions
with a b-value of 1,000 s/mm(2). The scan time was 5 min 15 s. On a reconstructed
DW image in the sagittal plane, the spinal cord was included in a single region
of-interest to generate a tractogram of the entire cord in seven volunteers and
nine patients with spinal canal stenosis or vertebral metastasis. RESULTS: In
each subject, although the conus medullaris and cauda equina were continuously
visualized, the cord was demonstrated as a bundle of tracts color-coded in the z
axis. Nerve roots were depicted showing color-coding in the x- and y-axes. In the
patient group, displacement of the cord was depicted showing changes in the color
of the cord. Displacement of the proximal nerve roots was also depicted in the
two patients with vertebral metastasis. CONCLUSION: DT imaging using parallel
imaging shows potential as a method for routine tractography of the lower spinal
cord.
PMID- 18040675
TI - A traditional Chinese herbal antilithic formula, Wulingsan, effectively prevents
the renal deposition of calcium oxalate crystal in ethylene glycol-fed rats.
AB - We investigated the effects of a traditional Chinese herbal formula, Wulingsan
(WLS), on renal stone prevention using an ethylene glycol-induced
nephrocalcinosis rat model. Forty-one male Sprague-Dawley (SD) rats were divided
into four groups. Group 1 (n=8) was the normal control; group 2 (n=11) served as
the placebo group, and received a gastric gavage of starch and 0.75% ethylene
glycol (EG) as a stone inducer; group 3 received EG and a low dose of WLS (375
mg/kg); and group 4 received EG and a high dose of WLS (1,125 mg/kg). Baseline
and final 24 h urine samples were collected individually; biochemical data of
urine and serum were also obtained at the beginning and at the end of the
experiment. After 4 weeks, animals were killed and kidneys were harvested. The
kidney specimens were examined by polarized light microscopy and the crystal
deposits were evaluated by a semi-quantitative scoring method using computer
software (ImageScoring). The results revealed that the rats of placebo group
gained the least significant body weight; in contrast, the rats of WLS-fed groups
could effectively reverse it. The placebo group exhibited lower levels of free
calcium (p=0.059) and significantly lower serum phosphorus (p=0.015) in urine
than WLS-fed rats. Histological findings of kidneys revealed tubular destruction,
damage and inflammatory reactions in the EG-water rats. The crystal deposit
scores dropped significantly in the WLS groups, from 1.40 to 0.46 in the low-dose
group and from 1.40 to 0.45 in the high-dose group. Overall, WLS effectively
inhibited the deposition of calcium oxalate (CaOx) crystal and lowered the
incidence of stones in rats (p=0.035). In conclusion, WLS significantly reduced
the severity of calcium oxalate crystal deposits in rat kidneys, indicating that
Wulingsan may be an effective antilithic herbal formula.
PMID- 18040676
TI - Evaluation of postoperative pulmonary regurgitation after surgical repair of
tetralogy of Fallot: comparison between Doppler echocardiography and MR velocity
mapping.
AB - BACKGROUND: Pulmonary regurgitation is a common finding in patients after
correction of tetralogy of Fallot (TOF). Right ventricular impairment and even
ventricular arrhythmia have been ascribed to pulmonary valve insufficiency (PI),
which is therefore an important issue in follow-up examinations. OBJECTIVE: To
compare PI measured by echocardiography (ECHO) with data provided by cardiac MRI
(CMR). MATERIALS AND METHODS: We studied 54 selected patients (18 female; median
age 14.0 years, range 3.8-53.4 years) after surgical correction of TOF. To
quantify pulmonary regurgitant fraction (PRF) by CMR, flow velocity mapping was
performed. On Doppler ECHO, length, width and localization of regurgitant flow
was measured. The severity of PI was categorized as mild, moderate or severe and
compared to the data obtained by CMR. RESULTS: On CMR the mean PRF was 29.2 +/-
13.4%. Patients with a transannular patch had a significantly higher PRF (39.9 +/
11.6%) than patients with an intact annular ring (23.6 +/- 11.4%).
Differentiation by Doppler ECHO between the categories mild, moderate and severe
PI was confirmed by significant differences in PRF measured by CMR (mild vs.
moderate P < 0.04; moderate vs. severe P < 0.014; mild vs. severe P < 0.001).
Furthermore, PRF correlated with right ventricular end diastolic volume index (r
= 0.45, P < 0.01) and right ventricular end systolic volume index (r = 0.39, P <
0.01). CONCLUSION: Doppler ECHO can estimate the severity of PI after repair of
TOF with acceptable results compared to CMR flow measurement. In univariate
analysis there is only a weak influence of PRF on right ventricular volume.
PMID- 18040677
TI - Efficacy of percutaneous radiofrequency ablation of osteoid osteoma in children.
AB - BACKGROUND: Percutaneous radiofrequency (RF) ablation of osteoid osteoma has high
technical and clinical success rates. However, there are limited data on its use
in the treatment of osteoid osteoma in children. OBJECTIVE: To assess the safety
and efficacy of CT-guided percutaneous RF ablation of osteoid osteoma in children
and compare the outcomes with published data on its use in patients unselected
for age. MATERIALS AND METHODS: From January 2003 to July 2006, 23 children with
osteoid osteoma were treated with CT-guided RF ablation using a straight rigid
electrode. Their mean age was 11 years (range 3.5-16 years) and there were 15
boys and 8 girls. The procedures were carried out under general anaesthesia.
Follow-up was performed to assess technical and clinical outcome. The mean follow
up period was 2.5 years (range 13-49 months). RESULTS: Technical success was
achieved in 21 children (91.3%). Failure occurred in two children, in one due to
failure to adequately localize the nidus within the dense sclerosis and in the
other because of a short ablation time (2 min) because he developed hyperthermia.
Clinical success was achieved in 18 patients within 2-5 days (primary clinical
success rate 78.2%).These patients were allowed to fully weight-bear and function
without limitation 1 week after the procedure. Pain recurrence was observed in
two patients; one was treated successfully with a second ablation after 6 months
(secondary clinical success rate 82.6%). Hyperthermia was observed in two
patients during the procedure. Three other minor complications were observed:
wound infection in one child and skin burn in two children. No major immediate or
delayed complications were observed. CONCLUSION: Percutaneous CT-guided RF
ablation is an effective and safe minimally invasive procedure for the treatment
of osteoid osteoma in children. It has high technical and clinical success rates
that are slightly lower than those of patients with a wider range of ages.
PMID- 18040679
TI - EDTA-dependent assimilation of glucose and organic acids by an EDTA-degrading
bacterium.
AB - Bacterial strain VKM B-2445 is characterized by ethylenediaminetetraacetate
(EDTA) requirement for cell growth. This strain could not grow on glucose and
organic acids as the sole sources of carbon and energy, but it was able to
metabolize these substrates added to EDTA medium. EDTA initiated assimilation of
glucose, succinate, fumarate, malate, and citrate and supplied nitrogen for the
biomass production from these substrates. Utilization of primarily nongrowth
substrates by strain VKM B-2445 started when EDTA was exhausted or at least
considerably degraded.
PMID- 18040678
TI - The blood supply of early, late, and nonossifying cartilage: preliminary gray
scale and Doppler assessment and their implications.
AB - BACKGROUND: Comparative gray-scale Doppler US studies of various cartilages have
not been reported before. OBJECTIVE: To study gray-scale US and perfusion
patterns of different cartilages. MATERIALS AND METHODS: Three groups of
cartilages were studied in 42 normal neonates. Group A included the proximal
femoral chondroepiphysis of 20 neonates as well as proximal humeral, distal
femoral, and proximal tibial epiphyses of 8 others. Group B included the patellar
cartilage of nine neonates and group C included the rib cartilage of five
neonates. RESULTS: Early ossifying cartilages all had numerous echogenic columns
on US. Late ossifying patellar cartilage was amorphous and hypoechoic at birth
but contained echogenic columns near the ossification age. Rib cartilage was
hypoechoic and amorphous at all ages. The blood supply was detectable in all
cartilages except the ribs. Peak systolic velocities increased with age in the
proximal femoral epiphysis. The patellar cartilage was less vascular than the
distal femoral epiphysis at birth, but more vascular at 14-24 months of age. The
rib cartilage did not have any discernable blood supply at any age. CONCLUSIONS:
Cartilage blood flow is detectable with current technology. Cartilage blood flow
correlates with the timing of its ossification. Normal cartilage blood flow may
prognosticate normality of its growth and development potential.
PMID- 18040680
TI - Characterization of the superoxide dismutase SOD1 gene of Kluyveromyces marxianus
L3 and improved production of SOD activity.
AB - Superoxide dismutase (SOD) activity is one major defense line against oxidative
stress for all of the aerobic organisms, and industrial production of this enzyme
is highly demanded. The Cu/Zn superoxide dismutase gene (KmSOD1) of Kluyveromyces
marxianus L3 was cloned and characterized. The deduced KmSod1p protein shares 86%
and 71% of identity with Kluyveromyces lactis and Saccharomyces cerevisiae Sod1p,
respectively. The characteristic motifs and the amino acid residues involved in
coordinating copper and zinc and in enzymatic function were conserved. To the aim
of developing a microbial production of Cu/Zn superoxide dismutase, we engineered
the K. marxianus L3 strain with the multicopy plasmid YG-KmSOD1 harboring the
KmSOD1 gene. The production of KmSOD1p in K. marxianus L3 and K. marxianus L3
(pYG-KmSOD1) in response to different compositions of the culture medium was
evaluated. The highest specific activity (472 U(SOD) mg(prot) (-1)) and the
highest volumetric yield (8.8 x 10(5) U(SOD) l(-1)) were obtained by the
recombinant strain overexpressing KmSOD1 in the presence of Cu(2+) and Zn(2+)
supplements to the culture media. The best performing culture conditions were
positively applied to a laboratory scale fed-batch process reaching a volumetric
yield of 1.4 x 10(6) U(SOD) l(-1).
PMID- 18040681
TI - Improvement in enzymatic desizing of starched cotton cloth using yeast
codisplaying glucoamylase and cellulose-binding domain.
AB - To utilize glucoamylase-displaying yeast cells for enzymatic desizing of starched
cotton cloth, we constructed yeast strains that codisplayed Rhizopus oryzae
glucoamylase and two kinds of Trichoderma reesei cellulose-binding domains (CBD1,
CBD of cellobiohydrolase I (CBHI); and CBD2, CBD of cellobiohydrolase II
(CBHII)). In this study, we aimed to obtain a high efficiency of enzymatic
desizing of starched cotton cloth. Yeast cells that codisplayed glucoamylase and
CBD had higher activity on starched cotton cloth than yeast cells that displayed
only glucoamylase. Glucoamylase and double CBDs (CBD1 and CBD2) codisplaying
yeast cells exhibited the highest activity ratio (4.36-fold), and glucoamylase
and single CBD (CBD1 or CBD2) codisplaying yeast cells had higher relative
activity ratios (2.78- and 2.99-fold, respectively) than glucoamylase single
displaying cells. These results indicate that the glucoamylase activity of
glucoamylase-displaying cells would be affected by the binding ability of CBD
codisplayed on the cell surface to starched cotton cloth. These novel strains
might play useful roles in the enzymatic desizing of starched cotton cloth in the
textile industry.
PMID- 18040682
TI - Microbial transformation of ginsenoside Rb(1) by Acremonium strictum.
AB - Preparative-scale fermentation of ginsenoside Rb(1) (1) with Acremonium strictum
AS 3.2058 gave three new compounds, 12beta-hydroxydammar-3-one-20 (S)-O-beta-D
glucopyranoside (7), 12beta, 25-dihydroxydammar-(E)-20(22)-ene-3-O-beta-D
glucopyranosyl-(1-->2)-beta-D -glucopyranoside (8), and 12beta, 20 (R), 25
trihydroxydammar-3-O-beta-D -glucopyranosyl-(1-->2)-beta-D -glucopyranoside (9),
along with five known compounds, ginsenoside Rd (2), gypenoside XVII (3),
ginsenoside Rg(3) (4), ginsenoside F(2) (5), and compound K (6). The structural
elucidation of these metabolites was based primarily on one- and two-dimensional
nuclear magnetic resonance and high-resolution electron spray ionization mass
spectra analyses. Among these compounds, 2-6 are also the metabolites of
ginsenoside Rb(1) in mammals. This result demonstrated that microbial culture
parallels mammalian metabolism; therefore, A. strictum might be a useful tool for
generating mammalian metabolites of related analogs of ginsenosides for complete
structural identification and for further use in pharmaceutical research in this
series of compounds. In addition, the biotransformation kinetics was also
investigated.
PMID- 18040683
TI - Microbial production of L -glutamate and L -glutamine by recombinant
Corynebacterium glutamicum harboring Vitreoscilla hemoglobin gene vgb.
AB - Vitreoscilla hemoglobin (VHb) gene vgb equipped with a native promoter Pvgb or a
tac promoter Ptac was introduced into Corynebacterium glutamicum ATCC14067,
respectively. Ptac was proven to be more suitable for expressing VHb protein in
higher concentration in both Escherichia coli and C. glutamicum strains compared
with the native vgb promoter Pvgb. VHb-expressing C. glutamicum exhibited higher
oxygen uptake rate and enhanced cell growth. Recombinant C. glutamicum harboring
vgb gene equipped with Ptac promoter produced 23% more L -glutamate in shake
flask culture and grew to 30% more cell density and formed 22% more L -glutamate
in fermentor studies compared with the wild-type strain. When a site-directed
mutagenesis in which Tyr405 was replaced by a phenylalanine residue (Y405F) was
performed on glutamine synthesis gene, recombinant C. glutamicum overexpressing
the mutated gene glnA' was able to produce L: -glutamine effectively. Co
expression of vgb and glnA' genes in C. glutamicum produced 17 g/l L -glutamine
in shake flask culture, approximately 30% more than that produced by the
recombinant harboring only glnA' gene. In fermentor cultivation, the recombinant
yielded 25% more cells and produced 40.5 g/l L -glutamine. In this study, it was
clearly demonstrated that VHb significantly enhanced cell growth, L -glutamate,
and L -glutamine production by recombinant C. glutamicum.
PMID- 18040684
TI - Life-threatening acute pancreatitis due to thalidomide therapy for chronic graft
versus-host disease.
PMID- 18040685
TI - MPL 515 and JAK2 mutation analysis in MDS presenting with a platelet count of
more than 500 x 10(9)/l.
PMID- 18040686
TI - Pericardial effusion in a patient with acquired factor V inhibitor.
PMID- 18040687
TI - Intraperitoneal gemcitabine pharmacokinetics: a pilot and pharmacokinetic study
in patients with advanced adenocarcinoma of the pancreas.
AB - BACKGROUND: The pyrimidine analogue gemcitabine (2', 2'-difluorodeoxycitidine,
dFdC) is active against pancreatic cancer, and its high clearance (CL(tb)) and
low incidence of local toxicity make it an excellent candidate for evaluation as
intraperitoneal (IP) therapy. We designed a dosing schema that used multiple
sequential exchanges of a peritoneal dialysate containing dFdC in an effort to
produce prolonged IP dFdC exposure. METHODS: As part of a study involving multi
modality therapy for advanced pancreatic adenocarcinoma, patients were treated
with four 6-h IP dwells of dFdC (50 mg/m(2) in 2 l) over a 24-h period. A second
24-h cycle of IP dFdC therapy was repeated 1 week later. Each exchange of
dialysate contained 50 mg/m(2) dFdC in 2 l of commercial 1.5% dextrose dialysis
solution. Plasma and peritoneal fluid were analyzed by HPLC to determine
concentrations of dFdC and its inactive metabolite 2', 2' difluorodeoxyuridine
(dFdU). Clinical data were recorded to note drug toxicity and response. RESULTS:
Nine patients underwent IP dFdC therapy, and eight were able to receive two
cycles. There were no recorded significant toxicities. Low plasma dFdC
concentrations (<1 microg/ml) were present transiently in seven of nine patients,
and dFdC was not detectable in the plasma of the other two. Plasma dFdU
concentrations were low but increased gradually until 12 h and then declined
little if any. IP dFdC concentrations declined rapidly, and dFdC was seldom
measurable prior to administration of the next scheduled 6-h dwell. dFdU
concentrations in peritoneal fluid were very low (<0.5 microg/ml) throughout
treatment. The mean area under the concentration versus time curve (AUC) for dFdC
in peritoneal fluid was 182 microg/ml x h, which was approximately 70x the AUC of
dFdC reported in the ascites of a patient undergoing systemic dFdC therapy.
CONCLUSIONS: IP dFdC was well tolerated, and no significant toxicities were
noted. The rapid decrease in peritoneal dFdC concentrations and low
concentrations of IP dFdU imply almost total absorption of IP-administered dFdC.
Little, if any, dFdC could be detected in plasma, but the steady-state plasma
dFdU concentrations also imply absorption and inactivation of virtually all IP
administered dFdC. These findings are consistent with the known high CL(tb) and
low incidence of local toxicity of dFdC and argue for its further evaluation as a
drug for IP therapy.
PMID- 18040688
TI - Identification of a minimal cre1 promoter sequence promoting glucose-dependent
gene expression in the beta-lactam producer Acremonium chrysogenum.
AB - The promoter of the cre1 gene, encoding the glucose-dependent regulator CRE1 from
the beta-lactam producer Acremonium chrysogenum, carries 15 putative CRE1 binding
sites (BS1 to BS15). For a detailed analysis, we fused cre1 promoter deletion
derivatives with the DsRed reporter gene to perform a comparative gene expression
analysis. Plate assays, Northern hybridizations, and spectrofluorometric
measurements of DsRed identified the minimal D4 promoter sequence that promoted
glucose-dependent expression. Truncated recombinant CRE1 interacted with D4 in
electromobility shift analysis and these binding studies were further extended
with two oligonucleotides, carrying putative CRE1 binding sites BS14 and BS15.
Surface plasmon resonance analysis was performed using BS14 and BS15, along with
four derivatives containing 2 or 4 bp substitutions within BS14 and BS15,
respectively. Substitutions within BS14 abolished the high affinity interaction
with CRE1, while mutations in BS15 only marginally diminished the affinity with
CRE1. In vivo analysis of a modified D4 sequence with substitutions in the two
binding sites confirmed the in vitro binding results and still promoted glucose
dependent gene expression. Our results will contribute to the construction of
versatile expression vectors carrying a minimal cre1 promoter sequence that still
confers glucose-dependent induction of gene expression.
PMID- 18040689
TI - Suppression of human macrophage interleukin-6 by a nonpsychoactive cannabinoid
acid.
AB - Interleukin-6 (IL-6) is a multifunctional cytokine which contributes to
inflammation and tissue injury in several diseases. Thus, inhibition of IL-6
production may be a useful strategy for treatment of patients with diseases such
as rheumatoid arthritis (RA) and systemic lupus erythematosus (SLE). A synthetic
nonpsychoactive cannabinoid, ajulemic acid (AjA), prevents joint damage in
experimental arthritis. Results of experiments presented here indicate that
addition of AjA (3-30 microM) to human monocyte derived macrophages in vitro
reduces steady state levels of IL-6 mRNA and the subsequent secretion of IL-6
from LPS stimulated cells. Although AjA binds to and activates PPARgamma, its
anti IL-6 effects are PPARgamma independent. These studies provide evidence to
support the view that AjA may prove to be an effective, safe antiinflammatory
agent.
PMID- 18040692
TI - Controlling recurrent papillary thyroid carcinoma in the neck by ultrasonography
guided percutaneous ethanol injection.
AB - The purpose of this study was to retrospectively evaluate the efficacy of
ultrasonography-guided percutaneous ethanol injection (PEI) for neck recurrence
of papillary thyroid carcinoma (NR-PTC). Twenty-seven patients (19-80 years old;
mean, 53.2) with 47 NR-PTCs were treated by PEI and were followed-up (14-38
months; mean, 28.2). Ethanol (99%) was repeatedly injected with adjusting needle
position until the entire volume of NR-PTC was ablated. All patients received
follow-up ultrasonography at 3-6-month intervals, and the percent volume decrease
was measured. The NR-PTCs with decreased volume and no tumor vascularity on power
Doppler study were regarded as treatment-effective. The NR-PTCs with stable or
enlarged volume or with tumor vascularity were considered as treatment-failed, in
which case PEI was repeated. The number of sessions and the total volume of
ethanol per NR-PTC, and the volume of ethanol per session per NR-PTC were
evaluated. All NR-PTCs significantly decreased in volume (range, 30-100%; mean,
93.6%). The mean number of sessions, the total volume of ethanol per NR-PTC, and
the mean volume of ethanol per session per NR-PTC were 2.1 sessions (range 1-6),
2.4 ml (range 0.3-10.1), and 1.1 ml/session (range 0.3-3.0), respectively. PEI
appears to be an alternative option for controlling NR-PTCs in the selected
patients who are poor surgical candidates.
PMID- 18040691
TI - High resolution computed tomography of the chest in cystic fibrosis (CF): is
simplification of scoring systems feasible?
AB - The purpose of this study was to simplify HRCT scoring systems (SS) for CF by
selecting representative HRCT parameters. Forty-two consecutive patients with CF
underwent baseline and follow-up chest HRCT. Three radiologists evaluated 84
HRCTs employing five SS. "Simplified" HRCT SS were formed by selection of
parameters exhibiting statistically significant relations with FEV1. Pulmonary
function tests (PFTs) and nutrition (IBW%) were recorded. Regression analysis,
Pearson correlation and T-test were used for statistical analysis. Three HRCT
parameters were selected for the formation of "simplified" HRCT SS (severity of
bronchiectasis, bronchial wall thickening, atelectasis-consolidation) using
regression analysis. There was excellent correlation between each "simplified"
and corresponding complete score (0.892 < r < 0.0967, p < 0.0001) or the
remaining four complete scores (0.786 < r < 0.961, p < 0.0001). Strong
correlation was found among the five "simplified" scores (0.803 < r < 0.997, p <
0.0001). Comparing baseline complete and "simplified" scores with corresponding
follow-up ones, significant worsening was observed (p < 0.0001). PFTs and IBW%
did not change significantly. HRCT scores correlated moderately with FVC and
FEV1, but there was no correlation with FEF25-75 and IBW%. "Simplified" HRCT SS
are as reliable as the complete ones and detect progression of lung disease
earlier than clinical parameters. They are easy to use and could be adopted in
clinical practice.
PMID- 18040693
TI - Bilateral ce-MR angiography of the hands at 3.0 T and 1.5 T: intraindividual
comparison of quantitative and qualitative image parameters in healthy
volunteers.
AB - The purpose of this study was to determine the benefit of bilateral contrast
enhanced MR angiography (ce-MRA) of the hands at 3.0 Tesla (T) compared with an
established 1.5-T technique in healthy volunteers. Intraindividual bilateral ce
MRA of the hands was performed at 1.5 T and 3.0 T in 14 healthy volunteers using
a timed ultra-fast GRE sequence featuring parallel acquisition. The evaluation
comprised measurement of the vessel signal-to-noise ratio (SNR) and contrast-to
noise ratio (CNR), rating of the image quality and the assessment of artefacts
and venous contamination. At 3.0 T, SNR improved up to 95% and CNR up to 129%.
The image quality of the larger inflow arteries, the palm arches and common
digital arteries was good or sufficient at either magnetic field strengths.
However, 3.0-T MRA was clearly superior in the depiction of the digital arteries.
Ce-MRA of the hand clearly profits from the use of 3.0 T. Compared with 1.5 T, a
substantial increase of CNR is found resulting in a significantly better
delineation of the small digital arteries. Saturation affects more the SNR of the
perivascular tissue than the contrast-enhanced blood, and thus leads to a marked
increase of CNR at 3.0.
PMID- 18040694
TI - Long-term outcome of home dipstick testing for hematuria.
AB - The most common symptom of bladder cancer (BC) is hematuria and microhematuria
will occur in virtually all patients with BC if one tests for it frequently
enough. However, hematuria even when caused by serious disease, often is
intermittent, and once above some threshold the degree of microhematuria is
unrelated to the seriousness of its underlying cause. Based on these principles a
BC screening study was conducted to determine if repetitive hematuria testing can
detect BC early and whether this resulted in reduced BC mortality, in long-term
follow-up compared with disease related outcomes of a contemporary unscreened
population similar to the one taking part in screening. The study and the long
term outcomes are reviewed. At 14 years minimum follow-up, none of the 21 men
diagnosed with BC by hematuria screening have died of the disease; 12 were still
alive and 9 had died of diseases other than BC, with a median survival of 8.8
years. The lower overall mortality in screenees with BC was primarily because of
the reduced mortality from BC in that group. Screening had effected a shift of
the high grade tumors to earlier (more superficial) stages at diagnosis.
PMID- 18040695
TI - Three-dimensional computed tomography for detection of tracheobronchial foreign
body aspiration in children.
AB - Foreign body aspiration (FBA) into the tracheobronchial tree is a frequent and
serious cause of respiratory problems in children. Chest X-ray (CXR) is often
inaccurate in diagnosing FBA when the object is radiolucent. Three-dimensional
computed tomography (CT) is a noninvasive technique that can detect the narrowing
of the airway resulting from the presence of a foreign body. We conducted a
retrospective study comparing the performance of CT scan and CXR in the diagnosis
of FBA. Eleven patients (mean age 2.1 years) with a history suggestive of foreign
body aspiration were examined by three-dimensional chest CT and CXR during the
study. The presence of foreign bodies was confirmed and they were removed by
rigid or flexible bronchoscopy under general anesthesia. Foreign body aspiration
(FBA) was detected in all the 11 patients by CT scan (sensitivity, 100%), but CXR
of three of the patients showed no evidence of FBA (sensitivity, 72.7%). The
foreign bodies were located in the right main bronchus (n = 4), the left main
bronchus (n = 5), and the trachea (n = 2). The mean length of hospital stay was
3.8 days. In our study, three-dimensional chest CT scan was more sensitive than
CXR in detecting the presence of aspirated foreign bodies in children. The
superior sensitivity and short time required for CT should help to reduce delays
in diagnosis. These benefits may prompt further studies to determine whether CT
could be used to reduce the number of unnecessary bronchoscopies performed in
children being evaluated for FBA.
PMID- 18040696
TI - The effects of varicocele and its surgical correction on vas deferens motility.
AB - Varicocele is the abnormal dilation of venous pampiniform plexus and internal
spermatic vein. Its prevalence in the adolescent period is almost equal to the
prevalence of adult age. That is why the disease is accepted to appear in early
adolescence and does not disappear spontaneously. Varicocele is established to be
the most common cause of infertility in the adulthood period in terms of the
testicular and/or epididymal damages it causes. Besides, malfunctioning of testis
and/or epididymis cannot be blamed as the one and only reason of infertility. One
major reason of the male infertility is vas deferens motility disorders. There is
limited data in the literature investigating the effects of varicocele on the vas
deferens motility. The aim of the study is to evaluate not only the motility
defects of vas deferens for the period of varicocele, but also the effects of
surgical varicocele correction on vas deferens motility. Thirty male Wistar
Albino rats were allocated to five groups. In the control group (Gr C, n = 6)
bilateral vas deferens strips were harvested without any surgical intervention.
Using the partial left renal vein obstruction technique, the experimental
varicocele model was performed for the other four groups. Varicocele was apparent
for these animals after the fourth week of the venous ligation. Bilateral vas
deferens strips of varicocele group (Gr V, n = 6) were harvested. The rest of the
animals having varicocele underwent relaparotomies. Three different surgical
procedures were performed to these animals. The animals of group P (Gr P, n = 6)
and group I (Gr I, n = 6) underwent Palomo and Ivanissevich procedures,
respectively, for varicocele correction. And the animals of group S (Gr S, n = 6)
underwent sham operation. After 4 weeks of relaparotomies, bilateral vas deferens
strips of all three groups harvested. The electrical field stimulation (EFS)
induced responses of all vas deferens strips as well as exogenous drug induced
responses were recorded and analysed. The results of the study showed that the
varicocele significantly inhibited the first phase of biphasic response of vas
deferens in the ipsilateral side. However the correction of varicocele, free from
surgical technique, ameliorated the affected first phase of EFS induced biphasic
response in the ipsilateral side. The results of this study suggest that
varicocele can be the reason of male infertility by not only causing testicular
and/or epididymal damages but also triggering vas deferens motility defects. The
correction of varicocele free from surgical technique may reverse the damaging of
the vas deferens. Therefore when indicated surgical correction of varicocele is
essential. It seems that varicocele surgery does not only prevent late term
testicular and/or epididymal damages but also avoids vas deferens motility
defects.
PMID- 18040698
TI - Spinal cord tau pathology in cervical spondylotic myelopathy.
AB - We conducted an immunohistochemical and ultrastructural examination of the spinal
cords from 11 cases of cervical spondylotic myelopathy (CSM), together with those
from 11 age- and sex-matched control subjects. Immunostaining with AT8 antibody
revealed various numbers of tau-positive neuropil thread-like structures (NTSs),
often demonstrating a conspicuous astrocytic foot-like perivascular or subpial
arrangement, and glial cells with short and thick processes, so-called thorn
shaped astrocytes (TSAs), in the affected cervical cords in 8 of the 11 CSM cases
(73%). A number of tau-positive neuronal cytoplasmic pretangles/tangles were also
found in the gray matter in all the CSM cases (100%). No such astrocytic or
neuronal tau lesions were found in the control subjects. The tau deposited in the
NTSs and TSAs was predominantly 4-repeat tau, whereas the neuronal cytoplasmic
pretangles/tangles contained both 3-repeat and 4-repeat tau. Ultrastructurally,
paired helical filaments about 20 nm wide, together with glial filaments, were
detected occasionally in the astrocytic processes. In conclusion, the present
findings indicate that astrocytic and neuronal tau lesions appear in the affected
cervical cord during the disease process of CSM.
PMID- 18040697
TI - Temporal and regional morphological differences as a consequence of FGF-2
deficiency are mirrored in the myenteric proteome.
AB - The enteric nervous system with its intricate network of neurons and glia shows a
high plasticity, which not only changes during pre- and postnatal development,
but also with disease or changing dietary habits. FGF as a potent neurotrophic
factor in the central nervous system might also play a specific role for the ENS
development, FGF-2 knockout and corresponding wild-type mice were histologically
and functionally analyzed. FGF-2 knockout mice are viable and thrive normally and
do apparently not display any obvious neurological deficit. Morphological
differences were studied on whole mount preparations of muscle and submucous
layer using either cuprolinic blue or immunohistochemical stainings for the
neuronal marker PGP 9.5. Ussing-chamber and isometric muscle contraction
experiments were performed on isolated gut wall, respectively muscle
preparations. Intravital microscopy with GFP-transfected E. coli bacteria was
used to investigate influences upon bacterial translocation. In additional
experiments the protein pattern of the isolated myenteric plexus of knockout and
wild-type mice were compared using 2D-DIGE technology. The morphometric analysis
of the myenteric plexus revealed significant differences between FGF-2 knockout
and wild-type animals, resulting in larger neurons in the knock out animals,
embedded in less densely packed enteric ganglia. While muscle contractility
appeared not to be affected, there was a significant difference in bacterial
translocation as well as differences in basal chloride secretion to be seen. The
observed morphological differences were reflected in the varying protein
patterns, which were revealed by 2D-DIGE. A large number of differentially
expressed proteins were found in both colonic and duodenal samples. FGF obviously
influences the development of well established gastrointestinal functions by
various means, thus leading to minor but significant deficiencies. Whether the
revealed deficits in the mucous barrier are indebted to the morphological
alterations in the ENS cannot yet be proved, but is very likely.
PMID- 18040699
TI - Effects of hyperbaric oxygen therapy on rabbit skeletal muscle during extremity
lengthening.
AB - INTRODUCTION: Extremity lengthening through distraction osteogenesis is limited
by the surrounding skeletal muscle and neurovascular structures rather than the
bone itself. The purpose of this study is to evaluate the effects of hyperbaric
oxygen therapy on skeletal muscle during distraction osteogenesis. MATERIALS AND
METHODS: Twenty New Zealand white rabbits were randomly divided into two groups.
Right tibia of all rabbits was distracted at a rate of 0.125 mm per 6 h (0.5
mm/day) for 10 days with circular external fixator. Experimental group rabbits
(N=10) underwent 2.5 ATA hyperbaric oxygen therapy for 2 h everyday for 20 days,
control group rabbits (N=10) did not receive any corresponding treatment.
Skeletal muscle perfusion was evaluated with scintigraphy before and after the
distraction period. Serum CPK, LDH and AST levels were measured before and after
the distraction period. All animals were killed on the 27th day. The right tibias
of all animals were removed and tibialis posterior muscle was harvested for
histopathologic and histomorphometric assessment with light and electron
microscopy. RESULTS: Skeletal muscle perfusion was decreased in the control group
in comparison with pre-distraction level (P=0.008). However, no significant
decrease was observed in the experimental group (P=0.678). There were no
statistical differences in serum CPK, LDH and AST levels between groups (P=0.340,
P=0.077, P=0.796). The mean area of the muscle fibers was measured as 398.66+/
9.16 micro2 in the experimental group and 349.44+/-5.76 micro2 in the control
group (P=0.000) with light microscopy. Mild fibrosis was observed in connective
tissue component of muscle tissue in control group. An average of 26 myofibrils
(20-32) was counted in a 16-cm2 unit area in experimental group and 50 myofibrils
(35-65) in the control group with electron microscopy. Enlargement in the
sarcoplasmic reticulum, degenerative changes in nuclear cytoplasm and increase in
myofibril diameter were observed in the control group, which was not observed in
the experimental group CONCLUSION: Results of this study suggest that HBO
treatment alleviates the detrimental effects of distraction on skeletal muscles
and preserves its ultrastructure.
PMID- 18040700
TI - Compartment syndrome of the foot: an experimental approach to pressure
measurement and release.
AB - INTRODUCTION: Using a cadaver model and multiple continuous compartment pressure
measurement, we sought to determine the pressure distribution in different
osseofascial spaces of the foot and determine the quickest and most effective
technique of pressure release. MATERIALS AND METHODS: The compartment pressures
were measured (in mmHg) in five different osseofascial spaces of each foot. In
stepwise manner, warmed saline was injected only into the central compartment
only. Three experimental approaches to fasciotomy were studied. RESULTS: We
recognized a simultaneous exponential increase of all foot compartments in all
experimental models. With a medial fasciotomy technique first, a flexor brevis
compartment incision was necessary to release pressures in the central
compartments. Following this procedure, pressure was released in the tarsal
tunnel and in the intermetatarsal area immediately. Pressure reduction in the
central flexor space and in the tarsal tunnel was less effective with a dorsal
fasciotomy technique. CONCLUSIONS: There is no pressure increase of a "single"
osseofascial space in case of a foot compartment syndrome. If immediate pressure
release is required, a medial fasciotomy technique including the central flexors
should be favoured.
PMID- 18040701
TI - Segmental wire fixation for lumbar spondylolysis associated with spina bifida
occulta.
AB - INTRODUCTION: The effectiveness of segmental wire fixation technique in repairing
lumbar spondylolysis has already been reported. However, whether the technique
can be indicated for spondylolysis associated with spina bifida, which is
occasionally found with spondylolysis, is not well known. In this study, the
authors report the mid-term clinical outcome of the procedure performed in
patients with symptomatic lumbar spondylolysis associated with spina bifida
occulta. MATERIALS AND METHODS: Among 20 patients with symptomatic lumbar
spondylolysis who underwent segmental wire fixation between 1996 and 2001, four
patients associated with spina bifida occulta were evaluated with an average of
32 months follow-up. Bony union at spondylolysis sites and spina bifida was
evaluated using plain X-rays and computed tomography (CT) scans. Clinical
symptoms were assessed using Japanese Orthopedic Association scores for back pain
(JOA scores) and Henderson's evaluation of functional capacity. RESULTS: The
radiographic examinations of the latest follow-ups revealed the following
results. Pars defect; in three cases with bilateral defect, one case healed
bilaterally and two healed only unilaterally. One case with unilateral defect
healed. Spina bifida; two cases showed bony union and two showed no union. Of the
four patients operated, two were rated excellent with the remaining two good
according to Henderson's evaluation. The recovery rate of JOA score was averaged
at 69.7 +/- 23.5%. No serious complications were noted. CONCLUSIONS: In four
cases associated with lumbar spondylolysis and spina bifida, segmental wire
fixation provided satisfactory clinical outcomes.
PMID- 18040702
TI - Timing of thoracolomber spine stabilization in trauma patients; impact on
neurological outcome and clinical course. A real prospective (rct) randomized
controlled study.
AB - OBJECTIVE: Optimal timing of stabilization for spinal injuries is discussed
controversially. The goal of this study is to investigate the neurological
recovery and its influencing factors in thoracolumbar spine fractures after
surgical decompression and stabilization within 8 h of spinal cord injury versus
surgery which is performed between 3 and 15 days. METHODS: Twenty-seven patients
undergoing thoracolumbar stabilization with neurological deficit for an acute
thoracolumbar spinal injury at the level of Th8-L2 vertebra at Selcuk University
between March 2004 and December 2006 were recorded. Patients with neurological
deficit and medically stable for surgery underwent immediate stabilization within
8 h defined as group I (n = 12) and patients underwent operation in 3-15 days
after thoracolumbar injury were defined as group II (n = 15). Patients were
assessed for neurologic deficit and improvement as defined by the scoring system
of American spinal injury association (ASIA). RESULTS: In spite of comparable
demographic data, patients in group I had a significantly shorter overall
hospital and intensive care unit stay and had lesser systemic complications such
as pneumonia and also exhibited better neurological improvement than group II (p
< 0.05). CONCLUSION: Early surgery may improve neurological recovery and decrease
hospitalization time and also additional systemic complications in patients with
thoracolumbar spinal cord injuries. Thus early stabilization of thoracolumbar
spine fractures within 8 h after trauma appears to be favorable.
PMID- 18040703
TI - No mid-term difference in mosaicplasty in previously treated versus previously
untreated patients with osteochondral lesions of the talus.
AB - INTRODUCTION: Osteochondral transplantations, albeit technically challenging,
appear promising not only in knee joint lesions, but also in the treatment of
talus lesions. We hypothesized that in patients suffering osteochondral lesions
of the talus, favorable outcomes are obtained in patients undergoing primary
mosaicplasty as compared to patients undergoing secondary mosaicplasty. MATERIALS
AND METHODS: Over a 3-year period (1998-2001), 14 patients (six male, eight
female, median age 22 years) were treated with an autologous osteochondral
transplantation of the talus. Eight patients were previously untreated (group I).
Six patients had previous ankle procedures, such as microfracturing (group II).
The median follow-up was 24 months and 100% complete at 12 months. The functional
outcome was evaluated at least at 6 weeks, 12 weeks, and 1 year after surgery
using pain on a visual analog scale (VAS) and sports activity was recorded at 1
year after surgery. In ten patients, magnetic resonance imaging (MRI) of the
ankle was performed at 1 year after surgery (group I/II: 7/3). RESULTS: Overall
ankle pain was decreased from 6.9 +/- 2.1 to 4.0 +/- 2.8 postoperatively. The
mean knee pain for the donor knee was 2.6 +/- 2.4. We found no significant
difference between the primary mosaicplasty group and the secondary mosaicplasty
group with regard to pain. MRI scans of ten patients showed a complete
incorporation of the osteochondral cylinders at 1 year after surgery. CONCLUSION:
Favorable outcomes were obtained in patients undergoing primary mosaicplasty as
compared to patients undergoing secondary mosaicplasty. We found no significant
difference among patients with previous ankle surgery in contrast to those
without, with a median 24-months follow-up.
PMID- 18040704
TI - Proximal humeral fractures: what is semi-rigid? Biomechanical properties of semi
rigid implants, a biomechanical cadaver based evaluation.
AB - INTRODUCTION: Proximal humerus fractures remain challenging especially in the
elderly. Biomechanical data put semi-rigid implants in favour of osteopenic or
osteoporotic situation. Little surgical side damage is associated with a minimal
invasive approach of these implants. The aim of this study was to evaluate the
mechanical properties of three such implants. MATERIAL AND METHODS: Fresh frozen
cadaver specimens were mounted as proposed by the distributors. Three different
implants were used: LCP-PH (locking compression plate proximal humerus, Synthes,
Austria), HB (humerus block, Synthes, Austria), and IMC (intramedullary claw,
ITS, Austria). Subcapital fracture was simulated by resecting a 5 mm gap. All
specimens were comparable in "B" (one), "M" (ineral) and "D" (ensity). Four load
cases were tested: varus bending, medial shearing and axial torque. A cyclic test
(1,000 cycles) was performed in the first load case (varus stress) for all three
implants. RESULTS: The LCP-PH was the most rigid in all three load cases, always
followed by the HB. The IMC was the most elastic device with almost immeasurable
values in axial torque. In the cyclic setting, the load reduction of the HB
followed by the LCP-PH was significantly better than that for the IMC.
CONCLUSION: The differences in stiffness are varying tremendously. The IMC is the
implant with the lowest stiffness in all load cases and the highest load
reduction. New "semi-rigids" claim good clinical performance, yet prospective
clinical studies have to prove this. It is unlikely that the IMC can maintain
fracture reduction in fracture situations of complex nature (no ligamentotaxis).
PMID- 18040705
TI - Pulp thumb defect reconstruction using a twin neurovascular island flaps: a case
report.
AB - In this report, we present the functional and cosmetic results of a case with
complete loss of the thumb pulp for which the Chen and Noordhoof techniques has
been chosen for reconstruction. A 33-year-old man, artisan, had his right thumb
caught in a machine during the work, resulting in a degloving injury in the
entire pulp of the distal phalanx of the thumb with all other digits of the right
hand intact. The patient was a young man, in good health and non-smoker. He was
involved in a heavy manual work for which an appropriate soft coverage of the
distal thumb was desirable. A reconstruction of the thumb pulp with a twin
neurovascular island flaps as described by Chen and Noordhoff was planned and
performed the day after his admission to the hospital. The patient turned up to
work at 10 weeks after surgery. After 2 years, we obtained a good aesthetic
result, a sensitivity in the thumb and at the donor site comparable to the other
hand and a complete recovery of normal function of the hand. In focal pulp loss
of the thumb, the twin neurovascular island flaps suggested by Chen and Noordhoff
could be an easy and efficient method to reconstruct soft tissue coverage and
sensibility when microsurgical setting and abilities are not available.
PMID- 18040706
TI - Cervical ectopic pregnancy after endometrial ablation: a case report.
AB - CASE REPORT: A 44-year-old woman, with prior endometrial ablation, complaining of
heavy vaginal bleeding was diagnosed with cervical ectopic pregnancy. Two doses
of intramuscular methotrexate were administered as conservative treatment of the
cervical pregnancy. Close follow-up in a dedicated early pregnancy unit allowed
successful management on an outpatient basis. CONCLUSION: The urine pregnancy
test maintains a crucial role in the investigation of abnormal vaginal bleeding
in a sexually active patient. Conservative management of a cervical pregnancy can
reduce the potential morbidity and mortality associated with the surgical
treatment option.
PMID- 18040707
TI - Multilocular intrauterine cystic mass, atypical presentation of endometrial
stromal sarcoma: a case report.
AB - Endometrial stromal sarcoma (ESS) is a rare neoplasm comprising only 0.2% of all
uterine malignancies and 15-26% of primary uterine sarcomas. Pathology of the
tumor was described as soft, fleshy, smooth polypoidal mass, which may protrude
in the uterine cavity. This case report, represent atypical presentation of ESS
as multilocular cystic intrauterine mass, which can be misdiagnosed as
multilocular ovarian cyst.
PMID- 18040708
TI - Preliminary evidence of the efficacy of probiotic eye-drop treatment in patients
with vernal keratoconjunctivitis.
AB - BACKGROUND: Probiotics have been shown to improve allergic inflammation. The aim
of this study was to evaluate the efficacy of Lactobacillus Acidophilus eye-drops
in controlling signs and symptoms of vernal keratoconjunctivitis (VKC). METHODS:
Seven patients (mean age 11.8 +/- 4.3; five M, two F) with mild to moderate VKC
were included in the study. Lactobacillus Acidophilus diluted in saline solution
(2 x 10(8) CFU/ml) was administrated as eye-drops four times daily for 4 weeks in
both eyes. Clinical signs (conjunctival hyperemia, chemosis, secretion, Trantas
dots, superficial punctuate keratitis) and symptoms (itching, photophobia,
burning, tearing) were evaluated and scored from 0 to 3 at baseline, after 2 and
4 weeks of treatment. Total sign (TSS) and symptom (TSyS) scores were calculated.
Conjunctival impression cytology was performed in three patients at baseline and
after 4 weeks of treatment, in order to evaluate the expression of ICAM-1 and TLR
4. RESULTS: In the six out of seven patients who completed the study, symptoms
were significantly improved after both 2 weeks (TSyS: baseline 6.7 +/- 0.9 vs 4.1
+/- 1.2; p = 0.017) and 4 weeks (TSyS: baseline 6.7 +/- 0.9 vs 3.6 +/- 1.2, p =
0.011) of treatment. A significant improvement of clinical signs was observed
after 4 weeks of treatment (TSS: baseline 7.5 +/- 1.6 vs 3.9 +/- 1.7, p = 0.034)
but not after 2 weeks of treatment (TSS: baseline 7.5 +/- 1.6 vs 5.3 +/- 1.5;
NS). In particular, photophobia was significantly reduced (2 +/- 0.6 vs 1 +/-
0.3; p = 0.023) at 2 weeks, while at 4 weeks the scores for itching (1.8 +/- 0.3
vs 1 +/- 0.3), tearing (1.6 +/- 0.4 vs 0.8 +/- 0.2), conjunctival hyperemia (2.3
+/- 0.2 vs 1.4 +/- 0.5) and chemosis (1.2 +/- 0.4 vs 0.4 +/- 0.4) were
significantly lower compared to baseline. A down-regulation of ICAM-1 and TLR-4
was observed in two patients showing clinical improvement after 4 weeks of
treatment. CONCLUSION: Our open pilot study showed that 1-month treatment with
probiotic eye-drops improves signs and symptoms in patients with VKC. Additional
double-blind controlled clinical trials with a larger sample of patients are
needed to confirm the effects of topical Lactobacilli on VKC patients.
PMID- 18040709
TI - Hormonal responses during a prolonged military field exercise with variable
exercise intensity.
AB - The purpose of the present study was to test the hypothesis that the magnitude of
hormonal concentration alterations during a prolonged military field exercise
with constant energy intake (EI) is influenced by changes in energy deficit (ED)
induced by varying the exercise intensity. Basal serum hormone concentrations
were measured in a group of healthy young male volunteers (n = 7) during a 20-day
field exercise. During the first week of the exercise, the average ED was 4,000
kcal/day (P-I), in the second week only 450 kcal/day (P-II), and in the last week
1,000 kcal/day (P-III). During the first 5 days of the field exercise,
significant increases in cortisol (COR, +32%) and growth hormone (GH, +616%)
concentrations were observed, while insulin (INS, -70%), total testosterone (TES,
-27%), free testosterone (TES(free), -26%) decreased. However, after these
initial responses, COR and GH returned to the pre-exercise level by the beginning
of P-II. Also TES and TES(free) recovered to the pre-exercise level by the
beginning of P-III, and INS by the end of P-III. The concentration of TES (+29%)
increased above the pre-exercise level by the beginning of P-III. Serum thyroxin
(T(4)) concentration was significantly lesser (-12%) and urine urea concentration
significantly higher (+78%) after the field exercise than before it. Therefore,
it can be concluded that the lower levels of ED in the second and third phase (ED
<1,000 kcal/day) allowed recovery of hormonal changes observed in the first phase
with ED much greater than 1,000 kcal/day.
PMID- 18040710
TI - Mineralocorticoid receptor is essential for corticosteroid-induced up-regulation
of L-type calcium currents in cultured neonatal cardiomyocytes.
AB - Despite the fact that mineralocorticoid receptor (MR) antagonist drugs such as
spironolactone and eplerenone reduce the mortality in heart failure patients,
there is, thus far, no unambiguous demonstration of a functional role of MR in
cardiac cells. The aim of this work was to investigate the activation pathway(s)
mediating corticosteroid-induced up-regulation of cardiac calcium current (ICa).
In this study, using neonatal cardiomyocytes from MR or glucocorticoid receptor
(GR) knockout (KO) mice, we show that MR is essential for corticosteroid-induced
up-regulation of ICa. This study provides the first direct and unequivocal
evidence for MR function in the heart.
PMID- 18040711
TI - Anionic derivatives of xyloglucan function as acceptor but not donor substrates
for xyloglucan endotransglucosylase activity.
AB - Tamarind xyloglucan was oxidised by reaction with sodium hypochlorite in the
presence of 2,2,6,6-tetramethyl-1-piperidinyloxy free radical (TEMPO). Galactose
residues and non-xylosylated glucose residues were thus converted into
galacturonic and glucuronic acid residues, respectively, producing an anionic
polysaccharide. Acid hydrolysis of oxidised xyloglucan yielded two aldobiouronic
acids, deduced to be beta-D: -GalpA-(1-->2)-D-Xyl and beta-D: -GlcpA-(1-->4)-D
Glc. Anionic xyloglucan had a decreased ability to hydrogen-bond to cellulose and
to complex with iodine. It was almost totally resistant to digestion by cellulase
[endo-(1-->4)-beta-glucanase] and did not serve as a donor substrate for
xyloglucan endotransglucosylase (XET) activity. Like several other anionic
polysaccharides, it promoted XET activity when unmodified (non-ionic) xyloglucan
was used as donor substrate. Anionic xyloglucan may mimic polyanions whose
presence in the plant cell wall promotes the action of endogenous XTH proteins.
NaOCl with TEMPO oxidised the heptasaccharide, XXXG, to form XXX-glucarate, which
did serve as an acceptor substrate although at a rate approximately fourfold less
than XXXG itself. Anionic derivatives of xyloglucan, acting as acceptor but not
donor substrates, may be valuable tools for exploring the biological roles of
XTHs in the integration versus the re-structuring of xyloglucan in the plant cell
wall.
PMID- 18040712
TI - Lymphangiogenesis in Crohn's disease: an immunohistochemical study using
monoclonal antibody D2-40.
AB - Crohn's disease (CD) is a chronic inflammatory bowel disorder of unknown
etiology. An involvement of the intestinal lymphatic system has been suggested.
Recently, monoclonal antibodies have become available to distinguish lymphatic
vessels from blood vessels. The aim of the study was to examine the distribution
of lymphatic vessels in ileal and colic walls of patients affected by CD and
compare it with healthy controls and other inflammatory bowel diseases. Twenty
eight cases of CD, 13 cases of other inflammatory bowel diseases, and 10 normal
ileal and colic walls were studied. Immunohistochemical staining was performed
using the monoclonal antibody D2-40. Quantification of lymphatic vessels was
performed by identifying four fields with high density of lymphatics and then
counting the number of lymphatic vessels at high resolution. Lymphatic diameter
was also evaluated by using an ocular micrometer. Lymphatic vessels showed the
highest density in CD specimens. The median number of lymphatics was
significantly higher both in ileal and colic samples of CD than the other
inflammatory diseases as well as normal controls. Moreover, in patients with CD,
diffuse lymphangiectasia was also observed. The present data suggest that
lymphangiogenesis and lymphangiectasia probably play a role in the pathogenesis
of CD.
PMID- 18040713
TI - MicroRNA: implications for cancer.
AB - MicroRNAs (miRNAs) are small RNA molecules that regulate gene expression post
transcriptionally. After the discovery of the first miRNA in the roundworm
Caenorhabditis elegans, these short regulatory RNAs have been found to be an
abundant class of RNAs in plants, animals, and DNA viruses. About 3% of human
genes encode for miRNAs, and up to 30% of human protein coding genes may be
regulated by miRNAs. MicroRNAs play a key role in diverse biological processes,
including development, cell proliferation, differentiation, and apoptosis.
Accordingly, altered miRNA expression is likely to contribute to human disease,
including cancer. This review will summarize the emerging knowledge of the
connections between human miRNA biology and different aspects of carcinogenesis.
Various techniques available to investigate miRNAs will also be discussed.
PMID- 18040714
TI - Analysis of follicular lymphoma by dual-color fluorescence in situ hybridization.
AB - Follicular lymphoma (FL) is divided into two groups: one with the
t(14;18)(q32;q21) and the other without this translocation but with other
chromosomal abnormalities including t(3q27) break. The majority of FLs in Western
countries have the former chromosomal changes with characteristic clinical
features and low histological grades. The goal of this study was to investigate
the characteristics of Korean FLs with regard to the underlying molecular
defects. Sixty-one cases of FL were evaluated from two centers in Korea by
immunostaining for CD10, bcl-2, and bcl-6 proteins. Fluorescence in situ
hybridization was performed to detect the t(14;18) and t(3q27) break. Cases with
FL grade 3 accounted for 57% of all 61 cases. The t(14;18) was detected in 43.9%
of the cases studied, and the frequency was 80, 50, 34.8, and 9.1% from grade 1
through grade 3b. The t(3q27) was detected in 16.1% of cases. Cases without a
t(14;18) were mainly histological grade 3 (P < 0.001) and had a tendency to have
the t(3q27) and bcl-2 amplification. The incidence of t(14;18)-positive low-grade
FL was found to be lower in Korea than in Western countries. The increased
frequency of t(14;18)-negative grade 3 FL attributes to the lower incidence of
t(14;18) in Korean FL.
PMID- 18040715
TI - Involvement of Escherichia coli K1 ibeT in bacterial adhesion that is associated
with the entry into human brain microvascular endothelial cells.
AB - IbeT is a downstream gene of the invasion determinant ibeA in the chromosome of a
clinical isolate of Escherichia coli K1 strain RS218 (serotype 018:K1:H7). Both
ibeT and ibeA are in the same operon. Our previous mutagenesis and
complementation studies suggested that ibeT may coordinately contribute to E.
coli K1 invasion with ibeA. An isogenic in-frame deletion mutant of ibeT has been
made by chromosomal gene replacement with a recombinant suicide vector carrying a
fragment with an ibeT internal deletion. The characteristics of the mutant in
meningitic E. coli infection were examined in vitro [cell culture of human brain
microvascular endothelial cells (HBMEC)] and in vivo (infant rat model of E. coli
meningitis) in comparison with the parent strain. The ibeT deletion mutant was
significantly less adhesive and invasive than its parent strain E. coli E44 in
vitro, and the adhesion- and invasion-deficient phenotypes of the mutant can be
complemented by the ibeT gene. Recombinant IbeT protein is able to block E. coli
E44 invasion of HBMEC. Furthermore, the ibeT deletion mutant is less capable of
colonizing intestine and less virulent in bacterial translocation across the
blood-brain barrier (BBB) than its parent E. coli E44 in vivo. These data suggest
that ibeT-mediated E. coli K1 adhesion is associated with the bacterial invasion
process.
PMID- 18040717
TI - High performance thin layer chromatographic analysis of neutral lipids in the
urine of BALB/c mice infected with Echinostoma caproni.
AB - Silica gel high performance thin layer chromatography-densitometry was used to
determine the neutral lipid profile in the urine of BALB/c mice experimentally
infected with adults of Echinostoma caproni. An approximate 30 worm burden per
host induced certain changes in the neutral lipid profile of the mice at 2 to 10
weeks post-infection (PI) relative to the uninfected controls. Infection caused a
significant increase (Student's t test, P < 0.05) in the methyl oleate fraction
at 6 and 7 weeks PI relative to the uninfected controls. Neutral lipid profiles
of urine may serve as an indicator of experimental infection of echinostomes in
mice.
PMID- 18040716
TI - A rare association of interrupted aortic arch type C and microdeletion 22q11.2.
AB - Microdeletion 22q11.2 is associated with a variety of findings, and the most
common are cardiac defects. It is very frequently associated with interrupted
aortic arch (IAA) type B and very rarely with type A and type C. Here we report
the first case of IAA type C associated with 22q11.2 deletion in Serbia and, to
the best of our knowledge, the fourth case described worldwide so far. By this
report we would like to point out that all patients with IAA type C who have
additional features specific for 22q11.2 microdeletion syndrome should be
screened for the presence of this deletion.
PMID- 18040718
TI - Ascaridia numidae (Leiper, 1908; Travassos, 1913) in Rock Partridge (Alectoris
chukar) in Turkey.
AB - This report present first case of Ascaridia numidae isolated from three dead rock
partridges (Alectoris chukar) with stressing morphological characteristics and
its responsiveness to the medical treatment. A. numidae was confirmed by presence
of one papilla on the posterior region of the preanal sucker and a total of 10
pairs of the caudal papillae. In necropsy, a total of 680 (282 male and 3,988
female) A. numidae and 289 (147 male and 142 female) Heterakis dispar were
isolated from all partridges. Females were 11.20 mm longer and 0.22 mm wider than
males. There was no difference in length of oesophagus (2.27 mm). Lengths of the
spikules were equals and ranged from 2.08 to 2.93 according to the body size.
Vulva was located in medial line and the mean egg size was 0.10 x 0.06 mm. Faecal
samples from live birds from the same farm contained Ascaridia spp. and Heterakis
spp. eggs. No eggs were encountered 1 week after oral administration of a single
dose of levamisole (30 mg/kg), but 3 months post-medication. In conclusion, this
is the first case reporting A. numidae in exotic birds in Turkey. A. numidae has
unique papillae that are distinguishable from the other ascarids and is
responsive to medical treatment as long as hygienic conditions are maintained.
PMID- 18040719
TI - Experimental infection of Haemonchus contortus strains resistant and susceptible
to benzimidazoles and the effect on mast cells distribution in the stomach of
Mongolian gerbils (Meriones unguiculatus).
AB - Establishment rate of Haemonchus contortus in non-suppressed and immunosuppressed
gerbils within 14 days post-infection was compared after inoculation with 1,000
third-stage larvae (L3), exsheathed BZ-susceptible larvae. Based on significantly
higher number of larvae in gerbils receiving low doses of immunosuppressant agent
hydrocortisone, development of benzimidazole (BZ)-susceptible and BZ-resistant
strain of nematode in the stomach was studied on days 4, 7, 10, and 14 p.i.
Sections of stomach from both groups of animals were examined for overall
histopathological response and dynamics of mucosal mast cells (MMC) and
connective tissue mast cells (CTMC). In the immunosuppressed gerbils, H.
contortus L3 stage larvae developed to the L4 stage on days 10 and 14 p.i., and
their sex ratio was higher toward female worms. Significantly higher ratios of
establishment rate were recorded for BZ-susceptible than BZ-resistant strain.
Infection elicited strong inflammation mainly in the lamina propria mucosae,
where MMC numbers peaked on day 7 p.i., being present in a significantly higher
numbers in gerbils infected with BZ-susceptible strain. Infection with BZ
susceptible strain of nematode also resulted in a higher number of CTMC in
comparison with the effect of BZ-resistant strain, which were observed in the
tela submucosa only. Thus, H. contortus infection in gerbils seems to be a
suitable model to study host-parasite interactions. Our results indicate that BZ
resistant strain of H. contortus have a decreased capacity to establish infection
in direct relation with lower mucosal and connective tissue MCs counts in the
stomach.
PMID- 18040720
TI - Infection of immunocompetent mice with acid-water-pretreated Cryptosporidium
parvum results in weight loss, and intestinal (structural and physiological)
alterations.
AB - Cryptosporidiosis, caused by Cryptosporidium, causes self-limited diarrhea in
normal hosts but may cause life-threatening diarrhea in immunocompromised
persons. Cryptosporidium-induced manifestations, including weight-loss and
intestinal physiological alterations are not noted in adult immunocompetent mice.
So far, studies that have been used to test the therapeutic efficacy of drugs
have been performed using various immunocompromised animal models. There is an
urgent need of an immunocompetent small animal model that portrays
Cryptosporidium-induced manifestations. In the current studies, we have compared
two Cryptosporidium parvum pretreatment methods, we have hence used sodium
hypochlorite or acidic water to treat Cryptosporidium parvum, followed by
infection by oral gavage in adult immunocompetent C57BL6 mice. We demonstrated
manifestations such as weight loss, intestinal structural and physiological
alterations such as intestinal, villi blunting, and glucose malabsorption (as
studied by the Ussing chamber technique) only in response to infection with C.
parvum that has been treated with acidic water and not with sodium hypochlorite.
These novel studies reveal that acidic water treatment of C. parvum results in
manifestations of cryptosporidiosis in otherwise resistant immunocompetent mice.
The current studies open up possibilities of using the normal immunocompetent
mice model to test therapeutic drugs against cryptosporidiosis.
PMID- 18040721
TI - Stem cells and neonatal brain injury.
AB - Recent advances in regenerative medicine and in our understanding of neurogenesis
may lead to new ways of recovering neuronal function lost or damaged during the
perinatal period; such injuries are not amenable to conventional therapies. We
review recent experimental studies based on immature rodental models of neonatal
brain injury, especially hypoxic-ischemic encephalopathy. The developing brain is
revealed to have considerable potential with respect to proliferation and
migration to the injured site. However, the generation of fully differentiated
neurons is extremely limited after brain injuries. Aggressive efforts to adjust
the environment of the damaged brain in which tissue regeneration is occurring or
more cautious stem cell transplantation will be required for the successful
treatment of developmental brain injury.
PMID- 18040722
TI - Characteristics of resident dendritic cells in various regions of rat periodontal
ligament.
AB - In the periodontal ligament (PDL) of normal rat molars, a considerable number of
dendritic cells (DCs) are distributed as resident immunocompetent cells. We have
hypothesized that the resident type of DCs can alter their morphology and
phenotype to adapt to the microenvironment of the PDL. Thus, we have compared the
characteristics of DCs in various regions of rat PDL by immunoelectron microscopy
and gene expression analysis by means of reverse transcription/polymerase chain
reaction. DCs in the periapical region show immature characteristics, i.e., small
round profiles and few organelles. In the mesial region, which is the most stable
area in the PDL, the density of DCs is the highest. DCs in the furcal region show
the characteristics of activated antigen-presenting cells, i.e., CD86(high)
expression, electron-dense lysosomes, and cell-to-cell contacts with lymphocytes.
When the PDL is bacterially challenged by pulp exposure, the number of CD86+ DCs
and contacts with lymphocytes increase in the furcal region. Gene expression
analysis for the furcal region has also shown the up-regulation of the major
histocompatibility complex class II alpha-chain, CD83, CD86, and the antigen
presenting cell-lectin-like receptor A1. Thus, we have demonstrated heterogeneity
in resident DCs. This may be associated with the difference in DCs regarding
their state of maturation/activation, which may further reflect
microenvironmental differences such as the amount of external antigens.
PMID- 18040723
TI - Shifts in litterfall and dominant nitrogen sources after expansion of shrub
thickets.
AB - Woody encroachment into herbaceous ecosystems is emerging as an important
ecological response to global change. A primary concern is alterations in C and N
cycling and associated variations across a variety of ecosystems. We quantified
seasonal variation in litterfall and litter N concentration in Morella cerifera
shrub thickets to assess changes in litterfall and associated N input after shrub
expansion on an Atlantic coast barrier island. We also used the natural abundance
of (15)N to estimate the proportion of litterfall N originating from symbiotic N
fixation. Litterfall for shrub thickets ranged from 8,991 +/- 247 to 3,810 +/-
399 kg ha(-1) year(-1) and generally declined with increasing thicket age.
Litterfall in three of the four thickets exceeded previous estimates of
aboveground annual net primary production in adjacent grasslands by 300-400%.
Leaf N concentration was also higher after shrub expansion and, coupled with low
N resorption efficiency and high litterfall, resulted in a return of as much as
169 kg N ha(-1) year(-1) to the soil. We estimated that approximately 70% of N
returned to the soil was from symbiotic N fixation resulting in an ecosystem
input of between 37 and 118 kg ha(-1) year(-1) of atmospheric N depending on
site. Considering the extensive cover of shrub thickets on Virginia barrier
islands, N fixation by shrubs is likely the largest single source of N to the
system. The shift from grassland to shrub thicket on barrier islands results in a
substantial increase in litterfall and foliar N concentration that will likely
have a major impact on the size and cycling of ecosystem C and N pools.
Increasing C and N availability in these nutrient-poor soils is likely to
permanently reduce cover of native grasses and alter community structure by
favoring species with greater N requirements.
PMID- 18040724
TI - Influence of mass transfer limitations on the enzymatic synthesis of beta-lactam
antibiotics catalyzed by penicillin G acylase immobilized on glioxil-agarose.
AB - Mass transfer effects were investigated for the synthesis of ampicillin and
amoxicillin, at pH 6.5 and 25 degrees C, catalyzed by penicillin G acylase
immobilized on agarose. The influence of external mass transfer was analysed
using different stirring rates, ranging form 200 to 800 rpm. Above 400 rpm, the
film resistance may be neglected. Intra-particle diffusion limitation was
investigated using biocatalysts prepared with different enzyme loads and agarose
with different mean pore diameters. When agarose with 6, 8 and 10% of
crosslinking were used, for the same enzyme load, substrates and products
concentration profiles presented no expressive differences, suggesting pore
diameter is not important parameter. An increase on enzyme load showed that when
more than 90 IU of enzyme activity were used per mL of support, the system was
influenced by intra-particle mass transfer. A reactive-diffusive model was used
to estimate effective diffusivities of substrates and products.
PMID- 18040725
TI - A case of aquaporin 2 R85X mutation in a boy with congenital nephrogenic diabetes
insipidus.
AB - Autosomal recessive nephrogenic diabetes insipidus (ARNDI) is a rare disease
usually seen in patients with consanguineous parents. We report on a case of
ARNDI in a patient with non-consanguineous parents who presented with recurrent
febrile attacks. The differential diagnosis of ARNDI was made by desmopressin
infusion test. A homozygous mutation, R85X, was detected in the aquaporin 2 gene
(AQP2) of our patient, which has been described only once previously. This case
is presented to stress that even male patients with non-consanguineous parents
could have ARNDI with a AQP2 gene defect, and the desmopressin infusion test is
useful for differential diagnosis.
PMID- 18040726
TI - Acute renal failure in a 3-year-old child as part of the drug reaction with
eosinophilia and systemic symptoms (DRESS) syndrome following hepatitis A.
AB - We report on a 3-year-old Melanesian girl admitted for acute renal failure
following subfulminant hepatitis A virus infection. While the child was slowly
recovering from severe cytolytic hepatitis, she presented 8 weeks of protracted
fever and major eosinophilia (30,000/microl); thereafter, acute renal failure
(serum creatinine 295 micromol/l) occurred. Renal histology displayed diffuse
eosinophilic infiltrate, with severe acute tubulointerstitial lesions associated
with mild glomerular endocapillary proliferation and eosinophilic infiltrate,
suggesting an immunoallergic mechanism. The child had received cefixime and
cotrimoxazole 3 weeks prior to hospitalisation for the hepatitis A virus
infection. The final diagnosis was of the syndrome drug reaction with
eosinophilia and systemic symptoms or DRESS, induced by cefixime or cotrimoxazole
and possibly triggered by the hepatitis A virus infection.
PMID- 18040727
TI - Urinary interleukin-6 is useful in distinguishing between upper and lower urinary
tract infections.
AB - This study was designed to determine whether the measurement of interleukin (IL)
6 in urine is useful for distinguishing between acute pyelonephritis and lower
urinary tract infection. This observational study was carried out at Leon
Hospital (Spain) on 35 patients (ten boys) aged between 0 and 14 years with
urinary tract infection. Urinary levels of IL-6 were determined with enzyme
linked immunosorbent assay (ELISA) at diagnosis and after recovery. Renal
dimercaptosuccinate acid (DMSA) scan was performed on all patients to discard or
confirm acute pyelonephritis. The mean urinary concentration [x +/- standard
deviation (SD)] of IL-6 at diagnosis was 20.3 +/- 23.3 and 5.3 +/- 9.7 pg/ml in
patients with acute pyelonephritis and lower urinary infection, respectively [95%
confidence interval (CI): 2.6-27.4; p < 0.01]. Specificity for a value of IL-6
>15 pg/ml, was 94.1% (95% CI: 91.1-97.1). Positive predictive value for IL-6 >15
pg/ml was 87.5% (95% CI: 81.1-93.8). IL-6 was undetectable in the urine of both
groups of patients at the time of recovery. Urinary levels of IL-6 are useful in
differentiating between upper and lower urinary tract infection in children. In
this clinical setting, a value >15 pg/ml is a strong indicator of acute
pyelonephritis.
PMID- 18040728
TI - Influence of type of luting cement used with all-ceramic crowns on load
capability of post-restored endodontically treated maxillary central incisors.
AB - Adhesive luting of the final restorations is supposed to support the overall
strength of post-endodontically restored teeth. For evaluating adhesive vs
conventional cementation, the load capability of adhesively restored
endodontically treated teeth (ETT) with glass fibre-reinforced composite posts
(GFRCP), resin composite cores and all-ceramic crowns was determined. Thirty-two
caries-free human upper, central incisors were randomly assigned to four groups,
endodontically treated and cut 2 mm above the cemento-enamel junction. All teeth
were restored with GFRCP, composite core build-ups and all-ceramic crowns. All
ceramic crowns were cemented with zinc phosphate cement (I), glass ionomer cement
(II), and a self-adhesive resin cement (III and IV). After thermo-mechanical
loading, the specimens were loaded to fracture. Maximum load capability F(max)
and fracture patterns were compared using non-parametric statistics. Median
values for the maximum load capability F(max) (minimum/maximum) in [N] were: I =
503 (416/1,038), II = 442 (369/711), III = 502 (326/561) and IV = 518 (416/652).
No statistically significant differences were found for F(max) (p = 0.761) and
fracture patterns (p = 0.094) between the experimental groups. The type of
cementation of all-ceramic crowns, whether self-adhesive or conventional, appears
to have no impact on the load capability of ETT restored with GFRCP and composite
core build-ups.
PMID- 18040729
TI - In vitro and in vivo studies on the toxicity of dental resin components: a
review.
AB - In vitro and in vivo studies have clearly identified that some components of
restorative composite resins, adhesives, and resin-modified glass ionomer cements
are toxic. The mechanisms of cytotoxicity are related firstly to the short-term
release of free monomers occurring during the monomer-polymer conversion.
Secondly, long-term release of leachable substances is generated by erosion and
degradation over time. In addition, ion release and proliferation of bacteria
located at the interface between the restorative material and dental tissues are
also implicated in the tissue response. Molecular mechanisms involve glutathione
depletion and reactive oxygen species (ROS) production as key factors leading to
pulp or gingival cell apoptosis. Experimental animal approaches substantiate the
occurrence of allergic reactions. There is a large gap between the results
published by research laboratories and clinical reports.
PMID- 18040730
TI - Trichomonas vaginalis harboring Mycoplasma hominis increases cytopathogenicity in
vitro.
AB - The parasite Trichomonas vaginalis causes one of the most common non-viral
sexually transmitted infections in humans. Mycoplasmas are frequently found with
trichomonads but the consequences of this association are not yet known. In the
present study, the effects of T. vaginalis harboring M. hominis on human vaginal
epithelial cells and on MDCK cells are described. The results were analyzed by
light, scanning and transmission electron microscopy, as well as using cell
viability assays. There was an increase in the cytopathic effects on the
epithelial cells infected with T. vaginalis associated with M. hominis compared
to T. vaginalis alone. The epithelial cells exhibited an increase in the
intercellular spaces, a lesser viability, and increased destruction provoked by
the infected T. vaginalis. In addition, the trichomonads presented a higher
amoeboid transformation rate and an intense phagocytic activity, characteristics
of higher virulence behavior.
PMID- 18040731
TI - Systemic air embolism after percutaneous transthorasic needle biopsy of the lung.
AB - Computed tomography (CT)-guided percutaneous transthoracic biopsy of the lung is
a well-established diagnostic technique, but it can pose complications to the
patients. Air embolism is one of the rarest but potentially fatal complications
of this procedure. The authors report a fatal case of systemic and massive air
embolism to the coronary and cerebral arteries after the performance of lung
biopsy. Careful reviewing of the obtained CT images during the procedure may
avoid a missing systemic air embolism and can immediately provide an adequate
therapy also in asymptomatic patients. This extremely rare complication is an
inevitable event and may happen in spite of appropriate experience and meticulous
care.
PMID- 18040732
TI - Estimating material parameters of human skin in vivo.
AB - An accurate mathematical representation of the mechanical behaviour of human skin
is essential when simulating deformations occurring in the skin during body
movements or clinical procedures. In this study constitutive stress-strain
relationships based on experimental data from human skin in vivo were obtained. A
series of multiaxial loading experiments were performed on the forearms of four
age- and gender matched subjects. The tissue geometry, together with recorded
displacements and boundary forces, were combined in an analysis using finite
element modelling. A non-linear optimization technique was developed to estimate
values for the material parameters of a previously published constitutive law,
describing the stress-strain relationship as a non-linear anisotropic membrane.
Ten sets of material parameters where estimated from the experiments, showing
considerable differences in mechanical behaviour both between individual subjects
as well as mirrored body locations on a single subject. The accuracy of
applications that simulate large deformations of human skin could be improved by
using the parameters found from the in vivo experiments as described in this
study.
PMID- 18040733
TI - Left ventricular function in patients with transposition of the great arteries
operated with atrial switch.
AB - In patients operated with atrial switch for transposition of the great arteries
(TGA), the left ventricle (LV) supports the pulmonary circulation and is thus
pressure unloaded. Evaluation of LV function in this setting is of importance, as
LV functional abnormalities have been documented and might contribute to
development of symptoms. The ventricular contraction pattern in 14 Senning
operated TGA patients and 14 healthy controls was studied using tissue Doppler
and magnetic resonance imaging. In the subpulmonary LV free wall, longitudinal
strain was greater than circumferential strain (-23.6 +/- 3.6% vs. -19.1 +/-
3.2%, p = 0.002) as in the normal right ventricle (RV) (-30.7 +/- 3.3% vs. -15.8
+/- 1.3%, p < 0.001), but opposite to findings in the normal LV (-16.5 +/- 1.7%
vs. -25.7 +/- 3.1%, p < 0.001). Subpulmonary strain and strain rate values were
intermediate between those in the normal LV and RV. Ventricular free-wall torsion
was reduced in the subpulmonary LV compared with both the normal LV (5.7 +/- 3.2
degrees vs. 16.7 +/- 5.6 degrees , p < 0.001) and RV (5.7 +/- 3.2 degrees vs.
11.4 +/- 2.6 degrees , p < 0.05). Furthermore, early diastolic filling of the
subpulmonary LV differed from that of the normal LV. The subpulmonary LV
displayed predominantly longitudinal shortening, as did its functional
counterpart, the normal RV. However, the degree and rate of both longitudinal and
circumferential shortening were intermediate between those of the normal LV and
RV. This could represent a partial adaptation to the reduced pressure load.
Decreased ventricular torsion and diastolic abnormalities might indicate
subclinical ventricular dysfunction.
PMID- 18040735
TI - A nomogram for predicting the degree of breast augmentation according to implant
size.
AB - Choosing the right implant size for a specific patient is a challenging aspect of
breast augmentation. Bust circumference is obtained by measuring the chest
circumference at the level of the nipple-areola complex. Pre- and postoperative
bust circumference measurements obtained from 147 patients who underwent primary
bilateral breast augmentation using round, textured, gel-filled implants were
evaluated, and a practical reference list for future operations was developed.
According to the results, each additional 100 ml in implant size yielded an
approximate 2-cm increase in bust circumference. The authors believe these
results gathered from systematic measurements may serve as a useful guide for
choosing the right size implant for a satisfactory augmentation procedure.
PMID- 18040736
TI - Habitat and biodiversity of on-farm water storages: a case study in Southeast
Queensland, Australia.
AB - On-farm water storages (locally known as farm dams or farm ponds) are an
important part of many agricultural landscapes, as they provide a reliable source
of water for irrigation and stock. Although these waterbodies are artificially
constructed and morphologically simple, there is increasing interest in their
potential role as habitat for native flora and fauna. In this article, we present
results from a case study which examined the habitat characteristics (such as
water physical and chemical parameters, benthic metabolism, and macrophyte cover)
and the macrophyte and macroinvertebrate biodiversity of eight farm ponds on four
properties in the Stanley Catchment, Southeast Queensland, Australia. Each
landowner was interviewed to allow a comparison of the management of the ponds
with measured habitat and biodiversity characteristics, and to understand
landowners' motivations in making farm pond management decisions.The physical and
chemical water characteristics of the study ponds were comparable to the limited
number of Australian farm ponds described in published literature. Littoral zones
supported forty-five macroinvertebrate families, with most belonging to the
orders Hemiptera, Coleoptera, Odonata, and Diptera. Invertebrate community
composition was strongly influenced by littoral zone macrophyte structure, with
significant differences between ponds with high macrophyte cover compared to
those with bare littoral zones. The importance of littoral zone macrophytes was
also suggested by a significant positive relationship between invertebrate
taxonomic richness and macrophyte cover.The landowners in this study demonstrated
sound ecological knowledge of their farm ponds, but many had not previously
acknowledged them as having high habitat value for native flora and fauna. If
managed for aquatic organisms as well as reliable water sources, these artificial
habitats may help to maintain regional biodiversity, particularly given the large
number of farm ponds across the landscape.
PMID- 18040734
TI - Intensive management affects composition of betaproteobacterial ammonia oxidizers
in turfgrass systems.
AB - Turfgrass is a highly managed ecosystem subject to frequent fertilization,
mowing, irrigation, and application of pesticides. Turf management practices may
create a perturbed environment for ammonia oxidizers, a key microbial group
responsible for nitrification. To elucidate the long-term effects of turf
management on these bacteria, we assessed the composition of betaproteobacterial
ammonia oxidizers in a chronosequence of turfgrass systems (i.e., 1, 6, 23, and
95 years old) and the adjacent native pines by using both 16S rRNA and amoA gene
fragments specific to ammonia oxidizers. Based on the Shannon-Wiener diversity
index of denaturing gradient gel electrophoresis patterns and the rarefaction
curves of amoA clones, turf management did not change the relative diversity and
richness of ammonia oxidizers in turf soils as compared to native pine soils.
Ammonia oxidizers in turfgrass systems comprised a suite of phylogenetic clusters
common to other terrestrial ecosystems. Nitrosospira clusters 0, 2, 3, and 4;
Nitrosospira sp. Nsp65-like sequences; and Nitrosomonas clusters 6 and 7 were
detected in the turfgrass chronosequence with Nitrosospira clusters 3 and 4 being
dominant. However, both turf age and land change (pine to turf) effected minor
changes in ammonia oxidizer composition. Nitrosospira cluster 0 was observed only
in older turfgrass systems (i.e., 23 and 95 years old); fine-scale differences
within Nitrosospira cluster 3 were seen between native pines and turf. Further
investigations are needed to elucidate the ecological implications of the
compositional differences.
PMID- 18040737
TI - Systemic artery to pulmonary artery fistula associated with mitral regurgitation:
successful treatment with endovascular embolization.
AB - We present the case of a 60-year-old woman with symptomatic mitral regurgitation
caused by a left-to-right shunt via anastomoses consisting of microfistulae, most
likely of inflammatory origin, between the right subclavian artery and the right
pulmonary artery. The three arteries responsible for fistulous formation,
including the internal mammary, thyrocervical, and lateral thoracic arteries,
were successfully occluded by transcatheter embolization using superabsorbent
polymer microsphere (SAP-MS) particles combined with metallic coils. No
complications have been identified following treatment with SAP-MS particles.
This approach significantly reduced the patient's mitral regurgitation and she
has remained asymptomatic for more than 4 years.
PMID- 18040738
TI - Is the use of fullerene in photodynamic therapy effective for atherosclerosis?
AB - The purpose of this study was to evaluate Fullerene as a therapeutic
photosensitizer in the treatment of atherosclerosis. An atherosclerotic
experimental rabbit model was prepared by causing intimal injury to bilateral
external iliac arteries using balloon expansion. In four atherosclerotic rabbits
and one normal rabbit, polyethylene glycol-modified Fullerene (Fullerene-PEG) was
infused into the left external iliac artery and illuminated by light emitting
diode (LED), while the right external iliac artery was only illuminated by LED.
Two weeks later, the histological findings for each iliac artery were evaluated
quantitatively and comparisons were made among atherosclerotic Fullerene+LED
artery (n = 4), atherosclerotic light artery (n = 4), normal Fullerene+LED artery
(n = 1), and normal light artery (n = 1). An additional two atherosclerotic
rabbits were studied by fluorescence microscopy, after Fullerene-PEG-Cy5 complex
infusion into the left external iliac artery, for evaluation of Fullerene-PEG
incorporated within the atherosclerotic lesions. The degree of atherosclerosis in
the atherosclerotic Fullerene+LED artery was significantly (p < 0.05) more severe
than that in the atherosclerotic LED artery. No pathological change was observed
in normal Fullerene+LED and LED arteries. In addition, strong accumulation of
Fullerene-PEG-Cy5 complex within the plaque of the left iliac artery of the two
rabbits was demonstrated, in contrast to no accumulation in the right iliac
artery. We conclude that infusion of a high concentration of Fullerene-PEG
followed by photo-illumination resulted not in a suppression of atherosclerosis
but in a progression of atherosclerosis in experimental rabbit models. However,
this intervention showed no adverse effects on the normal iliac artery.
PMID- 18040739
TI - Transcatheter coil embolization of splenic artery aneurysm.
AB - The purpose of this study was to evaluate clinical results and technical problems
of transcatheter coil embolization for splenic artery aneurysm. Subjects were 16
patients (8 men, 8 women; age range, 40-80 years) who underwent transcatheter
embolization for splenic artery aneurysm (14 true aneurysms, 2 false aneurysms)
at one of our hospitals during the period January 1997 through July 2005. Two
aneurysms (12.5%) were diagnosed at the time of rupture. Multiple splenic
aneurysms were found in seven patients. Aneurysms were classified by site as
proximal (or strictly ostial) (n = 3), middle (n = 3), or hilar (n = 10). The
indication for transcatheter arterial embolization was a false or true aneurysm
20 mm in diameter. Embolic materials were fibered coils and interlocking
detachable coils. Embolization was performed by the isolation technique, the
packing technique, or both. Technically, all aneurysms were devascularized
without severe complications. Embolized aneurysms were 6-40 mm in diameter (mean,
25 mm). Overall, the primary technical success rate was 88% (14 of 16 patients).
In the remaining 2 patients (12.5%), partial recanalization occurred, and re
embolization was performed. The secondary technical success rate was 100%. Seven
(44%) of the 16 study patients suffered partial splenic infarction. Intrasplenic
branching originating from the aneurysm was observed in five patients. We
conclude that transcatheter coil embolization should be the initial treatment of
choice for splenic artery aneurysm.
PMID- 18040740
TI - A review of the functionality of probiotics in the larviculture food chain.
AB - During the past two decades, the use of probiotics as an alternative to the use
of antibiotics has shown to be promising in aquaculture, particularly in fish and
shellfish larviculture. This article reviews the studies on probiotics in
larviculture, focusing on the current knowledge of their in vivo mechanisms of
action. The article highlights that the in vivo mechanisms of action largely
remain to be unravelled. Several methodologies are suggested for further in vivo
research, including studies on gut microbiota composition, the use of gnotobiotic
animals as test models, and the application of molecular techniques to study host
microbe and microbe-microbe interactions.
PMID- 18040741
TI - Purification and characterization of extracellular phytase from a marine yeast
Kodamaea ohmeri BG3.
AB - The extracellular phytase in the supernatant of cell culture of the marine yeast
Kodamaea ohmeri BG3 was purified to homogeneity with a 7.2-fold increase in
specific phytase activity as compared to that in the supernatant by ammonium
sulfate fractionation, gel filtration chromatography (Sephadextrade mark G-75),
and anion-exchange chromatography (DEAE Sepharose Fast Flow Anion-Exchange).
According to the data from sodium dodecyl sulfate-polyacrylamide gel
electrophoresis, the molecular mass of the purified enzyme was estimated to be
98.2 kDa while the molecular mass of the purified enzyme was estimated to be 92.9
kDa and the enzyme was shown to be a monomer according to the results of gel
filtration chromatography. The optimal pH and temperature of the purified enzyme
were 5.0 and 65 degrees C, respectively. The enzyme was stimulated by Mn(2+),
Ca(2+), K(+), Li(+), Na(+), Ba(2+), Mg(2+) and Co(2+) (at a concentrations of 5.0
mM), but it was inhibited by Cu(2+), Hg(2+), Fe(2+), Fe(3+), Ag(+), and Zn(2+)
(at a concentration of 5.0 mM). The enzyme was also inhibited by
phenylmethylsulfonyl fluoride (PMSF), iodoacetic acid (at a concentration of 1.0
mM), and phenylgloxal hydrate (at a concentration of 5.0 mM), and not inhibited
by EDTA and 1,10-phenanthroline (at concentrations of 1.0 mM and 5.0 mM). The K
(m), V (max), and K (cat) values of the purified enzyme for phytate were 1.45 mM,
0.083 micromol/ml . min, and 0.93 s(-1), respectively.
PMID- 18040742
TI - A knowledge-anchored integrative image search and retrieval system.
AB - Clinical data that may be used in a secondary capacity to support research
activities are regularly stored in three significantly different formats: (1)
structured, codified data elements; (2) semi-structured or unstructured narrative
text; and (3) multi-modal images. In this manuscript, we will describe the design
of a computational system that is intended to support the ontology-anchored query
and integration of such data types from multiple source systems. Additional
features of the described system include (1) the use of Grid services-based
electronic data interchange models to enable the use of our system in multi-site
settings and (2) the use of a software framework intended to address both
potential security and patient confidentiality concerns that arise when
transmitting or otherwise manipulating potentially privileged personal health
information. We will frame our discussion within the specific experimental
context of the concept-oriented query and integration of correlated structured
data, narrative text, and images for cancer research.
PMID- 18040743
TI - Specificity in chemical profiles of workers, brood and mutualistic fungi in Atta,
Acromyrmex, and Sericomyrmex fungus-growing ants.
AB - Neotropical attine ants live in obligatory symbiosis with a fungus that they grow
for food on a substrate of primarily plant material harvested by workers.
Nestmate recognition is likely based on chemical cues as in most other social
insects, but recent studies have indicated that both the ants and their
mutualistic fungi may contribute to the recognition templates. To investigate the
within-colony variation in chemical profiles, we extracted and identified
compounds from the cuticle of workers, the postpharyngeal gland of workers, ant
pupae and larvae, and the fungal symbiont of three species of higher attine ants:
Atta colombica, Acromyrmex echinatior, and Sericomyrmex amabilis. The relative
proportions of identified compounds were compared and represented 11 classes: n
alkanes, alkenes, branched methylalkanes, branched dimethylalkanes,
trimethylalkanes, branched alkenes, aldehydes, alcohols, acetates, acids, and
esters. The chemical profiles in all three species are likely to be sufficiently
different to allow discrimination at the species and colony level and
sufficiently similar within colonies to generate a relatively constant colony
specific chemical gestalt. The relative likelihood of individual compounds being
derived from the ants, the ant brood, or the fungal symbiont are discussed. We
hypothesize that hydrocarbons are particularly important as recognition cues
because they appear to simultaneously allow the assessment of developmental
stages and the identification of symbiont, colony, and species.
PMID- 18040744
TI - Hypertension management in minority communities: a clinician survey.
AB - BACKGROUND: Rates of blood pressure (BP) control are lower in minority
populations compared to whites. OBJECTIVE: As part of a project to decrease
health-related disparities among ethnic groups, we sought to evaluate the
knowledge, attitudes, and management practices of clinicians caring for
hypertensive patients in a predominantly minority community. DESIGN/PARTICIPANTS:
We developed clinical vignettes of hypertensive patients that varied by
comorbidity (type II diabetes mellitus, chronic renal insufficiency, coronary
artery disease, or isolated systolic hypertension alone). We randomly assigned
patient characteristics, e.g., gender, age, race/ethnicity, to each vignette. We
surveyed clinicians in ambulatory clinics of the 4 hospitals in East/Central
Harlem, NY. MEASUREMENTS: The analysis used national guidelines to assess the
appropriateness of clinicians' stated target BP levels. We also assessed
clinicians' attitudes about the likelihood of each patient to achieve adequate BP
control, adhere to medications, and return for follow-up. RESULTS: Clinicians'
target BPs were within 2 mm Hg of the recommendations 9% of the time for renal
disease patients, 86% for diabetes, 94% for isolated systolic hypertension, and
99% for coronary disease. BP targets did not vary by patient or clinician
characteristics. Clinicians rated African-American patients 8.4% (p = .004) less
likely and non-English speaking Hispanic patients 8.1% (p = .051) less likely
than white patients to achieve/maintain BP control. CONCLUSIONS: Clinicians
demonstrated adequate knowledge of recommended BP targets, except for patients
with renal disease. Clinicians did not vary management by patients'
sociodemographics but thought African-American, non-English-speaking Hispanic and
unemployed patients were less likely to achieve BP control than their white
counterparts.
PMID- 18040745
TI - Modified liver hanging maneuver to facilitate left hepatectomy and caudate lobe
resection for hilar bile duct cancer.
AB - The liver hanging maneuver (LHM) is a useful technique enabling a safe anterior
approach, but it has several technical limitations for resection of the hepatic
paracaval portion. We present a modified LHM that facilitates concurrent
resection of the paracaval portion, a technique applicable to left liver
resection for hilar bile duct (HBD) cancers. During 11 months from November 2006
to September 2007, 10 HBD cancer patients underwent left liver resection using
the modified LHM. This method included initial partial transection of the caudal
paracaval portion. Thus, subsequent blind tunneling over the retrohepatic
inferior vena cava can become as short as 2-3 cm in length, resulting in
effective prevention of short hepatic vein injury. The parenchyma transection
plane was tailored to remove most of the paracaval portion. This modified LHM
technique was safely and effectively applied to 10 consecutive patients,
requiring a shorter time than conventional dissection method for caudate lobe
dissection. No significant bleeding occurred during retrohepatic tunneling. The
final parenchymal transection plane after left liver resection using modified LHM
was the same as that following the conventional surgical technique for HBD
cancers. In conclusion, we think that this modified LHM is an effective,
technically simple procedure for resection of the left liver and caudate lobe in
HBD patients.
PMID- 18040746
TI - Hepatic and splenic hydatidosis managed with percutaneous aspiration, injection,
and reaspiration (PAIR) of the hepatic cyst and laparoscopic splenectomy.
AB - The treatment of hydatidosis traditionally consisted of surgery with a
perioperative course of anthelmintic medications. However, percutaneous
aspiration, injection, and reaspiration (PAIR) combined with oral albendazole has
been recently shown to be as effective as surgery in the treatment of liver
hydatidosis. We report a 20-year-old female immigrant from Western Europe who
presented with discomfort in her upper abdomen. Computed tomography revealed a
5.7 x 7 x 5.9-cm cyst in segment 7 of the liver and a 17 x 15-cm cyst in the
spleen in contiguity with the hilar vessels. Indirect hemaglutination test
confirmed hydatidosis. A strategy with two different surgical approaches was
designed to treat her condition: laparoscopic splenectomy and ultrasound-guided
PAIR of the liver cyst. The patient was discharged on postoperative day 5, and at
18 months follow-up, she is free of symptoms.
PMID- 18040747
TI - Laparoscopic treatment of gastric GIST: report of 21 cases and literature's
review.
AB - BACKGROUND: Although the feasibility of laparoscopic resection of gastric
gastrointestinal stromal tumors (GISTs) has been established, various aspects are
debated. This paper describes the problems of minimally invasive resection of
gastric GISTs and compares this experience with an extensive literature review.
STUDY DESIGN: Between August 2001 and December 2006, 21 consecutive patients
undergoing laparoscopic resection of gastric GISTs were enrolled in a prospective
study. A literature review of laparoscopic treatment was performed on Pubmed
using keywords GIST and surgery. A comparison with authors' experience with open
wedge-segmental resection of GISTs (25 cases from November 1995 to December 2000)
was also carried out. Statistical analysis was based on chi-squared test and t
Student evaluation. RESULTS: Twenty-one patients, mean age 50.1 years (range, 34
68 years), were submitted to laparoscopic wedge- segmental gastric resections.
Mean tumor size was 4.5 cm (range, 2.0-8.5 cm). Mean operative time was 151 min
(range, 52-310 min), the mean blood loss was 101 mL (range, 10-250 mL), and the
mean hospital stay was 4.8 days (range 3-7 days). There were no major operative
complications or mortalities. All lesions had negative resection margins. At a
mean follow-up of 35 months, all patients were disease-free. Morbidity,
mortality, length of stay, and oncologic outcomes were comparable to the open
surgery retrospective evaluation (p=not significant). CONCLUSIONS: As found also
in the literature review, the laparoscopic resection is safe and effective in
treating gastric GISTs. Given these findings as well as the advantages afforded
by laparoscopic surgery, a minimally invasive approach should be the preferred
surgical treatment in patients with small- and medium-sized gastric GISTs.
PMID- 18040748
TI - A better method for preventing infection of percutaneous endoscopic gastrostomy.
AB - BACKGROUND: Percutaneous endoscopic gastrostomy (PEG) has been widely used to
maintain enteral nutrition in dysphagic patients. Local and occasional life
threatening systemic infections are still the most common complications, and the
major infection source may be nosocomial flora. The effect of antibiotic
prophylaxis on reducing peristomal infection is popularly accepted. However, it
is accompanied with a possible risk of increasing antibiotic resistance. AIM:
This study attempted to determine whether 14-day discharge before PEG could
reduce the rate of peristomal infection. MATERIALS AND METHODS: Fifty patients
who had received PEG in our hospital were included in this study and followed for
at least 6 months (except for those patients who died during this period).
Patients were separated into two groups randomly. Twenty-five patients received
PEG during in-hospitalization (group A). The other 25 patients received PEG until
discharge at least for 14 days (group B). The most frequent indication for PEG
insertion was the neurological condition. Risk factors for peristomal infection
were analyzed statistically using logistic regression and expressed by odds
ratios. Every possible factor was analyzed by chi-square test or Student's t
test. RESULT: Our data showed that group A had a higher peristomal infection rate
than group B (32 vs 8%) (p < 0.05). Group A also showed more need of antibiotics.
The risk factors related to peristomal infection were group A and lower albumin.
The total rate of 30-day mortality was 4%. DISCUSSION: When compared with
previous data, our study showed a similar infection rate in group A, a lower
infection rate in group B, and a lower 30-day mortality rate. This meant that one
period of discharge could reduce the peristomal infections caused by colonized
bacteria. It also decreased the need of using antibiotics and might avoid the
possible adverse consequence of promoting bacterial resistance, which is an
alarming and growing problem in hospital practice. CONCLUSION: We suggest that a
14-day grace period after discharge, before PEG insertion, may decrease
peristomal infection rate, length of hospital stay after PEG, and the need for
antibiotics. This is suitable for moral and ethical considerations.
PMID- 18040750
TI - Calculating surgical costs: how accurate and predictable is the cost of a
laparoscopic Roux-en-Y gastric bypass?
AB - BACKGROUND: Although bariatric surgery is highly effective for controlling
obesity and its complications, it is uncovered by third-party payers in many
countries. High cost and unpredictable expenses account for the lack of coverage.
We developed at our obesity clinic a fixed package for the Laparoscopic Roux-en-Y
Gastric Bypass (LRYGBP). The aim of this study is to evaluate the predictability
and reproducibility of the estimated expenses in the first 150 consecutive
patients who underwent LRYGBP as initial procedure. PATIENTS AND METHODS: A fixed
package which included hospitalization, operative room expenses, medications,
multidisciplinary support, and professional honorarium was established based on
the experience gained with more than 500 preceding interventions. Actual direct
medical costs of the initial 150 patients who underwent LRYGBP by one surgeon
were analyzed and contrasted to the anticipated expenses. RESULTS: Mean age was
35.8 +/- 11.8 years (range 16-69 years). Average body mass index (BMI) was 43.1
+/- 4.8 kg/m(2) (range 35-70). Mean number of comorbidities per patient were 1.7
+/- 0.8 (range 1-5). All patients completed a LRYGBP with no conversions. Mean
hospital stay was 3 +/- 0.3 days. Complications occurred in 13 patients. Mean
total expenses were 98.5 +/- 10% of the estimated costs. In 143 patients (95.3%),
the total expenses were within 10% of the estimated costs, whereas in five they
were > or =10% and in two > or =20%. CONCLUSION: Costs of a LRYGBP can be
accurately predicted and are highly reproducible when interventions are performed
by an experienced team and potentially lethal complications do not occur.
PMID- 18040749
TI - Risk of malignancy in resected cystic tumors of the pancreas < or =3 cm in size:
is it safe to observe asymptomatic patients? A multi-institutional report.
AB - Recent international consensus guidelines propose that cystic pancreatic tumors
less than 3 cm in size in asymptomatic patients with no radiographic features
concerning for malignancy are safe to observe; however, there is little published
data to support this recommendation. The purpose of this study was to determine
the prevalence of malignancy in this group of patients using pancreatic resection
databases from five high-volume pancreatic centers to assess the appropriateness
of these guidelines. All pancreatic resections performed for cystic neoplasms <
or =3 cm in size were evaluated over the time period of 1998-2006. One hundred
sixty-six cases were identified, and the clinical, radiographic, and pathological
data were reviewed. The correlation with age, gender, and symptoms (abdominal
pain, nausea and vomiting, jaundice, presence of pancreatitis, unexplained weight
loss, and anorexia), radiographic features suggestive of malignancy by either
computed tomography, magnetic resonance imaging, or endoscopic ultrasound
(presence of solid component, lymphadenopathy, or dilated main pancreatic duct or
common bile duct), and the presence of malignancy was assessed using univariate
and multivariate analysis. Among the 166 pancreatic resections for cystic
pancreatic tumors < or =3 cm, 135 cases were benign [38 serous cystadenomas, 35
mucinous cystic neoplasms, 60 intraductal papillary mucinous neoplasms (IPMN), 1
cystic papillary tumor, and 1 cystic islet cell tumor], whereas 31 cases were
malignant (14 mucinous cystic adenocarcinomas and 13 invasive carcinomas and 4 in
situ carcinomas arising in the setting of IPMN). A greater incidence of cystic
neoplasms was seen in female patients (99/166, 60%). Gender was a predictor of
malignant pathology, with male patients having a higher incidence of malignancy
(19/67, 28%) compared to female patients (12/99, 12%; p < 0.02). Older age was
associated with malignancy (mean age 67 years in patients with malignant disease
vs 62 years in patients with benign lesions (p < 0.05). A majority of the
patients with malignancy were symptomatic (28/31, 90%). Symptoms that correlated
with malignancy included jaundice (p < 0.001), weight loss (p < 0.003), and
anorexia (p < 0.05). Radiographic features that correlated with malignancy were
presence of a solid component (p < 0.0001), main pancreatic duct dilation (p =
0.002), common bile duct dilation (p < 0.001), and lymphadenopathy (p < 0.002).
Twenty-seven of 31(87%) patients with malignant lesions had at least one
radiographic feature concerning for malignancy. Forty-five patients (27%) were
identified as having asymptomatic cystic neoplasms. All but three (6.6%) of the
patients in this group had benign disease. Of the patients that had no symptoms
and no radiographic features, 1 out of 30 (3.3%) had malignancy (carcinoma in
situ arising in a side branch IPMN). Malignancy in cystic neoplasms < or =3 cm in
size was associated with older age, male gender, presence of symptoms (jaundice,
weight loss, and anorexia), and presence of concerning radiographic features
(solid component, main pancreatic duct dilation, common bile duct dilation, and
lymphadenopathy). Among asymptomatic patients that displayed no discernable
radiographic features suggestive of malignancy who underwent resection, the
incidence of occult malignancy was 3.3%. This study suggests that a group of
patients with small cystic pancreatic neoplasms who have low risk of malignancy
can be identified, and selective resection of these lesions may be appropriate.
PMID- 18040751
TI - Proximal duodenal-ileal end-to-side bypass with sleeve gastrectomy: proposed
technique.
AB - Proximal duodenal-ileal end-to-side bypass with sleeve gastrectomy is a new
bariatric technique based on the biliopancreatic diversion with duodenal switch
in which after the sleeve gastrectomy, the duodenum is anastomosed to the ileum
in a Billroth-II fashion. A 200-cm common channel-alimentary limb is devised.
Anticipating an appropriate weight loss, at least similar to that obtained after
gastric bypass, theoretical benefits for operated patients are a shorter
operative time, the performance of only one anastomosis, and no mesentery
opening. A prospective trial is now being conducted to find out the results of
the procedure and to compare them to those obtained with gastric bypass and
standard duodenal switch.
PMID- 18040752
TI - The ABC System: a simplified classification system for small bowel obstruction
after laparoscopic Roux-en-Y gastric bypass.
AB - Small bowel obstruction (SBO) after bariatric surgery is well documented.
Although infrequent, it can be associated with considerable morbidity and
mortality. The laparoscopic approach to Roux-en-Y gastric bypass (LRYGB) has
gained widespread popularity for the treatment of morbid obesity since its first
description in 1994. One of the theoretical advantages of a minimally invasive
technique is reduced intraabdominal adhesions and, consequently, diminution in
the incidence of SBO. However, the laparoscopic approach demonstrates a similar
rate of obstruction to the open procedure. In this review, an electronic
literature search was undertaken of Medline, Embase, and Cochrane databases for
the period January 1990 to October 2006 on the history, presentation, clinical
evaluation, preoperative diagnostic techniques, and management of SBO after LRYGB
compared to the open approach.
PMID- 18040753
TI - Polymorphism in the methylenetetrahydrofolate reductase (C677T) gene and
homocysteine levels: a comparison in Brazilian patients with coronary arterial
disease, ischemic stroke and peripheral arterial obstructive disease.
AB - This study aimed to compare plasma levels of total homocysteine (tHcy) in
different arterial events as well as to investigate an association between
homocysteine levels and C677T polymorphism in Brazilian patients. A total of 145
subjects were enrolled in this study including 43 patients with coronary arterial
disease (CAD), 21 with ischemic stroke (IS), 44 with peripheral arterial
obstructive disease (PAOD) and 37 control subjects. A preliminary analysis showed
significant difference for tHcy plasma levels between patients with CAD (P =
0.003) or PAOD (P = 0.03) compared to controls. However, after adjustment for
sex, age, total cholesterol, LDL, diabetes, tabagism or C677T polymorphism, no
significant differences were detected in tHcy levels among patients groups and
controls. No significant correlation was demonstrated for C677T polymorphism and
homocysteine levels. These results indicate that increased Hcy levels may not be
considered an independent risk factor for atherothrombotic diseases in Brazilian
patients.
PMID- 18040754
TI - Preparation and mechanical characterization of a PNIPA hydrogel composite.
AB - A poly (N-isopropylacrylamide) (PNIPA) hydrogel was synthesized by free radical
polymerization and reinforced with a polyurethane foam to make a hydrogel
composite. The temperature dependence of the elastic modulus of the PNIPA
hydrogel and the composite due to volume phase transition was found using a
uniaxial compression test, and the swelling property was investigated using an
equilibrium swelling ratio experiment. The gel composite preserves the ability to
undergo the volume phase transition and its elastic modulus has strong
temperature dependence. The temperature dependence of the elastic modulus and
swelling ratio of the gel composite were compared to the PNIPA hydrogel. Not
surprisingly, the modulus and swelling ratio of the composite were less dramatic
than in the gel.
PMID- 18040755
TI - Dose-dependent effects of Ni (II) ions on production of three inflammatory
cytokines (TNF-alpha, IL-1beta and IL-6), superoxide dismutase (SOD) and free
radical NO by murine macrophage-like RAW264 cells with or without LPS
stimulation.
AB - The effect of Ni (II) ions on macrophages is not well understood. The purpose of
this study was to examine the dose-dependent effects of Ni (II) ions up to 1,000
micromol/L on production of three inflammatory cytokines (TNF-alpha, IL-1beta and
IL-6), superoxide dismutase (SOD) and nitric oxide (NO) by murine macrophage-like
RAW264 cells with (+) or without (-) lipopolysaccharide (LPS) -stimulation. Ni
(II) ions caused LPS (-) RAW264 cells to slightly increase production of TNF
alpha and IL-6, proportionally to the Ni (II) ion concentration while IL-1beta
was not produced, and to slightly increase production of SOD and NO. It can be
concluded that Ni (II) ions dose-dependently increased the inflammatory and
oxidative stress conditions of LPS (-) RAW264 cells. LPS-stimulation caused
RAW264 cells to produce in abundance the three inflammatory cytokines, SOD and
NO. Ni (II) ions dose-dependently reduced the three cytokine quantities and NO
amounts in LPS (+) RAW264 cells, while dose-independently increasing SOD amounts.
It was noted that Ni (II) ions dose-dependently reduce the resistance power
against bacteria of LPS (+) macrophages, because the production of volatile NO-
bacteria killer is diminished proportionally to the Ni (II) ion concentration.
PMID- 18040756
TI - Development, optimization and characterization of a full-thickness tissue
engineered human oral mucosal model for biological assessment of dental
biomaterials.
AB - Restorative dental materials and oral health care products come into direct
contact with oral mucosa and can cause adverse reactions. In order to obtain an
accurate risk assessment, the in vitro test model must reflect the clinical
situation as closely as possible. The aim of this study was to develop and
optimize a three-dimensional full-thickness engineered human oral mucosal model,
which can be used for biological assessment of dental materials. In this study
human oral fibroblasts and keratinocytes were isolated from patients and seeded
onto a number of collagen-based and synthetic scaffolds using a variety of cell
seeding techniques and grown at the air/liquid interface to construct human oral
mucosa equivalents. Suitability of 10 different scaffolds for engineering human
oral mucosa was evaluated in terms of biocompatibility, biostability, porosity,
and the ability to mimic normal human oral mucosa morphology. Finally an
optimized full-thickness engineered human oral mucosa was developed and
characterized using transmission electron microscopy and immunostaining. The oral
mucosa reconstruct resembled native human oral mucosa and it has the potential to
be used as an accurate and reproducible test model in mucotoxicity and
biocompatibility evaluation of dental materials.
PMID- 18040757
TI - The effect of PLGA sphere diameter on rabbit mesenchymal stem cells in adipose
tissue engineering.
AB - To investigate the effect of injectable PLGA sphere's diameter on adipose tissue
engineering, rabbit mesenchymal stem cells were attached to various diameters of
injectable PLGA spheres (<75; 75-100; 100-150; 150-200; and 200-250 microm).
These five groups were cultured in adipogenic media for 2 weeks in vitro and
injected into necks of nude mice. Prior to in vivo study, cell proliferation and
adipogenic differentiation were determined by hexosaminidase assay and Oil red O
staining after 2 weeks. Group C (100-150 microm) showed the highest adipogenic
differentiation and the proliferation capacity of Group B (75-100 microm) was
significantly higher than that of any other group. We harvested newly formed
tissues from necks of nude mice after 1 and 4 weeks. Although PLGA spheres have
not been degraded and there was no significant histological difference among
various sizes of spheres after 1 week, well-organized fat pads (PLGA spheres were
completely degraded) could be observed, and the histology of the 100-150 microm
groups resembled that of native tissue after 4 weeks. Based on these experiments,
we could conclude that the optimal size of PLGA spheres for adipogenesis was 100
150 microm.
PMID- 18040758
TI - In vitro evaluation of poly(caporlactone) grafted dextran (PGD) nanoparticles
with cancer cell.
AB - This study dealt with the preparation and characterization of coumarin-6 loaded
poly(caprolactone) grafted dextran (PGD) nanoparticles (NPs) and evaluation of
cellular uptake by using human gastric cancer cell line (SNU-638), in vitro. The
potential application of these PGD NPs for sustained drug delivery was evaluated
by the quantification and localization of the cellular uptake of fluorescent PGD
NPs. Coumarin-6 loaded PGD NPs were prepared by a modified oil/water emulsion
technique and characterized by various physico-chemical methods such as, laser
light scattering for particle size and size distribution, atomic force microscopy
(AFM), zeta-potential and spectrofluorometry to identify the release of
fluorescent molecules from the NPs. SNU-638 was used to measure the cellular
uptake of fluorescent PGD NPs. Confocal laser scanning microscopic images clearly
showed the internalization of NPs by the SNU-638 cells. Cell viability was
assessed by treating the SNU-638 cells with PGD NPs for 48 h. The results reveal,
that these biodegradable polymeric NPs holds promise in biomedical field as a
carrier.
PMID- 18040759
TI - Protective effect of the natural product, chaetoglobosin K, on lindane- and
dieldrin-induced changes in astroglia: identification of activated signaling
pathways.
AB - PURPOSE: The purpose of the present study was to identify the biochemical
mechanism(s) of the preventative and reversal effects of Chaetoglobosin K (ChK),
a bioactive natural product, on inhibition of gap junction-mediated communication
and connexin phosphorylation by the tumor promoting organochlorine compounds,
lindane, and dieldrin. MATERIALS AND METHODS: A fluorescent dye transfer assay
was used to quantify gap junction-mediated communication and sensitivity to
lindane and dieldrin. Analyses of connexin 43, PKC, ERK, GSK-3beta, Raf, and Akt
kinase phosphorylation were performed by Western blotting. RESULTS: Pre
incubation of astroglial cells with 10 microM ChK prevented inhibition of dye
transfer by lindane and dieldrin, which correlates with stabilization of the
connexin 43 P2 isoform, and addition of ChK after lindane or dieldrin reversed
the inhibitory effect, which correlated with re-appearance of the P2 isoform.
Using phosphorylation site-specific antibodies, we demonstrated that lindane,
dieldrin, and ChK all activated p44/42 ERK, but only ChK activated Akt kinase.
ChK also activated a downstream effector of Akt, GSK-3beta, and activation of
both kinases was inhibited by Wortmannin. Wortmannin also blocked ChK's ability
to prevent dieldrin-induced inhibition of gap junction-mediated communication
between RG-2 cells. CONCLUSION: ChK's protective effects, both preventative and
reversal, on lindane and dieldrin inhibition of gap junction-mediated
communication are associated with stabilization and reappearance of the connexin
43 P2 phosphoform and may be mediated by the Akt pathway.
PMID- 18040760
TI - Velocity distribution and shear rate variability resulting from changes in the
impeller location in the USP dissolution testing apparatus II.
AB - PURPOSE: The United States Pharmacopoeia (USP) imposes strict requirements on the
geometry and operating conditions of the USP Dissolution Testing Apparatus II. A
previously validated Computational Fluid Dynamics (CFD) approach was used here to
study the hydrodynamics of USP Apparatus II when the impeller was placed at four
different locations, all within the limits specified by USP. METHOD: CFD was used
to predict the velocity profiles, energy dissipation rates, and strain rates when
the impeller was placed in the reference location (centrally mounted, 25 mm off
the vessel bottom), 2 mm off-center, 2 mm higher, and 2 mm lower than the
reference location. RESULTS: Small changes in impeller location, especially if
associated with loss of symmetry, produced extensive changes in velocity profiles
and shear rates. Centrally located impellers, irrespective of their off-bottom
clearance, produced non-uniform but nearly symmetric strain rates. The off-center
impeller produced a more uniform but slightly asymmetric strain rate
distribution. CONCLUSIONS: The system hydrodynamics depends strongly on small
differences in equipment configurations and operating conditions, which are
likely to affect significantly the flow field and shear rate experienced by the
oral dosage form being tested, and hence the solid-liquid mass transfer and
dissolution rate.
PMID- 18040762
TI - The vacuolar (H+)-ATPase: subunit arrangement and in vivo regulation.
AB - The V-ATPases are responsible for acidification of intracellular compartments and
proton transport across the plasma membrane. They play an important role in both
normal processes, such as membrane traffic, protein degradation, urinary
acidification, and bone resorption, as well as various disease processes, such as
viral infection, toxin killing, osteoporosis, and tumor metastasis. V-ATPases
contain a peripheral domain (V1) that carries out ATP hydrolysis and an integral
domain (V0) responsible for proton transport. V-ATPases operate by a rotary
mechanism involving both a central rotary stalk and a peripheral stalk that
serves as a stator. Cysteine-mediated cross-linking has been used to localize
subunits within the V-ATPase complex and to investigate the helical interactions
between subunits within the integral V0 domain. An essential property of the V
ATPases is the ability to regulate their activity in vivo. An important mechanism
of regulating V-ATPase activity is reversible dissociation of the complex into
its component V1 and V0 domains. The dependence of reversible dissociation on
subunit isoforms and cellular environment has been investigated.
PMID- 18040763
TI - China: policy and practice of MCH since the early 1990s.
AB - Since the socioeconomic reforms in China in the late 1970s the improvement of
maternal and child health (MCH), which was once considered one of the great
achievements of China after 1949, has slowed and some indicators show that the
situation in some regions, especially in rural areas, is getting worse instead of
better. This article will focus on policy and policy-related issues in the
delivery of MCH services. It will cover historical changes in policy and their
effects, especially in the financing of MCH. In addition, it will also touch upon
new practices of MCH in the new cooperative medical scheme (NCMS) in present-day
rural China.
PMID- 18040764
TI - A comparative study of interleukin-1beta production and p2x7 expression after ATP
stimulation by peripheral blood mononuclear cells isolated from rheumatoid
arthritis patients and normal healthy controls.
AB - Interleukin 1 beta (IL-1beta) is a proinflammatory cytokine that is considered to
play an important role in the progression of rheumatoid arthritis (RA). A
stimulus such as ATP is necessary to cause the release of mature IL-1beta, via
activation of the P2X(7) receptor on monocytes. In this study, the production of
IL-1beta in whole blood after ATP stimulation and expression of P2X(7) receptors
in RA and healthy subjects were examined. Blood samples from RA patients or
healthy controls were stimulated with ATP in the presence of lipopolysaccharide
(LPS). Supernatants were harvested and IL-1beta levels were measured by enzyme
linked immunosorbent assay (ELISA). Expression of P2X(7) receptors was measured
using flow cytometry. ATP induced significantly higher levels of IL-1beta in LPS
activated RA blood samples compared to controls. A significant up-regulation of
P2X(7) receptor expression on mononuclear cells was observed after overnight
incubation with ATP without any significant differences between RA patients and
normals. These data suggest that RA patient mononuclear cells are more sensitive
to ATP stimulation than healthy individuals perhaps due to genetic polymorphism
in the P2X(7) gene.
PMID- 18040761
TI - Pharmaceutical particle engineering via spray drying.
AB - This review covers recent developments in the area of particle engineering via
spray drying. The last decade has seen a shift from empirical formulation efforts
to an engineering approach based on a better understanding of particle formation
in the spray drying process. Microparticles with nanoscale substructures can now
be designed and their functionality has contributed significantly to stability
and efficacy of the particulate dosage form. The review provides concepts and a
theoretical framework for particle design calculations. It reviews experimental
research into parameters that influence particle formation. A classification
based on dimensionless numbers is presented that can be used to estimate how
excipient properties in combination with process parameters influence the
morphology of the engineered particles. A wide range of pharmaceutical
application examples -- low density particles, composite particles,
microencapsulation, and glass stabilization -- is discussed, with specific
emphasis on the underlying particle formation mechanisms and design concepts.
PMID- 18040765
TI - Impact of transvenous ventricular pacing leads on tricuspid regurgitation in
pediatric and congenital heart disease patients.
AB - INTRODUCTION: Transvenous ventricular pacing leads across the tricuspid valve may
cause or exacerbate tricuspid regurgitation (TR). The literature in adults is
inconclusive and no studies have investigated the association between pacing
leads and TR in children or congenital heart disease patients. METHODS AND
RESULTS: A retrospective chart review was conducted at a large children's
hospital, yielding 123 patients with initial placement of a transvenous lead
across their tricuspid valve that had adequate echocardiographic data for review.
The median age was 16 years (range 2-52) at time of lead placement. The pre
procedure echo was compared both to the first echo after lead placement and the
most recent echo. Median time was 242 days from implant to first echo, and 827
days to most recent echo. There was no difference in TR between the pre-procedure
echo and first follow-up echo (p = NS). However, TR was more likely to progress
mildly between the pre-procedure echo and the most recent echo (p < 0.02) with a
mean increase from 1.54 to 1.69 on a 0 to 4 ordinal scale. There were 76 pts
(62%) with CHD. Mean pre-procedure TR was 1.82 in right-sided valvular CHD (e.g.,
tetralogy of Fallot, repaired AV canal) vs. 1.43 without right-sided CHD (p <
0.01). CONCLUSIONS: In patients with transvenous ventricular leads across the
tricuspid valve, echocardiography demonstrates a small, but statistically
significant change in TR. The detected change is minimal, suggesting that there
is little impact of transvenous leads on TR, even in growing children or patients
with right-sided structural heart disease.
PMID- 18040766
TI - Inhibition of HPV-16 L1 expression from L1 cDNAs correlates with the presence of
hnRNP A1 binding sites in the L1 coding region.
AB - The human papillomavirus type 16 (HPV-16) L1 capsid protein is very poorly
expressed from cDNA expression plasmids transiently transfected into mammalian
cells. The results described herein demonstrate that inhibition of HPV-16 L1
expression from L1 cDNAs correlates with the presence of splicing regulatory
sequences in the L1 coding region. This inhibitory effect correlates with the
binding of hnRNP A1 to the RNA elements. Similar to unutilised splice sites that
may retain mRNAs in the nucleus, regulatory splicing RNA elements may also
inhibit gene expression in the absence of splicing. The results presented here
explain the inefficient expression of HPV-16 L1 protein from the wild type L1
cDNA expression plasmids in mammalian cells. These results may be of general
interest since alteration of RNA sequences to prevent unwanted RNA-protein
interactions may increase expression of many different genes in transient
transfections or after plasmid uptake in DNA vaccination approaches.
PMID- 18040767
TI - Study of the genetic stability of measles virus CAM-70 vaccine strain after
serial passages in chicken embryo fibroblasts primary cultures.
AB - To evaluate the genetic stability of the CAM-70 measles vaccine strain we have
performed 10 serial passages of the seed lot virus FMS-7 in chicken embryo
fibroblasts primary cultures (CEF) under production conditions. The nucleotide
sequences of the seed lot virus, the virus from a vaccine vial (third passage)
and from the 10th passage were determined and compared with each other and with
sequences from other sources. The full genome analysis of the CAM-70 vaccine
still considers it as the most divergent among all vaccine strains. The
nucleotide sequence analyses of viral genomes from the three CAM-70 passage
levels have demonstrated that they are identical. This study shows that the
measles CAM-70 vaccine virus is highly adapted to its cultivation conditions and
that its genetic stability contributes, in part, to the safety profile of the
vaccine.
PMID- 18040768
TI - Factors that influence sexual arousal in men: a focus group study.
AB - The goal of this study was to improve our understanding of men's sexual response
and its components as well as the factors or types of situations that men
describe as facilitating or interfering with sexual arousal. Six focus groups,
involving 50 mostly white, heterosexual men (M age = 35.2 years; range, 18-70),
were conducted. As it was previously found in women (Graham, Sanders, Milhausen,
& McBride, Archives of Sexual Behavior, 33, 527-538, 2004), men described a wide
range of physical (genital as well as nongenital) and cognitive/affective cues
for sexual arousal. Also, men described the relationship between sexual desire
and arousal as being variable and complex, presented a wide range of factors that
increased or decreased sexual arousal, and showed substantial variability in both
the importance and direction of their effects. The findings may help further
development of models of sexual response and inform discussions about gender
differences in sexual desire and arousal.
PMID- 18040769
TI - Childhood gender nonconformity and harassment as predictors of suicidality among
gay, lesbian, bisexual, and heterosexual Austrians.
AB - The role of childhood gender role nonconformity (CGNC) and childhood harassment
(CH) in explaining suicidality (suicide ideation, aborted suicide attempts, and
suicide attempts) was examined in a sample of 142 lesbian, gay, and bisexual
(LGB) adults and 148 heterosexual adults in Austria. Current and previous
suicidality, CGNC, and CH were significantly greater in LGB participants compared
to heterosexual participants. After controlling for CGNC, the effect of sexual
orientation on CH diminished. CGNC correlated significantly with current
suicidality in the LGB but not in the heterosexual group, and only non
significant correlations were found for CGNC with previous suicidality.
Controlling for CH and CGNC diminished the effect of sexual orientation on
current suicidality. Bayesian multivariate analysis indicated that current
suicidality, but not previous suicidality, depended directly on CGNC. CH and CGNC
are likely implicated in the elevated levels of current suicidality among adult
LGB participants. As for previous suicidality, the negative impact of CGNC on
suicidality might be overshadowed by stress issues affecting sexual minorities
around coming out. The association of CGNC with current suicidality suggests an
enduring effect of CGNC on the mental health and suicide risk of LGB individuals.
PMID- 18040770
TI - Twin analysis on paired comparison data.
AB - Current behavior genetic models can only employ limited types of phenotypic data,
such as Likert scale data or continuous data, as variables. Here, a new method
employing paired comparison data is presented within the framework of behavior
genetic models. This model facilitates the estimation of genetic, shared
environmental, and non-shared environmental contributions to paired comparison
variables. Paired comparison methods are sensitive to differences in preferences
between items, even when the true preferences are markedly similar. An extended
model that combines Likert variables, and which enables the estimation of
genetic, shared environmental, and non-shared environmental correlations, is also
presented. Simulations are then performed to demonstrate the characteristics of
these models. Finally, a real data example is introduced. Mplus script is
included in the appendix.
PMID- 18040771
TI - Preliminary evidence of effects of crisis intervention team training on self
efficacy and social distance.
AB - OBJECTIVE: The Crisis Intervention Team (CIT) program is a collaborative model
involving mental health professionals and law enforcement officers that is being
implemented in a multitude of localities across the country. This study had two
main objectives: (1) To assess perceptions of self-efficacy and desired social
distance of control officers and officers entering CIT training with regard to
individuals with psychiatric syndromes (depression and schizophrenia) and
individuals with substance dependence (alcohol and cocaine), and (2) To examine
the effects, if any, of CIT training on self-efficacy and social distance.
METHODS: Between March and July 2006, a survey was administered to 34 control
police officers, 58 officers just before a 40-h CIT training program, and 40 of
these officers upon completion of the training. RESULTS: At baseline, pre-CIT
officers did not differ from control non-CIT officers in terms of self-efficacy
or social distance relating to the four disorders. Officers trained in CIT
demonstrated enhanced self-efficacy for interacting with individuals with
depression, cocaine dependence, schizophrenia, and alcohol dependence.
Additionally, CIT-trained officers reported reduced social distance regarding
individuals with these four psychiatric conditions. Regarding the schizophrenia
vignette, there was a significant interaction between pre-CIT/post-CIT status and
family history of psychiatric treatment in the prediction of social distance.
CONCLUSIONS: Enhancements in self-efficacy and reductions in social distance may
have important implications in terms of improving officers' interactions with
people with mental illnesses and substance use disorders. Given the importance of
the problem of law enforcement/criminal justice involvement among people with
such illnesses, and the dearth of research on this growing collaborative service
model, further research is needed on officer-level outcomes of the CIT program.
PMID- 18040772
TI - Advancing the science of implementation: a workshop summary.
AB - While much has been written about reducing the gap between science and practice,
relatively little progress has been made to develop a sound knowledge base
underlying implementation of effective interventions. To respond to these
challenges, the National Institute of Mental Health organized a workshop
entitled, "Advancing the Science of Implementation: Improving the Fit between
Mental Health Intervention Development and Service Systems." Over the 2-day
workshop, a multi-disciplinary group of intervention and services researchers,
implementers, methodologists, organizational theorists, and clinicians was
brought together in an "engaged scholarship" * format composed of small and large
group settings to discuss the development of a sound knowledge base on the
implementation of evidence-based practices. Using three specific intervention
categories, participants identified constructs seen to be important to the
implementation of the model in real-world systems. Following each breakout
session, attendees reconvened for a full group discussion and brief presentations
were conducted to highlight interventions in the areas of organizational
measures, social network analysis and field opportunities. This summary describes
some of the constructs relevant to implementation research and presents research
questions that, if studied, will lay a solid foundation for implementation
research.
PMID- 18040773
TI - Rapid genotyping using real-time fluorescent PCR of the Trp64Arg polymorphism of
the beta3-adrenergic receptor gene and the -3826 A to G variant of the uncoupling
protein-1 gene.
PMID- 18040774
TI - Why do electromagnetic pulses enhance bone growth?
AB - The excitation probability of substrate molecules involved in the production of
growth factors influencing the division of chondrocytes in the growth layer of
bone under the influence of pulsed electromagnetic fields is studied
theoretically in a quantum mechanical model calculation. In this model matrix
elements and anti-bonding energy levels are assumed known and the dynamics of the
interaction with pulsed electromagnetic fields is derived. The derivation makes
it clear that continuous pulsing or large driving currents can overwhelm local
diffusive transport to the growth plane resulting in a loss of its enhancement
properties. Optimal locations within a pair of Helmholtz coils for enhancement of
bone growth are also investigated and found to be close to the coils. The work
presented here is believed to be the first derivation in a model calculation of a
physical basis for the effects of pulsed electromagnetic fields on bone growth
and fusion.
PMID- 18040775
TI - Mammalian Ste20-like protein kinase 3 mediates trophoblast apoptosis in
spontaneous delivery.
AB - The placenta is essential in transferring gases and nutrients from the mother to
the developing fetus. Trophoblast apoptosis may cause labor or other pregnancy
related disorders. This study demonstrated the essential role of Mst3, a human
Ste20-like protein kinase, in the oxidative stress-induced apoptosis of
trophoblasts of term placenta in normal spontaneous delivery. Oxidative stress,
but not hormones released during labor such as prostaglandin E1, oxytocin or
angiotensin II, induces the expression of Mst3 and apoptosis of human term
placenta after elective Cesarean section without labor pain. The role of Mst3 in
oxidative stress-induced apoptosis was further demonstrated in the 3A-sub-E, a
human trophoblast cell line. The H2O2-induced apoptosis of 3A-sub-E cells was
largely suppressed by overexpressed Mst3KR, the kinase-dead mutant or by
selective knockdown of endogenous Mst3. Further studies showed that Jun N
terminal kinase (JNK) may participate in the signaling pathway of H2O2-induced
apoptosis by mediating the level of Mst3. Subsequently, caspase 3 and other
downstream apoptotic components may be activated by Mst3 and trigger the
apoptotic process in human trophoblasts.
PMID- 18040778
TI - Microglia as a pharmacological target in infectious and inflammatory diseases of
the brain.
AB - Following an eclipse of scientific inquiry regarding the biology of microglia
that lasted 50 years, recognition toward the end of the 20th century of their
neuropathogenic role in HIV-associated dementia and in
neuroinflammatory/neurodegenerative diseases fueled a renaissance of interest in
these resident macrophages of the brain parenchyma. Results of a large number of
in vitro studies, using isolated microglial cells or glial/neuronal cell
cultures, and parallel findings emerging from animal models and clinical studies
have demonstrated that activated microglia produce a myriad of inflammatory
mediators that both serve important defense functions against invading
neurotropic pathogens and have been implicated in brain damage in infectious as
well as neuroinflammatory/neurodegenerative diseases, such as multiple sclerosis,
Alzheimer's disease, Parkinson's disease, and amyotrophic lateral sclerosis. This
review provides a brief background regarding the physiological and
pathophysiological roles of microglia and highlights current pharmacological
approaches that target activated microglia with the goal of ameliorating
infectious and neuroinflammatory/neurodegenerative diseases of the brain.
Although this aspect of the field of neuroimmunopharmacology is in its infancy,
it holds great promise for developing new treatments and prevention of diseases
that are, in many cases, epidemic throughout the world.
PMID- 18040779
TI - Microglia biology in health and disease.
AB - Microglia cells are resident central nervous system (CNS) leukocytes that
regulate innate immunity and participate in adaptive immune responses in CNS
tissue. However, microglia cells also appear to play an important role during
normal function of the mature nervous system. In response to injury, ischemia,
and inflammatory stimuli, microglia cells assume an activated phenotype
associated with proliferation, migration to the site of injury, phagocytosis of
cellular debris, and elaboration (Power and Proudfoot 2001) of both neurotoxic
and neurotrophic factors. Recent reports strongly suggest that regulating
microglia function may be a fruitful future therapeutic target for the prevention
of neurological dysfunction in a variety of CNS injuries and chronic diseases.
Thus, developing a thorough understanding of extracellular signals that activate
microglia as well as a complete catalogue of microglia responses to activating
stimuli in both the healthy and diseased state are crucial scientific endeavors.
This review presents the current understanding of the biology of microglia during
normal CNS function as well as in response to CNS injury or neurodegenerative
disease. In addition, microglia modulate both the activation and down-regulation
of the adaptive immune response in the CNS. Evidence that microglia cells play a
primary role in regulating CNS immune responses will also be discussed.
PMID- 18040780
TI - Mechanisms of neuroimmunity and neurodegeneration associated with HIV-1 infection
and AIDS.
AB - Infection with the human immunodeficiency virus-1 (HIV-1) and acquired
immunodeficiency syndrome (AIDS) are a persistent health problem worldwide. HIV-1
seems to enter the brain very soon after peripheral infection and can induce
severe and debilitating neurological problems that include behavioral
abnormalities, motor dysfunction, and frank dementia. Infected peripheral immune
competent cells, in particular macrophages, appear to infiltrate the CNS and
provoke a neuropathological response involving all cell types in the brain. The
course of HIV-1 disease is strongly influenced by viral and host factors, such as
the viral strain and the response of the host's immune system. In addition, HIV-1
dependent disease processes in the periphery have a substantial effect on the
pathological changes in the central nervous system (CNS), although the brain
eventually harbors a distinctive viral population of its own. In the CNS, HIV-1
also incites activation of chemokine receptors, inflammatory mediators,
extracellular matrix-degrading enzymes, and glutamate receptor-mediated
excitotoxicity, all of which can initiate numerous downstream signaling pathways
and disturb neuronal and glial function. Although there have been many major
improvements in the control of viral infection in the periphery, an effective
therapy for HIV-1-associated dementia (HAD) is still not available. This article
addresses recently uncovered pathologic neuroimmune and degenerative mechanisms
contributing to neuronal damage induced by HIV-1 and discusses experimental and
potentially future therapeutic approaches.
PMID- 18040781
TI - New insights into the neuroimmunity of SIV infection by magnetic resonance
spectroscopy.
AB - (1)H magnetic resonance spectroscopy (MRS) was employed to noninvasively monitor
neuronal injury in eight rhesus macaques infected with simian immunodeficiency
virus (SIV), whose immune system was compromised by CD8 T lymphocyte depletion
and treated with highly active antiretroviral therapy (HAART). SIV infection and
CD8 depletion resulted in a rapid decline in cerebral N-acetylaspartate (NAA)
levels, a sensitive marker of neuronal health. Within 3 months of SIV infection
and CD8 depletion, four animals developed AIDS and severe SIV encephalitis. The
other four macaques underwent daily doses of HAART beginning 4 weeks after
infection/CD8 depletion. HAART involved drugs that do not penetrate the central
nervous system (CNS) including 9-[2(R)-(phosphonomethoxy)propyl]adenine and a
racemic mixture of D: -L: -enantiomers of 2',3'-dideoxy-5-fluoro-3'thiacytidine.
HAART resulted in reversal of NAA/Cr decline after 4 weeks of therapy, and no
virus or encephalitis was found in brain samples analyzed. These results indicate
that the CNS injury in AIDS is entirely dependent on events involving the
peripheral immune system mediated by trafficking of SIV-infected monocytes into
the brain. The rapid decline in NAA/Cr with SIV infection/CD8 depletion and its
rapid recovery with HAART suggest that: (1) infected monocyte turnover in the CNS
is rapid, occurring in days to weeks; (2) there are endogenous mechanisms that
reverse neuronal injury; and (3) a threshold level of infected
monocytes/macrophages in the CNS is required to overcome the neuronal recovery
processes. These observations explain the clinical success of antiretroviral
therapy in reducing the incidence of HIV-associated dementia and minor
cognitive/motor disorder and suggest novel targets for drug development.
PMID- 18040783
TI - Neurobiology of multiple insults: HIV-1-associated brain disorders in those who
use illicit drugs.
AB - Despite two decades of research, certain aspects of HIV-related central nervous
system (CNS) disease remain poorly understood. HIV targets microglia and
macrophages within the CNS and enters the brain compartment early. However, HIV
is there held in check apparently until the onset of significant immune
compromise, when viral replication, microglial activation, neuronal damage, and
cognitive impairment are likely to ensue. Illicit drug abuse continues to be a
significant risk factor for HIV transmission worldwide. Whether HIV-related CNS
disease is more prevalent or more severe in this risk group has long been
debated. Drugs of abuse can of themselves cause immune suppression, blood-brain
barrier breakdown, microglial activation, and neuronal injury. This review
presents evidence that HIV associated CNS disorders are indeed accentuated in
drug abusers. However, the advent of effective therapy has added a new dimension,
which must be taken into consideration. Treated individuals are surviving much
longer and HIV encephalitis and HIV-associated dementia have become much less
common. However, more subtle forms of CNS damage are emerging. Examination of the
brains of individuals who have been treated long term with highly active
antiretroviral therapy (HAART) reveals a surprising degree of microglial
activation, comparable at times to that seen formerly in milder cases of HIV
encephalitis. In addition, these individuals show evidence of increased
deposition of neurodegenerative proteins, particularly hyperphosphorylated tau.
Similar observations have been made in young opiate abusers who are HIV negative.
Taken together, these results suggest that neuroinflammation and
neurodegeneration, which are clinically silent at present, may cause problems in
the future in HAART-treated subjects.
PMID- 18040786
TI - Does inflammation in an autoimmune disease differ from inflammation in
neurodegenerative diseases? Possible implications for therapy.
AB - Accumulating evidence suggests that neurodegenerative diseases of the central
nervous system (CNS) are associated with a local inflammatory response. CNS
autoimmune diseases are also associated with inflammation. Does this mean that
all neurodegenerative diseases are autoimmune in nature? Does it imply that
autoimmune and neurodegenerative diseases are both eligible for the same therapy?
What distinguishes between the two types of disease? Do they differ both in
etiology and in pathology, or do they have different etiologies but similar
pathology and progression? In this minireview we offer a new view of the
inflammatory differences between neurodegenerative and autoimmune diseases in the
CNS and discuss the implications for therapy.
PMID- 18040782
TI - Neuroimmunity and the blood-brain barrier: molecular regulation of leukocyte
transmigration and viral entry into the nervous system with a focus on neuroAIDS.
AB - HIV infection of the central nervous system (CNS) can result in neurologic
dysfunction with devastating consequences in a significant number of individuals
with AIDS. Two main CNS complications in individuals with HIV are encephalitis
and dementia, which are characterized by leukocyte infiltration into the CNS,
microglia activation, aberrant chemokine expression, blood-brain barrier (BBB)
disruption, and eventual damage and/or loss of neurons. One of the major
mediators of NeuroAIDS is the transmigration of HIV-infected leukocytes across
the BBB into the CNS. This review summarizes new key findings that support a
critical role of the BBB in regulating leukocyte transmigration. In addition, we
discuss studies on communication among cells of the immune system, BBB, and the
CNS parenchyma, and suggest how these interactions contribute to the pathogenesis
of NeuroAIDS. We also describe some of the animal models that have been used to
study and characterize important mechanisms that have been proposed to be
involved in HIV-induced CNS dysfunction. Finally, we review the pharmacologic
interventions that address neuroinflammation, and the effect of substance abuse
on HIV-1 related neuroimmunity.
PMID- 18040787
TI - Role of immunity in recovery from a peripheral nerve injury.
AB - Motoneurons are large multipolar neurons with cell bodies located in the
brainstem and spinal cord, and peripheral axons ending in neuromuscular
junctions. Peripheral nerve damage, outside the blood-brain barrier (BBB),
results in both retrograde changes centrally and anterograde changes along the
length of the axon distal to the lesion site. Often, peripheral nerve damage is
accompanied by motoneuron cell death, unless axon regrowth and target
reconnection occur so that the target muscle can provide essential neurotrophic
factors. It is essential that the motoneuron cell body survive during the process
of reconnection so that the source for essential axon-rebuilding proteins is
assure(of a fact)/ensured (results). A commonly used peripheral injury paradigm
is that of facial nerve transection at its exit from the skull through the
stylomastoid foramen so that nerve reconnection to the facial muscle tissue is
permanently prevented. This model system allows for the study of the mechanisms
responsible for maintaining facial motoneuron (FMN) cell body survival, without
the complicating factor of axon regrowth. Injury to the nervous system results in
an immune response that is either neuroprotective or neurodestructive. Findings
suggest that FMN survival after facial nerve axotomy depends on the action of a
CD4(+) T cell that is initially activated peripherally and subsequently
reactivated centrally. This review will summarize what is known about the neural
immune players involved in FMN survival and repair, so that the pharmacological
manipulation of this interaction will one day become evident for the clinical
management of neurological situations.
PMID- 18040789
TI - Macrophage colony-stimulating factor in the pathogenesis of HIV infection:
potential target for therapeutic intervention.
AB - Macrophage colony stimulating factor (M-CSF) appears to play a major role in
promoting and maintaining reservoirs of human immunodeficiency virus type 1 (HIV
1) in infected individuals. HIV-1 infection induces production of M-CSF by
macrophages, which in turn promotes further infection of macrophages via
increases in CD4 and CCR5 receptors, as well as increases in virus gene
expression. M-CSF promotes the ontogeny and survival of macrophages, contributing
to both the number and longevity of these infected cells. M-CSF dysregulation
promotes the differentiation of monocytes toward macrophages and osteoclasts and
at the same time may inhibit differentiation toward dendritic cells, resulting in
immune impairment. The potential role of M-CSF in HIV-associated end organ
diseases including HIV-associated dementia, HIV-associated nephropathy, and
osteoporosis is discussed. This review emphasizes the need for developing M-CSF
antagonists for treatment of HIV-1-infected patients.
PMID- 18040788
TI - Protecting the synapse: evidence for a rational strategy to treat HIV-1
associated neurologic disease.
AB - Loss of synaptic integrity and function appears to underlie neurologic deficits
in patients with HIV-1-associated dementia (HAD) and other chronic
neurodegenerative diseases. Because synaptic injury often long precedes neuronal
death and surviving neurons possess a remarkable capacity for synaptic repair and
functional recovery, we hypothesize that therapeutic intervention to protect
synapses has great potential to improve neurologic function in HAD and other
diseases. We discuss findings from both HAD and Alzheimer's disease to
demonstrate that the disruption of synaptic structure and function that can occur
during excitotoxic injury and neuroinflammation represents a likely substrate for
neurologic deficits. Based on available evidence, we provide a rationale for
future studies aimed at identifying molecular targets for synaptic protection in
neurodegenerative disease. Whereas patients with HAD beginning antiretroviral
therapy have shown reversal of neurologic symptoms that is unique for patients
with chronic neurodegenerative conditions, we propose that the potential for such
reversal is not unique.
PMID- 18040790
TI - Neuroimmunity, drugs of abuse, and neuroAIDS.
AB - It has long been postulated that drugs of abuse may represent significant
cofactors in the progression of human immunodeficiency virus (HIV)-induced
disease. Both HIV infection and drugs of abuse have significant effect on the
immune system as well as on the nervous system. In HIV infection, abnormalities
in these systems intersect to lead to a constellation of symptoms known as
neuroAIDS. Drugs of abuse may synergize with such damage, acting on immune and/or
neural cells. However, definitive epidemiological evidence for such an
interaction is lacking. Here we review such studies as well as the use of the
nonhuman primate/simian immunodeficiency virus system to investigate the
interaction of neuroAIDS with drugs of abuse. Furthermore, recent findings on
mechanisms of actions of selected drugs reveal the possibility of protective as
well as detrimental effects on the central nervous system damage induced by HIV.
PMID- 18040791
TI - Cannabinoid-induced immune suppression and modulation of antigen-presenting
cells.
AB - The study of marijuana cannabinoid biology has led to many important discoveries
in neuroscience and immunology. These studies have uncovered a new physiological
system, the endocannabinoid system, which operates in the regulation of not only
brain function but also the regulation of the immune system. Studies examining
the effect of cannabinoid-based drugs on immunity have shown that many cellular
and cytokine mechanisms are suppressed by these agents leading to the hypothesis
that these drugs may be of value in the management of chronic inflammatory
diseases. In this report, we review current information on cannabinoid ligand and
receptor biology, mechanisms involved in immune suppression by cannabinoids with
emphasis on antigen-presenting cells, and preclinical and clinical models
analyzing the therapeutic potential of cannabinoid-based drugs.
PMID- 18040792
TI - Combined and independent effects of chronic marijuana use and HIV on brain
metabolites.
AB - The effects of chronic marijuana (MJ) use on brain function remain controversial.
Because MJ is often used by human immunodeficiency virus (HIV) patients, the aim
of this study was to evaluate whether chronic MJ use and HIV infection are
associated with interactive or additive effects on brain chemistry and cognitive
function. We evaluated 96 subjects (30 seronegative nondrug users, 24 MJ users,
21 HIV without MJ use, 21 HIV + MJ) using proton magnetic resonance spectroscopy
and a battery of neuropsychological tests. The two primarily abstinent MJ user
groups showed no significant differences on calculated estimates of lifetime
grams of delta9-tetrahydrocannabinol exposure, despite some differences in usage
pattern. The two HIV groups also had similar HIV disease severity (CD4 cell
count, plasma viral load, HIV dementia staging, Karnofsky score). On two-way
analyses of covariance, HIV infection (independent of MJ) was associated with
trends for reduced N-acetyl aspartate (NA) in the parietal white matter and
increased choline compounds (CHO) in the basal ganglia. In contrast, MJ
(independent of HIV) was associated with decreased basal ganglia NA (-5.5%, p =
0.05), CHO (-10.6%, p = 0.04), and glutamate (-9.5%, p = 0.05), with increased
thalamic creatine (+6.1%, p = 0.05). HIV + MJ was associated with normalization
of the reduced glutamate in frontal white matter (interaction p = 0.01). After
correction for age, education, or mood differences, MJ users had no significant
abnormalities on neuropsychological test performance, and HIV subjects only had
slower reaction times. These findings suggest chronic MJ use may lead to
decreased neuronal and glial metabolites, but may normalize the decreased
glutamate in HIV patients.
PMID- 18040793
TI - Modulation of immune function by morphine: implications for susceptibility to
infection.
PMID- 18040794
TI - Leukocyte-derived opioid peptides and inhibition of pain.
AB - In peripheral inflamed tissue interactions between leukocyte-derived opioid
peptides and opioid receptors on sensory neurons lead to potent, clinically
relevant inhibition of pain. Opioid receptors are present on peripheral terminals
of sensory neurons and are upregulated in inflammation. Their endogenous ligands,
opioid peptides, are synthesized in circulating immune cells, which migrate to
injured tissues directed by chemokines and adhesion molecules. Under stressful
stimuli or in response to releasing agents (e.g., corticotropin-releasing factor,
cytokines, catecholamines) leukocytes can secrete opioids. These peptides
activate peripheral opioid receptors and produce analgesia by inhibiting the
excitability of sensory nerves and/or the release of excitatory neuropeptides.
These effects occur without central opioid side effects such as depression of
breathing, clouding of consciousness, or addiction. Future research should
elucidate the selective targeting of opioid peptide-containing immune cells to
sites of painful tissue injury and the augmentation of opioid peptide and
receptor synthesis.
PMID- 18040795
TI - Impact of opiate-HIV-1 interactions on neurotoxic signaling.
AB - Opiate drug abuse exacerbates the pathogenesis of human immunodeficiency virus-1
(HIV-1) in the central nervous system through direct actions on glia and neurons.
Opiate abuse causes widespread disruption of astroglial and microglial function,
and significant increases in astroglial-derived proinflammatory cytokines and
chemokines, which likely contributes to neuronal dysfunction, death, and HIV
encephalitis. Neurons are also directly affected by opiate-HIV-1 interactions.
HIV-1 and the viral proteins gp120 and Tat activate multiple caspase-dependent
and caspase-independent proapoptotic pathways in neurons involving
phosphatidylinositol 3-kinase (PI3 kinase)/Akt, as well as p38, c-Jun N-terminal
kinase (JNK) and/or other mitogen-activated protein kinases (MAPKs). Opiates
appear to decrease the threshold for HIV-1-mediated neurotoxicity by sending
convergent signals that exacerbate proapoptotic events induced by viral and
cellular toxic products. The synergistic proinflammatory and neurotoxic effects
of opiate drugs on glia and neurons are largely mediated through mu opioid
receptors, which are expressed by subpopulations of astroglia, microglia, and
neurons. Opiate abuse intrinsically modifies the host response to HIV-1.
Identification of how this occurs is providing considerable insight toward
understanding the mechanisms underlying HIV-1-associated dementia.
PMID- 18040796
TI - Enhanced immune sensitivity to stress following chronic morphine exposure.
AB - Chronic administration of escalating doses ofmorphine leads to neuroadaptive
changes precipitating development of tolerance to many of the acute effects of
morphine, such as analgesia, activation of the hypothalamic-pituitary-adrenal
(HPA) axis and suppression of immune cell activities. Interestingly, morphine
tolerance has also been shown to be accompanied by heightened immunosuppressive
effects of restraint stress using a rodent model. These observations have led to
the hypothesis that the altered neuronal state accompanying opioid tolerance may
contribute to this enhanced immune sensitivity to stress. To further test this
hypothesis using different stressors, Sprague-Dawley rats were treated
chronically with morphine for at least 8 days and then challenged with either
psychological (water stress) or systemic stressors [morphine withdrawal,
lipopolysaccharide (10 mug/kg i.p. challenge)]. It was found that, independent of
the type of stress employed, morphine-tolerant animals displayed significantly
lower mitogen-stimulated blood lymphocyte responses when compared to the
responses of similarly treated saline controls. To determine whether direct
activation of central stress pathways may also lead to enhanced immune
sensitivity, morphine-tolerant animals were centrally injected with IL-1beta (1
ng/mul i.c.v.), a cytokine that activates the HPA axis by central mechanisms.
Similar to the other types of stress, this direct central challenge was also
found to be more immunosuppressive in morphine-tolerant animals compared to
controls. Collectively, these studies demonstrate that morphine-tolerant animals
have an enhanced susceptibility to the debilitating effects of a variety of
stressors on immune cell function, an effect that is likely due to the
neuroadaptive changes that develop during chronic morphine exposure.
PMID- 18040798
TI - Debate: "is increasing neuroinflammation beneficial for neural repair?".
PMID- 18040799
TI - Up-regulation of BDNF in astrocytes by TNF-alpha: a case for the neuroprotective
role of cytokine.
AB - Tumor necrosis factor-alpha (TNF-alpha) is widely known to be involved in
physiological and pathophysiological processes of the brain where this
proinflammatory cytokine is implicated with regulation of inflammatory and
survival components. We report that TNF-alpha up-regulates exon-IV-bdnf mRNA and
brain-derived neurotrophic factor (BDNF) protein in primary astrocytes. The BDNF
protein was detectable both in cellular lysate and in the extracellular medium.
Activation of NF-kappaB by TNF-alpha and inhibition of TNF-alpha-induced BDNF
expression by Deltap65 (a dominant-negative mutant) and NEMO-binding domain
peptide (an inhibitor of NF-kappaB) suggests that TNF-alpha induces BDNF
expression through the activation of NF-kappaB. Similarly, TNF-alpha induced the
activation of C/EBPbeta and the expression of BDNF was sensitive to
overexpression of DeltaC/EBPbeta (a dominant-negative mutant) and ETO (an
inhibitor of C/EBPbeta). Among three MAP kinases, TNF-alpha-induced BDNF up
regulation was sensitive only to inhibitors of ERK MAP kinase. However, the ERK
MAP kinase pathway was coupled to activation of C/EBPbeta but not NF-kappaB.
Taken together, this study identifies a novel property of TNF-alpha in inducing
the expression of BDNF via NF-kappaB and C/EBPbeta in astrocytes that may be
responsible for neurotrophic activity of the cytokine.
PMID- 18040801
TI - Effects of opioid tolerance and withdrawal on the immune system.
AB - Review of the robust literature using acute drug injection paradigms points
clearly to the conclusion that morphine is immunosuppressive. In contrast,
studies of the effect of subacute or chronic administration of morphine on immune
function is limited, with variable results. In some cases tolerance to the
immunosuppressive effects of the drug is clearly demonstrated, but in other
cases, selected immune parameters do not demonstrate tolerance. Discrepancies in
findings may result from differences in species or route and manner of drug
administration. Even fewer studies (total of 10) have been published on the
effects of withdrawal on immune function. Most immune parameters tested are
suppressed following drug withdrawal. Recovery time to baseline response levels
varies in the studies. In the single report of withdrawal in humans, immune
function was suppressed for up to 3 years. It is clearly established that
withdrawal suppresses capacity of murine spleen cells to make an ex vivo antibody
response, which contrasts with evidence of polarization of the lymphocytes
towards a Th2 phenotype. Several laboratories have shown that subacute and
chronic exposure to morphine, as well as drug withdrawal, sensitize to the lethal
effects of bacterial lipopolysaccharide. Underlying sepsis, combined with
morphine-induced hypofunction of the hypothalamic-pituitary-adrenal (HPA) axis,
may be occult variables modulating immune responses during opioid administration
and withdrawal. As episodes of withdrawal are common among drug abusers, more
intensive investigation is warranted on the effects of withdrawal on immune
function, on mechanisms of immune modulation, and on sensitization to infection.
PMID- 18040800
TI - Blood-brain barrier: structural components and function under physiologic and
pathologic conditions.
AB - The blood-brain barrier (BBB) is the specialized system of brain microvascular
endothelial cells (BMVEC) that shields the brain from toxic substances in the
blood, supplies brain tissues with nutrients, and filters harmful compounds from
the brain back to the bloodstream. The close interaction between BMVEC and other
components of the neurovascular unit (astrocytes, pericytes, neurons, and
basement membrane) ensures proper function of the central nervous system (CNS).
Transport across the BBB is strictly limited through both physical (tight
junctions) and metabolic barriers (enzymes, diverse transport systems). A
functional polarity exists between the luminal and abluminal membrane surfaces of
the BMVEC. As a result of restricted permeability, the BBB is a limiting factor
for the delivery of therapeutic agents into the CNS. BBB breakdown or alterations
in transport systems play an important role in the pathogenesis of many CNS
diseases (HIV-1 encephalitis, Alzheimer's disease, ischemia, tumors, multiple
sclerosis, and Parkinson's disease). Proinflammatory substances and specific
disease-associated proteins often mediate such BBB dysfunction. Despite seemingly
diverse underlying causes of BBB dysfunction, common intracellular pathways
emerge for the regulation of the BBB structural and functional integrity. Better
understanding of tight junction regulation and factors affecting transport
systems will allow the development of therapeutics to improve the BBB function in
health and disease.
PMID- 18040802
TI - Morphine withdrawal dramatically reduces lymphocytes in morphine-dependent
macaques.
AB - The immune effects of chronic opiate exposure and/or opiate withdrawal are not
well understood. The results of human studies with opiate abusers are variable
and may not be able to control for important factors such as subjects' drug
histories, health and nutritional status. Nonhuman primate models are necessary
to control these important factors. A model of opiate dependence in macaques was
developed to study the effects of opiate dependence and withdrawal on measures of
immune function. Four pigtailed macaques drank a mixture of morphine (20
mg/kg/session) and orange-flavored drink every 6 h for several months. During
stable morphine dependence, absolute numbers of neutrophils, monocytes and
lymphocytes did not change relative to pre-morphine levels. However, there was a
significant decrease in the absolute number and percentage of natural killer (NK)
cells in morphine dependence. Either precipitated withdrawal or abstinence for 24
h resulted in behavioral withdrawal signs in all animals. Absolute lymphocyte
counts decreased and absolute netrophil counts increased significantly in
withdrawal, relative to levels during morphine dependence. Lymphocyte subset
(CD4+, CD8+, CD20+) cells were also decreased in absolute numbers with little
change in their percentage distributions. There was, however, a significant
increase in the percentage of NK cells in withdrawal relative to levels during
morphine dependence. This study demonstrates the usefulness of voluntary oral
self-dosing procedures for maintaining morphine dependence in nonhuman primates
and demonstrates that the morphine withdrawal syndrome includes large alterations
in blood parameters of immune system function, including nearly 50% reduction in
numbers of CD4+, CD8+ and CD20+ cells.
PMID- 18040803
TI - Opioid receptors and signaling on cells from the immune system.
AB - This review discusses the criteria for determining whether a binding site or
functional response is directly mediated by either the mu, delta, or kappa opioid
receptors. In 1988, Sibinga and Goldstein published the first review that
addressed whether cells from the immune system express opioid receptors. The
criteria that they used, namely, structure-activity relationships,
stereoselectivity, dose- and concentration-dependence, and saturability are still
relevant criteria today for determining if an immunological response is mediated
by either the mu, delta or kappa opioid receptors. Radioligand receptor binding
studies and functional studies that clearly show the presence of an opioid
receptor on immunocytes are presented. Selective agonists and antagonists for the
mu, delta, and kappa opioid receptors are discussed, and the need for their use
in experiments is emphasized. Conditions used in functional assays are very
important. Receptor desensitization and downregulation occur within minutes after
the application of an agonist. However, many immunological assays are applying an
agonist for days before measuring an immunological effect. The results obtained
may reflect changes that are results of receptor desensitization and/or
downregulation instead of changes that are observed with acute activation of the
receptor. The future of receptor pharmacology lies in the crosstalk and
dimerization of G protein-coupled receptors. In transfected systems, opioid
receptors have been shown to dimerize with chemokine and cannabinoid receptors,
resulting in crosstalk between different types of receptors.
PMID- 18040804
TI - Nuclear factor kappaB signaling in opioid functions and receptor gene expression.
AB - Opiates are the most powerful of all known analgesics. The prototype opiate
morphine has been used as a painkiller for several thousand years. Chronic usage
of opiates not only causes drug tolerance, dependence, and addiction, but also
suppresses immune functions and affects cell proliferation and cell survival. The
diverse functions of opiates underscore the complexity of opioid receptor
signaling. Several downstream signaling effector systems, including adenylyl
cyclase, mitogen-activated protein kinase, Ca2+ channels, K+ channels, and
phosphatidylinositol 3-kinase/Akt, have been identified to be critical in opioid
functions. Nuclear factor-kappaB (NF-kappaB), one of the most diverse and
critical transcription factors, is one of the downstream molecules that may
either directly or indirectly transmit the receptor-mediated upstream signals to
the nucleus, resulting in the regulation of the NF-kappaB-dependent genes, which
are critical for the opioid-induced biological responses of neuronal and immune
cells. In this minireview, we focus on current understanding of the involvement
of NF-kappaB signaling in opioid functions and receptor gene expression in cells.
PMID- 18040806
TI - Methamphetamine modulates DC-SIGN expression by mature dendritic cells.
AB - We report that methamphetamine (meth) may act as cofactor in human
immunodeficiency virus (HIV)-1 pathogenesis by increasing dendritic cell (DC)
specific intercellular adhesion molecule-3 (ICAM-3) grabbing non-integrin (DC
SIGN) expression on DCs. Mature DCs (MDCs), obtained from normal subjects,
cultured with meth show an up-regulation of DC-SIGN gene and protein expression
as analyzed by real-time quantitative polymerase chain reaction and fluorescence
activated cell-sorting analyses, respectively. Furthermore, these meth-induced
effects were reversed by a dopamine D1 receptor antagonist (SCH 23390) and small
interfering RNA specific to the D1 receptor (D1R) demonstrating that meth-induced
effects are mediated through these receptors. Furthermore, meth in synergy with
the HIV-1 peptide gp120 up-regulates DC-SIGN gene expression by MDCs. These data
are the first evidence that meth up-regulates the expression of DC-SIGN on MDCs.
A better understanding of the role of DC-SIGN in HIV-1 infection may help to
design novel therapeutic strategies against the progression of HIV-1 disease in
the drug-using population.
PMID- 18040805
TI - Drugs of abuse, immune modulation, and AIDS.
AB - Illicit drugs such as amphetamines, cocaine, marijuana, and opiates alter immune
function and decrease host resistance to microbes in vitro and in experimental
animal models. Effects on the immune system may be mediated indirectly as a
result of drug interactions in the central nervous system (CNS) or directly
through activation of cognate receptors on various immune cell types. For
marijuana and opioids, seven-transmembranal G protein-coupled receptors have been
identified in the CNS and in the immune system that may play a functionally
relevant role in immune modulation. There is accumulating evidence that sigma(1)
receptors play a comparable role in cocaine-mediated alteration of immune
responses. A mode by which these exogenously introduced substances affects
immunity and host resistance may be by perturbing the balance of Th(1)
proinflammatory versus Th(2) anti-inflammatory cytokines and lipid bioeffectors.
However, while illicit drugs have been documented to alter immune functions in
vitro and in animal models, there is a paucity of controlled longitudinal
epidemiological studies that definitively correlate immunosuppressive effects
with increased incidence of infections or immune disorders in humans, including
infection with the human immunodeficiency virus (HIV) or disease progression to
AIDS.
PMID- 18040808
TI - Effects of inhalant nitrites on VEGF expression: a feasible link to Kaposi's
sarcoma?
AB - Because inhalant nitrites (commonly known as "poppers") were thought to be
rapidly cleared from the body, the lay literature has somewhat downplayed their
toxicity. However, scientific reports have documented their immunosuppressive
effects in animals, and epidemiological studies have implicated their use with
the development of Kaposi's sarcoma (KS) in humans. Because inhalant nitrites are
exogenous nitric oxide donors, we hypothesized that these substances of abuse
might exert part of their toxicological effects through this biochemical product,
which has been shown to alter gene regulation and angiogenesis. In a series of
studies, we showed that acute and chronic in vivo exposure to isobutyl nitrite (a
representative inhalant nitrite) produced significant tissue-dependent
alterations in the expression of a number of cancer- and angiogenesis-related
genes in mice. In particular, hepatic mRNA and protein expression of vascular
endothelial growth factor (VEGF) was significantly stimulated. The in vivo growth
rate of a subcutaneous VEGF-responsive tumor was also shown to be accelerated by
inhalant nitrite exposure. Because the development of KS is extensively linked to
VEGF and its receptors, the purported link between inhalant nitrites and KS may
be explained mechanistically, at least in part, through the stimulation of VEGF
expression by these inhalants.
PMID- 18040807
TI - Endocannabinoids and reactive nitrogen and oxygen species in neuropathologies.
AB - Neuropathologies that affect our population include ischemic stroke and
neurodegenerative diseases of immune origin, including multiple sclerosis. The
endocannabinoid system in the brain, including agonists anandamide (arachidonyl
ethanolamide) and 2-arachidonoylglycerol, and the CB1 and CB2 cannabinoid
receptors, has been implicated in the pathophysiology of these disease states,
and can be a target for therapeutic interventions. This review concentrates on
cellular signal transduction pathways believed to be involved in the cellular
damage.
PMID- 18040809
TI - MDR- and CYP3A4-mediated drug-drug interactions.
AB - P-glycoprotein (P-gp), multiple drug resistance associated proteins (MRPs), and
cytochrome P450 3A4 together constitute a highly efficient barrier for many
orally absorbed drugs. Multidrug regimens and corresponding drug-drug
interactions are known to cause many adverse drug reactions and treatment
failures. Available literature, clinical reports, and in vitro studies from our
laboratory indicate that many drugs are substrates for both P-gp and CYP3A4. Our
primary hypothesis is that transport and metabolism of protease inhibitors (PIs)
and NNRTIs will be altered when administered in combination with azole
antifungals, macrolide, fluroquinolone antibiotics, statins, cardiovascular
agents, immune modulators, and recreational drugs [benzodiazepines, cocaine,
lysergic acid dithylamide (LSD), marijuana, amphetamine (Meth), 3,4
methylenedioxymethamphetamine (MDMA), and opiates] due to efflux, and/or
metabolism at cellular targets. Therefore, such drug combinations could be a
reason for the unexpected and unexplainable therapeutic outcomes. A number of
clinical reports on drug interaction between PIs and other classes (macrolide
antibiotics, azole antifungals, cholesterol lowering statins, cardiovascular
medicines, and immunomodulators) are discussed in this article. MDCKII-MDR1 was
employed as an in vitro model to evaluate the effects of antiretrovirals, azole
antifungals, macrolide, and fluroquinolone antibiotics on efflux transporters.
Ketoconazole (50 muM) enhanced the intracellular concentration of (3)H ritonavir.
The inhibitory effects of ketoconazole and MK 571 on the efflux of (3)H ritonavir
were comparable. An additive effect was observed with simultaneous incorporation
of ketoconazole and MK 571. Results of (3)H ritonavir uptake studies were
confirmed with transcellular transport studies. Several fluroquinolones were also
evaluated on P-gp-mediated efflux of (3)H cyclosporin and 14C erythromycin. These
in vitro studies indicate that grepafloxacin, levofloxacin, and sparfloxacin are
potent inhibitors of P-gp-mediated efflux of 14C erythromycin and (3)H
cyclosporin. Simultaneous administration of fluoroquinolones and macrolides could
minimize the efflux and metabolism of both of the drugs. Effects of erythromycin
and ketoconazole on carbamazepine metabolism were examined. Formation of 10,11
epoxy carbamazepine, a major CBZ metabolite, was significantly inhibited by these
agents. Therefore, drug efflux proteins (P-gp, MRPs) and metabolizing enzyme
(CYP450) are major factors in drug interactions. Overlapping substrate
specificities of these proteins result in complex and sometimes perplexing
pharmacokinetic profiles of multidrug regimens. Drug-drug interactions with PIs
and other coadministered agents for human immunodeficiency virus (HIV) positive
population have been discussed in light of efflux transporters and metabolizing
enzymes. This article provides an insight into low and variable oral
bioavailability and related complications leading to loss of therapeutic activity
of MDR and CYP 450 substrates.
PMID- 18040810
TI - Nanotechnology: a focus on nanoparticles as a drug delivery system.
AB - This review will provide an in-depth discussion on the previous development of
nanoparticle-based drug delivery systems (DDS) and discuss original research data
that includes the therapeutic enhancement of antiretroviral therapy. The use of
nanoparticle DDS will allow practitioners to use drugs to target specific areas
of the body. In the treatment of malignancies, the use of nanoparticles as a DDS
is making measurable treatment impact. Medical imaging will also utilize DDS to
illuminate tumors, the brain, or other cellular functions in the body. The
utility of nanoparticle DDS to improve human health is potentially enormous.
PMID- 18040811
TI - NeuroAIDS, drug abuse, and inflammation: building collaborative research
activities.
AB - Neurological complications of human immunodeficiency virus (HIV) infection are a
public health problem despite the availability of active antiretroviral
therapies. The neuropathogenesis of HIV infection revolves around a complex
cascade of events that include viral infection and glial immune activation,
monocyte-macrophage brain infiltration, and secretion of a host of viral and
cellular inflammatory and neurotoxic molecules. Although there is evidence that
HIV-infected drug abusers experience more severe neurological disease, the
biological basis for this finding is unknown. A scientific workshop organized by
the National Institute on Drug Abuse (NIDA) was held on March 23-24, 2006 to
address this question. The goal of the meeting was to bring together basic
science and clinical researchers who are experts in NeuroAIDS, glial immunity,
drugs of abuse, and/or pharmacology in order to find new approaches to
understanding interactions between drug abuse and neuroAIDS. The format of the
meeting was designed to stimulate open discussion and forge new multidisciplinary
research collaborations. This report includes transcripts of active discussions
and short presentations from invited participants. The presentations were
separated into sections that included: Glial Biology, Inflammation, and HIV;
Pharmacology, Neurotoxicology, and Neuroprotection; NeuroAIDS and Virology; and
Virus-Drug and Immune-Drug Interactions. Research priorities were identified.
Additional information about this meeting is available through links from the
NIDA AIDS Research Program website (
http://www.nida.nih.gov/about/organization/arp/arp-websites.htm ).
PMID- 18040812
TI - A novel mechanism for immunosuppression: from neuropeptides to regulatory T
cells.
AB - Vasoactive intestinal peptide (VIP), a well-known immunoregulatory neuropeptide,
affects both innate and adaptive immunity, and acts as a major anti-inflammatory
factor in animal models of autoimmune diseases. VIP down-regulates the innate
immune response by inhibiting the release of proinflammatory cytokines,
chemokines, and nitric oxide by activated macrophages, microglia, and dendritic
cells. VIP affects the adaptive immune response by reducing the costimulatory
capacity of antigen-presenting cells, and by preferentially inducing Th(2)-type
responses. This is accomplished through preferential Th(2) differentiation,
enhanced survival of Th(2) effectors, and the induction of Th(2)-attracting
chemokines. Recently, we discovered a novel mechanism for the immunosuppressive
effect of VIP that involves the generation of antigen-specific regulatory T cells
(Treg) through the induction of tolerogenic dendritic cells (tDC). In this work,
we review the VIP-induced Treg generation both in vivo and in vitro, and the use
of VIP-generated Treg in two models of autoimmunity, i.e., collagen-induced
arthritis and experimental autoimmune encephalomyelitis, and in bone marrow
transplantation as related to graft-versus-host disease and the graft-versus
leukemia response.
PMID- 18040813
TI - Abnormal striatal dopaminergic synapses in National NeuroAIDS Tissue Consortium
subjects with HIV encephalitis.
AB - People with human immunodeficiency virus (HIV)/acquired immune deficiency
syndrome (AIDS) have neurological problems that overlap with diseases associated
with abnormal dopaminergic (DAergic) synaptic transmission, including subcortical
dementia, motor slowing, psychosis, and drug addiction. Previous study has
suggested that DAergic tone may be decreased in HIV/AIDS, but biochemical
confirmation of that tenet is still lacking. To that end, this study addresses
the neurochemical interaction between HIV infection and DAergic synaptic
transmission in human brain specimens. Protein markers of DAergic synapses were
characterized in homogenates of the corpus striatum from individuals with HIV
encephalitis (HIVE) and seronegative controls from the autopsy cohort of the
National NeuroAIDS Tissue Consortium. Striatal DAergic markers were abnormal in
HIVE. Abnormal presynaptic markers included decreased tyrosine hydroxylase (TH)
protein and decreased phosphorylated TH. The presynaptic dopamine reuptake
transporter (DAT) was increased reciprocally. Postsynaptic abnormalities included
decreased dopamine receptor type 2 (D(2)R) and increased D(3)R. There was
preferential loss of the alternatively spliced long isoform of D(2)R relative to
the short isoform. Abnormal DAergic synapse proteins were significantly
correlated with the HIV Gag mRNA transcripts amplified in striatal extracts.
These synaptic changes resemble shifts that occur when DAergic tone is increased
experimentally. Increased DAergic tone leads to heightened salience for drugs of
abuse, increases behaviors that increase the risk of HIV transmission, and might
decrease compliance with antiretroviral medication regimens.
PMID- 18040814
TI - Stress-induced immune dysregulation: implications for wound healing, infectious
disease and cancer.
AB - The communication between the central nervous system and the immune system occurs
via a complex network of bidirectional signals linking the nervous, endocrine and
immune systems. The field of psychoneuroimmunology (PNI) has provided new
insights to help understand the pathophysiological processes that are linked to
the immune system. Work in this field has established that psychological stress
disrupts the functional interaction between the nervous and immune systems.
Stress-induced immune dysregulation has been shown to be significant enough to
result in health consequences, including reducing the immune response to
vaccines, slowing wound healing, reactivating latent herpesviruses, such as
Epstein-Barr virus (EBV), and enhancing the risk for more severe infectious
disease. Chronic stress/depression can increase the peripheral production of
proinflammatory cytokines, such as interleukin (IL)-6. High serum levels of IL-6
have been linked to risks for several conditions, such as cardiovascular disease,
type 2 diabetes, mental health complications, and some cancers. This overview
will discuss the evidence that psychological stress promotes immune dysfunction
that negatively impacts human health.
PMID- 18040815
TI - A role for corticosterone in impaired intestinal immunity and barrier function in
a rodent model of acute alcohol intoxication and burn injury.
AB - Alcohol (EtOH) intoxication and burn injury independently activate hypothalamic
pituitary-adrenal (HPA) axis, and glucocorticoids, the end product of the HPA
axis, play a role in shaping the immune response under those conditions. By
utilizing a rat model of acute EtOH intoxication and burn injury, studies in our
laboratory have investigated the role of corticosterone (i.e., glucocorticoids in
rodents) in altered intestinal immunity and barrier function following a combined
insult of EtOH and burn injury. Results from these studies suggest that EtOH
intoxication prior to burn injury augments corticosterone release, which in turn
suppresses intestinal T cell function by inhibiting mitogen-activated protein
kinase (i.e., p38 and ERK) pathway. Furthermore, we found that corticosterone
does not directly alter the intestinal barrier function; rather, it up-regulates
interleukin-18, which then directly or indirectly contributes to impaired
intestinal barrier function. The loss of intestinal immunity/barrier function may
result in increased bacterial translocation and thereby contribute to postinjury
pathogenesis, leading to sepsis and organ dysfunction in burn patients as well as
in patients with a history of EtOH intoxication.
PMID- 18040816
TI - Role of corticosterone in immunosuppressive effects of acute ethanol exposure on
Toll-like receptor mediated cytokine production.
AB - Acute ethanol (EtOH) exposure causes a stress response in humans, nonhuman
primates, and rodents. Previous study results indicate that the suppression of
some immunological parameters by EtOH is mediated in part or completely by
elevated corticosterone concentrations induced by EtOH. However, initial results
suggested that corticosterone is not involved in the modulation of cytokine
production by macrophages in response to polyinosinic polycytidylic acid (poly
I:C). New studies were conducted to further evaluate the role of corticosterone
in EtOH-mediated changes in production of interleukin-6 (IL-6), IL-10, and IL-12
in serum and peritoneal fluid in mice treated with poly I:C or lipopolysaccharide
(LPS). Suppression of IL-6, but not IL-12, production by EtOH was found to be
mediated by corticosterone. However, poly I:C, LPS, and EtOH all caused similar
elevations of corticosterone concentrations; thus, it is not clear if EtOH is
required to induce levels or durations of corticosterone needed to mediate the
observed effects. The situation with IL-10 was more complicated. Inhibition of
corticosterone synthesis with aminoglutethimide prevented the increase in IL-10
production caused by EtOH plus poly I:C as compared to poly I:C only. This
indicates that this increase is dependent on corticosterone, but exogenous
corticosterone plus poly I:C did not increase IL-10 production. Thus, EtOH and
corticosterone are required. However, with LPS inhibition of corticosterone
synthesis (using aminoglutethimide) or inhibition of its action (using
mifepristone) further increased, or did not affect IL-10 concentrations,
suggesting fundamental differences in the signaling pathways leading from poly
I:C and LPS to IL-10 production.
PMID- 18040818
TI - HIV Preclinical-Clinical Therapeutics Research: central nervous system
approaches.
AB - The prevalence of HIV-associated brain disorders is reportedly increasing due, in
part, to the prolonged life span of individuals who are surviving well on highly
active antiretroviral treatments (HAART). While clinicians report CNS-related
deficits that are more subtle in presentation than the frank dementia evident in
the pre-HAART era, the milder presentation continues to substantively reduce an
individual's quality of life. The development of novel drugs or therapeutic
strategies for treating HIV-related CNS disease is important as most
investigators agree that the brain is a sanctuary for latent virus, local viral
recrudescence, and associated brain inflammatory responses. The prolonged chronic
and cumulative effects on the brain of living with HIV-related inflammatory
processes, antiretroviral treatments, and their long-term side effects,
toxicities, and brain-related aging processes collectively indicate that the
burden of CNS and PNS complications will increase profoundly during the upcoming
years. Considering the high expense for new drugs entering CNS-related clinical
trials and their ultimately low success rate, the NIMH convened a meeting
entitled, HIV Preclinical-Clinical Therapeutics Research Meeting, to discuss the
current and proposed novel approaches for neuroAIDS drug development and clinical
practices. The purposes of the meeting were twofold: to identify the most
promising approaches for future neuroAIDS therapeutics development research and
to discuss optimal structures and partnerships with industry that may facilitate
the successful movement of compounds from the bench to the bedside. Several
themes can be derived from the sessions and are highlighted below for
preclinical, translational and clinical neuroAIDS therapeutics research.
PMID- 18040817
TI - Postoperative pain management and proinflammatory cytokines: animal and human
studies.
AB - The postoperative period is associated with neuroendocrine, metabolic, and immune
alterations, which are the combined result of tissue damage, anesthesia,
postoperative pain, and psychological stress. Limited evidence indicates that
pain management in the postoperative period can affect the outcome of the
surgery, reducing cardiac, pulmonary, and metabolic complications. Recent
evidence indicates that pain and immune factors, especially proinflammatory
cytokines, mutually interact and influence each other. A series of animal studies
demonstrates that effective preemptive analgesia improved postoperative recovery,
and this effect was enhanced by coadministration of IL-1ra together with the
preemptive analgesics. Furthermore, preemptive analgesia attenuated surgery
induced PGE(2) production in the amygdala and the activation of the HPA axis. IL
1 signaling is required for the production of amygdala PGE(2) in response to
surgical stress, and may thus affect the physiological and psychological aspects
of surgical stress. These reports suggest that short-term effective analgesia can
have long-lasting beneficial effects on surgery recovery. They further suggest
that IL-1 blockade should be considered in the clinical management of pain
associated with peripheral or nerve injury. Another series of human studies
describes an interaction between the effectiveness of postoperative pain relief
and surgery-associated immune alterations: In three separate studies, the more
effective pain management technique was associated with diminished surgery
induced immune alterations, especially diminished elevation of IL-1. Reduced
elevation of postoperative IL-1 and effective pain relief may both contribute to
an attenuated illness response and a better surgery outcome.
PMID- 18040819
TI - NIMH Preclinical/Clinical Therapeutics Research meeting--preclinical drug
discovery session.
PMID- 18040820
TI - Biomarkers, laboratory, and animal models for the design and development of
adjunctive therapies for HIV-1 dementia and other neuroinflammatory disorders.
AB - The goals of this component were to discuss the potential for NeuroAIDS
therapeutics. The presentations included discussions of biomarkers, pathogenic
mechanisms of disease, laboratory models, and the development of adjunctive
therapies for neuroinflammatory and neurodegenerative disorders with a focus on
NeuroAIDS. Talks by Dana Giulian on the use of CSF biomarkers for therapeutic
trial design in dementia, Howard Fox on the SIV model of NeuroAIDS, Christine
Zink on minocycline and its antiretroviral activities, and Katrina L. Mealey on
the means to improve drug access to the brain by regulation P-glycoprotein,
rounded out the session. It was acknowledged that although a number of compounds
including selegiline, nimodipine, and memantine were studied in clinical trials
and showed some trends towards clinical improvement none showed significance.
Drugs such as minocycline, sodium valproate, and P-glycoprotein regulators were
discussed and now are being developed. Partnerships between public institutions
and private companies were discussed. Multidisciplinary teams are likely required
to see such research to fruition, and the developmental schemes from the molecule
to the laboratory to the animal to the clinic were discussed and developed in the
session.
PMID- 18040821
TI - Translational research models and novel adjunctive therapies for neuroAIDS.
AB - The goal of this component of the meeting was to discuss novel therapeutics that
attenuate inflammatory pathways, enhance drug delivery into the brain and/or
bypass the blood-brain barrier (BBB). Presentations included discussions of (i)
antiretroviral drugs packaged into nanoparticles by Howard Gendelman, (ii) how to
engage the nasal epithelium and its closely associated neural fibers as a route
for drug entry to the brain, by William H. Frey and Lynn Pulliam, and (iii)
antioxidant gene delivery to neurons using vector systems that can protect
neurons against HIV-associated toxicity, by David Strayer. The session was capped
by active discourse among leaders in the field of adjunctive therapies in a broad
range of scientific disciplines.
PMID- 18040822
TI - Clinical trials in HIV CNS disease and treatment management.
AB - On May 15 and 16, 2006, the National Institute of Mental Health sponsored a
workshop designed to facilitate discussion and collaboration between basic and
clinical investigators in neuroAIDS. Day 2 of the meeting featured a series of
talks by clinical investigators. Three of these (those by J. McArthur, P. Tebas,
and C. Flexner) are described in detail here. The remaining four talks (those by
R. Ellis, R. Price, S. Letendre, and R. Robertson) are briefly introduced here,
and full-length papers may be found elsewhere in this issue of the Journal.
PMID- 18040823
TI - Studying host-pathogen interactions in 3-D: organotypic models for infectious
disease and drug development.
AB - Representative, reproducible, and high-throughput models of human cells and
tissues are critical for a meaningful evaluation of host-pathogen interactions
and are an essential component of the research developmental pipeline. The most
informative infection models-animals, organ explants, and human trials-are not
suited for extensive evaluation of pathogenesis mechanisms and screening of
candidate drugs. At the other extreme, more cost-effective and accessible
infection models such as conventional cell culture and static coculture may not
capture physiological and three-dimensional (3-D) aspects of tissue biology that
are important in assessing pathogenesis, effectiveness, and cytotoxicity of
therapeutics. Our lab has used innovative bioengineering technology to establish
biologically meaningful 3-D models of human tissues that recapitulate many
aspects of the differentiated structure and function of the parental tissue in
vivo, and we have applied these models to study infectious disease. We have
established a variety of different 3-D models that are currently being used in
infection studies-including small intestine, colon, lung, placenta, bladder,
periodontal ligament, and neuronal models. Published work from our lab has shown
that our 3-D models respond to infection with bacterial and viral pathogens in
ways that reflect the infection process in vivo. By virtue of their physiological
relevance, 3-D cell cultures may also hold significant potential as models to
provide insight into the neuropathogenesis of HIV infection. Furthermore, the
experimental flexibility, reproducibility, cost efficiency, and high-throughput
platform afforded by these 3-D models may have important implications for the
design and development of drugs with which to effectively treat neurological
complications of HIV infection.
PMID- 18040824
TI - Variation of macrophage tropism among HIV-1 R5 envelopes in brain and other
tissues.
AB - Human immunodeficiency virus (HIV)-positive individuals frequently suffer from
progressive encephelopathy, which is characterized by sensory neuropathy, sensory
myelopathy, and dementia. Our group and others have reported the presence of
highly macrophage-tropic R5 variants of HIV-1 in brain tissue of patients with
neurological complications. These variants are able to exploit low amounts of CD4
and/or CCR5 for infection and potentially confer an expanded tropism for any cell
types that express low CD4 and/or CCR5. In contrast to the brain-derived
envelopes, we found that envelopes from lymph node tissue, blood, or semen were
predominantly non-macrophage-tropic and required high amounts of CD4 for
infection. Nevertheless, where tested, the non-macrophage-tropic envelopes
conferred efficient replication in primary CD4(+) T-cell cultures. Determinants
of R5 macrophage tropism appear to involve changes in the CD4 binding site,
although further unknown determinants are also involved. The variation of R5
envelopes also affects their sensitivity to inhibition by ligands and entry
inhibitors that target CD4 and CCR5. In summary, HIV-1 R5 viruses vary
extensively in macrophage tropism. In the brain, highly macrophage-tropic
variants may represent neurotropic or neurovirulent viruses. In addition,
variation in R5 macrophage tropism may also have implications (1) for
transmission, depending on what role macrophages or cells that express low CD4
and/or CCR5 play in the establishment of infection in a new host, and (2) for
pathogenesis and depletion of CD4(+) T cells (i.e., do highly macrophage-tropic
variants confer a broader tropism among CD4(+) T-cell populations late in disease
and contribute to their depletion?).
PMID- 18040825
TI - Neurokinin-1 receptor antagonist (aprepitant) inhibits drug-resistant HIV-1
infection of macrophages in vitro.
AB - BACKGROUND: Despite the success of antiretroviral therapy in controlling HIV
replication, treatment failure may ultimately occur in more than 50% of the
individuals on antiretroviral therapy. Cellular targets offer an attractive
alternative, as it may be more difficult for HIV to develop resistance to
alternative cellular inhibitory pathways. We have previously shown that CP
96,345, a neurokinin-1 receptor (NK-1R) antagonist, inhibits HIV-1 infection of
macrophages in vitro by downregulating CCR5 expression (Lai JP, Ho WZ, Zhan GX,
Yi Y, Collman RG, Douglas SD 2001). We have now investigated the effects of a
Food and Drug Administration (FDA)-approved NK-1R antagonist, aprepitant (Emend),
on HIV infection of macrophages in an in vitro system. Aprepitant is in clinical
use for the prevention of nausea and vomiting associated with cancer chemotherapy
or following surgical procedures. METHODS: Monocytes isolated from healthy donors
were cultured for 7 days and then treated with or without aprepitant (10(-6) M)
for 2 h, followed by HIV infection with drug-resistant strains for 2 h. Untreated
and HIV-infected macrophages were used as controls. Culture supernatants were
harvested for p24 enzyme-linked immunosorbent assay (ELISA) or HIV reverse
transcriptase (RT) activity at different time points after infection. R5X4 tropic
and AZT-resistant strains (R5X4 tropic: A012 and A018) and RT inhibitor-resistant
HIV strains (R5 tropic: TC60 and TC49) were used for infection. RESULTS:
Aprepitant suppressed HIV Bal infection of macrophages. Treatment with aprepitant
(10(-6) M) inhibited infection of macrophages with the AZT-resistant viruses
(A018, A012) by 0.7 log(10). Aprepitant also suppressed infection of macrophages
with RT inhibitor-resistant virus (TC 49 and TC 60) by 0.5 log(10). Furthermore,
aprepitant significantly enhanced the anti-HIV activity of antiretrovirals (AZT,
Efavirenz, and Indinavir) in HIV Bal-infected macrophages, and aprepitant
inhibited CCR5 expression on macrophages, ranging from 50.5 to 29.6%. Donor
heterogeneity was observed in antiviral activity and CCR5 receptor expression.
CONCLUSION: Aprepitant is active against HIV drug-resistant isolates and enhances
the anti-HIV activity of the antiretrovirals. Aprepitant downregulates CCR5
expression on macrophages. NK-1R antagonists merit further investigation as
potential HIV therapeutic and immunomodulatory agents.
PMID- 18040826
TI - Neuroprotective and antiretroviral effects of the immunophilin ligand GPI 1046.
AB - HIV infection results in a neurodegenerative disorder for which currently there
is no effective therapy available. Currently, available antiretroviral therapy
has no impact on the production of early regulatory HIV proteins once the virus
is integrated. Of these proteins, Tat was shown to be toxic to neurons. We, thus,
used an in vitro neuronal culture system to determine if immunophilin ligands
could protect against Tat-induced neurotoxicity. We found that GPI 1046 had
potent neuroprotective effects in this model. The compound was able to protect
the neurons even though it only partially obliterated Tat-induced oxidative
stress in neurons, suggesting that other mechanisms may be important in mediating
its neuroprotective effect. Furthermore, GPI 1046 showed inhibition of HIV
replication and Tat-mediated long terminal repeat (LTR) activation suggesting
that this class of compounds may be worthy of further exploration as a potential
treatment for HIV dementia.
PMID- 18040827
TI - Facilitating compound progression of antiretroviral agents via modeling and
simulation.
AB - Pharmacotherapy in human immunodeficiency virus (HIV)-infected patients and the
development of safe and effective antiretroviral dosing regimens has been
hindered by numerous issues, including the rapid development of viral resistance
to drug therapy, the narrow therapeutic window of the drug compounds, and lack of
fundamental knowledge concerning the sources of variation in exposure and
response to antiretroviral agents. Sources of variation may include factors such
as interpatient differences in genetic expression, immunological response,
pathogenesis, epidemiologic and socioeconomic factors, and demographics. Modeling
and simulation (M&S) techniques have become valuable tools to identify and
quantify variability in exposure and response to antiretroviral agents throughout
the drug development process. Before actual entry into human safety and
pharmacokinetic (PK) trials, in vitro screening and in vivo pharmacology studies
conducted to assess compound potency and compatibility with agents included in
acceptable antiretroviral therapy (ART) regimens can be characterized via
quantitative relationships. In addition, physiochemical data is initially used to
screen drug candidates based on favorable PK and biopharmaceutic properties.
Compound progression can likewise be supported with M&S exercises to ensure the
traceability of key assumptions and decisions. The underlying techniques utilize
nonlinear mixed effect modeling, Monte Carlo simulation, Neural networks, several
regression-based approaches, and less computationally intensive techniques. The
application of such an approach promises to be an essential component in the
development of new agents to treat HIV-1 and is being implemented in the context
of evaluating Nk1r antagonists as potential candidates to treat NeuroAIDS.
PMID- 18040828
TI - Biomarkers for neuroAIDS: the widening scope of metabolomics.
AB - "Metabolomics", the measurement of metabolite concentrations and fluxes in cell
systems, is an emerging science that has enormous potential and several unique
characteristics. The current applications for this field are, primarily,
toxicological profiling and biomarker studies. This review of metabolomics
research highlights the identification of reliable biomarkers with emphasis on
neuroAIDS. Such identification of candidate markers will be advantageous for
tracking the progression of human immunodeficiency virus/central nervous system
(HIV/CNS) disease to gain maximal benefit from antiretroviral treatment and to
provide insight into the mechanism of related neuropathogenesis.
PMID- 18040829
TI - Strategies for intranasal delivery of therapeutics for the prevention and
treatment of neuroAIDS.
AB - Intranasal drug administration is a noninvasive method of bypassing the blood
brain barrier (BBB) to deliver neurotrophins and other therapeutic agents to the
brain and spinal cord. This method allows drugs that do not cross the BBB to be
delivered to the central nervous system (CNS) and eliminates the need for
systemic delivery, thereby reducing unwanted systemic side effects. Delivery from
the nose to the CNS occurs within minutes along both the olfactory and trigeminal
neural pathways. Intranasal delivery occurs by an extracellular route and does
not require that drugs bind to any receptor or undergo axonal transport.
Intranasal delivery also targets the nasal associated lymphatic tissues (NALT)
and deep cervical lymph nodes. In addition, intranasally administered
therapeutics are observed at high levels in the blood vessel walls and
perivascular spaces of the cerebrovasculature. Using this intranasal method in
animal models, researchers have successfully reduced stroke damage, reversed
Alzheimer's neurodegeneration, reduced anxiety, improved memory, stimulated
cerebral neurogenesis, and treated brain tumors. In humans, intranasal insulin
has been shown to improve memory in normal adults and patients with Alzheimer's
disease. Intranasal delivery strategies that can be employed to treat and prevent
NeuroAIDS include: (1) target antiretrovirals to reach HIV that harbors in the
CNS; (2) target therapeutics to protect neurons in the CNS; (3) modulate the
neuroimmune function of moncyte/macrophages by targeting the lymphatics,
perivascular spaces of the cerebrovasculature, and the CNS; and (4) improve
memory and cognitive function by targeting therapeutics to the CNS.
PMID- 18040830
TI - Intranasal tat alters gene expression in the mouse brain.
AB - Intranasal (IN) delivery of HIV-1 Tat in aging mice was investigated as a
possible model for HIV-1 infection in the brain. After IN administration, the
distribution of [(125)I]-labeled Tat in the brains of Swiss Webster mice was
evaluated by autoradiography and gamma counting. [(125)I]-labeled Tat was
detected at the highest concentrations in the olfactory bulb, cervical nodes, and
trigeminal nerve tract. In another experiment, APPSw transgenic mice were used to
model chronic Tat exposure. The mice were treated intranasally with 6 mug Tat (n
= 4) or vehicle (n = 4) three times per week for 4 weeks. Total RNA was isolated
from the frontal cortex, and differential gene expression analysis was performed
using gene microarrays. Gene ontology profiles indicated innate immunity,
inflammatory and apoptotic responses. Five genes of interest in the Tat-treated
mice that were significantly elevated in the microarrays were validated by RT
PCR. One gene, the Toll-like receptor 9 (Tlr9), has previously been shown to
activate signaling cascades leading to innate immunity and enhanced HIV-1 gene
expression. A second gene, Fas, plays a key role in neuroinflammation. Two
cysteine-rich cytokines associated with chemotaxis were elevated: MCP-1 (Ccl2),
which is chemotactic for monocytes, and Ccl17 (TARC), which is chemotactic for
lymphocytes. Finally, the gene sestrin was significantly elevated and has been
associated with oxidative stress, in particular amyloid beta-induced oxidative
stress. This IN Tat model of neuroinflammation may be useful to study HIV-1
induced neurodegeneration.
PMID- 18040831
TI - Glycogen synthase kinase 3 beta (GSK-3 beta) as a therapeutic target in
neuroAIDS.
AB - Highly active antiretroviral therapy (HAART) has made a significant impact on the
lives of people living with HIV-1 infection. The incidence of neurologic disease
associated with HIV-1 infection of the CNS plummeted between 1996-2000, but
unfortunately the number of people currently HIV-1 infected (i.e., prevalence)
with associated cognitive impairment has been steadily rising. While the reasons
for this may be multifactorial, the implication is clear: there is a pressing
need for adjunctive therapy directed at reversing or preventing damage to
vulnerable pathways in the central nervous system (CNS) from HIV-1 infection.
Using a team of preclinical and clinical investigators, we have focused our
efforts on defining how proinflammatory mediators and secretory neurotoxins from
HIV-1 disrupt signaling of the survival-regulating enzyme, glycogen synthase
kinase 3 beta (GSK-3beta). In a series of studies initiated using in vitro, then
in vivo models of HIV-1-associated dementia (HAD), we have demonstrated the
ability of the mood stabilizing and anticonvulsant drug, sodium valproate (VPA),
that inhibits GSK-3beta activity and other downstream mediators, to reverse HIV-1
induced damage to synaptic pathways in the CNS. Based on these results, we
successfully performed pharmacokinetic and safety and tolerability trials with
VPA in a cohort of HIV-1-infected patients with neurologic disease. VPA was well
tolerated in this population and secondary measures of brain metabolism, as
evidenced by an increase in N-acetyl aspartate/creatine (NAA/Cr), further
suggested that VPA may improve gray matter integrity in brain regions damaged by
HIV-1. These findings highlight the therapeutic potential of GSK-3beta blockade.
PMID- 18040832
TI - Meeting practical challenges of a trial involving a multitude of treatment
regimens: an example of a multi-center randomized controlled clinical trial in
neuroAIDS.
AB - Many clinical trials compare one specific treatment to a control or standard
treatment. In HIV therapeutics, such fixed-regimen designs may be problematic as
individualized treatment regimens are standard practice. Designing and
implementing a trial that allows individualized treatment options poses
particular challenges. In this example of a clinical trial in NeuroAIDS, it is
hypothesized that some antiretroviral drugs [i.e., those that penetrate the blood
brain barrier sufficiently to inhibit HIV in the central nervous system (CNS)]
will improve HIV neurocognitive impairment, whereas non-penetrating
antiretrovirals will not be as effective in improving neurocognitive function. To
test this hypothesis, a uniquely designed strategy trial was developed that
consists of three essential components: (1) a scoring system that ranks regimens
for CNS penetration based on semiquantitative criteria, (2) committee-established
individualized regimen options that allow randomization to opposite ends of the
CNS penetration spectrum, and (3) timely implementation across multiple centers
via web-based resources. For the proposed trial, the three components are
combined with an adaptive randomization scheme to minimize potential confounding
by several important factors. A small pilot study demonstrated the feasibility
and acceptability to providers. In conclusion, an innovative design can provide
solutions to challenging practical issues in trials with multiple treatment
options.
PMID- 18040833
TI - Assessment of neuroAIDS in the international setting.
AB - The global burden of the HIV epidemic is staggering, but in the short term, it is
largely unfelt in the developed world. Almost one million people have been
infected with HIV in North America, and the results of effective antiretroviral
therapy have dramatically improved survival and quality of life. However, there
are 25 million infected in sub-Saharan Africa alone, and antiretroviral treatment
is scarce. Ninety-five percent of new infections occur in the developing world
where resources are limited. Very little is known about NeuroAIDS in the
developing world where few studies have been conducted on the neurologic and
neurocognitive effects of antiretroviral treatment. HIV Clade differences and
other factors could have dramatic effects on treatment effectiveness. There are a
number of barriers in the assessment of neurological and neurocognitive effects
in resource limited settings and some of these will be addressed.
PMID- 18040834
TI - Defining and evaluating HIV-related neurodegenerative disease and its treatment
targets: a combinatorial approach to use of cerebrospinal fluid molecular
biomarkers.
AB - There are a number of reasons that the accomplishments of clinical trials related
to HIV-related neurodegenerative disease (HRND) and the AIDS dementia complex
(ADC) have had such limited impact on clinical practice. These include: rapid
evolution and progress in the treatment of systemic HIV infection that has
quickly outpaced neurological efforts and has markedly reduced disease incidence;
ethical constraints that (rightly) demand neurologically compromised patients
receive the best available treatment before experimental therapeutics;
complicated backgrounds and comorbidities of patients now most susceptible to
HRND; and reluctance of general AIDS clinicians and drug companies to look beyond
systemic or pivotal outcomes. However, the field has also been slow to adopt
methods that better exploit advances in understanding of the pathogenesis of
central nervous system (CNS) infection and brain injury, and that might
circumvent some of these constraints. Using a simple model of pathogenesis, we
propose an approach to characterizing patients, selecting treatment targets, and
evaluating outcomes that emphasize a combination of cerebrospinal fluid (CSF)
markers. This model begins by using three markers related to cardinal components
of HRND: CNS HIV infection (measurement of CSF HIV RNA), intrathecal
immunoactivation (CSF neopterin), and brain injury [CSF light chain neurofilament
(NFL)]. Careful analysis of this and other marker combinations promises more
rational trial design and more rapid progress in managing CNS HIV infection and
HRND using both antiviral and adjuvant treatment approaches.
PMID- 18040835
TI - The role of cohort studies in drug development: clinical evidence of antiviral
activity of serotonin reuptake inhibitors and HMG-CoA reductase inhibitors in the
central nervous system.
AB - BACKGROUND: Effective antiretroviral therapy (ART) has reduced the incidence of
HIV-associated neurocognitive impairment (HNCI) but its prevalence remains high.
Clinical trials have yet to identify a consistently effective treatment for HNCI,
other than ART, but in vitro data support that some drugs approved by the Food
and Drug Administration (FDA) for other indications might benefit individuals
with HNCI. Some of these drugs, such as serotonin reuptake inhibitors (SRIs) and
HMG-CoA reductase inhibitors (statins), may do so by reducing HIV replication in
the CNS and are already widely used by HIV-infected individuals. METHODS: Six
hundred fifty-eight HIV-infected participants of the CHARTER cohort had a
baseline assessment, which included comprehensive neuropsychological (NP) testing
and HIV RNA measurements in plasma and cerebrospinal fluid (CSF). Four-hundred
sixty-seven (71%) subjects used ART, 195 (30%) used SRIs, and 63 (10%) used
statins. RESULTS: SRI users were less likely to have HIV RNA levels in CSF above
50 copies (c)/mL (29 vs. 37% in non-SRI users, OR 0.69, p = 0.05). This
association was most evident for three of the seven SRIs (citalopram, sertraline,
and trazodone, or "antiviral" SRIs, combined 25 vs. 38% in non-SRI users, OR
0.56, p = 0.01) and was strongest in those not taking concomitant ART (61 vs.
83%, OR 0.31, p = 0.01). "Antiviral" SRI users also performed better on NP tests
(median global deficit score 0.37 vs. 0.47, p = 0.04). Statin users were also
less likely to have HIV RNA levels in CSF above 50 c/mL (16 vs. 37%, p < 0.001)
but, in contrast to SRIs, the association was strongest in those taking ART (2
vs. 18%, p < 0.001). Statin use was not associated with better NP performance.
Multivariate analyses indicated that the use of "antiviral" SRIs-but not statins
was associated with undetectable HIV RNA levels in CSF and better NP performance.
CONCLUSIONS: SRIs may reduce HIV replication in CSF and improve NP performance.
This was particularly true for three SRIs-supporting differences in antiviral
efficacy between drugs-in individuals who were not taking ART. In contrast,
statins were not associated with lower HIV replication in CSF in multivariate
analyses and were not associated with better NP performance. These analyses
support the value of large observational cohort studies in identifying FDA
approved drugs that may be worth further investigation.
PMID- 18040836
TI - The Journal of Neuroimmune Pharmacology reaches its stride.
PMID- 18040837
TI - The immunology of Alzheimer's disease: prospects towards harnessing disease
mechanisms for therapeutic ends.
PMID- 18040838
TI - Recent developments in cell-based immune therapy for neuroblastoma.
AB - Neuroblastoma (NB) is a common and aggressive tumor of early childhood. To date,
treatment with chemotherapy, surgery, and radiation therapy has resulted in
suboptimal outcomes in those with advanced disease. Immune-based treatments hold
promise for patients with recurrent or advanced NB. Here, recent preclinical
studies and early stage (phase I) clinical trials using cellular therapeutic
approaches for NB are reviewed, including studies of natural killer cells,
gammadelta T cells, chimeric receptor expressing T cells, dendritic cells, and
allogeneic hematopoietic cell transplant.
PMID- 18040839
TI - Divergent roles for tumor necrosis factor-alpha in the brain.
AB - Proinflammatory cytokines and chemokines have been implicated in the pathogenesis
of several neurological and neurodegenerative disorders. Prominent among such
factors is the pleiotropic cytokine, tumor necrosis factor (TNF)-alpha. Under
normal physiological conditions, TNF-alpha orchestrates a diverse array of
functions involved in immune surveillance and defense, cellular homeostasis, and
protection against certain neurological insults. However, paradoxical effects of
this cytokine have been observed. TNF-alpha is elicited in the brain following
injury (ischemia, trauma), infection (HIV, meningitis), neurodegeneration
(Alzheimer's, Parkinson's), and chemically induced neurotoxicity. The
multifarious identity for this cytokine appears to be influenced by several
mechanisms. Among the most prominent are the regulation of TNFalpha-induced NF
kappaB activation by adapter proteins such as TRADD and TRAF, and second, the
heterogeneity of microglia and their distribution pattern across brain regions.
Here, we review the differential role of TNF-alpha in response to brain injury,
with emphasis on neurodegeneration, and discuss the possible mechanisms for such
diverse and region-specific effects.
PMID- 18040840
TI - Feline immunodeficiency virus neuropathogenesis: from cats to calcium.
AB - Invasion of human immunodeficiency virus (HIV) into the central and peripheral
nervous system produces a wide range of neurological symptoms, which continue to
persist even with adequate therapeutic suppression of the systemic viremia. The
development of therapies designed to prevent the neurological complications of
HIV require a detailed understanding of the mechanisms of virus penetration into
the nervous system, infection, and subsequent neuropathogenesis. These processes,
however, are difficult to study in humans. The identification of animal
lentiviruses similar to HIV has provided useful models of HIV infection that have
greatly facilitated these efforts. This review summarizes contributions made from
in vitro and in vivo studies on the infectious and pathological interactions of
feline immunodeficiency virus (FIV) with the nervous system. In vivo studies on
FIV have provided insights into the natural progression of CNS disease as well as
the contribution of various risk factors. In vitro studies have contributed to
our understanding of immune cell trafficking, CNS infection and
neuropathogenesis. Together, these studies have made unique contributions to our
understanding of (1) lentiviral interactions at the blood-cerebrospinal fluid
(CSF) barrier within the choroid plexus, (2) early FIV invasion and pathogenesis
in the brain, and (3) lentiviral effects on intracellular calcium deregulation
and neuronal dysfunction. The ability to combine in vitro and in vivo studies on
FIV offers enormous potential to explore neuropathogenic mechanisms and generate
information necessary for the development of effective therapeutic interventions.
PMID- 18040841
TI - Battle of animal models.
AB - This is a brief summary of the animal models session held during the 12th Annual
Meeting of the Society on NeuroImmune Pharmacology, Santa Fe, NM, USA. This
session provided important information for participants on availability and
utility of animal models for the studies of HIV-1 central nervous system
infection and drug abuse. It highlighted animal model relevance to human
disease/condition, its utility for the studies of pathogenesis, potential
importance for the development of therapeutics, and demonstrated
limitations/pitfalls.
PMID- 18040842
TI - WIN55,212-2-mediated inhibition of HIV-1 expression in microglial cells:
involvement of cannabinoid receptors.
AB - Cannabinoid receptors CB(1) and CB(2) are primarily expressed in cells of the
nervous and immune systems, respectively. Recently, the synthetic CB(1)/CB(2)
agonist WIN55,212-2 was found to suppress replication of HIV-1 in microglial cell
cultures. The present study was undertaken to test the hypothesis that WIN55,212
2's antiviral effect is mediated via CB(2) receptors. By reverse transcription
polymerase chain reaction, microglia were found to express both CB(1) and CB(2)
receptors. Using additional CB(1)/CB(2) receptor agonists and selective
antagonists, we found that CB(2) receptors are involved in WIN55,212-2's
antiviral activity and surprisingly that the CB(1) receptor-selective antagonist
SR141716A behaved as an agonist in these brain macrophages.
PMID- 18040843
TI - Oxidative stress kills human primary oligodendrocytes via neutral
sphingomyelinase: implications for multiple sclerosis.
AB - Multiple sclerosis (MS) is the most common human demyelinating disease of the
central nervous system where oxidative stress has been proposed to play an
important role in oligodendroglial death. However, molecular mechanisms that
couple oxidative stress to the loss of oligodendrocytes are poorly understood.
This study underlines the importance of neutral sphingomyelinase-ceramide pathway
in mediating oxidative stress-induced apoptosis and cell death of human primary
oligodendrocytes. Various oxidative stress-inducing agents, such as, superoxide
radical produced by hypoxanthine and xanthine oxidase, hydrogen peroxide,
aminotriazole capable of inhibiting catalase and increasing intracellular level
of H2O2, or reduced glutathione-depleting diamide induced the activation of
neutral sphingomyelinase and the production of ceramide. It is interesting to
note that antisense knockdown of neutral but not acidic sphingomyelinase ablated
oxidative stress-induced apoptosis and cell death in human primary
oligodendrocytes. This study identifies neutral but not acidic sphingomyelinase
as a target for possible therapeutic intervention in MS.
PMID- 18040844
TI - The phospholipid mediator platelet-activating factor mediates striatal synaptic
facilitation.
AB - The phospholipid mediator platelet-activating factor (PAF), an endogenous
modulator of glutamatergic neurotransmission, can also be secreted by brain
mononuclear phagocytes during HIV-1 infection. Platelet-activating factor can
induce neuronal apoptosis by NMDA receptor-dependent and independent mechanisms.
We now demonstrate that acute administration of sublethal doses of PAF to
striatal slices augments synaptic facilitation in striatal neurons following high
frequency stimulation, which can be blocked by PAF receptor antagonists,
suggesting that striatal synaptic facilitation can be augmented by PAF receptor
agonism. We also demonstrate that repeated sublethal doses of PAF during tetanic
stimulation can greatly increase the magnitude of postsynaptic potentials and
action potentials, but a lethal dose of PAF destroys the capacity of
corticostriatal synapses to achieve this augmented synaptic facilitation. Thus,
the relative concentration and temporal pattern of PAF expression at
glutamatergic synapses may govern whether it acts in a physiologic or
pathophysiologic manner during striatal neurotransmission.
PMID- 18040845
TI - Immunotherapy of human neuroblastoma using umbilical cord blood-derived effector
cells.
AB - Tumors of the nervous system, including neuroblastoma and glioblastoma, are
difficult to treat with current therapies. Despite the advances in cancer
therapeutics, the outcomes in these patients remain poor and, therefore, new
modalities are required. Recent literature demonstrates that cytotoxic effector
cells can effectively kill tumors of the nervous system. In addition, we have
previously shown that umbilical cord blood (UCB) contains precursors of antitumor
cytotoxic effector cells. Therefore, to evaluate the antitumor potential of UCB
derived effector cells, studies were designed to compare the in vitro and in vivo
antitumor effects of UCB- and peripheral blood (PB)-derived antigen-nonspecific
and antigen-specific effector cells against tumors of the nervous system.
Mononuclear cells (MNCs) from UCB were used to generate both interleukin-2 (IL-2)
activated killer (LAK) cells and tumor-specific cytotoxic T lymphocytes (CTLs).
UCB-derived LAK cells showed a significant in vitro cytotoxicity against IMR-32,
SK-NMC, and U-87 human neuroblastoma and glioblastoma, respectively. In addition,
the CTLs generated using dendritic cells primed with IMR-32 tumor cell lysate
showed a selective cytotoxicity in vitro against IMR-32 cells, but not against U
87 or MDA-231 cells. Furthermore, treatment of SCID mice bearing IMR-32
neuroblastoma with tumor-specific CTLs resulted in a significant (p < 0.01)
inhibition of tumor growth and increased overall survival. Thus, these results
demonstrate the potential of UCB-derived effector cells against human
neuroblastoma and warrant further preclinical studies.
PMID- 18040846
TI - Kinetic analysis of aggregated amyloid-beta peptide clearance in adult bone
marrow-derived macrophages from APP and CCL2 transgenic mice.
AB - Accumulating evidence suggests that bone-marrow (BM)-derived mononuclear
phagocytes have an important role in the clearance of soluble and aggregated
amyloid-beta peptides (Abeta) in Alzheimer's disease (AD) brains. However, the
exact kinetics of Abeta clearance in mononuclear phagocytes derived from
transgenic animal models of AD expressing beta-amyloid precursor protein (APP)
mutants have been poorly characterized. We have examined whether CCL2 and APP
expression affects the clearance of Abeta in conjunction with our control,
acetylated low-density lipoprotein (AcLDL), using primary cultured BM-derived
macrophages derived from adult APP, CCL2, APP/CCL2, and control littermates.
Pulse-chase analysis demonstrated three distinct destinations for Abeta40 and
AcLDL: intracellular retention, degradation, and secretion. As predicted, 50% of
Abeta remained intracellularly contained even 5 days after pulse, while 40% of
degraded and 14% of nondegraded Abeta were secreted. APP/CCL2 macrophages show
reduced intracellular Abeta retention, along with enhanced secretion of both
degraded and nondegraded Abeta. Abeta accumulation in aggresome is also partially
reduced in APP/CCL2 macrophages as compared to other APP, CCL2, or control
groups, suggesting impaired sorting of aggregated Abeta in aggresomes. The
degradation of intracranially injected (125)I-Abeta40 aggregates was also
enhanced in adult APP/CCL2 mice as compared to APP littermates in vivo. These
data suggest that APP and CCL2 synergistically enhance BM-derived macrophage
mediated clearance of Abeta. In contrast, the clearance of AcLDL by BM-derived
macrophages was not significantly enhanced by the presence of either APP or CCL2.
PMID- 18040847
TI - Microglial activation is required for Abeta clearance after intracranial
injection of lipopolysaccharide in APP transgenic mice.
AB - Inflammation has been argued to play a fundamental role in the pathogenesis of
Alzheimer's disease. Mice transgenic for mutant human amyloid precursor protein
(APP) develop progressive amyloid deposition, gliosis, and cognitive impairment.
Paradoxically, intracranial administration of lipopolysaccharide (LPS) to promote
neuroinflammation results in a reduction in amyloid-beta peptide (Abeta) burden
concurrent with the inflammatory response. To determine whether microglia mediate
Abeta clearance after LPS, we used dexamethasone to inhibit the microglial
response. Amyloid precursor protein mice were injected intrahippocampally with
either LPS or saline and were allowed to survive for 7 days with or without
dexamethasone cotreatment. Brain tissue was then analyzed by
immunohistochemistry. Hippocampal Abeta burden was reduced 7 days after LPS
injection, and this was prevented by cotreatment with dexamethasone. Markers of
microglial activation [CD45, complement receptor 3 (CR3), and macrosialin (CD68)]
were increased by LPS, and these increases were attenuated by dexamethasone.
Dexamethasone failed to block LPS-induced increases in all microglial markers,
and Fcgamma receptors II/III and scavenger receptor A were increased by LPS but
were unaffected by dexamethasone cotreatment. These results indicate a complex
response by microglia to acute LPS treatment, with only some responses sensitive
to steroidal anti-inflammatory drug treatment. Nonetheless, microglial activation
was necessary to remove Abeta in this model of neuroinflammation.
PMID- 18040849
TI - The protective effect of ischemic postconditioning against ischemic injury: from
the heart to the brain.
AB - Postconditioning, a series of mechanical interruptions of reperfusion after
ischemia, prevents ischemia/reperfusion injury in myocardial infarction. The
extensive studies of postconditioning in myocardial infarction have led to
clinical trials. This article reviews the protective effects of postconditioning
against ischemia from the heart to the brain and provides insights on how studies
of postconditioning in the field of heart ischemia have shed light on
postconditioning of the brain. Because brain ischemia has many mechanisms in
common with heart ischemia, it is logical to test whether postconditioning
protects against brain ischemia as well. A few groups have reported that
postconditioning reduces infarct size in focal cerebral ischemia and improves
deficits of short-term memory and motor coordination after global cerebral
ischemia. However, many outstanding issues remain elusive regarding the
protective effects of postconditioning against cerebral ischemia. Future studies
should further identify parameters that generate the strongest protection for
postconditioning against cerebral ischemia and should study whether
postconditioning provides long-term protection. In addition, clarification of the
underlying protective mechanisms should be pursued. This will certainly enhance
our understanding of this novel phenomenon and may provide important clues for
developing pharmacological analogues for stroke treatment.
PMID- 18040850
TI - Spatial learning and memory in HIV-1 transgenic rats.
AB - HIV-1 infection of the central nervous system impairs neural, cognitive, and
behavioral functioning in patients despite antiretroviral therapy. However,
studying mechanisms underlying HIV-1-related neurological and cognitive
dysfunction has been limited without an adequate animal model. A novel,
noninfectious HIV-1 transgenic (HIV-1Tg) rat model was recently created that
expresses an HIV-1 provirus with a deletion of functional gag and pol genes. This
HIV-1Tg rat reportedly develops clinical manifestations of human HIV disease and
thus appears to mimic the persistent infection that results from the presence of
HIV viral proteins in the host. We evaluated the HIV-1Tg rat model using the
Morris water maze, a popular paradigm for testing learning and memory deficits in
rodents. Because of congenital cataracts in HIV-1Tg rats, however, the
traditional use of visual navigational cues in this paradigm were precluded. We
first designed a modified Morris water maze and demonstrated that neurologically
intact rats can effectively learn the water maze in the absence of visual cues
and in the presence of non-visual navigation cues. We then tested HIV-1Tg rats in
this modified Morris water maze. These HIV-1Tg rats showed a deficit in learning
how to swim to the location of the hidden platform but did not show a deficit in
their memory of the general location of the hidden platform. These results
suggest that the noninfectious HIV-1Tg rat can be a valid model for the
behavioral studies of HIV-related neurological dysfunction.
PMID- 18040848
TI - Toll-like receptors in defense and damage of the central nervous system.
AB - Members of the Toll-like receptor (TLR) family play critical roles as regulators
of innate and adaptive immune responses. TLRs function by recognizing diverse
molecular patterns on the surface of invading pathogens. In the brain, microglial
cells generate neuroimmune responses through production of proinflammatory
mediators. The upregulation of cytokines and chemokines in response to microbial
products and other stimuli has both beneficial and deleterious effects. Emerging
evidence demonstrates a central role for TLRs expressed on microglia as a pivotal
factor in generating these neuroimmune responses. Therefore, understanding the
basis of TLR signaling in producing these responses may provide insights into how
activated microglia attempt to strike a balance between defense against invading
pathogens and inflicting irreparable brain damage. These insights may lead to
innovative therapies for CNS infections and neuroinflammatory diseases based on
the modulation of microglial cell activation through TLR signaling.
PMID- 18040851
TI - Evidence for neuromodulation of enteropathogen invasion in the intestinal mucosa.
AB - The extensively innervated intestinal mucosa encompasses a vast surface exposed
to an array of potentially infectious microorganisms. We investigated the role of
enteric nerves in modulating intracellular internalization of a multidrug
resistant Salmonella typhimurium DT104 field isolate in mucosa-submucosa sheets
from the porcine ileum, a biomedical model for the human intestine. The effects
of transmural electrical stimulation and drugs on intracellular internalization
of Salmonella over 90 min was determined by a gentamicin-resistance assay
relative to untreated tissues from the same animal serving as controls. The actin
inhibitor cytochalasin D reduced internalization of Salmonella, and the mucus
disrupting agent dithiothreitol decreased its mucosal adherence. Transmural
electrical stimulation increased, and neuronal conduction blockers saxitoxin and
lidocaine decreased Salmonella internalization in stimulated and unstimulated
tissues. Furthermore, the alpha-adrenergic/imidazoline receptor ligand
phentolamine and the 5-HT(3) receptor antagonist tropisetron decreased
internalization in stimulated tissues. Based on these findings, enteric neural
activity appears to modulate interactions between the intestinal mucosa and
pathogenic bacteria.
PMID- 18040852
TI - Morphine-induced neuroimmunomodulation in murine visceral leishmaniasis: the
role(s) of cytokines and nitric oxide.
AB - Opioid modulation of host resistance to infectious diseases is well documented;
however, not much is known during visceral leishmaniasis (VL). Low doses of
morphine, administered subcutaneously in Leishmania donovani-infected BALB/c
mice, on days 0 and +15, significantly (p < 0.05) suppressed (1 mg/kg/day) or
even sterile-cleared (2 mg/kg/day) the infection; paradoxically, high doses (10
and 30 mg/kg/day) exacerbated the infection. In vitro, low concentration (1 x 10(
9) and 1 x 10(-11) M) morphine treatment of L. donovani-infected mouse peritoneal
macrophages (PM), endowed them with significant (p < 0.05) leishmanicidal
activity, whereas a high-concentration (1 x 10(-5) M) treatment augmented
intramacrophage parasite growth. Naloxone pre-treatment of infected-mice (4 mg/kg
x 2) and of infected-PM (1 x 10(-5) M), blocked only the morphine low
dose/concentration-induced protective effect. The splenocytes from protected mice
and morphine low concentration-treated infected-PM, elaborated significantly (p <
0.05) enhanced levels of interleukin-12, interferon-gamma, tumor necrosis factor
alpha, granulocyte-macrophage colony-stimulating factor and nitrite in the
culture medium; a high dose/concentration suppressed their elaboration.
Curiously, only morphine high dose/concentration-treated infected mice
splenocytes and infected PM, produced significantly (p < 0.05) increased quantity
of transforming growth factor-beta1. Aminoguanidine, significantly (p < 0.05)
blocked the morphine low dose/concentration-induced protective effect, in vivo
and in vitro. This first study demonstrates dose-dependent biphasic modulatory
effects of morphine in L. donovani-infected mice and PM, in vitro, apparently via
nitric oxide-dependent mechanisms. These results thus demonstrate the
implications of opiate abuse on the efficacy assessment of antileishmanial drugs
and vaccines, and on the reactivation of latent VL in areas where both drug abuse
and VL are rampant.
PMID- 18040853
TI - Low CSF leptin levels are associated with worse learning and memory performance
in HIV-infected men.
AB - OBJECTIVE: The main objective of this study was to investigate the association
between human CSF leptin levels and neuropsychological (NP) performance in the
setting of HIV infection. We hypothesized that human CSF leptin levels positively
correlate with NP performance. BACKGROUND: Leptin is an adipocyte-derived hormone
that influences brain development and function, particularly learning and memory,
in the mouse model. The extent to which leptin contributes to neurocognitive
functioning in humans is less clear. METHOD: A cross-sectional evaluation of CSF
leptin and NP performance was performed. Leptin levels in CSF and serum samples
from 59 HIV-positive men were measured by ELISA. Comprehensive, standardized NP
testing was used to determine impairment status in global and specific domains.
RESULTS: Lower CSF leptin levels and reduced leptin uptake into the central
nervous system (CNS) correlated with impaired learning and memory performance in
both univariate and multivariate analyses. In multivariate analyses, lower CSF
leptin levels and reduced CNS leptin uptake were associated with worse NP
performance in learning and memory, adjusting for CD4 nadir, antiretroviral
treatment exposure, and HIV RNA levels in CSF. CONCLUSIONS: Low CSF leptin levels
are associated with poorer performance in learning and memory among HIV-infected
men adjusting for usual predictors of HIV-associated neurocognitive impairment.
This association is consistent with prior in vitro and animal data suggesting
leptin has a trophic or facilitatory role in the hippocampus, above and beyond
its role in hypothalamic regulation.
PMID- 18040854
TI - The glial response to CNS HIV infection includes p53 activation and increased
expression of p53 target genes.
AB - HIV-associated dementia (HAD) is a chronic neuroinflammatory disease that remains
an important clinical problem without available rational treatment. As HIV does
not infect neurons, the pathogenesis of HAD is thought to be secondary to the
impact of infected leukocytes, including parenchymal microglia, which can secrete
inflammatory mediators and viral products that alter the function of surrounding
uninfected cells. We previously reported that the transcription factor p53
accumulates in neurons, microglia, and astrocytes of HAD patients. We have also
shown that microglia from p53-deficient mice fail to induce neurotoxicity in
response to the HIV coat protein gp120 in a coculture system, supporting the
hypothesis that p53 plays a pathogenic role in the chronic neuroinflammatory
component of HIV-associated neurodegeneration. We analyzed the extent and cell
type specificity of p53 accumulation in subcortical white matter of ten AIDS
patients that had previously been shown to demonstrate white matter p53
accumulation. To determine if p53 activation functioned to alter gene expression
in HAD, cortical tissue sections were also immunolabeled for the p53 target genes
Bax and p21(WAF1). These studies reveal that microglia, astrocytes, and
oligodendrocytes all demonstrate p53 activation in response to HIV infection. We
observed immunoreactivity for both Bax and p21(WAF1) in neurons and glia from
patients demonstrating elevated p53 immunoreactivity. Our findings demonstrate
that widespread increased p53 expression is present in HAD. Activation of p53
mediated pathways in the glia of HAD patients may contribute to the
neuroinflammatory processes that promote neurodegeneration by inhibiting glial
proliferation and/or promoting glial cell dysfunction.
PMID- 18040855
TI - Neuroimmune pharmacology expanding in Asia Pacific rim.
PMID- 18040856
TI - Endogenous neural stem cells in the adult brain.
AB - Despite progress in our understanding molecular mechanisms of neuronal cell death
in many central nervous system (CNS) diseases, widely effective treatments remain
elusive. Recent studies have shown that neural stem cells (NSCs) are present in
the subventricular zone (SVZ) lining the lateral ventricles and the subgranular
zone (SGZ) of the hippocampal dentate gyrus (DG) in adult mouse, rat, nonhuman
primate, and human brain. Newly generated cells in the SGZ can differentiate into
mature, functional neurons and integrate into the DG as granule cells, which are
involved in memory formation. In addition, many CNS diseases can stimulate the
proliferation of neuronal stem/progenitor cells located in the SVZ and SGZ of the
adult rodent brain, and the resulting newborn cells migrate into damaged brain
regions, where they express mature neuronal markers. Therefore, it might be
possible for damaged cells to be replaced from endogenous neural stem cell pools.
However, the capacity of self-repair is obviously not enough. Proliferation,
migration, and neuronal differentiation of endogenous NSCs could be manipulated
by pharmaceutical tools to reach the adequate benefits for the treatment of CNS
diseases.
PMID- 18040857
TI - Stem cell transplantation: a promising therapy for Parkinson's disease.
AB - Parkinson's disease is one of the most common neurodegenerative diseases caused
by the loss of dopaminergic neurons in the substantia nigra pars compacta.
Pharmacological therapies are valuable but suffer from two main drawbacks: side
effects and loss of efficacy with disease progression. Surgical treatment is no
better than drugs. Transplantation of embryonic mesencephalic tissue has emerged
as a therapeutic alternative, but the unstable efficiency and the shortage of
embryonic donors limit its clinical application. Recent advances in stem cell
research inspire our hope that stem cell transplantation to replace degenerated
neurons may be a promising therapy for Parkinson's disease. There are three
sources of stem cells currently in testing: embryonic stem cells, neural stem
cells, and mesenchymal stem cells. The stem cell transplantation in the animal
model of Parkinson's disease proves that it is capable of relieving symptoms and
restoring damaged brain function. Future stem cell research should focus not only
on ameliorating the symptoms of Parkinson's disease but also on neuroprotection
or neurorescue that can favorably modify the natural course and slow the
progression of the disease.
PMID- 18040858
TI - Differential expression of CXCL12 and CXCR4 during human fetal neural progenitor
cell differentiation.
AB - Stromal cell-derived factor 1 alpha (SDF-1alpha, CXCL12) and its receptor CXCR4
play an important role in the central nervous system (CNS) development and
adulthood by mediating cell migration, enhancing precursor cell proliferation,
assisting in neuronal circuit formation, and possibly regulating migration during
repair. The expression pattern of CXCR4 and CXCL12 during neurogenesis has not
been thoroughly elucidated. In this study, we investigated the expression of
CXCL12 and CXCR4 during neural progenitor cells (NPC) differentiation by
microarray analysis and reverse transcriptase-polymerase chain reaction (RT-PCR)
using human fetal NPC as a model system. The production of CXCL12 was measured by
enzyme-linked immunosorbent assay (ELISA). CXCR4 expression was determined by
florescence-activated cell sorting (FACS) analysis, immunocytochemical staining,
and CXCR4-mediated inhibition of cyclic AMP (cAMP) accumulation. Our data
demonstrated that CXCR4 expression is significantly upregulated when NPC are
differentiated into neuronal precursors, whereas CXCL12 is upregulated when
differentiated into astrocytes. We also provide evidence that CXCR4 localization
changes as neurons mature. In neuronal precursors, CXCR4 is localized in both
neuronal processes and the cell body, whereas in mature neurons, it is primarily
expressed on axons and dendrites. This differential expression of CXCR4 and
CXCL12 may be important for the temporal regulation of neuronal migration and
circuit formation during development and possibly in adult neurogenesis and
repair.
PMID- 18040859
TI - CD200-CD200R regulation of microglia activation in the pathogenesis of
Parkinson's disease.
AB - The role of CD200-CD200R signaling in immune regulation of the central nervous
system has become a popular field of research in recent years. Many studies have
shown that there is a close correlation between CD200-CD200R, microglia
activation, and Parkinson's disease (PD). This review discusses the above
relationship, highlighting (1) the gene mapping and molecular structure of CD200
and CD200R, (2) the distribution and expression of CD200 and CD200R in the
nervous system, (3) the effect of CD200-CD200R signaling on microglia activation,
and (4) the role of microglia activation in the pathogenesis and progression of
PD. Finally, we discuss the status of current studies on the regulation of
microglia activation in PD and strongly suggest that it is very promising to
regulate microglia activation in PD via targeting CD200-CD200R signaling
pathways.
PMID- 18040860
TI - Voltage-gated potassium channel modulation of neurotoxic activity in human
immunodeficiency virus type-1(HIV-1)-infected macrophages.
AB - Macrophages play an important role in brain immune and inflammatory responses.
They are also critical cells in mediating the pathology of neurodegenerative
disorders such as HIV-associated dementia. This is largely through their capacity
to secrete a variety of bioactive molecules such as cytokines, leading to
neuronal dysfunction and/or death. Accumulating evidence indicates that voltage
gated potassium (Kv) channels play a pivotal role in the modulation of macrophage
proliferation, activation, and secretion. Blockade of Kv channels by specific
antagonists decreases macrophage cytokine production and ameliorates macrophage
associated neuronal injury. These results suggest that Kv channels might become a
potential target for the development of new therapeutic strategies for chronic
inflammatory diseases.
PMID- 18040861
TI - NAD+ and NADH in neuronal death.
AB - Neuronal death is a key pathological event in multiple neurological diseases.
Increasing evidence has suggested that NAD+ and NADH mediate not only energy
metabolism and mitochondrial functions, but also calcium homeostasis, aging, and
cell death. This article is written to provide an overview about the information
suggesting significant roles of NAD+ and NADH in neuronal death in certain
neurological diseases. Our latest studies have suggested that intranasal
administration with NAD+ can profoundly decrease ischemic brain damage. These
observations suggest that NAD+ administration may be a novel therapeutic strategy
for some neurological diseases.
PMID- 18040862
TI - Downregulation of parkin damages antioxidant defenses and enhances proteasome
inhibition-induced toxicity in PC12 cells.
AB - Loss-of-function mutations in the parkin gene have recently been shown to be
responsible for autosomal recessive juvenile Parkinsonism. However, the exact
mechanism of pathogenesis remains unclear. This study explores the effect of
Parkin downregulation on dopaminergic cells in Parkinson's disease. We generated
small interfering RNA plasmids that target the parkin gene and transfected them
into PC12 cells to mimic in vivo loss-of-function. We found that these small
plasmids were able to effectively inhibit endogenous Parkin expression in PC12
cells. Downregulation of Parkin decreased the amount of glutathione and
superoxide dismutase activity without affecting the amount of malondialdehyde.
Moreover, Parkin knockdown rendered PC12 cells more susceptible to cell death
induced by the proteasome inhibitor lactacystin. These results indicate that
downregulation of Parkin may damage the antioxidation defenses of dopaminergic
cells and increase their susceptibility to proteasome inhibitor-induced toxicity.
PMID- 18040863
TI - Therapeutic angiogenesis for brain ischemia: a brief review.
AB - In the normal mature brain, blood vessel formation is tightly downregulated.
However, pathologic processes such as ischemia can induce cerebral vascular
regeneration. Angiogenesis is one of the major styles of new vessel formation. In
this article, we summarize the major angiogenic factors in the brain, discuss the
significant changes of angiogenic factors and endothelial progenitor cells (EPCs)
in response to brain ischemia, and finally, review the therapeutic potential of
angiogenic factors and EPCs in experimental cerebral ischemia based on the
concept of neurovascular unit.
PMID- 18040864
TI - RanBPM, a scaffolding protein in the immune and nervous systems.
AB - We review the literature for Ran Binding Protein in the Microtubule-Organizing
Center (RanBPM; RanBP9), a 90-kDa protein that possesses many characteristics of
a scaffolding protein, including protein-interaction motifs, a cytoskeletal
binding domain, and multiple canonical docking sites for signaling intermediates.
We focus on studies that have examined functional interactions between RanBPM and
other proteins. These studies suggest that RanBPM provides a platform for the
interaction of a variety of signaling proteins, including cell surface receptors,
nuclear receptors, nuclear transcription factors, and cytosolic kinases. These
studies indicate that RanBPM acts as a scaffolding protein and is important in
regulating cellular function in both the immune system and the nervous system.
PMID- 18040865
TI - Structure of human insulin monomer in water/acetonitrile solution.
AB - Here we present evidence that in water/acetonitrile solvent detailed structural
and dynamic information can be obtained for important proteins that are naturally
present as oligomers under native conditions. An NMR-derived human insulin
monomer structure in H2O/CD3CN, 65/35 vol%, pH 3.6 is presented and compared with
the available X-ray structure of a monomer that forms part of a hexamer (Acta
Crystallogr. 2003 Sec. D59, 474) and with NMR structures in water and organic
cosolvent. Detailed analysis using PFGSE NMR, temperature-dependent NMR, dilution
experiments and CSI proves that the structure is monomeric in the concentration
and temperature ranges 0.1-3 mM and 10-30 degrees C, respectively. The presence
of long-range interstrand NOEs, as found in the crystal structure of the monomer,
provides the evidence for conservation of the tertiary structure. Starting from
structures calculated by the program CYANA, two different molecular dynamics
simulated annealing refinement protocols were applied, either using the program
AMBER in vacuum (AMBER_VC), or including a generalized Born solvent model
(AMBER_GB).
PMID- 18040866
TI - Global analysis of gene expression in flower buds of Ms-cd1 Brassica oleracea
conferring male sterility by using an Arabidopsis microarray.
AB - The dominant male sterility gene Ms-cd1 is identified in Brassica oleracea.
Electron microscopical observations revealed that abortion of pollen development
starts after tetrad formation. This important male sterility phenotype is
characterized by lack of degradation of the primary pollen mother cell (PMC) wall
and delayed degradation of callose surrounding the tetrads and thus arrest of
microspore release. Gene expression of the male sterile and fertile buds was
analyzed by heterologous hybridization of Brassica oleracea cRNA onto an
Arabidopsis whole genome oligonucleotide microarray. A total of 277 suppressed
genes including 40 kinase-, 32 cell wall modification and 29 transport related
genes were found to be significantly down regulated >3-fold in the male sterile
mutant. The vast majority of the differentially expressed transcripts are found
to present late pollen stage specific genes. Kinase genes, cell wall modification
genes and ion transport genes were greatly over-represented when compared to
their percentage of all flower bud expressed genes and represent 36.5% of the
genes suppressed by Ms-cd1. Our results also suggest that Ms-cd1 may blocks an
anther developmental pathway with a small number of genes suppressed in tapetum
cells which prevent the degradation of callose and PMC wall, which further leads
to the suppression of a large number of genes involved in signaling pathways,
cell wall modification and ion transport in pollen grains.
PMID- 18040867
TI - New data on the cytology of parthenogenetic weevils (Coleoptera, Curculionidae).
AB - Parthenogenesis and, in particular, polyploidy are rare in animals. A number of
cases, known among weevils, represent apomictic parthenogenesis--a reproductive
mode in which eggs undergo one maturation division, the chromosomes divide
equationally, and no reduction takes place. Among parthenogenetic weevils there
are two diploids, 48 triploids, 18 tetraploids, six pentaploids, three hexaploids
and one decaploid. Eight examined parthenogenetic species are triploids with 33
chromosomes of different morphology, confirming that triploidy is the most common
level of ploidy in weevils. The karyotypes are heterogeneous with the presence of
meta-, submeta-, subtelo- and acrocentric chromosomes. The C-banding method
showed that only two species possess a large amount of heterochromatin visible as
a band around the centromere during mitotic metaphase. This agrees with
observations that weevils are characterized by a small amount of heterochromatin,
undetectable in metaphase plates after C-banding. In three species an atypical
course of apomictic oogenesis occurs with stages similar to meiosis, in which
chromosomes form bivalents and multivalent clusters. This association of
chromosomes probably represents the remnants of meiosis, although these events
have nothing to do with recombination. The results support the hypothesis that
the evolution of apomictic parthenogenesis in weevils has proceeded through a
stage of automixis.
PMID- 18040868
TI - A sulfate-reducing bacterium with unusual growing capacity in moderately acidic
conditions.
AB - The use of sulfate-reducing bacteria (SRB) is a cost-effective route to treat
sulfate- contaminated waters and precipitate metals. The isolation and
characterization of a SRB strain from an AMD in a Brazilian tropical region site
was carried out. With a moderately acidic pH (5.5), the C.1 strain began its
growth and with continued growth, modified the pH accordingly. The strain under
these conditions reduced sulfate at the same rate as an experiment performed
using an initial pH of 7.0. The dsrB gene-based molecular approach was used for
the characterization of this strain and its phylogenetic affiliation was similar
to genus Desulfovibrio sp. The results show an SRB isolate with unexpected
sulfate reducing capacity in moderately acidic conditions, bringing new
possibilities for the treatment of AMD, as acid water would be neutralized to a
mildly acidic condition.
PMID- 18040869
TI - The population level of Eotetranychus lewisi and the concentration of
carbohydrates in peach trees.
AB - Lewis spider mite Eotetranychus lewisi (McGregor) is the most important pest in
peach orchards, Prunus persica (L.) (Batsch), in North-Central Mexico. In autumn
2003 and spring 2004, two glasshouse experiments were carried out to assess the
influence of that mite on the concentration of total soluble sugars and starch in
leaves, bark and roots of 'diamante mejorado' peach trees. Apical leaves of peach
trees were inoculated with three mite densities per leaf: (A) 10-20, (B) 21-40,
(C) 41-80; a mite-free control was added. In 2003, at 81 days after infestation
(DAI), cumulative mite-days per leaf (CMD) were 153, 1313, 2844 and 4771 in
control and treatments (A), (B) and (C), respectively. In the same order, these
CMD caused reductions in total soluble sugars (TSS): in leaves, 45, 50 and 61%;
in bark, 9, 20 and 33%; in roots, 8, 20 and 26%. Reductions of starch
concentration in leaves were 17, 43 and 56%; in bark, 25, 55 and 32%; in roots,
17, 22 and 32%. In 2004, at 77 DAI and 57, 1043, 2426 and 3996 CMD for control
and treatments (A), (B) and (C), respectively, resulting reductions of TSS were:
in leaves, 3, 7 and 15%; in bark, 0.8, 3 and 5%; in roots, 57, 60 and 78%,
whereas reductions in starch concentration were: in leaves, 30, 34 and 44%; in
bark, 18, 24 and 41%; in roots, 17, 47 and 48%. The higher reductions in roots
found in 2004 are attributed to cumulative injury affecting food reserves.
PMID- 18040870
TI - An update on the ecological distribution of Ixodid ticks infesting cattle in
Rwanda: countrywide cross-sectional survey in the wet and the dry season.
AB - As part of the epidemiological studies aimed at developing an East Coast fever
(ECF) immunisation control strategy, which combines an infection and treatment
method with strategic tick control, a countrywide tick survey was carried out in
both the dry and the wet season to determine the abundance and the dynamics of
the tick populations infesting cattle in Rwanda. Six Ixodid tick species where
identified from a total of 12,814 tick specimens collected. Rhipicephalus
appendiculatus, the main vector of ECF was the most abundant (91.8%) followed by
Boophilus decoloratus (6.1%) and Ambyomma variegatum (1.2%). Few ticks from the
three other less economically important Ixodid species (Rhipicephalus compositus,
R. evertsi evertsi and Ixodes cavipalpus) were recovered. Both adult and immature
stages of the most dominant tick species were found to be widespread with a year
round presence. The numbers of ticks were high in low land and medium zones and
declined markedly in the higher regions of Rwanda. The geographical distribution
of various tick species throughout the country and their epidemiological
implications are discussed.
PMID- 18040871
TI - Serotonin-like immunoreactivity in the central nervous system of two ixodid tick
species.
AB - Immunocytochemistry was used to describe the distribution of serotonin-like
immunoreactive (5HT-IR) neurons and neuronal processes in the central nervous
system (CNS), the synganglion, of two ixodid tick species; the winter tick,
Dermacentor albipictus and the lone star tick, Amblyomma americanum. 5HT-IR
neurons were identified in the synganglion of both tick species. D. albipictus
had a significantly higher number of 5HT-IR neurons than A. americanum. The
labeling pattern and number of 5HT-IR neurons were significantly different
between sexes in D. albipictus, but were not significantly different between
sexes in A. americanum. 5HT-IR neurons that were located in the cortex of the
synganglion projected processes into the neuropils, invading neuromeres in the
supraesophageal ganglion including the protocerebrum, postero-dorsal, antero
dorsal and cheliceral neuromeres. In the subesophageal ganglion, dense 5HT-IR
neuronal processes were found in the olfactory lobes, pedal, and opisthosomal
neuromeres. Double-labeling with neurobiotin backfilled from the first leg
damaged at the Haller's organ revealed serotoninergic neuronal processes
surrounding the glomeruli in the olfactory lobes. The high number of the 5HT-IR
neurons and the extensive neuronal processes present in various regions of the
synganglion suggest that serotonin plays a significant role in tick physiology.
PMID- 18040872
TI - Unusual findings on host-tick interactions through carnivore scat analysis.
AB - In the course of a study on the diet of two Portuguese carnivores, the red fox
(Vulpes vulpes Linnaeus) and the common genet (Genetta genetta Linnaeus), 276
ticks were found inside the 940 scats analyzed. Prevalence in samples was 7.6%
for both predators. Association of ticks with prey identified on scats, resulted
in new data for Portugal on host-tick interactions [e.g. Ixodes acuminatus
(Neumann, 1901) and wood mouse] and tick distribution pattern. These unusual
findings, besides shedding some light on the host-tick Portuguese assemblage,
revealed scats as a new source of biological information.
PMID- 18040873
TI - Near-infrared spectroscopy to monitor peripheral blood flow perfusion.
AB - BACKGROUND: Non-invasive evaluation of peripheral perfusion may be useful in many
contexts including peri-operative monitoring. We validated a novel non-invasive
spectroscopy technique to assess peripheral perfusion. This method, which is
based on the measurement of tissue saturation variations after an ischemic
period, was compared to strain gauge plethysmography and radionuclide
plethysmography. The technique uses near-infrared spectroscopy (NIRS) to
determine the rate of change of forearm tissue saturation during reactive
hyperemia. METHODS: In a prospective crossover study, 25 subjects were
simultaneously evaluated with NIRS and strain gauge plethysmography. Six baseline
flow measurements were performed to assess the reproducibility of each method.
Twenty-seven serial measurements were then made to evaluate flow variation during
forearm reactive hyperemia. RESULTS: Strain gauge and NIRS methods showed
excellent reproducibility with intra-class correlation coefficients of 0.96 and
0.93, respectively. CONCLUSION: The NIRS technique appears well suited for the
non-invasive evaluation of limb perfusion.
PMID- 18040874
TI - Comet Assay measurements: a perspective.
AB - The Comet Assay or single cell gel electrophoresis assay is one of the very
widely used assays to microscopically detect DNA damage at the level of a single
cell. The determination of damage is carried out either through visual scoring of
cells (after classification into different categories on the basis of tail length
and shape) or by using different commercially available or public domain software
(which automatically recognise the extent of damage). In this assay, the shape,
size and amount of DNA within the 'comet' play important roles in the
determination of the level of damage. The use of a software in particular also
provides a range of different parameters, many of which might not be relevant in
determining the extent of DNA damage. As a large number of factors could
influence the shape, size, identification and determination of induced damage,
which includes the scoring criteria, staining techniques, selection of parameters
(whilst using the software packages) and appearance of 'hedgehog' or 'clouds',
this article aims (a) to provide an overview of evolution of measurements of DNA
damage using the Comet Assay and (b) to summarise and critically analyse the
advantages and disadvantages of different approaches currently being adopted
whilst using this assay. It is suggested that judicious selection of different
parameters, staining methods along with inter-laboratory validation and
harmonisation of methodologies will further help in making this assay more robust
and widely acceptable for scientific as well as regulatory studies.
PMID- 18040875
TI - UV radiation exposure, skin type and lymphoid malignancies: results of a French
case-control study.
AB - OBJECTIVES: Investigating the relationship between skin type, UV exposure, and
lymphoid malignancies (LM). METHODS: We conducted a hospital-based case-control
study in France, including 813 incident cases of non-Hodgkin's lymphoma (NHL),
Hodgkin's lymphoma (HL), lymphoproliferative syndrome (LPS) or multiple myeloma
and 748 controls. RESULTS: Positive associations between HL and blond/red hair
(OR = 1.8 [0.8-3.8]), very fair/fair skin (OR = 1.6 [1.0-2.5]) were observed.
High propensity to burn was associated with HL (OR = 1.5 [1.0-2.2]) and LPS (OR =
1.4 [1.0-2.1]). Poor ability to tan was significantly associated with HL (OR =
1.7 [1.0-2.8]). Having light hair with high propensity to burn was associated
with NHL (OR = 1.5 [0.9-2.5]) and significantly with HL (OR = 3.4 [1.4-8.4]).
Having dark hair with high propensity to burn was significantly associated with
LPS (OR = 1.5 [1.0-2.2]). The associations with HL and NHL were significant for
men only, with significant interactions. Outdoors activities since leaving school
or in the last decade were not related to LM. Only an almost negative trend was
observed. Prior exposure to artificial UV was not associated with LM. CONCLUSION:
These results suggest a positive association between the most reactive and palest
skin types and NHL or HL in men and do not rule out a slight negative
relationship between UV exposure and LM.
PMID- 18040876
TI - Afforestation areas defined by GIS in Golbasi specially protected area
Ankara/Turkey.
AB - This paper concentrates on determining the areas for afforestation and the
development of conservation strategies and actions in the case where there is
high demand for the conservation of a particular land area. The analysis of
hydrological landscape structure and of erodibility was prerequisite in the
methodological design considering the site-specific natural landscape
characteristics of the study area. The Golbasi Specially Protected Area (SPA)
being the case area has many local environmental disturbances, especially with
respect to its hydrological system, and the area is beset by increasing demands
for its use by the burgeoning human population. It is obviously clear that the
present intensive demand for recreational use will be forecasted further increase
in the future. The count of rural residences is estimated to increase by 50% over
the next decade. This intensive demand shall further strain the carrying capacity
of the ecological milieu, which has already suffered to a significant degree
because of the present urban and rural activities. The Golbasi district is
located only 20 km from the city center of Ankara, and its surroundings were
legally designated to be a Specially Protected Area primarily for the existence
of lacustral and fluvial systems where two interconnected lakes exist: Mogan and
Egmir Lakes. Essentially, the continuity of the lacustral and fluvial system of
Lakes Mogan and Egmir depends on the preservation and improvement of the water
sources. However, interconnected surface water bodies of these lakes are actually
quite contaminated, and a decline in the water flow from Mogan to Egmir has been
observed. For the elaboration of the present method, required conventional maps
(at 1/25,000 scale) of topography (for slope analysis) and geology (for
erodibility and permeability analysis) were transferred to computer media using
AutoCAD R.14 software. The rectification of the transferred data was done using
ERDAS Imagine 8.3. All data were then transferred into a GIS engine (Arcview
3.2). This software provided the ability to create, manipulate, analyze and
display topologically correct geographic data in digital form. The method
proceeded according to the following phases: 1. Analysis of the hydrologic
landscape structure; 2. Permeability of the soil structure; 3. Permeability of
the geological structure; 4. Conservation zones.
PMID- 18040877
TI - Exposure assessment of fishes to a modern pulp and paper mill effluents after a
black liquor spill.
AB - Conjugated resin acids (RAs) in fish bile are considered a sensitive chemical
indicator of exposure to pulp and paper mill effluent, and were used in this
study to monitor the post-spill situation of a lake area (Southern Lake Saimaa)
contaminated by black liquor discharged from a mill in June 2003. From the
exposure perspective of populations of wild roach and perch, which were studied
for their bile RAs at four time periods (July 2003, September 2003, May 2004,
July 2004), the exceptional event passed in 2 months or less. Perch had lower
concentration of RAs in bile than roach in all sampling areas and all times.
Besides the current emissions present in the water column, part of the exposure
status of the roach population to RAs seemed to derive from historically
contaminated sediments. In order to test this hypothesis, a laboratory experiment
with perch and roach, along with three teleosts (rainbow trout, brown trout and
whitefish), was conducted. The species were simultaneously exposed for 7 days to
RAs (23 microg/l). We calculated a perch/roach-ratio to investigate the
difference in origin of exposure between perch and roach populations in the wild
and in the laboratory. One year after the spill, the perch/roach-ratio of bile
RAs was 0.25 (CV 25%) at 1 km from the mill. This is in contrast to that found
under the sole waterborne conditions (0.44; CV 24%), supporting the idea that
sediments serve as an additional source of RAs in roach. Additionally,
bioconcentration factor log BCF(bile(RA)) was calculated to assess hepatobiliary
performance and the capacity to excrete RAs in fish.
PMID- 18040878
TI - Heavy metals in two fish species (Carangoidel malabaricus and Belone stronglurus)
from Pulicat Lake, North of Chennai, Southeast Coast of India.
AB - We present the results from a detailed study based on five metals (Cr, Cd, Zn, Pb
and Fe) measured in four organs (gills, liver, intestine and muscle) of two fish
species (Carangoidel malabaricus and Belone stronglurus) from Pulicat Lake in
southeast coast of India. The results show marked differences between the two
species and organs as well as significant variations within the five analyzed
metals. Although the metal concentrations measured in fish muscle are low, high
levels of Pb and Fe were observed in the liver and gills of the two fish species.
The concentrations of heavy metals in edible parts (muscle) of fish were within
the permissible levels and are safe for the human consumption.
PMID- 18040879
TI - Is PM(10) mass measurement a reliable index for air quality assessment? An
environmental study in a geographical area of north-eastern Italy.
AB - The aim of this study was to measure the concentration of some metals (Cd, Cr,
Cu, Fe, Mn, Ni, Pb, and Ti) in PM(10) samples collected in one urban and one
industrial site and to assess that PM(10) total mass measurement may be not
sufficient as air quality index due to its complex composition. Metals were
determined by inductively coupled plasma-atomic emission spectroscopy (ICP-AES)
and differential pulsed anodic stripping voltammetry (DPASV). The measured
concentrations were used to calculate the content of metals in the PM(10) total
mass, and to estimate the enrichment factors and the correlations between PM(10),
metal concentrations and meteorological data for the two sites. The mean PM10
concentration during the sampling period in the urban site exceeded the annual
European Union (EU) standard (40 microg/m(3)) and, for some sampling days, the
daily EU standard (50 microg/m(3)) was also exceeded. In opposite, both EU
standards were never exceeded in the industrial site. The overall metal content
was nearly double in the industrial site compared to the urban one, and the mean
Ni concentration exceeded the EU annual limit value (10 ng/m(3)). The metals with
the highest enrichment factor were Cd, Cu, Ni and Pb for both sites, suggesting a
dominant anthropogenic source for these metals. Metal concentrations were very
low and typical of rural background during Christmas holidays, when factories
were closed. PM(10) total mass measurement is not a sufficient air quality index
since the metal content of PM(10) is not related to its total mass, especially in
sites with industrial activities. This measurement should be associated with the
analysis of toxic metals.
PMID- 18040880
TI - Problems caused by land-misuse; the sample of Erzurum.
AB - In especially urban areas and their proximity, environmental pollution has
reached a level which threatens both people and public health. Although
environmental problems in Turkey have been studied for many cities, including
Erzurum, no study is present combining all the environmental matters of the city
with short definitions of problems it faces, therefore, this study attempts to
reveal the definition of the scope and problem of pollution and land use problems
in Erzurum and use of studies from developing cities elsewhere in the world for
comparison of methods and solutions.
PMID- 18040884
TI - Health-related quality of life (HRQoL) domains most valued by urban isiXhosa
speaking people.
AB - PURPOSE: The aim of the study was to investigate and identify aspects of health
related quality of life (HRQoL) that are most valued by isiXhosa-speaking people
resident in under-resourced areas of Cape Town. METHODOLOGY: Fifty-seven domains
of HRQoL were identified as important through group discussions with isiXhosa
speaking people. Participants randomly selected from the community (n = 601) and
from individuals seeking medical attention at a local clinic (n = 102) graded the
domains on a visual analogue scale (VAS) ranging from 0 as "not at all important"
to 10 as " very important". The domains were then mapped to the categories of the
International Classification of Functioning, Disability, and Health. RESULTS: The
domains regarded as being most important were food availability (9.5, SD = 1.52),
owning a brick house (9.4, SD = 1.57), access to medical services (9.4, SD =
1.55), and family safety (9.4, SD = 1.7). Having no bodily pain was ranked 40th.
Environmental factors were valued significantly more than the other two
categories and those related to body functions were valued more highly than
domains in the category of activity/participation. DISCUSSION AND CONCLUSION:
Despite being asked specifically to answer the questions in relation to their
health status, the participants apparently did not differentiate between general
quality of life (QoL) and specific HRQoL. It appears that members of an under
resourced community regard socioeconomic and service delivery aspects of their
lives as integral to their perceived state of health. It may be that it is not
possible to separate factors relating to general quality of life from those
specifically related to HRQoL in an under-resourced population and such
populations might not be suitable for inclusion in certain clinical trials where
improvement in HRQoL is the required outcome. Alternatively, if a HRQoL
instrument is to be used to monitor the impact of medical intervention, the
inclusion of environmental factors should be considered.
PMID- 18040883
TI - Impact of prevalent and incident vertebral fractures on utility: results from a
patient-based and a population-based sample.
AB - Data are scarce on the impact of vertebral fractures (VFX) on utility. The
objective of this study was to assess the impact of prevalent and incident VFX on
utility in both a patient-based and population-based sample. Data from the
Multiple Outcomes of Raloxifene Evaluation (MORE) study (n = 550 for prevalent
VFX and n = 174 for incident VFX) and the European Prospective Osteoporosis Study
(EPOS) (n = 236) were used. Utility was assessed by the index score of the EQ-5D.
In the MORE study, highly statistically significant associations were found
between utility and the presence of prevalent VFX (p < 0.001), number of
prevalent VFX (p < 0.001), severity of prevalent VFX (p < 0.001), the combination
of number and severity of prevalent VFX (p = 0.001) and location of prevalent VFX
(p = 0.019). The mean utility was significantly lower among women who suffered an
incident VFX (utility = 0.67) than among women who did not (utility = 0.77) (p =
0.005), although utility loss was not significantly different between the two
groups (p = 0.142). In EPOS, the combination of number and severity of incident
VFX was significantly related to utility (p = 0.030). In conclusion, utility is
lower among persons with prevalent and incident VFX, especially in a patient
based sample. Utility loss was not significantly different between women without
and with incident VFX.
PMID- 18040885
TI - Some thoughts on the 2007 World Conference on Research Integrity.
PMID- 18040887
TI - Noninvasive method for simultaneously measuring the thermophysical properties and
blood perfusion in cylindrically shaped living tissues.
AB - An easy-to-use noninvasive method was developed to simultaneously measure the
thermophysical parameters and blood perfusion in cylindrically shaped living
tissues. This method is based on a two-dimensional mathematical model which
requires temperature measurements at only three separate points along the axial
direction on the cylinder surface. A sensitivity analysis has shown that the key
thermophysical parameters, such as the thermal conductivity, volumetric heat
capacity, and blood perfusion can be estimated simultaneously with high accuracy.
Genetic algorithm (GA) selection, crossover, and mutation operators were
developed to solve this multi-parameter optimization problem. This three-point
method was validated by measuring the properties of a dynamic tissue-equivalent
phantom with known thermal parameters. The method has also been applied to
measure the thermophysical parameters and blood perfusion in human forearms with
measured results agreeing well with the literature values.
PMID- 18040886
TI - L-arginine metabolism and its impact on host immunity against Leishmania
infection.
AB - Leishmaniasis is a vector-borne disease found in many countries worldwide. The
causative agent of the disease, Leishmania spp., lives as an obligate
intracellular parasite within mammalian hosts. Since tissue macrophages are major
target cells for parasite replication, the outcome of infection depends largely
on the activation status of these cells. L-arginine is a crucial amino acid
required for both nitric oxide (NO)-mediated parasite killing and polyamine
mediated parasite replication. This review highlights the significance of L
arginine as a factor determining the outcomes of Leishmania infection in vitro
and its influences on host immune responses in vivo. Various therapeutic
approaches targeting L-arginine metabolic pathways during infections with
Leishmania are also discussed.
PMID- 18040889
TI - International neurocritical care: report from the Costa Rica Neurointensive and
Neuromonitoring Course.
PMID- 18040890
TI - Inverse current-source density method in 3D: reconstruction fidelity, boundary
effects, and influence of distant sources.
AB - Estimation of the continuous current-source density in bulk tissue from a finite
set of electrode measurements is a daunting task. Here we present a methodology
which allows such a reconstruction by generalizing the one-dimensional inverse
CSD method. The idea is to assume a particular plausible form of CSD within a
class described by a number of parameters which can be estimated from available
data, for example a set of cubic splines in 3D spanned on a fixed grid of the
same size as the set of measurements. To avoid specificity of particular choice
of reconstruction grid we add random jitter to the points positions and show that
it leads to a correct reconstruction. We propose different ways of improving the
quality of reconstruction which take into account the sources located outside the
recording region through appropriate boundary treatment. The efficiency of the
traditional CSD and variants of inverse CSD methods is compared using several
fidelity measures on different test data to investigate when one of the methods
is superior to the others. The methods are illustrated with reconstructions of
CSD from potentials evoked by stimulation of a bunch of whiskers recorded in a
slab of the rat forebrain on a grid of 4x5x7 positions.
PMID- 18040888
TI - Neuroprotective role of antidiabetic drug metformin against apoptotic cell death
in primary cortical neurons.
AB - Oxidative damage has been reported to be involved in the pathogenesis of diabetic
neuropathy and neurodegenerative diseases. Recent evidence suggests that the
antidiabetic drug metformin prevents oxidative stress-related cellular death in
non-neuronal cell lines. In this report, we point to the direct neuroprotective
effect of metformin, using the etoposide-induced cell death model. The exposure
of intact primary neurons to this cytotoxic insult induced permeability
transition pore (PTP) opening, the dissipation of mitochondrial membrane
potential (DeltaPsim), cytochrome c release, and subsequent death. More
importantly, metformin, together with the PTP classical inhibitor cyclosporin A
(CsA), strongly mitigated the activation of this apoptotic cascade. Furthermore,
the general antioxidant N-acetyl-L: -cysteine also prevented etoposide-promoted
neuronal death. In addition, metformin was shown to delay CsA-sensitive PTP
opening in permeabilized neurons, as triggered by a calcium overload, probably
through its mild inhibitory effect on the respiratory chain complex I. We
conclude that (1) etoposide-induced neuronal death is partly attributable to PTP
opening and the disruption of DeltaPsim, in association with the emergence of
oxidative stress, and (2) metformin inhibits this PTP opening-driven commitment
to death. We thus propose that metformin, beyond its antihyperglycemic role, can
also function as a new therapeutic tool for diabetes-associated neurodegenerative
disorders.
PMID- 18040891
TI - Dexamethasone-induced suppression of steroidogenic acute regulatory protein gene
expression in mouse Y-1 adrenocortical cells is associated with reduced histone
H3 acetylation.
AB - In this study, we investigated the effect of dexamethasone on the expression of
steroidogenic acute regulatory protein (StAR) and the acetylation of histone H3
in mouse Y-1 adrenocortical tumor cells. Treatment of Y-1 cells with increasing
concentrations (0.001-50 microg/ml) of dexamethasone for 24 h suppressed 8-Br
cAMP (0.5 mM)-stimulated StAR mRNA and protein levels and progesterone production
in a dose-dependent manner. Treatment of Y-1 cells with 8-Br-cAMP (0.5 mM) for 1
24 h resulted in a marked increase in StAR mRNA levels. This increase was
associated with an increase in progesterone production. StAR mRNA was down
regulated by dexamethasone at times greater than 3 h. To evaluate dexamethasone
effect on the endogenous StAR gene, chromatin immunoprecipitation assays were
performed in combination with polymerase chain reaction. 8-Br-cAMP increased
histone H3 acetylation within the proximal region of the StAR gene promoter and
coincubation with dexamethasone blocked this effect. Dexamethasone had no effect
on glucocorticoid receptor mRNA expression. These results demonstrate that
dexamethasone repression of 8-Br-cAMP-stimulated StAR gene expression in Y-1
cells is accompanied by reductions in histone H3 acetylation associated with the
StAR gene promoter.
PMID- 18040892
TI - Carbamylcholine and ouabain effects on Ca2+ handling and insulin release in
islets from rats depleted in long-chain polyunsaturated omega 3 fatty acids.
AB - A number of metabolic, ionic and secretory variables were recently found to be
affected in pancreatic islets obtained from second generation rats depleted in
long-chain polyunsaturated omega 3 fatty acids (omega 3 rats). The present study
further documents three sets of anomalies in such islets. First, after 90 min
exposure to D-glucose (8.3 mM), the release of insulin from perifused islets,
prelabelled with 45Ca, is lower in omega 3 rats than in control animals, despite
comparable 45Ca fractional outflow rate. Second, over 15 min exposure to
carbamylcholine (0.1 mM), in the presence of D: -glucose, the cytosolic
concentration of Ca2+ is increased to a greater relative extent in dispersed
islet cells from omega 3 rats, as compared to control animals. This coincides
with a greater relative increase in insulin output from perifused islets during
the second phase of the secretory response to the cholinergic agent. Last, the
increase provoked by ouabain (1.0 mM) in cytosolic Ca2+ concentration, 45Ca
fractional outflow rate and insulin release are all delayed in the omega 3 rats.
Taking into account the decreased activity of Na+, K+-ATPase in the islets of
omega 3 rats, these findings are interpreted as reflecting an impaired priming of
insulin-producing cells when first exposed for 105 min to a physiological
postprandial concentration of D-glucose.
PMID- 18040893
TI - The aldosterone to renin ratio in the evaluation of patients with incidentally
detected adrenal masses.
AB - Incidentally discovered adrenal masses are diagnosed with increasing frequency,
especially among patients with hypertension. Thus, a reliable screening test for
primary hyperaldosteronism (PA) is essential to avoid unnecessary diagnostic
procedures to this population. The aim of the present study is the evaluation of
aldosterone to renin ratio (ARR), using plasma renin concentration, in the
diagnostic algorithm of patients with adrenal incidentaloma. A total of 123
individuals were studied: 17 patients with proven PA (age 55.5 +/- 1.4 years), 27
patients with nonfunctioning adrenal incidentaloma (age 60.3 +/- 1.8 years, 14
hypertensives and 13 normotensives) and 79 control subjects (age 58.7 +/- 1.4
years, 27 hypertensives and 52 normotensives). A receiver operating
characteristic (ROC) analysis disclosed that an ARR > or =32 combines a
sensitivity of 100% with a specificity of 96.2% for the diagnosis of PA. No
difference in AlphaRR between hypertensive and normotensive individuals
harbouring an adrenal incidentaloma and hypertensive and normotensive controls
was found. Patients with adrenal incidentalomas with subtle glucocorticoid
hypersecretion demonstrated similar ARR compared to patients with normal cortisol
secretion. In conclusion, ARR is reliable for the exclusion of PA in patients
with adrenal incidentalomas. Furthermore, subtle aldosterone hypersecretion, as
indicated by increased ARR, in patients with adrenal incidentalomas is not
associated with the presence of hypertension or subtle glucocorticoid
hypersecretion.
PMID- 18040894
TI - Expression and localization of cystic fibrosis transmembrane conductance
regulator in the rat endocrine pancreas.
AB - Impaired glucose tolerance and overt diabetes mellitus are becoming increasingly
common complications of cystic fibrosis (CF), most probably merely as a result of
increased life expectancy. In order to understand the pathophysiology of cystic
fibrosis-related diabetes (CFRD), knowledge on the possible expression and cell
distribution of the cystic fibrosis transmembrane conductance regulator (CFTR)
protein within the endocrine pancreas is required. In this report, we establish
the first evidence for expression of CFTR protein in rat pancreatic islets by
using independent techniques. First reverse transcriptase-polymerase chain
reaction (RT-PCR) amplification showed that CFTR mRNA is present in isolated
islets of Langerhans. Furthermore, the analysis of flow cytometry-separated islet
cells indicated that the level of CFTR transcripts is significantly higher in the
non-beta than in beta-cell populations. The expression of CFTR protein in rat
islet cells was also demonstrated by Western blotting and the level of expression
was also found significantly higher in the non-beta than in beta-cell
populations. Last, in situ immunocytochemistry studies with two monoclonal
antibodies recognizing different CFTR epitopes indicated that CFTR expression
occurs mainly in glucagon-secreting alpha-cells.
PMID- 18040895
TI - Insulin regulation of growth hormone receptor gene expression: involvement of
both the PI-3 kinase and MEK/ERK signaling pathways.
AB - The mechanism(s) of insulin's effects on growth hormone receptor (GHR) gene
expression are poorly understood. Using rat hepatoma cells, we have previously
shown that insulin treatment reduces GHR mRNA and protein in a time- and
concentration-dependent manner, at least in part via down-regulation of GHR
transcription. The present study determines whether the phosphatidylinositol-3
kinase (PI-3 kinase) and mitogen activated protein kinase kinase
(MEK)/extracellular signal-regulated kinase (ERK) pathways are involved in
mediating these effects of insulin. Inhibition of the PI-3 kinase pathway
partially blocked insulin's reduction of GHR mRNA, as did inhibition of the
MEK/ERK pathway, resulting in higher GHR mRNA levels. Inhibition of both pathways
was necessary to completely block insulin effects. Similar results were obtained
for GHR protein. Collectively, these data suggest that insulin signaling via
either the PI-3 kinase or MEK/ERK pathway may result in partial reduction of GHR
gene expression, whereas signaling via both pathways may be required to achieve
the full insulin effect.
PMID- 18040897
TI - Comparative study of Bacillus thuringiensis Cry1Aa and Cry1Ac delta-endotoxin
activation, inactivation and in situ histopathological effect in Ephestia
kuehniella (Lepidoptera: Pyralidae).
AB - A comparative study of different steps in the mode of action of the individual
Bacillus thuringiensis kurstaki BNS3 Cry1Aa and Cry1Ac delta-endotoxins on E.
kuehniella larvae was performed in order to investigate the origin of the
difference in the response of this larvae to each of the latter. Proteolytic
activation was shown to be one of the main steps impaired in E. kuehniella
tolerance to Cry1Aa. The absence of two proteinase activities as well as an
altered activity level observed in the case of Cry1Aa would be the consequence of
proteinase-mediated tolerance of E. kuehniella to this toxin. In situ binding and
histopathological effect analyses allowed concluding that the binding of the
toxin to BBMV receptors is the key step in E. kuehniella tolerance to Cry1Aa
toxin. The latter was slightly bound to apical membranes of epithelial cells that
remained intact, whereas Cry1Ac was tightly bound to completely damaged cells
basal membranes.
PMID- 18040896
TI - Role of the GH/IGF-I axis in the growth retardation of weaver mice.
AB - IGF-I is a well-established anabolic growth factor essential for growth and
development. Although the role of the GH/IGF-I axis is established for normal
postnatal growth, its functional state in neurodegenerative diseases is not fully
characterized. The weaver mutant mouse is a commonly used model for studying
hereditary cerebellar ataxia and provides an opportunity to investigate the
function of IGF-I in postnatal growth following neurodegeneration. Previously, we
reported that weaver mice are growth retarded and their body weights correlate
with a decrease in circulating IGF-I levels. Because weaver mice have the same
food intake/body weight ratios as their wild type littermates, our observation
suggests that an impairment of the GH/IGF-I axis, rather than poor nutrition,
likely contributes to their growth retardation. This study further investigated
the etiology of reduced circulating IGF-I levels. We found that GH levels in
weaver mice were reduced following acute insulin injection, but the hepatic GH
receptor transduction pathway signaled normally as evidenced by increased STAT5b
phosphorylation and IGF-I mRNA levels in response to acute GH administration. In
addition, 2-week GH treatment induced a significant increase in body weight and
circulating IGF-I levels in homozygous weaver mice but not in wild type
littermates. In summary, a deficiency in the GH/IGF-I axis may be partially
responsible for postnatal growth retardation in weaver mutant mice. This
deficiency may occur at the level of the pituitary and/or hypothalamus and can be
improved with GH administration.
PMID- 18040898
TI - Optimization of the AT-content of codons immediately downstream of the initiation
codon and evaluation of culture conditions for high-level expression of
recombinant human G-CSF in Escherichia coli.
AB - Enhanced therapeutic importance of recombinant human granulocyte colony
stimulating factor (rhG-CSF) has encouraged us to develop a processing method for
its high-level expression in E. coli. In this study, we established a high
yielding clone by incorporation of silent mutations at N-terminal region of human
G-CSF gene. We studied and optimized various parameters of culture conditions
connected with the expression of rhG-CSF. The maximum expression was obtained in
a defined medium supplemented with 1% glucose. The gene in pET-3a vector in E.
coli BL21 (DE3) PLysS host strain was induced with 2 mM isopropyl beta-D: -1
thiogalacto pyronoside. The cell growth and productivity was enhanced about 1.6-
and 1.5-folds, respectively when inducing the culture at OD(600) value of 6 than
2. The protein expression was significantly increased by addition of rifampicin
at concentration of 200 microg/ml. The AT content of 51.8% with suitable codon
sequences at N-terminal region and the concentration of rifampicin were
identified as the key factors with a significant impact on protein expression.
The specific productivity of 104 mg/OD/l (68.7% of total cellular protein) of rhG
CSF was obtained toward the end of the study, which is almost 1.5 times higher
yield than reported so far in the literature.
PMID- 18040900
TI - Diagnostic value of magnetic resonance imaging and scintigraphy in patients with
metastatic breast cancer of the axial skeleton: a comparative study.
AB - PURPOSE: The goal of this study was to compare the sensitivity of MRI and
scintigraphy for detecting metastatic bone disease involving the axial skeleton.
PATIENTS AND METHODS: A total of 59 patients (58 women and 1 man, age range 28-83
years, mean age 53.0 years) with histopathologically proven breast cancer during
a 15-month period (between April 2003 and January 2004) were included in the
study. All the patients underwent scintigraphy and MRI examinations for staging,
follow-up, or evaluation of bone pain. RESULTS: MR imaging revealed 59 metastases
in 59 patients (sensitivity, 95%; specificity, 100%; positive predictive value,
100%). Four lesions detected by MRI were classified as of uncertain origin (grade
2) and 36 lesions were regarded as definitely benign (grade 1). Scintigraphy
revealed 44 metastases in 59 patients (sensitivity, 70%; specificity, 94%;
positive predictive value, 95%). A total of 29 lesions were considered as of
uncertain origin (grade 2), and 26 lesions were regarded as definitely benign
(grade 1). About five lesions were graded as grade 2 in scintigraphy, while MRI
graded them as degeneration or benign compression (Grade 1). For 11 lesions the
same grade was regarded in both MRI and scintigraphy. Two lesions graded as grade
3, and eleven lesions graded as grade 2 in scintigraphy demonstrated no
pathological signal intensity in MRI. In total, 18 lesions with no activity in
scintigraphy were graded as grade 3 lesions in MRI. CONCLUSION: MRI is more
sensitive than scintigraphy in the detection of bone metastases. MRI appears to
be able to screen patients more effectively than scintigraphy if the spine and
pelvis are included because metastases merely outside the axial skeleton are
rare.
PMID- 18040901
TI - Primary non-hodgkin lymphoma of urinary bladder with nine years later renal
involvement and absence of systemic lymphoma: a case report.
AB - AIMS: Primary bladder non-Hodgkin lymphoma (PBNHL) is very rare, especially as
extranodal B-small lymphocytic lymphoma (B-SLL). Also, late isolated renal
manifestation of PBNHL is extremely unusual. We report a very rare type of
extranodal B-SLL of bladder wall with extremely unusual late isolated renal
involvement, clinically manifested by nephrotic syndrome and incipient renal
failure. A CASE REPORT: A 56-year-old woman was presented with a solitary tumor
of bladder wall, with history of dysuria and night sweating. A transvaginal
needle biopsy of the tumor was performed, and diagnosis of primary extranodal B
SLL was made in the absence of bone marrow, lymph node, or blood involvement. She
was treated with chemotherapy until the achievement of complete remission. Nine
years later, she developed nephrotic syndrome. The renal biopsy revealed
parenchymal lymphoma's involvement associated with glomerular lesion.
Immunohistochemical analysis confirmed the same imunophenotype of lymphoma cells
like in bladder wall nine years ago. Restaging procedure showed no evidence of
disease elsewhere. CONCLUSION: To our knowledge, it is the first case of
association of very rare primary bladder B-SLL with late isolated renal
involvement.
PMID- 18040899
TI - Unusual presentation of metastatic hepatocellular carcinoma in the nasal septum:
a case report and review of the literature.
AB - Hepatocellular carcinoma with sinonasal metastasis is extremely rare. We report a
case of a 49-year-old man who had a history of synchronous hepatocellular
carcinoma and verrucous carcinoma of tongue. A painless and non-bleeding mass was
found in the left nasal septum 16 months after hepatocellular carcinoma was
diagnosed. On computed tomography, the mass was enhanced with contrast. It was
resected and proved to be metastatic hepatocellular carcinoma. The patient was
treated with radiotherapy to the nasal area and then with chemotherapy. He was
still alive, 15 months after the appearance of the nasal metastasis.
PMID- 18040902
TI - Acute lymphoblastic leukemia during gestation.
AB - The management of the common acute lymphoblastic leukemia in pregnancy has been
controversial, but currently aggressive chemotherapy is the practice trend
worldwide. We treated a young pregnant patient with aggressive regimen and we
achieved full remission of the disease without affecting the fetus adversely. The
management options are discussed thoroughly.
PMID- 18040903
TI - [Diagnostic accuracy of the Phototest for cognitive impairment and dementia].
AB - INTRODUCTION: The recently developed Phototest is a simple, easy and very brief
(<3 minutes) test with theoretical advantages over available dementia screening
tests. Our objective was to evaluate its diagnostic accuracy under routine
clinical conditions. MATERIAL AND METHODS: A phase II cross-sectional validation
study of diagnostic tests was performed in a sample of 308 patients referred to a
general neurology department and in a group of 70 healthy individuals. The
diagnostic accuracy (DA) of the Phototest was assessed and compared with that of
the Eurotest and a verbal fluency test (VFT) in relation to the clinical
diagnosis of dementia (DEM) and cognitive impairment (CI) by calculating the area
under the ROC curve (aROC) and determining Sensitivity (Se), Specificity (Sp) and
likelihood ratios. RESULTS: The total sample comprised 225 subjects without CI
(NOR), 58 with CI and without DEM and 95 with DEM. Phototest results showed a
normal distribution in NOR subjects (33.4 +/- 3.9 [mean +/- standard desviation])
and were not influenced by educational variables. The DA of the Phototest for DEM
and CI (0.95 +/- 0.01 [aRO C+/- Se]) was similar to that of the Eurotest and
higher for both tests than that of the VFT. The cutoff points of 25/26 for DEM
(Se=0.88 [0.80-0.94], Sp=0.90 [0.86- 0.93]) and 28/29 for CI (Se=0.90 [0.84
0.94], Sp=0.90 [0.83-0.93]) maximised the sum of Se and Sp. CONCLUSIONS: The
Phototest is a very short test of easy application that is applicable to
illiterate subjects, uninfluenced by educational variables and useful to identify
CI and DEM in routine clinical practice.
PMID- 18040904
TI - [Arteriovenous malformations associated with Klippel-Trenaunay-Weber syndrome].
PMID- 18040905
TI - [Progressive dysautonomia as initial manifestation of anti-Hu antibody-related
syndrome].
AB - INTRODUCTION: The anti-Hu antibody are associated with central nervous system
paraneoplastic syndromes such as sensory neuropathy, cerebellar ataxia or limbic
encephalitis. The isolated autonomic dysfunction as the presenting manifestation
of anti-Hu antibody-related paraneoplastic syndrome is extremely infrequent. CASE
REPORT: A 68 year-old male presented with a two-month history of severe
constipation following of orthostatic hypotension, impotence, urinary retention
and intestinal pseudo-obstruction as manifestations of progressive dysautonomia.
Four months after the onset of these symptoms, he developed paresthesias in the
hands and feet, motor weakness in both upper and lower limbs and ophtalmoplegia.
Anti-Hu antibodies were positive in serum and cerebrospinal fluid, although tumor
was not found. CONCLUSIONS: Progressive dysautonomia may result from a number of
diseases. We encourage to consider also the possibility of anti-Hu antibody
related syndrome, despite the case that it is a very unusual isolated initial
manifestation.
PMID- 18040907
TI - [Acute transverse myelitis after obstetric epidural anesthesia].
AB - INTRODUCTION: Acute transverse myelitis is an acute or subacute disorder of the
spinal cord resulting in motor, sensory and sphincter dysfunction secondary to
various causes. CASE REPORT: We present a 32 year-old female patient with an
acute episode of bladder dysfunction and fever, followed by motor and sensory
dysfunction in legs with sensory spinal level at D2-D3, two weeks after an
eutocic delivery with uncomplicated epidural anesthesia. The cerebrospinal fluid
(CSF) showed mild lymphocytic pleocytosis, high protein levels with normal
glucose concentration, absence of oligoclonal bands and negative serum and CSF
virology screening. The cervicodorsal magnetic resonance imaging showed widening
of the spinal cord with diffuse patchy hyperintensity on the C6-D1 and D2-D5
levels without contrast enhancement. The patient was treated with intravenous
high doses of methylprednisolone with favorable outcome and complete recovery
within one year and no relapses two years after the episode. DISCUSSION: The main
etiologies of non-compressive acute myelopathy as multiple sclerosis, systemic
diseases, spinal cord infarct and direct infections have been ruled out with the
complementary examinations. We consider that our patient had a parainfectious
acute transverse myelitis and epidural anesthesia could be an incidental but
possible contributing factor.
PMID- 18040906
TI - [Adult-onset primary focal foot dystonia].
AB - INTRODUCTION: Adult-onset primary focal foot dystonia is a rare event. Up to now,
only 7 cases have been reported in the literature. Since the idiopathic-type food
dystonia is uncommon in adults, secondary types must be ruled out. CLINICAL
REPORT: We present the case of a 51 year woman with a 3 year history of focal
food dystonia. She had abnormal posture of the foot with plantar flexion and
inversion, which worsened with action. Complementary studies aimed at ruling out
secondary causes were all negative. The dystonia remained limited to her food and
she did not develop any other neurological symptoms. She benefited from botulinum
toxin treatment. CONCLUSIONS: Primary focal foot dystonia is uncommon in adults.
The most common pattern is plantar and five toes flexion. Associated pain is
common. Its pathogenesis is only partially understood, the neurophysiologic
studies and functional resonance showing a loss of inhibitory control at spine
and brainstem levels, abnormal cortical plasticity and altered sensorimotor
integration. The response of this disorder to drugs is poor, however, the
patients may benefit from botulinum toxin infiltrations of the muscles involved.
PMID- 18040908
TI - [Headache in patient with neurofibromatosis type 1].
AB - INTRODUCTION: Neurofibromatosis type 1 (NF-1) is an autosomal dominant
neurocutaneous syndrome due to a mutation in chromosome 17 (at 17q11.2). The
association of NF-1 with Arnold-Chiari I malformation has been previously
described. CASE REPORT: A 23 year-old woman diagnosed of NF-1 based on the
presence of cutaneous lesions and a familiar background consistent with this was
referred to the neurologist due to oppressive frontooccipital bilateral headache
that irradiated to both shoulders. It worsened on physical effort and Valsalva
maneuver and had more than one year of evolution. Physical examination showed
multiple cafe au lait spots in the trunk and cutaneous neurofibromas in the
cervico-dorsal region, as well as short height and mild dorsal scoliosis.
Neurological examination showed hyperreflexia in both legs and slight light touch
and pinprick hyposthesia in the left arm. The magnetic resonance findings
suggested Arnold - Chiari I malformation with associated cervical syrinx, without
any other abnormalities in the central nervous system. She underwent surgery,
with partial improvement of the symptoms. CONCLUSION: The association between NF
1 and Arnold- Chiari I malformation seems to be more than coincidental. The
abnormalities of the embrionary development secondary to NF-1 would be
responsible for the posterior fossa hypoplasia found in Arnold-Chiari
malformation. Our case focuses on the idea that Arnold- Chiari malformation
should be included in the list of abnormalities reported in NF-1.
PMID- 18040910
TI - [Render unto Caesar the things which are Caesar's].
PMID- 18040909
TI - [Use of levetiracetam in the management of post-anoxic myoclonias. A report of
two cases].
PMID- 18040911
TI - [Surgical treatment of Parkinson's disease: is it time to change the time of the
indication?].
PMID- 18040912
TI - [Optical coherence tomography, an inflection point in neuro-ophthalmology].
PMID- 18040913
TI - [International Dry Eye Workshop (DEWS). Update of the disease].
PMID- 18040914
TI - [Public health warnings of solar retinopathy risk in European newspapers prior to
the 2006 solar eclipse].
PMID- 18040915
TI - [Brown-McLean syndrome and refractive phakic anterior chamber intraocular
lenses].
PMID- 18040916
TI - [Photodynamic therapy in angioid streaks].
AB - OBJECTIVE: To evaluate the efficacy and safety of photodynamic therapy in
choroidal neovascularization associated with angioid streaks. METHODS: We
performed a retrospective study of eleven eyes (eight patients) with subfoveal,
juxtafoveal or extrafoveal neovascularization, which received at least one
session of photodynamic therapy. Treatment efficacy was evaluated by visual
acuity (VA) testing, color photography and fluorescein angiography. The mean
follow-up was 13.2 months. RESULTS: Ten eyes presented subfoveal
neovascularization, one eye presented juxtafoveal and another eye extrafoveal
neovascularization. VA was unchanged in two patients, increased in one patient,
and decreased in eight patients following photodynamic therapy. Nine eyes
presented conversion to a fibrotic disciform lesion, whereas leakage persisted in
two eyes after one session of photodynamic therapy. Recurrence of choroidal
neovascularization appeared in three eyes. CONCLUSIONS: Photodynamic therapy in
choroidal neovascularization related to angioid streaks does not appear to alter
the course of this disease, but it does slow its progression.
PMID- 18040917
TI - [Contact lens fitting in 133 eyes with irregular astigmatism].
AB - PURPOSE: To study the adaptation of contact lens in a sample of 133 eyes with
irregular astigmatism. METHODS: A retrospective study was made in 133 eyes with
irregular astigmatism. The selection criterion was to obtain a sample population
with irregular astigmatism that was unlikely to be corrected with spectacles or
conventional contact lens. A complete ophthalmologic exploration which included a
topography with the Eye-Sys 2000 corneal topographer was made was made. The
variables analyzed in the study were: refraction, visual acuity before and after
the correction, cause of the astigmatism and contact lens used. RESULTS: An equal
number of women and men were enrolled in the study. The right eye was studied in
52% of cases, and the left eye in 46%. Both eyes were affected in 67% of the
subjects. The reason for the astigmatism was keratoconus in 110 eyes (78.2%), and
there were 4 corneal injuries, 9 ocular infections, and 6 idiopathic
astigmatisms. Among the contact lens used in the study: in 103 eyes a hybrid lens
(Softperm) was adapted, in 20 eyes a piggy-back system, in 5 eyes a thick
hydrophilic lens (Queratosoft), in 4 eyes a hydrophilic contact lens and in 3
cases a rigid gas permeable contact lens. The average visual acuity before the
adaptation was 0.28 (SD 0.24) (range 0.1-0.8). After the use of the lens the
average visual acuity was 0.81 (SD 0.23) (range 0.1-1). Statistically significant
differences between the visual acuity before and after treatment were found, with
an improvement of 0.53 (SD 0.28) obtained. CONCLUSION: Only with experience using
a large variety of non-conventional contact lens can a specialist contact lens
ophthalmologist achieve a good result.
PMID- 18040918
TI - [HLA-A24: risk factor in proliferante diabetic retinopathy].
AB - OBJECTIVE: Proliferative diabetic retinopathy (PDR) is characterized by a
progressive visual impairment in young people. Human leucocyte antigen (HLA)-A24
is a well-established factor associated with the pancreatic islets of Langerhans
lost in this process. Our aim was to study further the relationship of the HLA
A24 associated with PDR. MATERIALS AND METHODS: We evaluated a group of patients
with PDR (n=95) and a healthy control group (n= 60). HLA-A24 for each participant
in the study was determined by molecular hybridization techniques. RESULTS: The
control group showed a lower frequency of HLA-A24 compared with the PDR group (p
= 0.043). HLA-A24 was associated with PDR (OR = 5.4; 95% CI= 3.2-7.6; p< 0.001).
CONCLUSIONS: HLA-A24 is not a protective factor for PDR, but is a risk factor of
its development.
PMID- 18040919
TI - [Chronic ethanol feeding induces oxidative stress in the rat retina: treatment
with the antioxidant ebselen].
AB - PURPOSE: To assess the involvement of biochemical and functional changes to the
retina after chronic ethanol intake in adult rats, and the capacity of the
antioxidant ebselen to prevent these changes. METHODS: Male Sprague-Dawley rats
were used in the study. They were fed an ethanol-containing liquid diet, whereas
a control group was given an ethanol-free isocaloric diet. After six weeks of
experiment, the eyes were extracted and homogenized without the lens, and markers
of oxidative stress were assayed, i.e., glutathione (GSH) and malondialdehyde
(MDA) as an intracellular antioxidant and a lipid peroxidation product,
respectively. Moreover, retinal function was assessed by electroretinogram (ERG).
RESULTS: The retinal MDA concentration was significantly increased in the ethanol
fed animals compared to controls, whereas the GSH content was significantly
reduced in the ethanol-fed group compared to controls. Ethanol also induced a
decrease in ERG b-wave amplitude. Ebselen treatment restored the MDA and GSH
concentrations and ERG b-wave amplitude to control values. CONCLUSION: These
results indicate that chronic alcohol consumption alone and without the influence
of nutritional factors alters the retinal redox status as well as its function
(ERG). Further studies are required to better understand the protective mechanism
of ebselen in this experimental model of chronic alcoholism.
PMID- 18040920
TI - [Spontaneous bleeding to vitreous cavity during fluorescein angiography].
AB - CASE REPORT: We report a case in which active bleeding from a posterior pole
intraretinal microvascular anomaly (IRMA) occurred in a diabetic patient.
DISCUSSION: Documentation of active bleeding during the performance of
fluorescein angiography is extremely rare. We discuss the origin of the bleeding.
PMID- 18040921
TI - [Dysthyroid ophthalmopathy associated with hypothyroidism].
AB - CASE REPORT: Graves' ophthalmopathy (exophthalmos, muscular and eyelid
infiltration) is associated almost systematically to hyperthyroidism. A female
patient was diagnosed with subclinical hypothyroidism and treated with oral
thyroxine. Months later she developed bilateral exophthalmos and was serum
positive for thyrotropin receptor antibodies. Thyroxine treatment was suspended,
and it was verified that her condition had developed into primary hypothyroidism.
A diagnosis of Graves' disease with hypothyroidism was made. DISCUSSION: The
diagnosis was based on the existence of ophthalmopathy and positive serum
antibodies, both specific indicators of Graves' disease. The coexistence of
hypothyroidism with Graves' disease is exceptional, but possible.
PMID- 18040922
TI - [Sectorial conjunctival epitheliectomy and amniotic membrane transplantation for
partial limbal stem cells deficiency].
AB - CASE REPORT: A 74-year-old man developed a partial limbal stem cell deficiency
secondary to a chemical injury in the left eye. He was treated with a sectorial
conjunctival epitheliectomy and amniotic membrane transplantation. After 3 weeks
there was an intact, smooth and stable corneal epithelium. DISCUSSION: This case
report emphasizes that conjunctival epitheliectomy and amniotic membrane
transplantation appears to be a safe and effective method of restoring a stable
corneal epithelium for cases of partial limbal stem cell deficiency.
PMID- 18040923
TI - [Autoimmune hepatitis in a patient with serpiginous choroiditis].
AB - CASE REPORT: We report the case of a patient with serpiginous choroiditis who
developed an autoimmune hepatitis. DISCUSSION: The etiology of serpiginous
choroiditis remains uncertain. An immune-mediated mechanism has been reported.
This case shows an association between serpiginous choroiditis and autoimmune
processes.
PMID- 18040924
TI - [Paraneoplastic optic neuritis in an unknown small cell lung carcinoma defined by
CRMP-5-IgG].
AB - CASE REPORT: The case of a 64-year-old patient with bilateral, progressive and
painless diminution of visual acuity is presented. Ophthalmologic evaluation
revealed optic neuritis and vitreous cells in both eyes, at different stages.
Suspecting a paraneoplastic optic neuritis, the study of antibodies was
requested. This showed positivity to the marker CRMP-5-IgG. After
mediastinoscopy, a small cell lung carcinoma was diagnosed. DISCUSSION:
Autoantibody CRMP-5-IgG defines a paraneoplastic entity of combined optic
neuritis and vitreous inflammatory cells. The serological positivity avoids the
vitreous biopsy and expedites the search for cancer. In our case, it allowed the
diagnosis a previously unidentified tumor.
PMID- 18040925
TI - [Leak of intravitreal triamcinolone to the anterior chamber].
AB - CASE REPORT: A 78-year-old man with phakic eyes underwent photodynamic therapy
followed by intravitreal injection of triamcinolone. During the injection a white
solution was observed in the anterior chamber. Slit lamp examination revealed
white opacities in the inferior anterior chamber angle and neither inflammatory
activity nor corneal changes were noticed. DISCUSSION: Intravitreal triamcinolone
has been used for treating macular edema and various retinal vascular and
inflammatory diseases. We describe the passage of triamcinolone to the anterior
chamber during the injection in a phakic eye as a very unusual adverse effect,
observed probably as a result of a zonular defect.
PMID- 18040926
TI - [Penetrating orbitocranial injury after trivial eyelid trauma: a case report].
AB - CASE REPORT: We report a patient who, while in a drunken state, sustained a
cerebral hemorrhage associated with an apparently trivial eyelid injury after an
aggressive attack with a metallic object. DISCUSSION: Any apparently trivial
eyelid injury may be associated with serious cerebral lesions. Making a correct
diagnosis that distinguishes between that of drunkenness and an organic injury is
essential, because failure to do so may result in both clinical and legal
repercussions.
PMID- 18040927
TI - [Saint Francis of Assisi and trachoma].
PMID- 18040928
TI - [Ferdinand Ritter von Arlt (Obergraupen, 1812 - Vienna, 1887].
PMID- 18040929
TI - [Recent advances in extrinsic allergic alveolitis].
AB - Extrinsic allergic alveolitis (hypersensitivity pneumonitis), especially
humidifier lung, has been more frequently diagnosed over the last decades,
whereas farmer's lung has decreased over the same time period. Today two types of
the chronic course of extrinsic allergic alveolitis can be distinguished. The
recurrent chronic course with a good prognosis may be differentiated from the
insidious course with a poor prognosis by means of different histological
patterns (UIP, NSIP, BOOP pattern). The characteristic neutrophilic infiltration
of the lung in the insidious course cannot be detected by bronchoalveolar lavage
(BAL) methods. Furthermore, lymphocytosis in the BAL can be absent or present at
a low level. The CD4/CD8 ratio is not always decreased and may be normal or even
increased in these insidious cases with a poor prognosis. Granulomas in the lung
tissue, however, point to a good prognosis. In the diagnostic work-up of machine
operator's and humidifier lung, it is advisable not only to look for serum
antibodies against bacteria and molds but also for rapid growing mycobacteria in
a sample of machine or humidifier water. IgM and IgG rheumatoid factors occur
frequently in allergic alveolitis, especially in humidifier lung. The patients,
however, do not suffer from arthritis. The IgM rheumatoid factor may simulate IgM
antibodies against numerous infectious agents (e. g., Bordetella pertussis or
Mycoplasma pneumoniae). Taking this phenomenon into account may improve the
current differential diagnosis of allergic alveolitis.
PMID- 18040930
TI - [Comparison of pulmonary compliance and the work of breathing with pulmonary
function parameters in men].
AB - BACKGROUND: Dynamic and static pulmonary compliance as well as the work of
breathing are essential parameters for describing respiratory mechanics. However,
current reference values or correlations with other pulmonary function variables
have been established only in studies with small numbers of participants.
Therefore, the aim of the present study was to compare pulmonary compliance and
the work of breathing with different pulmonary function parameters in a large
number of men. METHODS: In 208 healthy men, aged 20 to 70 years, spirometry,
bodyplethysmography and carbon monoxide transfer factor measurements were
conducted. The esophageal pressure method was used to measure dynamic (C (dyn))
and static (C (stat)) compliance. RESULTS: C (dyn) and C (stat) were
significantly correlated with total lung capacity (TLC), vital capacity (VC),
forced expiratory volume in the first second (FEV (1)) and carbon monoxide
transfer factor (T (LCO)). C (dyn) decreased with increasing age, while C (stat)
was correlated with height. The elastic and non-elastic work of breathing were
significantly correlated with airway resistance, but not with aging. CONCLUSIONS:
Lung volumes are important for the interpretation of pulmonary compliance and the
work of breathing and therefore have to be considered in the assessment of
respiratory mechanics. In contrast, the work of breathing is essentially
influenced by the airway resistance. With increasing age there is only a small
decrease in pulmonary compliance and the work of breathing.
PMID- 18040931
TI - [Case report: bilateral block of the brachial plexus--approaches, dosage and
effectiveness].
AB - A young man squeezed both hands in a bending machine with the result of complex
hand injuries. Because of a high risk for general anesthesia, operation as well
as postoperative analgesia was performed under bilateral brachial plexus block
with the axillary approach. We discuss the considerations concerning the possible
approaches with bilateral anesthesia of the brachial plexus under consideration
of side effects and effectiveness. The needed dosage of the local anesthetics
will be discussed.
PMID- 18040932
TI - [Transfusion-related acute lung injury (TRALI)].
AB - According to data of international hemovigilance registries, transfusion-related
acute lung injury (TRALI) has emerged as the leading cause of transfusion-related
mortality. Errors in the transfusion process, leading to ABO incompatible
transfusion, represent the second most frequent cause of transfusion-related
mortality, while infection risks of transfusion declined significantly. The
following article describes the clinical picture of TRALI, its pathogenesis,
diagnostic criteria as well as therapy recommendations and discusses possible
preventing measures.
PMID- 18040933
TI - [Xenon--the ideal anaesthetic agent?].
AB - The noble gas xenon, besides its anaesthetic and analgesic properties, shows many
characteristics of an ideal anaesthetic agent. However, due to high production
costs, its application is limited In daily clinical routine. Recent studies
suggested not only outstanding haemodynamic stability and rapid emergence from
anaesthesia, but also xenon's capacity to mediate protection against ischaemic
damage in various organs and tissues. Since xenon is devoid of toxicity and
relevant side effects, it could be beneficial at least in a subset of patients at
high risk, and xenon therefore may become a reasonable alternative in this
patient population.
PMID- 18040934
TI - [Therapeutic options for patients with acute lung injury].
AB - The treatment of acute lung injury is one of the most challenging tasks in
intensive care medicine. Conventional therapeutic options cover lung protective
mechanical ventilation with low tidal volumes and adequate PEEP, restrictive
fluid management, prone positioning, and early recruitment maneuvers. These
options should be used in parallel and should be accompanied by a suitable anti
infective therapy. In cases of refractory hypoxemia, inhaled nitric oxide offers
in most patients a successful rescue option. In specialized centers the
application of ECMO remains as a final ultima ratio.
PMID- 18040935
TI - [Everything is variable in a routine case].
PMID- 18040936
TI - [Anesthesia in children with congenital heart disease].
AB - Anesthesiologists involved in the care of children with congenital heart disease
(CHD) have to understand the pathophysiology of each cardiac lesion and
anticipate the impact of the planned procedure. Often the dimished margin of
hemodynamic stability determines the guidelines of care. In children with CHD
maintainance of the homeostasis during anesthesia for a wide variety of
procedures is the anesthesiologist's challenge. Left-to-right shunting means a
volume load to the left ventricle frequently combined with pulmonary
hypertension. Right-to-left shunting leads to a central cyanosis.
PMID- 18040937
TI - [Anaesthesia in paediatric cardiology].
AB - Diagnostic and interventional paediatric cardiology has become an increasing
field of activity for anaesthesiologist in recent times. Besides a close
interdisciplinary cooperation, the exact knowledge of the pathophysiology of the
congenital heart diseases is essential. Especially the spectrum of interventional
paediatric cardiology has extended considerably. In addition to traditionally
intervention such as atrial septal defect closure and embolization of a patent
ductus arteriosus, today's indications are also valvuloplasty and angioplasty as
well as ventricular septal defects.
PMID- 18040938
TI - [Anesthesia for patients with congenital heart disease undergoing non-cardiac
surgery].
AB - An increasing number of children who have undergone corrective surgery for
congenital heart disease (CHD) reach adulthood every year. These survivors defy
commonly used classification schemes for adults undergoing non-cardiac surgery.
Due to lack of data, the risk-benefit assessment for undergoing non-cardiac
interventions must be individualized. An interdisciplinary team approach is the
corner stone for the safe delivery of anesthesia to this patient population. This
review is meant to equip the anesthesiologist with the necessary tools for the
safe perioperative sailing of his patient suffering from CHD.
PMID- 18040939
TI - [How to calculate the budget of an anaesthetic or intensive care department from
revenues of g-DRGs].
AB - In Germany the economical framework of the health system in general and the
hospitals in particular has changed dramatically over the last years. The
conversion of funding to DRGs has implicated a reduction of budgets. The
apportioning of budgets by keys of officially calculating hospitals forces single
departments and disciplines to choose financial goals of a hospital as their
particular interest and not the financial goals of a department. The calculation
of a budget for a department of anaesthesia and/or intensive care medicine is
possible from fractions of all DRGs, that have been generated inside a hospital
within a period of one year. However, this calculation comprises some problems,
because anaesthesia and intensive care medicine are interdisciplinary
disciplines, which cannot influence its achievements and its processes solely by
its own, but are dependent on efficiency and quality of its partners. Internal
cost allocation for improving processes seems not to be sensible in the system of
DRGs as long as it is used not only as an instrument of controlling, but also as
an instrument of benchmarking.
PMID- 18040940
TI - [Subcutaneous Granuloma annulare].
PMID- 18040953
TI - [The radiologic branch practice according to contract physician rights' change
law -- now possible also in blocked planning areas].
PMID- 18040956
TI - [Historical development of health reporting on the community level--an academic
void].
AB - In the literature health reporting is often placed within the context of new
public health. By doing so, health reporting is viewed as a historically new
development distinct from the "old" medical statistics. This point of view,
however, only holds true with a view to the medical statistics of the German
postwar period in the 20th century. There is also a forgotten health reporting
enrooted in the so-called "medical topographies" with a history reaching back
more than 200 years. Part of this latter tradition are the Bavarian medical
district reports ("Physikatsberichte") from the 19th century. These reports
depicted the way of life and state of health of a population in combination with
a social medical analysis with a strong action orientation. The demise of these
forms of health reports began with the advent of bacteriology.
PMID- 18040957
TI - [Urban shrinkage and challenges for the public health-care service].
AB - In the 21st century, urban development is facing new challenges caused by the
simultaneous growing and shrinking of cities and urban regions. Whilst the
development patterns and instruments of urban growth are well-known and widely
accepted, the processes of shrinkage with its broad consequences, sphere of
impact and speed need intensive investigation. In particular, urban restructuring
including housing demolition brings about psychological stress situations for the
affected inhabitants. Until the present time public services, including health
care, are poorly prepared to cope with the new situation. To take account of
these new challenges, the adaptation of tasks, instruments and targets is
urgently needed. To be successful, a continuous cooperation and communication
between municipal institutions and housing enterprises responsible for urban and
housing development is indispensable. Furthermore, appropriately focussed
scientific research results can support the creation of adequate strategies and
instruments.
PMID- 18040958
TI - [Age- and sex-specific mortality in the Ruhr region from 1994 to 2004].
AB - OBJECTIVE: Results of an analysis of the mortality in the Ruhr region have shown
increased mortality rates and a corresponding lower life expectancy, relative to
the federal state of North Rhine Westphalia (NRW) as a whole. For the years 2002
2004 the male life expectancy was 0.86 years below the NRW level, and for females
0.56 years under the NRW level. The analysis of the age- and sex-specific
mortality rates be-tween the years 1994 and 2004 has demonstrated in which age
groups mortality increased in the Ruhr region. METHOD: The mortality rates for
all 15 municipalities and counties of the Ruhr region have been analysed
according to different areas: centre of population (Ballungskern), conurbation
areas (Ballungsrandzone), Ruhr City (alias Ruhrgebiet/Ruhr-Region) and NRW
without Ruhr City. Eleven average values and three-year mean values were
calculated to reduce the range of the year-values. Per cent deviations of the NRW
values demonstrate the size of the differences. RESULTS: Comparisons between the
centres of population, conurbation areas with NRW without Ruhr City demonstrate
an increased mortality especially in the male and female age groups of 40 to 54
years. A comparison of the municipalities with the highest life expectancy level,
Bonn, with that with the lowest life expectancy level, Gelsenkirchen, for the
years 2002-2004 shows a difference in the life expectancy of 2.7 years for
females and 4.3 years for males. The male and female mortality rates of the age
groups 40-59 years in Gelsenkirchen exceed the comparable rates in Bonn by 30% on
average. CONCLUSION: In spite of increasing life expectancy in the Ruhr region
from 1994 to 2004 the age-specific mortality rates of the 35-54-year-old
population are increased, especially in the centres of population. The problem
area is the centres of population with a complicated socio-demographic situation
and worse indicators of the health status.
PMID- 18040959
TI - [Policy counselling through public health reporting?].
AB - For about 20 years public health reporting has increasingly been developed as a
resource in health policy counselling. Both with regard to its use as well as its
further development it is important to reflect on the possibilities and limits of
this resource. A basis for this is provided by theories, models and hypotheses
derived from the discussion about scientific policy counselling. In early
conceptual reflections on the organisation of health reporting a technocratic use
was rejected. This is reflected by the ideas and views about the institutional
embedding of health reporting activities. Against the background of diverging
opinions about the political dimensions of health reporting activities,
reflections were guided by the decisionistic and pragmatic model of the
"scientification of politics". Public health reporting must provide the
possibility for being used in a flexible way in order to add a pragmatistic
component to its decisionistic and strategic uses. For action-oriented,
pragmatistic and scientific policy counselling through the health reporting
discipline it is important to link "information about politically relevant facts"
with the "targeted processing of knowledge geared towards problems in the field
of decision-making processes" (expertise).
PMID- 18040960
TI - [Local health policies and health planning from the viewpoint of the decision
makers of the local authorities].
AB - In 1997 the new law about Public Health Service (OGDG) in Northrhine-Westfalia
was put into operation. It included two new sets of compulsory tasks: local
health reporting (GBE) and local health conferences (KGK). These new tasks are
installed as planning and steering instruments aiming for a better health-care on
the local level. The central object is the knowledge-based formation of local
health policies. Thereby, local representatives concerned with health and social
services should participate as well as administrators and politicians. Since the
middle of the 1990 s both new tasks have been established by the public health
department of the county of Heinsberg in Northrhine-Westfalia. The experience of
this department over almost ten years is the background for the empirical
research project that is described in this paper. The study investigates the
vocational practices, the decision-making and the health-related attitudes of the
relevant local administrative and political representatives. The impulse for the
start of the study was given by the constant reluctance of political and
administrative top managers to make use of these new planning instruments in
agenda setting and decision making. 44 high-ranking staff members and politicians
(mayors, party chairmen, heads of departments far beyond the health office) were
personally interviewed. Although they are not part of the health administration
they claim to be occupied with quite a few health-related tasks. The overlapping
of their set of tasks with the local health policies is evident for the
interviewed managers. How-ever, this does not cause them to use the two new
planning instruments. In particular, they do not participate in the local health
conferences. They rather prefer the traditional path of policy making (parties,
committees, fractions) even if these paths are less efficient than the new ones.
The health conference is much more used by health-service providers as a platform
for setting priorities and organising their implementation effectively. So far,
the inclusion of the other local representatives (administrative managers, etc.)
although they are concerned with health issues has not been achieved. This is
also true for local politicians who are responsible for health-care policies. The
authors of the paper see it to be the task of the local public health department
to convince all these players that the health conference is a productive tool and
a useful platform for the discussion of the health problems in the county and the
coordination of necessary actions to be taken. The most important focus point is
seen in the intersection of health policies with other local policies.
PMID- 18040961
TI - [Gerodontology--a challenge also for public health services].
AB - The dental services of the public health service (OGD) should not confine
themselves to dental screening in kindergartens and schools but also turn their
attention to the advancement of oral health in the special risk groups of the
senior citizens. Although government resources are limited, new capacities could
be gained by restructuring. Is it really prudent, in a lifetime perspective, for
the OGD to solely focus on the oral health of youths while oral neglect in long
term care carries on unabated? A stronger support by the OGD of gerodontology
should be instituted on its boards, publicised in health reports, and implemented
in supervisory bodies for quality management of the long-term care facilities. An
endorsement of the structures of long-term care insurance and training facilities
would be desirable. The OGD could assist the fitter seniors through specific
education to participate in dental prevention programmes and motivate physicians
to inspect the oral cavity. Furthermore, recommendations regarding the
structuring of geriatric dental care by the OGD would be helpful. The OGD is a
vital partner to gerodontology. Therefore, further projects should be conducted
in cooperation with dental organisations.
PMID- 18040962
TI - [German Health Interview and Examination Survey for Children and Adolescents
(KiGGS)--what comes next?].
AB - From May 2003 to May 2006 the Robert Koch Institute conducted the German Health
Interview and Examination Survey for Children and Adolescents (KiGGS). This study
included 17,641 boys and girls together with their parents from 167 study
locations. The aim of this nationwide interview and examination survey was to
collect, for the first time in Germany, comprehensive and nationwide data on the
health status of children and adolescents. In this description it is compared,
for the example of overweight and obesity, which of the target sets of the survey
have already been achieved. It is discussed how the scientific results may
influence decision-making of politicians and how the data can be used for the
main aim of improving the health status of children and adolescents.
PMID- 18040963
TI - [The Brandenburg social index: a tool for health and social reporting at regional
and communal levels in the analysis of data of school beginners].
AB - A social index derived from examinations of prospective first graders is used for
health reporting in the Federal State of Brandenburg. The Brandenburg social
index consists of data from the medical examinations, which contain social
anamnesis questions. Based on parents' education and employment, the social index
is computed for each child and finally each child is assigned to a group of
lower, middle or higher socioeconomic status. The simply made social index is not
only used for analysing health and social inequalities but also for analysing the
social situation and trends of young families. Social index data for prospective
first graders have ben collected since 1994. Thus, the social index is part of
the social reporting in Brandenburg. The present article illustrates with
examples how the index is used. Finally, it is mentioned that the Brandenburg
government uses the social index to control finances in the language promotion
for kindergarteners in day-care centres.
PMID- 18040964
TI - [Adolescent self-evaluation of body weight and body mass index].
AB - On the basis of a representative sample (N=546) of adolescents of the city of
Stuttgart (class levels 8 and 9) this article explores the extent to which the
self-evaluation of body weight deviates from the classification of weight
recommended by the German Arbeitsgemeinschaft Adipositas im Kindes- und
Jugendalter (AGA, Working Committee on Obesity in Children and Adolescents). The
analysis revealed that 47.7% of the girls and 38.2% of the boys do not correspond
with the body mass index-based classification recommended by the AGA. This is
particularly related to adolescents who are underweight or have normal weight.
Concerning the self-evaluation of body weight, great gender differences were
exposed: boys are likely to underestimate their weight {OR=1.85 CI (95%): [1.06
3.13]}, whereas girls tend to overestimate their body weight {OR=2.08 CI (95%):
[1.38-3.14]}. The findings are displayed in the context of current national and
international research results. In conclusion, the role of public health services
in terms of promoting healthy body weight is discussed.
PMID- 18040965
TI - [Observed in kindergarten--taken into consideration in medical check-up 8/9: a
cooperative model for improved early recognition of psychological problems].
AB - At the initiative of a regional working group, 140 day care centres in Kreis
Recklinghausen participate in a cooperative project. It is intended to contribute
to the early screening of psychological problems in preschool children. Day care
nurses fill in a form serving to record behavioural indicators of psychological
problems and give it to the parents. The parents can then decide to hand it to
the pediatrician on the occasion of their child's medical check up no. 8 or 9.
After having considered this information in the examination context, the doctor
returns the form to the parents. The form proved to be useful and the procedure
performed well. The project should be implemented in other regions, too.
PMID- 18040966
TI - [A concept to improve vaccination coverage in Bavaria].
AB - The aim of the Bavarian immunisation concept is the effective implementation of
national vaccination recommendations taking into account known characteristics in
Bavaria and social groups with limited access to vaccinations. Furthermore, it
intends to coordinate the various players in the field of vaccine-prevention of
disease. Key points of this concept are, among others, improvement of the
available data, definition of vaccination aims, implementation of vaccination
recall systems during the regular examination on entering primary and secondary
school, coordination and enforcement of vaccination campaigns in schools as well
as steps for the qualification and motivation of the physicians involved in
vaccination. In addition, an independent committee for the coordination of
professionals and institutions participating in vaccine prevention in Bavaria was
created. This "Bayerische Landesarbeitsgemeinschaft Impfen (LAGI)", which was
established at the end of 2006 includes representatives from medical associations
and corporations, health insurance companies and public health agencies and is
the key for the realisation and further development of this concept.
PMID- 18040967
TI - [The suitability of orthodontical groups of indications (OGI) for preventive
dental examinations of the public health service].
AB - In the context of the dental preventive medical examinations of the public health
service, the orthodontical data-gathering in children's day-care centre and
schools, in contrast to the diagnoses of caries disease, was made was based so
far on a non-uniform methodology. In order to provide in future, also in the
orthodontical sector, data material that is usable for comparative national and
local health reporting, a uniform methodology should form the basis for the data
acquisition. The present study makes a contribution to this objective. A goal of
the work was to test two different collection instruments for their suitability
as objective tools in the context of the preventive dental medical examinations.
The occurrence and the severity level of dentofacial anomalies as well as the
orthodontic treatment status of Thuringian school children of defined age groups
(9 to 11 years old, 12 to 13 years old) were recorded and the orthodontic
treatment need was determined. In the younger age group valid the orthodontical
groups of indications (OGI) were found for the first time in Germany; in the
older group we tested the already long established Dental Aesthetic Index (DAI)
and equipment application recommended by the World Health Organization (WHO). The
work was a component of the task spectrum of the WHO Collaboration Centre
"Prevention of Oral disease" of the Health Centre for Preventive Dentistry at the
Centre for Tooth, Mouth and Jaw Dentistry of the Friedrich Schiller University of
Jena in Thuringia. As investigation region, a rural area ("Landkreis Eichsfeld")
of Thuringia was choosen. The study was arranged into a sociological and a
clinical-epidemiological part. Altogether 1845 pupils were recruited from
national schools. The questionnaire was based on the WHO-initiated "International
Collaborative Study of Oral Health Outcomes" (ICS II study). The investigations
took place in the context of the preventive medical examinations of the public
health service. The results were based on the answers and findings of 691
probants of the group of the 9-11 years old (AG 1) and 774 probants of the group
of the 12-13 years old (AG 2). The sociological results of both age groups
reflected social restrictions regarding the presence of dentofacial anomalies in
an order of magnitude of 20% in the AG 1 and 7.3% in the AG 2. In the AG 1 11.4%
confirmed an orthodontical treatment and 47.9% of the probants examined indicated
a desire for treatment. On the other hand, the proportion of orthodontically
treated in AG 2 amounted to 31.1% and 37.8% expressed a desire for treatment.
Dentofacial anomalies determined with the help of the OGI in the AG 1 as the most
frequent were in the form of a distal bite (sagittal stage/group of D) with 55.5%
of the examined pupils being documented. However here severity development stages
1 and 2 outweighed. Proportionally followed: confining (group E) with 21% and the
vertical stage/deep bite (group T) with 7.8%. All other groups were represented
by only small proportions, craniofacial anomalies (group A) were not diagnosed.
Anomalies with severity development 1 and 2 were determined in 64.1% of the
examined children. In the AG 2 examined with the DAI, the anomalies of space
conditions with 58% exceeded anomalies of occlusion conditions with 38.9% and
anomalies of dentition with 9.8%. An urgent orthodontical treatment need was
determined in the AG 1 with 35.9% of the probants (severity development 3 to 5)
and in the AG 2 with 16.8% (DAI values over 32). In the AG 2 too, over 60% DAI
values from 13 to 25 were determined, 26% exhibited DAI values between 26 and 31.
During the statistic evaluation the "Statistical Package found for Social
Sciences (SPSS)" version 11.51 S with a significant level of 5% was used. Derived
from the results of our analysis, the recommendation can be made that the
methodology of the Orthodontical Groups of Indications for the employment is to
be regarded as a possibility with dental preventive medical examinations in the
public health service as a suitable equipment and so far allows the subjective
estimate of the orthodontical treatment necessity to be made.
PMID- 18040968
TI - Contributions to elevated metabolism during recovery: dissecting the excess
postexercise oxygen consumption (EPOC) in the desert iguana (Dipsosaurus
dorsalis).
AB - The excess postexercise oxygen consumption (EPOC), a measure of recovery costs,
is known to be large in ectothermic vertebrates such as the desert iguana
(Dipsosaurus dorsalis), especially after vigorous activity. To analyze the cause
of these large recovery costs in a terrestrial ectotherm, Dipsosaurus were run
for 15 s at maximal-intensity (distance 35.0+/-1.9 m; 2.33+/-0.13 m s(-1)) while
O(2) uptake was monitored via open-flow respirometry. Muscle metabolites
(adenylates, phosphocreatine, and lactate) were measured at rest and after 0, 3,
10, and 60 min of recovery. Cardiac and ventilatory activity during rest and
recovery were measured, as were whole-body lactate and blood lactate, which were
used to estimate total muscle activity. This vigorous activity was supported
primarily by glycolysis (65%) and phosphocreatine hydrolysis (29%), with only a
small contribution from aerobic metabolism (2.5%). Aerobic recovery lasted 43.8+/
4.6 min, and EPOC measured 0.166+/-0.025 mL O(2) g(-1). This was a large
proportion (98%) of the total suprabasal metabolic cost of the activity to the
animal. The various contributions to EPOC after this short but vigorous activity
were quantified, and a majority of EPOC was accounted for. The two primary causes
of EPOC were phosphocreatine repletion (32%-50%) and lactate glycogenesis (30%
47%). Four other components played smaller roles: ATP repletion (8%-13%),
elevated ventilatory activity (2%), elevated cardiac activity (2%), and oxygen
store resaturation (1%).
PMID- 18040969
TI - Endogenous and environmental factors influence the dietary fractionation of 13C
and 15N in hissing cockroaches Gromphadorhina portentosa.
AB - Since DeNiro and Epstein's discovery that the (13)C and (15)N isotopic signatures
of animals approximate those of their respective diets, the measurement of stable
isotope signatures has become an important tool for ecologists studying the diets
of wild animals. This study used Madagascar hissing cockroaches (Gromphadorhina
portentosa) to examine several preexisting hypotheses about the relationship
between the isotopic composition of an animal and its diet. Contrary to my
predictions, the results revealed that the tissues of adult cockroaches raised
for two generations on a diet of known isotopic composition did not demonstrate
enrichment of heavy stable isotopes. Moreover, the (15)N signatures of
cockroaches were neither influenced by periods of rapid growth (i.e., 300-fold
increase in dry body mass over 120 d) nor by imposed periods of starvation
lasting up to 80 d. The offspring born to mothers raised on known diets were
enriched in (15)N. Diet-switching experiments showed that turnover times of (13)C
were highly correlated with age and ranged from 9 to 10 d to 60 to 75 d in
subadults and adults, respectively. Adults subjected to diet switches differed
from the subadults in that the adults achieved equilibrated isotopic signatures
that were shifted approximately 1.0 per thousand toward their respective original
diets. Lipid fractions of adult cockroaches averaged 2.9 per thousand more
depleted in (13)C than in lipid-free fractions, but no changes in (13)C were
observed in aging adults. Exposure to reduced ambient temperature from 33 degrees
C to 23 degrees C over 120 d did not influence isotopic signatures of tissues.
Overall, the results of this study reveal that different endogenous and exogenous
factors can influence the isotopic signatures of cockroaches. These findings
reinforce the need to conduct controlled studies to further examine environmental
factors that influence the relationships between the isotopic signatures of
animals and their diets.
PMID- 18040970
TI - Environmental correlates, plasticity, and repeatability of differences in
performance among blacknose dace (Rhinichthys atratulus) populations across a
gradient of urbanization.
AB - Urbanization alters stream and watershed hydrology so that fish from urban stream
systems are confronted with extreme flows during storms and runoff events. To
test whether residence in urban streams is associated with altered swimming
ability, we compared sprint and endurance swimming performances of eight
populations of blacknose dace (Rhinichthys atratulus) from different watersheds
along an urban/rural gradient. Watershed impervious surface cover, a measure of
urbanization, was significantly correlated with sprint performance in dace from
all stream types and endurance swimming performance (U(crit)) when only fish from
urban streams were analyzed. Three estimators of water flow in a stream system,
watershed area, mean annual discharge, and base-flow current speed, were all
related to U(crit) in fish from nonurban streams. The U(crit) was significantly
repeatable after 6 mo in the laboratory, but dace populations with exceptional
U(crit) values lost ability under no-flow, "detraining" conditions. Sprint
performance changed substantially in individual dace after 10 wk under no-flow
conditions and was a significant function of the animal's original performance.
Animals with high sprint performance tended to lose ability, whereas those with
poor performance gained ability. Interpopulation differences in both sprint and
endurance swimming were robust over multiple years of collection from the same
sites.
PMID- 18040971
TI - Water balance and arginine vasotocin in the cocooning frog Cyclorana platycephala
(hylidae).
AB - It is well established that forming a cocoon, for frog species capable of doing
so, markedly reduces evaporative water loss; however, the capacity of cocooned
frogs to maintain hydration during extended estivation is not well understood.
The combined effects of long-term estivation and water loss were examined in the
cocoon-forming species Cyclorana platycephala by assessing the hydration state of
the frogs throughout a 15-mo estivation period. Frogs lost mass throughout the 15
mo period to a maximum of 36%+/-6.5% of their initial standard mass. Plasma
osmolality reached maximal levels by the ninth month of estivation at 487 mOsm
kg(-1) and then remained stable to the fifteenth month of estivation. Urine
osmolality continued to increase to the fifteenth month of estivation, at which
point plasma and urine concentrations were isosmotic. The use of bladder water to
counter losses from circulation was indicated by the relatively slow rate of
increase in plasma osmolality with mass loss and the progressive increase in
urine osmolality. For estivating frogs, evidence was found for a possible
threshold relationship between plasma osmolality and plasma arginine vasotocin
(AVT) concentration. After estivation, plasma AVT concentrations decreased
markedly after 15-mo estivators were placed in water for 2 h, suggesting that
high levels of AVT may not be integral to rapid rehydration in this species.
PMID- 18040972
TI - Ingested energy differs between populations of the toad Bufo bankorensis from
different altitudes.
AB - We measured ingested energy (E(i)) and apparent digestibility efficiency (ADE) in
two populations of Bufo bankorensis from different altitudes at three
temperatures and during two seasons to test the hypothesis that the optimal
temperature range (T(opt)) for E(i) and ADE has shifted to the lower range in
highland toads and winter toads. The T(opt) for E(i) was 22 degrees C for the
lowland and highland toads and did not vary between seasons, thus falsifying the
hypothesis. ADE of the toads was 96%-99% at 15 degrees -30 degrees C, and there
was no difference between populations or seasons. Furthermore, when fed with fast
moving prey, the toads from both altitudes had similarly low E(i) at 15 degrees
C; when fed with slow-moving prey, the highland toads increased E(i) at 15
degrees C, but the lowland toads did not. These results suggest that the toads
from different altitudes had different appetites, even though their feeding
locomotion was hampered in both populations at low temperatures.
PMID- 18040973
TI - Stress and demographic decline: a potential effect mediated by impairment of
reproduction and immune function in cyclic vole populations.
AB - The stress response is initially adaptive, operating to maintain homeostasis.
However, chronic long-term exposure to stressors may have detrimental effects. We
proposed that chronic stress may be a major factor in demographic vole cycles,
inducing decline in high-density populations. We monitored four populations of
the fossorial water vole Arvicola scherman, which undergo pluriannual demographic
cycles in the Jura Mountains (France). Sampling was conducted during the high
densities and the decline. We measured fecal corticosterone metabolites (FCMs) to
assess stress levels and injected phytohemagglutinin to estimate the cell
mediated immune response. We demonstrated that stress levels increase between the
high densities and the decline in most of the vole populations. At the individual
level, FCM concentrations varied with reproductive status and body condition.
During the outbreak, we observed significantly higher levels of FCM
concentrations in nulliparous females than in females that had previously
reproduced. Moreover, a significant negative correlation was observed between
concentrations of FCMs and both immunocompetence and body condition during
population decline. These results might reflect an impairment of the female
reproductive capability in high densities and accelerated senescence affecting
immune function during decline, both arising from chronic stress.
PMID- 18040974
TI - Behavioral and physiological significance of minimum resting metabolic rate in
king penguins.
AB - Because fasting king penguins (Aptenodytes patagonicus) need to conserve energy,
it is possible that they exhibit particularly low metabolic rates during periods
of rest. We investigated the behavioral and physiological aspects of periods of
minimum metabolic rate in king penguins under different circumstances. Heart rate
(f(H)) measurements were recorded to estimate rate of oxygen consumption during
periods of rest. Furthermore, apparent respiratory sinus arrhythmia (RSA) was
calculated from the f(H) data to determine probable breathing frequency in
resting penguins. The most pertinent results were that minimum f(H) achieved
(over 5 min) was higher during respirometry experiments in air than during
periods ashore in the field; that minimum f(H) during respirometry experiments on
water was similar to that while at sea; and that RSA was apparent in many of the
f(H) traces during periods of minimum f(H) and provides accurate estimates of
breathing rates of king penguins resting in specific situations in the field.
Inferences made from the results include that king penguins do not have the
capacity to reduce their metabolism to a particularly low level on land; that
they can, however, achieve surprisingly low metabolic rates at sea while resting
in cold water; and that during respirometry experiments king penguins are
stressed to some degree, exhibiting an elevated metabolism even when resting.
PMID- 18040975
TI - Phenotypic flexibility in cutaneous water loss and lipids of the stratum corneum
in house sparrows (Passer domesticus) following acclimation to high and low
humidity.
AB - Resistance to water-vapor diffusion through the skin is thought to be conferred
by lipids in the stratum corneum (SC), the outer layer of the epidermis. We
tested the effect of ambient humidity on cutaneous water loss (CWL) and lipid
composition of the SC by acclimating house sparrows (Passer domesticus) to either
a dry (6.5 g/m(3) absolute humidity) or a humid (31 g/m(3)) environment for 3 wk
at a thermoneutral temperature (30 degrees C). Sparrows in the dry-acclimated
group reduced CWL by 36% compared with those in the humid environment. Relative
to initial values, both groups of sparrows decreased CWL, 45% in the dry
acclimated group and 23% in the humid group, suggesting that temperature is also
an important stimulus for CWL apart from humidity. Both groups of acclimated
sparrows decreased quantities of cholesterol, free fatty acids, and cerebrosides
and increased the proportion of ceramides in their SC. Lipid amounts or
proportions in the SC did not differ between dry- and humid-acclimated sparrows,
but the free fatty acid : ceramide ratio was significantly lower in dry
acclimated birds. Also, lipid composition was only correlated with CWL in dry
acclimated sparrows, suggesting that structural changes to SC lipids are more
tightly linked to CWL regulation in response to low humidity. Our results
demonstrate phenotypic flexibility in CWL and lipid composition of the SC and
provide support for a functional relationship between these traits.
PMID- 18040976
TI - beta-Glucocerebrosidase activity in the stratum corneum of house sparrows
following acclimation to high and low humidity.
AB - Skin is an important avenue of water loss in terrestrial birds, so environmental
conditions that necessitate water conservation should favor physiological
mechanisms that reduce cutaneous water loss (CWL). Skin resistance to CWL is
conferred by a barrier of lipid molecules located in the stratum corneum (SC),
the outer layer of the epidermis. In mammals, SC barrier function depends on the
conversion of cerebrosides to ceramides by the enzyme beta -glucocerebrosidase (
beta -GlcCer'ase). Avian SC contains both cerebrosides and ceramides, suggesting
that observed plasticity in CWL may be mediated by changes in beta -GlcCer'ase
activity and resultant SC lipid composition. We tested the hypothesis that
changes in ambient humidity would alter beta -GlcCer'ase activity by acclimating
house sparrows (Passer domesticus) to either dry (6.5 g H(2)O m(-3) absolute
humidity) or humid (31 g H(2)O m(-3)) conditions for 5 and 21 d at 30 degrees C
and then measuring beta -GlcCer'ase activity from SC homogenates. Our results
provide the first characterization of beta -GlcCer'ase activity in any
nonmammalian vertebrate. Relative to nonacclimated controls, both dry- and humid
acclimated sparrows had significantly elevated beta -GlcCer'ase activity at 21 d
postacclimation. Across individuals, we observed negative correlations between
beta -GlcCer'ase activity and both CWL and SC ceramide content. Although dry- and
humid-acclimated sparrows did not differ in beta -GlcCer'ase activity, these
results are consistent with our findings that both humidity treatments caused a
reduction in CWL and similar changes in SC lipid composition. Our results
demonstrate physiological plasticity in CWL and provide tentative support for a
role of beta -GlcCer'ase in mediating this response.
PMID- 18040977
TI - The rate of bone mineralization in birds is directly related to alkaline
phosphatase activity.
AB - Recent studies have suggested that a biochemical marker, plasma alkaline
phosphatase (ALP), can be used as a general indicator of skeletal development in
vertebrate animals. In birds, age-related variation in ALP activity, presumably
due to bone formation processes, has been demonstrated, but to date, a direct
connection between bone mineralization and enzyme activity has been elusive. In
this study, we show that the activity of a bone isoform of ALP (bone ALP) is
closely related to the overall rate of skeletal mineralization in nestlings of a
small passerine bird, the great tit (Parus major L). Moreover, bone ALP activity
predicted the rate of mineralization of leg and wing bones but not that of the
skull. Liver isoform of ALP was only marginally related to the overall rate of
skeletal mineralization, while no association with the mineralization of long
bones was found. We conclude that bone ALP activity in the blood plasma is a
reliable biomarker for skeletal mineralization in birds. This marker enables
detection of subtle developmental differences between chicks of similar
structural size, potentially facilitating the prediction of offspring mid- and
long-term survival.
PMID- 18040978
TI - Egg yolk carotenoids in relation to habitat and reproductive investment in the
great tit Parus major.
AB - Maternal allocation of antioxidants to egg yolk has been shown to affect early
embryonic development and nestling survival. In environments with high levels of
anthropogenic pollution, antioxidants (such as carotenoids) are important to
protect the body from elevated oxidative stress. Thus, female allocation of
antioxidants to yolk may be traded off against self-maintenance. Here we
investigate maternal reproductive investment with respect to yolk carotenoid
content and composition in relation to subsequent female condition and carotenoid
status in urban and rural great tits Parus major. We found no differences between
the urban and rural populations in total yolk carotenoids, egg mass, clutch size,
hatching success, or female carotenoid status. Interestingly, however, rural eggs
contained more zeaxanthin, a more potent antioxidant than lutein, which suggests
that rural embryos have better antioxidant protection than urban embryos. Whether
rural females actively transfer more zeaxanthin to the yolk or whether it
passively reflects differences in dietary access or uptake needs to be further
investigated. This highlights the importance of carotenoid identity and
composition in future studies of carotenoid physiology, ecology, and signaling.
PMID- 18040979
TI - Sucrose hydrolysis does not limit food intake by Pallas's long-tongued bats.
AB - Nectarivorous bats include very dilute nectar in their natural diet, and recent
work with Pallas's long-tongued bat Glossophaga soricina showed that sugar
(energy) intake rate decreased at dilute sucrose solutions. However,
chiropterophillous nectar is composed mainly of the hexoses glucose and fructose.
Because bats fed hexose nectar would save the delay of hydrolyzing sucrose, we
hypothesized that sugar intake rate should be higher on this diet than on sucrose
nectar. We compared intake response in Pallas's long-tongued bats offered 1 : 1
glucose-fructose (hexose) and sucrose diets at 5%, 10%, 20%, 30%, and 40%
(mass/volume) sugar solutions. We also tested the hypothesis that sucrose
hydrolysis limits food intake in bats. Intake response was the same in bats fed
both types of diet: sugar intake rate was lower in dilute solutions and then
increased with sugar concentration. Similar intake responses in both diets
indicate that sucrose hydrolysis alone does not limit food intake and support the
idea that the burden of processing excess water in dilute solutions plays a major
role.
PMID- 18040981
TI - A workflow to increase the detection rate of proteins from unsequenced organisms
in high-throughput proteomics experiments.
AB - We present and evaluate a strategy for the mass spectrometric identification of
proteins from organisms for which no genome sequence information is available
that incorporates cross-species information from sequenced organisms. The
presented method combines spectrum quality scoring, de novo sequencing and error
tolerant BLAST searches and is designed to decrease input data complexity.
Spectral quality scoring reduces the number of investigated mass spectra without
a loss of information. Stringent quality-based selection and the combination of
different de novo sequencing methods substantially increase the catalog of
significant peptide alignments. The de novo sequences passing a reliability
filter are subsequently submitted to error tolerant BLAST searches and MS-BLAST
hits are validated by a sampling technique. With the described workflow, we
identified up to 20% more groups of homologous proteins in proteome analyses with
organisms whose genome is not sequenced than by state-of-the-art database
searches in an Arabidopsis thaliana database. We consider the novel data analysis
workflow an excellent screening method to identify those proteins that evade
detection in proteomics experiments as a result of database constraints.
PMID- 18040982
TI - Marked correlations in protein expression identified by proteomic analysis of
human spermatozoa.
AB - The present work was started to explore whether a correlation could be detected
among proteomic expression, protamine content and DNA integrity in human sperm
cells. Towards this goal, we extracted the proteins present in the sperm cells
from 47 sperm samples from infertile patients and from ten semen donors, analysed
each sample by 2-D gel electrophoresis, and quantified the expression of 101
spots identified by MALDI-TOF analysis. Additionally, the protamine content and
DNA integrity were also determined. Several interesting proteins such as
transcription factors, prohibitin, heat shock and proteasome proteins have been
identified. We have found that the expression of an important number of proteins
(58 different 2-D spots) is correlated in independent sperm samples at high
statistical significance (p<0.001 and r>0.5). Additionally, eight proteins have
also been found to correlate with DNA integrity and seven with protamine content
(p<0.05). To our knowledge, this is the first report describing the correlation
between proteomics, DNA integrity and protamine content. It also sheds new light
into the fundamental aspects of the human sperm and points to new potential
proteins involved in male infertility.
PMID- 18040983
TI - Cytoplasmic proteome reference map for a glutamic acid-producing Corynebacterium
glutamicum ATCC 14067.
AB - We constructed a cytoplasmic proteome reference map for a glutamic acid producing
Corynebacterium glutamicum ATCC 14067 by 2-DE and protein identification by MALDI
TOF-MS and PMF using genome database of the type strain ATCC 13032. The map
allowed us to identify 166 protein spots representing 139 different proteins. A
considerable strain difference was observed in the proteomic images between
strains ATCC 14067 and ATCC 13032 grown under the glutamic acid production
conditions, suggesting the importance of strain-specific reference map for
proteomic analysis.
PMID- 18040984
TI - Multiple augmentation for interval-censored data with measurement error.
AB - There has been substantial effort devoted to the analysis of censored failure
time with covariates that are subject to measurement error. Previous studies have
focused on right-censored survival data, but interval-censored survival data with
covariate measurement error are yet to be investigated. Our study is partly
motivated by analysis of the HIV clinical trial AIDS Clinical Trial Group (ACTG)
175 data, where the occurrence time of AIDS is interval censored and the
covariate CD4 count is subject to measurement error. We assume that the data are
realized from a proportional hazards model. A multiple augmentation approach is
proposed to convert interval-censored data to right-censored data, and the
conditional score approach is then employed to account for measurement error. The
proposed approach is easy to implement and can be readily extended to other
semiparametric models. Extensive simulations show that the proposed approach has
satisfactory finite-sample performance. The ACTG 175 data are then analyzed.
PMID- 18040985
TI - Proteomics of the aqueous humor in healthy New Zealand rabbits.
AB - There are several physiological roles postulated for aqueous humor, a liquid
located in the anterior and posterior chamber of the eye, such as maintenance of
the intraocular pressure, provision of nutrients, and removal of metabolic waste
from neighboring tissues and provision of an immune response and protection
during inflammation and infection. To link these function to specific or classes
of proteins, identification of the aqueous humor proteome is essential. Aqueous
humor obtained from healthy New Zealand white rabbits was analyzed using three
synergistic protein separation methods: 1-D gel electrophoresis, 2-DE, and 1-DLC
(RPLC) prior to protein identification by MS. As each of these separation methods
separates intact proteins based on different physical properties (pIs, molecular
weights, hydrophobicity, solubility, etc.) the proteome coverage is expanded.
This was confirmed, since overlap between all three separation technologies was
only about 8.2% with many proteins found uniquely by a single method. Although
the most dominant protein presented in normal aqueous humor is albumin, by using
this extensive separation/MS strategy, additional proteins were identified in
total amount of 98 nonredundant proteins (plus an additional ten proteins for
consideration). This expands the current protein identifications by approximately
65%. The aqueous humor proteome comprises a specific selection of cellular and
plasma based proteins and can almost exclusively be divided into four functional
groups: cell-cell interactions/wound healing, proteases and protease inhibitors,
antioxidant protection, and antibacterial/anti-inflammatory proteins.
PMID- 18040986
TI - A twin study of the common vulnerability between heightened sensitivity to
hypercapnia and panic disorder.
AB - For unknown reasons the inhalation of CO(2)-enriched air mixtures evokes acute
panic-like symptoms in people with panic disorder and in their unaffected
relatives. This study was set to determine whether, and to what extent, CO(2)
induced acute anxiety and panic disorder share the same genetic and environmental
determinants. Cholesky structural equation models were used to decompose into
genetic and environmental elements the correlation between self-assessed anxiety
post-35%CO(2)-65%O(2) inhalation and interview-based DSM-IV lifetime diagnoses of
panic disorder in 346 young adult twin pairs of the Norwegian Institute of Health
Panel, 12% of whom had been invited to take part into the CO(2) study on the
basis of self-reported symptoms of anxiety gathered 4-7 years before the
provocation challenge. A full model corrected for the partially selective
ascertainment showed that the phenotypic correlation between post-CO(2) anxiety
and DSM-IV panic was largely due to additive genetic influences, while shared and
unique environmental agents concurred to explain a relatively minor proportion of
the correlation between these two traits. According to the best-fitting model the
genetic correlation between post-CO(2) anxiety and panic was 0.81 (0.50-0.98); a
common genetic factor was sufficient to explain the traits' covariation and a
further, specific genetic factor was necessary to account for the residual
phenotypic variance. The genetic determinants that lead to overreact to a
hypercapnic stimulus coincide at a considerable extent with those that influence
liability to naturally occurring panic. Environmental factors provide a modest-
or no--contribution to the covariation of CO(2)-provoked anxiety with naturally
occurring panic.
PMID- 18040987
TI - High-throughput mutational screening for beta-thalassemia by single-nucleotide
extension.
AB - In this work a high-throughput method based on the single-nucleotide extension
(SNE) reaction and multicolour detection in a DNA sequencer was developed to
screen for eight mutations in the human beta-globin gene: IVSI.110, cd39, IVSI.1,
IVSI.6, IVSII.745, HbC, HbS and cd6. The method has been validated on a large
number of samples for the two most common mutations causing beta-thalassemia in
the Mediterranean area (IVSI.110 and cd39). The development of a high-throughput,
fast and reliable method to assay beta-thalassemia mutations represents a
significant improvement in molecular diagnosis of this disease. The multicolour
detection and the use of multiple injections further enhances the throughput of
mutational screening by the DNA sequencer and facilitates automated genotyping
for routine molecular diagnostics.
PMID- 18040988
TI - Bifidobacterium carbohydrases-their role in breakdown and synthesis of
(potential) prebiotics.
AB - There is an increasing interest to positively influence the human intestinal
microbiota through the diet by the use of prebiotics and/or probiotics. It is
anticipated that this will balance the microbial composition in the
gastrointestinal tract in favor of health promoting genera such as
Bifidobacterium and Lactobacillus. Carbohydrates like non-digestible
oligosaccharides are potential prebiotics. To understand how these bacteria can
grow on these carbon sources, knowledge of the carbohydrate-modifying enzymes is
needed. Little is known about the carbohydrate-modifying enzymes of
bifidobacteria. The genome sequence of Bifidobacterium adolescentis and
Bifidobacterium longum biotype longum has been completed and it was observed that
for B. longum biotype longum more than 8% of the annotated genes were involved in
carbohydrate metabolism. In addition more sequence data of individual
carbohydrases from other Bifidobacterium spp. became available. Besides the
degradation of (potential) prebiotics by bifidobacterial glycoside hydrolases, we
will focus in this review on the possibilities to produce new classes of non
digestible oligosaccharides by showing the presence and (transglycosylation)
activity of the most important carbohydrate modifying enzymes in bifidobacteria.
Approaches to use and improve carbohydrate-modifying enzymes in prebiotic design
will be discussed.
PMID- 18040990
TI - Recent advances in the application of capillary electromigration methods for food
analysis.
AB - This review covers the application of capillary electromigration methods to
analyze foods and food components, including amino acids, biogenic amines,
peptides, proteins, DNAs, carbohydrates, phenols, polyphenols, pigments, toxins,
pesticides, vitamins, additives, small organic and inorganic ions, chiral
compounds, and other compounds in foods, as well as those applications of CE for
monitoring food interactions and food processing. The use of microchips as well
as other foreseen trends in food analysis by CE are discussed. Papers that were
published during the period June 2005-March 2007 are included following the
previous review by Cifuentes (Electrophoresis 2006, 27, 283-303).
PMID- 18040989
TI - Brominated flame retardants in US food.
AB - We and others recently began studying brominated flame retardant levels in
various matrices in the US including human milk and other food. This paper
reviews the food studies. In our studies, ten to thirteen polybrominated diphenyl
ether (PBDE) congeners were measured, usually including BDE 209. All US women's
milk samples were contaminated with PBDEs from 6 to 419 ng/g, lipid, orders of
magnitude higher than levels reported in European studies, and are the highest
reported worldwide. We compared our market basket studies of meat, fish and dairy
products with other US food studies of meat and fish. US studies showed somewhat
higher levels of PBDEs than reported elsewhere. Fish were most highly
contaminated (median 616 pg/g), then meat (median190 pg/g) and dairy products
(median 32.2 pg/g). However, unlike some European countries where fish
predominates, dietary intake of PBDEs in the US is mostly from meat, then fish
and then dairy products. Broiling can decrease the amount of PBDEs per serving.
We also measured levels of hexabromocyclododecane (HBCD), another brominated
flame retardant, in human milk. The levels are lower than PBDEs, 0.16-1.2 ng/g,
similar to European levels, unlike PBDEs where US levels are much higher than
European levels.
PMID- 18040991
TI - CE at the omics level: towards systems biology--an update.
AB - This review provides an updated overview of recent developments and applications
of CE based on previously published reports in the field of omic research. The
increased number of published articles on omics shows that the field is growing
and attracting the attention of many life science researchers. Due to
developments in the omics sciences, many researchers have been studying systems
biology, in which biological events in organisms are systematically interpreted
through the combination of complex measurements from various methods resulting in
high-throughput data. Given the challenges of such complex forms of analysis, CE
is a strong candidate for generating omics data useful for acquiring the
qualitative and quantitative knowledge necessary for systems-level investigation.
By emphasizing CE for systems biology, this review will discuss and focus on the
applicability of CE to systems-based analytical data at the genomic,
transcriptomic, proteomic, and metabolomic levels from 2005 to the present.
PMID- 18040992
TI - Advances in CE-mediated microanalysis: an update.
AB - This review, as a continuation of two earlier reports, gives an overview of the
recent developments, over the period from 2005 until now, in the use of
electrophoretically mediated microanalysis (EMMA) methodology for the on-line
study of enzymatic reaction and derivatization. The article is divided into two
parts: (i) on-line enzymatic reaction by EMMA and (ii) on-line derivatization by
EMMA. Following a brief introduction, a literature overview on enzymatic reaction
is provided. The second part starts with an introduction of the purpose of
derivatization and the nomenclature used in the area of in-capillary
derivatization based on EMMA. The development of more integrated analytical
platform that combines in-capillary derivatization and sample preconcentration is
discussed. Reported derivatization procedures are summarized.
PMID- 18040993
TI - Recent advances in the analysis of antibiotics by CE and CEC.
AB - This article reviews the most recent developments concerning the determination of
antibiotics by CE and CEC. The most employed CE separation modes were CZE and
MEKC although microemulsion electrokinetic capillary chromatoghraphy was also
employed. For the first time, CE was coupled to MS that was applied as a specific
and confirmatory detection technique for the analysis of antibiotics. The
analytical characteristics of the developed methodologies as well as the
different applications reported in the literature on this subject from June 2005
until May 2007 are included in this article. To give the most relevant
information on this topic, the experimental conditions employed to achieve the
analysis of antibiotics by CE and CEC are provided together with the main
applications performed in the pharmaceutical, agrochemical, biological, food, and
environmental fields, emphacizing sample preparation requirements needed in each
case.
PMID- 18040994
TI - Electrodriven methods for the enantioseparation of second-generation
antidepressant drugs: an update.
AB - Second-generation antidepressant drugs are increasingly prescribed world-wide by
psychiatrists and primary care physicians. Generally speaking, they seem to be
safer than traditional tricyclic antidepressant drugs, especially in overdose.
However, most of them possess stereogenic centers, thus they can exist as
enantiomeric couples. Since enantiomers can have even dramatically different
pharmacokinetic and pharmacodynamic properties, the study of antidepressant
chirality is of great importance. In fact, the application of enantioselective
analytical techniques can be useful both for the quality control of
enantiomerically pure formulations and for the pharmacovigilance and therapeutic
monitoring of patients undergoing treatment with these drugs. The high efficiency
and inexpensiveness of electrodriven methods makes them a very attractive
alternative to the usual chromatographic methods. This review is an update (2004
2007) of a previously published paper on recent electrodriven methods for the
enantioseparation of second-generation antidepressants. In particular, the focus
has been put on selective serotonin reuptake inhibitors such as citalopram and
sertraline, noradrenergic and specific serotonergic antidepressants, such as
mirtazapine and tetracyclic antidepressants such as mianserin, as well as on
multianalyte methods.
PMID- 18040995
TI - Determination of amino acids by micellar EKC: recent advances in method
development and novel applications to different matrices.
AB - The extensive use of CE for the analysis of amino acids has been well documented
in a series of research articles and reviews. Aim of this report is to address
the attention of the reader on the recent advances of micellar electrokinetic
chromatography for the separation and determination of these analytes.
Enhancements in selectivity of this technique through the use of pseudostationary
phases containing mixed micelles, polymers, and chiral selectors are presented.
Selected applications concerning separation and quantitation of even minute
amounts of amino acids in: (i) biological fluids; (ii) microdialysates; (iii)
plant cells; (iv) food stuff; and (v) pharmaceutical formulations have also been
covered. Advantages of MEKC over other techniques for the amino acid analysis
have been underlined.
PMID- 18040996
TI - Treatment 'mismatch' in early prostate cancer: do treatment choices take patient
quality of life into account?
AB - BACKGROUND: Pretreatment urinary, bowel, and sexual dysfunction may increase the
toxicity of prostate cancer treatments or preclude potential benefits. Using
patient-reported baseline dysfunction from a prospective cohort study, we
determined the proportion of patients receiving relatively contraindicated
('mismatched') treatments. METHODS: Baseline obstructive uropathy and bowel
dysfunction relatively contraindicate brachytherapy (BT) and external beam
radiation therapy (EBRT), respectively, because they increase patients'
vulnerability to treatment-related toxicity. Baseline sexual dysfunction renders
moot the intended benefit of nerve-sparing radical prostatectomy (NSRP), which is
to preserve sexual function. We categorized patients' clinical circumstances by
increasing complexity and counted the mismatches in each, expecting weaker or
multiple contraindications to increase mismatched treatments. RESULTS: Of 438
eligible patients, 389 (89%) reported preexisting dysfunction, and more than one
third received mismatched treatments. Mismatches did not significantly increase
with clinical complexity, and watchful waiting was very infrequent, even when all
treatment options were contraindicated. Patient age and comorbidity, but not
preexisting dysfunction, were associated with treatment choice. As expected,
mismatched BT and EBRT led to worsened urinary and bowel symptoms, respectively,
and NSRP did not improve outcomes after baseline sexual dysfunction. CONCLUSIONS:
Pretreatment dysfunction does not appear to reliably influence treatment choices,
and patients receiving mismatched treatments had worse outcomes. Further study is
needed to determine why mismatched treatments were chosen, including the role of
incomplete patient-physician communication of baseline dysfunction, and whether
using a validated questionnaire before treatment decision-making would bypass
this difficulty. Treatment mismatch may be a useful outcome indicator of the
quality of patient-centered decisions.
PMID- 18040997
TI - Racial differences in pain during 1 year among women with metastatic breast
cancer: a hazards analysis of interval-censored data.
AB - BACKGROUND: Longitudinal tumor-specific studies of cancer pain across the disease
trajectory provide insight into the course of pain. Information on pain
predictors refines our understanding of patients with greatest distress and need.
METHODS: The authors studied 1124 women with metastatic breast cancer and bone
metastases, all of whom received standard treatment in an international clinical
trial conducted from October 1998 to January 2001. The Brief Pain Inventory (BPI)
was administered repeatedly during the course of 1 year. Hazard models were
fitted to identify baseline and time-dependent covariates as predictors of pain
worsening within cumulative 80-day intervals during the year. RESULTS: Increased
severe pain hazards were associated with non-Caucasian race (hazard ratio [HR] =
2.52; 95% CI, 1.69-3.76), restricted performance status (HR = 1.73; 95% CI, 1.13
2.64), and radiation therapy in a previous interval (HR = 2.86; 95% CI, 1.61
5.09). Estimated cumulative rates for not yet reaching a BPI score of 7 or above
ranged from 0.79 (0.72-0.85) in the first interval to 0.64 (0.55-0.74) in the
last interval for non-Caucasian women, whereas these rates ranged from 0.91 (0.89
0.93) to 0.84 (0.81-0.87) for Caucasian women. CONCLUSIONS: By using a time-to
event hazards analysis for cancer symptom data, the authors demonstrated that non
Caucasian race predicted poorer pain control among women with metastatic breast
cancer. Disparity findings from cross-sectional studies were confirmed. Pain
management strategies should take race into account as a risk factor for
worsening pain outcomes, and further investigation should seek to uncover and
resolve the reasons for this obvious disparity.
PMID- 18040998
TI - Racial and ethnic differences in breast cancer survival: how much is explained by
screening, tumor severity, biology, treatment, comorbidities, and demographics?
AB - BACKGROUND: The reasons for race/ethnicity (R/E) differences in breast cancer
survival have been difficult to disentangle. METHODS: Surveillance, Epidemiology,
and End Results (SEER)-Medicare data were used to identify 41,020 women aged > or
=68 years with incident breast cancer between 1994-1999 including African
American (2479), Hispanic (1172), Asian/Pacific Island (1086), and white women
(35,878). A Cox proportional hazards model assessed overall and stage-specific
(0/I, II/III, and IV) R/E differences in breast cancer survival after adjusting
for mammography screening, tumor characteristics at diagnosis, biologic markers,
treatment, comorbidity, and demographics. RESULTS: African American women had
worse survival than white women, although controlling for predictor variables
reduced this difference among all stage breast cancer (hazards ratio [HR], 1.08;
95% confidence interval [95% CI], 0.97-1.20). Adjustment for predictors reduced,
but did not eliminate, disparities in the analysis limited to women diagnosed
with stage II/III disease (HR, 1.30; 95% CI, 1.10-1.54). Screening mammography,
tumor characteristics at diagnosis, biologic markers, and treatment each produced
a similar reduction in HRs for women with stage II/III cancers. Asian and Pacific
Island women had better survival than white women before and after accounting for
all predictors (adjusted all stages HR, 0.61 [95% CI, 0.47-0.79]; adjusted stage
II/III HR, 0.61 [95% CI, 0.47-0.79]). Hispanic women had better survival than
white women in all and stage II/III analysis (all stage HR, 0.88; 95% CI, 0.75
1.04) and stage II/III analysis (HR, 0.88; 95% CI, 0.75-1.04), although these
findings did not reach statistical significance. There was no significant
difference in survival by R/E noted among women diagnosed with stage IV disease.
CONCLUSIONS: Predictor variables contribute to, but do not fully explain, R/E
differences in breast cancer survival for elderly American women. Future analyses
should further investigate the role of biology, demographics, and disparities in
quality of care.
PMID- 18040999
TI - Metastases to soft tissue: a review of 118 cases over a 30-year period.
AB - BACKGROUND: Metastatic tumors presenting as soft tissue masses are relatively
rare and can be the source of diagnostic confusion both clinically and
pathologically. The authors' experience was reviewed at a large academic medical
center over a 30-year period (1971-2000) with metastases to soft tissue. METHODS:
The tumors in the study included mainly lesions involving skeletal muscle or
skeletal muscle and subcutaneous tissue of the upper and lower limbs, trunk,
shoulders, and buttocks. Direct extension from tumors originating in bone or
adjacent organs, tumors involving the skin or areas known to contain abundant
lymph nodes (ie, axilla, groin), and hematopoietic malignancies were excluded.
RESULTS: One hundred and eighteen cases were identified; 60 patients were women
and 58 were men. The age range was 20 to 87 years (median of 53.5 years). The
primary tumor was located in the skin (19 patients), lung (13 patients), breast
(13 patients), kidney (12 patients), colon and rectum (12 patients), uterus (8
patients), ovary (5 patients), head and neck (tongue, pharynx, larynx, nasal
cavity, and mandible) (5 patients), esophagus (2 patients), stomach (2 patients),
cervix (2 patients), small bowel (2 patients), bone (2 patients), adrenal gland
(1 patient), eye (1 patient), testis (1 patient), urinary bladder (1 patient),
and salivary gland (1 patient). In 27% (32 of 118 cases) of cases, the soft
tissue metastasis was the initial manifestation of the disease. In 13.5% (16 of
118 cases) of cases the primary site of origin could not be identified. The sites
of metastasis included the abdominal wall (25 patients), back, including scapular
region (20 patients), thigh (17 patients), chest wall (15 patients), arm (15
patients), shoulder (11 patients), buttock (5 patients), perineum (3 patients),
leg (2 patients), foot (1 patient), umbilical area (1 patient), ankle (1
patient), scalp (1 patient), and elbow (1 patient). The histologic classification
of the tumors included carcinoma (83 patients), malignant melanoma (20 patients),
sarcoma and carcinosarcoma (9 patients), malignant mixed Mullerian tumor (2
patients), seminoma (1 patient), malignant teratoma (1 patient), malignant
gastrointestinal stromal tumor (1 patient), and neuroblastoma (1 patient). Many
of the tumors displayed histologic features that created difficulties for
diagnosis and could be easily mistaken on routine histopathologic examination for
a variety of primary soft-tissue sarcomas. Routine use of immunohistochemical
stains aided in their proper recognition. CONCLUSIONS: Metastases are not an
infrequent finding in soft tissue and they may represent the initial
manifestation of the disease. Use of a basic panel of immunohistochemical stains
is recommended for defining the cell type and arriving at the correct diagnosis.
PMID- 18041000
TI - Immunity against the GBV-B hepatitis virus in tamarins can prevent productive
infection following rechallenge and is long-lived.
AB - GB virus-B (GBV-B) is the virus most closely related to hepatitis C virus (HCV).
Thus, we have used GBV-B infection of tamarins, which develop acute hepatitis
following experimental infection, as a surrogate model to study protective
immunity. As challenge virus, we first produced a GBV-B pool from an infected
tamarin, which was not infected with the related GBV-A viruses. Its infectivity
titer was 10(6.6) tamarin 50% infectious doses per ml. Next, two tamarins that
were convalescent from recombinant GBV-B infection were re-challenged. In the
original infection viremia persisted for 8 and 12 weeks, respectively, and both
animals developed moderately severe hepatitis. Each tamarin was re-challenged
four times with 10(4.3) tamarin 50% infectious doses of the GBV-B challenge
virus. In one animal, each re-challenge produced 1-2 weeks of viremia; hepatitis
was observed following the first re-challenge. In the other animal, however, only
the first re-challenge produced viremia, lasting 1 week. During the primary
infection, peak GBV-B titers were about 10(8) genome equivalents/ml in both
animals; following re-challenges, peak titers ranged from 10(3) to 10(6) genome
equivalents/ml. Analysis of the polyprotein sequence of viruses recovered from
both animals following the first re-challenge demonstrated that these did not
represent immune escape variants since mutations were not detected.
Neutralization studies suggested that the immunity was not humoral in nature. We
also demonstrated that the immunity was long-lived: 1 year after the fourth
challenge, the animal with sterilizing immunity had low titer viremia for only 1
week following an additional challenge.
PMID- 18041001
TI - Astrovirus detection in sporadic cases of diarrhea among hospitalized and non
hospitalized children in Rio De Janeiro, Brazil, from 1998 to 2004.
AB - We analyzed 379 stool samples collected from January 1998 through December 2004,
from hospitalized and non-hospitalized children with diarrhea in Rio de Janeiro,
Brazil. These samples had prior negative results for other enteric viruses and
bacterial pathogens. The specimens were analyzed for HAstV detection by RT-PCR.
HAstV genotypes were determined by sequence analysis of the RT-PCR products.
Twenty (5.3%) out of 379 samples were positive for astrovirus. Astrovirus was
equally common among inpatients and outpatients and among different age groups.
Of 20 HAstV-infected children, 13 (65%) were either hospitalized or received
medical care in the emergence department, which suggests that they had a more
severe illness. Only 7 (35%) of the 20 HAstV-infected children attended walk-in
clinics, which suggests that they had mild disease. Other then diarrhea, fever
was the most common symptom among the HAstV-positive patients, followed by vomit
and bloody diarrhea. HAstV-1 was the predominant strain although genotypes 2 and
4 were also found. There was no obvious difference among HAstV strains detected
from inpatients or outpatients or among different age groups. The study
documented that astrovirus is an agent of acute diarrhea in children who are
inpatients or outpatients in Rio de Janeiro, Brazil although it seems to be less
common as a single cause of childhood diarrhea then rotavirus.
PMID- 18041002
TI - Molecular epidemiology and genetic variability of respiratory syncytial virus
(RSV) in Stockholm, 2002-2003.
AB - The epidemiology and genetic variability of circulating respiratory syncytial
virus (RSV) strains in Stockholm during the season 2002-2003 were studied in
consecutive RSV isolates derived from respiratory samples and diagnosed in the
laboratory. Two hundred thirty-four viruses were sequenced. The samples were
mainly from children under 1 year old (79%). The phylogeny of the N-terminal part
of the G gene was studied after amplification and sequencing. One hundred fifty
two viruses belonged to subgroup B and 82 to subgroup A. The subgroup A viruses
could be further divided into genotypes GA2 (25) and GA5 (57) and the subgroup B
viruses into GB3 (137) and SAB1 (15) strains. These strains clustered with
subgroup A and subgroup B strains from Kenya from the same period, as well as
with strains from Great Britain from 1995 to 1998. The dominance of subgroup B
strains in Stockholm during 2002-2003 is in agreement with findings from other
parts of the world during the same years. Only two genotypes of subgroup A, GA2
and GA5, were circulating during this time, and GA2 has been circulating in
Sweden for more than 20 years. Consecutive strains from the same individual
displayed no variability in the sequenced region, which was also true of strains
that had been passaged in cell cultures.
PMID- 18041003
TI - Detection of unusual rotavirus genotypes G8P[8] and G12P[6] in South Korea.
AB - Five hundred four fecal specimens, collected between 2004 and 2006 from young
children with acute diarrhea, were screened for rotavirus by ELISA with VP6
specific antibody. Of these samples, 394 (78.2%) were confirmed as group A
rotavirus and they underwent G- and P typing using a combination of ELISA, RT
PCR, and sequence analysis methods. The dominant circulating G serotype was G1
(35.6%) followed by G3 (26.4%), G4 (14.7%), and G2 (11.9%). There was a low
prevalence of G9 (1.0%) and of unusual G type rotavirus, in particular, G12
(0.5%) and G8 (0.3%). Of the P genotype rotavirus in circulation, P[8] (53.0%)
was most common followed by P[6] (15.5%), P[4] (15.2%), and P[9] (2.3%).
Determination of G- and P type combinations revealed that G1P[8] strains were
most prevalent (25.4%), amid G3P[8] (16.8%), G2P[4] (6.3%), and G4P[6] (6.1%)
strains. Unusual or rare combinations such as G2P[6], G2P[8], G3P[4], G2P[9],
G1P[9], G3P[9], G12P[6], G1P[4], G3P[6], and G8P[8] were also found. Owing to the
recent emergence of G8 and G12 rotavirus, the findings from this study are
important since they provide new information concerning the local and global
spread of rotavirus genotypes.
PMID- 18041004
TI - Cellular chromosome DNA interferes with fluorescence quantitative real-time PCR
detection of HBV DNA in culture medium.
AB - Fluorescence quantitative real-time PCR (FQ-PCR) is a recently developed
technique increasingly used for clinical diagnosis by detection of hepatitis B
virus (HBV) DNA in serum. FQ-PCR is also used in scientific research for
detection of HBV DNA in cell culture. Understanding potential FQ-PCR interference
factors can improve the accuracy of HBV DNA quantification in cell culture
medium. HBV positive serum was diluted with culture medium to produce three test
groups with HBV DNA levels of 5 x 10(7) copies/ml (high), 5 x 10(5) copies/ml
(medium), and 5 x 10(3) copies/ml (low). Chromosome DNA was extracted from HepG2
cells and then added to high, medium, and low group samples at final
concentrations of 0, 12.5, 25, 50, and 100 microg/ml. The samples were quantified
by FQ-PCR and data were evaluated using statistical software. No marked changes
were seen in the quantitative curves for high level HBV DNA samples when the
samples were supplemented with 0-100 microg/ml of chromosome DNA. Interference
was observed in medium level samples when 50 and 100 microg/ml of chromosome DNA
was added. Interference was also observed in low level HBV DNA samples when the
concentration of added chromosome DNA was greater than 25 microg/ml. The
interference was eliminated when samples were digested by DNase I prior to PCR
detection. In Conclusions, the presence of cellular chromosome DNA can interfere
with the detection of HBV DNA by FQ-PCR. Removal of cellular chromosome DNA from
culture media prior to FQ-PCR is necessary for reliable HBV DNA quantitative
detection.
PMID- 18041005
TI - Prevalence of hepatitis B and C serological markers among first-time blood donors
in Brazil: a multi-center serosurvey.
AB - Little data are available on the seroprevalence of, and risk factors for
hepatitis B and C viruses (HBV and HCV) infection in Latin American countries. A
multi-center serosurvey was conducted among 3,598 first-time blood donors (65%
men) from Sao Paulo, Salvador and Manaus in Brazil. The gender-specific
seroprevalences of antibodies against hepatitis B core antigen (anti-HBc) and of
the hepatitis B surface antigen (HBsAg) in anti-HBc-positive sera were measured,
and risk factors analyzed by gender. The gender-specific seroprevalences of
antibodies against HCV (anti-HCV) were measured, but risk factors for HCV were
not determined. Anti-HBc and HBsAg seroprevalences were not significantly
different in men [101/2,341 (4.31%) and 4/2,229 (0.18%), respectively] and women
[65/1,237 (5.25%) and 8/1,169 (0.68%), respectively], whereas the seroprevalence
of anti-HCV was higher in women (12/1,238 [0.97%] vs. 9/2,353 [0.38%]; odds ratio
[OR] = 2.49; 95% confidence interval [CI]: 1.0-6.0). No significant difference
for HBV infection was found across the three study sites or by ethnic group. The
seroprevalence of anti-HBc increased with age, but decreased with education level
in both genders. Lifetime number of sexual partners was associated with anti-HBc
prevalence among men (OR = 1.95; 95% CI: 1.2-3.1), but not women. The
seroprevalence of HBV and HCV was low among Brazilian blood donors, and exposure
increased with age in both genders.
PMID- 18041006
TI - Association of TGF-beta1 codon 25 (G915C) polymorphism with hepatitis C virus
infection.
AB - Cytokines play a key role in the regulation of immune responses. In hepatitis C
virus infection (HCV), the production of abnormal cytokine levels appears to
contribute to the progression of the disease, viral persistence, and affects
response to therapy. Cytokine genes are polymorphic at specific sites, and
certain polymorphisms located within coding/regulatory regions have been shown to
affect the overall expression and secretion of cytokines. The aim of the present
study was to identify potential markers of cytokines genes associated with the
susceptibility to HCV infection. The cohort was composed of 128 individuals
infected by HCV and 94 healthy controls. Genotyping was carried out by PCR-SSP.
The distributions of the following polymorphisms were compared in these groups:
TNF-alpha (-308G/A [rs1800629]), TGF-beta1 (codon 10 T/C [rs1982073], codon 25
G/C [rs1800471]), IL-10 (-1082 A/G [rs 1800896]; -819T/C [rs1800871]; -592A/C [rs
1800872]), IL-6 (-174G/C [rs1800795]), and IFN-gamma (+874T/A [rs2430561]). This
study demonstrated a statistically significant difference in the frequency of TGF
beta1 codon 25 polymorphism between healthy subjects and those infected with HCV.
No associations were observed between polymorphisms of TNF-alpha, IFN-gamma, IL
10, TGF-beta1 codon 10, and IL-6 and HCV infection. These findings suggest that
TGF-beta1 codon 25 polymorphism could be a host genetic factor associated with
susceptibility to HCV infection.
PMID- 18041007
TI - Critical behavior of KDCO3 from 2H and 39K single crystal NMR.
AB - Potassium hydrogenocarbonate KDCO3 presents an order/disorder phase transition at
Tc approximately 353 K. The critical behavior of this phase transition was
studied by single crystal 2H and 39K NMR. The evolution of the order parameter as
a function of temperature is quantified, and the critical exponent was
determined, indicating a transition close to a tricritical point. The 2H Zeeman
relaxation rate is strongly increased near the transition temperature. By
calculating the noncritical contribution to the Zeeman relaxation rate, we show
that the observed relaxation rate clearly presents a pseudo-divergent behavior
near Tc, with a logarithmic singularity. The nature of the phase transition is
discussed in the light of these results.
PMID- 18041008
TI - Tryptamine derived amides with thiazole ring system from Thermoactinomyces strain
TA66-2.
AB - A moderately thermophilic actinomycete strain, which was identified as
Thermoactinomyces strain TA66-2, was isolated from hot-spring water.
Fermentation, followed by solvent partition and chromatographic separations,
resulted in the isolation of two new and two known molecules. The structures of
the new compounds were elucidated as 2-(1-Propionylaminoethyl)thiazole-4
carboxylic acid [2-(1H-indol-3-yl)ethyl]amide and 2-(1-Acetylaminoethyl)thiazole
4-carboxylic acid [2-(1H-indol-3-yl)-ethyl]amide by using spectral methods (1D-,
2D-NMR and LC-ESI-MS).
PMID- 18041009
TI - Secondary metabolites from Paronychia argentea.
AB - Two new oleanane saponins (1 and 2) and one new flavonol glycoside (3) together
with six known flavonoids, were isolated from the aerial parts of Paronychia
argentea. Their structures were elucidated by 1D and 2D NMR experiments including
1D-TOCSY, DQF-COSY, NOESY, HSQC, and HMBC spectroscopy, as well as ESI-MS
analysis.
PMID- 18041010
TI - 1H and 13C NMR spectra of C-6 and C-9 substituted 3-azabicyclco[3.3.1]nonanes.
AB - The 1H and 13C NMR data for 3-azabicyclo[3.3.1]nonanes with OH and OMe
substituents at C-6 and C-9 were measured using 1D (DEPT) and 2D (COSY, HSQC,
HMBC, NOESY) experiments. Comparison of this NMR data illustrates the effects of
stereochemistry and substitution at these positions.
PMID- 18041011
TI - Unambiguous structural elucidation of base-modified purine nucleosides using NMR.
AB - A general and unambiguous approach has been developed for structural elucidation
of modified purine nucleosides using NMR spectroscopy. Systematic assignment of
proton and carbon signals of modified nucleosides was firmly established by COSY
and the anomerism of the glycosidic linkage of synthetic nucleosides clearly
elucidated by NOESY experiments. Characteristic properties of 15N-isotopic
labelling at specific positions of nucleosides were also employed for structural
studies. The reported approach is applicable to other modified nucleosides and
nucleotides, as well as nucleobases.
PMID- 18041012
TI - Improved isotope ratio measurement performance in liquid chromatography/isotope
ratio mass spectrometry by removing excess oxygen.
AB - A low dead volume oxygen scrubbing system was introduced in a commercially
available liquid chromatography/isotope ratio mass spectrometry (LC/IRMS)
interface to enhance the analytical capability of the system. In the LC/IRMS
interface carbon from organic samples is converted into CO(2) inside the mobile
phase by wet chemical oxidation using peroxodisulfate (Na(2)S(2)O(8)). After
passing the hot reaction zone, surplus oxygen (O(2)) remains dissolved in the
liquid phase. Both CO(2) and O(2) diffuse through a transfer membrane into the
helium carrier and are transferred to the mass spectrometer. The presence of O(2)
in the ion source may have detrimental effects on measurement accuracy and
precision as well as on filament lifetime. As a remedy, a new on-line O(2)
removing device has been incorporated into the system. The new O(2) scrubber
consists of two parallel hot copper reduction reactors (0.8 mm i.d., active
length 120 mm) and a switch-over valve between them. One reactor is regenerated
using He/H(2) while the other is actively scavenging O(2) from the gas stream.
The capacity of each reduction reactor, expressed as usage time, is between 40
and 50 min. This is sufficient for a single LC run for sugars and organic acids.
A further increase of the reduction capacity is accompanied by a peak broadening
of about 100%. After switching to a freshly reduced reactor the oxygen background
and the delta(13)C values of the reference gas need up to 500 s to stabilize. For
repeated injections the delta(13)C values of sucrose remain constant (+/-0.1 per
thousand) for about 3000 s. The long-term stability for measurements of sucrose
was 0.11 per thousand without the reduction oven and improved slightly to 0.08
per thousand with the reduction oven. The filament lifetime improved by more than
600%, thereby improving the long-term system stability and analytical efficiency.
In addition the costs per analysis were reduced considerably.
PMID- 18041013
TI - Recognition properties of donor- and acceptor-modified biphenyl-DNA.
AB - The recognition properties of DNA duplexes containing single or triple
incorporations of eight different donor-modified (OMe, NH(2)) and acceptor
modified (NO(2)) biphenyl residues as base replacements in opposite positions
were probed by UV-melting and by CD and fluorescence spectroscopy. We found a
remarkable dependence of duplex stability on the natures of the substituents
(donor vs. acceptor). The stabilities of duplexes with one biphenyl pair increase
in the order donor/donor < acceptor/donor < acceptor/acceptor substitution. The
most stable biphenyl pairs stabilize duplexes by up to 6 degrees C in T(m). In
duplexes with three consecutive biphenyl pairs the stability increases in the
inverse order (acceptor/acceptor < donor/acceptor < donor/donor) with increases
in T(m), relative to an unmodified duplex, of up to 10 degrees C. A thermodynamic
analysis, combined with theoretical calculations of the physical properties of
the biphenyl substituents, suggests that in duplexes with single biphenyl pairs
the affinity is dominated by electrostatic forces between the biphenyl/nearest
neighbor natural base pairs, whereas in the triple-modified duplexes the increase
in thermal stability is predominantly determined by hydrophobic interactions of
the biphenyl residues with each other. Oligonucleotides containing amino biphenyl
residues are fluorescent. Their fluorescence is largely quenched when they are
paired with themselves or with nitrobiphenyl-containing duplex partners.
PMID- 18041014
TI - Self-assembly of N2-modified guanosine derivatives: formation of discrete G
octamers.
AB - In the presence of Na(+) ions, two N(2)-modified guanosine derivatives, N(2)-(4-n
butylphenyl)-2',3',5'-O-triacetylguanosine (G1) and N(2)-(4-pyrenylphenyl)
2',3',5'-O-triacetylguanosine (G2), are found to self-associate into discrete
octamers that contain two G-quartets and a central ion. In each octamer, all
eight guanosine molecules are in a syn conformation and the two G-quartets are
stacked in a tail-to-tail fashion. On the basis of NMR spectroscopic evidence, we
hypothesize that the pi-pi-stacking interaction between the N(2)-side arms
(phenyl in G1 and pyrenyl in G2) can considerably stabilize the octamer
structure. For G1, we have used NMR spectroscopic saturation-transfer experiments
to monitor the kinetic ligand exchange process between monomers and octamers in
CD(3)CN. The results show that the activation energy (E(a)) of the ligand
exchange process is 31 +/-5 kJ mol(-1). An Eyring analysis of the saturation
transfer data yields the enthalpy and entropy of activation for the transition
state: DeltaH(not =)=29 +/-5 kJ mol(-1) and DeltaS(not =)=-151 +/-10 J mol(-1) K(
1). These results are consistent with an associative mechanism for ligand
exchange.
PMID- 18041015
TI - Selective detection of 5-methylcytosine sites in DNA.
PMID- 18041016
TI - Layered silicates by swelling of AMH-3 and nanocomposite membranes.
PMID- 18041017
TI - Determination of solvent-trapped products obtained by photolysis of aryl azides
in 2,2,2-trifluoroethanol.
AB - A series of nonfluorinated and fluorinated aryl azides with varied functionality
patterns were irradiated in 2,2,2-trifluoroethanol with either a high-pressure or
a low-pressure mercury lamp. Interestingly, one of the major products in these
reactions was the result of the recombination of anilino and alkyl radicals to
form the corresponding hemiaminal compounds. The structure of the recombination
products was assigned unambiguously after proton/deuterium exchange experiments
followed by MS and MS/MS analysis.
PMID- 18041018
TI - Serological response to hepatitis E virus genotype 3 infection: IgG quantitation,
avidity, and IgM response.
AB - Sequential sera were collected from 18 acute cases of UK-acquired hepatitis E.
The virus strains in all cases were of genotype 3. The IgM and IgG response to
acute infection were documented over time using EIA kits based on a peptide
antigen, pE2, which is derived from a genotype 1 strain of hepatitis E virus
(HEV). Ninety-five percentage of acute sera were IgM positive; after 6 months or
more only 12% remained positive. The kit was adapted to quantify the IgG response
(in WHO U/ml) and to determine antibody avidity. Following acute infection, anti
HEV IgG concentrations rose between 6.9- and 90-fold. IgG avidity was low (<25%)
in most acute sera. After 6 months IgG avidity was greater than 50% in all cases.
One patient with a poor IgM response and high avidity antibody in acute sera may
have had a second HEV infection. Taken together, these results confirm that the
pE2-based EIA kits are suitable for diagnosing acute HEV genotype 3 infection.
With simple modifications the IgG kit can measure anti-HEV concentration and
avidity, which can be used to confirm acute infection.
PMID- 18041019
TI - Monocytes, but not T or B cells, are the principal target cells for dengue virus
(DV) infection among human peripheral blood mononuclear cells.
AB - A better understanding of the pathogenesis of dengue hemorrhagic fever and dengue
shock syndrome requires the precise identification of dengue virus (DV)
permissive target cells. To examine the relative DV permissiveness among cell
subsets, we inoculated unfractionated human peripheral blood mononuclear cells
with DV2-16681 in the presence or absence of pooled DV-immune human sera (PHS),
and assessed infection with fluorescent dye labeled DV-specific monoclonal
antibody and cell surface markers using flow cytometry. We found significantly
higher levels of DV antigen staining on DV-infected than mock-infected primary
monocytes (3.54 +/- 3.42% vs. 0.50 +/- 0.38%; P = 0.001). The magnitude of
infection was markedly enhanced in the presence of highly diluted PHS (10.04 +/-
6.10% vs. 3.54 +/- 3.42%; P = 0.015). Under identical experimental conditions,
primary T or B cells were not infected either with or without the addition of PHS
(0.06 +/- 0.04% and 0.44 +/- 0.22% for T and B cells, respectively). Furthermore,
depletion of CD14+ monocytes prior to DV inoculation abrogated the detection of
infected cells, and the addition of monoclonal antibodies to either FcgammaRI
(CD64) or FcgammaRII (CD32) led to a 50-70% reduction in antibody-dependent
enhancement (ADE) of DV infection. Collectively, these results provide further
support to the notion that primary monocytes and FcgammaRs expressed on these
cells may be important in the initial steps of immune enhancement observed in
some patients with natural DV infection. They also demonstrate that using modern
experimental technology, DV infection, and neutralization and enhancement of DV
infection can be easily assessed simultaneously in multiple cell types.
PMID- 18041020
TI - Higher risk of hepatitis C virus perinatal transmission from drug user mothers is
mediated by peripheral blood mononuclear cell infection.
AB - Maternal injection drug use and peripheral blood mononuclear cell infection by
hepatitis C virus are important risk factors for perinatal transmission of the
virus. The aim of present study was to evaluate the independent association of
these two factors on perinatal transmission. Forty-eight consecutive mothers who
transmitted infection to their offspring and 122 consecutive mothers who did not,
together with their children, were examined. Both maternal injection drug use and
peripheral blood mononuclear cell infection were significantly more frequent in
infected than in uninfected children (respectively P = 0.04; odds ratio 2.33, 95%
confidence intervals 1.02-5.42 and P < 10(-6); odds ratio and 95% confidence
intervals not calculable due to zero values). Multivariate analysis confirmed the
link between maternal peripheral blood mononuclear cell infection and perinatal
transmission (P < 10(-6); odds ratio and 95% confidence intervals not calculable
due to zero values) but no association was found with maternal injection drug
use. The high risk of perinatal transmission found in injection drug use mothers
is dependent on maternal peripheral blood mononuclear cell infection by hepatitis
C virus. Peripheral blood mononuclear cell infection represents one of the most
important risk factors for hepatitis C virus perinatal transmission.
PMID- 18041021
TI - Development of a TaqMan assay for the six major genotypes of hepatitis C virus:
comparison with commercial assays.
AB - A quantitative real-time PCR assay was developed that detects genomic RNA from
reference strains representing the six major genotypes of hepatitis C virus (HCV)
with equal sensitivity and accurately measured HCV RNA in JFH1 HCV-infected
Huh7.5 cells. The method is indirectly calibrated to the first international (WHO
96/790) HCV standard preparation and has a linear dynamic range of 10(2.6)
10(6.5) IU/ml. In addition, the inter- and intra-assay precision were
approximately 3% CV and <2% CV, respectively. Comparison with results obtained by
commercially available HCV RNA Nucleic Acid Technology kits (Versant HCV RNA 3.0
b-DNA and Amplicor HCV Monitor), that also employ the WHO standard, allowed
validation of the TaqMan assay against all major HCV genotypes. Both commercial
methods detected HCV RNA over a wide dynamic range, but showed a consistent
difference of about 0.3 log10 when evaluating samples of different HCV genotypes.
The genome titers obtained with the three methods correlated with the infectivity
titers previously determined for the HCV reference strains. TaqMan assays have
become an essential tool to follow viral load in clinical samples and cell
culture-based experiments and this technology offers significant advantages in
linear dynamic range, sensitivity and customization.
PMID- 18041022
TI - Pancreatic insufficiency after different resections for benign tumours.
AB - BACKGROUND: Pancreatic resections for benign diseases may lead to long-term
endocrine/exocrine impairment. The aim of this study was to compare postoperative
and long-term results after different pancreatic resections for benign disease.
METHODS: Between 1990 and 1999, 62 patients underwent pancreaticoduodenectomy
(PD), 36 atypical resection (AR) and 64 left pancreatectomy (LP) for benign
tumours. Exocrine and endocrine pancreatic function was evaluated by 72-h faecal
chymotrypsin and oral glucose tolerance test. RESULTS: The incidence of
pancreatic fistula was significantly higher after AR than after LP (11 of 36
versus seven of 64; P = 0.028). The long-term incidence of endocrine pancreatic
insufficiency was significantly lower after AR than after PD (P < 0.001).
Exocrine insufficiency was more common after PD (P < 0.001) and LP (P = 0.009)
than after AR. The probability of developing both endocrine and exocrine
insufficiency was higher for PD and LP than for AR (32, 27 and 3 per cent
respectively at 1 year; 58, 29 and 3 per cent at 5 years; P < 0.001). CONCLUSION:
Different pancreatic resections are associated with different risks of developing
long-term pancreatic insufficiency. AR represents the best option in terms of
long-term endocrine and exocrine function, although it is associated with more
postoperative complications.
PMID- 18041023
TI - Spatial gradient effects of 120 mT static magnetic field on endothelial tubular
formation in vitro.
AB - This study investigated the spatial magnetic gradient effects of static magnetic
fields (SMF) on endothelial tubular formation by applying the maximum spatial
gradient to a target site of culture wells for cell growth. The respective
maximum values of magnetic flux density (B(max)), magnetic flux gradient (G(max))
and the magnetic force product of the magnetic flux density and its gradient (a
parameter of magnetic force) were 120 mT, 28 mT/mm and 1428 mT(2)/mm. The effects
of gradient SMF on tubular formation were compared with those of uniform SMF that
has no spatial gradients on the entire bottom area of culture wells. Five
experimental groups of 25 samples each were examined: (1) sham exposure
(control); (2) peak gradient exposure in the peripheral part; (3) peak gradient
exposure in the central part; (4) uniform exposure to 20 mT; (5) uniform exposure
to 120 mT. The SMF or sham exposure was carried out for 10 days. Photomicrographs
of tubular cells, immunostained with an anti-platelet-endothelial cell adhesion
molecule-1 (PECAM-1 [CD31]) antibody as a pan-endothelial marker, were analyzed
after the 10-day culture. Gradient SMF in the peripheral or central part was
found to significantly promote tubular formation in terms of the area density and
length of tubules in each peak gradient/force part of the wells, compared with
the sham exposure. In contrast, uniform SMF did not induce any significant change
in the tubular formation. These findings suggest that tubule formation can be
promoted by applying the peak gradient/force to a target site of culture wells.
PMID- 18041025
TI - Change in hepatitis C virus genotype in hemodialysis patients after end-of
treatment response to interferon monotherapy--relapse or re-infection?
AB - Hepatitis C virus (HCV) infection remains common among hemodialysis patients and
its occurrence is related mainly to nosocomial spread. Although dialysis patients
with HCV infection respond well to interferon-based therapy, relapse is frequent.
This study aimed at a selected group of hemodialysis patients infected with HCV
infection undergoing interferon therapy who achieved end-of-treatment virological
response but became HCV-RNA positive again 6 months after end-of-treatment. It
was evaluated whether de novo HCV-RNA positivity in these non-sustained
responders occurred due to lack of clearance of HCV after the initial response to
interferon-alpha (relapse) or due to re-infection with a new strain (re
infection). Genotyping by Inno-LiPA and by phylogenetic tree analysis using
partial HCV-NS5B sequences at two evaluation points: pre-treatment (T0) and 6
months after end-of-treatment (T18). Non-sustained responders (n = 15) carried
subtypes 1a (8 patients), 1b (4 patients), 3a (2 patients), and 4a (1 patient)
before treatment. Identical subtypes were detected in 10 patients at T18. Five
patients changed genotypes at T18, suggesting nosocomial re-infection. This study
emphasizes the importance of epidemiologic measures to control the re-exposure of
hemodialysis patients treated previously for HCV infection.
PMID- 18041024
TI - Subgenotype diversity of hepatitis B virus American genotype F in Amerindians
from Venezuela and the general population of Colombia.
AB - The objective of this study was the evaluation of the genetic diversity found in
HBV circulating among Venezuelan Amerindians and the general population in
Colombia. Phylogenetic analysis of the S region in 194 isolates showed that
genotype F is highly predominant in Colombia and Venezuela. This might be related
to the genetic background of the population. F3 is the main subgenotype which
circulates in both countries. Phylogenetic analysis of 61 complete genome
sequences of HBV American genotypes confirms the presence of two genotypes F and
H, and 4 F subgenotypes. In Venezuela, subgenotypes F1, F2, and F3 circulate in
East and West Amerindians, while only F3 was found among South Amerindians.
Japreira community derived from Yucpa Amerindians around 150 years ago. However,
several Japreira HBV sequences were forming a clade that can be classified as
subgenotype 2b, differing from Yucpa sequences that belong mainly to subgenotype
F3. The apparent absence of correlation between the phylogenetic groupings of HBV
isolates with the ethnical origin in aboriginal populations might be suggesting a
recent origin of HBV American subgenotypes, or a genetic drift effect.
PMID- 18041026
TI - A community-derived outbreak of adenovirus type 3 in children in Taiwan between
2004 and 2005.
AB - An outbreak of respiratory adenovirus infection in children was observed in
northern Taiwan between November 2004 and February 2005. Using polymerase chain
reaction-restriction fragment length polymorphism (PCR-RFLP) to determine the
serotype(s) of 172 adenovirus isolates in the outbreak period, we found that
adenovirus type 3 (Ad3) was the predominant type (87.2%), followed by Ad2 (6.4%),
Ad1 (4.1%), Ad7 (1.2%), Ad4 (0.6%), and Ad5 (0.6%). The genotype of Ad3 was
analyzed for 15 isolates from the outbreak period by RFLP of the full-length
genome. All these isolates belonged to genotype Ad3a2. Compared with the Ad3
infected patients in the baseline period, a significantly higher proportion of
Ad3-infected patients in the outbreak period had severe infections (58.0% vs.
40.2%, P = 0.01), which included bronchopneumonia (28.7%), exudative tonsillitis
(24.1%), and tonsillitis (16.1%). Moreover, patients with severe infections were
significantly younger than those without (4.10 vs. 8.15 years, P < 0.001). In
summary, our study demonstrated that Ad3 was the predominant serotype responsible
for the respiratory adenovirus outbreak in northern Taiwan during 2004-2005 and
was associated with severe infections in the outbreak period.
PMID- 18041027
TI - Chandipura virus: a major cause of acute encephalitis in children in North
Telangana, Andhra Pradesh, India.
AB - A hospital-based surveillance was undertaken between May 2005 and April 2006 to
elucidate the contribution of Chandipura virus (CHPV) to acute viral encephalitis
cases in children, seroconversion in recovered cases and to compare the
seroprevalences of anti-CHPV IgM and N antibodies in areas reporting cases with
those without any case of acute viral encephalitis. During this period, 90 cases
of acute encephalitis were hospitalized in the pediatric wards of Mahatma Gandhi
Memorial (MGM) Hospital, Warangal. There were 49 deaths (Case Fatality Rate,
i.e., CFR of 54.4%). Clinical samples and records were obtained from 52 suspected
cases. The cases were below 15 years, majority in 0-4 years (35/52, 67.3%).
Computerized tomography (CT) scans and cerebro-spinal fluid (CSF) picture favored
viral etiology. No neurological sequelae were observed. CHPV etiology was
detected in 25 cases (48.1%, n = 52; RNA in 20, IgM in 3 and N antibody
seroconversion in 2). JEV etiology was detected in 5 cases (IgM in 4 cases and
seroconversion in 1 case). Anti-CHPV IgM seroprevalence in contacts (26/167,
15.6%) was significantly higher (P < 0.05) than in non-contacts (11/430, 2.6%);
which was also observed in children <15 years (19/90, 21.1% vs. 3/109, 2.7%).
Anti-CHPV N antibody seroprevalence in <15 years contacts (66/90, 73.3%) and non
contacts (77/109, 70.6%) was significantly lower (P < 0.05) than in contacts
(75/77, 97.4%) and non-contacts (302/321, 94.1%) more than 15 years respectively.
CHPV appears to be the major cause of acute viral encephalitis in children in
endemic areas during early monsoon months.
PMID- 18041028
TI - Novel anti-dengue monoclonal antibody recognizing conformational structure of the
prM-E heterodimeric complex of dengue virus.
AB - An interaction between the premembrane (prM) and envelope (E) glycoproteins as
prM-E heterodimer is required for proper folding and transport of E during the
formation and release of new flaviviral progeny. More evidence, however, is
needed to confirm this interaction of prM and E during dengue virus replication.
In this study, 2E11, a mouse monoclonal antibody (Mab) that specifically
recognizes dengue prM-E heterodimeric complex in either intracellular or secreted
dengue virions, was generated and characterized. In immunofluorescence and immuno
pull down assays, the Mab 2E11 recognized an epitope present in 293T
transfectants that co-expressed prM and the full-length form of E in cis and in
trans, but it failed to react with prM or E protein expressed individually. The
reactivity of Mab 2E11 was diminished in transfected cells that co-express prM
together with a truncated form of E lacking the 84-residue stretch at the C
terminal transmembrane region, presumably essential for prM and E interaction.
The Mab 2E11 described in this study is a novel Mab with a unique capability in
detecting the conformational structure of prM-E heterodimeric complex of dengue
virus. It will be a new biological tool for identification and characterization
of dengue prM-E heterodimer as well as virus maturation and export.
PMID- 18041029
TI - Frequency and clinical significance of human beta-herpesviruses in cervical
samples from Italian women.
AB - Human papillomaviruses (HPVs) are necessary, but not sufficient, for the
development of cervical cancer (CC). Human beta-herpesviruses (beta-HHVs) have
been suggested as possible cofactors in the oncogenesis of CC. In this cross
sectional study, the prevalence and possible association of cytomegalovirus
(CMV), HHV-6 and -7 with HPV presence was investigated by quantitative real-time
PCR assays in cervical samples obtained from 208 italian women. The two most
common high-risk HPV types found were 31 and 16. Overall, the positive rates for
CMV, HHV-6 and HHV-7 were 66%, 25%, and 6%, respectively. In particular, the
prevalence of CMV was found to be extremely high irrespective of either the
cytological category or HPV positivity. The prevalence of HHV-6 DNA was
significantly higher in high-grade squamous intraepithelial lesions (HSIL)
respect to normal women (P < 0.017); by contrast, the prevalence HHV-7 DNA was
generally low and not associated with SIL. Copresence of CMV and HHV-6 DNA was
found to be significantly higher in patients with SIL respect to normal women (P
< 0.05). No correlation was demonstrated between the viral load of all three beta
HHVs and the different cytological stages or with the HPV presence. A few
patients with severe disease however showed very high viral loads which for HHV-6
may be indicative of viral integration. In conclusion, this study suggests that
CMV and HHV-7 alone are probably not implicated in the oncogenesis of CC whilst
HHV-6 alone or together with CMV may contribute to the development of CC.
PMID- 18041030
TI - Rapid and sensitive detection of metapneumovirus in clinical specimens by
indirect fluorescence assay using a monoclonal antibody.
AB - Human metapneumovirus, with two known genotypes named A and B, is associated with
mild respiratory symptoms to severe LRTI in children, high-risk adults and the
elderly. Rapid and reliable methods of hMPV detection in clinical samples are
essential to implement appropriate care, to better understand the pathology of
hMPV and to determine its epidemiology. Respiratory samples from 1,386 patients
collected during 2 consecutive years were screened for hMPV using indirect
immunofluorescence (IFA) assay with a monoclonal antibody. Forty-three patients
tested positive for hMPV by the IFA method. In parallel, the samples were
examined with RT-PCR on the F gene. Of these, 41 specimens were RT-PCR positive.
The remaining two IF positives were cultured and the cultures were subsequently
RT-PCR positive. IFA showed therefore a sensitivity of 100%. No false positive
signals were obtained with the influenza virus, respiratory syncytial virus or
parainfluenza. When tested by RT-PCR, all IFA-negative samples (n = 204)were
found negative. Therefore the specificity of IFA was 100%, IC95 [98-100%], with a
negative predictive value of 100%. Based upon phylogenetic analysis of the fusion
gene, both subgroups of hMPV were efficiently detected by IFA, and the viral
aetiology could be given in 2 hr. These results demonstrate the potential
usefulness of immunofluorescence with our monoclonal antibody for the rapid
detection of hMPV in clinical specimens in the management of therapy and the
control of nosocomial diffusion.
PMID- 18041031
TI - Detection of novel NF1 mutations and rapid mutation prescreening with
Pyrosequencing.
AB - Neurofibromatosis type 1 (NF1) is caused by mutations in the neurofibromin (NF1)
gene. Mutation analysis of NF1 is complicated by its large size, the lack of
mutation hotspots, pseudogenes and frequent de novo mutations. Additionally, the
search for NF1 mutations on the mRNA level is often hampered by nonsense-mediated
mRNA decay (NMD) of the mutant allele. In this study we searched for mutations in
a cohort of 38 patients and investigated the relationship between mutation type
and allele-specific transcription from the wild-type versus mutant alleles.
Quantification of relative mRNA transcript numbers was done by Pyrosequencing, a
novel real-time sequencing method whose signals can be quantified very
accurately. We identified 21 novel mutations comprising various mutation types.
Pyrosequencing detected a definite relationship between allelic NF1 transcript
imbalance due to NMD and mutation type in 24 of 29 patients who all carried frame
shift or nonsense mutations. NMD was absent in 5 patients with missense and
silent mutations, as well as in 4 patients with splice-site mutations that did
not disrupt the reading frame. Pyrosequencing was capable of detecting NMD even
when the effects were only moderate. Diagnostic laboratories could thus exploit
this effect for rapid prescreening for NF1 mutations as more than 60% of the
mutations in this gene disrupt the reading frame and are prone to NMD.
PMID- 18041032
TI - 2-D difference gel electrophoresis of the lung squamous cell carcinoma versus
normal sera demonstrates consistent alterations in the levels of ten specific
proteins.
AB - Most lung cancers are diagnosed too late for curative treatment to be possible,
therefore early detection is crucial. Serum proteins are a rich source of
biomarkers and have the potential to be used as diagnostic and prognostic
indicators for lung cancer. In order to examine differences in serum levels of
specific proteins associated with human lung squamous carcinoma, immunodepletion
of albumin and five other high-abundant serum proteins followed by 2-D difference
gel electrophoresis (DIGE) analysis and subsequent MS was used to generate a
panel of proteins found to be differentially expressed between the cancer and
normal samples. Proteins found to have increased abundance levels in squamous
cell carcinoma sera compared to normal sera included apolipoprotein A-IV
precursor, chain F; human complement component C3c, haptoglobin, serum amyloid A
protein precursor and Ras-related protein Rab-7b. Proteins found to have lower
abundance levels in squamous cell carcinoma sera compared to normal sera included
alpha-2-HS glycoprotein, hemopexin precursor, proapolipoprotein, antithrombin III
and SP40; 40. The data presented here demonstrate that high-abundant protein
removal combined with 2-D DIGE is a powerful strategy for the discovery of
potential biomarkers. The identification of lung cancer-specific biomarkers is
crucial to early detection, which in turn could lead to a dramatic increase in
survival rates.
PMID- 18041033
TI - Poly(gamma-glutamic acid) nanoparticles as an efficient antigen delivery and
adjuvant system: potential for an AIDS vaccine.
AB - Antigen delivery systems using polymeric nanoparticles are of special interest as
stable protein-based antigen carriers. In the present study, novel biodegradable
poly(gamma-glutamic acid) (gamma-PGA) nanoparticles were examined for their
antigen delivery and immunostimulatory activities in vitro and in vivo. The
uptake of ovalbumin by dendritic cells was markedly enhanced by gamma-PGA
nanoparticles, and the ovalbumin was gradually released from gamma-PGA
nanoparticles into the cells. In addition, gamma-PGA nanoparticles appeared to
have great potential as an adjuvant, because they could induce the maturation of
dendritic cells. Although not only ovalbumin-encapsulating nanoparticles (OVA
NPs) but also a simple mixture of ovalbumin and nanoparticles induced dendritic
cell maturation, the only dendritic cells exposed to OVA-NPs could strongly
activate antigen-specific interferon (IFN)-gamma-producing T cells. Subcutaneous
immunization of mice with human immunodeficiency virus type 1 (HIV-1) p24
encapsulating nanoparticles activated antigen-specific IFN-gamma-producing T
cells in spleen cells and induced p24-specific serum antibodies, as compared to
immunization with p24 alone. Like ovalbumin, a mixture of p24 and nanoparticles
also induced antigen-specific serum antibodies but did not activate IFN-gamma
producing T cells in spleen cells, suggesting that nanoparticles play a critical
role in inducing cellular immune responses. Furthermore, gamma-PGA nanoparticles
had a capacity comparable to that of the complete Freund's adjuvant (CFA) in
inducing p24-specific serum antibody. However, unlike CFA, they predominantly
activated p24-specific IFN-gamma-producing T cells. Thus, gamma-PGA nanoparticles
encapsulating various antigens may have great potential as novel and efficient
protein-based vaccines against infectious diseases, including HIV-1 infection.
PMID- 18041034
TI - Identification and analysis of alpha1,6-fucosylated proteins in human normal
liver tissues by a target glycoproteomic approach.
AB - alpha1,6-Fucose residues within the N-glycan core structures were commonly
observed in many glycoproteins. Our previous studies showed that aberrantly
alpha1,6-fucosylated glycoproteins might be associated with metastasis of
hepatocellular carcinoma (HCC). Little is known about human normal liver tissues
(HNLTs) in the literatures. In this study, a target glycoproteomic approach which
consists of lectin-affinity chromatography, 2-DE, protein immunoprecipitation and
lectin blot, and MALDI-MS/MS, was utilized to screen physiologically alpha1,6
fucosylated glycoproteins. Lens culinaris agglutinin (LCA)-affinity glycoprotein
profiles of HNLT were established and analyzed, which allowed identification of
53 proteins by MS analysis, including haptoglobin precursor, alpha-enolase, etc.
Gene ontology (GO) annotation proved that these proteins distribute predominately
in organelle and play crucial roles in binding and catalytic reactions. The
present methodology enabled the identification of all the specific subsets of
glycoprotein, and the corresponding data could contribute to the finding of more
aberrantly alpha1,6-fucosylated glycoproteins related to liver diseases.
PMID- 18041035
TI - Monoclonal antibody proteomics: discovery and prevalidation of chronic
obstructive pulmonary disease biomarkers in a single step.
AB - We define mAb proteomics as the global generation of disease specific antibodies
that permit mass screening of biomarkers. An integrated, high-throughput, disease
specific mAb-based biomarker discovery platform has been developed. The approach
readily provided new biomarker leads with the focus on large-scale discovery and
production of mAb-based, disease-specific clinical assay candidates. The outcome
of the biomarker discovery process was a highly specific and sensitive assay,
applicable for testing of clinical validation paradigms, like response to
treatment or correlation with other clinical parameters. In contrast to MS-based
or systems biology-based strategies, our process produced prevalidated clinical
assays as the outcome of the discovery process. By re-engineering the biomarker
discovery paradigm, the encouraging results presented in this paper clearly
demonstrate the efficiency of the mAb proteomics approach, and set the grounds
for the next steps of studies, namely, the hunt for candidate biomarkers that
respond to drug treatment.
PMID- 18041036
TI - Porous silicon surfaces: a candidate substrate for reverse protein arrays in
cancer biomarker detection.
AB - This paper introduces a new substrate for reverse-phase protein microarray
applications based on macroporous silicon. A key feature of the microarray
substrate is the vastly surface enlarging properties of the porous silicon, which
simultaneously offers highly confined microarray spots. The proof of principle of
the reverse array concept was demonstrated in the detection of different levels
of cyclin E, a possible cancer biomarker candidate which regulates G1-S
transition and correlates with poor prognosis in different types of human
cancers. The substrate properties were studied performing analysis of total
cyclin E expression in human colon cancer cell lines Hct116 and SW480. The
absence of unspecific binding and good microarray quality was demonstrated. In
order to verify the performance of the 3-D textured macroporous surface for
complex biological samples, lysates of the human tissue spiked to different
levels with cell extract overproducing cyclin E (Hct116) were arrayed on the chip
surface. The samples were spotted in a noncontact mode in 100 pL droplets with
spots sizes ranged between 50 and 70 mum and spot-to-spot center distances 100
mum, allowing microarray spot densities up to 14 000 spots per cm(2). The
different sample types of increasing complexities did not have any impact on the
spot intensities recorded and the protein spots showed good homogeneity and
reproducibility over the recorded microarrays. The data demonstrate the potential
use of macroporous silicon as a substrate for quantitative determination of a
cancer biomarker cyclin E in tissue lysates.
PMID- 18041037
TI - Adaptation of the "in-gel release method" to N-glycome analysis of low-milligram
amounts of material.
AB - Protein N-glycosylation is a post-translational modification which plays numerous
crucial physiological roles. The N-glycan pattern varies depending on the species
organs, tissues and even cell types and their respective physiological states.
Obtaining enough starting material from a particular cell type or tissue for N
glycan purification by conventional methods can, in certain cases, be very
difficult. Previously, a sensitive technique, the "in-gel release method" that
allows the determination of N-glycans attached to a protein isolated by SDS-PAGE,
has been developed in this and other laboratories. Here, we describe the
adaptation of this method to obtain information on the N-glycome from minute
amounts of tissue. The starting material, ranging from less than a milligram to a
few milligrams of fresh tissue, is directly ground in Laemmli sample buffer and
subject briefly to discontinuous Tris-glycine-SDS-PAGE. The Coomassie-stained
band containing the majority of the proteins is subject to the "in-gel release
method". The developed technique was used to analyze N-glycan patterns of
different samples from Caenorhabditis elegans, Drosophila melanogaster,
Spodoptera frugiperda, Trichoplusia ni, Nicotiana benthamiana, Arabidopsis
thaliana, and Mus musculus. Furthermore, the technique was used to determine the
effects of transient small-scale RNAi-mediated knock-down of a glycosylation
related gene in Drosophila Schneider 2 cell line.
PMID- 18041038
TI - Chip-LC-MS for label-free profiling of human serum.
AB - The discovery of biomarkers in easily accessible body fluids such as serum is one
of the most challenging topics in proteomics requiring highly efficient
separation and detection methodologies. Here, we present the application of a
microfluidics-based LC-MS system (chip-LC-MS) to the label-free profiling of
immunodepleted, trypsin-digested serum in comparison to conventional capillary LC
MS (cap-LC-MS). Both systems proved to have a repeatability of approximately 20%
RSD for peak area, all sample preparation steps included, while repeatability of
the LC-MS part by itself was less than 10% RSD for the chip-LC-MS system.
Importantly, the chip-LC-MS system had a two times higher resolution in the LC
dimension and resulted in a lower average charge state of the tryptic peptide
ions generated in the ESI interface when compared to cap-LC-MS while requiring
approximately 30 times less (~5 pmol) sample. In order to characterize both
systems for their capability to find discriminating peptides in trypsin-digested
serum samples, five out of ten individually prepared, identical sera were spiked
with horse heart cytochrome c. A comprehensive data processing methodology was
applied including 2-D smoothing, resolution reduction, peak picking, time
alignment, and matching of the individual peak lists to create an aligned peak
matrix amenable for statistical analysis. Statistical analysis by supervised
classification and variable selection showed that both LC-MS systems could
discriminate the two sample groups. However, the chip-LC-MS system allowed to
assign 55% of the overall signal to selected peaks against 32% for the cap-LC-MS
system.
PMID- 18041041
TI - Biomarker discovery and related topics.
PMID- 18041042
TI - Racing pigeon identification using STR and chromo-helicase DNA binding gene
markers.
AB - Pigeon racing appeals to many in Taiwan, due in part to the potential large
financial gains based on illegal betting. The races are unregulated with frequent
examples of fraud, such as substitution of one bird for a substandard one. There
is no test available to reliably verify the bloodline of pigeons and thus help to
resolve such disputes. In this study, we describe a multiplex PCR amplification
system combining 7 STR loci and a chromo-helicase DNA binding gene (CHD) marker
for the identification of individual pigeons. The cumulative power of
discrimination (CPd) of the 7 STR loci was 0.99999234 based upon our population
study. The cumulative probability of paternity (CPP) when used in paternity
testing of 17 pigeon families ranged from 97.36 to 99.99% and the combined
probability of exclusion (CPE) was 0.9325 for these seven STR markers. The
statistical data illustrates the potential of this system to be used in pigeon
individualization and paternity testing. Furthermore, the established STR system
could be also used in the other areas, such as ecology, population genetics, and
avian breeding programs.
PMID- 18041043
TI - Relationship of serological subtype, basic core promoter and precore mutations to
genotypes/subgenotypes of hepatitis B virus.
AB - Using phylogenetic analysis and pairwise comparison of 670 complete hepatitis B
virus (HBV) genomes, we demonstrated that nucleotide divergence greater than 7.5%
can be used to separate strains into genotypes A-H. Strains can be separated into
subgenotypes when two criteria are met: nucleotide divergence of about 4% but
less than 7.5% and good bootstrap support. There is a highly statistically
significant association between serological subtypes and genotypes (chi2-test for
association, P < 0.0001): adw is associated with genotypes A, B, F, G, and H, adr
with C and ayw with D and E. The logistic regression method showed that 1802
1803CG are characteristic of genotypes A, D, and E whereas 1802-1803TT are
characteristic of genotypes B, C, and F. 1858C is positively associated with
genotypes A, F, and H and 1858T with genotypes B, D, and E. Subgenotypes C2,
F1/F4 can be differentiated from subgenotypes C1, F2/F3, respectively, because
the latter have 1858C as opposed to 1858T in the former. 1888A was positively
associated with subgenotype A1 and TAA at 1817 with genotype G. The Haploplot
method revealed high linkage between loci 1858 and 1896 but strong evidence of
recombination between loci 1862 and 1896. Loci 1809-1812, 1862, and 1888 may have
co-evolved. Using a computer program, we showed that serological subtype deduced
from the S region (position 155-835) and mutations/variations within the basic
core promoter/precore region (1653-1900), allowed genotyping of HBV with 97%
sensitivity and 99% specificity. Certain subgenotypes or subgenotype groups could
also be differentiated.
PMID- 18041044
TI - Molecular epidemiology and disease severity of respiratory syncytial virus in
relation to other potential pathogens in children hospitalized with acute
respiratory infection in Jordan.
AB - Human respiratory syncytial virus (HRSV) is the major viral cause of acute lower
respiratory tract infections in children. Few data about the molecular
epidemiology of respiratory syncytial virus in developing countries, such as
Jordan, are available. The frequency and severity of infections caused by HRSV
were assessed in hospitalized Jordanian children <5 years of age compared with
other potential etiological agents. Overall a potential pathogen was detected in
78% (254/326) of the children. HRSV was detected in 43% (140/326) of the
nasopharyngeal aspirates. HRSV was found more frequently during the winter
(January/February), being less frequent or negligible by spring (March/April).
Analysis of 135 HRSV-positive strains using restriction fragment length
polymorphism showed that 94 (70%) belonged to subgroup A, and 41 (30%) to
subgroup B. There were also two cases of mixed genotypic infection. Only four of
the six previously described N genotypes were detected with NP4 predominating.
There were no associations between subgroup or N-genogroup and disease severity.
HRSV was significantly associated with more severe acute respiratory infection
and the median age of children with HRSV was lower than for those without. Next
in order of frequency were adenovirus (116/312: 37%), human bocavirus (57/312:
18%), rhinovirus (36/325: 11%), Chlamydia spp. (14/312: 4.5%), human
metapneumovirus (8/326: 2.5%), human coronavirus NL63 (4/325: 1.2%), and
influenza A virus (2/323: 0.6%). Influenza B; parainfluenza viruses 1-4, human
coronavirus HKU1 and Mycoplasma pneumoniae were not detected.
PMID- 18041045
TI - Impact of HIV-1 genetic diversity in China on the measurement of viral load.
AB - In this study, 190 HIV-positive samples were collected from different regions of
China. The HIV clades of 153 samples were determined successfully based on env
sequencing. Specifically, 48, 5, 87, and 13 isolates belonged to clades B', B,
BC, and AE, respectively. The viral loads in all samples were measured using
three commercial assays, Amplicor HIV-1 monitor v1.5, Nuclisens HIV-1 QT and
NucliSens EasyQ HIV-1 assays. The differences and linear correlations for
individual assays were compared, with expected 1:1 relationships. Significant
differences were found for the following viral loads: clade BC measured by any
two assays (P < 0.001); clade AE between Amplicor 1.5 and Easy Q (P = 0.005);
clade B' between Amplicor 1.5 and Nuclisens QT (P = 0.002); clade AE between
Amplicor 1.5 and Nuclisens QT (P = 0.025); and clade B' between Amplicor 1.5 and
EasyQ (P = 0.04). The largest mean difference in the log(10) values was 0.9518,
which was found between Amplicor 1.5 and Nuclisens QT. However, the viral loads
for clades AE and B' measured by EasyQ and Nuclisens QT, and those for clade B
measured by any two assays did not differ significantly. The degrees of
correlation for clades B and B' between any two assays (R > 0.8) were higher that
those for clades AE and BC between any two assays (R < 0.7), except for clade AE
between Amplicor 1.5 and Easy Q. Thus, the clade types, especially clades BC and
AE, are most likely to impact on the quantitation of viral load using
differentassays.
PMID- 18041046
TI - Post-exposure prophylaxis with a maraviroc-containing regimen after occupational
exposure to a multi-resistant HIV-infected source person.
AB - We report the case of a health care worker who received a post-exposure
prophylaxis including an investigational drug, maraviroc, after a needle stick
percutaneous injury to an HIV-infected patient with late-stage disease and
harboring a multi-drug resistant virus. Post-exposure prophylaxis including
maraviroc was pursued for a total of 28 days, with a weekly clinical and
biological evaluation. Post-exposure prophylaxis was well tolerated, with no
increase in liver function tests. The health care worker remained HIV-negative
after a 6-month follow-up.
PMID- 18041047
TI - Joint modelling of repeated measurements and time-to-event outcomes: the fourth
Armitage lecture.
AB - In many longitudinal studies, the outcomes recorded on each subject include both
a sequence of repeated measurements at pre-specified times and the time at which
an event of particular interest occurs: for example, death, recurrence of
symptoms or drop out from the study. The event time for each subject may be
recorded exactly, interval censored or right censored. The term joint modelling
refers to the statistical analysis of the resulting data while taking account of
any association between the repeated measurement and time-to-event outcomes. In
this paper, we first discuss different approaches to joint modelling and argue
that the analysis strategy should depend on the scientific focus of the study. We
then describe in detail a particularly simple, fully parametric approach.
Finally, we use this approach to re-analyse data from a clinical trial of drug
therapies for schizophrenic patients, in which the event time is an interval
censored or right-censored time to withdrawal from the study due to adverse side
effects.
PMID- 18041048
TI - Morphogenic machines evolve more rapidly than the signals that pattern them:
lessons from amphibians.
AB - The induction of mesoderm and the patterning of its dorsal-ventral and anterior
posterior axes seems to be relatively conserved throughout the chordates, as do
the morphogenic movements that produce a phylotypic stage embryo. What is not
conserved is the initial embryonic architecture of the fertilized egg, and the
specific cell behaviors used to drive mesoderm morphogenesis. How then do
conserved patterning pathways adapt to diverse architectures and where do they
diverge to direct the different cell behaviors used to shape the phylotypic body
plan? Amphibians in particular, probably because of their broad range of
reproductive strategies, show diverse embryonic architectures across their class
and use diverse cell behaviors during their early morphogenesis, making them an
interesting comparative group. We examine three examples from our work on
amphibians that show variations in the use of cell behaviors to drive the
morphogenesis of the same tissues. We also consider possible points where the
conserved patterning pathways might diverge to produce different cell behaviors.
PMID- 18041049
TI - Comments on 'Evaluating the added predictive ability of a new marker' by M.
Pencina, R. D'Agostino, R. D'Agostino Jr, R. Vasan, Statistics in Medicine (DOI:
10.1002/sim.2929).
PMID- 18041050
TI - Slower conduction velocity and motor unit discharge frequency are associated with
muscle fatigue during isometric exercise in type 1 diabetes mellitus.
AB - Type 1 diabetes mellitus (T1DM) is associated with a peripheral neuropathy that
reduces nerve conduction velocity. This may impair high motor-unit discharge
frequencies (MUDF), decrease muscle activation, and curtail the ability to
sustain repetitive contractile tasks. We examined (1) whether MUDF, the
contractile properties of the knee extensors, and the conduction velocity of
persons with T1DM differed from controls; (2) whether persons with T1DM can
maintain adequate MUDF during a fatigue protocol; and (3) the relationship
between these parameters and impaired glycemic control. We studied male and
female subjects with T1DM and controls matched for age, height, weight, and
gender. Single motor unit recordings were made from vastus lateralis during
maximal and submaximal contractions and during a fatigue protocol. Glycemic
control was assessed from blood glucose concentration and glycosylated hemoglobin
(HbA1c). Control femoral conduction velocities were comparable to literature
values and those of the T1DM subjects were slower. These values correlated with
plasma glucose and HbA1c. T1DM subjects fatigued 45% sooner than controls, and
time to fatigue and conduction velocity were correlated (r = 0.54, P < 0.05).
Discharge frequencies tended to be slower during 50% maximal voluntary
contractile force in the T1DM subjects at task failure. Persons with T1DM had
slower conduction velocities and lower MUDF than their controls, which apparently
leads to impaired activation of muscle and decreased endurance during isometric
fatigue.
PMID- 18041052
TI - Tumor necrosis factor-alpha antagonists and neuropathy.
AB - Tumor necrosis factor (TNF)-alpha plays an important role in many aspects of
immune system development, immune-response regulation, and T-cell-mediated tissue
injury. The evidence that TNF-alpha, released by autoreactive T cells and
macrophages, may contribute to the pathogenesis of immune-mediated demyelinating
neuropathies is reviewed. TNF-alpha antagonists (infliximab, etanercept,
adalimumab) are indicated for the treatment of advanced inflammatory rheumatic
and bowel disease, but these drugs can induce a range of autoimmune diseases that
also attack the central and peripheral nervous systems. Case histories and series
report on the association between anti-TNF-alpha treatment and various disorders
of peripheral nerve such as Guillain-Barre syndrome, Miller Fisher syndrome,
chronic inflammatory demyelinating polyneuropathy, multifocal motor neuropathy
with conduction block, mononeuropathy multiplex, and axonal sensorimotor
polyneuropathies. The proposed pathogeneses of TNF-alpha-associated neuropathies
include both a T-cell and humoral immune attack against peripheral nerve myelin,
vasculitis-induced nerve ischemia, and inhibition of signaling support for axons.
Most neuropathies improve over a period of months by withdrawal of the TNF-alpha
antagonist, with or without additional immune-modulating treatment. Preliminary
observations suggest that TNF-alpha antagonists may be useful as an antigen
nonspecific treatment approach to immune-mediated neuropathies in patients with a
poor response to, or intolerance of, standard therapies, but further studies are
required.
PMID- 18041051
TI - Myostatin blockade improves function but not histopathology in a murine model of
limb-girdle muscular dystrophy 2C.
AB - Myostatin is a negative regulator of skeletal muscle growth. Myostatin mutations
and pharmacological strategies increase muscle mass in vivo, suggesting that
myostatin blockade may prove useful in diseases characterized by muscle wasting,
such as the muscular dystrophies. We subjected the gamma-sarcoglycan-deficient
(Sgcg(-/-)) mouse model of limb-girdle muscular dystrophy (LGMD) 2C to antibody
mediated myostatin blockade in vivo. Myostatin inhibition led to increased fiber
size, muscle mass, and absolute force. However, no clear improvement in muscle
histopathology was evident, demonstrating discordance between physiological and
histological improvement. These results and previous studies on the dyw/dyw mouse
model of congenital muscular dystrophy and in the late-stage delta-sarcoglycan
deficient (Sgcd(-/-)) mouse model of LGMD2F document disease-specific limitations
to therapeutic strategies based on myostatin blockade in the more severe mouse
models of different muscular dystrophies.
PMID- 18041053
TI - Paradoxical depolarization of BA2+- treated muscle exposed to low extracellular
K+: insights into resting potential abnormalities in hypokalemic paralysis.
AB - The combination of sarcolemmal depolarization and hypokalemia exhibited by the
different forms of hypokalemic paralysis has been attributed to abnormalities of
the K+ conductance governing the resting membrane potential (V(REST)). Supportive
data have been observed in muscle fibers biopsied from patients with familial
hypokalemic periodic paralysis (HypoPP) that paradoxically depolarize at low K+.
Although this observation is consistent with anomalous K+ conductance, rigorous
experimental support is lacking. To establish a foundation for understanding the
pathophysiology of hypokalemic paralysis, we studied Ba2+-treated muscle fibers
under voltage clamp. As anticipated, Ba2+ blocked inward rectifying K+ (IRK)
currents, and thereby promoted depolarization, supporting the notion that the IRK
conductance governs V(REST). The IRK conductance also declined when muscle was
challenged with reduced external K+. When the external K+ declined below 1 mM,
V(REST) became uncoupled from the K+ reversal potential and depolarized. Partial
( approximately 50%) block of the IRK conductance with Ba2+ potentiated this
uncoupling threshold, such that depolarization could be elicited by exposure to 2
mM external K+. A quantitative computer model of resting ionic conductances was
constructed, and simulations demonstrated that small alterations to resting
conductances, such as adding a low-amplitude aberrant inward current flowing
through "gating pores" in mutant Na+ channels causing HypoPP-2, can promote
paradoxical depolarization in low K+. These findings offer a simple explanation
for some of the heretofore poorly understood physiological abnormalities of
HypoPP muscle and support the notion that pathological gating pore leakage
currents may directly predispose to paralytic attacks.
PMID- 18041054
TI - Effects of high-intensity focused ultrasound on nerve conduction.
AB - The effects of various exposures (intensity, duration) of high-intensity focused
ultrasound (HIFU) on sciatic nerve conduction were investigated in vivo in rats.
The objective was to identify HIFU exposures that produce biological effects
ranging from partial to complete conduction block, indicating potential use of
HIFU as an alternative to current clinical methods of inducing nerve conduction
block. In the study, 26 nerves were exposed and treated with 5-s applications of
5.7-MHZ HIFU with acoustic intensities of 390, 2,255, 3,310, and 7,890 W/cm(2)
(spatial peak, temporal peak). Compound muscle action potentials (CMAPs), in
response to electrical stimulation of the nerve proximal to the HIFU site, were
recorded from the plantar foot muscles immediately before and after HIFU
treatment and 2 and 4 h after treatment. Furthermore, a preliminary long-term
investigation was performed on 27 nerves with the same four sets of HIFU
parameters. CMAPs were measured at the survival endpoint for each animal (7 or 28
days after treatment). For nerves treated with the three lower exposures, CMAPs
decreased initially within 4 h or 7 days after HIFU treatment and then recovered
to their baseline level at 28 days after treatment. For the highest exposure,
however, CMAPs remained absent even 28 days after treatment. These exposure
dependent effects of HIFU on nerve function suggest its future potential as a
novel treatment for severe spasticity and pain.
PMID- 18041055
TI - Epithelial type, ingression, blastopore architecture and the evolution of
chordate mesoderm morphogenesis.
AB - Chordate embryos show an evolutionary trend in the mechanisms they use to
internalize presumptive mesoderm, relying predominantly on invagination in the
basal chordates, varying combinations of involution and ingression in the
anamniote vertebrates and reptiles, and predominantly on ingression in birds and
mammals. This trend is associated with variations in epithelial type and changes
in embryonic architecture as well as variations in the type of blastopore formed
by an embryo. We also note the surprising conservation of the involution, during
gastrulation, of at least a subset of the notochordal cells throughout the
chordates, and suggest that this indicates a constraint on morphogenic evolution
based on a functional linkage between architecture and patterning. Finally, we
propose a model for the evolutionary transitions from gastrulation through a
urodele amphibian-type blastopore to gastrulation through a primitive streak, as
in chick or mouse.
PMID- 18041056
TI - VEGF and VEGFR-1 are coexpressed by epithelial and stromal cells of renal cell
carcinoma.
AB - BACKGROUND: Tumor angiogenesis is a dynamic process that plays a major role in
cancer progression. Vascular endothelial growth factor (VEGF) and its receptors
play a pivotal role in angiogenesis. The expression of VEGF and its receptors
VEGFR-1 and VEGFR-2 in renal cell carcinoma (RCC) was investigated in the
perspective of anti-VEGF treatments. METHODS: Total VEGF protein levels were
quantified by enzyme-linked immunosorbent assay (ELISA) in tumor tissue samples
from surgical specimens of 65 patients with clear cell RCC. At the cellular level
the VEGF isoforms VEGFR-1 and VEGFR-2 mRNA were quantified by real-time
quantitative reverse-transcriptase polymerase chain reaction (RT-PCR) in laser
microdissected tumoral epithelial as stromal cells and in corresponding normal
tissue compartments. Colocalization of VEGF and VEGFR-1 proteins was studied by
triple immunofluorescent labeling. RESULTS: Protein VEGF in cytosolic extracts
was significantly higher in tumoral than in nontumoral tissue (P< .0001). Event
free survival was significantly longer for patients with cytosolic VEGF lower
than the cutoff (75th percentile of VEGF protein levels, P= .02). In laser
microdissected epithelial cells, VEGF(121) and VEGFR-1 mRNA expressions were
higher in RCC than in corresponding nontumoral kidney (P= .007 and P= .002,
respectively); they were also higher in stromal cells of RCC compared with
nontumoral kidney (P= .02 and P= .003, respectively). There was no differential
VEGFR-2 expression in epithelial or in stromal cells of tumoral or nontumoral
kidney. By immunofluorescent labeling VEGF and VEGFR-1 colocalized on RCC tumor
epithelial and stromal cells. CONCLUSIONS: Combined laser microdissection and
quantitative RT-PCR, as triple immunofluorescent labeling, underlined the
preferential expression of the most soluble VEGF isoform, VEGF(121), and its
receptor VEGFR-1, but not VEGFR-2, in epithelial and stromal cells of RCC.
PMID- 18041058
TI - Passive smoking and the use of noncigarette tobacco products in association with
risk for pancreatic cancer: a case-control study.
PMID- 18041059
TI - Do adjuvant aromatase inhibitors increase the cardiovascular risk in
postmenopausal women with early breast cancer? Meta-analysis of randomized
trials.
AB - BACKGROUND: Despite the advantages from using aromatase inhibitors (AIs) compared
with tamoxifen for early breast cancer, an unexpectedly greater number of grade 3
and 4 cardiovascular events (CVAE) (as defined by National Cancer Institute of
Canada-Common Toxicity Criteria [version 2.0] was demonstrated. METHODS: Phase 3
randomized clinical trials (RCTs) comparing AI with tamoxifen in early breast
cancer were considered eligible for this review. The event-based risk ratios
(RRs) with 95% confidence intervals (95% CIs) were derived, and a test of
heterogeneity was applied. Finally, absolute differences (ADs) in event rates and
the number of patients needed to harm 1 patient (NNH) were determined. RESULTS:
Seven eligible RCTs (19,818 patients) reported CVAE results. When considering all
RCTs, the AD of the primary endpoint (CVAE) between the 2 arms (0.52%), tamoxifen
versus AI, was statistically significant (RR, 1.31; 95% CI, 1.07-1.60; P= .007).
This translated into an NNH value of 189 patients; when only third-generation AIs
were considered, the difference (0.57%) remained significant (RR, 1.34; 95% CI,
1.09-1.63; P= .0038). Thromboembolic events were significantly more frequent in
the tamoxifen arm, regardless of the strategy adopted (RR, 0.53; 95% CI, 0.42
0.65; P< .0001), without significant heterogeneity (P= .21). An AD of 1.17% and
an NNH value of 85 patients were observed. CONCLUSIONS: According to the results
from this meta-analysis, the risk of grade 3 and 4 CVAEs in patients who were
receiving AIs was higher compared with the risk in patients who were receiving
tamoxifen, and the difference reached statistical significance. However, the AD
was relatively low, and from 160 to 180 patients had to be treated to produce 1
event.
PMID- 18041060
TI - Application of distance matrices to define associations between acute toxicities
in colorectal cancer patients receiving chemotherapy.
AB - BACKGROUND: Colorectal cancer patients undergoing chemotherapy (CT) are likely to
experience multiple concurrent toxicities that, rather than appearing singularly,
may be associated with one another. Graphic and tabular representations of
distance matrices were used to identify associations between toxicities and to
define the strengths of these relations. METHODS: Using a standardized data
collection tool, electronic medical charts of 300 consecutive patients receiving
either the combination of leucovorin, 5-fluorouracil (5-FU), and oxaliplatin
(FOLFOX); the combination of leucovorin, 5-FU, and irinotecan (FOLFIRI); or 5-FU)
were retrospectively reviewed to record baseline demographic and clinical
information. Treatment-related toxicities were recorded using National Cancer
Institute Common Toxicity Criteria during the first cycle of CT. Using a distance
matrix approach, an analysis of CT-induced toxicity associations was elaborated.
RESULTS: The graphic analysis, in which associations between toxicities were
represented as links, identified 6 major hubs (fever, dehydration, fatigue,
anorexia, pain, and weight loss), defined as central nodes with more connections
than expected by chance. These were highly linked with minor nodes and provided
evidence suggesting the existence of symptom clusters associated with CT-induced
toxicities. CONCLUSIONS: The application of distance matrix analyses to define CT
induced toxicity associations is new. The technique was effective in defining the
global landscape of the binary relations among toxicities associated with Cycle 1
therapy. The coherent clinical picture emerging from the network provides a
strong suggestion that the toxicities in each cluster share a common
pathobiologic basis, which may provide an opportunity for intervention. These
findings could become useful for the early prediction of co-occurring toxicities
and, in the future, as a phenotyping framework for the pharmacogenomic analysis
of individual responses to chemotherapy.
PMID- 18041061
TI - Structural and thermodynamic encoding in the sequence of rat microsomal
cytochrome b(5).
AB - The water-soluble domain of rat microsomal cytochrome b(5) is a convenient
protein with which to inspect the connection between amino acid sequence and
thermodynamic properties. In the absence of its single heme cofactor, cytochrome
b(5) contains a partially folded stretch of 30 residues. This region is
recognized as prone to disorder by programs that analyze primary structures for
such intrinsic features. The cytochrome was subjected to amino acid replacements
in the folded core (I12A), in the portion that refolds only when in contact with
the heme group (N57P), and in both (F35H/H39A/L46Y). Despite the difficulties
associated with measuring thermodynamic quantities for the heme-bound species, it
was possible to rationalize the energetic consequences of both types of
replacements and test a simple equation relating apoprotein and holoprotein
stability. In addition, a phenomenological relationship between the change in
T(m) (the temperature at the midpoint of the thermal transition) and the change
in thermodynamic stability determined by chemical denaturation was observed that
could be used to extend the interpretation of incomplete holoprotein stability
data. Structural information was obtained by nuclear magnetic resonance
spectroscopy toward an atomic-level analysis of the effects.
PMID- 18041062
TI - Combined effect of the DeltaPhe or DeltaAla residue and the p-nitroanilide group
on a didehydropeptides conformation.
AB - Two series of dehydropeptides of the general formulae Boc-Gly-X-Phe-p-NA, Boc-Gly
Gly-X-Phe-p-NA, Gly-X-Gly-Phe-p-NA.TFA, and Boc-Gly-X-Gly-Phe-p-NA, with X =
Delta(Z)Phe and DeltaAla, were studied with NMR in DMSO and CDCl(3)-DMSO, and
with CD in MeOH, MeCN, and TFE. The NMR spectra measured in DMSO suggest that
peptides with the DeltaPhe residue next to Phe are folded whereas peptides with
Gly between DeltaPhe and Phe are less ordered. NMR spectra of DeltaAla-containing
peptides indicate that these peptides are flexible and their conformational
equilibria are populated by many different conformations. The CD spectra show
that conformational properties of the peptides studied are distinctly influenced
by a mutual position of the dehydroamino acid residue and the p-NA group. They
indicate that all dehydropeptides with the DeltaPhe residue, Boc-Gly-DeltaAla-Phe
p-NA, and Boc-Gly-Gly-DeltaAla-Phe-p-NA adopt ordered conformations in all
solvents studied, presumably of the beta-turn type. The last two peptides exhibit
surprising chiroptical properties. Their spectra show exciton coupling-like
couplets in the region of the p-NA group absorption. This shape of CD spectra
suggests a rigid, chiral conformation with a fixed disposition of the p-NA group.
The CD spectra indicate that Boc-Gly-DeltaAla-Gly-Phe-p-NA and Gly-DeltaAla-Gly
Phe-p-NA.TFA are unordered, independently of the solvent.
PMID- 18041064
TI - Development of sorafenib and other molecularly targeted agents in hepatocellular
carcinoma.
AB - It is well appreciated that hepatocellular carcinoma (HCC) represents one of the
most challenging malignancies of worldwide importance. In fact, HCC is the fifth
most common cancer and the third most common cause of cancer-related death
globally. The incidence rates for HCC in the U.S. and Western Europe have been
rising. Unresectable or metastatic HCC carries a poor prognosis, and systemic
therapy with cytotoxic agents provides marginal benefit. Because of the poor
track record of systemic therapy in HCC, there has been a sense of nihilism for
this disease in the oncology community for decades. However, with the arrival of
newly developed, molecularly targeted agents and the success of some of these
agents in other traditionally challenging cancers, such as renal cell carcinoma,
there has been renewed interest in developing novel systemic therapy in HCC. At
the recent Annual Meeting of the American Society of Clinical Oncology, results
of a phase 3, randomized, placebo-controlled trial were presented in which
sorafenib demonstrated improved survival in patients with advanced HCC. This
landmark study represents the first agent that has demonstrated an improved
overall survival benefit in this disease and sets the new standard for first-line
treatment of advanced HCC. For this review, the author concisely summarized the
current status of molecularly targeted agents that are under clinical development
in advanced HCC.
PMID- 18041065
TI - T cell adhesion mechanisms revealed by receptor lateral mobility.
AB - Cell surface receptors mediate the exchange of information between cells and
their environment. In the case of adhesion receptors, the spatial distribution
and molecular associations of the receptors are critical to their function.
Therefore, understanding the mechanisms regulating the distribution and binding
associations of these molecules is necessary to understand their functional
regulation. Experiments characterizing the lateral mobility of adhesion receptors
have revealed a set of common mechanisms that control receptor function and thus
cellular behavior. The T cell provides one of the most dynamic examples of
cellular adhesion. An individual T cell makes innumerable intercellular contacts
with antigen presenting cells, the vascular endothelium, and many other cell
types. We review here the mechanisms that regulate T cell adhesion receptor
lateral mobility as a window into the molecular regulation of these systems, and
we present a general framework for understanding the principles and mechanisms
that are likely to be common among these and other cellular adhesion systems. We
suggest that receptor lateral mobility is regulated via four major mechanisms
reorganization, recruitment, dispersion, and anchoring-and we review specific
examples of T cell adhesion receptor systems that utilize one or more of these
mechanisms.
PMID- 18041066
TI - Raman spectroscopy can differentiate malignant tumors from normal breast tissue
and detect early neoplastic changes in a mouse model.
AB - Raman spectroscopy shows potential in differentiating tumors from normal tissue.
We used Raman spectroscopy with near-infrared light excitation to study normal
breast tissue and tumors from 11 mice injected with a cancer cell line. Spectra
were collected from 17 tumors, 18 samples of adjacent breast tissue and lymph
nodes, and 17 tissue samples from the contralateral breast and its adjacent lymph
nodes. Discriminant function analysis was used for classification with principal
component analysis scores as input data. Tissues were examined by light
microscopy following formalin fixation and hematoxylin and eosin staining.
Discriminant function analysis and histology agreed on the diagnosis of all
contralateral normal, tumor, and mastitis samples, except one tumor which was
found to be more similar to normal tissue. Normal tissue adjacent to each tumor
was examined as a separate data group called tumor bed. Scattered morphologically
suspicious atypical cells not definite for tumor were present in the tumor bed
samples. Classification of tumor bed tissue showed that some tumor bed tissues
are diagnostically different from normal, tumor, and mastitis tissue. This may
reflect malignant molecular alterations prior to morphologic changes, as expected
in preneoplastic processes. Raman spectroscopy not only distinguishes tumor from
normal breast tissue, but also detects early neoplastic changes prior to definite
morphologic alteration.
PMID- 18041067
TI - Structure-activity relationships in beta-defensin peptides.
AB - The beta-defensins comprise a large family of small cationic antimicrobial
peptides widely distributed in plants, mammals and insects. These cysteine rich
peptides display multifunctional properties with implications as potential
therapeutic agents. Recent research has highlighted their role in both the innate
and adaptive immune systems as well as being novel melanocortin ligands. Studies
investigating structure and function provide an insight into the molecular basis
of their immunological properties.
PMID- 18041068
TI - Temporal trends and predictors of perioperative chemotherapy use in elderly
patients with resected nonsmall cell lung cancer.
AB - BACKGROUND: The authors assessed patterns of perioperative chemotherapy use in
elderly patients with resected stage I, II, or IIIA nonsmall cell lung cancer
(NSCLC) from 1992 to 2002. METHODS: By using data from the Surveillance,
Epidemiology, and End Results Program, 11,807 patients were identified who had
resected stage I, II, or IIIA NSCLC between 1992 and 2002 and survived >or=120
days beyond diagnosis. The rate of perioperative chemotherapy use was measured by
calendar year, and the association between clinical/demographic characteristics
and the receipt of chemotherapy was examined by using logistic regression.
RESULTS: In total, 957 patients with stage I, II, or IIIA NSCLC (8.1% of the
study population) received perioperative chemotherapy. The proportion of patients
receiving chemotherapy for stage I NSCLC changed little during the study period.
Of 3230 patients with stage II and IIIA NSCLC, 609 patients (18.9%) received
chemotherapy, 423 patients (13%) received chemotherapy combined with radiation.
452 patients (15.6%) received adjuvant chemotherapy, and 66 patients (2.3%)
received neoadjuvant chemotherapy. The use of chemotherapy increased
significantly among patients who were diagnosed after 1994 relative to patients
who were diagnosed in 1992 after controlling for sociodemographic and treatment
characteristics (P< .001). There was significantly increased use of new
generation chemotherapy agents, such as carboplatin and taxanes (P< .001). The
proportion of patients receiving combined-modality therapy also increased
significant (P< .001). Younger age, being married, having advanced-stage tumor or
adenocarcinoma, having a later diagnosis year, receiving radiation, and seeing an
oncologist were predictors for the receipt of chemotherapy (P< .001).
CONCLUSIONS: A substantial proportion of Medicare beneficiaries with NSCLC
received perioperative chemotherapy. Specifically designed prospective trials
that focus on older patients are needed.
PMID- 18041069
TI - Colorectal liver metastases contract centripetally with a response to
chemotherapy: a histomorphologic study.
AB - BACKGROUND: Recently, there has been considerable interest in neoadjuvant
chemotherapy for colorectal liver metastases. However, there is little
information that defines how much liver should be removed after a favorable
response. METHODS: Liver metastases from 2 groups of patients were analyzed: 25
metastases were evaluated from a group that did not receive chemotherapy and 26
lesions were studied from patients who had received systemic chemotherapy before
resection. All patients except for 1 had 5-fluorouracil (5-FU), leucovorin (LV),
and irinotecan (CPT-11); 1 had 5-FU and LV alone. The average duration of
chemotherapy was 2.9+/-0.7 months. Separate assessments of the histopathologic
features of the central and peripheral portions of each tumor were made. The
pathologist was blinded to all clinical information. RESULTS: All of the
untreated metastases had well-circumscribed borders. Irregular borders were seen
in 6 of the postchemotherapy lesions (26%), which was particularly prominent in
lesions that had significantly contracted. After chemotherapy, discrete islands
of viable tumor cells outside of the main tumor mass were seen in 4 patients, but
all were close to the peripheral margin of the tumor mass. Viable tumor cells
were more frequent in the periphery of metastases, regardless of chemotherapy
exposure. Central necrosis was prominent in untreated metastases, but disappeared
after chemotherapy. In lesions treated with chemotherapy, central fibrosis was
greater compared with untreated lesions. CONCLUSIONS: After a partial response to
chemotherapy, liver metastases shrank in a generally concentric fashion. These
findings support the practice of removing less liver after downsizing with
chemotherapy.
PMID- 18041070
TI - A nonrandom association between gastrointestinal stromal tumors and myeloid
leukemia.
AB - BACKGROUND: Gastrointestinal stromal tumors (GISTs) are KIT-positive mesenchymal
tumors of the gastrointestinal tract that are driven by activated KIT-signalling
or platelet-derived growth factor receptor-alpha (PDFGRA) signaling. These tumors
most commonly occur in the stomach and small intestine and encompass a clinical
spectrum from benign to malignant. In the current study, the authors examined
long-term follow-up data of 1892 GIST patients from the U.S. BACKGROUND: Nine
patients (2 with gastric GISTs and 7 with GISTs of the small intestine) developed
myeloid leukemia. There were 6 patients (4 women and 2 men) with acute myeloid
leukemia (AML), including 1 case of promyelocytic and 1 case of myelomonocytic
leukemia, and 3 patients (2 men and 1 woman) with chronic myeloid leukemia (CML).
RESULTS: The leukemias developed 1.7 to 21 years after the GIST (median interval,
6 years). None of the GIST patients had received radiotherapy or chemotherapy
prior to the leukemia diagnosis. Eight of 9 patients died of leukemia, and none
died of GIST. All but 1 GIST case was found to have a low mitotic rate (0-1 per
50 high-power fields); however, tumor size varied from 3 to 18 cm (median, 4.5
cm). Standardized incidence ratios (SIRs) and their 95% confidence intervals (95%
CIs) were calculated comparing the incidences of AML/CMLs in GIST patients with
those in the 2000 through 2003 U.S. population. In GIST patients, the risk of AML
was found to be significantly higher for women (SIR of 5.14; 95% CI, 1.34-11.4)
and overall (SIR of 2.96; 95% CI, 1.07-5.8). There was a slightly increased risk
for CML, but this was not statistically significant (SIR of 3.71; 95% CI, 0.7
9.1). CONCLUSIONS: Additional epidemiologic, clinical, and pathogenetic studies
are needed to understand the apparent nonrandom association between GIST and
myeloid leukemia.
PMID- 18041071
TI - Poor prognosis in patients with stage I and II oral tongue squamous cell
carcinoma.
AB - BACKGROUND: The objective of this study was to compare survival in patients with
squamous cell carcinoma (SCC) of the oral tongue with that in patients with SCC
in other oral cavity subsites. METHODS: Patients with stage I and II (T1-T2N0M0)
SCC of the oral cavity diagnosed between 1988 and 2004 were queried by using the
Surveillance, Epidemiology, and End Results (SEER) database. The log-rank test
was used to compare the overall survival (OS) and cause-specific survival (CSS)
of patients who had oral tongue SCC with those of patients who had SCC of other
oral cavity subsites. A Cox proportional hazards multivariate analysis was
performed to evaluate the influence of covariates on the risk of CSS and OS.
RESULTS: Between 1988 and 2004, 6791 patients with stage I and II SCC of the oral
cavity were identified. Among them, 40% had oral tongue SCC, and 60% had SCC of
other oral cavity subsites. The median patient age was 64 years. The 5-year OS
and CSS rates were 60.9% and 83.5%, respectively, for patients with oral tongue
SCC versus 64.7% and 94.1%, respectively, for patients with SCC of other oral
cavity subsites (OS: hazard ratio, 1.24; P< .0001; CSS: hazard ratio, 3.04; P<
.0001). On multivariate analysis, OS and CSS were influenced significantly by T
classification, age, sex, and oral tongue subsite. The CSS for patients who had
stage I and II oral tongue SCC also was unfavorable compared with the CSS for
stage-matched patients who had SCC of other head and neck sites. CONCLUSIONS:
Oral tongue SCC was associated with poor survival compared with other oral cavity
and head and neck sites. These data suggested a potential benefit for
multimodality therapy in this cohort of patients.
PMID- 18041072
TI - Using the Edinburgh Postnatal Depression Scale to screen for anxiety disorders.
AB - Screening for postnatal mood disorders in English-speaking women often uses the
validated cut-off score of 13 or more on the Edinburgh Postnatal Depression Scale
(EPDS) to detect probable major depression. Increasingly there is evidence that
for many women, and men, anxiety disorders can occur postnatally in the absence
of depression. This study therefore examined data on the three EPDS items
frequently found to cluster together on an anxiety factor for women (items 3, 4,
and 5: EPDS-3A), to determine the optimum cut-off score to screen for specified
anxiety disorders. A sample of 238 women and 218 men were administered a
diagnostic interview for anxiety and depressive disorders, and completed the
EPDS, at 6 weeks postpartum. The receiver operating characteristics show that the
optimum cut-off score on the EPDS-3A for women is 6 or more (possible range: 0
9), and for men it is 4 or more, though it appears that the factor structure for
men is different than for women. The conclusion is that the EPDS can be used to
screen for probable depression in women (using the validated total cut-off score
of 13 or more) and also probable anxiety (using the EPDS-3A cut-off score of 6 or
more). For men there is already a validated total cut-off score for both
depression and anxiety (6 or more)--however, if services are not using this, they
can use the EPDS-3A score of 4 or more to screen for probable anxiety disorders
in fathers, though further work needs to be undertaken to clarify whether the
anxiety factor structure for men is different to that found for women.
PMID- 18041073
TI - Racial differences in colorectal cancer screening practices and knowledge within
a low-income population.
AB - BACKGROUND: Although colorectal cancer (CRC) is the third leading cause of cancer
death among US women and is particularly deadly among African Americans, CRC
screening rates remain low. Within a low-income population of women, the authors
examined racial differences in practices, knowledge, and barriers related to CRC
screening. METHODS: Face-to-face interviews were conducted with 941 women (white,
n= 186; African American, n= 755) older than age 50 years who were living in
subsidized housing communities in 11 cities in North and South Carolina. Women
were asked questions about their CRC screening history and their knowledge and
beliefs concerning CRC screening. RESULTS: Half (49%) of the women interviewed
were within CRC screening guidelines, and this did not vary by race (P= .17).
However, African American women were half as likely as white women to report
having had a screening colonoscopy within the past 10 years (odds ratio [OR],
0.46; P< .001). Awareness of tests for CRC was low overall (39%) and was lower
among African Americans than whites (OR, 0.44; P< .001). Compared with white
women, African American women were less likely to report embarrassment as a
barrier (OR, 0.59; P= .008) and more likely to report lack of insurance coverage
(OR, 1.75; P= .098). CONCLUSIONS: Efforts must continue to increase women's
knowledge of both CRC screening tests and colon cancer risk factors. Among these
low-income women, routine encounters with the healthcare system may present
opportunities to reduce deficits in CRC knowledge and to improve overall CRC
screening rates.
PMID- 18041077
TI - Chronic lung disease in human immunodeficiency virus (HIV) infected children.
AB - The development of chronic lung disease is common in HIV-infected children. The
spectrum of chronic HIV-associated lung disease includes lymphocytic interstitial
pneumonia (LIP), chronic infections, immune reconstitution inflammatory syndrome
(IRIS), bronchiectasis, malignancies, and interstitial pneumonitis. Chronic lung
disease may result from recurrent or persistent pneumonia due to bacterial,
mycobacterial, viral, fungal or mixed infections. In high tuberculosis (TB)
prevalence areas, M. tuberculosis is an important cause of chronic respiratory
illness. With increasing availability of highly active antiretroviral therapy
(HAART) for children in developing countries, a rise in the incidence of IRIS due
to mycobacterial or other infections is being reported. Diagnosis of chronic lung
disease is based on chronic symptoms and persistent chest X-ray changes but
definitive diagnosis can be difficult as clinical and radiological findings may
be non-specific. Distinguishing LIP from miliary TB remains a difficult challenge
in HIV-infected children living in high TB prevalence areas. Treatment includes
therapy for specific infections, pulmonary clearance techniques, corticosteroids
for children with LIP who are hypoxic or who have airway compression from
tuberculous nodes and HAART. Children who are taking TB therapy and HAART need
adjustments in their drug regimes to minimize drug interactions and ensure
efficacy. Preventative strategies include immunization, chemoprophylaxis, and
micronutrient supplementation. Early use of HAART may prevent the development of
chronic lung disease.
PMID- 18041078
TI - Precursors of cardiorespiratory events in infants detected by home memory
monitor.
AB - In 1,079 infants monitored for >700,000 hr at home for apnea or bradycardia, we
found an association between infants having multiple events exceeding
conventional or a priori defined more extreme thresholds and less favorable
developmental outcome at 1 year of age than infants with few or no events. If it
is necessary to prevent such events to minimize risk for developmental morbidity,
there is reason to determine whether there are disturbances in advance of the
apnea or bradycardia that herald their onset. In the 85 infants with at least 1
extreme event and 1 conventional event, we hypothesized that apnea and
bradycardia do not occur de novo but rather are preceded by cardiorespiratory and
hemoglobin O2 saturation changes. We compared recorded time intervals preceding
these events, and we analyzed three preceding time intervals for each
conventional and extreme event, and each non-event recording: Time-2 hr: up to 2
hr before; Time-1 hr: up to 1 hr before; and Time-75 sec: the 75 sec immediately
preceding each event. O2 saturation progressively decreased preceding both
conventional and extreme events, and progressive increases occurred in heart and
breathing rate variability. Duration of respiratory pauses and of periodic
breathing progressively increased preceding conventional events, respiratory rate
variability increased immediately preceding conventional events and at 1 hr
preceding extreme events, and O2 saturation decreased immediately preceding both
conventional and extreme events. Thus, conventional and extreme events do not
occur de novo but rather are preceded by autonomic instability of the
cardiorespiratory system.
PMID- 18041079
TI - Ventilation induced pneumothorax following resolved empyema.
AB - We report a case of pneumothorax as a result of positive pressure ventilation in
a child previously treated for empyema. Three months following discharge for
successful treatment of empyema our patient received a general anesthetic for an
elective MRI of the brain for investigation of nystagmus. During recovery from
the anesthetic he developed respiratory distress and was found to have a
loculated pneumothorax. We propose that pleural fragility in childhood empyema
possibly persists even after clinical resolution and in this case for up to 3
months. The complication of pneumothorax should be considered in all patients
receiving positive pressure ventilation following resolved empyema.
PMID- 18041080
TI - Airway epithelial cell signaling in response to bacterial pathogens.
AB - The airway epithelium represents a primary site for the introduction and
deposition of potentially pathogenic microorganisms into the body, through
inspired air. The epithelial mucosa is an important component of the innate
immune system that recognizes conserved structures in microorganisms and
initiates appropriate signaling to recruit and activate phagocytic cells to the
airways. This review focuses on how airway epithelial cells sense and respond to
the presence of bacterial pathogens. The major signaling cascades initiated by
epithelial receptors that lead to phagocyte recruitment to the airways as well as
the ability of the epithelium to regulate inflammation are discussed.
PMID- 18041081
TI - A phase 2 study of aztreonam lysine for inhalation to treat patients with cystic
fibrosis and Pseudomonas aeruginosa infection.
AB - BACKGROUND: Aztreonam lysine for inhalation (AZLI) is being developed for
treatment of CF patients with Pseudomonas aeruginosa airway infection. METHODS:
This double-blind, randomized, placebo-controlled Phase 2 study evaluated the
safety, tolerability and efficacy of 75 and 225 mg AZLI administered BID for 14
days using the eFlow Electronic Nebulizer (Pari Innovative Manufacturers, Inc.,
Midlothian, VA). Patients were 13 years and older with FEV1>or=40% predicted,
chronic P. aeruginosa infection, and had used no anti-pseudomonal antibiotics for
56 days. RESULTS: Of 131 patients screened, 105 received AZLI or placebo. Mean
age was 26 years and mean FEV1 percent predicted was 77% at baseline. There was a
statistically significant reduction, compared to placebo, in P. aeruginosa CFU
density in each AZLI group at Days 7 and 14 (P<0.001). The planned primary
analysis, percent change in FEV1 at Day 14, demonstrated no statistically
significant difference. Post hoc analysis demonstrated significant increase in
FEV1 at Day 7 for the subset of patients with baseline FEV1<75% predicted in the
225 mg AZLI group. Bronchodilator use was associated with greater improvement in
FEV1, as well as greater reduction in P. aeruginosa bacterial density and higher
plasma aztreonam concentrations in the 225 mg AZLI group. Adverse events were
similar between placebo and AZLI although there was a trend toward increased
respiratory symptoms in the 225 mg AZLI group. CONCLUSION: These data support the
further development of AZLI and provide information for the design of subsequent
studies.
PMID- 18041082
TI - Mycoplasma pneumonia and pulmonary embolism in a child due to acquired
prothrombotic factors.
AB - We report on a child with Mycoplasma pneumonia, who developed an unexplained new
oxygen requirement. He was found to have an ileo-femoral thrombosis and an acute
pulmonary embolus, with positive anti-phospholipid antibodies and acquired
activated protein C resistance. These are both acquired risk factors for venous
thrombosis. He was successfully anti-coagulated and well at follow-up with
disappearance of the anti-phospholipid antibodies, and normalization of his
activated protein C activity. Children who present with Mycoplasma infections who
run an atypical course should be monitored closely for signs of thrombosis.
Thrombosis formation should also be considered in those children with
deteriorating respiratory status, but little change in radiographical findings.
Children found to have developed thrombi should be investigated with a full
thrombophilia screen to elicit both congenital and acquired risk factors, and
should be anti-coagulated appropriately.
PMID- 18041083
TI - Lung resection in cystic fibrosis: a survival analysis.
AB - Lung resection may be considered for cystic fibrosis (CF) patients showing
localized severe chronic atelectasis and/or bronchiectasis. Nonetheless,
literature on survival after surgery is scarce. This study was carried out to
assess survival time after partial lung resection. Twenty-one CF patients were
operated from 1988 to 2003 and were followed until November 30th, 2004. Survival
analysis was performed through Kaplan-Meier method. Mean age at resection was
8.09 years (SD 4.40 years) and two-thirds were females. Z-scores for height,
weight, and body mass index as well as FEV1 values showed no statistical
significance when comparing values obtained from 2 years before to 2 years after
resection. Eleven years after resection, survival probability was 93.8%. Our
results suggest that lobectomy or segmentectomy are safe procedures and should be
considered in carefully selected patients with unilateral severe symptomatic
localized and chronic persistent atelectasis and/or bronchiectasis refractory to
conservative management.
PMID- 18041087
TI - Primary central nervous system vasculitis: progress and questions.
PMID- 18041088
TI - Transient epileptic amnesia: a new epileptic syndrome in development?
PMID- 18041089
TI - The peroxisome proliferator-activated receptor-gamma agonist 1,1-bis(3'-indolyl)
1-(p-trifluoromethylphenyl)methane suppresses manganese-induced production of
nitric oxide in astrocytes and inhibits apoptosis in cocultured PC12 cells.
AB - Reactive astrogliosis is a prominent neuropathologic feature of manganism, a
neurodegenerative disorder caused by excessive accumulation of manganese (Mn) in
the basal ganglia. Activation of astrocytes has been linked to neuronal injury in
manganism resulting from overproduction of inflammatory mediators, including
tumor necrosis factor-alpha (TNFalpha), interferon-gamma (IFNgamma), interleukin
1beta (IL-1beta), and nitric oxide (NO), but the signaling mechanisms by which Mn
regulates these factors remain poorly understood. We previously reported that Mn
enhances production of NO in activated astrocytes that promotes apoptosis in
cocultured neuronal cells by a mechanism involving the transcription factor
nuclear factor-kappaB (NF-kappaB) (Liu et al., 2005). Because NF-kappaB-dependent
expression of inducible nitric oxide synthase (NOS2) can be antagonized by the
nuclear orphan receptor peroxisome proliferator-activated receptor-gamma
(PPARgamma), we postulated that a novel agonist of this receptor, 1,1-bis(3'
indolyl)-1-(p-trifluoromethylphenyl)methane (cDIM1), would suppress expression of
NOS2 in astrocytes and protect cocultured neuronal cells from apoptosis.
Submicromolar concentrations of cDIM1 potently suppressed production of NO and
expression of NOS2 in cultured astrocytes exposed to Mn and IFNgamma/TNFalpha and
prevented apoptosis in cocultures of differentiated PC12 cells, but this
neuroprotective effect was lost in the absence of astrocytes. By using
fluorescence reporter and chromatin immunoprecipitation (ChIP) assays, we found
that cDIM1 prevented activation of NF-kappaB in astrocytes by a mechanism
involving stabilization of the nuclear corepressor 2 (NCoR2) on the proximal NF
kappaB binding site of the NOS2 promoter. These data suggest that PPARgamma may
be an effective target for limiting inflammatory activation of astrocytes during
neurologic injury.
PMID- 18041091
TI - alpha-Amino-3-hydroxy-5-methyl-4-isoxazole propionate attenuates glutamate
induced caspase-3 cleavage via regulation of glycogen synthase kinase 3beta.
AB - Preconditioning of sublethal ischemia exhibits neuroprotection against subsequent
ischemia-induced neuronal death. It has been indicated that glutamate, an
excitatory amino acid, is involved in the pathogenesis of ischemia-induced
neuronal death or neurodegeneration. To elucidate whether prestimulation of
glutamate receptor could counter ischemia-induced neuronal death or
neurodegeneration, we examined the effect of alpha-amino-3-hydroxy-5-methyl-4
isoxazole propionate (AMPA), an ionotropic subtype of glutamate receptor, on
excess glutamate-induced excitotoxicity using primary cortical neuronal cultures.
We found that AMPA exerted a neuroprotective effect in a time- and concentration
dependent manner. A blocker of phosphatidylinositol-3 kinase (PI3K), LY294002 (10
microM), significantly attenuated AMPA-induced protection. In addition, Ser473 of
Akt/PKB, a downstream target of PI3K, was phosphorylated by AMPA administration
(10 microM). Glycogen synthase kinase 3beta (GSK3beta), which has been reported
to be inactivated by Akt, was phosphorylated at Ser9 by AMPA. Ser9-phosphorylated
GSK3beta or inactivated form would be a key molecule for neuroprotection, insofar
as lithium chloride (100 microM) and SB216763 (10 microM), inhibitors of
GSK3beta, also induced phosphorylation of GSK3beta at Ser9 and exerted
neuroprotection, respectively. Glutamate (100 microM) increased cleaved caspase
3, an apoptosis-related cysteine protease, and caspase-3 inhibitor (Ac-DEVD-CHO;
1 microM) blocked glutamate-induced excitotoxicity in our culture. AMPA (10
microM, 24 hr) and SB216763 (10 microM) prominently decreased glutamate-induced
caspase-3 cleavage. These findings suggest that AMPA activates PI3K-Akt and
subsequently inhibits GSK3beta and that inactivated GSK3beta attenuates glutamate
induced caspase-3 cleavage and neurotoxicity.
PMID- 18041092
TI - Gene expression and protein localization of calmodulin-dependent
phosphodiesterase during ontogenesis of chick retina.
AB - Calmodulin-dependent phosphodiesterase (PDE1) is a key enzyme in cyclic
nucleotides metabolism. We studied its gene expression and protein localization
during retinal development in chick embryos. Western blot and densitometric
analysis demonstrated that the expression of the three isoforms changed during
development. PDE1A was highly expressed at the early stages and decreased as
development proceeded. PDE1B expression remained relatively low and constant over
time. PDE1C showed a prominent increase (13-fold) between embryonic day (E) 7 and
E13, followed by a moderate increase between E13 and postnatal day (P) 1. The
presence of the enzyme in the different retinal locations was strongly modulated
by development. PDE1A immunostaining was first detected at the ganglion cell
level (E7), then in the outer retina (E15-E21). At P5, the immunostaining was
confined in the optic fiber layer. Isoform C immunolocalization followed the same
inner-outer pattern as isoform A. At 5 days posthatching (P5), the
immunoreactivity was restricted, as well as for the isoform A, in the optic fiber
layer. The isoform B immunolabelling was low and evenly distributed across the
retina at all stages. The different developmental profiles of PDE1A, PDE1B, and
PDE1C induced a temporal modulation in cyclic nucleotides concentration,
suggesting specific roles of this enzyme in the morphofunctional development of
retinal circuitry.
PMID- 18041090
TI - Mitochondrial nuclear receptors and transcription factors: who's minding the
cell?
AB - Mitochondria are power organelles generating biochemical energy, ATP, in the
cell. Mitochondria play a variety of roles, including integrating extracellular
signals and executing critical intracellular events, such as neuronal cell
survival and death. Increasing evidence suggests that a cross-talk mechanism
between mitochondria and the nucleus is closely related to neuronal function and
activity. Nuclear receptors (estrogen receptors, thyroid (T3) hormone receptor,
peroxisome proliferators-activated receptor gamma2) and transcription factors
(cAMP response binding protein, p53) have been found to target mitochondria and
exert prosurvival and prodeath pathways. In this context, the regulation of
mitochondrial function via the translocation of nuclear receptors and
transcription factors may underlie some of the mechanisms involved in neuronal
survival and death. Understanding the function of nuclear receptors and
transcription factors in the mitochondria may provide important pharmacological
utility in the treatment of neurodegenerative conditions. Thus, the modulation of
signaling pathways via mitochondria-targeting nuclear receptors and transcription
factors is rapidly emerging as a novel therapeutic target.
PMID- 18041094
TI - Early auditory deprivation alters expression of NMDA receptor subunit NR1 mRNA in
the rat auditory cortex.
AB - The expression of NMDA receptor NR1 subunit mRNA was studied in rat auditory
cortex (AC) on different postnatal days using digoxigenin-labeled oligonucleotide
probes. The results showed that NR1 expression increased from birth to postnatal
day 35 (P35) and remained constant until P56. The most significant increases
occurred between P7 and P14. Changes in NR1 mRNA expression in rats subjected to
monaural hearing deprivation on P7, P21, P35, and P49 were examined on P56.
Between P7 and P21, when the rat auditory system was still in a critical period
of development, NR1 mRNA expression was lower in the contralateral AC, which
received auditory signals from the plugged ear, than in the ipsilateral AC.
However, no significant difference was observed between the rats deprived of
hearing on P35 and those deprived of hearing on P42, the end of the critical
period of auditory development. These results showed that monaural hearing
deprivation during early postnatal development was associated with decreased NR1
mRNA expression in the contralateral AC and suggested the involvement of NR1 in
auditory function during development. They also indicated that, during postnatal
development, environmental factors changed the functional plasticity of neurons
in the AC through NR1 receptor expression. Taken together, these findings provide
a possible underlying mechanism for the development of postnatal auditory
function.
PMID- 18041095
TI - Effect of growth factors and steroids on transglutaminase activity and expression
in primary astroglial cell cultures.
AB - Type-2 transglutaminase (TG-2) is a multifunctional enzyme involved in the
regulation of cell differentiation and survival that recently has been shown to
play an emerging role in astrocytes, where it is involved in both proliferation
and differentiation processes. Growth factors (GFs) such as EGF, basic fibroblast
growth factor, insulin-like growth factor-I (IGF-I), and insulin (INS) are
trophic and mitogenic peptides that participate in neuron-glia interactions and
stimulate neuronal and astroglial proliferation and differentiation. Steroid
hormones such as glucocorticoids and estrogens also play a pivotal role in
neuronal and astroglial proliferation and differentiation and are key hormones in
neurodegenerative and neuroprotective processes. We investigated the effects of
the interaction of GFs with dexamethasone (DEX) or 17beta-estradiol (E(2)) on TG
2 activity and their expression in cultured astrocytes. We observed a significant
increase in TG-2 activity and expression in astroglial cells treated for 24 hr
with IGF-I, EGF, or INS. Priming of the cells with DEX or E(2), for 48 hr also
led to an increase in TG-2 levels. When growth factors were present in the last
24 hr of the steroid treatment, a reduction in TG-2 expression and activity and a
different subcellular TG-2 distribution were found. Our data indicate that
steroid hormone-GF interaction may play an important role in astroglial function.
The effect on TG-2 could be part of the regulation of intracellular pathways
associated with the astrocyte response observed in physiological conditions and,
possibly, also in neuropathological diseases.
PMID- 18041093
TI - Glial ensheathment of peripheral axons in Drosophila.
AB - The ensheathment of neurons and their axons creates an ion-sensitive
microenvironment that allows rapid conduction of nerve impulses. One of the
fundamental questions about axonal ensheathment is how insulating glial cells
wrap around axons. The mechanisms that underlie insulation of axons in
invertebrates and vertebrates are not fully understood. In the present article we
address cellular aspects of axonal ensheathment in Drosophila by taking advantage
of glial mutants that illustrate a range of phenotypic defects including
ensheathment of axons. From the findings of these mutant studies, we summarize
that loss of glial cells, defects in glial membrane wrapping, failure of glial
migration, and loss of specialized ladderlike septate junctions between
ensheathing glial membranes result in axon-glial functional defects. These
studies provide a broad perspective on glial ensheathment of axons in Drosophila
and key insights into the anatomical and cellular aspects of axonal insulation.
Given the powerful genetic approaches available in Drosophila, the axonal
ensheathment process can be dissected in great detail to reveal the fundamental
principles of ensheathment. These observations will be relevant to understanding
the very similar processes in vertebrates, where defects in glial cell functions
lead to devastating neurological diseases.
PMID- 18041101
TI - A panel of multiple markers associated with chronic systemic inflammation and the
risk of atherogenesis is detectable in asthma and chronic obstructive pulmonary
disease.
AB - Asthma and chronic obstructive pulmonary disease (COPD) are both lung diseases
involving chronic inflammation of the airway. The injury is reversible in asthma
whereas it is mostly irreversible in COPD. Both patients of asthma and COPD are
known at risk for cardiovascular disease (CVD) and type 2 diabetes (T2DM),
nephropathy, and cancer. We measured multiple risk markers for atherogenesis in
55 patients with asthma and 62 patients with COPD. We wanted to know whether risk
markers for atherogenesis corresponding to sequence of events of chronic
inflammation were also detectable in the airway inflammatory diseases. Elevation
of almost all markers involving inflammation of the endothelial cells in the
coronary artery were detectable in asthma and COPD involving the inflammation of
the epithelial cell lining of the airway. Both the level and % elevation of all
markers were found mostly higher in COPD, the more severe form of the lung
disease. We believe that these markers are useful for predicting risk of
developing clinical complications such as CVD.
PMID- 18041102
TI - Chronic pain and depression among geriatric psychiatry inpatients.
AB - OBJECTIVES: We examined whether chronic pain among depressed geriatric inpatients
was associated with several clinical variables-comorbid psychiatric and medical
diagnoses, length of hospitalization, suicidal ideation, and sleep duration.
METHODS: Medical charts of inpatients admitted to a geriatric psychiatry unit
over 2 years were examined retrospectively; 148 patients with a depressive
disorder were identified. Admission pain assessments were used to classify
whether patients had chronic pain. Other variables of interest were collected
from charts. RESULTS: 62% of patients reported chronic pain. In multivariate
regression analysis, depressed older adults with chronic pain were more likely to
report suicidal ideation, be diagnosed with personality disorder, have higher
medical burden, and experience decreased total sleep time compared to depressed
older adults without chronic pain. CONCLUSIONS: Chronic pain--common in depressed
older adults--may influence clinical features of depression and should be
assessed as a possible suicide risk factor. Prospective studies should examine
causal relationships and determine the effects of adequate pain treatment on
depression course and suicide risk in older adults.
PMID- 18041103
TI - Recombinant therapeutic proteins: production platforms and challenges.
AB - Since the approval of insulin in 1982, more than 120 recombinant drug substances
have been approved and become available as extremely valuable therapeutic
options. Exact copying of the most common human form is no longer a value per se,
as challenges, primarily related to the pharmacokinetics of artificial
recombinant drugs, can be overcome by diverging from the original. However,
relatively minor changes in manufacturing or packaging may impact safety of
therapeutic proteins. A major achievement is the development of recombinant
proteins capable of entering a cell. Such drugs open up completely new
opportunities by targeting intracellular mechanisms or by substituting
intracellularly operating enzymes. Concerns that protein variants would cause an
intolerable immune response turned out to be exaggerated. Although most
recombinant drugs provoke some immune response, they are still well tolerated.
This knowledge might result in a change in attitude towards antibody formation,
i.e., neutralizing antibody activity (in vitro) may be overcome by dosing
consistently on the basis of antibody titers and not only on body weight. As with
other drugs, efficacy and safety of therapeutic proteins have to be demonstrated
in clinical studies, and superiority over available products has to be proven
instead of just claimed.
PMID- 18041104
TI - Studies of diabetes, thiazolidinediones, and coronary heart disease.
PMID- 18041105
TI - Is there a connection between methylphenidate and cancer in youth?
PMID- 18041106
TI - Methylphenidate use in children and risk of cancer at 18 sites: results of
surveillance analyses.
AB - PURPOSE: A recent report linked methylphenidate (MPH) use in children to
cytologic abnormalities in plasma lymphocytes, a possible cancer biomarker. The
purpose of this study was to investigate the association of MPH use and childhood
cancer risk. METHODS: Using automated pharmacy databases and the SEER-affiliated
cancer registry of the Kaiser Permanente Medical Care Program (KPMCP), we
compared cancer rates at 18 sites among 35,400 MPH users who received it before
age 20 to rates among KPMCP membership (age, sex, and calendar year
standardized). Medical records of MPH exposed cancer cases were reviewed to
identify the presence of established risk factors. RESULTS: There were 23 cancers
among MPH users, versus 20.4 expected (standardized morbidity ratio, SMR = 1.13,
95% confidence interval (0.72, 1.70)). Given the small number of cancers, site
specific SMR estimates were imprecise. Only one SMR was statistically significant
at the p < 0.05 level, which given the number of comparisons is consistent with
the absence of a true association at any site. MPH use was associated with
increased risk of lymphocytic leukemia (SMR = 2.64 (1.14, 5.20)), based on eight
observed cases). The medical records of these exposed cases did not reveal any
lymphocytic leukemia risk factors (prior cancer, radiotherapy or chemotherapy, or
Down syndrome). CONCLUSIONS: Our results are consistent with no moderate or
strong association between MPH use and cancer risk in children, although our
ability to examine dose and duration of use or risk at specific sites was limited
by small numbers. Further study of MPH use and lymphocytic leukemia risk is
needed to determine whether our results are due to chance alone.
PMID- 18041108
TI - A nanoparticulate drug-delivery system for rivastigmine: physico-chemical and in
vitro biological characterization.
AB - The preparation and characterization of surface-PEGylated polymeric nanoparticles
are described. These systems were obtained by UV irradiation of PHM and PHM
PEG(2000) as an inverse microemulsion, using an aqueous solution of the PHM/PHM
PEG(2000) copolymer mixture as the internal phase and triacetin saturated with
water as the external phase, and characterized by dimensional analysis, zeta
potential measurements and XPS. in vitro biological tests demonstrated their cell
compatibility and their ability to escape from phagocytosis. Rivastigmine was
encapsulated into the nanoparticle structure and drug-release profiles from
loaded samples were investigated in PBS at pH = 7.4 and human plasma.
PMID- 18041109
TI - Impact of rapid molecular screening for meticillin-resistant Staphylococcus
aureus in surgical wards.
AB - BACKGROUND: This study aimed to establish the feasibility and cost-effectiveness
of rapid molecular screening for hospital-acquired meticillin-resistant
Staphylococcus aureus (MRSA) in surgical patients within a teaching hospital.
METHODS: In 2006, nasal swabs were obtained before surgery from all patients
undergoing elective and emergency procedures, and screened for MRSA using a rapid
molecular technique. MRSA-positive patients were started on suppression therapy
of mupirocin nasal ointment (2 per cent) and undiluted chlorhexidine gluconate
bodywash. RESULTS: A total of 18,810 samples were processed, of which 850 (4.5
per cent) were MRSA positive. In comparison to the annual mean for the preceding
6 years, MRSA bacteraemia fell by 38.5 per cent (P < 0.001), and MRSA wound
isolates fell by 12.7 per cent (P = 0.031). The reduction in MRSA bacteraemia and
wound infection was equivalent to a saving of 3.78 beds per year (276,220 pounds
sterling), compared with the annual mean for the preceding 6 years. The cost of
screening was 302,500 pounds sterling, making a net loss of 26,280 pounds
sterling. Compared with 2005, however, there was a net saving of 545,486 pounds
sterling. CONCLUSION: Rapid MRSA screening of all surgical admissions resulted in
a significant reduction in staphylococcal bacteraemia during the screening
period, although a causal link cannot be established.
PMID- 18041110
TI - Ca2+ responses to thyrotropin-releasing hormone and angiotensin II: the role of
plasma membrane integrity and effect of G11alpha protein overexpression on
homologous and heterologous desensitization.
AB - The molecular mechanisms involved in GPCR-initiated signaling cascades where the
two receptors share the same signaling cascade, such as thyrotropin-releasing
hormone (TRH) and angiotensin II (ANG II), are still far from being understood.
Here, we analyzed hormone-induced Ca(2+) responses and the process of
desensitization in HEK-293 cells, which express endogenous ANG II receptors.
These cells were transfected to express exogenously high levels of TRH receptors
(clone E2) or both TRH receptors and G(11)alpha protein (clone E2M11). We
observed that the characteristics of the Ca(2+) response, as well as the process
of desensitization, were both strongly dependent on receptor number and
G(11)alpha protein level. Whereas treatment of E2 cells with TRH or ANG II led to
significant desensitization of the Ca(2+) response to subsequent addition of
either hormone, the response was not desensitized in E2M11 cells expressing high
levels of G(11)alpha. In addition, stimulation of both cell lines with THR
elicited a clear heterologous desensitization to subsequent stimulation with ANG
II. On the other hand, ANG II did not affect a subsequent response to TRH. ANG II
mediated signal transduction was strongly dependent on plasma membrane integrity
modified by cholesterol depletion, but signaling through TRH receptors was
altered only slightly under these conditions. It may be concluded that the level
of expression of G-protein-coupled receptors and their cognate G-proteins
strongly influences not only the magnitude of the Ca(2+) response but also the
process of desensitization and resistance to subsequent hormone addition.
PMID- 18041112
TI - Experts call for reform of the International Monetary Fund.
PMID- 18041111
TI - Retraction notice to "the effect of dental overbite on eustachian tube
dysfunction in Iranian children" [Int J Pediatr Otorhinolaryngol 2007; 71: 325
31].
PMID- 18041113
TI - Retraction notice to "gC1qR expression in chimpanzees with resolved and chronic
infection: potential role of HCV core/gC1qR-mediated T cell suppression in the
outcome of HCV infection" [Virology 346 (2006) 324-327].
PMID- 18041114
TI - Radiographers' role in radiological reporting.
PMID- 18041115
TI - Towards the appropriate use of diagnostic imaging.
PMID- 18041116
TI - Human embryonic stem cells leap the barrier.
PMID- 18041117
TI - [Recommendation for the prevention of nosocomial infections in neonatal intensive
care patients with a birth weight less than 1,500 g. Report by the Committee of
Hospital Hygiene and Infection Prevention of the Robert Koch Institute].
PMID- 18041118
TI - Studies with vitamin E and beta-carotene as single agents do not prove lack of
benefit.
PMID- 18041119
TI - Antenatal care implications of population-based trends in Down syndrome birth
rates.
PMID- 18041120
TI - Current awareness in prenatal diagnosis.
AB - In order to keep subscribers up-to-date with the latest developments in their
field, John Wiley & Sons are providing a current awareness service in each issue
of the journal. The bibliography contains newly published material in the field
of prenatal diagnosis. Each bibliography is divided into 17 sections: 1 Reviews;
2 General Interest; 3 Normal Fetal Development; 4 Gametogenesis and Pre
implantation Diagnosis; 5 First Trimester Diagnosis; 6 Second Trimester
Diagnosis; 7 Fetal Diagnosis by Ultrasound and Other Imaging; 8 Maternal
Screening; 9 Screening for Carriers of Genetic Abnormality; 10 Technological
Developments; 11 Confined Placental Mosaicism and Uniparental Disomy; 12
Molecular Cytogenetics; 13 Fetal Cells in Maternal Circulation; 14 Fetal Therapy;
15 Psychosocial Aspects; 16 Epidemiology and Environmental Factors; 17
Developmental Pathology. Within each section, articles are listed in alphabetical
order with respect to author. If, in the preceding period, no publications are
located relevant to any one of these headings, that section will be omitted.
PMID- 18041121
TI - [Molecular-biologic analysis of avian influenza virus isolates which caused
epizootics on the south of West Siberia and in Crimea].
AB - The objective of the study was to determine reasons of poultry deaths in Crimea
Republic in December 2005 as well as isolation, identification, and comparative
analysis of pathogens, which caused epizootics in Siberia and Crimea. During
epizootic in poultry in North-East Crimea highly pathogenic avian influenza virus
H5N1 was isolated. Phylogenetic analysis of RNA sequences revealed that they
belong to one big cluster. Isolated strain was close to viruses, which caused
epizootic in July-August 2005 in the south of West Siberia. Conclusion about the
high importance of the south of West Siberia in spreading of highly pathogenic
influenza viruses H5N1 in Eurasia was made.
PMID- 18041122
TI - [Influence of probiotic enterococci on the growth of Streptococcus agalactiae].
AB - Individual features of sensitivity of some strains of group B streptococci (GBS)
to influence of 2 probiotic cultures of Enterococcus faecium (SF68 and L3) have
been studied by double agar test. E. faecium L3 strain had higher antagonistic
activity to GBS. Two genes encoding enterocins A and B as well as genes
responsible for the expression of the former two genes were found in the genome
of this strain. The supernatant and peptide extract of E. faecium L3 contained
thermostable low molecular weight peptides which inhibited growth of listeria and
GBS but at lesser extent compared with native enterococci. Obtained data allow to
suggest that antagonistic activity of enterococci against GBS may be affiliated
with production of enterocins A and B and can be increased by the presence of
other metabolites.
PMID- 18041123
TI - [Influence of doxorubicin on the development of resistance of staphylococci to
ceftriaxone].
AB - Effect of combined use of doxorubicin and ceftriaxone on 5 strains of
Staphylococcus aureus (standard S. aureus ATCC 29213 and 4 isolated strains) was
studied. The method of passages in meat-pepton broth with constant and increasing
concentrations of ceftriaxone in presence of 1/2 and 1/4 minimum inhibitory
concentration of doxorubicin was used. It has been shown that doxorubicin in such
concentrations does not influence on the development of resistance of tested
strains to ceftriaxone. The combination of doxorubicin as anti-tumor drug with
intercalary action and ceftriaxone does not increase the risk of development of
resistance of staphylococci to antibiotics, which has a matter during their
combined use.
PMID- 18041124
TI - [Etiology of nosocomial surgical infections caused by gram-negative bacteria, and
profile of their antibiotic resistance].
AB - Microbiological monitoring of microbial landscape of gram-negative bacteria-
etiological agents of nosocomial surgical infections--was performed as well as
their level of antibiotic resistance was studied. Two hundred forty-four strains
were isolated. Antimicrobial susceptibility was assessed by the method of serial
microdilutions. Spectrum of Gram-negative microorganisms was represented by 3
groups: fermenting (62.7%), nonfermenting (34.8%), and nonidentified microbes
(2.5%). Spectrum of gram-negative etiological agents of nosocomial surgical
infections was represented mainly by Pseudomonas aeruginosa, Acinetobacter
baumanii, Escherichia coli, and Klebsiella. Most active antibacterial drugs
against studied strains were carbapenems (imipenem and meropenem).
PMID- 18041125
TI - [Direct nitrate reductase method of assessment of Mycobacterium tuberculosis
susceptibility to drug].
AB - Microbiological method of direct accelerated assessment of resistance of
Mycobacterium tuberculosis to rifampicin and isoniazide was developed which is
able to detect multidrug resistant M. tuberculosis 10-21 days after obtaining of
sputum--4-5 times faster compared with the method of absolute concentrations.
Efficacy of the method was 0.93 and 0.96 during assessment of susceptibility to
rifampicin and isoniazide respectively.
PMID- 18041126
TI - [Role of heat shock proteins in developing of innate immunity reactions].
AB - Increasing interest to heat shock proteins (HSP) from biologists and medics is
connected to widespread distribution of HSP in live nature and reflects their key
role in support of life functions which is based on the unique polyfunctionality
of these biomolecules. Together with main function, which is defense of biologic
systems from stress effects, some HSP in the process of evolution acquired the
ability to incorporate in the reactions of the immune system. The in vestmen of
this protein in practical reactions of innate immunity system are described.
Analysis of mechanisms underlying the adjuvant effect of pro- and eukaryotic HSP
in innate immunity system is presented. HSP receptor structures on target cells
as well as triggered intracellular signaling pathways are described.
PMID- 18041127
TI - [Staphylococcal toxin of toxic shock syndrome].
AB - Literature data on toxic shock syndrome staphylococcal toxin (TSST-1) are
summarized; properties of Staphylococcus aureus strains producing TSST-1,
nutrient media, and factors influencing on production of TSST-1 are reviewed.
Physical and chemical properties of the toxin, its molecular characteristics,
genetic regulation of its production, mechanism of action, and diseases which it
causes are also discussed. Clinical and histologic signs of toxic shock syndrome
(TSS), its diagnostic criteria, susceptibility of people to TSS, antigenic and
serologic properties of the toxin, epidemiology of the infection caused by TSST-1
producing strains of staphylococci, methods of TSST-1 extraction and
identification are described.
PMID- 18041128
TI - [Study of the spread of aggressive behavior in patients with new-onset pulmonary
tuberculosis].
AB - Wearisome mental stress arising from experiencing aggressive sensations in the
subjectively and objectively unbearable situation may be a significant
contributor to the development of a psychosomatic disorder. A hundred and twenty
patients with new-onset pulmonary tuberculosis underwent a psychological study.
The steady-state personality trait in these patients is hostility as
overpretentiousness, susceptibility to offence, and suspiciousness. The
development of hostility in patients with pulmonary tuberculosis is associated
with masterful upbringing in their parental families, which promotes the
development of a sense of guilt, sensitivity to their criticism, restrained
actions, and inattention. The inclination of these patients to feel themselves
attacked victims forces them to mobilize their energy to repulse the aggressive
reality as they perceive, maintaining the abnormally high level of affective
tension that impairs autonomic balance in the body and makes the treatment of
tuberculosis ineffective. It is expedient to take into account the revealed
psychological characteristics of patients with pulmonary tuberculosis in the
mentally corrective constituent of a therapeutic process in order to achieve the
optimal cooperation in the physician-patient-family system.
PMID- 18041129
TI - [Pulmonary function in patients with disseminated pulmonary tuberculosis].
AB - Vital capacity (VC), forced vital capacity (FVC), forced expiratory volume in 1
second (FEV1), FEV1/VC%, PEF, MEF25%, MEF50%, MEF75%, TLS, TGV, pulmonary
residual volume (PRV), Raw, Rin, Rex, DLCO-SB, DLCO-SS, PaO2, and PaCO2 were
determined in 29 patients with disseminated pulmonary tuberculosis. Pulmonary
dysfunction was detected in 93.1% of the patients. Changes were found in lung
volumes and capacities in 65.5%, impaired bronchial patency and pulmonary gas
exchange dysfunction were in 79.3 and 37.9%, respectively. The changes in
pulmonary volumes and capacities appeared as increased PRV, decreased VC, FVC,
and TLS, decreased and increased TGV; impaired bronchial patency presented as
decreased PEF, MEF25%, MEF50%, MEF75%, and FEV1/VC% and increased Raw, Rin, and
Rex; pulmonary gas exchange dysfunction manifested itself as reduced DLCO-SS and
PaO2 and decreased and increased PaCO2. The observed functional changes varied
from slight to significant and pronounced with a preponderance of small
disorders, a lower detection rate of significant disorders, and rare detection of
very pronounced ones.
PMID- 18041130
TI - [Ordinal scales for quantification of x-ray and clinical manifestations of
infiltrative pulmonary tuberculosis].
AB - Ordinal scales for quantification of X-ray and clinical manifestations of
infiltrative pulmonary tuberculosis are proposed. Correlation analysis was made
to compare the values of the proposed ordinal scales with the
clinicohematological and clinicoimmunological parameters of a systemic reaction
to inflammation, as well as with admission body temperature and the blood levels
of lipid peroxidation products. The findings suggest the adequate validity of the
proposed scales for practical application.
PMID- 18041131
TI - [Complex of repair DNA polymerase beta with autonomous 3'-->5'-exonuclease shows
increased accuracy of DNA synthesis].
AB - The complexes of repair DNA polymerase beta with 3'-exonuclease and some other
proteins were isolated from the chromatin of hepatocytes of normal rats for the
first time. Biopolymers were extracted from the chromatin by the solution of NaCl
and Triton X-100. The extract was fractionated by gel-filtration on Sephacryl S
300 columns successively in low and high ionic strength solutions, on
hydroxyapatite, and on Sephadex G-100 columns. The complexes have molecular
weights of 100 and 300 kDa. They dissociate to DNA polymerase and exonuclease in
the course of chromatography on a DNA-cellulose column or after gel-filtration in
the presence of 1 M NaCl. The co-purification of the polymerase and exonuclease
is reconstituted in 0.1 M NaCl. The fidelity of monomeric and composite DNA
polymerase beta was measured using phage phiX174 amber 3 as a primer/template.
The products of the synthesis were transfected into Escherichia coli
spheroplasts, and the frequency of reverse mutations was determined. The complex
of DNA polymerase beta with 3'-exonuclease was shown to be 30 times more accurate
than the monomeric polymerase, which can decrease the probability of repair
mutagenesis and carcinogenesis.
PMID- 18041132
TI - [Abnormal oogenesis in barfin plaice Pleuronectes pinnifasciatus from the Amursky
Bay of the Sea of Japan].
AB - Deformed previtellogenic oocytes, oocytes with incomplete cytoplasm
vacuolization, and, 2-2.5 month prior to the spawning season, vitellogenic
oocytes with sites of homogenized yolk have been revealed during oogenesis of
barfin plaice Pleuronectes pinnifasciatus living in the Amursky Bay, one of the
most polluted areas in Peter the Great Bay of the Sea of Japan. The early onset
of yolk homogenization can point to the forthcoming resorption of oocytes in the
plaice during the spawning season. The number of oocytes with such developmental
abnormalities varied among females.
PMID- 18041133
TI - No barriers.
PMID- 18041134
TI - A friend in deed.
PMID- 18041135
TI - Home but not alone.
PMID- 18041136
TI - Personality plus.
PMID- 18041137
TI - Reel magic.
PMID- 18041138
TI - 'You cannot be yourself when you are keeping a very important part of you under
wraps'.
PMID- 18041139
TI - Being believed by the DWP shouldn't depend on a disinterested or benefits-unaware
GP.
PMID- 18041140
TI - What is so very difficult about giving clear information about medication and its
side effects when you prescribe it?
PMID- 18041141
TI - Teacher knows best.
PMID- 18041142
TI - One size doesn't fit all.
PMID- 18041143
TI - Rights and regulations.
PMID- 18041144
TI - Essential underpinning.
PMID- 18041145
TI - Network.
PMID- 18041146
TI - Anti-HIV agents. Maraviroc approved in Canada.
PMID- 18041147
TI - Anti-HIV agents. One year clinical trial results with maraviroc.
PMID- 18041148
TI - Anti-HIV agents. Maraviroc and resistance.
PMID- 18041149
TI - Anti-HIV agents. Using maraviroc in first-line therapy.
PMID- 18041150
TI - Anti-HIV agents. Another receptor blocker--INCB9471.
PMID- 18041151
TI - Anti-HIV agents. PRO 140--a receptor antibody for HIV.
PMID- 18041152
TI - Anti-HIV agents. Raltegravir (Isentress)--results after one year.
PMID- 18041153
TI - Anti-HIV agents. New drugs--hope and a degree of caution.
PMID- 18041154
TI - [The British hospital in Safed].
PMID- 18041155
TI - Leonard Bell Cox (1894-1976)- pioneer of Australian Clinical neurology.
PMID- 18041156
TI - [Slavery as an indicator of economic performance in 17th-century New Granada].
PMID- 18041158
TI - Mill's argument for other minds.
PMID- 18041157
TI - Traumatic ventricular septal defect.
PMID- 18041159
TI - Leibniz's notion of an aggregate.
PMID- 18041160
TI - Proceedings of the 47th International Symposium on Regulation of Enzyme Activity
and Synthesis in Normal and Neoplastic Tissues, September 25-26, 2006, Bologna,
Italy.
PMID- 18041161
TI - Relationship between insulin resistance and the renin-angiotensin system:
analysis for patients with essential and renovascular hypertension.
AB - Insulin resistance is frequently observed in patients with essential hypertension
(EHT), and the renin-angiotensin system (RAS) has been demonstrated to modulate
the status of insulin resistance. The aims of present study are to investigate
the relationship between systemic RAS and insulin resistance in 82 patients with
EHT and compare the impact of RAS to insulin resistance with 10 renovascular
hypertension (RVHT) patients who have a highly activated systemic RAS. From
patients who were admitted to our hospital, patients with overt diabetes and
hypertensives who had secondary HT except RVHT or chronic renal failure were
excluded. Plasma renin activity (PRA) was used as an indicator of systemic RAS
activity. HOMA-R as an index of insulin resistance and sum of immunoreactive
insulin (IRI) during glucose tolerance test (sigmaIRI) and IRI at 120 minutes
(IRI120) were used as indices of hyperinsulinemia. In the EHT patients,
circulating PRA showed an independent relationship with IRI120 and sigmaIRI after
adjusting confounding factors (IRI120: t = 2.70, p = 0.01, sigmaIRI: t = 3.05, p
< 0.001). Excluding patients who were taking angiotensin-converting enzyme
inhibitors (ACEIs) or angiotensin II type 1 receptor blocker (ARBs), the
relationship remained in univariate linear regression; after adjustment for
confounding factors, PRA showed a tendency to be correlated with sigmaIRI.
However, there was no significant relationship between PRA and indices of insulin
resistance and hyperinsulinemia in patients with RVHT. In conclusion, the
systemic RAS may modulate insulin sensitivity in EHT patients.
PMID- 18041162
TI - Effects of ACE inhibitors or beta-blockers in patients treated with the fixed
dose combination of isosorbide dinitrate/hydralazine in the African-American
Heart Failure Trial.
AB - BACKGROUND: In the A-HeFT (African-American Heart Failure Trial), treatment of
African-American patients with New York Heart Association (NYHA) class III/IV
heart failure (HF) with fixed-dose combination (FDC) of isosorbide
dinitrate/hydralazine (I/H) reduced mortality and morbidity and improved patient
reported functional status compared with standard therapy alone. OBJECTIVE: To
examine the benefit of FDC I/H in subgroups based on baseline drug therapy and to
investigate whether ACE inhibitors and/or angiotensin receptor antagonists
(angiotensin receptor blockers) [ARBs] or beta-adrenoceptor antagonists (beta
blockers) provided additional benefit in FDC I/H-treated African-American
patients with HF. STUDY DESIGN: The A-HeFT was a double-blind, placebo-controlled
study enrolling 1050 patients stabilized on optimal HF therapies and with NYHA
class III/IV HF with systolic dysfunction conducted during the years 2001-4 with
up to 18 months follow-up. The primary endpoint was a composite of mortality,
first HF hospitalization, and improvement of quality of life at 6 months.
Secondary endpoints included mortality, hospitalizations, and change in quality
of life. Prospective Kaplan-Meier survival analyses were used for differences
between FDC I/H and placebo groups and retrospective analyses were conducted
within FDC I/H-treated and placebo groups. RESULTS: Subgroup analysis for
mortality, event-free survival (death or first HF hospitalization), and HF
hospitalization showed that FDC I/H, compared with placebo, was effective with or
without ACE inhibitors or beta-blockers or other standard medications with all
point estimates favoring the FDC I/H group. Within the placebo-treated group,
beta-blockers or ACE inhibitors and/or ARBs were efficacious in improving
survival (hazard ratio [HR] 0.33; p<0.0001 for [beta]-blocker use and HR 0.39;
p=0.01 for ACE inhibitor and/or ARB use). However, within the FDC I/H-treated
group, use of beta-blockers, but not ACE inhibitors and/or ARBs, provided
additional significant benefit for survival (HR 0.44; p=0.029 and HR 0.60;
p=0.34, respectively), event-free survival (HR 0.62; p=0.034 and HR 0.72; p=0.29,
respectively) and the composite score of death, HF hospitalization and change in
quality of life (p=0.016 and p=0.13, respectively). CONCLUSION: Based on the
analysis of baseline medication use in the A-HeFT, FDC I/H was superior to
placebo with or without beta-blockers or ACE inhibitor. However, beta-blockers
but not ACE inhibitors and/or ARBs provided additional significant benefit in
African-Americans with HF treated with FDC I/H. These analyses are hypotheses
generating and their confirmation in clinical trials needs to be considered.
PMID- 18041163
TI - Murray F Brennan Fetschrift Symposium, 22-23 April 2006, New York, New York, USA.
PMID- 18041164
TI - "I am a man!" Race, masculinity, and the 1968 Memphis sanitation strike.
PMID- 18041165
TI - This haven of rest and health: the Chicago Daily News Sanitarium.
PMID- 18041166
TI - Sanitation practices, depositional processes, and interpretive contexts of
Minneapolis privies.
PMID- 18041168
TI - Catholic nuns and the invention of social work: the Sisters of the Santa Maria
Institute of Cincinnati, Ohio, 1897 through the 1920s.
PMID- 18041169
TI - Surviving the Great Depression: orphanages and orphans in Cleveland.
PMID- 18041170
TI - Infectious diseases and smallpox politics in New Mexico's Rio Abajo, 1847-1920.
PMID- 18041171
TI - Grazax: an oral vaccine for the treatment of grass pollen allergy (hay fever).
AB - Grazax is a self-administered, once-daily, tablet-based vaccine that offers an
alternative to allergy shots for adults with grass pollen allergy (hay fever).
(2) Evidence from three randomized controlled trials indicates modest
improvements in hay fever symptoms, with reduced use of medication to control
symptoms (rescue medication use) in adults who took Grazax compared with placebo.
No studies have compared Grazax with injection-based allergen immunotherapy. (3)
It is not yet known if patients treated with Grazax will have a sustained
tolerance to grass pollen following treatment discontinuation. (4) Adverse
effects of Grazax are generally mild to moderate local allergic reactions of
short duration, and include itching and swelling of the mouth, and throat
irritation. (5) If Grazax becomes widely prescribed and is covered by provincial
drug plans, the costs to the Canadian health care system and the impact on
allergy specialist services could be substantial.
PMID- 18041172
TI - Star Valley, Wyoming: polygamous haven.
PMID- 18041173
TI - Portable home hemodialysis for kidney failure.
AB - (1) Home hemodialysis has been in limited use in Canada for some time. Newer,
portable hemodialysis machines that are easier for patients to operate may
encourage the uptake of this technology. (2) One portable system is already
available in the US. The NxStage System One hemodialysis machine operates on
standard electric current, does not require plumbing or specialized disinfection,
and is small enough for patients to travel with. (3) It is not yet clear whether
the use of the NxStage system improves long-term survival and quality of life.
(4) Home hemodialysis is less costly than conventional in-centre programs, but it
is unknown whether these savings extend to portable devices.
PMID- 18041174
TI - Contextual effects of acculturation on perinatal substance exposure among
immigrant and native-born Latinas.
PMID- 18041175
TI - Beauty and the beasts.
PMID- 18041176
TI - Secrets of the Medicare fee schedule.
PMID- 18041177
TI - Academic viagra: the rise of American masculinity studies.
PMID- 18041178
TI - Ten means death.
PMID- 18041179
TI - [Comparison on molecular characteristics of human gamma D-crystallin protein and
its five mutations].
AB - OBJECTIVE: To gain further information on the mechanisms underling the
cataractogenesis by bioinformatics analysis on molecular characteristics of gamma
D-crystallin (CRYGD) protein and its five cataract-associated mutations. METHODS:
With the analyzing software in bioinformatics website, we analyzed the (R14C,
P23T, human wild-type CRYGD protein and the five reported mutations R36S, R58H
and W156X), focusing on their physical-chemical characteristics, epitopes, post
translational modification sites, functional domains, secondary and tertiary
structure. RESULT: R14C, R36S, R58H and W156X mutations resulted in a decrease in
isoelectric point. R14C brought about a new cysteine residue exposed on the
molecular surface. A decrease in local charge and a rise in local hydrophobicity
was found in R14C, R36S and R58H. Local flexibility increased in P23T, while R58H
caused a fall in local temperature. CONCLUSION: The mutations were shown to cause
changes in protein surface polarity, hydrophobicity, and spatial structure,
contributing to protein deposition and cataract formation.
PMID- 18041181
TI - Proteins associated with the termination of ocular dominance column plasticity in
Long Evans rats.
AB - PURPOSE: To investigate the mechanism of the termination of ocular dominance
column plasticity by electrophysiologic analysis and 2-dimensional
electrophoresis-mass spectrography (2-DE/MS). METHODS: The changes in ocular
dominance columns following monocular deprivation were electrophysiologically
detected in 22-day-old, 100-day-old and chondroitinase-perfused 100-day-old rats.
Total protein of grey matter of the primary visual cortex was extracted and
studied by 2-DE/MS from the three groups of rats. RESULTS: Monocular deprivation
may lead to shifts in ocular dominance columns in 22-day-old and chondroitinase
perfused 100-day-old rats, but not in 100-day-old rats. Four protein spots
present in grey matter of the primary visual cortex in 100-day-old, but not in
that of 22-day-old and chondroitinase-perfused rats, and mass spectrography
identified two of these proteins. CONCLUSIONS: The electrophysiologic results
show that ocular dominance column plasticity presents in 22-day-old rats, ends up
in 100-day-old rats and restored in chondroitinase-perfused 100-day-old rats. 2
DE/MS results show that phosphatidylethanolamine binding protein and glial
fibrillary acidic protein delta may be associated with the termination of ocular
dominance column plasticity in the rat, but need more evidence to confirm it.
PMID- 18041180
TI - [Detection of serum biomarkers for retinoblastoma using surface enhanced laser
desorption/ionization protein chip system].
AB - PURPOSE: To find new serum biomarkers in the serum of retinoblastoma (Rb) patient
with surface enhanced laser desorption/ionization time-of-flight mass
spectrometry (SELDI-TOF-MS) and protein chip technique. METHODS: SELDI-TOF-MS and
IMAC30 and CM10 protein chips were used to analyze the protein profiles from
serum of 18 patients with Rb and 17 age-matched controls. The protein profiling
was analyzed statistically by Ciphergen protein chip software 3.0.2. The t-test
was applied to compare the protein peak intensity. Fisher ratio-test was used to
compare the predominance of differential protein peaks appeared in patients.
RESULTS: With IMAC30 protein chips, there were 26 proteins appearing differently
in serum of patients with Rb compared to normal children. Among them, 21
proteins, i.e. 7746, 70146, 117136, 30496, 70846, 72,996, 5889, 2544, 12575,
5489, 9658, 9575, 9929, 10161, 8955, 1886, 10617, 6209, 2411, 7374, 6614 m/z were
up-regulated and 5 proteins, i.e. 8383, 7923, 7972, 8590, 66576 m/z, down
regulated (P < 0.001). Using the 7014 m/z protein peak, we could differentiate
the patients with Rb from the healthy children with a sensitivity of 94.4% and a
specificity of 82.4%. By CM10 protein chips, 4 proteins, including 3 up-regulated
proteins (5890, 6097, 7798 m/z) and one down-regulated protein (8593 m/z), were
detected in Rb patients (P < 0.01). The sensitivity and specificity were 83.3%
and 70.6% respectively for 7 798 m/z protein. CONCLUSION: There are a few
candidates of Rb biomarkers in the serum of Rb patient. SELDI Protein Chip
technology could be a potential method in the clinical screening test of Rb.
PMID- 18041182
TI - [Retinal gene expression profiles of MNU-induced rat retinal degeneration treated
with qijudihuangtang].
AB - PURPOSE: Our previous studies demonstrated that qijudihuangtang, a compound of
traditional Chinese medicine, protects from MNU (N-methy-N-nitrosourea)-induced
rat retina photoreceptor injury. The current study was aimed to investigate
protective effect of qijudihuangtang on the gene expression of retina in the same
model. METHODS: Thirty 46-day-old female Sprague-Dawley rats were randomly
divided into three groups (each group, n=10). The rats received a daily
intragastric administration of qijudihuangtang in drug group, and 0.9% NaCl in
model and normal groups for 4 days. On the fifth day, the rats in model and drug
groups received a single intraperitoneal injection of 40 mg/kg body weight of N
methy-N-nitrosourea (MNU). Equivalent volume of 0.9% NaCl was injected to the
rats of normal group. After 12 h of injection, all animals were sacrificed. Fresh
retinas were used to extract total RNA, which was assayed by microarray and real
time RT-PCR. The differentially expressed genes were also analyzed for the
functional annotation and signal network mapping. RESULTS: There were 75 and 118
genes differently expressed (ratio > or = 2.0) in model group versus normal group
and model group versus drug group, respectively. The former mainly included genes
upregulated and the latter included most genes downregulated. These genes were
mainly assigned to the categories binding transcription factor, signaling
molecular, receptor, nucleic binding and extracellular matrix, and involved
biological processes including signal transduction, development, immune and
defense, apoptosis. The differential expressed genes contributed to MAPK
signaling pathways, Toll-like receptor signaling pathway and apoptosis pathway.
CONCLUSION: The gene expression in the early stage of MNU-induced rat retinal
degeneration can be regulated significantly by the administration of
qijudihuangtang. These changed genes were associated with specific functional
groups.
PMID- 18041183
TI - [Aqueous humor penetration of ligustrazine hydrochloride eye drops after topical
administration in rabbit eyes].
AB - PURPOSE: To study the aqueous humor penetration of ligustrazine hydrochloride
after ocular administration in rabbits. METHODS: Eighteen rabbits were randomly
divided into 6 groups. The aqueous humor was collected at 5, 15, 30, 60, 120 and
180 minutes following topical administration of ligustrazine hydrochloride eye
drops and the concentration of ligustrazine hydrochloride was determined by HPLC.
Analytical column was Diamonsil C18 stainless steel column (250 mm x 4.6 mm, 5
microm); The mobile phase was methanol: water(62:38); Flow rate was 0.9 ml/min;
The detection wavelength was 280 nm. RESULTS: The concentrations of ligustrazine
hydrochloride in aqueous humor were (15.785 +/- 2.988) microg/mL, (11.900 +/-
1.743) microg/mL, (8.286 +/- 1.182) microg/mL, (2.745 +/- 0.807) microg/mL,
(0.379 +/- 0.091) microg/mL, (0.049 +/- 0.038) microg/mL, respectively, after a
time interval of 5 min, 15 min, 30 min, 60 min, 120 min, 180 min. The maximum
concentration was 15.785 microg/mL at 5 min, and then the concentration of
ligustrazine hydrochloride gradually decreased and declined to a lower point at
180 min. CONCLUSION: The penetration of ligustrazine hydrochloride in aqueous
humor after ocular administration was good. The results provided experimental
data for the management of eye diseases with ligustrazine hydrochloride by
topical administration.
PMID- 18041184
TI - [Effects of all-trans retinoic acid on the rat Muller cell in vitro].
AB - PURPOSE: To investigate the effects of various concentrations of all-trans
retinoic acid (RA) on the rat Muller cells in vitro. METHODS: A rat Muller cell
line was used in this study. Rat Muller cells were cultured with varying levels
of RA for 24 h to 48 h. We examined cellular morphology under phase contrast
microscope, cell proliferation using MTT assay, viable cell numbers by
hemocytometer counting and cell apoptosis with flow cytometry. RESULTS: At lower
concentration (< 0.1 microM), RA didn't change the cell's appearance and didn't
inhibit the cell proliferation (P > 0.05). However, at higher concentration (1
microM, 10 microM, 100 microM), RA could inhibit the proliferation of Muller
cells accompanied with morphological changes in a time- and dose-dependent
manner. Furthermore, different concentration of RA (5 microM, 10 microM, 20
microM) could induce cell apoptosis. When using 20 microM RA to treat cells for
48 h, a significant decrease in cell numbers and an obvious increment of
apoptotic cells were observed. The percentage of apoptotic cell was (35.87 +/-
7.40)% (P < 0.01, vs. control). CONCLUSIONS: RA can inhibit the proliferation and
induce the apoptosis of muller cells in a time- and dose-dependent manner.
PMID- 18041185
TI - [The electroretinogram of infants with nystagmus].
AB - PURPOSE: To find the characteristics and clinical application of
electroretinogram (ERG) in infants with nystagmus. METHOD: Forty-six cases (92
eyes) of infants with nystagmus (aged 2-72 months old) were tested with Neuropack
MEB-2200K system according to the ERG standard of ISCEV. RESULTS: Five kinds of
ERG responses of all subjects but one could be recorded. The abnormal rates of
the rod ERG, standard mixture ERG, oscillatory potentials, single-flash cone ERG
and 30 Hz flicker response were 65%, 86%, 61%, 77% and 62% respectively. The ERG
abnormalities were evaluated from the 5 responses. The rod ERG decreased in 5
eyes. The rod-cone ERG decreased in 11 eyes. The cone ERG decreased in 14 eyes.
The cone-rod ERG decreased in 23 eyes. The standard mixture ERG decreased in 8
eyes. The ERG was unrecordable in 8 eyes. The mild, middle, and severe decreases
of rod and cone ERG appeared in 5 eyes respectively. The normal ERG was only
recorded in 8 eyes. CONCLUSION: Abnormal rate of ERG is 91% in infants with
nystagmus. The retina abnormality may pay an important role in the pathogenesis
of nystagmus.
PMID- 18041186
TI - Eye model for inspecting the image quality of IOLs.
AB - PURPOSE: To inspect and compare the image quality of an aspheric intraocular lens
(IQ, Alcon) with those of conventional monofocal silicone and acrylic intraocular
lens and multifocal intraocular lens (Array). METHODS: The IOLs were tested in
the eye model, which was designed to be optically equivalent to the theoretical
eye model. The eye model is a combination of a spherical photographic lens with
35 mm focal length (IOL put in a water cell) and a charge coupled device (CCD)
camera. The images constructed by the lenses are observed on a monitor of
personal computer and the contrasts of the images are analyzed by using
commercial image processing software. SHARP value is used to measure and estimate
image definition. RESULTS: The images constructed by changing the diameter of
aperture stop and IOL. Observed by this eye model, the image definition of
aspheric intraocular lens (IQ, Alcon) is better than others. DISCUSSION: The
proposed eye model is useful for testing functional vision and for inspecting the
differences of intraocular lens.
PMID- 18041187
TI - [Clinical study of pro 16-slice spiral CT perfusion imaging for high myopia].
AB - PURPOSE: To study the hemodynamics of the eye-ring's microcirculation in patients
with high myopia through 16-slice spiral CT perfusion image. METHODS: Twenty
eight patients (53 eyes) with high myopia and 32 cases with emmetropia (64 eyes)
in control group were examined by GE lightspeed pro 16-slice spiral CT. The
perfusion image and the blood volume (BV) of the posterior equatorial eye-ring
were obtained and analyzed by SPSS 10.0 software. RESULTS: The BV of high myopia
(4.61 +/- 1.48)ml/ 100 g is significantly less than that of the control group
(7.72 +/- 1.92)ml/ 100 g (P < 0.01). It implies that the quantity of the small
vessel and capillary in the eye-ring of the patient with high myopia is less than
that of the control group. The diopter of high myopia has a significantly
positive correlation with the BV of the posterior equatorial eye-ring (r = 0.793,
P < 0.01). CONCLUSION: The perfusion image of 16-slice spiral CT is a quantitive
method to evaluate the hemodynamics of the high myopia eye-ring's
microcirculation.
PMID- 18041188
TI - [Progress in prevalence of primary angle closure glaucoma].
AB - Glaucoma has long been recognized as a leading cause of irreversible blindness.
According to data on the epidemiology of glaucoma, primary angle closure glaucoma
(PACG) is a major form of glaucoma in Asia. This is true especially in
populations of East Asian and Chinese descent. The characteristics of
glaucomatous epidemiology continued changing with the developments of society,
economics and environment. Epidemiologic studies use different diagnostic
criteria for the definition of PACG as well as what constitutes an occludable or
narrow drainage angle. This will affect estimates of prevalence of the condition
in different parts of the world and limit the comparison of published data.
Furthermore, the prevalence of glaucoma contributed to clinical interference
decisions. All of above are essential to glaucoma and closely related. The recent
progress in prevalence of PACG are reviewed as below.
PMID- 18041189
TI - Evaluating and selecting a chargemaster vendor.
PMID- 18041190
TI - Do your employees owe you?
PMID- 18041191
TI - Calculating days in A/R.
PMID- 18041193
TI - Surviving shift work.
PMID- 18041192
TI - Alcohol use and injury-related outcomes in older rural trauma patients.
AB - In summary, people age 65 and older are the fastest growing segment of the United
States population. As the older population increases, the risk for injuries also
increases. Several studies have established that use of alcohol is a major
contributing risk factor for unintentional injury and death in the trauma
population. The risk is even greater in the older population where smaller
amounts of alcohol may result in significant impairment and injury. Trauma
centers provide a systematic approach to the care of the trauma patient and
provide significant resources to support personnel and services necessary to
provide care for seriously injured patients. One of the essential elements of a
trauma system is prevention. This study has supported the need to routinely
screen older trauma patients for alcohol use at the time of injury event and
provide intervention strategies. Early identification and assessment of the
alcohol impaired older trauma patient provides nursing with an opprtunity to
implement intervention strategies to detect at risk and harmful drinkers and
provide education on the health risks and consequences of continuing unhealthy
behaviors. Ultimately this will assist the older trauma patient in reducing
alcohol consumption and minimize the patient's risk of developing dependence,
reduce unintentional injuries, reduce subsequent readmissions for recurrent
injuries, decrease overall health care costs, improve quality of life, and
decrease morbidity and mortality. This can make a marked impact on public health.
PMID- 18041194
TI - The communications doctor offers remedies for 11 things that hurt women's career
success.
PMID- 18041195
TI - See yourself in 4-D: how to use Appreciative Inquiry to ignite positive change.
PMID- 18041196
TI - [Epidemiological risk assessment of asbestos-related benign pleural and
parenchymal changes in workers after past exposure to chrysotile asbestos].
AB - BACKGROUND: Health effects related with asbestos dust exposure are observed many
years after its onset. The objective of the study was to evaluate the risk of
pleural and parenchymal changes depending on the duration of exposure, lapse of
time since first contact with asbestos dust, and cumulative dust exposure.
MATERIALS AND METHODS: The study population comprised 222 former workers of
asbestos processing plants. Risk assessment was based on the results of chest
radiograms and retrospective exposure measurements. The adjusted odds ratios (OR)
were used as a relative risk measure and logistic regression models were employed
in statistical analyses. RESULTS: The risk of diffuse pleural thickening in the
group of workers exposed to asbestos dust for more than 10 years (years x mg/m3)
as well as the risk of parenchyma changes, density > or = 1/1, and diffuse
pleural thickening in the group of workers employed under cumulative exposure to
asbestos dust for similar period of time were significantly higher than in the
reference group. No effect of the lapse of time since first contact with asbestos
dust on the risk of analyzed radiological changes was observed in the study
group. CONCLUSIONS: The level of cumulative exposure to asbestos should be taken
into account in the recommended frequency of preventive medical examinations of
workers.
PMID- 18041197
TI - [Assessment of occupational exposure to photon rays in Poland, 2006].
AB - BACKGROUND: This paper reports the results of the measurement of ionizing
radiation doses in people occupationally exposed to gamma or X-ray radiation in
Poland, 2006. MATERIALS AND METHODS: The whole-body dose measurements were
performed by film dosimeter method, while the hand skin dose measurements were
done using thermoluminescence dosimeters, according to AB327 (1) accreditation
procedures. RESULTS: In 2006, the whole-body measurements were performed in 3161
people employed in 3635 institutions, while the hand measurements were performed
in 805 workers of 62 institutions. Mean Hp(10) dose was 0.49 mSv, whereas mean
Hp(0.07) dose was 7.5 mSv. CONCLUSIONS: Having compared the 2006 data with those
obtained over the earlier years, it is evident that occupational exposure to X
rays has remained at the same level and the radiological protection in the health
care sector is satisfactory.
PMID- 18041198
TI - [An analysis of selected hands hygiene habits in selected population of nursing
staff].
AB - BACKGROUND: Hand washing and disinfection in the regular nursing practice is the
cheapest and the most effective way to decrease hospital infections by preventing
the microorganism transmission among patients. An attempt to analyze hand hygiene
habits among nurses was the aim of this study. MATERIALS AND METHODS: A randomly
selected group of 150 nurses (146 women and 4 men, aged 22-56, mean, 32 years)
participated in the study. RESULTS AND CONCLUSIONS: The obtained results allow to
state that hygiene rules concerning hand washing and disinfection were followed
by the members of the study group during performing most of the operations. The
only exception were procedures applied when nursing and assisting patients. The
nurses reported irritating properties of disinfectants as the most common reasons
for neglecting hand hygiene. However, they also pointed to other reasons, such as
lack of hand washing habit, laziness, lack of awareness among nurses, negligence,
routine, thoughtlessness, lack of disposable towels, and shortage of nursing
staff. A statistically significant difference in hand hygiene behavior was found
between nurses from surgical and non-surgical wards.
PMID- 18041199
TI - [Exposure to aggression at work in the health care and public service sectors].
AB - BACKGROUND: The aim of the study was to assess the frequency and forms of violent
behavior against nurses and service sector workers. MATERIAL AND METHODS: The
subjects under the study were 1163 nurses and 391 service sector workers (public
transport and postal services) aged 19-63 years. Subjects were asked to fill in
the survey questionnaire "Exposure to Aggression", which consists of 32 items and
allows for assessing the frequency of acts of aggression (both physical and
psychical) against employees in a one year period. RESULTS: Clients and patients
were the most common source of aggression in the group of employees under study;
around 90% of them experienced various forms of verbal abuse. Every second
employee was the subject of supervisor's aggression. It was also found that more
than 20% of public transport workers and around 14% of nurses were physically
abused by their clients or patients. The collected data show that aggressive
behaviors at work is a serious and challenging problem that may affect the
performance and health of employees.
PMID- 18041200
TI - [Type D personality, coping with stress and intensity of PTSD symptoms in
firefighters].
AB - BACKGROUND: Personality traits and coping strategies are regarded as determinants
of post-traumatic stress disorder (PTSD) symptoms in persons who have experienced
a traumatic event. The purpose of the study was to investigate the relationship
between type D personality, coping strategies, and PTSD symptoms. MATERIAL AND
METHODS: A group of 190 firefighters of life-saving units of the State Fire
Brigade, Lodz, participated in the study. Their mean age was 33.5 years and their
mean duration of employment was 9.2 years. Survey, the Impact Event Scale, the
DS14 scale, and Mini-COPE were used as the study techniques. RESULTS: The data
obtained from the study confirm the relationship of both dimensions, comprising
type D personality and maladaptive strategies with the increased level of PTSD
symptoms. CONCLUSIONS: A tendency to experience strong negative emotions
(negative affectivity) and coping strategies, such as self-distraction and
behavioral disengagement increase the intensity of PTSD symptoms, intrusion in
general, and hyperarousal in particular.
PMID- 18041201
TI - [Work-related stress and the Conservation of Resources Theory by Stevan Hobfoll].
AB - BACKGROUND: The Conservation of Resources Theory by Stevan Hobfoll has grown in
popularity, as a new theory of stress, since the 1980s. A general assumption of
Hobfoll's theory is that an individual has some resources at his/her disposal
which he/she greatly appreciates and is inclined to protect and never loose them.
Stress is predicted to occur as a result of circumstances that represent: (1) a
threat of resource loss, or (2) an actual loss of the resources required to
sustain the individual, and (3) the lack of reasonable gain following resource
investments. Hobfoll has developed the Conservation of Resources-Evaluation (COR
E) questionnaire as an instrument derived from his theory to measure the degree
of lost and gained resources. The questionnaire has been adapted to Polish
conditions. The aim of the study was to assess the usefulness of COR-E in the
diagnosis of work-related stress. In the literature, we have found information on
the relationship between COR-E results and depressive mood, anxiety and other
stress effects. But it should be remembered that these effects are not caused
only by stress. We would like to check whether COR-E results are correlated with
the results of the stress at work questionnaire. MATERIAL AND METHODS: The study
group comprised 157 persons. Each person completed two questionnaires: COR-E (in
Polish version) and Subjective Assessment of Work Characteristics. The later has
been developed to measure stress at work according to the psychosocial factor
approach. RESULTS: There was no relationship between reported gains of resources
and work stress, but we found very low correlation between reported lost
resources and work stress (r = 0.16; p < 0.05). Item analyses revealed that the
loss of some resources was correlated with work stress. However, much higher
correlation was observed between the results of a subscale consisting of these
items and work stress (r = 0.40; p < 0.01). CONCLUSIONS: The COR-E questionnaire
can be accepted as a new instrument to measure work stress, but further
investigations are needed to cover other occupational groups.
PMID- 18041202
TI - [Backgrounds for assessing occupational exposure to crystalline silica dust in
Poland and worldwide].
AB - Crystalline silica is an agent harmful to human health, and quite frequently
present in occupational environments. Occupational groups exposed to crystalline
silica dust include mostly workers of the mineral and coal mining as well as
metallurgical, chemical and construction industries. In the European Union (EU),
the number of those exposed to this agent is estimated at over 2 million persons.
In Poland, the number of people employed under conditions of excessive silica
dust exposure exceeds 50 thousand. The assessment of occupational exposure to
crystalline silica comprises several steps: primarily workplace determinations,
quantitative sample analyses and comparisons of the obtained results with
admissible values. This work describes a set of instruments that enables direct
comparison of the study results with admissible values binding in almost 40
countries. It also highlights the consequences resulting from the classification
of quartz and cristobalite, the most common forms of crystalline silica, as
carcinogens by the International Agency for Research on Cancer (IARC). A survey
of air sampling and dust determination methods used in various countries to
measure airborne dust concentrations of crystalline silica in occupational
environments are presented along with relevant tables. The GESTIS data base,
specifying the methods considered by EU as suitable for measuring and assessing
harmful agents, was used as the selection criterion. Particular attention was
paid to the methods used to determine crystalline silica; the effect of
analytical methods applied, sample preparation procedures, and reference
materials on the results of determinations was also analyzed. Main parameters of
method validation, such as detection and determination limits, and precision of
the analysis were compared.
PMID- 18041203
TI - [Occupational and environmental exposure to organophosphate insecticides:
exposure markers and health effects. A review paper].
AB - This paper presents a review of publications on environmental and occupational
exposure to organophosphate insecticides. Markers used in evaluation of exposure
and health effects are also discussed. The special attention was paid to exposure
of children and pregnant women.
PMID- 18041204
TI - [The incidence of tuberculosis in Poland and other E.U. countries and the Russian
Federation, Belarus and Ukraine: implications for prophylactic activities].
AB - Over the last 50 years, the number of new cases of tuberculosis (TB) has dropped
significantly in the native population of several industrialized countries, while
at the same time the steady increase in TB incidence was noted in Polish
residents born elsewhere. Since Poland is facing an increase in the number of
foreigners applying for permanent or long-term residence permit, the evaluation
of potential impact of this situation on TB incidence in our country seems
necessary. Based on the recent WHO data, TB incidence in Poland, fifteen old and
twelve new European Union members, which joined the Union in 2004 and 2007 as
well as in three neighbor countries, was compared. Screening tests for
tuberculosis are not usually covered by pre-entry examinations of foreign workers
seeking employment in Poland, therefore, it is proposed to extend screening
programs organized by local health departments to cover residents of foreign
countries.
PMID- 18041205
TI - [Work performance as a risk factor for carpal tunnel syndrome].
AB - Certification of carpal tunnel syndrome (CTS) as an occupational disease should
be based on evidence that the job performance is a dominant factor responsible
for its development. Features of the way the job is performed, which can increase
the constriction in carpal tunnel and their quantitative analysis are presented.
They include a specific position of the hand during the job performance (dorsal
bending, alternate bending and extension), e.g., overcoming resistance with
fingers, pincher grip, object catching and holding, exerting pressure on the
hand, repeated movements or work with vibratory tools. These features
characterize work of persons employed in meat processing, fitting of sub
assemblies, packing of products, or employed as supermarket cashiers. CTS
occurrence in persons working with computers and thus using a keyboard or a mouse
is now greatly limited owing to the improvement in ergonomic parameters of
computer-equipped workposts. The paper indicates CTS risk factors (carpal tunnel
size, post-traumatic lesions, rheumatoid arthritis, female gender, hormonal
changes during menopause and pregnancy, and other hormonal disorders like
hypothyreosis, diabetes, obesity, hypercholesterolemia, cigarette smoking, high
alcohol consumption), which have been very well evidenced. In the summary, the
attention was also paid to improper estimation of burden to upper limbs by
listing jobs performed and stressing the need to quantitatively define hand
burdening factors and estimate duration of such burdens.
PMID- 18041206
TI - [Combined treatment for Moya-Moya disease, by using direct anastomosis and
revascularization: experience of 225 operations].
AB - The results of 225 operations made in 140 patients with Moya-Moya disease are
analyzed. All the patients had clinical manifestations of this disease and were
examined in accordance with the approved protocol of the Unit of Neurosurgery,
Nagoya Daini Red Cross Hospital (Nagoya, Japan). The examination included
computed tomography, magnetic resonance imaging, magnetic resonance angiography,
preoperative angiography, single photon emission computed tomography with
acetazolamide challenge. The surgical method consisted of a combination of direct
arterial microanastomosis and indirect synangiosis (EMS, EDAS, EGS, cranial burr
hole). The number of surgical complications was minimal (2.9%). There were
excellent and good clinical results (disappearance of clinical symptoms or
clinical improvement) in 92.9% of cases. Postoperative examinations confirmed the
high percentage of positive results as cerebral circulation normalization in
97.1% of cases. The results of surgical treatment provided strong evidence that
progression of the disease could be prevented.
PMID- 18041207
TI - [The specific features of clinical manifestations and surgical treatment of
spastic and pain syndromes after injury to the vertebral column and spinal cord].
AB - The purpose of the investigation was to study the clinical manifestations of
spastic syndrome after injury to the vertebral column and spinal cord and to
devise its surgical treatment policy. The investigation included 21 patients with
significant spasticity or pain syndrome after severe injury to the vertebral
column and spinal cord without any potential of motor recovery. All the patients
were observed to have severe inferior paraplegia, cacesthesia following the
radicular and conduction with total hypo- or anesthesia. Pain was rated, by using
the visual analogue scale and the McGill questionnaire, spasticity was estimated
by the Ashworth scale. The patients' motor activity was also evaluated. Bilateral
rhizomyeolotomy of the dorsal root entry zone (DREZ) was performed in 10 patients
with pain syndrome; posterior partial rhizidiotomy was made in 8 patients with
spastic syndrome; 3 patients underwent epidural spinal cord stimulation. Groups
with a preponderance of pain (47%) and spastic (53%) syndromes were identified. A
clinically equal combination of spastic and pain components occurred rarely. Pain
was maximally pronounced, neuropathic, metamerically radicular, in dermatomes
from the level of injury. Leg spasticity was more marked and concurrent with
contracture. The efficiency of lateral rhizomyelotomy of DREZ in the treatment of
pain was 89% in the early period and 63% in the late (as long as 4 years) one.
That of bilateral posterior partial rhizodiotomy in the treatment of spasticity
was 75 and 75% in the early and late (as long as 2.5 years), respectively.
Rhizomyelotomy of DREZ is a pathogenetically grounded and effective procedure
when there is a preponderance of neuropathic metamerically radicular pain from
the level of injury. Bilateral posterior partial rhizidiotomy is the method of
choice in treating significant spasticity.
PMID- 18041208
TI - [Surgical treatment for anterior cerebral hernias].
AB - The paper describes the authors' experience in treating 22 patients aged 2 months
to 16 years who had congenital anterior cerebral hernias. A comprehensive
principle that consists in removing hernial defects of the pachymeninx and skull
base, excising herniation, and performing reconstructive operations in
concomitant craniofacial deformities was used in the treatment of children with
anterior encephalocele. All these surgical procedures were, if possible,
performed in one step. There were no recurrent cerebral hernias and nasal
liquorrhea was also absent. There was no progression of neurological symptoms in
all patients. None patient developed meningitis or other infectious
complications. All the parents recognized the cosmetic results of surgery as
satisfactory. Three cases of different variants of this pathology are presented
as an illustration of current surgical treatment.
PMID- 18041209
TI - [Pulmonary thromboembolism in neurosurgical patients. Part 3. Analysis of the
clinical effectiveness and safety of combined prevention of thromboembolic events
in neurosurgical patients in the perioperative period].
AB - The paper presents the results of clinical evaluation of combined prevention of
leg deep vein thrombosis and pulmonary thromboembolism in 130 neurosurgical
patients undergoing intracranial interventions for brain tumors. Combined
prevention comprises mechanical (compression knitted wear + periodic pneumatic
leg compression by a special device) and pharmacological (subcutaneous injection
of low molecular-weight heparins on postoperative day 2, followed by the daily
administration of acetylsalicylic acid from the moment of a patient's activation)
treatments. The study has indicated that this preventive procedure is effective
and at the same time safe against the development of intracranial hemorrhagic
complications.
PMID- 18041210
TI - [Spontaneous anterior meningeal arterial aneurysmal rupture].
AB - The paper describes a rare case of a patient with spontaneous rupture of
nontraumatic anterior meningeal arterial aneurysmal rupture. The patient
underwent clipping of the neck of the aneurysm and its excision. A detailed
account of intraoperative signs of this aneurysm is given. Angiographic and
morphological data and the morphological pattern confirming the true pattern of
the aneurysm are presented.
PMID- 18041211
TI - [Chondroblastoma of the skull base].
PMID- 18041212
TI - [Intraoperative radiotherapy by means of a photon radiosurgical system (PRS400)
after removal of recurring glioblastoma].
PMID- 18041213
TI - [Comprehensive approach to treating cranial ependymomas in children].
PMID- 18041214
TI - [Stereotactic radiosurgury for intracerebral metastases by means a "Leksell Gamma
Knife" unit].
PMID- 18041215
TI - [On the 70tn anniversary of discovery of tick-borne encephalitis virus in the Far
East of Russia].
PMID- 18041216
TI - [The 70th anniversary of discovery of tick-borne encephalitis. A path to true
history].
AB - The discovery of tick-borne encephalitis (TBE) in the Far East of the USSR in
1937 is marked by investigators' heroism and tragedies--diseases in the members
of the expedition and deaths when the first vaccine was designed in 1938. The
head of the expedition L. A. Zilber and two specialists were arrested on a charge
of subversive activities--spreading Japanese encephalitis in the guise of
discovering a new virus. The paper presents the archival records and
recollections of the members of the far-eastern expedition, which specify the
history of TBE discovery.
PMID- 18041217
TI - [Organization of supervision of tick-borne encephalitis and ways of its
prevention in the Russian Federation].
AB - The paper presents the data characterizing the epidemiological situation
associated with tick-borne virus encephalitis (TBVE) in the Russian Federation in
2006. There is a 22.7% reduction in morbidity as compared with 2005; however, the
situation is considered to be of strain; a rise in the incidence of TBVE is
recorded in a number of subjects of the Russian Federation. There is a high
activity of natural and anthropurgic foci of TBVE; there are as many as 250
recourses to a doctor for tick bites. In some regions, tick virus carriage has
been established at 13-29%. TBVE vaccination coverage is quite insufficient; in
many cases emergency prophylaxis is not made due to the fact that specific
immunoglobulin is in short supply whereas some areas continue to unwarrantedly
inject a serum for lack of rapidly tick virus-determining laboratories. There are
essential faults in the organization of acaricidal measures and in the propaganda
of knowledge of personal TBVE prophylaxis in the population. Tasks for increasing
the level of comprehensive prophylaxis are defined to substantially reduce TBVE
morbidity in the Russian Federation.
PMID- 18041218
TI - [Molecular genetic characteristics of tick-borne encephalitis virus].
AB - Flaviviruses from a tick-borne encephalitis virus (TBEV) complex circulate in
about all the countries widely distributed in Northern Eurasia. Complete
nucleotide sequences for genomes of different 15 tick-borne encephalitis viruses
have been determined in the past years. Phylogenetic analyses of these sequences
showed their significant genetic variability. Thus, the genetic differences in
the genomes of TBEV strains are as high as 17.3%, but the differences in the
amino acid sequences are 9%. These genetic differences permit determination of
the time of divergence of the current TBEV genovariants from the common viral
precursor in 1700 to 2100. Novel genetic variants of the Far-Eastern TBEV
subtype, which are represented by Senzhang and Glubinnoe/2004 viruses, have been
discovered in the pastyears. New variants of the same Far-Eastern subtype of
TBEV, which are able to induce hemorrhagic tick-borne encephalitis, have been
found in Western Siberia. The fact that there are considerable genetic
differences in Turkish and Spanish sheep encephalitis viruses has been
established within the European subtype of TBEV. The Siberian subtype of TBEV is
genetically more uniform; however co-circulation of the Far-Eastern and Siberian
subtypes of TBEV has been found in the natural TBEV foci of Siberia. This
suggests that there may be at present a change in the genotypes of TBEV in
different geographical regions.
PMID- 18041219
TI - [Evolution of tick-borne encephalitis and a problem of evolution of its causative
agent].
AB - The evolution of tick-borne encephalitis (TBE) is marked by the expanded
nosological area, the transformation of landscapes, the formation of anthropurgic
foci, the change of environmental systems, the increase of mortality rate mainly
among urban dwellers, as well as pathomorphism. The evolution of natural TBE
virus (TBEV) populations was studied in Eastern and Western Siberia, Middle
Urals, and the European part of the nosological area. The paper first describes
the types of evolutionary transformations of viral populations under the
conditions of a varying environmental and epidemiological situation. These
include: 1) the change of TBEV subtypes over 50-60 years; substitution of the Far
Eastern subtype for its Siberian subtype (the Sverdlovsk and Kemerovo regions);
2) the steady-state circulation of one Siberian subtype with mutanttypes being
accumulated (the Vologda region); 3) co-existence of the Far-Eastern and Siberian
subtypes with the common vector Ixodes persulcatus (the Yaroslavl and Irkutsk
regions, etc.); 4) original mixed TBEV strains including the gene sites of
proteins E and NSI of two subtypes. There is new evidence that the Siberian
subtype is able to induce focal TBE forms, leading to death.
PMID- 18041220
TI - [The present knowledge of tick-borne encephalitis vectors].
AB - The most important aspects of the relationships of tick-borne encephalitis virus
and its vectors--Ixodes ticks. These include the establishment of a rule of viral
exchange between the co-fed ticks, which makes its reverse transfer from nymphs
to larvae possible; enhancement of tick aggressiveness under the influence of the
incremental concentrations of the virus increasing their epidemiological danger;
the association of the spread of viral genospecies with the genetic structure of
tick populations; the emergence of phenotypically different vector subpopulations
with new vector properties (under the influence of anthropogenic factors); and
the elucidation of a possible role of the virus as an enhancer in mixed viral and
bacterial infections of tick vectors.
PMID- 18041221
TI - [Prevention of tick-borne encephalitis at the present stage: strategy and
tactics].
AB - The paper discusses the prevention of tick-borne encephalitis (TBE) under the
conditions of a varying epidemiologic situation. High mortality, a change in its
structure, an expansion of a nosological area, an increase in the number of
anthropurgic foci in the suburbs and towns and cities, the detection of combined
foci and the development of mixed forms of tick-borne infections, and clinical
pathomorphism suggest that novel approaches to preventing this severe viral
disease are urgent. The comprehensive nature of a prophylaxis system should
envisage the use of tried-and-true specific and nonspecific measures against the
entire group of tick-borne infections. Cohort vaccination should be considered as
a priority measure in high TBE endemic areas. The prophylaxis system should be
differentiated and adequate to the specific epidemic situation in the endemic
regions.
PMID- 18041222
TI - [Prevention of tick-borne encephalitis: current aspects].
AB - The authors consider the present tick-borne encephalitis situation in our country
and prospects for this infection control.
PMID- 18041223
TI - [Current aspects of the clinical picture of tick-borne encephalitis].
AB - Based on the long-term follow-up of 655 patients with different forms of tick
borne encephalitis (TBE), the authors describe its present clinical
characteristics in the Baikal natural focus. They have established the
preponderance of the meningeal form of the infection and the relatively severe
course with residual phenomena and a fatal outcome in patients with the
poliomyelitic form of TBE.
PMID- 18041225
TI - [The metabolic syndrome and risk of stroke in a rural community in Japan].
AB - PURPOSE: To clarify the association between the metabolic syndrome (MS) and
stroke incidence with a prospective cohort study in a rural community. METHODS:
We selected 4,627 people aged > or =40 years without any past history of stroke
from total 4,672 participants of health checkups during 1996 to 1998 in O City,
Ehime prefecture. These were followed until Dec 31, 2002 and assessed for
incident stroke based on the stroke registration system in the whole community.
MS was defined using baseline data for waist circumference and three risk
factors: elevated blood pressure, dyslipidemia, and glucose intolerance. Sex and
age-adjusted relative risks (RR) for incident stroke were estimated using Cox's
proportional hazard model, and population attributable risks (PAR) were also
calculated. RESULTS: During the mean 5.7 year-follow-up, 88 incident strokes (50
in men and 38 in women) were recorded; 11.4% cerebral hemorrhage; 5.7%
subarachnoid hemorrhage; and 83.0% cerebral infarction. Percentages of
individuals with MS in the stroke and non-stroke groups were 6.8% and 6.4%,
respectively, with no significant different between the two. The highest PAR was
found among individuals with a normal waist and one risk factor (36.3%). The sex
and age-adjusted RR for MS and incident stroke was not significantly elevated,
using subjects with a normal waist circumference and 0 risk factors as a
reference group (RR, 1.00); however, the RR of persons with a normal waist level
plus one or more risks was 2.53 (95% CI, 1.14-5.58), and that for individuals
with a high waist circumference and > or = 1 risk factors, including MS, was 2.66
(95% CI, 1.14-6.21). CONCLUSION: The risk of incident stroke is increased in
people with risk factor aggregation, regardless of visceral fat conditions. Since
the PAR was higher for people with a normal waist circumference than a high waist
circumference in this population, the results suggest that a health policy
focusing on MS for stroke prevention would not be effective in rural communities
in Japan.
PMID- 18041226
TI - [Trends in mortality from intractable diseases in Japan, 1972-2004].
AB - PURPOSE: In 1972, the Ministry of Health, Labour and Welfare of Japan defined
intractable diseases as those with unknown etiology, no established treatment
regimens, and severe sequelae of physical, mental and social difficulties. Since
then, the Ministry has promoted scientific research on these diseases and offered
financial support to those suffering from their effects. The purpose of the
present study was to analyze trends in deaths from the diseases in Japan over the
period from 1972-2004. METHODS: For the selected intractable diseases with 100
deaths or more per year, crude (CDR) and direct age-standardized death rates
(ADR) were computed using the national underlying-cause-of-death mortality
database of Japan based on International Classification of Diseases. Joinpoint
regression analysis was applied to identify significant changes in the trends.
RESULTS: The CDRs in the latest observed year per 1 million persons/year) for
males and females were 25.55 and 25.93, respectively, for Parkinson's disease,
5.41 and 6.92 for aplastic anemia, 0.87 and 3.50 for systemic lupus
erythematosus, 2.93 and 2.36 for amyloidosis, 1.40 and 1.54 for polyarteritis
nodosa, 1.34 and 1.61 for idiopathic thrombocytopenic purpura, and 1.02 and 0.74
for ulcerative colitis. The respective annual percentage changes (APCs) for males
and females during the overall period decreased for ulcerative colitis (-5.2% and
-7.5%), aplastic anemia (-3.6% and -3.7%), idiopathic thrombocytopenic purpura (
2.1% and -3.0%), and systemic lupus erythematosus (-0.9% and -2.6%), while the
APCs increased for amyloidosis (+3.3% and +3.5%), polyarteritis nodosa (+3.2% and
+4.0%), and Parkinson's disease (+0.7% in males alone). With the APCs in the
latest trend phase, polyarteritis nodosa and Parkinson's disease in females
showed appreciable declines; on the other hand, amyloidosis in males demonstrated
the significant increase, and ulcerative colitis in males exhibited an apparent
leveling off of the decline. CONCLUSION: The ADRs for most of the intractable
diseases have declined significantly in Japan over the last 3 decades. The
decline might be attributed in large part to improved diagnosis and treatment
because of the lack of effective primary prevention measures. Support for the
affected patients and further research on etiology and radical cure of the
diseases must be considered necessary.
PMID- 18041224
TI - [Complex environmental and virological monitoring in the Primorye Territory in
2003-2006].
AB - The paper presents the results of monitoring of viruses of Western Nile (WN),
Japanese encephalitis (JE), tick-borne encephalitis (TBE), Geta, Influenza A, as
well as avian paramicroviruses type I (virus of Newcastle disease (ND)) and type
6 (APMV-6) in the Primorye Territory in 2003-2006. Totally throughout the period,
specific antibodies to the viruses were detected by neutralization test in wild
birds (7.3%, WN; 8.0%, Geta; 0.7% Batai; 2.8%, Alpine hare (Lepus timidus); by
hemagglutination-inhibition test in cattle (11.4% WN; 5.9%, JE; j 3.0%, TBE;
11.6%, Geta), horses (6.1, 6.8, 0, and 25.3%, respectively), and pigs (5.4, 1.5,
0, and 5.9%, respectively) by enzyme immunoassay (IgG) in human beings (0.8, 0.5,
6.8, and 3.2%, respectively. Reverse-transcription polymerase chain reaction (RT
PCR) was used to reveal RNA of the NP segment of influenza A virus in 57.9 and
65% of the cloacal swabs from wild and domestic birds, respectively; and the HA
segment of subtype HH was not detected in 2005. HA/H5 RNA was recorded in 5.5 and
6.7% of the swabs from wild and domestic birds, respectively; 6% of the specimens
from domestic birds were M-segment positive in 2006. RNA of influenza A virus
NA/H7 and RNA was not detected throughout the years. In 2004, the cloacal swabs 8
isolated influenza A strains: two H3N8 and two H4N8 strains from European teals
(Anas crecca), two (H3N8 and H6N2) strains from Baikal teals (A. formosa), one
(H10N4) strain from shovelers (A. clypeata), and one (H4N8) from garganeys (A.
querquedula). In 2004, one ND virus strain was isolated from the cloacal swabs
from European teals (A. crecca). RT-PCR revealed RNA of this virus in some 8 more
cloacal swabs from black ducks (A. poecilorhyncha) (3 positive specimens),
pheasants (Phasianus colchicus) (n = 2), garganeys (A. querquedula) (n = 1),
gadwalls (A. strepera) (n = 1), and geese (Anser anser domesticus) (n = 1).
Sequencing of the 374-member fragment of the ND virus F gene, which included a
proteolytic cleavage site, could assign two samples to the weakly pathogenetic
variants of genotype 1, one sample to highly pathogenic variants of genotype 3a,
five to highly pathogenic ones of genotype 5b. Isolation of APMV-6 (2003) from
common egrets (Egretta alba) and geese (Ans. anser domesticus) is first
described.
PMID- 18041228
TI - [Relationships between smoking and the health locus of control among junior high
school students].
AB - PURPOSE: To clarify effects of the Health Locus of Control (HLC) on smoking
behavior, relationships between smoking and HLC among junior high school students
were examined. METHODS: The subjects of the initial study, conducted in 1991,
were public elementary schoolchildren in their 3rd year (11-12 years old). We
then investigated the same children again in 1994 and 1997. We here mainly used
data for 265 students (136 males and 129 females) obtained in 1997 when they were
public junior high school students in their 3rd year (14-15 years old).
Questionnaires included items on smoking experience, smoking intention and the
Parcel & Meyer's Children's HLC scales. RESULTS: 1. Smoking experience was not
associated with the HLC. 2. Concerning smoking intention among boys, the neutral
group expressed stronger beliefs in the powerful others HLC in 1994 and 1997 than
the positive group. In addition, the positive group expressed weaker beliefs in
the powerful others HLC in 1994 than the negative group. 3. Concerning smoking
intention among girls, the neutral group expressed stronger belifs in the
powerful others HLC in 1997 than the negative group. CONCLUSION: Smoking
experience was not associated with the HLC. However, smoking intention was
significantly associated with beliefs in the powerful others HLC. In this regard,
the neutral group tended to have strong beliefs in the powerful others HLC
suggesting that students in this group might be easily affected by other people
in both positive and negative ways. In other words, they must be guided in a good
fashion through appropriate health education.
PMID- 18041227
TI - [Age and cause of death contributing to reduction of disparity in age-adjusted
overall mortality between males in Okinawa and mainland Japan].
AB - OBJECTIVES: We here propose a new index, the difference-change contribution
proportion, to quantify contributions of age and cause of death to differences in
age-adjusted overall mortality disparities between two groups over time. The
present study was conducted with the aim of elucidating age and cause of death
contributions to the recent decrease in disparity in life expectancy between
males in Okinawa and mainland Japan. The longer-term goal is to apply the
findings to developing appropriate preventive measures against diseases for
Okinawa people. METHODS: Changes in disparity between age-adjusted overall
mortality of male populations of Okinawa and Japan nationwide during a 15-year
period, between 1985 and 2000, were measured and difference-change contribution
proportions for age-adjusted overall mortality were computed. RESULTS: Causes of
death that showed high difference-change contribution proportions included
cerebral infarction (24.26%), cardiac failure (18.45%), other cerebrovascular
diseases (15.11%), malignant gastric neoplasms (11.89%), ischemic heart disease
(11.06%), hepatic disease (10.93%), suicide (5.71%), and diabetes (5.36%). Of
these, cerebral infarction, cardiac failure, other cerebrovascular diseases,
malignant gastric neoplasm, ischemic heart disease, and diabetes indicated high
difference-change contribution proportions among seniors 65 years old and above,
while hepatic disease and suicide ranked highly among the middle-aged.
CONCLUSION: The results of this study revealed the extent of age and cause of
death contributions to the decreasing disparity observed between populations of
Okinawa and Japan nationwide in male age-adjusted overall mortality. The causes
of death and the age revealed by this study are critical to taking preventive
measures against diseases. Moreover, this study confirmed that the difference
change contribution proportion is a useful method to quantify contributions of
age and cause of death to changes in variation regarding life expectancy.
PMID- 18041229
TI - [Analysis of parents' thoughts regarding their judgments and responses when their
children were suddenly taken ill: parents' fear, peace of mind, coping behavior
and demands of government in the free-answer question].
PMID- 18041230
TI - From my perspective. The fragmented and labyrinthine schematics that define our
current health care system regularly frustrate surgeons' efforts to deliver, and
patients' attempts to receive, optimal care.
PMID- 18041231
TI - The surgical hospitalist: a new solution for emergency surgical care?
PMID- 18041232
TI - Trauma funding for Tennessee: the incredible journey.
PMID- 18041233
TI - 2007 state legislative activity.
PMID- 18041234
TI - Evidence-Based Reviews in Surgery to begin its seventh year.
PMID- 18041235
TI - A roadmap to safe surgical care: a view from Pennsylvania.
AB - An effort to make operations safe is realistic if surgeons are committed. Such an
effort involves educating surgeons about safe practices based on current
knowledge of best practices, including team training and talking to patients. It
involves identifying leaders and developing appropriate infrastructure for
academic activities. It also involves the collection of information needed to
identify safe and unsafe situations. The potential advantages of a drive for safe
surgery should be fewer complications, less care per patient, lower costs, and
less liability.
PMID- 18041236
TI - Spreadsheet to the bed sheet.
PMID- 18041237
TI - NTDB datapoints: walk the line.
PMID- 18041238
TI - Randomized prospective comparison of visian toric implantable collamer lens and
conventional photorefractive keratectomy for moderate to high myopic astigmatism.
AB - PURPOSE: To compare the Visian Toric Implantable Collamer Lens (TICL), a toric
phakic intraocular lens (IOL), and photorefractive keratectomy (PRK) in the
correction of moderate to high myopic astigmatism. METHODS: This prospective,
randomized study consisted of 43 eyes implanted with the TICL (20 bilateral
cases) and 45 eyes receiving PRK with mitomycin C (22 bilateral cases) with
moderate to high myopia (-6.00 to -20.00 diopters [D] sphere) measured at the
spectacle plane and 1.00 to 4.00 D of astigmatism. All patient treatment and
follow-up occurred at the Naval Medical Center San Diego. Study follow-up was 1
day, 1 week, 1, 3, 6, and 12 months postoperative. RESULTS: Mean best spectacle
corrected visual acuity (BSCVA), change in BSCVA, proportion of cases with
improvement of 1 or more lines of BSCVA, proportion of cases with BSCVA and
uncorrected visual acuity (UCVA) 20/12.5 or better, proportion of cases with
BSCVA and UCVA 20/16 or better (6 months, 88% vs 54%, P=.002), and predictability
+/-1.00 D (6 months, 100% vs 67%, P<.001) were all significantly better in the
TICL group than the PRK group at all time periods studied postoperatively.
Similarly, contrast sensitivity, tested at both the 5% photopic level and the 25%
mesopic level, was significantly better at all postoperative time points in the
TICL group. Mean spherical equivalent refraction was closer to emmetropia (0.28+/
0.41 vs 0.76+/-0.86, P=.005), and predictability +/-0.50 D and stability of
manifest refraction (+/-0.50 D and +/-1.00 D) were significantly better in the
TICL group at all postoperative visits through 6 months. Mean astigmatism
correction at 6 months was not significantly different between the two groups
(0.52+/-0.33 vs 0.46+/-0.35, P=.450). CONCLUSIONS: The TICL performed better than
PRK in all measures of safety (BSCVA), efficacy (UCVA), predictability, and
stability in this comparison, supporting the TICL as a viable alternative to
existing refractive surgical treatments.
PMID- 18041239
TI - The Kelman Duet phakic intraocular lens: 1-year results.
AB - PURPOSE: To evaluate the efficacy and safety of the Kelman Duet phakic
intraocular lens (PIOL) for correction of moderate and high myopia. METHODS:
Kelman Duet PIOLs were implanted in 169 eyes of 110 patients with moderate or
high myopia. In all cases, implantation of the lens was feasible through an
incision of less than 2.5 mm without complications. Uncorrected visual acuity
(UCVA), manifest refraction, best spectacle-corrected visual acuity (BSCVA),
intraocular pressure, central corneal endothelial change, and complications were
recorded preoperatively and during 12 months of follow-up. RESULTS: Following
PIOL implantation, 23 (13.61%) eyes required LASIK retreatment for the correction
of residual astigmatic refractive error and were excluded from the refractive
analysis. Best spectacle-corrected visual acuity remained the same or improved in
95.04% of eyes, 81.30% of eyes (100) were within +/-1.00 diopter (D) of
emmetropia, and 57.72% (71) were within +/-0.50 D. Intraocular pressure increased
slightly in the first 4 weeks postoperatively but stabilized to the preoperative
level by 3 months. Mean endothelial cell loss at 12 months was 5.43%. Oval pupils
developed in 10.1% of eyes. Haptic exchange or reposition was performed in 7.76%
of cases. CONCLUSIONS: The Kelman Duet PIOL was safe and effective for the
correction of moderate to high myopia in this study with 1-year follow-up and
offered the advantage of using a 2.5-mm or less incision width. Accurate haptic
sizing remains a challenge and haptic exchange to correct haptic size mismatching
is possible.
PMID- 18041241
TI - Central corneal thickness measurements in unoperated eyes and eyes after PRK for
myopia using Pentacam, Orbscan II, and ultrasonic pachymetry.
AB - PURPOSE: To compare central corneal thickness measurements obtained in unoperated
eyes and eyes after myopic photorefractive keratectomy (PRK) using a rotating
Scheimpflug camera (Pentacam), a scanning slit corneal topography system (Orbscan
II), and ultrasonic pachymetry. METHODS: Corneal thickness was measured using
Pentacam, Orbscan II, and ultrasonic pachymetry in 25 unoperated eyes (unoperated
group), 24 eyes 1 to 3 months after myopic PRK (early postoperative PRK group),
and 21 eyes 4 months or more after myopic PRK (late postoperative PRK group).
RESULTS: In the unoperated group, corneal thickness measurements were similar for
all three methods (P=.125). In the early postoperative PRK group, Orbscan
measurements were thinner than Pentacam and ultrasonic measurements by a mean of
69.4 microm and 63.4 microm (P<.001 and P=.002, respectively). In the late
postoperative PRK group, Orbscan measurements were thinner than Pentacam
measurements by a mean of 36.0 microm (P=.017). Pentacam and ultrasonic
pachymetry measurements were similar for all three groups with a mean difference
of approximately 10 microm. CONCLUSIONS: Following myopic PRK, Pentacam was
comparable to ultrasonic pachymetry in measuring corneal thickness, whereas
Orbscan measurements were thinner.
PMID- 18041240
TI - Wavefront analysis comparison of LASIK outcomes with the femtosecond laser and
mechanical microkeratomes.
AB - PURPOSE: To evaluate differences related to ocular aberrations after customized
LASIK for myopia using three different microkeratomes. METHODS: Charts of 410
patients who underwent customized LASIK with the Alcon LADARVision4000 excimer
laser were reviewed. Patients were stratified according to the device used to
create the flap: Moria M2 microkeratome, Bausch & Lomb Hansatome microkeratome,
or IntraLase laser. The difference between the wavefront pre- and postoperative
value received a positive or a negative sign if the change occurred toward or
away from zero, respectively, and it was compared to preoperative minus
postoperative manifest refraction spherical equivalent (MRSE). RESULTS: Patients
showed increase in the aberration level after LASIK with the three devices used
in this study. IntraLase spherical aberration change tended to be better than
mechanical microkeratomes for higher MRSE values (IntraLase compared to
Hansatome, P < or = .023 for MRSE values > or = 4.00 diopters [D]; IntraLase
compared to Moria, P < or = .015 for MRSE values > or = 2.00 D). For total
aberrations, the improvement values for IntraLase tended to be higher than those
for Moria (IntraLase compared to Moria, P < or = .021 for MRSE values > or = 3.00
D). For total higher order aberrations, IntraLase values tended to be better than
Moria and Hansatome microkeratomes (IntraLase compared to Hansatome, P < or =
.047 for MRSE values between 3.00 and 8.00 D; IntraLase compared with Moria, P <
or = .002 for MRSE values > or = 2.00 D). Change in coma root-mean-square was
similar for the three groups. CONCLUSIONS: The findings suggest the femtosecond
laser provides a better platform for LASIK than the commonly used microkeratomes
analyzed in this study.
PMID- 18041242
TI - Comparison of central corneal thickness measured with Orbscan and Pentacam.
AB - PURPOSE: To compare central corneal thickness measurements obtained with Orbscan
II using the acoustic equivalent correction factor (CF) and Pentacam in eyes
screened for refractive surgery. METHODS: Central corneal thickness in 91 eyes of
51 volunteers with a spherical equivalent refraction ranging from -17.00 to +5.50
diopters (D) (mean: -3.75+/-4.19 D) was measured with both Orbscan II (CF) and
Pentacam prior to refractive surgery. RESULTS: Central comeal thickness
measurements ranged from 438 to 610 microm with Orbscan II (CF) and from 472 to
634 microm with Pentacam. The differences between Pentacam and Orbscan II (CF)
measurements ranged from -43 to +52 microm (mean: -13.98+/-14.79 microm); these
differences were statistically significant (P<.0001). No correlation was found
between the difference in central corneal thickness and refractive error.
CONCLUSIONS: These results suggest measurements obtained with the Orbscan II (CF)
are thinner than those obtained with the Pentacam. Further studies are needed to
determine which instrument is more accurate in measuring central corneal
thickness.
PMID- 18041243
TI - Medennium posterior chamber phakic refractive lens to correct high myopia.
AB - PURPOSE: To determine the efficacy and safety of phakic refractive lens
implantation to correct high myopia. METHODS: In this prospective study, a phakic
refractive lens was implanted in 90 myopic eyes in which refractive errors ranged
from -6.00 to -20.00 diopters (D) and laser refractive surgery was
contraindicated. Uncorrected visual acuity (UCVA) and best-spectacle corrected
visual acuity (BSCVA), manifest and cycloplegic refractions, and intraocular
pressure (IOP) were assessed during a 1-year follow-up period. Possible
complications, including endothelial cell counts, were evaluated. RESULTS:
Spherical equivalent refraction measurements revealed a significant change from
the preoperative mean value of -11.90+/-5.00 D to 0.04+/-0.20 D 1 year
postoperatively (P=.001). The UCVA and BSCVA significantly improved
postoperatively (P=.001 and P=.01, respectively). Seventy-two (80%) eyes and 61
(68%) eyes were within +/-1.00 D and +/-0.50 D of the target refraction,
respectively. A significant increase in IOP was found at every postoperative
visit (P=.01). There was a trend toward decreased endothelial cell density
postoperatively, although the difference did not reach significance. No major
complications were found during the 1-year follow-up period. CONCLUSIONS: The
implantation of a phakic refractive lens seems to be a predictable and well
tolerated procedure for correcting high myopia. Complications such as development
of cataract, implant dislocation, decreases in endothelial cell counts, or
development of glaucoma did not occur in this study.
PMID- 18041244
TI - Conductive keratoplasty for presbyopia: 3-year results.
AB - PURPOSE: To assess the long-term safety, efficacy, and stability of conductive
keratoplasty (CK) in the treatment of presbyopia. METHODS: Ten near-plano
presbyopic patients (6 women and 4 men) underwent unilateral CK with standard
pressure technique in the non-dominant eye to improve their near vision. Mean age
was 51+/-3.1 years (range: 46 to 56 years). Nine of the 10 patients were
available for both 1- and 3-year follow-up examinations. RESULTS: Preoperative
mean manifest refraction spherical equivalent (MRSE) was -0.17+/-0.29 diopters
(D), yielding a mean near uncorrected visual acuity (UCVA) of J10. Three years
after CK, the mean near UCVA was J3. The mean MRSE at 3 years was -1.06+/-0.81 D,
which represents a 0.25 D change from the MRSE at 1 year. The MRSE in the
dominant untreated eyes had a +0.26 D change during the 3-year period, which was
not statistically different when compared to the CK-treated eyes during the 3
year postoperative period. No eye lost best spectacle-corrected visual acuity or
had induced cylinder > or =0.75 D. Seventy-eight percent had binocular distance
UCVA 20/20 or better and near UCVA J3 or better. The average keratometry remained
stable at 45.09 D 3 years postoperatively compared to 45.08 D 1 year
postoperatively. CONCLUSIONS: Conductive keratoplasty for the treatment of
presbyopia provided safe, effective, predictable, and stable results 3 years
following the initial surgery. Refractive stability was similar for both the CK
treated and untreated eyes with a small hyperopic shift noted during the 3-year
follow-up period.
PMID- 18041245
TI - Detection of cyclotorsional rotation during excimer laser ablation in LASIK.
AB - PURPOSE: Positionally induced cyclotorsion could be an important factor in the
correction of astigmatism during refractive surgery. We analyzed the change in
cyclotorsional rotation during excimer laser ablation in LASIK surgery using the
NIDEK Torsion Error Detector (TED). METHODS: One hundred ten patients (192 eyes)
who underwent LASIK for myopic astigmatism, using the NIDEK Advanced Vision
Excimer Laser (NAVEX) were measured for cyclotorsion during surgery using the TED
system. The manifest refraction of these patients was -6.80+/-2.74 diopters (D)
(range: -1.00 to -13.75 D). The iris pattern of the patient's eyes in the supine
position was recorded via a CCD camera in the EC5000CXII excimer laser system,
and it was compared to the iris pattern acquired during OPD-Scan measurement in
the sitting position. RESULTS: During laser ablation, the degree of
cyclotorsional rotation detected by TED was 1.33+/-1.88 degrees (range: -6.33 to
2.99 degrees) clockwise and 1.00+/-1.79 degrees (range: -3.70 to 7.34 degrees)
counterclockwise. The absolute degree of torsion error detected by the TED system
was 2.33+/-1.16 degrees (range: 0 to 6.21 degrees). CONCLUSIONS: The
effectiveness of the cylinder treatment can be reduced due to torsion errors. The
degree of cyclotorsion constantly changes during laser ablation. Therefore, a
monitoring system should be developed for the measurement of torsion error, and
this will enable the maximum possible correction of the error during laser
ablation.
PMID- 18041246
TI - Corneal epithelial damage during LASIK: a review of 1873 eyes.
AB - PURPOSE: To assess the incidence, risk factors, management, and sequelae of
intraoperative epithelial damage during LASIK using a linearly advancing
microkeratome. METHODS: Chart review of 1873 eyes (956 patients) that underwent
primary LASIK using the Automated Corneal Shaper microkeratome and the VISX Star
S2 excimer laser. The main outcome measure was the incidence of intraoperative
epithelial damage (patch of loosened epithelium with or without any frank
epithelial defect). Univariate and multivariate logistic regression were
performed to identify risk factors for intraoperative epithelial damage. RESULTS:
Intraoperative epithelial damage occurred in 31 (1.66%) of 1873 eyes. The
incidence of intraoperative epithelial damage increased with increasing patient
age (odds ratio [OR] 1.095/decade; 95% confidence interval [CI] 1.002 to 1.197,
P=.045) and increasing years of contact lens wear (OR 1.136, 95% CI 1.024 to
1.261, P=.016). No correlation was found for gender, corneal curvature, central
corneal thickness, microkeratome plate depth, or preoperative or postoperative
refraction. The risk of intraoperative epithelial damage was significantly higher
in the second eye if damage occurred in the first eye (66.7% versus 0.67%, OR
298.7, CI 78.2 to 1141.2, P<.001). Epithelial damage was managed successfully
intraoperatively in all 31 eyes; recurrent corneal erosion was noted 4 months
postoperatively in 1 eye but resolved after anterior stromal puncture.
CONCLUSIONS: The risk for intraoperative epithelial damage during LASIK increases
with patient age, years of contact lens wear, and intraoperative epithelial
damage in the first eye during simultaneous bilateral LASIK, but with proper
intraoperative management, postoperative sequelae are rare.
PMID- 18041247
TI - Geometric customization of optical and transition zone parameters for treatment
of compound myopic astigmatism with the NIDEK EC-5000 excimer laser.
AB - PURPOSE: To compare the refractive outcome of two ablation zone designs using
LASIK for the correction of compound myopic astigmatism. METHODS: This
retrospective study compared refractive outcomes in two groups of patients
undergoing LASIK. In the circular treatment group, 45 eyes were corrected with
circular optical and transition zones of 6 mm and 6.5 mm, respectively. In the
customized treatment group, 45 eyes were corrected with optical and transition
zones enlarged along the flat axis to 6.5 mm and 7.5 mm, respectively. P values
<.05 were considered statistically significant. RESULTS: Mean preoperative
spherical equivalent refraction was -5.36+/-2.02 diopters (D) and -5.36+/- 2.13 D
for the circular and customized treatment groups, respectively. Mean
postoperative spherical equivalent refraction was -0.09+/-0.74 D and -0.11+/-0.42
D for the circular and customized treatment groups, respectively. Mean cylinder
was 0.49+/-0.39 D and 0.22+/-0.43 D for the circular and customized treatment
groups, respectively (P<.001). Postoperative blur strength index was 0.68+/-0.44
D and 0.39+/-0.27 D in the circular and customized treatment groups, respectively
(P<.001). Uncorrected visual acuity was significantly better in the customized
treatment group compared with the circular treatment group (P<.05). The gain in
lines of best spectacle-corrected visual acuity was significantly greater in the
customized treatment group compared with the circular treatment group (P<.001).
CONCLUSIONS: An elliptical ablation zone for treating compound myopic astigmatism
results in better efficacy, safety, and lower tissue removal than a circular
treatment zone. This may be due to the geometric optimization provided by the
enlargement of the optical and transition zones along the flat meridian.
PMID- 18041248
TI - Intraoperative autorefraction for combined phakic intraocular lens explantation
and cataract surgery.
AB - PURPOSE: To evaluate intraoperative autorefraction during combined phakic
intraocular lens (PIOL) explantation and cataract surgery. METHODS: Phakic
intraocular lens explantation was followed by crystalline lens emulsification and
reformation of the anterior chamber with balanced salt solution. Autorefraction
was performed intraoperatively with the Nikon Retinomax 2, and the IOL power was
calculated using a formula for myopic eyes: IOL for emmetropia = 1.3 x aphakic
spherical equivalent refraction + 1.45. RESULTS: Nineteen myopic eyes of 15
patients with anterior or posterior chamber PIOL (including 6 eyes that had
undergone photorefractive keratectomy) were treated. Two months postoperatively,
mean spherical equivalent refraction was -0.56+/-0.40 diopters (D) (range: 0 to
1.50 D). CONCLUSIONS: In myopic eyes, intraoperative auto-refraction provided a
simple and reliable method to calculate IOL power in combined PIOL explantation
and cataract surgery.
PMID- 18041249
TI - Nine-year follow-up of a posterior chamber phakic IOL in one eye and LASIK in the
fellow eye of the same patient.
AB - PURPOSE: To compare the long-term results (9 years) of LASIK in one eye and
phakic intraocular lens (implantable contact lens [ICL]) implantation in the
fellow eye of the same patient. METHODS: A patient with high myopia underwent
LASIK with a MEL 60 excimer laser in one eye (spherical equivalent refraction
9.75 diopters [D], 5-mm optical zone with no transition zone) and phakic
intraocular lens (STAAR Collamer implantable contact lens [ICL]) implantation
(spherical equivalent refraction -9.50 D) in the fellow eye. RESULTS: At 9 years
postoperatively, the mean spherical equivalent refraction was -1.00 in the eye
with the ICL and -1.75 D in the eye that underwent LASIK. During the first 6
postoperative months in the LASIK eye, refraction regressed, but remained stable
during the remainder of follow-up. Uncorrected visual acuity was 20/25 in the eye
with the ICL and 20/30 in the LASIK eye, whereas best spectacle-corrected visual
acuity was 20/20 in both eyes. Less night vision problems (glare and halos) were
experienced in the eye with the ICL compared to the LASIK eye. Although the
patient initially preferred the LASIK procedure, at last follow-up 9 years
postoperatively, increased overall satisfaction was reported for the eye with the
ICL compared to the LASIK eye. CONCLUSIONS: Nine years after treatment of high
myopia with the ICL and LASIK in the same patient, better quality of vision,
stability, and satisfaction score were achieved in the eye with the ICL compared
to the eye that had undergone LASIK. No long-term sight-threatening complications
were found during followup.
PMID- 18041250
TI - Fifteen-year follow-up after LASIK: case report.
AB - PURPOSE: To present 15-year follow-up of one of the first LASIK-treated patients.
METHODS: A 40-year-old woman underwent LASIK in the left eye for myopia in July
1991. RESULTS: Fifteen years after LASIK, spherical equivalent error was
statistically significantly reduced from preoperative -8.75 -3.75 x 025 degrees
to postoperative -4.25 -2.75 x 010 degrees. Six months postoperatively,
refractive and topographic stability was obtained and remained stable during
follow-up with no significant changes between interval mean time (-3.75 -3.00 x
020 degrees 6 months postoperatively to -4.25 -2.75 x 010 degrees 15 years
postoperatively). No early or late postoperative complications were observed, and
confocal microscopy revealed a regenerated nerve plexus and normal cornea.
However, increased scattering and presence of debris were observed at the flap
interface even after 15 years. Despite moderate predictability (residual
refractive error) and small optical zone, the patient was satisfied with final
outcome. CONCLUSIONS: One of the first LASIK-treated patients was presented 15
years after surgery. LASIK in this patient had low predictability, although
refractive and topographic stability occurred after the sixth postoperative
month. No long-term, sight-threatening complications were identified during
follow-up.
PMID- 18041251
TI - Bilateral keratectasia after photorefractive keratectomy.
AB - PURPOSE: To report the clinical features, management, and outcome of a patient
who developed bilateral ectasia after photorefractive keratectomy (PRK). METHODS:
Case report of a 35-year-old man who underwent bilateral PRK. Preoperative
uncorrected visual acuity was 20/200 in the right eye and 20/100 in the left eye.
The patient's history was unremarkable and he denied a family history of ocular
disorders. RESULTS: Two weeks after surgery, the patient presented with loss of
visual acuity in both eyes. Uncorrected visual acuity was 20/80 in the right eye
and 20/200 in the left eye. Objective refraction could not be obtained. Slit-lamp
microscopy showed corneal thinning in both eyes. After examining the patient's
family, his sister was found to have clinical and topographic keratoconus.
CONCLUSIONS: Ectasia is a rare complication of PRK. We report the occurrence of
bilateral ectasia after PRK in a patient with asymmetric bowtie topographies. We
recommend that refractive surgery, even surface techniques such as PRK, be
avoided in patients with a family history of keratoconus.
PMID- 18041252
TI - Correcting highly aberrated eyes using large-stroke adaptive optics.
AB - PURPOSE: To investigate the optical performance of a large-stroke deformable
mirror in correcting large aberrations in highly aberrated eyes. METHODS: A large
stroke deformable mirror (Mirao 52D; Imagine Eyes) and a Shack-Hartmann wavefront
sensor were used in an adaptive optics system. Closed-loop correction of the
static aberrations of a phase plate designed for an advanced keratoconic eye was
performed for a 6-mm pupil. The same adaptive optics system was also used to
correct the aberrations in one eye each of two moderate keratoconic and three
normal human eyes for a 6-mm pupil. RESULTS: With closed-loop correction of the
phase plate, the total root-mean-square (RMS) over a 6-mm pupil was reduced from
3.54 to 0.04 microm in 30 to 40 iterations, corresponding to 3 to 4 seconds.
Adaptive optics closed-loop correction reduced an average total RMS of 1.73+/
0.998 to 0.10+/-0.017 microm (higher order RMS of 0.39+/-0.124 to 0.06+/-0.004
microm) in the three normal eyes and 2.73+/-1.754 to 0.10+/-0.001 microm (higher
order RMS of 1.82+/-1.058 to 0.05+/-0.017 microm) in the two keratoconic eyes.
CONCLUSIONS: Aberrations in both normal and highly aberrated eyes were
successfully corrected using the large-stroke deformable mirror to provide almost
perfect optical quality. This mirror can be a powerful tool to assess the limit
of visual performance achievable after correcting the aberrations, especially in
eyes with abnormal corneal profiles.
PMID- 18041253
TI - Effects of Zernike wavefront aberrations on visual acuity measured using
electromagnetic adaptive optics technology.
AB - PURPOSE: This study measured the changes in visual acuity induced by individual
Zernike ocular aberrations of various root-mean-square (RMS) magnitudes. METHODS:
A crx1 Adaptive Optics Visual Simulator (Imagine Eyes) was used to modify the
wavefront aberrations in nine eyes. After measuring ocular aberrations, the
device was programmed to compensate for the eye's wavefront error up to the 4th
order and successively apply different individual Zernike aberrations using a 5
mm pupil. The generated aberrations included defocus, astigmatism, coma, trefoil,
and spherical aberration at a level of 0.1, 0.3, and 0.9 microm. Monocular visual
acuity was assessed using computer-generated Landolt-C optotypes. RESULTS:
Correction of the patients' aberrations improved visual acuity by a mean of 1
line (-0.1 logMAR) compared to best sphero-cylinder correction. Aberrations of
0.1 microm RMS resulted in a limited decrease in visual acuity (mean +0.05
logMAR), whereas aberrations of 0.3 microm RMS induced significant visual acuity
losses with a mean reduction of 1.5 lines (+0.15 logMAR). Larger aberrations of
0.9 microm RMS resulted in greater visual acuity losses that were more pronounced
with spherical aberration (+0.64 logMAR) and defocus (+0.62 logMAR), whereas
trefoil (+0.22 logMAR) was found to be better tolerated. CONCLUSIONS: The
electromagnetic adaptive optics visual simulator effectively corrected and
generated wavefront aberrations up to the 4th order. Custom wavefront correction
significantly improved visual acuity compared to best-spectacle correction.
Symmetric aberrations (eg, defocus and spherical aberration) were more
detrimental to visual performance.
PMID- 18041254
TI - On-eye performance of custom wavefront-guided soft contact lenses in a habitual
soft lens-wearing keratoconic patient.
AB - PURPOSE: To assess visual, optical, and fitting characteristics for wavefront
guided soft contact lenses produced for one habitual soft lens-wearing moderate
keratoconic eye. METHODS: A process for production and evaluation of custom
wavefront-guided soft contact lenses was developed. Wavefront aberrations were
quantified with the COAS-HD wavefront sensor (Wavefront Sciences); soft contact
lenses containing both high and low order aberrations were designed with custom
software and produced using an ophthalmic lens lathe. Photopic high and low
contrast logMAR visual acuity were recorded with the lens in place over an
artificial 5-mm pupil and residual 2nd to 10th order root-mean-square (RMS)
aberrations were analyzed over a 5-mm pupil. Comparisons were made to the eye's
habitual toric soft contact lens using t tests. RESULTS: Photopic high contrast
values for habitual and final custom contact lenses for a 5-mm pupil were 0.07+/
0.06 and -0.08+/-0.05, respectively. Photopic low contrast values were 0.73+/
0.06 and 0.62+/-0.07, respectively. Habitual and final custom correction low
order RMS over a 5-mm pupil were 2.08 and 0.34 microm, and high order RMS levels
were 0.77 and 0.39 microm, respectively. CONCLUSIONS: The final custom contact
lens showed 1.5 lines of improvement for photopic high contrast (P=.03) and 1
line for photopic low contrast (P=.11) over a 5-mm pupil compared to habitual
correction. Low and high order aberrations were reduced by 84% and 50% over a 5
mm pupil, respectively. Further improvements in performance of custom lenses may
be achieved with further wavefront iterations.
PMID- 18041255
TI - Analysis of the optical performance of presbyopia treatments with the defocus
transfer function.
AB - PURPOSE: A variety of techniques for treating presbyopia are available. However,
these techniques are often disparate in the mechanisms used to cope with the lack
of accommodation. Multizone-refractive, diffractive, and apodized intraocular
lens technologies are currently being used. This article evaluates the optical
performance of these markedly different technologies in a simple and comparable
manner. METHODS: The Defocus Transfer Function is a mathematical technique for
illustrating the Optical Transfer Function for all levels of defocus. By
calculating the Defocus Transfer Function, the simulated performance of
presbyopia correction technologies on distance, intermediate, and near vision can
be evaluated simultaneously. Simulations of an opaque annular ring, a zonal
refractive lens, a full-aperture diffractive lens, and an apodized diffractive
lens are analyzed at pupil sizes of 3, 4, and 6 mm with this technique. RESULTS:
The opaque annulus begins to act like a Fresnel amplitude zone plate for small
pupils. The diffractive lens technologies had sharp optical performance at two
distinct foci with a shift in performance from balanced to distance-biased for
the apodized diffractive lens. The zonal refractive lens exhibited a multifocal
effect. However, the optical performance of this technology fell below that of
the diffractive lenses. CONCLUSIONS: The Defocus Transfer Function is a useful
tool for analyzing presbyopia treatments. Different strategies for creating
simultaneous vision or extended depth of field are easily compared. It may also
be possible to reverse this technique to create an ideal pupil function that
meets a desired multifocal performance specification.
PMID- 18041256
TI - Astigmatic refraction using peaks of the interferogram Fourier transform for a
Talbot Moire interferometer.
AB - PURPOSE: To calculate the spectacle correction from a Talbot Moire wavefront
sensor using the location of peaks in the Fourier transform of the interferogram
image. METHODS: A relationship was developed between the spectacle correction for
an ocular wavefront and the location of Fourier transform peaks in the aberrated
interferogram. These spectral peaks were located to sub-pixel accuracy using a
two-dimensional polynomial fit. A Talbot Moire wavefront system was developed to
collect examination images from an astigmatic model eye and human eyes. The
authors' Fourier transform calculation procedure was applied to captured
interferogram and results were tabulated. RESULTS: Five examinations were made of
the astigmatic model eye. The mean sphere and cylinder were -4.26 D (SD = 0.004)
and -2.90 D (SD = 0.008), respectively. The axis for all measurements was 92
degrees. These values were consistent with the model eye design parameters. The
patient was a 46-year-old man with best spectacle-corrected visual acuity of
20/20. Ten examinations were made of the patient's eye. The mean sphere and
cylinder were -0.09 D (SD = 0.11) and -1.45 D (SD = 0.14), respectively. The mean
axis was 69.1 degrees (SD = 1.29 degrees). The calculation time was 46 ms per
image running on a 3.6-GHz PC using 512x512 fast Fourier transforms. This
processing time is fast enough for real-time acquisition and display of a
patient's refractive state. CONCLUSIONS: The Fourier transform calculations
provided a fast and simple method of determining the spectacle correction in an
aberrated ocular wavefront imaged by a Talbot Moire wavefront sensor.
PMID- 18041257
TI - Stability of wavefront aberrations during the daytime after 6 months of overnight
orthokeratology corneal reshaping.
AB - PURPOSE: To evaluate the stability of wavefront aberrations during the daytime
after 6 months of overnight orthokeratology corneal reshaping. METHODS: A
prospective study of 26 eyes using the Ultravision BE lens design during 6 months
of overnight wear. Uncorrected visual acuity (UCVA), cycloplegic refraction, and
wavefront aberrometry were measured at 8 AM (within 1 hour after awakening and
removing lenses), 1 PM (5 to 6 hours after lens removal), and 6 PM (10 to 12
hours after lens removal). RESULTS: There was no significant difference in UCVA
between 8 AM, 1 PM, and 6 PM (P=.383). Spherical power from wavefront aberrometry
showed significant regression from 8 AM to 1 PM (P<.001) and stabilized near zero
diopters. Total root-mean-square (RMS) increased and higher order aberration RMS
and defocus (Z4) decreased between 8 AM and 1 PM (P<.001) but did not change for
the rest of the day (P>.001). There was no statistically significant change in
astigmatism (Z3 and Z5) (P=.449) and coma (Z7 and Z8) (P=.145) between 8 AM, 1
PM, and 6 PM. Spherical aberration (Z12) showed regression throughout the day
(P<.001). CONCLUSIONS: After 6 months of overnight orthokeratology wear, some
optical aberrations showed regression during the day. Despite no significant
change in UCVA during 10 to 12 hours, there was a significant increase in defocus
(Z4) within the first 5 hours after removing the orthokeratology lens and a
decrease in spherical aberration (Z12) throughout the day.
PMID- 18041258
TI - Impact of an improved combination of signals from array coils in diffusion tensor
imaging.
AB - An improved method for the combination of signals from array coils is presented
as a way to reduce the influence of the noise floor on the estimation of
diffusion tensor imaging (DTI) parameters. By an optimized combination of signals
from the array channels and complex averaging of measurements, this method leads
to a significant reduction of the noise bias. This combination algorithm allows
computation of accurate tensors by using the simple two point method and is shown
to provide results similar to the ones obtained using the standard signal
combination and a nonlinear regression method with noise parameter estimation. In
many applications, the use of this combination method would result in a scan time
reduction in comparison to the current standard. The effects of the improved
combination on diffusion decay curves, fractional anisotropy maps, and apparent
diffusion coefficient (ADC) profiles are demonstrated.
PMID- 18041259
TI - Accuracy of q-space related parameters in MRI: simulations and phantom
measurements.
AB - The accuracy of q-space measurements was evaluated at a 3.0-T clinical magnetic
resonance imaging (MRI) scanner, as compared with a 4.7-T nuclear magnetic
resonance (NMR) spectrometer. Measurements were performed using a stimulated-echo
pulse-sequence on n-decane as well as on polyethylene glycol (PEG) mixed with
different concentrations of water, in order to obtain bi-exponential signal decay
curves. The diffusion coefficients as well as the modelled diffusional kurtosis
K(fit) were obtained from the signal decay curve, while the full-width at half
maximum (FWHM) and the diffusional kurtosis K were obtained from the displacement
distribution. Simulations of restricted diffusion, under conditions similar to
those obtainable with a clinical MRI scanner, were carried out assuming various
degrees of violation of the short gradient pulse (SGP) condition and of the long
diffusion time limit. The results indicated that an MRI system can not be used
for quantification of structural sizes less than about 10 microm by means of FWHM
since the parameter underestimates the confinements due to violation of the SGP
condition. However, FWHM can still be used as an important contrast parameter.
The obtained kurtosis values were lower than expected from theory and the results
showed that care must be taken when interpreting a kurtosis estimate deviating
from zero.
PMID- 18041260
TI - New perspectives on the sources of white matter DTI signal.
AB - A minimalist numerical model of white matter is presented, the objective of which
is to help provide a biological basis for improved diffusion tensor imaging (DTI)
analysis. Water diffuses, relaxes, and exchanges in three compartments
intracellular, extracellular, and myelin sheath. Exchange between compartments is
defined so as to depend on the diffusion coefficients and the compartment sizes.
Based on the model, it is proposed that an additive "baseline tensor" that
correlates with intraaxonal water volume be included in the computation.
Anisotropy and tortuosity calculated from such analysis may correspond better to
tract ultrastructure than if calculated without the baseline. According to the
model, reduced extracellular volume causes increased baseline and reduced
apparent diffusion. Depending on the pulse sequence, reduced permeability can
cause an increase in both the baseline and apparent diffusion.
PMID- 18041261
TI - Simulations of short-time diffusivity in lung airspaces and implications for S/V
measurements using hyperpolarized-gas MRI.
AB - We demonstrate a method for simulating restricted diffusion of hyperpolarized
gases in lung airspaces that does not rely on an idealized analytic model of
alveolar structure. Instead, the restricting geometry was generated from digital
representations of histological sections of actual lung tissue obtained from a
rabbit model of emphysema. Monte-Carlo simulations of restricted diffusion were
performed in the short-time-scale regime, for which the time-dependent
diffusivity is quantitatively related to the surface-to-volume ratio (S/V) of the
pore space. In each of the eight samples studied, the S/V extracted from the
simulated time-dependent diffusivity curves differed by less than 3% from direct
assessment of S/V using image-processing methods. Simulated MRI measurements of
apparent diffusion coefficients (ADCs) were performed in three representative
lung sections to determine the effect of realistic gradient pulse shapes on the
extracted S/V values. It was confirmed that ADCs measured at short diffusion
times using either narrow or square gradient pulses yield accurate S/V values
based on previously derived theoretical relationships. Simulations of triangular
and sinusoidal diffusion-sensitizing gradients were then used to quantify the
modifications required to extract accurate S/V values from ADC measurements
obtained using more realistic gradient waveforms.
PMID- 18041262
TI - A unified computational framework for deconvolution to reconstruct multiple
fibers from diffusion weighted MRI.
AB - Diffusion magnetic resonance imaging (MRI) is a relatively new imaging modality
which is capable of measuring the diffusion of water molecules in biological
systems noninvasively. The measurements from diffusion MRI provide unique clues
for extracting orientation information of brain white matter fibers and can be
potentially used to infer the brain connectivity in vivo using tractography
techniques. Diffusion tensor imaging (DTI), currently the most widely used
technique, fails to extract multiple fiber orientations in regions with complex
microstructure. In order to overcome this limitation of DTI, a variety of
reconstruction algorithms have been introduced in the recent past. One of the key
ingredients in several model-based approaches is deconvolution operation which is
presented in a unified deconvolution framework in this paper. Additionally, some
important computational issues in solving the deconvolution problem that are not
addressed adequately in previous studies are described in detail here. Further,
we investigate several deconvolution schemes towards achieving stable, sparse,
and accurate solutions. Experimental results on both simulations and real data
are presented. The comparisons empirically suggest that nonnegative least squares
method is the technique of choice for the multifiber reconstruction problem in
the presence of intravoxel orientational heterogeneity.
PMID- 18041263
TI - Clinical DT-MRI estimation, smoothing, and fiber tracking with log-Euclidean
metrics.
AB - Diffusion tensor magnetic resonance imaging (DT-MRI or DTI) is an imaging
modality that is gaining importance in clinical applications. However, in a
clinical environment, data have to be acquired rapidly, often at the expense of
the image quality. This often results in DTI datasets that are not suitable for
complex postprocessing like fiber tracking. We propose a new variational
framework to improve the estimation of DT-MRI in this clinical context. Most of
the existing estimation methods rely on a log-Gaussian noise (Gaussian noise on
the image logarithms), or a Gaussian noise, that do not reflect the Rician nature
of the noise in MR images with a low signal-to-noise ratio (SNR). With these
methods, the Rician noise induces a shrinking effect: the tensor volume is
underestimated when other noise models are used for the estimation. In this
paper, we propose a maximum likelihood strategy that fully exploits the
assumption of a Rician noise. To further reduce the influence of the noise, we
optimally exploit the spatial correlation by coupling the estimation with an
anisotropic prior previously proposed on the spatial regularity of the tensor
field itself, which results in a maximum a posteriori estimation. Optimizing such
a nonlinear criterion requires adapted tools for tensor computing. We show that
Riemannian metrics for tensors, and more specifically the log-Euclidean metrics,
are a good candidate and that this criterion can be efficiently optimized.
Experiments on synthetic data show that our method correctly handles the
shrinking effect even with very low SNR, and that the positive definiteness of
tensors is always ensured. Results on real clinical data demonstrate the
truthfulness of the proposed approach and show promising improvements of fiber
tracking in the brain and the spinal cord.
PMID- 18041264
TI - Diffusion tensor analysis with invariant gradients and rotation tangents.
AB - Guided by empirically established connections between clinically important tissue
properties and diffusion tensor parameters, we introduce a framework for
decomposing variations in diffusion tensors into changes in shape and
orientation. Tensor shape and orientation both have three degrees-of-freedom,
spanned by invariant gradients and rotation tangents, respectively. As an initial
demonstration of the framework, we create a tunable measure of tensor difference
that can selectively respond to shape and orientation. Second, to analyze the
spatial gradient in a tensor volume (a third-order tensor), our framework
generates edge strength measures that can discriminate between different
neuroanatomical boundaries, as well as creating a novel detector of white matter
tracts that are adjacent yet distinctly oriented. Finally, we apply the framework
to decompose the fourth-order diffusion covariance tensor into individual and
aggregate measures of shape and orientation covariance, including a direct
approximation for the variance of tensor invariants such as fractional
anisotropy.
PMID- 18041265
TI - A computational framework for the statistical analysis of cardiac diffusion
tensors: application to a small database of canine hearts.
AB - We propose a unified computational framework to build a statistical atlas of the
cardiac fiber architecture from diffusion tensor magnetic resonance images (DT
MRIs). We apply this framework to a small database of nine ex vivo canine hearts.
An average cardiac fiber architecture and a measure of its variability are
computed using most recent advances in diffusion tensor statistics. This
statistical analysis confirms the already established good stability of the fiber
orientations and a higher variability of the laminar sheet orientations within a
given species. The statistical comparison between the canine atlas and a standard
human cardiac DT-MRI shows a better stability of the fiber orientations than
their laminar sheet orientations between the two species. The proposed
computational framework can be applied to larger databases of cardiac DT-MRIs
from various species to better establish intraspecies and interspecies statistics
on the anatomical structure of cardiac fibers. This information will be useful to
guide the adjustment of average fiber models onto specific patients from in vivo
anatomical imaging modalities.
PMID- 18041266
TI - Probabilistic inference on Q-ball imaging data.
AB - Diffusion-weighted magnetic resonance imaging MRI) and especially diffusion
tensor imaging (DTI) have proven to be useful for the characterization of the
microstructure of brain white matter structures in vivo. However, DTI suffers
from a number of limitations in characterizing more complex situations. The most
notable problem occurs when multiple fibre bundles are present within a voxel. In
this paper, we have expanded the existing Q-ball imaging method to a Bayesian
framework in order to fully characterize the uncertainty around the fibre
directions, given the quality of the data. We have done this by using a recently
proposed spherical harmonics decomposition of the diffusion-weighted signal and
the resulting Q-ball orientation distribution function. Moreover, we have
incorporated a model selection procedure which determines the appropriate
smoothness of the orientation distribution function from the data. We show by
simulation that our framework can indeed characterize the posterior probability
of the fibre directions in cases with multiple fibre populations per voxel and
have provided examples of the algorithm's performance on real data where this
situation is known to occur.
PMID- 18041267
TI - A fuzzy, nonparametric segmentation framework for DTI and MRI analysis: with
applications to DTI-tract extraction.
AB - This paper presents a novel fuzzy-segmentation method for diffusion tensor (DT)
and magnetic resonance (MR) images. Typical fuzzy-segmentation schemes, e.g.,
those based on fuzzy C means (FCM), incorporate Gaussian class models that are
inherently biased towards ellipsoidal clusters characterized by a mean element
and a covariance matrix. Tensors in fiber bundles, however, inherently lie on
specific manifolds in Riemannian spaces. Unlike FCM-based schemes, the proposed
method represents these manifolds using nonparametric data-driven statistical
models. The paper describes a statistically-sound (consistent) technique for
nonparametric modeling in Riemannian DT spaces. The proposed method produces an
optimal fuzzy segmentation by maximizing a novel information-theoretic energy in
a Markov-random-field framework. Results on synthetic and real, DT and MR images,
show that the proposed method provides information about the uncertainties in the
segmentation decisions, which stem from imaging artifacts including noise,
partial voluming, and inhomogeneity. By enhancing the nonparametric model to
capture the spatial continuity and structure of the fiber bundle, we exploit the
framework to extract the cingulum fiber bundle. Typical tractography methods for
tract delineation, incorporating thresholds on fractional anisotropy and fiber
curvature to terminate tracking, can face serious problems arising from partial
voluming and noise. For these reasons, tractography often fails to extract thin
tracts with sharp changes in orientation, such as the cingulum. The results
demonstrate that the proposed method extracts this structure significantly more
accurately as compared to tractography.
PMID- 18041268
TI - Tensor splines for interpolation and approximation of DT-MRI with applications to
segmentation of isolated rat hippocampi.
AB - In this paper, we present novel algorithms for statistically robust interpolation
and approximation of diffusion tensors-which are symmetric positive definite
(SPD) matrices-and use them in developing a significant extension to an existing
probabilistic algorithm for scalar field segmentation, in order to segment
diffusion tensor magnetic resonance imaging (DT-MRI) datasets. Using the
Riemannian metric on the space of SPD matrices, we present a novel and robust
higher order (cubic) continuous tensor product of B-splines algorithm to
approximate the SPD diffusion tensor fields. The resulting approximations are
appropriately dubbed tensor splines. Next, we segment the diffusion tensor field
by jointly estimating the label (assigned to each voxel) field, which is modeled
by a Gauss Markov measure field (GMMF) and the parameters of each smooth tensor
spline model representing the labeled regions. Results of interpolation,
approximation, and segmentation are presented for synthetic data and real
diffusion tensor fields from an isolated rat hippocampus, along with validation.
We also present comparisons of our algorithms with existing methods and show
significantly improved results in the presence of noise as well as outliers.
PMID- 18041269
TI - Representing diffusion MRI in 5-D simplifies regularization and segmentation of
white matter tracts.
AB - We present a new five-dimensional (5-D) space representation of diffusion
magnetic resonance imaging (dMRI) of high angular resolution. This 5-D space is
basically a non-Euclidean space of position and orientation in which crossing
fiber tracts can be clearly disentangled, that cannot be separated in three
dimensional position space. This new representation provides many possibilities
for processing and analysis since classical methods for scalar images can be
extended to higher dimensions even if the spaces are not Euclidean. In this
paper, we show examples of how regularization and segmentation of dMRI is
simplified with this new representation. The regularization is used with the
purpose of denoising and but also to facilitate the segmentation task by using
several scales, each scale representing a different level of resolution. We
implement in five dimensions the Chan-Vese method combined with active contours
without edges for the segmentation and the total variation functional for the
regularization. The purpose of this paper is to explore the possibility of
segmenting white matter structures directly as entirely separated bundles in this
5-D space. We will present results from a synthetic model and results on real
data of a human brain acquired with diffusion spectrum magnetic resonance imaging
(MRI), one of the dMRI of high angular resolution available. These results will
lead us to the conclusion that this new high-dimensional representation indeed
simplifies the problem of segmentation and regularization.
PMID- 18041270
TI - A probabilistic model-based approach to consistent white matter tract
segmentation.
AB - Since the invention of diffusion magnetic resonance imaging (dMRI), currently the
only established method for studying white matter connectivity in a clinical
environment, there has been a great deal of interest in the effects of various
pathologies on the connectivity of the brain. As methods for in vivo tractography
have been developed, it has become possible to track and segment specific white
matter structures of interest for particular study. However, the consistency and
reproducibility of tractography-based segmentation remain limited, and attempts
to improve them have thus far typically involved the imposition of strong
constraints on the tract reconstruction process itself. In this work we take a
different approach, developing a formal probabilistic model for the relationships
between comparable tracts in different scans, and then using it to choose a
tract, a posteriori, which best matches a predefined reference tract for the
structure of interest. We demonstrate that this method is able to significantly
improve segmentation consistency without directly constraining the tractography
algorithm.
PMID- 18041271
TI - Automatic tractography segmentation using a high-dimensional white matter atlas.
AB - We propose a new white matter atlas creation method that learns a model of the
common white matter structures present in a group of subjects. We demonstrate
that our atlas creation method, which is based on group spectral clustering of
tractography, discovers structures corresponding to expected white matter anatomy
such as the corpus callosum, uncinate fasciculus, cingulum bundles, arcuate
fasciculus, and corona radiata. The white matter clusters are augmented with
expert anatomical labels and stored in a new type of atlas that we call a high
dimensional white matter atlas. We then show how to perform automatic
segmentation of tractography from novel subjects by extending the spectral
clustering solution, stored in the atlas, using the Nystrom method. We present
results regarding the stability of our method and parameter choices. Finally we
give results from an atlas creation and automatic segmentation experiment. We
demonstrate that our automatic tractography segmentation identifies corresponding
white matter regions across hemispheres and across subjects, enabling group
comparison of white matter anatomy.
PMID- 18041272
TI - Parsimonious model selection for tissue segmentation and classification
applications: a study using simulated and experimental DTI data.
AB - One aim of this work is to investigate the feasibility of using a hierarchy of
models to describe diffusion tensor magnetic resonance (MR) data in fixed tissue.
Parsimonious model selection criteria are used to choose among different models
of diffusion within tissue. Using this information, we assess whether we can
perform simultaneous tissue segmentation and classification. Both numerical
phantoms and diffusion weighted imaging (DWI) data obtained from excised pig
spinal cord are used to test and validate this model selection framework. Three
hierarchical approaches are used for parsimonious model selection: the Schwarz
criterion (SC), the F-test t-test (F- t), proposed by Hext, and the F-test F-test
(F-F), adapted from Snedecor. The F - t approach is more robust than the others
for selecting between isotropic and general anisotropic (full tensor) models.
However, due to its high sensitivity to the variance estimate and bias in sorting
eigenvalues, the F-F and SC are preferred for segmenting models with transverse
isotropy (cylindrical symmetry). Additionally, the SC method is easier to
implement than the F - t and F - F methods and has better performance. As such,
this approach can be efficiently used for evaluating large MRI data sets. In
addition, the proposed voxel-by-voxel segmentation framework is not susceptible
to artifacts caused by the inhomogeneity of the variance in neighboring voxels
with different degrees of anisotropy, which might contaminate segmentation
results obtained with the techniques based on voxel averaging.
PMID- 18041273
TI - High-dimensional spatial normalization of diffusion tensor images improves the
detection of white matter differences: an example study using amyotrophic lateral
sclerosis.
AB - Spatial normalization of diffusion tensor images plays a key role in voxel-based
analysis of white matter (WM) group differences. Currently, it has been achieved
using low-dimensional registration methods in the large majority of clinical
studies. This paper aims to motivate the use of high-dimensional normalization
approaches by generating evidence of their impact on the findings of such
studies. Using an ongoing amyotrophic lateral sclerosis (ALS) study, we evaluated
three normalization methods representing the current range of available
approaches: low-dimensional normalization using the fractional anisotropy (FA),
high-dimensional normalization using the FA, and high-dimensional normalization
using full tensor information. Each method was assessed in terms of its ability
to detect significant differences between ALS patients and controls. Our findings
suggest that inadequate normalization with low-dimensional approaches can result
in insufficient removal of shape differences which in turn can confound FA
differences in a complex manner, and that utilizing high-dimensional
normalization can both significantly minimize the confounding effect of shape
differences to FA differences and provide a more complete description of WM
differences in terms of both size and tissue architecture differences. We also
found that high-dimensional approaches, by leveraging full tensor features
instead of tensor-derived indices, can further improve the alignment of WM
tracts.
PMID- 18041274
TI - Nonrigid coregistration of diffusion tensor images using a viscous fluid model
and mutual information.
AB - In this paper, a nonrigid coregistration algorithm based on a viscous fluid model
is proposed that has been optimized for diffusion tensor images (DTI), in which
image correspondence is measured by the mutual information criterion. Several
coregistration strategies are introduced and evaluated both on simulated data and
on brain intersubject DTI data. Two tensor reorientation methods have been
incorporated and quantitatively evaluated. Simulation as well as experimental
results show that the proposed viscous fluid model can provide a high
coregistration accuracy, although the tensor reorientation was observed to be
highly sensitive to the local deformation field. Nevertheless, this
coregistration method has demonstrated to significantly improve spatial alignment
compared to affine image matching.
PMID- 18041275
TI - Linear models of cumulative distribution function for content-based medical image
retrieval.
AB - We propose an Image matching technique based on Cumulative Distribution Function,
which provides a considerable reduction in the retrieval time. The two novel
approaches called bit plane histogram and hierarchical bit plane histogram are
discussed. Next, the image matching technique based on Cumulative Distribution
Function is explained and a comparison of the various techniques is brought out.
The CDF of the query and the images in the database are approximated by piecewise
linear models with two parameters, slope and intercept at various grayscale
intervals. The contiguous set of lines approximating the CDFs enables us to
compare the query image and the images in the database with corresponding
estimated slopes and intercepts. As the dynamic range of CDF is from 0 to 1,
images of different sizes can be compared. Approximation of CDFs with lines
further reduces the dimension of the image features and thus improves the speed
of matching.
PMID- 18041276
TI - Automated detection of anesthetic depth levels using chaotic features with
artificial neural networks.
AB - Monitoring the depth of anesthesia (DOA) during surgery is very important in
order to avoid patients' interoperative awareness. Since the traditional methods
of assessing DOA which involve monitoring the heart rate, pupil size, sweating
etc, may vary from patient to patient depending on the type of surgery and the
type of drug administered, modern methods based on electroencephalogram (EEG) are
preferred. EEG being a nonlinear signal, it is appropriate to use nonlinear
chaotic parameters to identify the anesthetic depth levels. This paper discusses
an automated detection method of anesthetic depth levels based on EEG recordings
using non-linear chaotic features and neural network classifiers. Three nonlinear
parameters, namely, correlation dimension (CD), Lyapunov exponent (LE) and Hurst
exponent (HE) are used as features and two neural network models, namely, multi
layer perceptron network (feed forward model) and Elman network (feedback model)
are used for classification. The neural network models are trained and tested
with single and multiple features derived from chaotic parameters and the
performances are evaluated in terms of sensitivity, specificity and overall
accuracy. It is found from the experimental results that the Lyapunov exponent
feature with Elman network yields an overall accuracy of 99% in detecting the
anesthetic depth levels.
PMID- 18041277
TI - A methodology for using simulation to optimize emergency mass vaccination
parameters.
AB - For any preparedness plan to be effective it must be put to test or verified.
Simulation methodology shows high potential for studying disaster and mass
vaccination preparedness. Unlike physical drills, simulation models are less
expensive, take less time to be conducted, and are well suited for testing
alternative solutions. The decision makers can modify and analyze the model in
order to test and evaluate numerous scenarios and operating parameters. In this
paper, we offer a systematic approach that can be used with simulation analysis
by practitioners to develop operating decisions for emergency preparedness in
general and mass vaccination clinics in particular. An actual mass vaccination
center in a county health department of a southern state is used to illustrate
the proposed methodology.
PMID- 18041278
TI - Detection of obstructive respiratory abnormality using flow-volume spirometry and
radial basis function neural networks.
AB - In this work detection of pulmonary abnormalities carried out using flow-volume
spirometer and Radial Basis Function Neural Network (RBFNN) is presented. The
spirometric data were obtained from adult volunteers (N=100) with standard
recording protocol. The pressure and resistance parameters were derived using the
theoretical approximation of the activation function representing pressure-volume
relationship of the lung. The pressure-time and resistance-expiration volume
curves were obtained during maximum expiration. The derived values together with
spirometric data were used for classification of normal and obstructive
abnormality using RBFNN. The results revealed that the proposed method is useful
for detecting the pulmonary functions into normal and obstructive conditions.
RBFNN was found to be effective in differentiating the pulmonary data and it was
confirmed by measuring accuracy, sensitivity, specificity and adjusted accuracy.
As spirometry still remains central in the observations of pulmonary function
abnormalities these studies seems to be clinically relevant.
PMID- 18041279
TI - Wireless body sensor network using medical implant band.
AB - A wireless body sensor network hardware has been designed and implemented based
on MICS (Medical Implant Communication Service) band. The MICS band offers the
advantage of miniaturized electronic devices that can either be used as an
implanted node or as an external node. In this work, the prototype system uses
temperature and pulse rate sensors on nodes. The sensor node can transmit data
over the air to a remote central control unit (CCU) for further processing,
monitoring and storage. The developed system offers medical staff to obtain
patient's physiological data on demand basis via the Internet. Some preliminary
performance data is presented in the paper.
PMID- 18041280
TI - E-Nose system for anesthetic dose level detection using artificial neural
network.
AB - In this study, an E-Nose system was realized for the anesthetic dose level
prediction. For this purpose, sevoflurane anesthetic agent was measured using the
E-Nose system implemented with sensor array of quartz crystal microbalances
(QCM). In surgeries, anesthetic agents are given to the patients with carrier
gases of oxygen (02) and nitrous oxide (N20). Frequency changes on QCM sensors to
the eight sevoflurane anesthetic dose levels were recorded via RS-232 serial
port. A multilayer feed forward artificial neural network (MLNN) structure was
used to provide the relationship between the frequency change and the anesthetic
dose level. The MLNNs were trained with the measured data using Levenberg
Marquardt algorithm. Then, the trained MLNNs were tested with random data. The
results have showed that, acceptable anesthetic dose level predictions have been
obtained successfully.
PMID- 18041281
TI - The use of information technologies among rural and urban physicians in Florida.
AB - This study examines rural urban differences in the use of various information
technologies (IT) applications by physicians in the ambulatory setting. Findings
suggest that no differences exist between rural and urban physicians with respect
to the use of a computer (77.4 vs 81.4; p=.144) or with the availability of an
Internet connection (95.0 vs 96.5; p=.249) in the office. However, rural
physicians were significantly less likely than urban doctors to indicate using e
mail with patients (7.9 vs 17.2%; p<.001) and slightly less likely to use a
personal digital assistant (PDA) (32.3 vs 37.9; p=.091). Rural doctors were
significantly less likely to indicate routinely using an electronic health
records (EHR) system (17.6 vs 24.1; p=.020). EHR differences between rural and
urban physicians were not significant (p=.124) in multivariate analyses and were
explained away by practice size (p<.001) and practice type (p=.015). Most
barriers to EHR did not differ between rural and urban physicians. However, rural
physicians more commonly cited barriers associated with temporary disruptions to
productivity or disruptions in access to records when computers systems fail. In
sum, EHR use and patient e-mailing is less common in rural areas. While much of
this variability can be explained by rural practice characteristics, these
findings illustrate the need for further efforts to identify and alleviate
barriers and encourage health IT adoption in rural areas.
PMID- 18041282
TI - Exploring physician adoption of EMRs: a multi-case analysis.
AB - The adoption of new technology within healthcare has been promoted as a way to
reduce costs and increase efficiencies as well as improve quality. The literature
has documented a significant number of implementation failures by large groups
and hospitals with access to IT skills and resources. Given the low adoption rate
among physicians, the challenges facing small practices can be daunting. While
financial and technical barriers have been explored at the physician level, the
actual implementation challenges facing small groups have not been explored. This
paper presents a qualitative three case analysis of physician groups that have
employed EMRs and the pre and post adoption insights. Results show that planning
was a key common variable missing; the anticipated downtime was longer than
expected and the workflow disruption and maintenance costs were underestimated.
PMID- 18041283
TI - An evaluation of patients' opinions of primary care physicians: the use of
EUROPEP in Gaza Strip-Palestine.
AB - The objective of this paper is to identify the level of patients' satisfaction
with primary care physicians. Data were gathered from an exit interview using a
standardized questionnaire (EUROPEP) and background variables. A total of 956
patients in fifteen primary health care clinics in Gaza Strip participated.
Outcome measures is positive patient satisfaction (good and excellent ratings in
the EUROPEP Index). As a results, the mean percentage of positive satisfaction
with medical services was poor (41.8%). The poorest performance was recorded for:
getting through to the clinic on the phone, being able to speak to physician on
the telephone, time spent in waiting rooms and helping the patient deal with
emotional problems. The comparison between clinical behaviour dimension and
organization of care showed that clinical behaviour was evaluated higher. In
conclusion, Palestinian patients expressed overall dissatisfaction with services
provided by primary care physicians. These findings present a real challenge for
Palestinian authority policy makers and administrators in terms of designing
appropriate quality improvement strategies.
PMID- 18041284
TI - Utilization and costs of medical services at military health facilities in
Ibadan, Nigeria.
AB - The Nigerian Army introduced user charges in its health care services in 1994.
This study attempts to assess possible impact of user charges on the utilization
of army health services in Ibadan, Nigeria. This is a descriptive, retrospective
study and it involved the review of hospital records from 1992 to 1996 in
military health facilities in Ibadan. Yearly consultation rate increased from 339
per 1,000 population in 1992 to 581 per 1,000 population in 1996. While cost of
care significantly increased over the years in the army health facilities,
services were offered at lower costs when compared with the cost of similar
services from non-military medical centers. Utilization of health services is not
deterred in spite of the introduction of user charges. Further study was
suggested to assess impact.
PMID- 18041285
TI - A fuzzy logic-based decision support system on anesthetic depth control for
helping anesthetists in surgeries.
AB - In this study, a fuzzy logic-based anesthetic depth decision support system
(ADDSS) was realized for anesthetic depth control to help anesthetists in
surgeries. Depth of anesthesia for a patient can change according to anesthetic
agent and characteristic properties of a patient such as age, weight, etc. During
the surgery, depth of anesthesia of a patient is determined by the experience of
anesthetist controlling of systolic arterial pressure (SAP) and heart pulse rate
(HPR) parameters. Anesthetists could have tired and lost attention by inhaling of
anesthetic gas leaks in long lasted operations. For that reason, improper
anesthetic depth could be applied to the patients. So anesthesia could not be
safety and comfortable. To remove this unwanted situation, an ADDSS was proposed
for anesthetists. By the help of this system, precise anesthetic depth could have
provided. Thus, the anesthetist will spend less time to provide anesthetic and
the patient will have a safer and less expensive operation. This study was
performed under sevoflurane anesthetic.
PMID- 18041286
TI - The design of thermoelectric footwear heating system via fuzzy logic.
AB - In this study, Heat Control of Thermoelectric Footwear System via Fuzzy Logic has
been implemented in order to use efficiently in cold weather conditions.
Temperature control is very important in domestic as well as in many industrial
applications. The final product is seriously affected from the changes in
temperature. So it is necessary to reach some desired temperature points quickly
and avoid large overshoot. Here, fuzzy logic acts an important role. PIC 16F877
microcontroller has been designed to act as fuzzy logic controller. The designed
system provides energy saving and has better performance than proportional
control that was implemented in the previous study. The designed system takes
into consideration so appropriate parameters that it can also be applied to the
people safely who has illnesses like diabetes, etc.
PMID- 18041287
TI - Determining fractal dimension of umbilical artery Doppler signals using Hurst
exponent.
AB - Doppler signals from the umbilical artery of 20 women with normal pregnancy
between 18 and 20 weeks of gestation were recorded. The AR spectral analysis
method has been used to obtain the Doppler sonograms of umbilical artery
belonging to normal pregnant subjects and fractal dimension curves were
calculated using Hurst exponent. RI; PI and S/D indexes have been calculated from
the maximum frequency envelope of Doppler sonograms and from the fractal
dimension curve. Area under the curve from ROC curve for RI, PI and S/D indexes
derived from maximum frequency waveform were calculated as 0.931, 0.959, 0.938,
respectively and area under the curve for RI, PI and S/D indexes derived from
fractal dimension curve were calculated as 0.933, 0.961, and 0.941, respectively.
These results show that, the Doppler indexes derived from fractal dimension curve
are as sensitive as Doppler indexes derived from maximum velocity curve. Power
Spectral Density graphics were derived from Doppler signals and Hurst exponent
values calculated to evaluate the blood flow changing during pregnancy. ROC curve
for PSD(HURST) index was calculated as 0.97. According to this result, PSD(HURST)
index is more sensitive to detect the blood flow changing than traditional
Doppler indexes.
PMID- 18041288
TI - A Tablet-PC application for the individual family service plan (IFSP).
AB - Approximately 2% of children from birth through 2 years of age were identified as
having disabilities in 2000. To provide effective intervention services to each
child is important. Children with disabilities from birth to 3 years of age who
receive early intervention services have an Individualized Family Service Plan
(IFSP). In some community early intervention programs, IFSP data are collected
and stored in paper-based format, making it difficult to retrieve information in
an efficient manner. Information technology strategies could address these
problems, thus enhancing the efficiency of the information management. This paper
discusses a user requirement analysis, design and development of a Tablet-PC
computer application for collecting IFSP data for young children in Milwaukee,
Wisconsin. An early prototype for the Tablet-PC computer application for the IFSP
was successfully developed and a preliminarily evaluation was conducted at two
early intervention programs.
PMID- 18041289
TI - Closing emergency operating rooms improves efficiency.
AB - Long waiting times for emergency operations increase a patient's risk of
postoperative complications and morbidity. Reserving Operating Room (OR) capacity
is a common technique to maximize the responsiveness of an OR in case of arrival
of an emergency patient. This study determines the best way to reserve OR time
for emergency surgery. In this study two approaches of reserving capacity were
compared: (1) concentrating all reserved OR capacity in dedicated emergency ORs,
and (2) evenly reserving capacity in all elective ORs. By using a discrete event
simulation model the real situation was modelled. Main outcome measures were: (1)
waiting time, (2) staff overtime, and (3) OR utilisation were evaluated for the
two approaches. Results indicated that the policy of reserving capacity for
emergency surgery in all elective ORs led to an improvement in waiting times for
emergency surgery from 74 (+/-4.4) minutes to 8 (+/-0.5) min. Working in overtime
was reduced by 20%, and overall OR utilisation can increase by around 3%.
Emergency patients are operated upon more efficiently on elective Operating Rooms
instead of a dedicated Emergency OR. The results of this study led to closing of
the Emergency OR in the Erasmus MC (Rotterdam, The Netherlands).
PMID- 18041290
TI - Backpropagation ANN-based prediction of exertional heat illness.
AB - Exertional heat illness is primarily a multi-system disorder results from the
combined effect of exertional and thermoregulation stress. The severity of
exertional heat illness can be classified as mild, intermediate and severe from
non-specific symptoms like thirst, myalgia, poor concentration, hysteria,
vomiting, weakness, cramps, impaired judgement, headache, diarrhea, fatigue,
hyperventilation, anxiety, and nausea to more severe symptoms like exertional
dehydration, heat cramps, heat exhaustion, heat injury, heatstroke,
rhabdomyolysis, and acute renal failure. At its early stage, it is quite
difficult to find out the severity of disease with manual screening because of
overlapping of symptoms. Therefore, one need to classify automatically the
disease based on symptoms. The 7:10:1 backpropagation artificial neural network
model has been used to predict the clinical outcome from the symptoms that are
routinely available to clinicians. The model has found to be effective in
differentiating the different stages of exertional heat-illness with an overall
performance of 100%.
PMID- 18041291
TI - A study of the web as DTC drug marketing agent.
AB - The growth of direct-to-consumer (DTC) marketing of pharmaceuticals in the USA
has spawned the generation of web sites for consumer information and discussion,
related to specific medical conditions and the efficacy of specific drugs. In
such an environment consumers often find and act upon health information of
variable quality, with little input from health professionals. Some health policy
analysts argue that the emergence of such consumer "drug networks" will lead to
unnecessary expenditure of money on prescription drugs, as consumers see ads and
then demand that their providers prescribe that drug. Others say that an
intelligent consumer will be able to research the information and narrow down a
drug that will likely work for them personally, rather than having the provider
"experiment" with different medications. There exist few cross-disciplinary
evaluative frameworks for assessing such health information, especially within
commercial search engines. This study serves as an exploratory technology
assessment that examines the prevalence of web-based DTC for a popular
prescription drug and the impact on healthcare consumers.
PMID- 18041292
TI - Why there can be no sustainable national healthcare IT program without a
translational health information science.
AB - Health information technology research has historically suffered from the
persistence of paper-based systems as a barrier to research and refinement of
information models. While the field of (non-medical) information science offers a
potentially rich source of data, there exist relatively few theoretical links
between medical and non-medical information models. This paper argues that the
establishment of an integrated translational research pathway is not only useful,
but is a critical and necessary step in the realization of a national health
information infrastructure in the USA.
PMID- 18041293
TI - Assessment of in vitro antimalarial interactions between dihydroartemisinin and
fosmidomycin.
AB - Malaria remains one of the leading causes of morbidity and mortality in the
tropics with an annual estimate of 500 million clinical cases and 2 million
deaths. The treatment and control of malaria is becoming increasingly difficult
due to Plasmodium falciparum resistance to commonly used antimalarials.
Combination therapy is currently the strategy for combating multi-drug resistant
falciparum malaria, through exploiting pharmacodynamic synergistic effects and
delaying the emergence of drug resistance. The combination of artemisinin
derivatives with fosmidomycin, which have different modes of action, appears to
be one of the most promising combinations. The objective of the present study was
to investigate the antimalarial interactions between dihydroartemisinin and
fosmidomycin in vitro, against chloroquine-resistant (K1) and chloroquine
sensitive (G112) P. falciparum strains. Concentration-response analysis was
performed based on an in vitro schizont maturation inhibition test. The fixed
concentration ratios of dihydroartemisinin: fosmidomycin used were 0:5,000,
2:4,500, 6:3,500, 10:2,500, 14:1,500, 18:500 and 20:0 nM. The highest final
concentrations of dihydroartemisinin and fosmidomycin were 20 and 5,000 nM,
respectively. Results showed IC50 (drug concentration which produced 50% schizont
maturation inhibition) medians (range) for dihydroartemisinin against K1 and G112
strains to be 1.6 (1.2-2.0) and 2.5 (2.4-2.6) nM, respectively. The IC50 medians
(range) for fosmidomycin against K1 and G112 strains were 1,347 (1,068-1,625) and
786 (737-834) nM, respectively. An isobologram revealed an increasing trend for
the fraction IC50 (FIC), which indicates marked antagonism of this drug
combination against both chloroquine resistant and chloroquine sensitive strains.
PMID- 18041294
TI - Cystic echinococcosis in Thailand with a special note on detection by serology in
one family.
AB - Thailand is a nonendemic area of echinococcosis. We report, herein, 3 cases with
a special note on the use of serology in detection of the disease in one family.
All cases were Thais. The first case was a man, having a cystic mass in the
liver. He was subsequently diagnosed as having echinococcosis following positive
serology. The second case, a male, had a renal hydatid cyst revealed by
histopathology, and a positive serological test. The third case, a wife of case
2, was positive by serological screening for echinococcosis and subsequently
proven to have splenic and liver echinococcal cysts. The present findings support
the use of serology in the case detection of echinococcosis in Thailand.
PMID- 18041295
TI - Predatory efficiency of the sewage drain inhabiting larvae of Toxorhynchites
splendens Wiedemann on Culex quinquefasciatus Say and Armigeres subalbatus
(Coquillett) larvae.
AB - The rate of predation by stage IV instar Toxorhynchites splendens larvae on the
equivalent instar stage larvae of Culex quinquefasciatus and Armigeres
subalbatus, co-occurring in sewage drains, were noted for a period of three
consecutive days in the laboratory using different prey densities and
combinations. The rate of predation varied by age of the predator, density of
prey, and prey type. The number of Ar. subalbatus larvae consumed by a single Tx.
splendens larva ranged between 0.50 +/- 0.71 and 16.40 +/- 2.01; while for Cx.
quinquefasciatus larvae, the number consumed ranged from 0.20 +/- 0.42 to 20.40
+/- 1.43 per day. The pupation rates of the prey species varied in respect to
control, with a minimum of 0.20 +/- 0.42 pupa/day to a maximum of 12.20 +/- 2.30
pupa/day in the presence of Tx. splendens. The values for the controls were 1.00
+/- 0.87 and 14.44 +/- 2.83 pupa/day, respectively. Irrespective of prey
densities and combinations, a single Tx. splendens fourth instar larvae was found
to consume on average 10.07 larvae on the first day 16.57 larvae on the second
day and 4.38 larvae on the third day, killing a total of 17.70 to 45.10 larvae,
in three days. In the presence of Tx. splendens, the cumulative pupation,
irrespective of prey, remained between 12.20 and 45.10, and differed
significantly from control where the values were between 13.90 and 54.70. The
results indicate that Tx. splendens can significantly reduce immature numbers and
lower the rate of pupation of Cx. quinquefasciatus and Ar. subalbatus. Tx.
splendens may be a potential biological resource in the control of mosquitoes
inhabiting sewage drains.
PMID- 18041296
TI - Cutaneous allergic vasculitis due to Solenopsis geminata (Hymenoptera:
Formicidae) envenomation in Indonesia.
AB - Severe cutaneous allergic vasculitis in a 60 year-old Caucasian male following
the bite of the tropical fire ant, Solenopsis geminata (F.) is reported. Over the
course of 8 weeks, the pathology progressed from an extensive red papular
urticaria to vasculitis with peri-vascular inflammation and ulceration of the
skin on the feet, ankles and lower limbs. Many of the affected areas of the skin
eventually became covered with black eschar associated with further tissue
breakdown and ulcer formation. After debridement, compression dressings,
antimicrobial ointment and corticosteroids, complete healing eventually took
place with only residual scarring. An awareness of the severe dermatologic
reactions caused by a bite of S. geminata, albeit rare, is clinically important.
Recognizing the characteristic skin lesions caused by the bite of S. geminata,
treated with prompt administration of appropriate chemotherapy will speed
recuperation of the patient and reduce possible secondary complications.
PMID- 18041298
TI - Evaluation of a practical method to assess antiretroviral adherence in HIV
infected Thai children.
AB - The objective of this study was to evaluate a practical method to assess
adherence to antiretroviral therapy by observing virological and immunological
responses. We conducted a 12-month longitudinal cohort study of 162 HIV-infected
Thai children. Adherence was assessed using 5 methods (self reporting calendar,
records of missed doses, pill counts, physician assessment, and an interview
questionnaire). CD4 count, percentage and viral load were performed at baseline
and at 12 months. Mean adherence rates at 2, 6, and 12 months were 98, 100, and
99% by the calendar method; 98, 100, and 100% by recording missed doses; 96, 96,
and 92% by pill count; and 90, 94, and 97% by physician assessment. Poor
agreement (kappa < or = 0.1) was found among the methods. There was a
statistically significant difference (p = 0.05) in virological response between
participants with > or = 95% adherence (0.8 log10) and those with < 95% adherence
(0.2 log10) when pill counts were used to assess adherence. In conclusion,
despite poor agreement among these tools, a pill count appeared to be the only
practical, validated method to differentiate the virological outcome between
those who were fully and partially adhere to the treatment regimen.
PMID- 18041299
TI - Prevalence of human cytomegalovirus (HCMV) gB genotypes in Thai patients.
AB - Human cytomegalovirus (HCMV) infection can cause asymptomatic to symptomic
diseases leading to morbidity and mortality especially in immunocompromized
patients. One factor of the difference in clinical outcome is the distinction of
HCMV strain. As HCMV glycoprotein (g)B plays an important role in viral entry and
neutralizing antibody induction, HCMV gB genotypes were determined in 161
clinical specimens containing HCMV-DNA obtained from patients at King
Chulalongkorn Memorial Hospital, Bangkok, Thailand during the year 2000 and 2004.
Of the 113 (70%) samples that were able to be genotyped, mixed gB genotype was
demonstrated in 35%, followed by gB1 (33%), gB3 (15%), gB2 (11%), and untyped
(7%); gB4 was not detected. The distribution of HCMV gB genotypes between genders
was not significantly different. Mixed gB genotype (35%) was found in HIV-
infected patients.
PMID- 18041300
TI - Effectiveness of influenza vaccination in prevention of influenza-like illness
among inhabitants of old folk homes.
AB - The aims of the study were to determine the attack rate of influenza-like illness
among inhabitants of five old folk homes nationwide using influenza vaccine as a
probe and the effectiveness of influenza vaccination in prevention of influenza
like illness. We conducted a nonrandomized, single-blind placebo control study
from June 2003 to February 2004. VAXIGRIP(R) 2003 Southern hemisphere formulation
was used. Among 527 subjects, the attack rates of influenza-like illness in the
influenza vaccine group were 6.4, 4.6 and 2.4% during the first, second and third
2-month periods, respectively. The attack rates of influenza-like illness in the
placebo group were 17.7, 13.8 and 10.1%. Influenza vaccination reduced the risk
of contracting influenza-like illness by between 14, and 45%. The vaccine
effectiveness in reducing the occurrence of influenza-like illness ranged from 55
to 76%, during the 6-month study followup. The presence of cerebrovascular
diseases significantly increased the risk of influenza-like illness (p < 0.005).
Vaccine recipients had fewer episodes of fever, cough, muscle aches, runny nose
(p < 0.001) and experience fewer sick days due to respiratory illness. Subjects
who received influenza vaccination had clinically and statistically significant
reductions in the attack rate of influenza-like illness. Our data support
influenza vaccination of persons with chronic diseases and >50 year olds living
in institutions.
PMID- 18041301
TI - Efficacy of pulsed dyed laser (585 nm) in the treatment of molluscum contagiosum
subtype 1.
AB - Molluscum contagiosum is a common cutaneous disease that may be difficult to
treat when there are multiple lesions; especially in children. This study was
conducted to determine the efficacy of pulsed dye laser (585 nm) in the treatment
of molluscum contagiosum in 20 children. In the treated group, 70.5% of lesions
healed after the first treatment; the remaining 10.6% after the second treatment
(2 weeks later). The overall cure rate was significantly different from the
control group (p< 0.01). The therapy was also well tolerated. Only mild transient
hypopigmentation and erythema were observed. None encountered infectious events.
In conclusion, pulsed dye laser is a good alternative treatment for molluscum
contagiosum due to high efficacy and mild transient side effects.
PMID- 18041297
TI - Surveillance of subtype and genetic variation of the circulating strains of HIV-1
in Thailand.
AB - Two HIV-1 strains, CRF01_AE and subtype B', were reported in Thailand during the
early years of the epidemic. Recently, an intersubtype recombination of HIV-1
strain was found in Thailand. Eight-hundred and twenty-eight samples collected
during years 1995-2004 from high-risk groups in Bangkok, northern, northeastern,
and southern region of Thailand were studied. HIV-1 env nucleotide sequences were
used for phylogenetic analysis of the circulating HIV-1 strain. By single HIV-1
region (env) genotyping, CRFO1_AE was found in 97.3% and HIV-1 subtype B was
found in 2.7%. A predominance of CRF01_AE was found in all geographic regions.
Parallel analysis of the HIV-1 gag and env genes demonstrated that 2.1% and 4.0%
of recombinant HIV-1 strains were found using p17 and p24 region sequences,
respectively. The recombinant gag gene was also found in one southern isolate.
Phylogenetic analysis of HIV-1 isolated from 20 provinces in 2002 suggested the
northern and northeastern isolates were more related than the southern isolates
which had the lowest genetic diversity of 0.13. The GPGQ V3 loop tip was also
present in isolates from all regions. The molecular epidemiological data from
this study may be useful for surveillance design as well as targeting prevention
efforts. It also provides information regarding new antigenic regions of
circulating strains responsible for the HIV-1 epidemic in Thailand.
PMID- 18041302
TI - Occurrence of qacE/qacEDelta1 genes and their correlation with class 1 integrons
in salmonella enterica isolates from poultry and swine.
AB - In this study, a total of 122 Salmonella enterica isolates from poultry and swine
were assessed for susceptibility to clinically important antibiotics and to
benzalkonium chloride (BKC). All isolates were examined for the presence of the
antiseptic resistance genes qacE and qacEDelta1 and intl1 (class 1 integrase).
The intl1-positive strains were further investigated for the presence of the 3'
conserved region. The results demonstrated widespread distribution of qacEDelta1
(27%) but no isolate with qacE was observed. The intl1 gene was identified in 23
isolates (70%) with qacEDelta1. All of the intl1-postive strains carried
qacEDelta1 in 3' conserved segment, confirming that the qacEDelta1 gene is linked
to the integrons. Increased MIC value to BKC was independent of the presence of
qacEDelta1, and multiple antibiotic-resistant bacteria were no more tolerant to
BKC than the non-multidrugresistant strains, regardless of the presence of
qacEDelta1.
PMID- 18041303
TI - Changing patterns of antimicrobial susceptibility of Shigella serotypes isolated
from children with acute diarrhea in Manipal, South India, a 5 year study.
AB - This study was carried out to determine the current pattern of Shigella
serogroups and their antimicrobial resistance in children with acute
gastroenteritis in Manipal, South India. A total of 1,200 stool samples were
collected from April 2001 to May 2006 in children suffering from acute
gastroenteritis attending the out-patient department of pediatrics at Kasturba
Hospital, Manipal, South India. These samples were cultured for enteric
pathogens. The isolates were confirmed to be Shigella by biochemical reactions
and slide agglutination tests using specific antisera. Antimicrobial
susceptibility was performed using an agar diffusion technique method following
the National Committee for Clinical Laboratory Standard guidelines. Of 1,200
stool samples, 68 (5.6%) were positive for Shigella spp, 31 (45%) were Shigella
flexneri followed by S. sonnei in 20 (31%), S. boydii in 10 (15%), and S.
dysenteriae in 6 (8%). Of the 68 isolates, 58 (85.7%) showed resistance to
various drugs and 47 (70%) were resistant to two or more drugs. Resistance to
trimethoprim-sulfmethoxazole, tetracycline, nalidixic acid and ampicillin was
observed in this study. All the strains were resistant to nalidixic acid (100%)
but sensitive to cefotaxime and ceftriaxone.
PMID- 18041304
TI - Aeromonas hydrophila wound infection following a tiger bite in Nepal.
AB - Aeromonas hydrophila is a rare human pathogen. Reports of zoonotic infection
developing after large feline bites are even rarer. We are documenting the first
case of human wound infection with A. hydrophila following a tiger bite. The
patient responded well following wound debridement, secondary suturing and
combination antibiotic therapy.
PMID- 18041305
TI - Examination of diarrheal stools in Hat Yai City, South Thailand, for Escherichia
coli O157 and other diarrheagenic Escherichia coli using immunomagnetic
separation and PCR method.
AB - A total of 493 stool samples from diarrheal patients in Songklanagarind Hospital,
in southern Thailand, were examined for Escherichia coli O157 by the culture
method combined with an immunomagnetic separation (IMS) technique. E. coli O157
was not found, although the IMS-based method could detect 10(2)-10(3) CFU of
artificially inoculated O157/g of stool samples. Polymerase chain reaction was
also used for the detection and identification of diarrheagenic E coli from 530
stool samples. The target genes were eae for enteropathogenic E. coli (EPEC), stx
for enterohemorrhagic E. coli (EHEC), elt and est for enterotoxigenic E. coli
(ETEC), ipaH for enteroinvasive E. coli (EIEC), and aggR for enteroaggregative E.
coli (EAggEC). Fifty-eight diarrheagenic E. coli strains were detected in 55
stool samples (10%) from 32 children and 23 adults. These included 31 EAggEC
strains (5.8%), 13 ETEC strains (2.5%), 13 EPEC strains (2.5%), and one EIEC
strain (0.2%). EHEC was not detected. The diarrheagenic E. coli strains were
found mainly in children under 2 years of age (24 of 32 children). EAggEC strains
and ETEC strains were susceptible to several antibiotics whereas the EPEC strains
exhibited resistance to these antibiotics.
PMID- 18041306
TI - Detection of VacA gene specific for Helicobactor pylori in hepatocellular
carcinoma and cholangiocarcinoma specimens of Thai patients.
AB - In order to investigate and compare the presence of Helicobacter pylori VacA in
primary liver cancer specimens (12 hepatocellular carcinoma and 6
cholangiocarcinoma) and control liver specimens (7 non-primary liver cancer) from
Thai patients who underwent liver resection, H. pylori VacA gene was assayed in
extracted DNA by real-time polymerase chain reaction. The selected amplicons
revealed high homology compared with H. pylori VacA sequence. H. pylori VacA gene
was detected in all primary liver cancer specimens and in 71% (5/7) of control
liver specimens.
PMID- 18041307
TI - Roseomonas gilardii subsp rosea, a pink bacterium associated with bacteremia: the
first case in Thailand.
AB - Roseomonas is a pink-pigmented, non-fermentative, gram-negative coccobacillus
bacterium. Human infections caused by Roseomonas are very rare. We report the
first case of bacteremia associated with Roseomonas gilardii subsp rosea in
Thailand. The bacterium was isolated from blood culture and identified by
cellular morphology, characteristics of colonies on blood agar, extensive
biochemical tests and 16S ribosomal DNA sequencing.
PMID- 18041308
TI - Sensitive detection of Pneumocystis jirovecii DNA in gastric wash using nested
polymerase chain reaction.
AB - One hundred and five samples of gastric washes were obtained from 52 pediatric
patients. Eleven of the 105 samples (10%) gave positive results using
immunofluorescence antibody test (IFA) for Pneumocystis jirovecii. Single-step
polymerase chain reaction (PCR) produced 13% (14 samples), whereas detection by
nested PCR was increased to 65 samples (62%). Moderate agreement (kappa = 0.5)
was found between test results of IFA and single-step PCR, but no agreement was
found between the results of IFA and nested PCR (kappa = 0.1).
PMID- 18041309
TI - Role of interleukin-3 and signaling pathways on beta-thalassemia/HbE erythroid
progenitor cell in culture.
AB - In order to study the role of the cytokine interleukin-3 (IL-3) and its signaling
pathways in erythropoiesis of beta-thalassemia/HbE erythroid progenitor cells,
CD34 positive cells were isolated from peripheral blood of patients and healthy
subjects. After culturing the cells in the presence or absence of IL-3, cell
viability was measured by trypan blue staining and apoptotic cells were analyzed
by flow cytometry. After 7 days of culture the highest percent erythroid
progenitor cell viability was obtained with cells from healthy subjects, while
the lowest percentage was found in those from splenectomized beta
thalassemia/HbE. Viability of beta-thalassemia/HbE erythroid progenitor cells in
the presence of IL-3 was higher than that of nonsupplemented cells. In addition,
specific inhibitors of protein kinase C (Ro-318220), phospholipase C (U-73122)
and Janus kinase 2 (AG-490) were used to investigate the involvement of signaling
pathways in erythropoiesis. Percent apoptosis of erythroid progenitor cells from
splenectomized beta-thalassemia/HbE subjects treated with RO-318220 was higher
than those of nonsplenectomized beta-thalassemia/HbE and healthy subjects.
Treatment with U-73122 resulted in enhanced percent apoptotic cells from normal
and beta-thalassemia/HbE subjects. All these effects were independent of IL-3
treatment.
PMID- 18041310
TI - Correlations in survivin expression with the expression of p53 and bcl-2 in
invasive ductal carcinoma of the breast.
AB - This work studied the correlations between survivin, bcl-2 and p53 in
infiltrating ductal carcinoma of the breast. A total number of 382 cases were
collected from 3 hospitals in northeastern Malaysia. Survivin, bcl-2 and p53 were
detected by immunohistochemistry on samples prepared from tissue blocks.
Significant correlations were found between tumor histological grades and tumor
size and lymph node involvement. Highly significant statistical correlations
(p<0.001) were found in expression of the markers under study. It is concluded
that such significant correlations may imply that the alterations in the
expression take place in a concerted fashion, implying that many of these cases
may share common abnormalities.
PMID- 18041311
TI - Plasma D-dimer levels in patients with typhoid fever.
AB - The plasma levels of D-dimer can be used as a marker of fibrin formation and
degradation. Plasma D-dimer levels in the febrile phase of 6 patients with
typhoid fever and in the afebrile convalescent phase of 4 of them were measured.
D-dimer levels were high in the febrile phase of all 6 patients and within normal
range in the afebrile convalescent phase of all 4 patients. Our results indicate
that thrombus formation and fibrinolysis may occur in the febrile phase of
patients with typhoid fever.
PMID- 18041312
TI - Socioeconomic considerations regarding a land mine victim with maxillofacial
injuries.
AB - This article describes a case we experienced while doing volunteer medical work
in Cambodia for six months in 2002. By examining treatment of a 14-year-old
female land mine victim with maxillofacial injuries, we report on the present
socio-medical situation in Cambodia. This case suggests the lack of
infrastructure, facilities, human resources, and patient education make it
extremely difficult to provide patients with proper treatment, including general
anesthesia. A comparison of land mine victim statistics between 2002 and 2005
reveals significant problems.
PMID- 18041313
TI - Effects of tobacco smoking on alpha-2-macroglobulin and some biochemical
parameters in Thai males.
AB - This cross-sectional study was carried out among smokers and nonsmokers from
suburban and urban residential areas in Bangkok, Thailand. One hundred eighty-six
smokers and 102 nonsmokers, who voluntarily participated in the study, were
investigated. The levels of alpha-2-macroglobulin (A2M), albumin, total protein,
and other biochemical and hematological parameters as well as body mass index
(BMI) measurements were taken. The levels of A2M, BUN and WBC counts were
significantly higher in smokers than nonsmokers. Total protein and albumin
concentrations were significantly lower in smokers than nonsmokers, but the
levels of other biochemical parameters did not differ between the two groups. The
relationship between BMI and median A2M levels in the smoker and nonsmoker groups
showed the higher the BMI, the lower the serum A2M levels. Smokers had a higher
percentage of hyperalpha-2-macroglobulinemia than nonsmokers. A2M concentrations
correlated inversely with BMI, BUN, albumin, total cholesterol, triglycerides,
and the quantity of cigarettes smoked for the total period of smoking (cigarette
pack-years). Multiple regression analysis revealed that albumin and cigarette
pack-years were the most closely related variables to A2M concentrations among
smokers. These findings suggest cigarette smoking affects inflammation markers,
increasing A2M and WBC and decreasing albumin. This effect may be the mechanism
responsible for the development of chronic disease states associated with smoking
since cigarette smoke contains many toxic compounds harmful to health.
PMID- 18041314
TI - Antenatal care procedures and information reported by women in three rural areas
of Vietnam.
AB - The objective was to identify factors related to antenatal care (ANC) procedures
and information reported by women in Long An, Ben Tre, and Quang Ngai Provinces.
Cross-sectional surveys were conducted. Women who had ANC during a previous
pregnancy were asked if they had received any of 13 procedures and information.
The Donabedian quality of health model was used to select potential related
factors. Data from 857 women were available for analyses. Only 24% of the women
reported ten items or more. The mean number of items reported was seven. Factors
related to reporting fewer items were living in Quang Ngai (OR = 0.3, 95% Cl =
0.2-0.6), having ANC at private facilities (OR = 0.4, 95% Cl = 0.2-0.7), having
ANC delivered by nurses or assistant doctors (OR = 0.6, 95% Cl = 0.3-0.9), and
unplanned pregnancy (OR = 0.5, 95% Cl = 0.3-0.9). Factors related to reporting
more items were being housewives (OR = 1.7, 95% Cl = 1.0-2.8), consumption of
iron/folate tablets (OR = 4.2, 95% Cl = 2.2-8.2), more use of ANC services (OR =
2.1, 95% Cl = 1.4-3.2 for sufficient utilization and OR = 3.8, 95% Cl = 2.1-7.0
for sufficient plus), more perceived helpfulness of ANC (OR = 3.0, 95% Cl = 1.9
4.8) and high satisfaction with ANC received (OR = 1.6, 95% Cl = 1.0-2.6). The
most effective interventions would be to improve the quality of health facilities
and of ANC providers, and to educate women to make sufficient number of ANC
visits and to seek ANC from qualified ANC providers.
PMID- 18041315
TI - Social support among elderly in Khon Kean Province, Thailand.
AB - The purpose of this research was to assess perceived social support and its
factors among the elderly. The study group included 734 elders who were aged 60
years old or more, and living in eight villages in Khon Kaen Province, Thailand.
A structured questionnaire was used to collect the information, and perceived
social support was measured by PRQ85. This study found a high level of social
support was perceived among the elderly. According to the PRQ85, the highest
dimension of social support was the availability of information, emotional, and
material support; while the lowest dimension was being an integral part of a
group. Results from multiple regressions indicate that education level, number of
close friend, knowing community health staff, working status, elderly club
member, and religious activities were statistically significantly related to
perceived social support. In conclusion, the elderly had a high level of social
support. Close friends and community health staff are important sources of
support among the elderly.
PMID- 18041316
TI - The incidence of hypertension among a select population of adults in the Niger
Delta region of Nigeria.
AB - The incidence of hypertension was studied in two hundred male and female subjects
engaged in low socioeconomic class occupations in a university village of the
Niger Delta region of Nigeria. The mean age of the male subjects was 23 years and
that of the female subjects was 25 years. The mean body mass index (BMI) for the
male subjects was 22.7 kg/m2 and that of the females was 23.8 kg/m2. The mean
systolic blood pressure of the male subjects was 136.8 mmHg and that of the
females was 122 mmHg. The mean diastolic blood pressures for the male and female
subjects were 81 and 83.4 mmHg, respectively. The incidence of hypertension among
the male subjects was 16% and that of the female subjects was 12%.
PMID- 18041317
TI - Safer childbirth: the big picture.
PMID- 18041318
TI - Adverse clinical incidents: support for midwives.
PMID- 18041319
TI - Studying: ways to survive and thrive.
PMID- 18041320
TI - Public health: why the debate?
PMID- 18041321
TI - Campaign for normal birth. Beware of institutional defences!
PMID- 18041322
TI - Telephone triage in maternity care.
PMID- 18041323
TI - Birth centres: financially viable?
AB - The financial issues for birth centres are: Those that conduct a small number of
births--certainly those conducting less than 100 births a year--are unlikely to
generate income that covers their costs; In future, all community activity will
come under PbR and it will then be much easier to identify how much antenatal and
postnatal activity occurs from birth centres and what income should therefore be
derived from that activity; Even if a birth centre is financially viable in its
own terms, will it make economic sense as part of the entire maternity service?
For a freestanding unit, it is clear that in terms of economies of scale, two
sites will almost always be more expensive than one; For birth centres to
survive, they must be able to demonstrate a sound business case that balances
financial affordability with the wider policy agenda. The business case for birth
centres has to be made in terms that make sense to the rest of the NHS.
PMID- 18041324
TI - Can doulas provide a continuity of care that midwives are unable to?
PMID- 18041325
TI - Putting the "shared" in informed shared decision making.
PMID- 18041326
TI - Citation analysis of the maternal/child nursing literature.
AB - Few bibliometric studies have been done of the nursing literature; however, much
can be learned about nursing and specialty areas of clinical practice by
examining the articles published in nursing journals. The purposes of this study
were to describe the extent of research, clinical, and evidence-based practice
articles published in maternal/child nursing journals and the information sources
used to develop that literature. A total of 112 articles and 2571 citations from
three randomly selected maternal/child nursing journals were analyzed. Nearly
half (n=51, 46%) of all articles were reports of original research studies.
Research publications were cited most frequently including research studies
published in medical journals (n=687, 26.7% of all the cited documents), followed
by research studies published in nursing journals (n=371, 14.4%) and journals in
other disciplines. The maternal/child nursing journals examined in this study are
disseminating research findings to nurses for use in clinical practice.
PMID- 18041327
TI - Abbreviations and acronyms in healthcare: when shorter isn't sweeter.
PMID- 18041328
TI - Adverse effects of pediatric emergency sedation after discharge.
AB - PURPOSE: Sedation is commonly performed in children in the emergency department.
However, little is known about adverse events that may occur after discharge.
This study was conducted to evaluate adverse effects occurring after discharge in
children following sedation in the emergency department. METHODS: Parents of 547
children receiving sedation in the emergency department of a pediatric, academic
hospital were called A approximately 24 hours af t er discharge a nd asked to
complete a telephone questionnaire. Data were analyzed using descriptive
statistics. RESULTS: At least one adverse effect was reported in 42% of
participants after discharge. This included lethargy (12%), vomiting (7%),
behavioral changes (7%), headache (6%), balance/gait disturbances (5%), nausea
(4%), sleep disturbances (4%), nightmares (4%), hallucinations (2%), and ear pain
(0.2%). CONCLUSIONS: Children experience minor adverse effects from sedation
after discharge from the emergency department. Anticipatory guidance about these
adverse effects should be given to parents and caregivers prior to discharge.
PMID- 18041329
TI - Stress and coping responses to proficiency testing in school-age children.
AB - Nurses encounter school-age children experiencing multiple stressors and stress
symptoms. Performance on proficiency tests is viewed as stressor. The purpose of
this repeated measures study was to assess 53 fourth grade children's appraisal
of proficiency tests, concurrent stressors, stress symptoms, and coping
strategies. During October, February, March, and April, children completed a
ranking of their stress associated with proficiency testing and also reported
their stressors, stress symptoms, and coping strategies. Results indicated that
children appraised proficiency tests as most stressful at the beginning of the
school year but less stressful at the time of the test. Stressors and stress
symptoms increased from baseline to 1 month before testing then declined. The
number of coping strategies used by the children decreased throughout the year.
Nurses can work with parents and teachers to identify children with test anxiety
and target these children for interventions to improve their coping strategies.
PMID- 18041330
TI - Parenting children under three years of age in a south Indian setting.
AB - A cross sectional study design to assess the knowledge, attitude, and practice of
mothers regarding parenting of children less than 3 years of age was conducted
with 120 mothers from both rural and urban areas of South India. Mothers were
interviewed in their homes using a structured questionnaire. The nutritional
status of their children was assessed by Anthropometry IAP (Indian Academy of
Paediatrics) classification and Waterloo's classification were used to assess
their children's nutritional status, and the Thiruvananthapuram Development
Screening Chart [TDSC] was used to assess the children's development. The
majority of mothers had moderately adequate knowledge regarding parenting. The
mother's level of education had a significant association (p < 0.05) with their
practice and attitude. The study brought out the association between
sociodemographic variables and knowledge, attitude, and practice of mothers and
its impact on growth and development of their children. Results provide direction
for nurses in developing better life style education and parenting training
programs.
PMID- 18041331
TI - The many roles of families in family-centered care--part VI.
AB - The mother of a child with cystic fibrosis describes her journey from sorrow and
fear to hope. Her journey to hope began as partnership with a knowledgeable
pediatrician and cystic fibrosis team. The journey wound through demanding care
requirements, anguishing questions, and new realizations. The support of friends,
and immersion in information about the disease, were also empowering. An
introduction to the Cystic Fibrosis Foundation led this mother to volunteering,
then to serving on a chapter Board of Directors, and finally to employment by the
Foundation as a fundraiser. Both parents and health care organizations benefit
from such arrangements. As this author states: "Working with the Cystic Fibrosis
Foundation gives me a 'big picture' view of the state of my daughter's disease,
and a sense of contributing a small part to the product of its big mission to
cure and control CF, and [gives me] hope."
PMID- 18041332
TI - She passed out again. Astrocytomas.
PMID- 18041333
TI - How low can you go? Diastolic hypotension.
PMID- 18041334
TI - The role of pediatric primary care providers in parental smoking cessation:
assessing and motivating parents to quit.
AB - Children and adolescents who are exposed to smokers in their household are 3
times more likely to initiate smoking themselves (Winickoff, Berkowitz, et al.,
2005). If successful in getting parents to quit smoking, pediatric providers will
have helped parents and their families improve quality of life standards in a
variety of ways, including adding 7 years to the parent's life and eliminating
the majority of second-hand smoke exposure in children. The purpose of this
clinical article is three-fold; (1) to discuss the role of pediatric primary care
providers in parental smoking cessation, (2) to discuss the obstacles faced by
parents in smoking cessation and how the primary care provider can help them to
surmount them, and (3) to discuss the obstacles faced by pediatric primary care
providers in assisting parent smoking cessation and options to surmount them.
PMID- 18041335
TI - Financial analysis of acetaminophen suicide in a teen girl.
AB - There is no doubt that prevention and primary care treatment is less costly than
emergency room efforts and tertiary care to save someone's life. Preventive
health care is the cornerstone of any health care system designed to reduce costs
and human suffering (American Nurses Association, 1997). Unfortunately, emergency
room and intensive care nurses frequently care for critically ill children and
adults who suffer from conditions that might have been prevented. This case study
presents the financial breakdown of the costs at each level of care for an 18
year-old college student who suffers terrible consequences of an acetaminophen
overdose.
PMID- 18041336
TI - Foot soldiers against infectious diseases: nurses, families, and immunization in
the twentieth century.
PMID- 18041337
TI - Homosexuality in children and/or their parents.
PMID- 18041338
TI - The gift of life: a nursing grand rounds presentation on organ and tissue
donations.
PMID- 18041339
TI - School guidelines for managing students with food allergies.
PMID- 18041340
TI - Braces: treatments to ensure a healthy smile.
PMID- 18041341
TI - Childhood vision. What the research tells us.
PMID- 18041342
TI - When your child is diagnosed with diabetes: parents' questions for the healthcare
team.
PMID- 18041343
TI - On being a school nurse: the value of reflection and humor.
PMID- 18041344
TI - Weighing in on disordered eating.
PMID- 18041346
TI - The medication-adherence dilemma.
PMID- 18041345
TI - Identifying and treating anaphylaxis in the school setting.
PMID- 18041347
TI - The heart of the matter: the relationship between communities, cardiovascular
services, and racial and ethnic gaps in care.
AB - Racial and ethnic disparities in cardiovascular care are greatly influenced by
market factors and how the health care system is organized. This study examines
key health system factors that contribute to disparities in cardiac care among
racial and ethnic minorities in the United States. A market assessment,
consisting of site visits, interviews, and data collection from key health care
providers in 10 communities, was undertaken to identify common characteristics in
the health care markets and to explore how these factors may drive disparities in
cardiac care.
PMID- 18041348
TI - Thiazolidinediones and slowing the progression of diabetes.
AB - A continuing goal of treatment of diabetes is to slow the progression of the
disease through the preservation of beta-cell function. To assess efficacious and
cost-effective methods of doing this, The Pharmacy & Therapeutics Society
(Glastonbury, CT) assembled a study group of health plan medical directors. Based
on a review of the data, the group studied the value of earlier diagnosis and the
administration of thiazolidinediones. The potential role for health plans to
support this approach to diabetes care is outlined below.
PMID- 18041349
TI - Measurement of physician quality in manage care.
PMID- 18041350
TI - Certification program offers new competencies for chronic-care improvement.
PMID- 18041351
TI - From silos to synergy.
AB - Texas Children's Health Plan (TCHP) redesigned its approach to care management in
an effort to provide support for member-centric care and the medical home. The
changes in process and structure focused on connecting information and programs
to promote care for members in a collaborative manner and taking advantage of the
synergy between staff, programming, and the physician practices serving health
plan membership. The results brought about an improvement in job satisfaction,
positive change in the medical-loss ratio, and new innovations to support
preventive and chronic care service delivery needs of the TCHP membership.
PMID- 18041352
TI - Who should determine the psychiatric BSN curriculum?
PMID- 18041353
TI - Limitations of evidence in the practice of evidence-based medicine.
AB - The goal of evidence-based medicine (EBM) is to support population-based policies
and individual medical decisions that serve to improve health outcomes through
the use of the most proven effective treatments. The essence of EBM is to use
evidence (typically research data) related to the effectiveness of medical
interventions to guide decisions about whether to use those interventions in
clinical practice. The quality of evidence is the critical component in
understanding and using EBM. This article discusses the kinds and limitations of
the evidence used in the practice of EBM.
PMID- 18041354
TI - Caring for the caregivers.
AB - National Family Caregivers month is a reminder of the invaluable contributions of
family caregivers--contributions that are too often unrecognized when addressing
the costs of health care. Unpaid caregivers spend a substantial percentage of
each week "helping out," often with older relatives, which requires major changes
in their work patterns. It is clear that these caregivers may need help balancing
their work and caregiving responsibilities, and it is tempting to want to help
them by "taking over" some of the seemingly overwhelming tasks they face daily.
Yet, it is also important to recognize the ways in which these tasks may enrich
caregivers' own quality of life. Through listening to caregivers, nurses and
other health care professionals can better understand the embedded values, goals,
joys, and disappointments that connect their lives with those for whom they care.
PMID- 18041355
TI - Mindfulness: an intervention for anxiety in schizophrenia.
AB - Despite evidence that individuals with schizophrenia spectrum disorders
experience significant and persistent symptoms of anxiety, there are few reports
of the use of empirically supported treatments for anxiety in this population.
This article describes how we have tried to adapt mindfulness interventions to
help individuals with schizophrenia who experience significant anxiety symptoms.
Although mindfulness has been widely used to help individuals without psychosis,
to our knowledge, this is the first study adapting it to help those with
schizophrenia manage worry and stress. We provide an overview of the intervention
and use an individual example to describe how our treatment development group
responded. We also explore directions for future research of mindfulness
interventions for schizophrenia.
PMID- 18041356
TI - The Transitional Discharge Model: comparing implementation in Canada and
Scotland.
AB - Effective discharge planning is needed to facilitate clients' transition from
psychiatric hospital wards to community care. Previous studies have shown that
client outcomes can be improved by using a Transitional Discharge Model (TDM)
that includes peer support and an extension of inpatient-practitioner
relationships that are introduced prior to discharge. However, countries vary in
many ways that may affect implementation of the model. This article describes
some of the similarities and differences related to introducing transitional
discharge in two countries: Canada and Scotland. It is important to elucidate
facilitators and challenges in implementing the TDM to identify and disseminate
strategies to aid implementation. Implications for future implementation of the
model are also discussed.
PMID- 18041357
TI - Well balanced: 8 steps to wellness for adults with mental illness and diabetes.
AB - Serious mental illness places a tremendous burden on clients, their families, and
behavioral and medical health care providers. The co-occurrence of diabetes with
mental illness may further compromise daily functioning. Psychiatric nurses can
make a significant difference in improving the health and medical outcomes of
this client population. A partnership was developed between the University of
Rochester School of Nursing and the Western New York Care Coordination Program to
evaluate a novel nursing model for adults with both serious mental illness and
diabetes mellitus. The Well Balanced program incorporated health promotion,
disease management, nursing care management, and evidence-based practice
guidelines into 8 Steps to Wellness for a community-based mental health
population. During a 16-visit intervention period, psychiatric nurses interacted
with 74 clients. As a result of the program, clients experienced improvements in
health risk status and in their hemoglobin A1C and reported high satisfaction
with the Well Balanced program.
PMID- 18041358
TI - Quality of life evaluation by the EORTC QLQ-C30 questionnaire in patients treated
with hormonal treatment in Italy. A QuABIOS group study.
AB - OBJECTIVES: An observational study was planned by the QuABIOS group, to survey
the hormonal treatment administered to prostate cancer patients in Italy within a
time window of 12 months. We report here a prospective quality of life (QOL)
evaluation over time and by hormonal treatment modalities. METHODS: Patients with
diagnosis of prostate cancer and treated with hormonal therapy were eligible for
this study. The EORTC QLQ-C30 v.3 questionnaire was administered at enrolment,
after 6 months and after 12 months from enrolment. RESULTS: 587 patients were
enrolled by 33 urological centers. When 1518 visits were considered together
independently of time, antiandrogen monotherapy was associated with a
significantly better QOL than LHRH-analogue containing treatment modalities in
almost all functional scales; cyproterone acetate demonstrated a better physical
function and general health status than bicalutamide. When QOL was analyzed in a
prospective 12-month window, a worsening of physical function and general health
status was observed, notwithstanding, antiandrogens remained significantly
associated to a better QOL than LHRH-analogue therapies also over time: a
favourable physical function and general health status appeared again to be
related to cyproterone acetate than bicalutamide. CONCLUSIONS: Androgen
deprivation therapy is associated with decline in QOL, particularly in the
domains of physical function, energy, and general health status. This survey
demonstrated that antiandrogens had a better QOL profile than LHRH-analogue
containing therapies;furthermore, a more favourable tolerability for cyproterone
acetate as compared to bicalutamide is suggested.
PMID- 18041359
TI - Economic evaluation of different hormonal therapies for prostate cancer. Final
results from the Quality of Life Antiandrogen Blockade Italian Observational
Study (QuABIOS).
AB - OBJECTIVE: The paper compares costs and Quality-Adjusted Life Years (QALYs) of
different hormonal therapies (HTs) administered to 275 out of 471 patients with
prostate cancer (PC) enrolled in the Quality of Life Antiandrogen Blockade
Italian Observational Study (QuABIOS), who did not change HT during the study
period. METHODS: QALYs and costs related to monoHT witk cyproterone acetate (CYP)
(42 patients); bicalutamide (BIC) (41 patients); LHRH-a (96 patients) and
complete androgenic blockade (CAB) with: CYP (CYP CAB) (50 patients); BIC (BIC
CAB) (46 patients) were compared via a cost-utility analysis (CUA) adopting the
Italian National Healthcare Service (INHS) viewpoint. RESULTS: As no statistical
significant difference among the mean QALYs gained with the different HTs was
detected (p = 0.116), CUA was replaced by a cost minimization analysis (CMA).
However, the lowest and the highest mean QALYs gained per patient were registered
for BIC CAB (0.59; 95% CI: 0.50; 0.68) and for for CYP (0.75; 95% CI: 0.68;
0.82), respectively. CYP was the least costly HT, reaching the lowest and the
highest savings when compared to LHRH-a (-Euros 974.99; 95% CI: -Euros 1066.86;
Euros 883.12; p<0.0001) and to monoHT with BIC (-Euros 5887.81; 95% CI: -Euros
6143.99; -Euros 5631.64; p<0.0001). A nonparametric bootstrap sensitivity
analysis confirmed the robustness of the base case CMA. CONCLUSION: CYP is an
interesting option for curbing the INHS drug expenditure for PC patients, with a
trend towards increasing the mean number of QALYs gained.
PMID- 18041360
TI - A novel spectral ultrasonic differentiation method for marking regions of
interest in biological tissues. In vivo preliminary results.
AB - BACKGROUND: "in vivo" application of a new echographic method able to better
identify neoplastic tissue. The aim of this study was to evaluate its accuracy in
the diagnosis of prostate cancer. MATERIALS AND METHODS: Double-blind prospective
study on 60 patients (pts) submitted to both transrectal ultrasound (TRUS) of
prostate with a traditional echograph connected to a new hardware/software
platform named FEMMINA (Fast Echographic Multiparameter Multi Image Novel
Apparatus) that processes the echo signal by RULES (Radiofrequency Ultrasonic
Local EStimators) algorithm and to a prostatic biopsy (8 to 12 cores).
Histological findings of biopsies were compared to B-mode and the new ultrasound
method. RESULTS: Cancer was detected in 18/60 pts. 14 patients had positive
images with RULES, 11 with B-mode modality. The positive predictive value (PPV)
and negative predictive value (NPV) of B-mode were 42% and 79% while 77% and 90%
of RULES. Sensitivity and specificity of B-mode were 61% and 79% while those of
RULES were 77% and 90%. B-mode diagnostic accuracy was 63% and RULES accuracy was
86%. CONCLUSIONS: Results obtained with RULES are encouraging but they need
further studies for its application in clinical practice.
PMID- 18041361
TI - The cost of treatment and follow-up of bladder cancer in Italy.
AB - OBJECTIVES: To evaluate the costs of bladder bladder cancer treatment and follow
up. MATERIALS AND METHODS: A purely economic analysis was carried out evaluating
in our Institution a) the cost of an hospital stay of patients submitted to
transurethral resection of superficial bladder cancer and to radical cystectomy
for invasive bladder cancer, b) the cost of endovesical immuno-chemotherapy
performed as day hospital regimen, c) the cost of the first year of follow-up
according to European Association of Urology's Guidelines as outpatient regimen.
RESULTS: The total cost related to a superficial tumour of the bladder treated
with an endoscopic resection amounts to Euro 2,242.20, while in case of invasive
bladder cancer treated with a radical cystectomy it comes to Euro 6,860. For
chemo-immunotherapy the costs in a day hospital regimen related to a 8-weekly
session of mitomicin, 6-weekly session of epirubicin and BCG are Euro 1,420, Euro
1,037 and Euro 975, respectively. The first year of follow-up for a patient with
low risk of superficial bladder cancer without recurrence costs Euro 265.15. In
case of high risk superficial bladder cancer the cost reaches Euro 321.75. In
case of a patient with invasive bladder cancer in the first year, when follow-up
is more strict, expenses amount to Euro 548.90. CONCLUSIONS: Cancer bladder is a
high-cost illness due, in particular, to its heterogeneity in presentation and
high rate of recurrences which makes any evaluation underestimated. The
possibility of containing costs is essentially related to optimization of the
follow-up and to the development of optimal therapeutic procedures which could
lead to a reduction in the number of recurrences.
PMID- 18041362
TI - Sexual education and temporary total androgen blockade in the fight against
heroin addiction: a new hypothesis.
AB - Various theories have been advanced to account for heroin addiction. One of the
causes, upon which little attention has, so far, been focused, concerns the
relationship with testosterone and with sexual distress. In the present report, a
new strategy in the fight against heroin use is proposed which is based upon the
assumption that testosterone, or its metabolites, would exert on drug seeking
behaviours and drug taking behaviours through a direct effect upon the rewarding
circuit or indirectly eliciting the drug craving and by leading some youths with
sexual distress to seek improvement in their sexual performance with the use of
drugs. The new strategy proposed here is based upon sexual and affectivity
education in adolescents to prevent First Drug Use and for those already
addicted, upon sexual and affectivity education and temporary total androgen
blockade with pharmaceutical agents that, in a reversible fashion, block both the
production and the effect of testosterone and their metabolites until complete
disintoxication of drug use is reached.
PMID- 18041363
TI - Fistulas between lower urinary tract and bowel: our experience in 15 years.
AB - OBJECT: Fistulas between bowel and low urinary tract are not frequent and could
be due to different causes. Diagnosis and treatment need a particular care to
assure to patient a good result. Authors report their last 15-years experience.
MATERIALS AND METHODS: From 1990 and 2005 22 patients have been quite carefully
investigated and surgically treated; 17 men and 5 women of age between 39 and 81
years old. In particular 2 vesico-ileal fistulas, 12 colo-vesical have been
treated: in all these situations we proceed by intestinal resection and fistulas
repairing at the same time. Three rectovesical and 3 recto-urethral fistulas have
been treated by fistula's way removal (with different approaches) and in a case
by preparing a definitive urinary derivation. Finally, 2 complex fistulas have
been treated by preparing a definitive urinary derivation. RESULTS: The typical
symptoms presence must be carefully researched because it could be useful in
diagnosis; radiological and endoscopic procedures could be useful for treatment
planning, also if they have a quite low sensibility. In 20 cases, the treatment
has achieved a good and lasting result. In 1 case we had a relapse, in another
one patient died for sepsis. CONCLUSION: Diagnosis of fistulas has to be quite
careful and it is necessary to plan the treatment, that is always surgical, also
considering that sometimes it's leading to serious complications.
PMID- 18041364
TI - A cost comparison of laparoscopic versus open radical cystoprostatectomy and
orthotopic ileal neobladder at a single institution.
AB - AIM OF THE STUDY: To evaluate the specific cost components in two similar groups
of patients who underwent laparoscopic or open radical cystoprostatectomy with
orthotopic ileal neobladder at our Institution. METHODS: Between November 2000
and July 2004, 20 men with transitional cell carcinoma of the bladder underwent
laparoscopic (group 1) or open (group 2) radical cystoprostatectomy with
orthotopic ileal neobladder. We evaluated the costs of hospital stay, surgical
consumables and operating room occupation. At our Institution one day of hospital
stay costs Euro 625 while one hour of operating room costs Euro 520 (professional
fees included). RESULTS: Hospital stay and operating room occupation in group 1
and 2 were statistically different (respectively 395.5 versus 275 min and 10.5
versus 18.9 days). Surgical consumables cost Euro 637 for patients in group 1
versus Euro 270 for patients in group 2. With the considered issues, at our
Institution laparoscopic radical cystoprostatectomy costs Euro 10,626 whereas
open radical cystoprostatectomy costs Euro 14,465. CONCLUSIONS: Laparoscopic
radical cystoprostatectomy seems associated with a shorter hospital stay and with
a reduction of costs if compared to the open approach.
PMID- 18041365
TI - Multifocal and bilateral renal oncocytoma: a case report and review of the
literature.
AB - A 78-year-old man presenting with synchronous, multifocal and bilateral renal
oncocytomas underwent a staged nephron-sparing surgery with removal of six
lesions. At 14-month follow-up the renal function was preserved and no recurrent
disease was evident. A literature review demonstrated 17 similar cases, treated
either with watchful waiting or with complete surgical removal. Reasons to prefer
the surgical option are herein substantiated.
PMID- 18041366
TI - First case of bilateral intratubular germ cell tumor in androgen insensivity
syndrome.
AB - INTRODUCTION AND OBJECTIVE: Androgen insensivity syndrome is an X-linked
recessive disorder caused by a mutation of the androgen receptor gene localized
in Xq 11-q12-b. Affected males have a female phenotype with undescended testis.
Aim of the study is the description of the first case of bilateral intratubular
germ cell tumor in androgen insensivity syndrome. METHODS: In September 2002, a
24-year-old woman with XY karyotype and childhood diagnosis of complete
testicular feminization first came under our observation. An abdominal ultrasound
showed, in correspondence with the inguinal canal, bilaterally, two oval
formations with a diameter of about 45 x 20 mm, referable to gonads. Only for the
right gonad, furthermore, the presence of a round anechoic image could be seen,
of about 1.5 cm and small multiple microcalcifications (6). Explorative
laparoscopy and bilateral orchiectomy were carried out. RESULTS: Histological
examination revealed the presence of a bilateral intratubular germ cell tumor. A
review of the literature on this subject did not yield any adjuvant therapy or
standardized follow-up for these patients. We thought it advisable not to carry
out any adjuvant therapy, and to follow the patient with abdomen/pelvic CT scans.
The current follow-up after four years is negative for relapses. CONCLUSIONS:
Post-pubertal bilateral orchiectomy, considering the relevant chances of
neoplastic progression of the undescended testis, is the therapy chosen for
patients with androgen insensivity syndrome. In patients with TIN, orchiectomy is
a valid therapeutic option, followed by a strict follow-up abdomen/pelvic CT
scan, chest XR, tumor markers every three months for the first two years.
PMID- 18041367
TI - Low velocity gunshot wound to the penis.
AB - A ricocheting 30-06 calibre bullet caused a low velocity penile injury in a 54
year-old man, shearing the right corpus cavernosum and crossing the ipsilateral
thigh and gluteal muscles. Prompt surgical exploration with meticulous
debridement and corporeal reconstruction through a degloving incision led to
excellent cosmetic and functional results.
PMID- 18041368
TI - Estradiol plasma levels elevation during follow-up for testicular Leydig-cell
tumor is not an unfailing sign of recurrence.
AB - We report a case of a benign testicular Leydig-Cell Tumor (LCT) that deceived us
because of an estradiol (E2) plasma levels elevation 27 months after radical
orchiectomy in a body builder patient with habits of red meat abuse and no
steroid assumption, without any sign of tumor recurrence. The patient was
therefore asked to stop red meat assumption and E2 plasma levels returned normal.
The restoration of red meat assumption showed a trend of increasing E2 plasma
levels above normal range. Despite the documented usefulness of E2 plasma levels
evaluation during the follow-up of LCT, elevation of this hormone could be
related to other causes and presence of the so-called evironmental xenoestrogens
may be one of these.
PMID- 18041369
TI - The view from down under: a test of the multiregional hypothesis of modern human
origins using the basicranial evidence from Australasia.
AB - Proponents of the Multiregional Hypothesis of modern human origins have
consistently stated that Australasia provides one of the most compelling examples
of regional continuity in the human fossil record. According to these workers,
features found in the earliest Homo erectus fossils from Sangiran, Central Java,
can be traced through more advanced hominids from Ngandong and are found in
fossil and recent Australian Aborigines. In order to test the hypothesis that a
close evolutionary relationship exists amongst the fossils from Australasia, this
study will examine the cranial base. This region of the skull is considered to be
evolutionarily conservative and has relatively good representation and
preservation throughout much of the Australasian record. The results of this
project highlight a number of features on the cranial base in the Ngandong sample
that appear to be unique not only within the region, but in the human fossil
sample as a whole. Several of these features, such as the morphology of the
foramen ovale, the location of the squamotympanic fissure in the roof of the
temporomandibular fossa, and the extreme expression of the postcondyloid
tuberosities have been pointed out by workers such as Weidenreich and Jacob in
their surveys of this material. The presence of these characters in the Ngandong
population, and their apparent lack of expression outside of this group, provides
strong evidence of discontinuity in the Australasian fossil record.
PMID- 18041370
TI - Variation of pelvic diameters due to different scanning positions--the
experimental study.
AB - The distortion of human pelvis X ray scans, due to different scanning positions,
can cause huge mistakes in estimation of pelvic diameters. The aim of the study
was to quantify distortion of pelvic diameters in relation to scanning
inclination angles. Twenty anatomically defined spots on the pelvis of a young
male cadaver, freed of soft tissues, were marked with 3 mm metal balls. The
digitalized X-ray scans were made with seven different but similar inclination
angles, and marked spots were recognized by computer software. Obstetrical
gynecological (Ob-Gyn), horizontal and vertical diameters were measured between
marked spots, and percentages of distortion were calculated for each new scanning
position. Twenty seven distances on human pelvis from seven X-ray scans varied
from -35.9% to 28.3%, on average 0.47%. This study has pointed to a high
variation of vertical pelvic dimensions (4.94 +/- 5.73%), consequently making
them unreliable in the estimation of general pelvic shape, and low variation of
horizontal dimensions (0.92 +/- 0.61%). Generally, the percentage of variation of
pelvic dimensions highly increases with inclination angle, in frontal and
sagittal plane. Alteration of scanning distance by 4 cm has a weak influence on
pelvic diameters. The most reliable Ob-Gyn pelvic diameter was conjugata
diagonalis, then diameters obliqua prima and secunda, with an average length
deviations of 3.4, 4.0, and 6.0% respectively. The conjugata anatomica was the
most unreliable with an average variation of 11.5%.
PMID- 18041371
TI - Science attitudes and knowledge among preclinical medical students in Pokhara,
Nepal.
AB - Knowledge of science and the scientific method are important in learning about
and using evidence-based medicine in practice. Courses in research methodology
have been introduced for both medical students and practicing doctors. In
Pokhara, the basic science subjects are taught in an integrated manner during the
first four semesters of the undergraduate medical course. Studies on students'
attitudes towards and knowledge of science are lacking in medical colleges in
Nepal. Hence the study was carried out to obtain information on students'
attitude towards and knowledge of science and scientific methodology among
preclinical medical students and note the association, if any, of students'
attitudes and their demographic characteristics. The study was carried out in
March 2005 among the students of the first four semesters at the Manipal College
of Medical Sciences, Pokhara, Nepal using a questionnaire developed by Hren and
coworkers. Two hundred and twenty students (overall response rate 73.3%)
successfully completed the questionnaire. Seventy-five respondents were Nepalese,
115 were Indians, 27 were Sri Lankans and 3 belonged to other nationalities. The
X +/- SD total attitude score was 147.4 +/- 10.8 (neutral score 135). The X +/-
SD scores on the subscales, value of science to humanity, value of scientific
methodology and value of science to medicine were 51.3 +/- 5.4, 39.6 +/- 3.7 and
58.5 +/- 5.9 (neutral scores were 36, 51 and 48 respectively). The knowledge
score measured using a set of 8 multiple choice questions was 3.3 +/- 1.4. The
attitude scores were lower and the knowledge score was comparable to that
reported previously in a study in Croatia but higher than that reported from
Southeast Europe.
PMID- 18041372
TI - Structural analysis of dental fear in children with and without dental trauma
experience.
AB - The aim of the study was to evaluate dental fear in children with and without
dental injuries in a randomly selected children in Croatia (59 girls and 88
boys). Children were divided into three groups depending on dental trauma
experience. They were also divided into two age groups: 5-8 and 9-12 years. Only
dental trauma to the permanent teeth was included in the study. The CFSS-DS, CDAS
and CMFQ were used for evaluation of dental anxiety and the ISP Hollingshead
Index of Social Position was calculated for evaluation of social status. The mean
values of CDAS, CFSS-DS and CMFQ tests revealed that the anxiety level decreases
with increasing experience of dental injury. The analysis of variance performed
for CDAS showed a significant difference between children with and without dental
trauma (p = 0,010). Regarding the groups, the analysis of variance for CMFQ (p =
0,021) and CFSS-DS (p = 0,001) showed a significant difference, as well as
regarding age (CMFQ; p = 0,001 and CFSS-DS; p = 0,016). Cronbach's alpha
coefficients revealed the highest reliability for CFSS-DS (alpha = 0,910).
Pearson's correlation coefficients revealed significant correlations between the
anxiety scores, age and ISP values for children without dental trauma, and
between the anxiety scores and age for children with repeated dental trauma. The
results of the ISP Hollingshead Index exhibited the highest frequency in children
with dental injuries who belonged to the families with poor social background
(ISP = 44-60). No significant difference was obtained between children with and
without dental injuries depending on either gender or the ISP value.
PMID- 18041373
TI - Self-reported confidence, attitudes and skills in practical procedures among
medical students: questionnaire study.
AB - The aim of our study was to investigate the influence of gender, loss of academic
year(s), confidence and attitudes of students on the clinical experience gained
by undergraduate education. The survey was conducted during 2004 and 2005 in a
sample of 182 students of the 5th and the 6th year at J.J. Strossmayer University
School of Medicine in Osijek. The participants were grouped and matched according
to their gender, regular studying, the number of time(s) student has performed
certain practical medical procedure and the self-confidence arisen by performing
one. Furthermore, participants were grouped and compared due to their own
assessment of their own practical and theoretical medical knowledge, courses
which provide them the least and oppositely--the most practical medical knowledge
and their attitude toward current medical faculty curriculum on clinical courses
as well as the possibilities of improving them. Fisher's exact test and chi2-test
were used to estimate statistical differences between the groups and the
parameters in research, while coefficient of contingency was introduced with the
aim of defining their correlation. The results showed statistically significant
differences between male students who performed more practical medical procedures
than female (p < 0.001), non-repeaters performed medical procedures more often
than repeaters (p < 0.001, C = 0.658) while repeaters thought higher of their
theoretical knowledge than non-repeaters (p < 0.005). Data analysis showed
statistically significant correlation between clinical experience and the level
of confidence (C = 0.944). This study confirmed influence of male gender, regular
studying, better opinion about one's own practical skills and higher confidence
in one's own work on greater number of clinical skills performed during
undergraduate education.
PMID- 18041374
TI - Is laparoscopic nephropexy improving the quality of life.
AB - Short- and long-term effectiveness of laparoscopic nephropexy was evaluated in
patients with symptomatic nephroptosis especially quality of life of the patients
and repositioning of the ptotic kidney. In 87 patients with symptomatic
nephroptosis laparoscopic nephropexy was performed from 1994 to 2003. In 86
patients trans-abdominal approach was used and retroperitoneal in one patient.
Visual pain scale was used for pain evaluation before surgery and six month after
surgery. At the same period creatinine serum concentration, urine examination,
i.v. urography and ultrasound in supine and erect position was made. There was
statistical significant decrease of pain from 6.5 +/- 1.055 (SD) to 2.4 +/- 1.577
(SD) (p = 0.000), according to visual scale, and decrease of urinary tract
infections (p = 0.000) in patients after laparoscopic nephropexy. Average
operative time was 45.9 +/- 8 (SD) min., and hospital stay 3.4 +/- 0.7 (SD) days.
Reposition of the kidney was successful in 70 of 80 patients. Laparoscopic
nephropexy importantly improved the quality of life in patients with symptomatic
nephroptosis. Surgical procedure was safe and successful in most of the patients.
PMID- 18041375
TI - Biomechanical pressures and upper extremity asymmetry: a study on young laborers.
AB - The purpose of this study is to reveal the impacts of biomechanical pressures of
heavy working conditions on the upper extremities of young laborers. The study
covers the examination of the upper extremities of 104 young laborers in the
industrial workshops of Ankara, Turkey regarding bilateral asymmetry. The average
age of the laborers was 18.48 +/- 0.61 years. The control group consisted of 102
non-laborers with an average age of 18.39 +/- 0.58 years. The laborers were
measured with regard to width of elbows, wrists, and hands, and the length of
hands. No significant difference between the groups was observed with the
exception of average wrist width. However, while the labor group showed
directional asymmetry in all measurements, the non-laborers exhibited directional
asymmetry only in hand width and length. Consequently, the study revealed that
biomechanical pressures tend to increase directional asymmetry in the upper
extremities.
PMID- 18041376
TI - The effects of the level of physical activity on calcaneal ultrasound
measurements: bone properties of medical and physical education students.
AB - The aim of the study was to compare bone properties of two groups of students
which strongly differ in the level of their everyday physical activity; the
School of Medicine (SM) students and the Faculty of Physical Education (FPE)
students, University of Zagreb. Quantitative ultrasound parameters--broadband
ultrasound attenuation (BUA) and speed of sound (SOS) were measured. Quantitative
ultrasound index (QUI) and estimated bone mineral density (BMD) were calculated
by the device software. The final study sample consisted of 165 students from SM
(94 males and 71 females) and 215 students from the FPE (164 males, 51 females).
Sixty eight percent of FPE students and 21% of SM students reported a high level
of everyday physical activity (P < 0.001). All ultrasound parameters were
significantly higher in FPE students than in SM students (at the P < 0.001
level). The multiple regression model of the QUI confirmed that the school
students attended was the single significant predictor variable for both genders.
Our data indirectly showed the beneficial role of physical activity on bone
properties.
PMID- 18041377
TI - Receiver operating characteristic curve analysis of BMI in assessing obesity
among adult Bengalee males in India.
AB - The present study attempted to understand the appropriateness of different body
mass index (BMI) cut-off points in assessing obesity. Four hundred thirty adult
Bengalee males with mean age 36.48 +/- 12.23 years (mean +/- SD) from West
Bengal, India were studied. Height, weight and percent body fat were measured and
BMI was derived following standard equation. Receiver operating characteristic
(ROC) curve analysis demonstrated low sensitivity and high specificity of
international (> or = 30 kg/m2) and proposed (> or = 25 kg/m2) BMI cut-off points
in identifying individuals with obesity. Furthermore, these international and
proposed BMI cut-off points also found to be in substantial misclassification to
assess obesity as much as 32.09% and 17.44% respectively. However, based on ROC
curve analysis, a BMI cut-off point of > or = 24 kg/m2 revealed optimal
sensitivity (83.66%) and specificity (90.61%) and as well as less
misclassification (11.86%) in assessing obesity among the adult Bengalee males.
The present study accentuated that the international and proposed BMI cut-off
points so far might not be appropriate in assessing obesity and on the other
hand, lower BMI cut-off point (> or = 24 kg/m2) has relatively high sensitivity
and specificity in assessing obesity as well. Therefore, the present study
envisages the requirement of further lowering down of BMI cut-off point in
assessing excess body fat in adult Bengalee males.
PMID- 18041379
TI - Age-sex and diurnal variation of blood pressure in different nutritional states
among the adult Telegas of Kharagpur in West Bengal, India.
AB - An anthropological investigation among the endogamous Telega population (106
adult individuals including 51 males and 55 females) in the district of Paschim
Medinipur of West Bengal, India shows wide range of age-sex as well as diurnal
variation of mean blood pressure (MBP) with reference to different nutritional
status. Distribution of MBP shows distinct bias for sexes separately on different
occasions and in association with age and other physiological conditions like
menopause in females. Records of increase of blood pressure from morning to
evening also indicate clear diurnal change in both male as well as in the female
samples with some variations when compared between the two sexes. Results also
help us to understand and to record the variation of blood pressure as a
physiometric trait in the population under study. Data indicate that nearly 30%
of males and more than 30% of females are living at the level of undernutrition.
Nutritional status is measured by anthropometric measurements, e.g. height,
weight, mid arm circumference (MUAC) and further calculation of body mass index
(BMI). Distribution of MBP at different BMI and MUAC levels and Pearson
correlation and regression analysis--all suggest that age, BMI and MUAC have
significant impacts on BMI with some sex-related variations.
PMID- 18041378
TI - The prevalence of cardiovascular disease risk factors in patients from Croatian
Zagorje County treated at Department of Medicine, Zabok General Hospital from
2000 to 2006.
AB - The aim of the study was to assess the prevalence of risk factors for
cardiovascular disease in patients treated for coronary heart disease (CHD) at
Department of Medicine, Zabok General Hospital during the 2000-2006 period.
Cardiovascular diseases are a group of diseases that occur due to arterial. The
risk factors that lead to the development and occurrence of cardiovascular
disease are hypertension, cigarette smoking, hyperholesterolemia,
hypertriglyceridemia, diabetes mellitus and positive family history. Additional
factors favoring the occurrence of cardiovascular disease include overweight,
inadequate physical activity, and emotional stress. Data on all patients
hospitalized and diagnosed with CHD at Department of Medicine, Zabok General
Hospital during the 2000-2006 period were analyzed for the prevalence of risk
factors for CHD, i.e. hypertension, cigarette smoking, hypercholesterolemia,
hypertriglyceridemia, diabetes mellitus and positive family history of
cardiovascular disease. Hypercholesterolemia was defined by a cholesterol level
higher than 5.1 mmol/L, hypertension from history data and blood pressure
measurement on admission greater than 140/90 mmHg, diabetes mellitus from history
data, and hypertriglyceridemia by a triglyceride level greater than 1.7 mmol/L.
Information on heredity and cigarette smoking was collected from history and a
questionnaire filled out on admission. All laboratory values were determined on
patient admission to the hospital. Analysis of the risk factors for CHD recorded
in patients from Zagorje County during the 2000-2006 period revealed hypertension
to be the most common risk factor in our patients. According to sex, CHD was
found to show a male preponderance. According to age at admission, CHD
predominated in the > 70 age group, which accounted for one third of all
patients, followed by a comparable proportion of the 50-60 and 60-70 age groups,
i.e. still active population groups. As CHD is one of the leading health threats
worldwide, estimated to remain so at least by 2020, it is fully justified to
invest all efforts in the study of cardiovascular disease. New research projects
should be focused on the prevention and early detection of the disease,
improvement of diagnosis procedures, introduction of novel therapeutic options,
use of new concepts, and due survey of the measures taken. CHD poses great
socioeconomic burden upon every community in industrialized societies because of
the ever younger age at onset. Actions should be taken to improve awareness of
the CHD risks and morbidity in the population at large, stimulating favorable
lifestyle and dietary modifications, and one's own health awareness, in order to
upgrade the control of risk factors for and morbidity of cardiovascular disease.
PMID- 18041380
TI - Atherosclerotic lesions of supra-aortic arteries in diabetic patients.
AB - The aim of this prospective study was to determine the prevalence and
localization of stenotic atherosclerotic lesions of supra-aortic arteries in
diabetic patients according to age and sex. Angiograms obtained by digital
subtraction angiography were analyzed in 150 diabetic patients (study group) and
150 non-diabetic patients (control group) with symptoms of cerebral ischemia.
Diabetic patients were found to have a significantly higher prevalence of
stenotic atherosclerotic lesions of the internal carotid artery. Lesions of the
large supra-aortic arteries were significantly more common in the left than in
the right side of the neck (p < 0.001), but the difference between the diabetic
and the non-diabetic group did not reach statistical significance. Hemodynamic
conditions were found to be more important than diabetes for the occurrence of
atherosclerotic lesions in these arteries. Changes in the proximal segment of the
left common carotid artery were the most common finding in diabetic patients,
hence attention should be paid to this localization on control examinations.
PMID- 18041381
TI - Somatotype in elderly type 2 diabetes patients.
AB - Somatotyping is a practical technique for the description of physique.
Individuals with Type 2 diabetes are characterized by physical peculiarities,
such as overweight, obesity and a central pattern of body fat distribution.
Somatotype applications to diabetes are limited. The objective of this study is
to describe the somatotype of elderly type 2 diabetes patients. The sample
consisted of 110 patients with type 2 diabetes (45 men, mean age 69.4 +/- 7.0
years; 65 women, mean age 72.9 +/- 7.1 years). The pathological subjects were
compared with a control group consisting of 280 healthy individuals (134 men,
mean age 74.2 +/- 7.3 years; 146 women, mean age 74.9 +/- 7.4 years). The Heath
Carter somatotype was applied. Diabetic men and women (mean somatotype,
respectively: 6.8-5.6-0.6 and 8.6-6.4-0.2) presented significantly higher values
of endomorphy than the controls (p = 0.043 in men, p = 0.003 in women); men also
had a lower mesomorphic component (p = 0.000). The somatotype method revealed
physical peculiarities in type 2 diabetes patients. The marked endomorphy in the
pathological individuals can be related to general fatness, which is a well known
disease risk factor. The somatotype appears to be a suitable technique for the
assessment of physique in type 2 diabetes patients.
PMID- 18041382
TI - Frequency of anticardiolipin, antinuclear and anti-beta2 glycoprotein I
antibodies in children with epilepsy.
AB - A high prevalence of epilepsies in specific immunological diseases suggests that
the immune system may play a role in the pathogenesis of epilepsy or might be
associated with it. In this study the frequency of anticardiolipin antibodies
(aCL), antinuclear antibodies (ANA) and anti-beta2-glycoprotein I antibodies
(anti-beta2-GPI) in 40 children with epilepsy and in 38 healthy subjects was
determined. Positive aCL was found in 3 patients, and anti-beta2-GPI in 1
patient. In control group they were negative. ANA antibodies were negative in
both groups. Duration of epilepsy < 1 year was observed in all three patients
with positive aCL. No statistically significant difference was found concerning
the presence of these antibodies between patients and controls. There was no
statistically significant correlation of age, sex, age at the onset of epilepsy,
duration of epilepsy, type of epilepsy, seizure frequency or specific
antiepileptic medications with the presence of any measured antibodies.
PMID- 18041383
TI - Cross-sectional study of ocular optical components interactions in emmetropes.
AB - Purpose of the paper was to evaluate ocular optical components (OOC) interactions
in a large number of emmetropes. A cross-sectional study of 1,000 emmetropes,
aged from 18-40 years, has been conducted. Complete ophthalmological examination,
corneal radius (CR) measurement, keratometry and echobiometry of both eyes were
performed. The highest correlation of OOC was that of axial length (Ax) with
vitreal body (CV) on both eyes (r = 0.79 for the right eye (RE); r = 0.81 for the
left eye (LE)). The axial length had a positive correlation with the anterior
chamber depth (ACD) on both eyes as well, but the coefficient was very low (r =
0.29 for the RE; r = 0.32 for the LE). The only negative correlation Ax had on
both eyes was with the lens (L) (r = -0.17 for the RE; r = -0.19 for the LE).
Keratometry of the horizontal (K1) and vertical meridian (K2) showed a negative
correlation with CV and Ax on both eyes (for K1 r = -0.64 for CV r = -0.54 for
Ax; for K2 r = -0.67 for CV r = -0.68 for Ax). CR had a positive correlation with
Ax (r = 0.74) and CV (r = 0.79). It showed a negative correlation with L (r =
0.58). CV had a high, positive correlation with Ax (r = 0.72 for the RE; r = 0.75
for the LE). The correlation with K1 and K2 was negative. Our study showed that
the axial length, keratometry, corneal radius, lens thickness and vitreal body
were the most important OOC that correlated with each other following a pattern
in our group of emmetropes. They interacted in such a way that in the subjects
with axial length above the average value, the vitreal body was longer but the
lens was thinner and the cornea was of less power. This could explain at least
one of the mechanisms of emmetropization.
PMID- 18041384
TI - Contact allergy to special and standard allergens in patients with venous ulcers.
AB - The aim of the study was to determine the prevalence of contact sensitivity in
patients with leg ulcers, and possible difference in the rate of contact
hypersensitivity to standard series of allergens used in patch testing, and to
particular topical agents used in local therapy of leg ulcers in special series,
patients with and without atopy. The study included 60 patients, 45 female and 15
male, aged 37-85 (mean 68.37 female and 51.13 male), 30 of them with and 30
without allergic contact dermatitis (ACD) of the leg (control group). The mean
duration of leg ulceration was 5.62 years. The two groups of patients underwent
testing to standard series allergens and target series allergens including
mupirocin, bepanthene, silver sulfadiazine, chloramphenicol +
clostridiopeptidase, betamethasone dipropionate, hydrocortisone +
oxytetracycline, momethasone, alginate, hydrocolloid, lanolin, pyrogallol,
Vaseline, permanganate, Rivanol, povidone-iodine, gentamicin, i.e. local agents
most frequently used by the patients. Contact allergic hypersensitivity to
standard series allergens was demonstrated in 25 patients with a total of 49
positive reactions and a mean of 1.6 reactions per patient. Positive reactions
were most commonly recorded to balsam of Peru, fragrance mix and neomycin
sulfate. There were 12 positive reactions to target series allergens, mean 0.4
reactions per patient. Forty-five positive reactions, mean 0.1 reactions per
patient, were recorded in the control group. Positive reactions were most
commonly demonstrated to corticosteroid ointments, lanolin and bepanthene. Study
results did not confirm a statistically significantly higher rate of
sensitization to particular topical agents frequently used in the treatment of
patients with venous ulcers. Patch testing to standard and special series
allergens should be performed in case of prolonged leg ulcer epithelization.
PMID- 18041385
TI - Helicobacter pylori infection and coronary artery disease.
AB - The aim of this investigation was to determine the seroprevalence of H. pylori in
patients with coronary artery disease (CAD). Patients with coronary artery
disease (n = 90) and control group (n = 90) were enrolled into this randomized,
multi-centre study. CAD risk factors analyzed included age, male gender, diabetes
mellitus, systemic hypertension, cigarette smoking, hypercholesterolemia and
socioeconomic status. The results of this study showed a higher seroprevalence of
Helicobacter pylori infection in patients with CAD compared to controls (78.8%
versus 58.3%, p < 0.05). However, Helicobacter pylori seropositivity was not
associated with coronary artery risk factors (smoking, body mass index, diabetes
mellitus, hypertension, total cholesterol and socioeconomic status) either in the
whole study population or in the patients and control subjects analyzed
separately (P > 0.05). Further study are needed to clarify the precise role of
Helicobacter pylori infection on the development of coronary artery disease.
PMID- 18041386
TI - Gastric myoelectrical activity in a population of healthy Croatian subjects.
AB - Myoelectrical activity of the stomach was estimated in healthy Croatian subjects
using the latest multi-channel percutaneous electrogastrograph. The aim of the
study was to determine normal values of gastric myoelectrical activity for the
population of Croatia. The study included 120 healthy subjects of both sexes,
various age groups, body mass index values, and mode of lead placement. The
measurement was performed 60 min before and 60 min after test meal. The following
parameters of gastric myoelectrical activity were analyzed: dominant frequency
(DF, c/min); dominant frequency within normal range (DFNR, %); coefficient of
variation for dominant frequency (CVDF); dominant strength (DS, mV); postprandial
increase intensity in dominant strength (PPIIDS; %); bradygastria (c/min, %);
tachygastria (c/min, %); and arrhythmia. DF for the study group as a whole was
around 3 cpm, at the normal range midpoint, and all other parameters were within
the normal limits. On postprandial measurement, the rate of arrhythmias showed a
significant decline. Age was found to influence DF, CVDF and arrhythmia in
preprandial but not in postprandial period, whereas sex influenced DF, DS and
bradygastria in preprandial period, and DF, CVDF, PPIIDS and tachygastria in
postprandial period. The mode of lead placement had no impact on the
electrogastrographic parameters observed. The values of gastric myoelectrical
activity recorded in healthy Croatian subjects were within the normal range of
the values defined by previous studies across Europe.
PMID- 18041387
TI - Predictive parameters of gestational diabetes mellitus.
AB - Gestational diabetes mellitus is a carbohydrate intolerance recognized in
pregnancy. The objective of this study was to determine the prevalence of
gestational diabetes mellitus (GDM) of all deliveries at the University Hospital
Rijeka, Croatia (34 997 deliveries over 10-year period) using 2-hour 75 g oral
glucose tolerant test and to evaluate the impact of GDM on neonatal outcomes and
mother's health. Gestational diabetes was diagnosed in 55 of 128 pregnant women
with suspected glucose intolerance. Logistic regression analysis was used to
examine the relationship between fasting plasma glucose, age, family history,
body mass index, maternal weight gain, neonatal weight, neonatal head diameter
and Apgar score in the gestational diabetes group and in the non-diabetes group.
The results indicate that fasting plasma glucose greater than 7.0 mmol/L and
maternal overweight are strong predictors for GDM and macrosomia. There was no
difference in the mode of delivery, and vitality and metabolic complications
among the infants of all analyzed mothers. We concluded that to prevent GDM as
well as to reduce the rate of macrosomic infants good glycemic control should be
initiated as soon as possible. The 2-hour 75 g OGTT is worth enough to evaluate
GDM. Women should be counseled and encouraged to lose weight before or at the
beginning of the conception period.
PMID- 18041388
TI - Stenotic occlusive lesions of internal carotid artery in diabetic patients.
AB - Diabetes deteriorates atherosclerotic changes in the arteries. The aim of the
study was to assess the prevalence and localization of stenotic atherosclerotic
lesions of the internal carotid artery (ICA) in patients with diabetes. A
prospective analysis of angiography findings was carried out in 150 diabetic and
150 non-diabetic patients with symptoms of cerebral ischemia using double-blind
angiogram readings by two independent investigators. The degree of stenosis was
determined using the North American Symptomatic Carotid Endarterectomy Trial
(NASCET) criteria. Stenoses of the proximal arterial segment accounted for the
majority of extracranial ICA stenoses, being more frequent in diabetic (left ICA
50.7%, right ICA 58.0%) than in the non-diabetic patients (left ICA 29.3%, right
ICA 32.7%). Diabetic patients revealed a more significant rate of unilateral
tandem ICA stenoses (14.0-21.3%), as well as a statistically significantly higher
prevalence of intracranial ICA stenoses (left ICA 24.0% and right ICA 17.3%) than
did non-diabetic patients (left and right ICA 3.3% each). Our results confirm
that there is a morphological basis in ICA for increased incidence of ICA lesions
in patients with diabetes as compared to those without it. Data on the incidence
of stenotic ICA lesions in diabetes suggest the importance of assessing overall
ICA status using digital subtraction angiography. Such an assessment is a
precondition for an optimal therapeutic approach, especially in diabetic patients
who are at an increased risk of cerebrovascular disease.
PMID- 18041389
TI - The analysis of walk cycle in patients with spastic cerebral palsy after surgical
management on the lower extremity.
AB - Gait pattern is a frequent problem in cerebral palsy. The aim of the
investigation is the evaluation of proximal femur normalization and/or pelvis
normalization after surgical correction and simultaneous operations on soft
tissues of lower limbs, as well as walk analysis. From 1980. to 2000. were
operated 856 patients, 476 male and 380 female, between 1-51 years. Basic walk
parameters were analyzed: step length, speed and the number of steps in one
minute (cadence). 75.25% of patients had good results with improved of centrum
collum diaphysis (CCD) and angle of anteversion (AV) or antetorsion (AT) for 70%,
and more independent walking with contracture corrections and decreased
spasticism. The best results were achieved with simultaneous two-side operations,
with patients' age between 1 and 3, achieving independent walking. More
operations at the same time significantly reduce spasticism--one anesthesia, one
immobilization, and later same time post-operative physical therapy and
satisfactory better results.
PMID- 18041390
TI - Is agility related to strength qualities?--Analysis in latent space.
AB - In this study we examined an influence of leg extensor strength qualities on
agility performance in a latent space. Male physical education students (N = 168)
were tested with three standard agility performance tests (lateral stepping, 20
yard shuttle run, and slalom run). Nine measures of leg extensor strength
qualities including explosive strength, elastic strength, and maximal strength,
were also assessed. As expected, factor analysis of all tests revealed four
relatively independent factors: explosive strength, elastic strength, agility,
and maximal strength. All three extracted strength factors were included into a
regression analysis as predictors to evaluate their influence of the agility
factor (i.e. criterion). Although the regression analysis revealed significant
multiple correlation between predictors and the criterion (R = 0.41; p < 0.001),
the amount of explained variance of agility performance by the strength factors
was rather low (17%). We conclude that the leg extensor strength qualities are
poor predictors of agility performance in physically active men.
PMID- 18041391
TI - Biomotor structures in elite female handball players.
AB - In order to identify biomotor structures in elite female handball players, factor
structures of morphological characteristics and basic motor abilities of elite
female handball players (N = 53) were determined first, followed by determination
of relations between the morphological-motor space factors obtained and the set
of criterion variables evaluating situation motor abilities in handball. Factor
analysis of 14 morphological measures produced three morphological factors, i.e.
factor of absolute voluminosity (mesoendomorph), factor of longitudinal skeleton
dimensionality, and factor of transverse hand dimensionality. Factor analysis of
15 motor variables yielded five basic motor dimensions, i.e. factor of agility,
factor of jumping explosive strength, factor of throwing explosive strength,
factor of movement frequency rate, and factor of running explosive strength
(sprint). Four significant canonic correlations, i.e. linear combinations,
explained the correlation between the set of eight latent variables of the
morphological and basic motor space and five variables of situation motoricity.
First canonic linear combination is based on the positive effect of the factors
of agility/coordination on the ability of fast movement without ball. Second
linear combination is based on the effect of jumping explosive strength and
transverse hand dimensionality on ball manipulation, throw precision, and speed
of movement with ball. Third linear combination is based on the running explosive
strength determination by the speed of movement with ball, whereas fourth
combination is determined by throwing and jumping explosive strength, and agility
on ball pass. The results obtained were consistent with the model of selection in
female handball proposed (Srhoj et al., 2006), showing the speed of movement
without ball and the ability of ball manipulation to be the predominant specific
abilities, as indicated by the first and second linear combination.
PMID- 18041392
TI - Non linear anthropometric predictors in swimming.
AB - In this paper we have tried to identify the significance and character of the
linear and non-linear relations between simple anthropometric predictors: body
height (BH), body weight (BW), and body mass index, and swimming performance:
freestyle swimming 50 (FS50) and 400 meters (FS400), in a sample of young (15
years old on average) male (N = 40) and female (N = 28) swimmers. Linear (general
model: y = a+bx) and nonlinear regression (general model: y = a+bx+cx2) were
calculated simultaneously. Morphological variables are a significantly better
predictor of the FS50 in males (BH mostly), and FS400 in females (BW mostly).
This study emphasized some of the main advantages in the nonlinear regression
calculation (including an interpretation of the relationships at a more superior
level), and consequently allowed a precise anthropometric modeling in swimming
using simple and easily measurable variables. For example, the best results in
FS400 can be expected for the subjects that are average in BW (which guarantees
solid muscle mass--the generator of force), but above average in BH (because of
the physical law of lever). In conclusion, nonlinear regressions allow one to
define the real nature of the relationships between variables, but only if
compared with the linear ones. Additionally, this study emphasized one of the
most important factors in defining possible specification-equation (e.g.
structure of the influence of the different dimensions on the sport achievement)
in different sports. In short, it underlines the importance of sampling the
appropriate sample of the subject--highly skilled athletes exclusively.
PMID- 18041393
TI - What distinguishes the Olympic level heavyweight rowers from other
internationally successful rowers?
AB - The aim of the study was to determine which of the observed variables
differentiate mostly the successful (Olympic Games contenders) from the less
successful rowers (Internationally competitive rowers) and in order for that
anthropometric and physiological profile of elite Croatian heavyweight rowers was
assessed. Twenty nine national rowing champions were divided into two groups
according to their international competitive achievements. Physiological
characteristics were assessed during a continuous progressive rowing ergometer
test. Differences were determined using the independent t-test with Bonferroni
corrections. Successful rowers had somewhat larger values of almost all of the
observed lengths, widths and girths (exceptions: chest and thigh girth). In
addition, their maximal oxygen uptake was significantly higher (5.51 +/- 0.40
L/min vs. 5.16 +/- 0.39 L/min; p < 0.05) as was their power output at anaerobic
threshold (346.3 +/-17.9 W vs. 319.2 +/- 20.1 W; p < 0.01). Less successful
Croatian rowers should target their training in the upcoming years towards
increasing, primarily, maximal oxygen uptake and power output at anaerobic
threshold.
PMID- 18041394
TI - Motor abilities at belly dance in elementary female schoolers.
AB - The aim of the study was to determine the relation between motor abilities and
belly dance performance in elementary school fifth- and sixth-grade female
students. A battery of 19 motor tests was used in a sample of 96 students twice,
i.e. at the beginning (initial measurement) and at the end (final measurement) of
the academic year. On initial measurement, five factors were isolated by the
motor space factor analysis: first factor of muscular-aerobic endurance; second
factor integrating the strength of legs, coordination of foot and hand movement,
and agility; third factor integrating explosive strength of the arms with speed
and body coordination; fourth factor defined by flexibility (muscle tone
regulation); and fifth factor integrating explosive strength of legs with
equilibrium. On final measurement, five factors were isolated as well: first
factor as a general one integrating coordination abilities, explosive strength of
legs and flexibility; second factor defined by repetitive strength of the trunk
and legs; third factor defined by rhythm coordination accompanied by flexibility;
fourth factor predominantly defined by equilibrium (accompanied by explosive
strength of throwing type and speed); and fifth factor predominantly defined by
static strength of arms and legs (accompanied by arm movement frequency). On
initial measurement, fourth factor responsible for muscle tone regulation and
second factor integrating the strength of legs, coordination of movement
frequency of arms and legs, and agility were found to be the best predictors of
belly dance performance. In this setting, the tests of forward bow (flexibility)
and sit-ups (repetitive strength of abdominal musculature) proved superior in
differentiating high performance students and those less successful in belly
dance. On final measurement, third factor named rhythm coordination (accompanied
by muscle tone regulation) and second factor defined by repetitive strength of
the trunk and legs were the best predictors of belly dance performance. In this
setting, the tests of rhythm coordination, flexibility tests, tests of repetitive
strength of the trunk and legs, and test of aerobic endurance proved superior in
differentiating high performance and less successful students in belly dance.
PMID- 18041395
TI - Impairment of proprioception after whiplash injury.
AB - Whiplash injury usually occurs in traffic accidents. Persons experienced this
injury might have an impairment of proprioception clinically expressed as
inability to determine the exact position of their heads. The aim of this study
was to examine the loss of proprioception in people who had a whiplash injury.
The study included 60 subjects with cervical spine injury, aged 20 to 50 years
and 60 healthy volunteers matched by sex and age. The instrument used for
cervical spine mobility assessment was the Cervical Measurement System (CMS),
which determines the ability of subjects to return their head in the exact
position as it was before they turned it 30 degrees left or right. Patients with
cervical spine injury showed significant impairment of proprioception in
comparison with healthy subjects (P < 0.001). The results support the hypothesis
that subject with recent cervical spine injury have incorrect perception of their
head position. Therefore, their rehabilitation should include the correction of
proprioception and head coordination.
PMID- 18041396
TI - Pulmonary compliance values provide prognosis in mechanically ventilated patients
-a randomized prospective study.
AB - Our aim was to evaluate the influence of static pulmonary compliance (Cst) on the
choice of Mechanical Ventilation(MV) method and treatment outcome. A prospective,
randomized trial conducted out at the multidisciplinary Intensive Care Unit (ICU)
included 387 patients, randomized in two groups: noninvasive MV group and
invasive MV group. Furthermore, each group was divided in two groups: Cst < or =
0.025 and Cst > 0.025 L/cm H2O. In patients with Cst > 0.025 L/cm H2O MV
duration, noninvasive vs invasive, was 92 vs 114 h, p = 0.039, time spent in ICU
118 vs 164 h, p = 0.004. In patients with Cst < or = 0.025, MV duration was 141
vs 189 h, p < 0.001, time spent in ICU 190 vs 246 h, p = 0.001, all patients were
intubated. Need for tracheostomy was 6 (11%) vs 39 (46%) patients, p = 0.005, and
ICU mortality was 15 (26%) vs 21 (25%) patients. Statistical significance in
favor of noninvasive method was confirmed in patients with Cst > 0.025 L/cm H2O
in MV duration, time spent in ICU, need for tracheostomy and intubation rate. In
the group with Cst < or = 0.025 no significant difference in treatment failure
was recorded between the two MV methods.
PMID- 18041397
TI - Evaluation of DNA damage in radiotherapy-treated cancer patients using the
alkaline comet assay.
AB - The aim of this study was to evaluate primary DNA damage and the dynamics of the
repair of radiotherapy-induced DNA lesions in non-target cells of cancer
patients. This study included patients diagnosed with different solid tumors who
received radiotherapy. The levels of DNA damage were evaluated using the alkaline
comet assay on peripheral blood leukocytes. Altogether four blood samples per
patient were collected: before and after receiving the first dose of
radiotherapy, in the middle of radiotherapy cycle, and after the last dose of
radiotherapy. The results indicate that after the first radiation dose
significantly increased levels of DNA damage were recorded in almost all cancer
patients compared to their baseline values. Specific patterns of DNA damage were
recorded in samples analyzed in the middle of radiotherapy and after receiving
the last dose, indicating the possibility of adaptive response in some patients.
Our results indicate that persistence of post-irradiation damage in peripheral
blood leukocytes (and possibly in other non-target cells) of cancer patients that
are strong determinants for the secondary cancer risk. Moreover, the alkaline
comet assay was confirmed as a rapid and sensitive assay for the assessment of
genome damage after in vivo irradiation.
PMID- 18041398
TI - Genetic diversity of 15 STR loci in a population of Montenegro.
AB - Genetic diversity and forensic parameters based on 15AmpFlSTR Identifiler short
tandem repeat (STR) loci (D8S1179, D21S11, D7S820, CSF1PO, D3S1358, TH01,
D13S317, D16S539, D2S1338, D19S433, vWA, TPOX, D18S51, D5S818 and FGA) were
evaluated in a sample of 101 unrelated, autochthonous adults from Montenegro.
After applying Bonferroni correction, the agreement with Hardy-Weinberg
equilibrium (HWE) was confirmed for all loci with the exception of D5S818 (chi2
test) and D21S11 (exact test). The combined power of discrimination (PD) and the
combined power of exclusion (PE) for the 15 studied loci were
0.9999999999999999844 and 0.99999382, respectively. According to measures of
within-population genetic diversity, D2S1338, D18S51 and FGA may be considered as
the most variable and most informative markers for forensic testing and
population genetic analyses out of the 15 analysed loci in a population of
Montenegro. D5S818 showed to be the least variable and together with TPOX, the
least informative. Interpopulation comparisons were carried out and levels of
genetic differentiation between population of Montenegro and five South-eastern
European populations (Kosovo Albanians, Serbians from Vojvodina province,
Macedonians, Bosnians and Croatians) were evaluated. The most differentiated
population in relation to Montenegro is a population of Kosovo Albanians as
suggested by both AMOVA and coefficients of genetic differentiation (F(ST) and
R(ST)).
PMID- 18041399
TI - HLA class II polymorphism in autochthonous population of Gorski kotar, Croatia.
AB - The aim of this study was to examine frequencies and haplotypic associations of
HLA class II alleles in autochthonous population of Gorski kotar (Croatia). HLA
DRB1, -DQA1 and -DQB1 alleles were determined by DNA based PCR typing in 63
unrelated inhabitants from Gorski kotar whose parents and ancestors were born and
lived in tested area for at least over four generations. A total of 13 HLA-DRB1,
12 DQA1 and 14 DQB1 alleles were identified. The most frequent HLA class II genes
in Gorski kotar population are: HLA-DRB1*13 (af = 0.150), -DRB1*03 (af = 0.142),
DRB1*07 (af = 0.119), and -DRB1*11 (af = 0.119), HLA-DQA1*0501 (af = 0.278),
DQA1*0102 (af = 0.183), -DQA1*0201 (af = 0.127) and HLA-DQB1*0301 (af = 0.157),
DQB1*0201 (af = 0.139), -DQB1*0501 (af = 0.111). We have identified 24 HLA class
II three-locus haplotypes. The most common haplotypes in Gorski kotar population
are DRB1*03-DQA* 0501-DQB1*0201 (0.120), DRB1*11-DQA1*0501-DQB1*0301 (0.111) and
DRB1*07-DQA1*0201-DQB1*0202 (0.094). The allelic frequencies and populations
distance dendrogram revealed the closest relationships of Gorski kotar population
with Slovenians, Germans, Hungarians and general Croatian population, which is
the result of turbulent migrations within this microregion during history.
PMID- 18041400
TI - Rapid prenatal diagnosis of numerical aberrations of chromosome 21 and 18 by PCR
STR method.
AB - In this study we reported the results for the first time of applying Polymerase
Chain Reaction-Short Tandem Repeats (PCR-STR) method in the field of detection of
aneuploidies for chromosomes 21 and 18 in Croatians. The aims of the study were:
(I) validation of the diagnostic informativeness of 6 STR loci (D18S51, D18S858,
D18S535, D21S1435, D21S1411, and D21S1414) in sample of 205 unrelated healthy
individuals; (II) evaluation of diagnostic power of the PCR-STR method for those
6 microsatellites; (III) establishment protocol for use STRs as routine method
for rapid prenatal detection of trisomy 21 and 18. DNA samples were amplified by
fluorescence-based PCR reaction, subjected to electrophoresis in automated laser
fluorescence DNA sequencer (ALFexpress). Results of our study were: (I) all 6
tested loci are informative (68-85% of heterozygous individuals); (II) comparison
between PCR-STR method and conventional cytogenetics did not revealed any false
positive or false negative results; (III) in prenatal screening of 105 samples of
uncultured amniotic fluid 6 (5.7%) samples with chromosomal abnormalities were
identified.
PMID- 18041401
TI - Digit ratio (2D:4D) in Lithuania once and now: testing for sex differences,
relations with eye and hair color, and a possible secular change.
AB - The second-to-fourth digit ratio (2D:4D) is a sexually dimorphic somatic trait
and has been proposed as a biomarker for the organizational, i.e., permanent,
effects of prenatal testosterone on the human brain. Accordingly, recent research
has related 2D:4D to a variety of sex-dependent, hormonally influenced traits and
phenotypes. The geographical variation in typical 2D:4D is marked and presently
poorly understood. This study presents the first investigation into the 2D:4D
ratio in a Baltic country. A contemporary sample of 109 Lithuanian men and women
was compared with data from a historical sample of 100 Lithuanian men and women,
collected and published in the 1880s and rediscovered only now. The findings
included the following lines of evidence: (i) seen in an international
perspective, the average 2D:4D in Lithuania is low; (ii) there was a sex
difference in 2D:4D in the expected direction in both samples; (iii) a previously
adduced hypothesis of an association of lighter eye and hair color with higher,
i.e., more feminized, 2D:4D received no support in both samples; and (iv) the
average 2D:4D in the contemporary sample was higher than in the historical
sample. In view of a hypothesized increase in 2D:4D in modern populations, owing
to increased environmental levels of endocrine disruptors such as xenoestrogens,
this latter finding appears to be of particular notice. However, because finger
length measurement methods differed across the samples, it cannot be safely ruled
out that the apparent time trend in Lithuanian 2D:4D in truth is an artifact. The
puzzling geographical pattern seen in the 2D:4D ratio and the question of
possible time trends therein deserve further investigations.
PMID- 18041402
TI - The ecological aspect of ethnobotany and ethnopharmacology of population in
Bosnia and Herzegovina.
AB - This paper contains first systematical revision of the results on traditional use
of wild medicinal and aromatic herbs on the territory of Bosnia and Herzegovina
(B&H)--west of Balkan Peninsula; Southeast of Europe. There have been detected
227 plants belonging to 71 different plant families, which are being used with
ethno therapeutic purpose. Results were obtained by method of open ethno
botanical interview which comprised 150 persons, whose average age was 63.
Medicinal plants in ethno therapy are being used either in fresh, raw or dried
condition. Different herbal parts, depending on period of vegetation season,
sometimes even in winter, are basis for preparation of infusions (59%), decoct
(19%), tinctures (4%). Especially original are balms known as Bosnian "mehlems",
which are fresh cuted herbal parts mixed with lukewarm resin, raw cow butter or
honey. In ethno therapy are mostly being used aerial plant organs. Majority of
herbs is being used for treatment of illnesses of respiratory (22%),
gastrointestinal (19%) and urinary and genital system (9%), for treatment of skin
conditions (11%), as well as for nervous system and heart diseases (16%). The
most original plants on the field of ethno pharmacology, comparing with ethno
therapy practice of other regions, are as follows: Ballota nigra, Aesculus
hippocastanum, Calluna vulgaris, Centaurea cyanus, Euphrasia rostkoviana,
Geranium robertianum, Gentiana asclepiadea, Helichrysum italicum, Lycopodium
clavatum, Marrubium vulgare, Nepeta cataria, Populus tremula, Ruta graveolens,
Tamus communis, Teucrium montanum, T. chamaedrys, and endemic plants Gentiana
lutea subsp. symphyandra, Teucrium arduini, Micromeria thymifolia, Satureja
montana, S. subspicata, Rhamnus fallax and Viola elegantula. There haven't been
noticed significant differences in the frequencies of medicinal plants use among
different ethnical groups. But, it has been perceived that longer ethno
therapeutic tradition possess inhabitants of sub- and Mediterranean areas, as
well as inhabitants of the mountain areas of B&H, regardless their ethnicity.
PMID- 18041403
TI - Physicians' strike and general mortality: Croatia's experience of 2003.
AB - The aim of the study was to establish whether the physicians' strike, which took
place in Croatia in 2003, had an impact on the mortality of the population.
Mortality data from the National Bureau of Statistics relating to the strike
period (15 January - 14 February 2003) were selected and compared with the
previous and subsequent periods of the same duration in 2001, 2002 and 2004. Of
the 52,575 deaths in 2003, Croatia recorded 4,682 (8.9%, 95% Confidence interval
8.4-9.4) in the strike period from the 15th of January to the 14th of February
2003 or 1.1 deaths per 1000. No deviations of the 15th of January to the 14th of
February period's share of the death total in relation to other observation
periods were noted. It is impossible to associate the strike based on the figures
shown in this paper with either an increase or decrease in population mortality.
PMID- 18041404
TI - Effects of 500-year mercury mining and milling on cancer incidence in the region
of Idrija, Slovenia.
AB - The aim of the study was to determine whether the 500-year of mercury mining and
milling in the Idrija region in Slovenia and the resulting environmental
pollution with mercury and smelting wastes containing radon, has caused an
increased cancer risk of the inhabitants. The polluted and the non-polluted parts
of the region were defined. Cancer incidence from the two regions was compared.
Cancer incidence among miners was investigated separately. In the polluted area
male and female cancer incidence was higher than in the non-polluted area. Miners
had an excess of incidence of total cancer, of oral and pharyngeal cancers and of
lung cancer. As indicated by multivariate analysis the increased risk of miners
could be assigned to their smoking and alcohol drinking habits. Higher estimated
cumulative exposure to inorganic mercury seems to contribute to their risk as
well. Most of the excess cancer incidence of the population from the polluted
area could be explained by an unhealthy life style. In the case of lung cancer
radon exposure contributes to the increased risk as well. Therefore, a well
planed health promotion program and further sanitation of old houses is proposed.
PMID- 18041405
TI - Fundamental restoration of the Civic Hospital in Split (1866-1872).
AB - The aim of this study was to investigate the progress of the fundamental
restoration and enlargement of the Civic Hospital in Split between 1866 and 1872
on the basis of the previously unexplored materials, such as Shorthand reports of
the Dalmatian Parliament in Zadar kept in the Split University Library, and the
archival documents about the completion of this renewal preserved in the State
Archives in Zadar. The right author of the restoration project and the name of
the building manager were found. The data of the renewal beginning were
corrected. The findings showed that the renewal of the Civic Hospital in Split
started after the decision of the Dalmatian Parliament about its enlargement and
restoration on February 9, 1866, according to the project drafted by the
Provincial director of the public buildings doctor Ivan Lucchini. On October 7,
1871, the Dalmatian Parliament determined about the way for the continuation of
these works. The complete restoration of the Civic Hospital in Split finished in
1872. The study revealed the realization of longtime efforts for the enlargement
of hospital capacity in Split. With this restoration and appropriate
organizational structure, the Civic Hospital in Split could offer better
treatment to the patients, parturient women and foundlings.
PMID- 18041406
TI - Analysis of fibroblast growth factor influence on growth and developmental
potential of rat foetuses in the in vitro culture model.
AB - The fibroblast growth factor's (FGF) influence on the growth and differentiation
of 8- and 9- day-old rat foetus has been studied, whereas foetuses were grown in
an in vitro culture model. Proliferation was analysed by the expression of
proliferating cell nuclear antigen (PCNA). It was established that the usage of
FGF in the first period of the culture lowers the growth no matter the foetus age
at the moment of culturing and no matter whether it is a medium with or without a
serum. If FGF is applied in the second culture period, it also lowers the growth,
however younger foetuses in the in vitro culture model are more sensible to FGF
negative influence. When FGF was applied in a lower concentration the growth of
whole foetuses was improved in the in vitro culture model, which shows that the
FGF influence on growth depends on the concentration. Stereological analyses have
been done and showed that, in the in vitro culture model, FGF has no influence on
proliferating cartilage tissue, but it stimulates the survival of nervel tissue
cells. It has been shown that the quantitative research of growth processes in
cultivated foetuses can precisely be done by combining classic methods of
measuring whole foetus diameters and analysing the expression of proliferating
antigen.
PMID- 18041407
TI - MTHFR C 677T mutation and 4G/5G PAI-1 polymorphism in patient with polycystic
ovarian syndrome.
AB - Combined oral contraceptives (Ocs) are the most commonly used androgen
suppressors and the treatment of choice for menstrual dysfunction in women with
polycystic ovarian syndrome (PCOs). Although OCs have remained popular due to
their convenience and effectiveness, there have been continuing concerns about
adverse effects. The OCs have long been known to incur and increased risk of
venous thromboembolism especially in carriers of common inherited thromboembolic
defects. Factor V Leiden, prothrombin factor G20210A polymorphism, MTHFR (C677T)
mutation and 4G/5G polymorphism of the PAI-1 gene account for the majority of
thromboembolic events in association with oral contraceptive use. The aim of the
article is to present woman with unrecognized inherited thrombophilia who was
treated with OCs due to PCOs signs.
PMID- 18041408
TI - Regeneration of the skeleton by recombinant human bone morphogenetic proteins.
AB - Recombinant human bone morphogenetic proteins (rhBMPs) have past a long journey
in human orthopaedic surgery during the last 15 years. From the first reports of
the use of rhBMPs in hostile environments such as critically-sized bone defects,
avascular femoral head necrosis, unstable thoracolumbar vertebral fractures,
instability between the atlas and axis due to rheumatoid arthritis; over the use
for nonunions of long bones and the scaphoid, reconstructive and revision
surgeries of the hip, acute fractures, allograft nonunions, congenital
pseudarthrosis, and various approaches of lumbar and cervical spine fusions,
rhBMPs overgrow to a safe and reliable device in the treatment of open tibial
shaft fractures, nonunions of long bone fractures, anterior lumbar interbody
fusion and revision posterolateral lumbar fusions. Systematic review of the
published literature of rhBMPs is presented.
PMID- 18041409
TI - Fetal biometry charts for normal pregnant women in northeastern Thailand.
AB - OBJECTIVES: 1) To construct normal fetal biometry charts of fetal biparietal
diameter (BPD), head circumference (HC), abdominal circumference (AC), and femur
length (FL) from 14 to 41 weeks of gestation in northeastern Thailand. 2) To
compare the results with other studies. STUDY DESIGN: A cross sectional
descriptive study. SETTING: Division of Fetal Diagnosis and Therapy, Department
of Obstetrics and Gynecology, Faculty of Medicine, Srinagarind Hospital, Khon
Kaen University. MATERIAL AND METHOD: The fetuses of 635 pregnant women with an
uncomplicated singleton pregnancy between 14 and 41 weeks of gestation in
northeastern Thailand from 1 October 2005 to 31 December 2006. All recruited
pregnant women enrolled had an abdominal ultrasonography for fetal biometry and
the results were compared with other studies using student's T distribution. MAIN
OUTCOME MEASURES: Fetal biometry charts for normal pregnant women between 14 and
41 weeks of gestation in northeastern Thailand. RESULTS: Six hundred and twenty
eight normal fetuses from 635 pregnant women were measured for fetal biometry
charts. The comparison of the presented charts with others was significantly
larger than the North in all parameters (except AC), but was consistent to those
from the South (only BPD and FL). However when the authors compared then with
central Thailand and Western countries, there were only significant differences
in some gestational ages. CONCLUSION: The authors established normal fetal
biometry charts for northeastern Thai pregnant women that could be implemented in
the population of this region.
PMID- 18041410
TI - Experience on triple markers serum screening for Down's syndrome fetus in Hat
Yai, Regional Hospital.
AB - OBJECTIVES: To summarize the experience and evaluate the performance of the Hat
Yai maternal serum screening (MSS) program. SETTING: The Hat Yai MSS program
between 16 February 2003 and 11 March 2004. MATERIAL AND METHOD: The uptake of
screening was 999 in 1,040 women (96.0%), between 14 to 20 weeks of gestation
with the triple markers: Alpha-fetoprotein (AFP), human Chorionic Gonadotropin
(hCG), and unconjugated Estriol (uE3) by Immulite chemiluminescent immunoassay
system, Diagnostic Product Corporation (DPC). The risk cut-off for Down 's
syndrome is one in 250 or greater, based on software for prenatal Down's syndrome
risk calculation, by Prisca 3.5 DPC. RESULTS: There were 119 in 999 cases (11.9%)
of the triple test positive. Amniocentesis had been performed on voluntary basis,
and the uptake rate of amniocentesis following a positive Down's syndrome
screening was 104 in 119 cases (87.3%). Based on clinical diagnosis of Down's
syndrome in the newborns of non-amniocentesis mothers, assuming that normal
looking babies were not Down's syndrome, the sensitivity (SENS), specificity
(SPEC), positive predictive value (PPV), and negative predictive value (NPV) of
all chromosomal abnormalities were 85.7%, 88.6%, 5.0%, and 99.8% respectively.
The false positive rate was 113 in 992 cases (11.4%). Whereas, the SENS, SPEC,
PPV and NPV of Down's syndrome were 100%, 88.4%, 3.4%, and 100% respectively. The
false positive rate was 115 in 995 cases (11.6%). The mean level, median level,
and multiple of median (MoM) of triple markers were demonstrated. CONCLUSION: The
Down's syndrome screening is a systematic application of a test to identify
subjects at increased risk of a specific disorder; of course it is not
diagnostic, but to benefit making decision regarding further amniocentesis. The
sensitivity of Prisca 3.5 software was satisfactory but false positive rate was
remarkably high. It needs further standardization with adjusted MoM values.
PMID- 18041411
TI - Impact of bottle feeding prohibition on exclusively breastfeeding.
AB - OBJECTIVE: To compare the time of colostrum expression and quantity of breast
milk between early postpartum women who practiced exclusively breastfeeding and
who had combined breast and bottle feeding. MATERIAL AND METHOD: Seventy mothers
who delivered term normal, singleton infants were voluntarily recruited during
immediate postpartum period and allocated into two groups. Study group was 35
mothers who practiced exclusively breastfeeding and 35 mothers who practiced
combined breast and bottle feeding were control group. Expression of colostrum at
12, 24, and 48 hours and quantity of breast milk at 48 hours after delivery were
evaluated and compared between two groups. RESULTS: At 12 and 24 hours after
delivery, 65.7% and 88.6% of study group had colostrum compared to 37.1% and
68.6% in control group, respectively. The difference was significantly higher in
study group. However, at 48 hours after delivery, there was no statistical
difference in both groups. Quantity of breast milk at 48 hours after delivery was
5 mL (2, 10) in the study group compared to 2 mL (0, 8) in the control group,
which was significantly different. CONCLUSION: Exclusively breastfeeding since
immediate postpartum significantly promote earlier expression of colostrum and
higher quantity of breast milk.
PMID- 18041412
TI - Outcomes of pregnancies complicated by systemic lupus erythematosus (SLE).
AB - OBJECTIVE: To assess the outcomes of pregnancies complicated by systemic lupus
erythematosus (SLE) and evaluate the clinical course of the disease during
pregnancy. MATERIAL AND METHOD: The database of high-risk pregnancies between
1995 and 2006 was prospectively collected and searched for pregnancies with SLE.
The medical records were reviewed RESULTS: Sixty-eight pregnant women were
identified during the period of the present study. Of 61 (89.7%) live births, 27
(39.7%) had preterm delivery and 20 (29.4%) had fetal growth restriction. Mean
gestational age was 35.6 +/- 4.2 weeks. Mean neonatal birth weight was 2322 +/-
781 grams. There were seven (10.3%) perinatal deaths. Maternal SLE flares
occurred in 20 (29.4%), seven in the first trimester, eight in the second
trimester five in the third trimester, and none in the post partum period.
Preeclampsia is the most common maternal complication (20.6%). There was a higher
rate of flares if the pregnancy occurred while the disease was active. The
predictor of poor pregnancies outcomes included flare-up of the disease, renal
involvement, hypertension, and conception while the disease is active.
CONCLUSION: Active SLE prior to pregnancy is associated with a less favorable
maternal and fetal outcome. Hypertension increased the risk of fetal loss and
adverse outcome.
PMID- 18041413
TI - Pre-operative prediction of serum CA125 level in women with ovarian masses.
AB - OBJECTIVE: To assess the accuracy of serum CA125 at the level of more than 35
U/mL in predicting ovarian cancer using histopathology as a gold standard.
MATERIAL AND METHOD: Blood samples were obtained from 120 women with ovarian
masses scheduled for elective surgery at Siriraj Hospital between October 1, 2003
and August 31, 2004 and sent for the assay of serum CA125 levels. RESULTS: Of the
120 women enrolled, ovarian cancer was found in 59 cases (49.2%) and benign
ovarian mass in 61 cases (50.8%). The sensitivity, specificity, and accuracy of
serum CA125 at the cutoff level of 35 U/mL for prediction of ovarian cancer were
83.1%, 39.3%, and 60.8%, respectively; with 57.0% positive predictive value,
70.6% negative predictive value, 60.7% false positive rate, and 16.9% false
negative rate. CONCLUSION: As stand-alone modality, serum CA125 of more than 35
U/mL in predicting ovarian cancer revealed modest diagnostic accuracy. There is a
need to be careful for false positive in women at reproductive age group and
false negative results in early-stage disease or ovarian cancer with low level of
serum CA125.
PMID- 18041414
TI - The efficacy of lidocaine-prilocaine cream to reduce pain in genetic
amniocentesis.
AB - OBJECTIVE: Evaluate whether local anesthesia by lidocaine-prilocaine cream
decreases maternal pain during mid-trimester genetic amniocentesis. MATERIAL AND
METHOD: This randomized controlled study of mid-trimester genetic amniocentesis
was conducted between 1 October 2006 and 30 April 2007. Pregnant women were
randomized to receive lidocaine-prilocaine cream or placebo cream 30 minutes
prior to amniocentesis. Patients, blinded to allocation, recorded anticipated and
actual pain before and after the procedure. The visual analog score (VAS) was
evaluated, using a 0-10 scale. RESULTS: One hundred and twenty women participated
in the present study. Sixty women were randomized to lidocaine-prilocaine group.
The two groups were similar with respect to clinical correlations and procedure
characteristics. Anticipated pain was 6.1 +/- 2.0 in the lidocaine-prilocaine
group and 6.3 +/- 2.3 in the placebo group (p = 0.61). Actual pain was 2.3 +/-
2.2 in the lidocaine-prilocaine group and 2.9 +/- 2.5 in the placebo group (p =
0. 16). CONCLUSION: Lidocaine-prilocaine cream does not decrease pain during mid
trimester genetic amniocentesis.
PMID- 18041415
TI - A comparative study of tar and betamethasone valerate in chronic plaque
psoriasis: a study in Thailand.
AB - OBJECTIVE: Evaluate and compare the efficacy, safety, and tolerability of coal
tar (10% LCD, liquor carbonis detergens), with betamethasone valerate in the
therapy of large plaque-type psoriasis. MATERIAL AND METHOD: Patients with
stable, mild to moderate plaque psoriasis at the Department of Medicine, Lerdsin
General Hospital, Bangkok, Thailand were randomized for treatment with either
coal tar (10% LCD) cream or betamethasone valerate cream (0.1%). All patients
entered a 2 week wash-out period followed by the creams being applied twice daily
until completion at 6 weeks. The patient severity of psoriasis was assessed using
the modified Psoriasis Area and Severity Index (PASI) score at baseline and after
2, 4, and 6 weeks of treatment. RESULT: At the end of the trial, the mean
reduction of the PASI score from baseline was 38.39% with the coal tar group and
69.36% with the betamethasone valerate group. The mean percentage of the PASI
score reduction was statistically significant in both groups but the
betamethasone valerate group was significantly superior to the coal tar group.
Both drugs' adverse effects were limited to mild irritation localized to the skin
without systemic side effects. The Betamethasone valerate cream was safe,
effective, and well-tolerated while the coal tar cream was described as messy,
malodorous, and with a tendency to staining clothes. CONCLUSION: The
investigator's overall assessment of the treatment response at completion of the
trial demonstrated that the betamethasone valerate group achieved significantly
greater clearance and marked improvement compared with the coal tar group.
PMID- 18041416
TI - A predictive score for unfavorable outcome of acute asthma in the emergency room.
AB - BACKGROUND: It is sometimes difficult to decide on a safe discharge of an acute
asthmatic patient from the emergency room (ER). OBJECTIVE: To develop a
predictive score for safe discharge of an acute asthmatic patient from the ER.
MATERIAL AND METHOD: All adult asthmatic patients who visited the ER at
Ramathibodi Hospital from January 2004 to August 2005 were recruited Vital signs,
oxygen saturation, and severity factors were recorded. Salbutamol was nebulized
initially and repeatedly if the peak expiratory flow rates (PEFR) were < 70%
predicted or if unfavorable physical signs were seen. Systemic steroids were
administered to those patients whose severity factors had been identified
Patients were admitted if further treatments were needed after the 4th
nebulization. An unfavorable outcome was defined as either hospital admission or
relapse within 48 hours of the ER discharge. Univariate analysis of each variable
was performed, followed by multivariate analysis of those with statistical
significance. Predictive scores were derived from statistically significant
factors at the cutoff point of receiver-operating curve that yielded the best
area under the curve. RESULTS: There were 905 visits from 568 patients.
Predictive factors included inability to lie down on presentation and wheezing or
low PEFR after the last dose of bronchodilator. A comparison of score
sensitivity, specificity, and predictive values, across different cutoffs
indicated that a score of 2 predicted an unfavorable outcome. CONCLUSION: A
predictive score based on three bedside parameters might be used for a safe
discharge of asthma patients from the ER.
PMID- 18041417
TI - Anxiety and depression in Thai epileptic patients.
AB - OBJECTIVE: To study the prevalence of depression and anxiety in Thai epileptic
patients at Songklanagarind Hospital, a tertiary care center in the South of
Thailand. MATERIAL AND METHOD: One hundred and twenty six patients were included
in the present study. A HADS questionnaire and demographics data were used for
data collection in the present cross-sectional study. RESULTS: Thirty-nine
percent of the respondents had anxiety and 20% had depression. Predictors of
anxiety by univariate analysis were being female (p = 0.033) and seizure
frequency (p = 0.001). Predictors of depression were seizure frequency (p =
0.001) and a history of trauma associated with seizure activity (p = 0.005). Age,
type of seizure, amount of medication, duration of disease, socioeconomic status,
occupation, education level, and marital status were not predictors of depression
or anxiety. CONCLUSION: There is a high prevalence of depression and anxiety in
Thai epileptics. Risk factors for depression are seizure frequency and history of
trauma while for anxiety they are gender and frequency of seizure.
PMID- 18041418
TI - Combined clinical risk indices with quantitative ultrasound calcaneus measurement
for identifying osteoporosis in Thai postmenopausal women.
AB - OBJECTIVE: To examine the diagnostic performance of clinical risk indices
combined with quantitative ultrasound calcaneus measurement (QUS) for identifying
osteoporosis in Thai postmenopausal women. MATERIAL AND METHOD: The present study
was designed as a cross-sectional investigation in 300 Thai women, aged between
38 and 85 years (mean age: 58). Femoral neck bone mineral density (BMD) was
measured by DXA (Hologic QDR-4500; Hologic, Bedford, MA, USA). A BMD T-scores <
or = -2.5 was defined as "osteoporosis"; otherwise, "non-osteoporosis". QUS was
measured by Achilles+ (GE Lunar, Madison, WI, USA) and converted to T-score. The
OSTA and KKOS score was calculated for each woman using her age and weight Women
with OSTA/KKOS scores < or = -1 and > -1 were classified as "high risk" and "low
risk", respectively. RESULTS: Using DXA as the gold standard, the sensitivity of
QUS to identify osteoporosis was lower than the sensitivity of OSTA/KKOS (60 vs.
71/74%) but the specificity and PPV of QUS were higher than OSTA/KKOS. The
sensitivity increased when using OSTA/KKOS combined with QUS to identify
osteoporosis (approximately 87-89%) while the specificity, PPV and NPV were
comparable with using clinical risk indices alone. The risk (odds ratio; OR) of
osteoporosis when QUS T-score < or = -2.5 alone was 9.94 (95%CI: 4.74-20.87),
which was higher than high risk by OSTA/KKOS alone (OR: 6.35, 95%CI: 2.99-13.47
for OSTA and 8.15, 95%CI: 3.76-17.66 for KKOS). Furthermore, individuals were
classified "high risk" from OSTA/KKOS with QUS T-score < or = -2.5SD, the risk of
osteoporosis was increased (OR: 43.68, 95%CI: 13.89-137.36 and OR: 60.92, 95%CI:
17.69-209.76 for OSTA and KKOS, respectively). CONCLUSION: Using the clinical
risk indices combined with QUS could improve the accuracy of osteoporosis
identification. This approach could be used in a primary care setting or
community-based hospital where a DXA machine is not available.
PMID- 18041419
TI - D-dimer and pulmonary arterial hypertension in systemic sclerosis.
AB - BACKGROUND: Micro-vascular thrombus is a common pathological finding in pulmonary
artery hypertension. The association between plasma D-dimer, a marker of thrombus
formation, and pulmonary artery hypertension (PAH) in patients with systemic
sclerosis is unknown. OBJECTIVE: To assess the correlation of the level of plasma
D-dimer and pulmonary artery pressure in patients with systemic sclerosis.
MATERIAL AND METHOD: One hundred and twenty nine patients with systemic sclerosis
between 19 and 75 years of age (mean, 48 +/- 11.3) entered the study. Plasma D
dimer was determined using immunoturbidimetric assay (D-dimer plus, Dade Behring
Inc., Newark, USA). Pulmonary artery pressure was estimated by Doppler
echocardiography. PAH was considered present if the Doppler echocardiography
estimated right ventricular systolic pressure (RVSP) exceeded 36 mmHg. RESULTS:
Forty-seven patients (36.4%) had PAH according to Doppler echocardiography
including 32 (68.1 %) mild (RVSP, 36-45 mmHg), nine (19.1%) moderate (RVSP, 46-55
mmHg), and six (12.8%) severe PAH (RVSP > or = 56 mmHg). No significant
correlation was found between plasma D-dimer and RVSP (r = 0. 02, p = 0. 82).
CONCLUSION: The present study demonstrated that the D-dimmer level is not
associated with the level of pulmonary artery pressure in patients with systemic
sclerosis, indicating that microvascular thrombosis may not play a significant
role in the pathogenesis of PAH in patients with systemic sclerosis.
PMID- 18041420
TI - Impact of a new guideline for central venous catheter care on sepsis in total
parenteral nutrition: experience in Ramathibodi Hospital.
AB - BACKGROUND: Total parenteral nutrition (TPN) is the essential treatment for
hospitalized patients in whom normal enteral nutrition is inadequate or not
feasible. However, TPN-related sepsis is the most serious and fatal complication
of the treatment and the catheter is the most common cause of infection.
Therefore, the Nutrition Support team in Ramathibodi Hospital has developed a new
guideline for central venous catheter care for TPN patients and has used it for
at least a year. OBJECTIVE: Survey the current incidence of TPN-related sepsis in
the hospital, the predisposing factors of the TPN-related sepsis, and the
pathogenic organisms of the sepsis. MATERIAL AND METHOD: Between July 1999 and
February 2000, 52 TPN treatments (catheter count) in 40 surgical and medical
patients were prospectively recruited. Microbiological studies were done in all
cases of TPN-related sepsis. RESULTS: The incidence of TPN-related sepsis was 15%
per catheter or 12.64/1000 catheter-days. Although no statistically significant
predisposing factors were found for the sepsis, some factors such as
postoperative TPN and short interval (< or = 2 days) for TPN line change (OR =
3.33, 95% CI = 0.33-30.34) showed a higher risk for TPN-related sepsis. The most
common pathogenic organisms were Coagulase-negative staphylococci, Candida
albicans, and gram-negative bacteria. The organisms were found from hemoculture
in septic patients and were well correlated with those found in the catheter
line. Thus, the significant pathogenic role of Coagulase-negative staphylococci
emphasizes the importance of aseptic technique during catheterization.
CONCLUSION: The Ramathibodi guideline rendered support for a good policy to
improve and standardize the TPN treatment. Along with a practical guideline, the
well-trained and highly responsible personnel would also be crucial to avoid the
infectious complications.
PMID- 18041421
TI - Septic death in adults at Surin Hospital: an investigation of real-life clinical
practice vs. empirical guidelines.
AB - OBJECTIVE: To explore the cause of death from sepsis and to evaluate the hospital
practice in septic patients. MATERIAL AND METHOD: A cross-sectional,
retrospective study was conducted between October 2004 and September 2005, at
Surin Hospital. The present study included 119 adults (> or = 15 year of age) who
were admitted with community-acquired sepsis. RESULTS: According to the ACCP/SCCM
definition, 85.7% of the patients had severe sepsis and up to 71.4% had septic
shock. The overall hospital mortality was 73.9% for septic patients and 88.2% for
patients in septic shock. The factors that were significantly associated with
death from sepsis were age > or = 60 years, presence of co-morbidity, septic
shock, organ dysfunctions > or = 3, and acidosis (HCO3 < 20 mEq/L). During
hospitalization, 5.9% of patients received ICU care, 29.4% adequate fluid
resuscitation, but none had been monitored for Svo2 or Scvo2, and 36.4% had more
than a 1-hr delay in the administration of antibiotics. The main cause of death
was refractory hypotension (77.3%), in which the amount of fluid therapy during
initial resuscitation was significantly associated with the survival of septic
shock. CONCLUSION: Septic shock is the most common cause of death in septic
patients. Delayed and inadequate hemodynamic management, including a delay in the
administration of antibiotics are the main problems in real-life clinical
management of septic patients.
PMID- 18041422
TI - Diagnosis and growth hormone (GH) therapy in children with GH deficiency:
experience in King Chulalongkorn Memorial Hospital, Thailand.
AB - BACKGROUND: Diagnosis of growth hormone deficiency (GHD) needs both clinical and
biological aspects such as auxological data and GHprovocative tests, and active
metabolites of GH including IGF-I and IGFBP-3. In GHD children, rhGH has been
used worldwide with minimal serious side effects. The aims of the present study
were to describe the experience in King Chulalongkorn Memorial Hospital regarding
diagnosis and treatment with rhGH in GHD children. MATERIAL AND METHOD: Clinical
data of 173 short children was retrospectively reviewed. Two GH provocative tests
used in the present study were insulin tolerance test (ITT) and clonidine test.
To make the diagnosis of GHD, the children had to fail both GH provocative tests
(peak GH < 10 ng/ml). Baseline clinical data, IGF-I, and IGFBP-3 were compared
between the group with true positive test and the group with false positive test.
Thirty-five children with GHD, who had been treated with rhGH, were evaluated in
terms of growth response, changes of IGF-I SDS and the relationship between these
parameters. RESULTS: From the present study, ITT could diagnose GHD with true
positive 57% and false positive 43% and clonidine could diagnose with true
positive 67% and false positive 33%. Clinical data including chronological age,
bone age, HtSDS, WtSDS, IGF-I SDS, and IGFBP-3 SDS were not different between the
true positive and false positive group. rhGH with a mean dose of 29.3 +/- 4.6
microg/kg/day increased height velocity (HV) from 3.9 +/- 2.5 to 9.3 +/- 2.5, 8.1
+/- 1.5, 7.2 +/- 2.2, 6.8 +/- 2.2, 7.6 +/- 2.4, and 6.5 +/- 1.8 cm/yr after 6
months, 1, 2, 3, 4, and 5 years after treatment, respectively. This also improved
HtSDS during treatment and brought the HtSDS into the target range after 3 years
of treatment. At the end of the first year of treatment, the difference of IGF-I
SDS (DeltaIGF-I SDS) > or = 1 could predict a good response (DeltaHtSDS > or =
0.5) with sensitivity of 88.9% and specificity of 60% respectively. At the end of
the second year, DeltaIGF-I SDS > or = 1 could predict a good response with
sensitivity and specificity of 100% and 29%, respectively. CONCLUSION: From the
present study, the authors demonstrated the investigation and treatment practices
of short children with GHD. The growth response is satisfactory even with a lower
dose than suggested. In addition, measurement of IGF-I and IGFBP-3 cannot be used
in diagnosing GHD but can predict the height outcome at least by the first 2
years of the treatment. However long-term outcome need to be clarified.
PMID- 18041423
TI - Plasma levels of nitric oxide in children with congenital heart disease and
increased pulmonary blood flow.
AB - BACKGROUND: Development of pulmonary hypertension commonly accompanies congenital
heart disease; nitric oxide (NO) is evidently an important mediator of pulmonary
vascular reactivity. OBJECTIVE: Investigate the effect of pulmonary hypertension
(PH) associated with congenital heart disease on NO production. MATERIAL AND
METHOD: The authors measured plasma levels of nitric oxide-related compounds in
28 patients, aged 3 months to 12 years with congenital heart disease (CHD) and
increased pulmonary blood flow. Blood samples were obtained during their cardiac
catheterization. The subjects were subsequently divided into two groups, namely:
group 1 CHD were those with left-to-right shunt; and group 2, CHD with right-to
left shunt. RESULTS: Four patients had severe pulmonary hypertension (mean
pulmonary arterial pressure > 60 mmHg). The total levels of NO-related compounds
between the two groups were not statistically different as well as the levels in
pre- and post-pulmonary artery. In patients with left-to-right shunt with mild to
moderate pulmonary hypertension, the levels of total NO-related compounds were
directly correlated with the level of pulmonary arterial pressure and pulmonary
vascular resistance (r = 0.67; p-value < 0.05, and r = 0.75; p-value < 0.05).
Additionally, in patients with severe pulmonary hypertension, the levels of total
NO-related compounds decreased when compared to the levels in patients with mild
to moderate pulmonary hypertension. CONCLUSION: The present results suggested
that the hemodynamic status of the pulmonary circulation in congenital heart
defect is at least partly correlated with the blood levels of nitric oxide.
PMID- 18041424
TI - The etiology and outcome of cerebrovascular diseases in Northeastern Thai
children.
AB - OBJECTIVE: To review the etiology and outcome of cerebrovascular diseases among
children in Northeastern Thailand. STUDY DESIGN: Retrospective, descriptive
study. SETTING: Srinagarind Hospital, Khon Kaen, Thailand. MATERIAL AND METHOD:
The authors studied 109 pediatric patients admitted between April 1995 and 2006.
RESULTS: The mean age was 11.6 years and the male-to-female ratio was 1.06:1. The
ages at onset ranged from 6 months to 15 years, while the most commonly affected
age group were children between 10 and 15 years. The authors identified 74
hemorrhagic strokes (65%) and 35 ischemic strokes (31%). The most common
etiologic factor in hemorrhagic and ischemic strokes was arteriovenous
malformations and cardiac diseases respectively. The five most common presenting
symptoms were headache, alteration of consciousness, hemiparesis, vomiting, and
seizures. The mortality rate was 22%. CONCLUSION: Knowledge of the etiologies and
outcomes of cerebrovascular disease in children should improve diagnosis and
management.
PMID- 18041425
TI - Effectiveness of mouthpiece nebulization and nasal swab stick packing for topical
anesthesia in awake fiberoptic nasotracheal intubation.
AB - OBJECTIVE: To evaluate the effectiveness of using mouthpiece nebulization and
nasal swab stick packing for topical anesthesia in awake fiberoptic nasotracheal
intubation. MATERIAL AND METHOD: This was a prospective descriptive study of 30
patients with ASA I-II who underwent elective surgery and suspected of difficult
intubation between March 2004 and June 2006. After 2% lidocaine 5 ml was
nebulizated in a micronebulizer using oxygen 10 L/min as a driving gas through a
standard mouthpiece and 10% cocaine 1 ml on cotton swab-stick was applied to the
selected nostril for 15 min, fiberoptic nasotracheal intubation was done while
the patient was awake. If the patient had severe gag or cough reflex, 1%
lidocaine 5 ml per each time could be injected through the working channel of the
fiberoptic bronchoscope. The descriptive statistics were calculated by using SPSS
version 11.0. RESULTS: The success rate of awake fiberoptic nasotracheal was
100%. The mean duration of awake fiberoptic nasotracheal intubation was 119.0 +/-
76.8 sec. The responses of the patient to instrumentation during 4 periods, i.e.:
passing the endotracheal tube into the nose, passing the bronchoscope into the
pharynx-larynx, passing the bronchoscope into the trachea-carina and passing the
endotracheal tube into the trachea were, as follows: no response in about 53.3%,
63.3%, 23.3%,and 13.3%; mild pain or reflex in about 46.7%, 10%, 70%, and 86.7%;
moderate pain or reflex in about 0%, 3.3%, 6.7%, and 0%; and severe pain or
reflex requiring more local anesthetic in about 0%, 23.3%, 0%, and 0%,
respectively. Despite complete topical anesthesia in the majority of the
patients, two patients required 5 ml more 1% lidocaine and five patients required
10 mL more of the drug through the fiberoptic bronchoscope. There was no serious
complication such as hypoxemia, arrhythmia. Twenty-four patients (80%) were
satisfied with mouthpiece nebulization and nasal swab packing because they felt
safe, did not have pain, and were comfortable; only three patients (10%) were
dissatisfied because of numbness of the tongue and difficulty in swallowing; two
patients (6.7%) had no comment; and one patient (3.3%) was unable to evaluate due
to unplanned tracheostomy. CONCLUSION: In the present study technique of topical
anesthesia using 2% lidocaine 5 mL mouthpiece nebulization and 10% cocaine 1 mL
soaked nasal swab stick packing is useful and safe for awake fiberoptic
nasotracheal intubation in patients with suspected difficult intubation. 76.7% of
the patient did not require more local anesthesia and 80% were satisfied with
this technique.
PMID- 18041426
TI - Pediatric anesthesia adverse events: the Thai Anesthesia Incidents Study (THAI
Study) database of 25,098 cases.
AB - BACKGROUND: The Thai Anesthesia Incidents Study (THAI Study) is the first
national study of anesthesia outcomes during anesthesia practice in Thailand. The
authors extracted data of 25,098 pediatric cases from THAI Study. OBJECTIVE: To
report patient, surgical, and anesthetic profiles in order to determine the
incidences of adverse events and their related factors. MATERIAL AND METHOD: A
multi-centered prospective descriptive study was conducted among 20 hospitals
across Thailand over a year from March 1, 2003 to February 28, 2004. Data in
children aged 15 years and younger describing practices and adverse events were
collected during anesthesia, in the recovery room and 24 hours postoperative
period. RESULTS: Infants (0-1 year) had a significantly higher rate of adverse
events compared with adults (4.6% versus 1.2%). Desaturation was the most common
adverse event. The adverse events happened mostly during anesthesia (67%).
Infants had significantly higher incidences of delayed detection of esophageal
intubation, desaturation, reintubation, cardiac arrest, death, and drug error
than older children and adults. Incidences of desaturation, reintubation,
difficult intubation, coma/convulsion, cardiac arrest, and death were
significantly higher in children with ASA physical status 3-5 than those with ASA
physical status 1-2. CONCLUSION: Infants are prone to higher adverse events
compared with older children and adults. Main adverse events were respiratory
related and they occurred mostly during anesthesia.
PMID- 18041427
TI - Endovascular abdominal aortic aneurysm repair in high risk patients: outcomes of
management.
AB - BACKGROUND: The concomitant cardiopulmonary disease precluded the elective repair
for abdominal aortic aneurysm (AAA) with acceptable risk. The endovascular
abdominal aortic aneurysm repair (EVAR) has become an alternative method for the
treatment of AAA with high-risk comorbidities. OBJECTIVE: Evaluate the results of
EVAR in high-risk patients with large AAA. MATERIAL AND METHOD: A prospective
study of high-risk patients with large AAA and suitable morphology who underwent
EVAR between August 2003 and August 2005 was conducted. The long-term outcomes
were observed up to December 2006. The comorbidities, size of aneurysm, types of
procedures, operative time, amount of blood loss and transfusion, length of
postoperative stay in intensive care unit and hospital, postoperative
complications and mortality were analyzed. RESULTS: Eight patients (7 males and 1
female) with the mean age of 71.4 years (range 66-83 years) were included in the
present study. The comorbidities were six of compromised cardiac status, one of
severe pulmonary disease and one of morbid obesity. The average size of aneurysm
was 6.2 +/- 0.64 centimetres. One patient also had large bilateral iliac artery
aneurysms. Seven patients underwent EVAR with bifurcated aortic stent graft and
one proceeded with aorto uni-iliac stent graft. Three patients underwent
preoperative coil embolisation into internal iliac arteries when the distal
landing zones at the external iliac arteries were considered. The mean estimated
blood loss was 369cc and the mean blood transfusion was 0.88 units. There were no
perioperative mortality, early graft occlusion, AAA rupture and open conversion
in the present study. One patient had cardiac arrest due to upper airway
obstruction but with successful treatment. Type II endoleak was observed in one
patient and successfully treated by expectant management. One limb of bifurcated
stent graft was occluded at the 5th month post EVAR and was successfully treated
by artery bypass surgery at both groins. The 3-year primary graft limb patency
was 87.5% (7/8). The survivals of patients at 1, 2 and 3 years were 100%, 100%
and 87.5% respectively. The cause of death in one patient was not related to
EVAR. CONCLUSION: EVAR may be a safe and effective alternative to open AAA repair
especially in high-risk patients.
PMID- 18041428
TI - Photodynamic therapy for AMD and non-AMD patients: one-year results in Thais.
AB - OBJECTIVE: To evaluate the effect of photodynamic therapy (PDT) with verteporfin
for age-related macular degeneration (AMD) and non-AMD in Thais, and compare with
the Treatment of Age-Related Macular Degeneration with Photodynamic Therapy (TAP)
and Verteporfin in Photodynamic Therapy (VIP) study. MATERIAL AND METHOD: The
authors prospectively evaluated all data of 51 eyes of 51 patients who had
undergone PDT and accomplished a 1-year follow up. The assessments were divided
into two categories: group 1 included three subsets of AMD, and group 2 was non
AMD. The first group classified into three subgroups: group 1A: AMD with
subfoveal choroidal neovascularization (CNV) and TAP/VIP compatible with
recommendation guidelines characteristics, group 1B: AMD with subfoveal CNV and
TAP/VIP incompatible, and group 1C: AMD with non-subfoveal CNV. The measurement
outcomes comprised of the baseline characteristics, change in visual acuity, and
number of treatments. RESULTS: Thirty-eight eyes had CNV-related AMD and 13 eyes
were non-AMD. At the 12-month examination, the mean visual acuity change in group
1A, 1B, 1C had increased 0.19 (p = 0.077), 0.14 (p = 0.076), and 0.24 (p =
0.003), respectively. The number of treatments was 1.8 in group 1A, 2.3 in group
1B, and 1.5 in group 1C. CONCLUSION: PDT is beneficial to Thai patients with AMD
at first year even if they were not compatible with TAP/VIP criteria.
PMID- 18041429
TI - Results of CO2 laser stapedotomy with Teflon piston prosthesis and autologous
blood seal.
AB - OBJECTIVE: To study the hearing results and complications in otosclerotic
patients who underwent Carbon dioxide (CO2) laser stapedotomy using Teflon piston
prosthesis and autologous blood seal. MATERIAL AND METHOD: The charts of all
primary CO2 laser stapedotomy procedures performed at Bangkok Metropolitan
Administration Medical College and Vajira Hospital between 1997 and 2005 were
reviewed. Patients who used Teflon piston prosthesis and autologous blood seal
and had follow-up data of more than one year were selected for inclusion in the
study. Any patients who underwent CO2 laser stapedotomy for diseases other than
otosclerosis or those with inadequate postoperative data were excluded. The
hearing results from preoperative and postoperative (most recent follow-up)
periods were analyzed using closure of air-bone gaps and postoperative
sensorineural hearing loss (SNHL) at pure-tone average (PTA) and different
frequencies. Major complications were recorded. RESULTS: The present review
yielded 73 primary CO2 laser stapedotomy procedures performed between 1997 and
2005, and 36 patients who underwent 40 CO2 laser stapedotomies met the criteria
for analysis. The hearing outcomes were followed for an average of 22 months. The
average preoperative and postoperative PTA air-bone gaps were 32.7 and 7.5 dB,
respectively. The rate of patients who had postoperative PTA air-bone gaps within
10 dB was 77.5% and within 15 dB was 95%. There was a significant closure of air
bone gaps at PTA and at all frequencies (the frequencies from 0.5 to 4 kHz) and
the closures of air-bone gaps at 0.5, 1, and 2 kHz were statistically better than
at 4 kHz. The overall rate of postoperative SNHL was 7.5% at high pure-tone bone
conduction average. There were no major postoperative complications. CONCLUSION:
CO2 laser stapedotomy with Teflon piston prosthesis and autologous blood seal is
a safe and effective treatment for otosclerosis. The procedure provides
acceptable hearing results and gives the more air-bone gap closure at the low and
mid frequency ranges without significant postoperative SNHL and other serious
complications.
PMID- 18041430
TI - Survival time of HIV-infected patients with cryptococcal meningitis.
AB - OBJECTIVE: To study survival time and risk factors of mortality among HIV
infected patients who had cryptococcal meningitis. DESIGN: Retrospective cohort
study. MATERIAL AND METHOD: Patients' medical records of those who had HIV
infection with newly diagnosed cryptoccocal meningitis between January 2002 and
December 2004 were reviewed. Each patient was classified into one of two groups,
according to their anti-retroviral status (ART). RESULTS: Five hundred and forty
nine patients enrolled in the present study: 281 (51.2%) in the ART+ group and
268 (48.8%) in the ART-group. The mean age was 33.4 +/- 6.9 years old in the ART
+ group and 33.6 +/- 7.0 years old in the ART-group. There were more male in both
groups: 207 males and 74 females in the ART+ group, and 195 males and 73 females
in the ART-group. Baseline CD4 cell count of both groups was 20 (6-74) cells/mL
and 24 (9-72) cells/ml. About 30% of both groups of patients experienced major
opportunistic infection before cryptococcal meningitis. All patients were treated
by standard amphotericin B for a 2-week duration followed by fluconazole for an
additional 8 weeks. There were no differences of baseline characteristics between
the two groups (p > 0.05). The survival rates at 12, 24, and 36 months were
92.8%, 87.4%, and 85.4% in the ART+ group and 55.3%, 42.2%, and 36.8% in the ART-
group, respectively (p < 0.01). The median survival time in the ART- group was 15
months. From the Cox regression model, the hazard ratio for "not received ART"
was 4.87 (95%CI = 2.48-9.44, p < 0.01). CONCLUSION: The present study
demonstrated the substantial increasing of survival time of HIV-infected patients
with cryptococcal meningitis by initiated ART even in a resource limited setting
(no flucytosine, local combined antiretroviral drugs with NVP based regimens).
PMID- 18041431
TI - Abdominal manifestation and complications in systemic lupus erythematosus:
emphasis on CT findings.
AB - OBJECTIVE: To describe computed tomography (CT) features in SLE patients with
suspected abdominal involvement or complications. MATERIAL AND METHOD: SLE
Patients who presented with suspected abdominal involvement or complications and
underwent CT between 2001 and 2005 were retrospectively reviewed. All CT studies
were performed within 21 days of the onset of symptoms. RESULTS: Thirty-two SLE
patients with 53 CT examinations including imaging findings and clinical data
were reviewed. There were 29 cases with abdominal findings related to SLE
including gastrointestinal vasculitis (6 cases), acute pancreatitis (8 cases),
genitourinary abnormality (13 cases), hepatosplenomegaly, and ascites. Bowel wall
thickening with target sign in CT is characteristics of GI vasculitis. Mild
pancreatitis by CT scoring index was found in most cases. Five cases had diffuse
bladder wall thickening and three cases also had bilateral hydronephrosis and
hydroureter. Sixteen cases had other findings not related to SLE including bowel
obstruction, splenic infarction, appendicitis, and hematoma. CONCLUSION: The
common CT findings and complications in SLE patients who suspected abdominal
involvement were presented CT is useful for detecting the primary cause of
abdominal symptoms, planning treatment, and monitoring for complication.
PMID- 18041432
TI - FOLFIRI chemotherapy for metastatic colorectal cancer patients.
AB - OBJECTIVES: 1) To confirm the efficacy of irinotecan plus folinic acid/continuous
5-fluorouracil as bimonthly FOLFIRI regimen in metastatic colorectal cancer
patients. Efficacy evaluations will include response rate, duration of response,
and survival. 2) To evaluate safety profiles on patients receiving this
combination. MATERIAL AND METHOD: Nineteen patients with metastatic colorectal
cancer received 180 mg/m2 intravenous (iv) day 1 of irinotecan, 200 mg/m2 iv of
folinic acid, 400 mg/m2 iv bolus days 1 to 2, 5-fluorouracil (5-FU), and 600
mg/m2 iv 5-FU infusion over 22 hours, days 1 to 2. Treatment was repeated every
two weeks and one cycle contained three fortnightly administrations. Sites of
disease were liver in nine patients, lungs in three patients, bowels in four
patients, lymph nodes in three patients, and peritoneum in two patients. Two
patients had > 1 metastatic site. Previous treatments included adjuvant
chemotherapy in seven cases and front-line chemotherapy for advanced disease in
one case. RESULTS: A median of six treatment cycles was completed (range, 2-13
cycles). All patients were assessable for toxicity and 16 patients were evaluable
for treatment response. The non-hematological toxicity was mild. Most had grade 1
or 2. Only one patient experienced grade 3 fatigue and anorexia, and discontinued
chemotherapy after the second cycle. There were no cases with grade 4 toxicity.
Fourteen patients had at least grade 2 alopecia. The most common hematological
toxicity was neutropenia. Grade 3 and 4 neutropenia were observed in three and
two patients, respectively. There was no case of febrile neutropenia. Based on
intention to treat analysis, there were no complete responses (CR), five (26.3%)
partial response (PR), and 11 (57.9%) stable disease. With the median follow-up
of 6.6 months, the median time to disease progression was 4.7 months and the
median survival time was 10.6 months. CONCLUSION: Bimonthly irinotecan in
combination with folinic acid and 5-fluorouracil was active with acceptable
toxicities and a prolonged survival time in pretreated colorectal cancer.
Additional trials to define the optimal dose and schedule of treatment are
justified.
PMID- 18041433
TI - The Sarcocystis-cyst containing beef and pork as the sources of natural
intestinal sarcocystosis in Thai people.
AB - BACKGROUND: Human intestinal sarcocystosis is a zoonotic disease caused by two
coccidians, i.e. Sarcocystis fusiformis (syn. S. bovihominis, S. hominis) due to
consumption of raw infected beef and Sarcocystis meischeriana (syn. S.
suihominis) due to consumption of infected raw pork. In 1987, survey of the
macroscopic S. fusiformis cysts in market beef mainly from old water buffalos
aged more than 15 years were commonly observed in Bangkok. In 2005, the
macroscopic cyst was no longer seen in beef of cattle and water buffalo aged less
than three years. OBJECTIVE: The epidemiological investigation of Sarcocystis
spp. infected meat in Bangkok and Lampang. MATERIAL AND METHOD: Samples for each
of the tongue and beef of cattle and water buffalo, pork from Bangkok markets and
pork of domestic swine from some remote villages in various subprovinces (Ampurs)
in Lampang were obtained for microscopic examination by H and E and selectively
by PAS staining. RESULTS: The microscopic S. fusiformis cysts were seen in all
five specimens of tongues and ten specimens of muscles of cattle and water
buffalo obtained from fresh-food markets in Bangkok. Ten samples of pork from
Bangkok markets revealed no coccidian infection. The microscopic S. meischeriana
cysts were seen in three specimens of swine muscles collected from two
subprovinces in Lampang. CONCLUSION: The present merozoites in coccidian cysts
retrieved from beef and pork are similar to those previously observed in human
intestine. This may histologically indicate an invasive sarcocystosis by both
species leading to a condition presently known as chronic inflammation of
undetermined etiology in man.
PMID- 18041434
TI - Binding of Leptospira to extracellular matrix proteins.
AB - BACKGROUND: Leptospirosis is a zoonotic disease of global importance.
Pathogenesis caused by this infectious disease remains unclear. Attachment of
pathogenic leptospires to host tissues is a crucial initial step to establish the
infection. OBJECTIVE: Study the binding of the spirochete to three types of
extracellular matrix (ECM), collagen type IV, fibronectin, and laminin, which are
major components of target organs. MATERIAL AND METHOD: ELISA-based experiments
were performed to determine binding of pathogenic (serovar icterohaemorrhagie)
and non-pathogenic (serovar Patoc) serovars, to purified ECM. RESULTS: Both
pathogenic and non-pathogenic serovars bound to all three types of ECM in the
dose-dependent manner and the binding to fibronectin is higher than to collagen
and laminin (p < 0.005). CONCLUSION: Pathogenic leptospires can bind to various
types of ECM and the binding of leptospires to fibronectin was higher than to
collagen and laminin. However, this capability may not be the only mechanism that
makes leptospires virulent since non-pathogenic leptospire can bind the ECM as
well.
PMID- 18041435
TI - Effects of combined sildenafil-nitric oxide donor on defibrillation efficacy.
AB - BACKGROUND: A previous study demonstrated that supra-therapeutic concentration of
sildenafil citrate attenuates defibrillation efficacy. However, the effect of
combined sildenafil and NTG administration on defibrillation efficacy is not
known. OBJECTIVE: The present study investigated whether sildenafil
administration at the therapeutic level increases the defibrillation threshold
(DFT) when combined with NTG. MATERIAL AND METHOD: Twenty-four pigs (20-25 kg)
were randomized into four groups. After the control DFT was obtained, a stock
solution of 50-mg (group 1, therapeutic concentration) and 100-mg (group 2,
supratherapeutic concentration) of sildenafil, and 100-mL of saline (groups 3 and
4) were infused at 2 mL/min. Then, NTG was administered in groups 1-3 at 5
microg/min, with an increment of 5 microg/min every 5 min. The DFT was determined
again after NTG was infused for 20 minutes. RESULTS: In group 1, the DFT (402 +/-
33V, 11 +/- 2J) was not different from the control (404 +/- 28V, 11 +/- 2J). In
group 2, the DFT (521 +/- 18V, 19 +/- 1J) was higher (p < 0.004) than that in the
control group (444 +/- 31V, 14 +/- 2J). Saline did not alter the DFT either
individually or in combination with NTG. CONCLUSION: Supratherapeutic dose of
sildenafil-NTG combination significantly increased the DFT (17% of peak voltage,
37% of total energy). This effect on DFT appears to be driven by sildenafil and
not NTG.
PMID- 18041436
TI - Glycemic and lipid responses to glucomannan in Thais with type 2 diabetes
mellitus.
AB - OBJECTIVE: To evaluate the benefits of glucomannan supplement on glycemic and
lipid controls in type 2 diabetic patients. MATERIAL AND METHOD: A single-blind,
placebo-controlled, crossover trial with two treatments separated by a 2-week
washout period was performed in 10 men and 10 women with type 2 diabetes
mellitus. Two separated protocols of experiments were sequentially followed.
Initially, purified glucomannan (1 g) or placebo was ingested 30 min before 75-g
glucose load to evaluate their effects on glucose absorption and insulin
secretion in oral glucose tolerance test (OGTT). Later, the glycemic and lipid
changes after 4-week intervention with 3 g/day glucomannan comparing to the
placebo were determined. The standard OGTT was performed before and after ending
of each intervention. RESULTS: Glucomannan taken before performing the OGTT can
lower the rise of blood glucose and insulin from 1 to 2 hour in comparison with
the placebo, though a statistically significance of insulin was not achieved.
Long-term glucomannan supplement significantly reduced the 120-min glucose area
under the curve of OGTT. Glucomannan also decreased the rise of low-density
lipoprotein cholesterol (LDL-C). Reductions of HOMA-insulin resistance index and
body mass index were detected in glucomannan-treated group though the former was
shown only in females. No within- and between-group differences of insulin,
fructosamine, and other lipids were observed in glucomannan- nor placebo- treated
groups. CONCLUSION: In type 2 diabetes, pre-prandial glucomannan ingestion
attenuated a rise of blood glucose without significantly affecting insulin
levels. Long-term supplement of glucomannan to the regular diabetic regimen
lessened post challenge glucose AUC and impeded the rise of LDL-C. Supplement of
glucomannan may be beneficial to the glycemic and lipid controls in type 2
diabetes mellitus.
PMID- 18041437
TI - An open-label study of quetiapine for delirium.
AB - OBJECTIVE: To evaluate the effects of quetiapine treatment in patients with
delirium. MATERIAL AND METHOD: All patients with delirium were assessed. The
diagnosis of delirium was confirmed by using the Confusion Assessment Method
(CAM). Quetiapine at the dose between 25 and 100 mg/day was given for 7 days. The
efficacy of quetiapine on delirium was evaluated by using the Delirium Rating
Scale (DRS) and the Clinical Global Impression-Severity scale (CGI-S). The
extrapyramidal side effects were assessed by using the Modified (9-item) Simpson
Angus Scale (MSAS). RESULTS: Twenty-two patients had delirium. Seventeen (10
males and 7 females) subjects with a mean age (SD) of 55.6 (18.6) years were
included in the present study. Means (SDs) dose and duration (SD) of quetiapine
treatment were 45.7 (28.7) mg/day and 6.5 (2.0) days, respectively. The DRS and
CGI-S scores of days 2-7 were significantly lower than those of day 0 (p < 0.
001) for all comparisons). Only two subjects were shown to have mild tremor.
CONCLUSION: Quetiapine within the range of 25-100 mg/day improves delirious
condition within 24 hours of treatment. It is well-tolerated and has a very low
propensity to induce extrapyramidal side effects. Further randomized, placebo
controlled trials are warranted.
PMID- 18041438
TI - Prevalence and psychosocial factors of anxiety and depression in breast cancer
patients.
AB - BACKGROUND: Breast cancer is the most common cancer in women. It is seen as a
terrifying disease due to a high mortality rate, its impacts on self-image, and
the sexual relationship. Many patients experience psychological reactions, and
may have psychiatric morbidities, especially anxiety and depressive disorders.
Although anxiety and depressive disorders are common in breast cancer and worsen
the disease course and treatment outcomes, these psychiatric disorders are
ignored and left untreated. Understanding these common psychiatric disorders and
associated psychosocial factors can help to plan for treatment and may result in
more treatment success. OBJECTIVES: The present study was aimed to identify the
prevalence and associated psychosocial factors of anxiety and depressive
disorders in breast cancer patients. The psychosocial factors in the present
study focused on social support, family relationship and functioning, and problem
and conflict solving. MATERIAL AND METHOD: Three hundred female breast cancer
patients, aged above 18 years old from the Surgical Outpatient Department, King
Chulalongkorn Memorial Hospital, were recruited into the study from December 2006
to May 2007. All samples completed five questionnaires; 1) Demographic data and
history form, 2) Thai Hospital Anxiety and Depression Scale (Thai HADS), 3)
Social Support Questionnaire, 4) Family Relationship and Functioning
Questionnaire, 5) Problem and Conflict Solving Questionnaire. The prevalence of
anxiety and depressive disorders was reported in percentage. The association
between psychosocial, demographic, and clinical factors and anxiety and
depression was analyzed by ANOVA test (for continuous data) and chi-square test
(for categorical data). Logistic regression was performed to identify the
potential predictors of anxiety and depression. A p-value of less than 0.05 was
considered statistically significant. RESULTS: The prevalence of anxiety disorder
was 16.0%, and that of anxiety symptoms was 19.0%. The prevalence of depressive
disorder was 9.0%, and that of depressive symptoms was 16.7%. Factors associated
to anxiety and depression were psychosocial factors (social support, family
relationship and functioning, and problem and conflict solving) (p < 0.01),
number of hospital admissions, and presence of disturbing symptoms: pain,
respiratory symptoms, and fatigue (p < 0.01). By regression analysis, the
significant predictors of anxiety and depression were poor family relationship
and functioning (p < 0.05), maladaptive problem and conflict solving (p < 0.05),
and symptoms of pain (p < 0.01) and fatigue (p < 0.05). CONCLUSION: Anxiety and
depressive disorders are two common psychiatric disorders in breast cancer.
Strong predictors of anxiety and depression in breast cancer patients were poor
family relationship and functioning, maladaptive problem and conflict solving,
and presence of pain and fatigue. Promoting patients' social support, especially
emotional support from family, and enhancing patient's coping skills may reduce
the patients' psychological stress and psychiatric morbidities. Treatment of
breast cancer patients should focus on reduction of patients' disturbing symptoms
such as adequate pain control, and lessening the treatment complications.
Moreover being alert on patients' emotional reactions and potential psychiatric
disorders is essential.
PMID- 18041439
TI - The incidence of clozapine-induced leukopenia in patients with schizophrenia at
Srinagarind Hospital.
AB - OBJECTIVE: Define the incidence of clozapine-induced leukopenia, neutropenia, and
agranulocytosis in patients with schizophrenia at Srinagarind Hospital. MATERIAL
AND METHOD: A descriptive study was done by retrospective reviews of the medical
records of schizophrenic outpatients at psychiatric clinic in Srinagarind
Hospital who had received clozapine from January 1st, 2003 to December 31st,
2005. The demographic data, incidence rate, and incidence density of leukopenia,
neutropenia, and agranulocytosis were collected. RESULTS: One hundred and
seventeen medical records were reviewed, 65 patients met the inclusion criteria.
One patient developed neutropenia. The incidence rate of neutropenia was 1.5% and
the incidence density of neutropenia was 0.01/year. No leukopenia or
agranulocytosis was found in the present study. The complete blood counts were
not obtained regularly due to the problems of patient's adherence and variations
in practice among the physicians. CONCLUSION: Neutropenia is uncommon. No
leukopenia and agranulocytosis were found. According to variations of incidence
reports among different studies, the monitoring of white blood count should be
continued.
PMID- 18041440
TI - An outbreak of imipenem-resistant Acinetobacter baumannii at Songklanagarind
Hospital: the risk factors and patient prognosis.
AB - OBJECTIVE: To investigate for the factors associated with acquisition of imipenem
resistant Acinetobacter baumannii (IRAB) at Songklanagarind Hospital and the
subsequent patient mortality outcome. DESIGN: A case-control study was conducted
to evaluate the risk factors for IRAB acquisition using imipenem-sensitive A.
baumannii (ISAB) as controls. A retrospective cohort study was employed to assess
the factors associated with mortality in the hospital. SETTING: An 850-bed
university hospital served as a medical school, training hospital, tertiary care,
and referral center for the southern part of Thailand. PATIENTS: The patients who
acquired A. baumannii during their stay in the hospital. RESULTS: Between July
2003 and September 2005, there were 2,130 isolates of A. baumannii from clinical
specimens of 1,237 hospitalized patients. The medical records of 899 admissions
to the hospital were available for review. The significant risk factors
associated with IRAB acquisition, identified from a case-control study and
multiple logistic regression analysis included previous admission to medical
surgical intensive care unit (ICU), respiratory care unit (RCU), previous use of
multiple classes of antibiotics, and previous use of imipenem. The cohort study
revealed that the mortality rate in the patients with IRAB compared to ISAB were
33.8% and 24.1% respectively, yielding an unadjusted odds ratio of 1.6 (95% CI =
1.2-2.2). However, after controlling for confounding factors by multivariate
analysis IRAB did not show the increased mortality. CONCLUSION: The outbreak of
IRAB at Songklanagarind Hospital is associated with increasing antibiotic
pressure particularly imipenem and the admission to the ICU and RCU. The excess
patient mortality rate attributable to IRAB is not significantly different from
that attributable to ISAB.
PMID- 18041441
TI - Disability assessment in elderly orthopedic patients.
AB - OBJECTIVE: To assess the disability level in elderly patients with orthopedic
conditions. MATERIAL AND METHOD: All possible patients aged more than 60 years,
who attended the Out-patient Clinic of Orthopedic Department, Siriraj Hospital,
between October and December 2005, were recruited. They were assessed for the
disability level by using a Brief Disability Questionnaire (BDQ), Thai version.
It is a simple and practical tool for assessing disability levels. It is an eight
item scale with the total score ranging from 0 to 16. A higher score indicates a
greater disability. RESULTS: Two hundred and thirteen patients participated in
the study. The mean age was 69.4 years and 64% had degenerative joint diseases.
The affected parts were the lower extremity and back (56.8% and 26.8%
respectively). The three most common limited activities were vigorous activities,
long distance walking, and stair climbing or walking uphill (55.9%, 51.6%, and
42.7% respectively). The mean BDQ score of the subjects was 7.9 +/- 3.8. Patients
with lower extremity problems including back problems had the highest BDQ score
(8.2 +/- 3.7). According to the BDQ, the number of patients with a moderate-to
severe degree of disability was 79%. CONCLUSION: The majority of elderly patients
with orthopedic problems had moderate-to-severe disability as assessed by the
BDQ. Physicians and other health care personnel should consider the management of
the principal diseases, and the assessment of the disability level to improve the
patients' quality of life, which is the ultimate goal of the treatment in
clinical practice.
PMID- 18041442
TI - Electrodiagnosis and recovery of facial paralysis at King Chulalongkorn Memorial
Hospital.
AB - OBJECTIVE: To study electrodiagnostic findings and recovery patterns of patients
with facial nerve paralysis. MATERIAL AND METHOD: Seventy-six medical records of
patients who had a facial paralysis and had their electrodiagnosis performed in a
2-year period were reviewed. Patients were invited for re-evaluation. The
patients would be evaluated according to House-Brackmann Facial Nerve Grading
Scale (HBFNGS), residual impairment, disability, emotional and social
consequences. RESULTS: Complete data were obtained from 50 patients whose mean
age was 47.0 +/- 17.9 years. Seventy-two percent were diagnosed as Bell's palsy.
There was significant correlation between %CMAP amplitude and HBFNGS (grade I-VI)
at r = 0.5; p < 0.01. All cases of Bell's palsy with CMAP amplitude > or = 70% of
normal side regained full recovery. Patients with CMAP amplitude > or = 30% had
good recovery. Bell's palsy with CMAP amplitude < 10% and with other causes had
poor outcome. Nine patients had synkinesis. Most of them were of traumatic cause
and had severe nerve degeneration. No evidence showed that electrical stimulation
was a factor inducing synkinesis. CONCLUSION: Percent CMAP amplitude could
moderately predict the outcome of Bell's palsy better than other causes of facial
palsy. The paralysis from traumatic cause with low %CMAP amplitude had more
chance to develop synkinesis.
PMID- 18041443
TI - A concurrence of light and heavy chain deposition disease and diabetic
nephropathy.
AB - A 56-year-old female patient was clinically characterized by heavy proteinuria,
anemia, hypertension, and no detectable monoclonal protein in serum or urine. She
had a history of diabetes with retinopathy and hypertension. Histological
investigation of renal biopsy specimens revealed nodular glomerulosclerosis.
Light microscopic examination did not allow discrimination between diabetic
glomerulosclerosis and monoclonal immunoglobulin deposition disease (MIDD).
Immunofluorescent examination showed linear capillary wall and tubular basement
membrane staining with kappa, and IgG staining. Electron-microscopic examination
confirmed the amorphous material along the glomerular basement. Based on these
findings, the diagnosis of light chain and heavy chain monoclonal immunoglobulin
deposition disease (LHCDD) and diabetic nephropathy was made. At the present
after the 7th course of melphalan and prednisolone treatment, her renal function
and proteinuria have progressively improved.
PMID- 18041444
TI - Secondary vaginal involvement following radical surgical treatment for a stage I
ovarian adenocarcinoma arising in mature cystic teratoma.
AB - BACKGROUND: Vaginal carcinoma represents 1-2% of all gynecologic malignancies.
Most cases reported secondary involvement from adjacent organs including cervix,
uterus, and colorectum. Vaginal involvement from adenocarcinoma arising in mature
cystic teratoma (MCT) has never been reported. CASE: A 29-year-old female
presented with postcoital vaginal bleeding. She had had a history of right
ovarian adenocarcinoma arising in MCT, FIGO stage IC, for 18 months' duration.
Incisional biopsy of the vaginal lesion revealed adenocarcinoma, morphologically
and immunohistologically identical to the right oophorectomized specimen. She
received three courses of paclitaxel and carboplatin chemotherapy; however, she
developed massive right pleural effusion with superior vena cava syndrome and
finally succumbed to the disease, three months later. CONCLUSION: Adenocarcinoma
is rarely found in MCT. This is the first case of ovarian adenocarcinoma arising
in MCT with secondary vaginal involvement, presenting as postcoital vaginal
bleeding.
PMID- 18041445
TI - A Buddhist model for health care reform.
AB - Although medical services are now available in every province in Thailand, there
is ongoing discussion surrounding the question of how public health care should
be best organized. There is much debate as to whether it should be run by private
organizations in libertarian societies like that of the United States or whether
it is the government that should be responsible for the welfare of all of its
citizens equally, similar to that of the egalitarian system of socialist
countries and welfare states. This article is aimed to answer the question: What
is the most suitable model of health care system for Thailand? References are
drawn from the Pali canon of the Theravada Buddhist tradition, articles,
comments, and recommendations of contemporary thinkers in Thailand, to arrive at
the most appropriate solution for the Thai society.
PMID- 18041446
TI - Atypical dementia: when it is not Alzheimer's disease.
AB - Dementia represents the most common neurodegenerative disorders affecting
approximately 5% of the elderly population over age 65 years. At present,
different forms of dementia are distinguished, including Alzheimer's disease
(AD), dementia with Lewy bodies, frontotemporal dementia, and dementia secondary
to diseases, such as AIDS dementia. Unlike AD, these atypical dementias are often
associated with neurological symptoms, reflecting the localization of the
degenerative process rather than the nature of the underlying histopathology. The
present article provides an overview of the clinical evaluation of patients with
atypical dementia and reviews distinguishing features of atypical dementias that
may be confused with AD. The laboratory and imaging evaluation of various types
of dementias are described. Current practice guidelines and practice parameters
are reviewed as relevant for primary care practitioner.
PMID- 18041447
TI - From embryonic stem cells to functioning germ cells: science, clinical and
ethical perspectives.
AB - Embryonic stem cells have been well recognized as cells having a versatile
potential to differentiate into all types of cells in the body including germ
cells. There are many research studies focusing on the differentiation processes
and protocols to derive various types of somatic cells from embryonic stem cells.
However, germ cells have unique differentiation process and developmental pathway
compared with somatic cells. Consequently, they will require different
differentiation protocols and special culture techniques. More understanding and
established in vitro systems for gametogenesis will greatly contribute to further
progression of knowledge and technology in germ cell biology, reproductive
biology and reproductive medicine. Moreover if oocytes can be efficiently
produced in vitro, this will play an important role on progression in nuclear
transfer and nuclear reprogramming technology. The present article will provide
concise review on past important discoveries, current ongoing studies and future
views of this challenging research area. An ethical perspective has also been
proposed to give comprehensive summary and viewpoint for future clinical
application.
PMID- 18041448
TI - Fraud and deceit in published medical research.
AB - Medical research casts a great impact on health of the entire human population so
it must be conducted and publicized without dishonesty or bias. Any
misrepresentation can have extremely serious consequences for patients and
clinical practice. Unfortunately, fraud and deceit have increasingly been
detected and have become a problem in today's research. They are falsification,
fabrication, plagiarism, and deliberate use of inappropriate statistical
analysis. It is sometimes difficult to differentiate fraud from incompetence,
errors, bias, and misunderstanding. Many fraudulent articles are still
undercover. The question is how to detect and prevent the fraud and deceit in
medical research. In addition, the system of handling research misconduct is
still lacking. Critical audit and inspection are required to diagnose it. There
is no standard guideline to treat fraud. Prevention is the best way of treatment.
This relies on research institutions, editors of journals, citing authors, and,
most of all the researchers who must adhere strictly to medical professionalism,
which is solely based on honesty and ethics to self-regulate and conduct only
ethical and genuine research.
PMID- 18041449
TI - Treatment of infantile spasms with sodium valproate followed by benzodiazepines.
PMID- 18041450
TI - Adherence in patients on dialysis: strategies for success.
AB - Adherence is a major problem in patients with chronic kidney disease. Patients
can be nonadherent with different aspects of their treatment, which includes
medications, treatment regimens, and dietary and fluid restrictions. Although
many lessons have been learned from adherence research, the evidence of how to
modify adherence is somewhat mixed. To minimize nonadherence, interventions need
to focus on both patient factors and the extent to which relationships and system
problems compromise the patient's ability to adhere to medication and treatment
plans. There continues to be a tendency to focus on the patient as the reason for
problems with adherence, ignoring other factors such as the patient-health care
provider relationships and the health care system that surrounds the patient.
These latter factors can have a considerable effect on adherence. The nurse can
develop a strong relationship of support with the patient, identify barriers, and
offer strategies to help patients improve adherence.
PMID- 18041451
TI - Blood pump speed vs. actual or "compensated" blood flow rate.
AB - Actual blood flow rate delivered by a dialysis machine is often less than what is
prescribed The Gambro Phoenix machine calculates the actual blood flow rate,
reporting it as "compensated" blood flow rate. This study compared two methods of
setting the prescribed rate, using Kt/V to determine the effectiveness of each.
Results verified that patients achieve a higher Kt/V when the prescribed blood
flow rate is set as the compensated blood flow rate.
PMID- 18041452
TI - Professional communications: publications and presentations.
AB - This article, which appears as Chapter 7 in ANNA's new publication, Career
Fulfillment in Nephrology Nursing: Your Guide to Professional Development (2nd
edition), will look at professional communications, including publications and
presentations. ANNA's new publication, edited by Carol M. Headley and released in
September 2007, will provide focus-driven direction and can serve as your
personal guide and mentor to career development. The goals of this chapter are
to: (a) define the elements common to preparing presentations and publications;
(b) present the essentials of developing and submitting a manuscript for
publication, and (c) demonstrate the core elements of making successful
presentations.
PMID- 18041453
TI - Perceptions of adult patients on hemodialysis concerning choice among renal
replacement therapies.
AB - PURPOSE: The aim of this study was to explore what patients on hemodialysis
perceive concerning choice among three types of renal replacement therapies:
transplantation, hemodialysis, and peritoneal dialysis. METHOD: A qualitative
phenomenological research design was employed in this exploratory, descriptive
study. A convenience sample was recruited from two urban dialysis units in the
southern part of the United States. The analysis was performed using Colaizzi's
(1978) phenomenological technique. RESULTS: Two themes emerged from analysis:
knowledge and choice. Participants perceived choice in their renal replacement
therapies. The predominant theme reflected that most participants had knowledge
about at least two of the three types of renal replacement therapies. CONCLUSION:
The areas of choice among renal replacement therapies, education about all renal
replacement therapies, and other dynamics that impact choice, need to be studied.
Inquiry needs to remain treatment specific and include all renal replacement
treatments available to the patient. Future studies should continue to
investigate perceptions of choice, and no assumption should be made about whether
patients undergoing hemodialysis are receiving information or education on all
the options for renal replacement therapy. Additional research within this area
will validate needs and concerns of these patients.
PMID- 18041454
TI - ANNA 2007 membership survey results.
PMID- 18041455
TI - Route of administration for erythropoiesis-stimulating agents: patient and
nursing considerations.
AB - Several clinical studies have indicated that compared with intravenous (IV)
administration, subcutaneous (SC) administration of Epoetin alfa may result in a
dose-sparing effect in patients on hemodialysis. However, data also indicate wide
inter-patient variability in response, with many patients requiring the same or a
higher dose following conversion to SC administration. Convenience favors IV
administration of Epoetin alfa in patients on hemodialysis, and patient
preferences and comfort should also be primary considerations. For patients who
prefer SC injections, the nurse's coordination of the required dosing,
administration, and operational factors is key to maintaining and improving
anemia-related outcomes.
PMID- 18041456
TI - Updating practices in an evolving IV iron and anemia environment: practical
solutions.
AB - The latest considerations in the management of iron-deficiency anemia in patients
on hemodialysis have centered on the updated guidelines and recommendations
issued by the National Kidney Foundation, with interest on appropriate hemoglobin
and serum ferritin targets. With practices evolving in the anemia environment, it
is necessary for nurses to stay informed of new evidence-based data and practical
solutions to improve patient outcomes. This underscores the importance of a team
approach to managing anemia and balanced therapy with intravenous iron and
erythropoiesis-stimulating agents. A symposium held during the 2007 annual
meeting of the American Nephrology Nurses' Association addressed these issues.
This article is based on the presentations and discussions from that symposium.
PMID- 18041457
TI - End of life issues and advance care planning in the elderly patient.
AB - Mr. J. achieved the desired outcome that was the best for him and his family. It
remains to be seen how his trial of dialysis will fare. To date he is doing well
and has not been readmitted to the hospital. The shift in his opinion regarding
what would be the best decision for him has been significant, an evolutionary
process, and followed a predictable course. When presented early, in a non
emotional situation, perhaps greater numbers of patients will have advance
directives signed and in place when the decision to start or withdraw from
dialysis are imminent. Recognizing that advance care planning is one facet of end
of-life planning will remind the nurse to look at all of the components in
designing a patient plan of care. Further, knowing that this is an evolutionary
process and should be revisited frequently will enable the nurse to incorporate
the understanding that palliative care/hospice should be offered with dialysis,
instead of taking an either/or approach. The unique issues facing the older adult
also need to be evaluated and discussed with the patient/family. An
acknowledgement of co-morbid conditions, mental status, and ambulatory status
will help guide the nurse in estimating the best possible outcome and success of
initiation of treatment. Nephrology nurses need a constant reminder that patients
can change their minds at any point. As the dialysis population and number of
patients with CKD continue to grow, the nephrology nurse practitioner and
nephrology community are obligated to revisit and evaluate the success of their
personal implementation of the guidelines that have been so rigorously
formulated.
PMID- 18041458
TI - Palliative care in patients with CKD.
PMID- 18041459
TI - Who should be diagnosing, prescribing, and managing depression in patients with
ESRD?
PMID- 18041460
TI - Fluid management in patients on hemodialysis.
PMID- 18041461
TI - Getting to know you: a national view of acute renal care.
AB - The American Nephrology Nurses' Association's (ANNA's) newest Special Interest
Group (SIG) is Acute Care. This SIG recently developed a survey to obtain
information about the practice areas from acute care ANNA members as there is a
lack of data about this subset of nephrology nurses. The survey was designed to
begin to explore the practice of acute care nurses and was distributed via e-mail
to 1000 ANNA members who are registered acute care nephrology nurses. There were
246 responses to the survey. While the tool was not developed and confirmed as a
reliable and valid tool, it was a first attempt to describe this population of
nephrology nurses. Results of this survey of acute care nurses were presented at
the Acute Care SIG networking session during the ANNA National Symposium in
Dallas, Texas in April, 2007 The presentation, "Getting to Know You: A National
View of Acute Renal Care," was presented by Acute Care SIG Members' Mary Rose
Kott, MS, RN, CNN; Sue Fallone, MS, RN, CNN; Helen Williams, BSN, RN, CNN;
Maureen Craig, MS, RN, CNN, CCNS; Billie Axley, BSN, RN, CNN; and Michelle
Krueger, BSN, RN, CNN. The results are highlighted here.
PMID- 18041462
TI - [Occupational medicine faces new health challenges: the example of alcohol
dependence].
AB - The regulations for application of the legislation on control of alcohol
consumption at the work place, which were published in the Gazzetta Ufficiale No.
75, March 30, 2006, set the occupational physician a more challenging task, in
addition to regular activities related to the prevention of the usual work
related risk factors. The aim of the legislation, which was derived from and
inspired by the European Alcohol Action Plan 2000-2005, drawn up by the European
Office of the WHO, is to prevent alcohol abuse and its consequences, i.e.
accidents and injuries to third parties, and to permit subjects with alcohol
dependence to go on working. In this context, occupational physicians are asked
to contribute to the early identification of subjects prone to alcohol abuse and
assess whether alcohol-dependent subjects can return to work in conditions of
safety for themselves and for other workers. Various problems arise for the
achievement of these aims in practice, and concern confidentiality, ethical
aspects, i.e. use of alcohol screening at hiring, integration of such tests with
those used for monitoring conventional occupational exposures, interactions with
exposures to other chemical products, just to mention the major issues. Due to
these difficulties in the application of the new regulations, field trials become
all the more important, since they offer the possibility of assessing efficacy
and efficiency of the different approaches.
PMID- 18041463
TI - [Epidemiology of alcohol intake and alcohol-related problems in Italy].
AB - BACKGROUND AND OBJECTIVES: The alcohol-attributable mortality is a positive
function of two quantities: the relative risk, which has a biological
specificity, and the proportion of exposed, which has a temporal and geographic
specificity. Unfortunately, only little knowledge is available on these
quantities. METHODS: To estimate alcohol-attributable mortality we approached the
problem by estimating: i) the prevalence of drinkers to various amounts of
alcohol in the Italian population from 1970 to 1993; ii) the dose-response
relation between alcohol consumption and risk of each alcohol-related condition
through a meta-analytic approach; iii) the alcohol-attributable risks from 1970
to 1993 by using the drinkers prevalence estimates and relative risks evaluated
previously; iv) the proportion of deaths related to alcohol consumption in Italy
for the same period. RESULTS: Decreasing averages of per capita alcohol
consumption (-44%) were observed from 1970 to 1993. In the same period was
observed a reduction of -80%, -51% e -15%, respectively for heavy
drinkers'prevalence (>100 g/die), moderate drinkers'prevalence (more than 50
g/die) and for drinkers'prevalence (any consumption). The greatest alcohol
attributable risks were observed for hepatic cirrhosis and for upper digestive
and respiratory tract cancers. Applying the alcohol-attributable fractions to all
deaths of 1993 about 44000 (corresponding to the 8% of overall mortality) were
attributable to alcohol and about 32000 deaths were attributable to moderate
intake (< or =100 g/die). CONCLUSIONS: The main suggestion from this study is
that the best strategy in preventing alcohol-related problems should consider as
target the whole population.
PMID- 18041464
TI - [Alcohol and disadaptive behavioural responses].
AB - BACKGROUND AND OBJECTIVES: The knowledge of heavy drinking and dependence on
working behaviour is known and reflect the general community opinion and
therefore is known in its more general and/or extreme manifestations (weekend
deaths, dementia, polineuropathies). Less known and rarely measured are alcohol
effects in heavy drinkers who have a high level of tolerance and only show
manifestations which for a long time can be considered normal or seen as
subjective peculiarities. DISCUSSION AND CONCLUSIONS: It is only in presence of
serious accidents or dependence reactions that the drinking habit becomes
evident. Cognitive, visuomotor and memory functions as well as personal and
social behaviour should be examined but, it also appears that not all
psychological measures are adequate to show the presence of possible cognitive
deficiencies or behavioural dysfunction due to the fact that compensation
mechanism can buffer for a long time alcohol behavioural effects. Two examples
are described, reaction time measures and memory profiles.
PMID- 18041465
TI - [Occupational relevance of alcohol related neurological involvement].
AB - BACKGROUND AND OBJECTIVES: Accidents and various degrees of impairment in working
performances and abilities are strongly correlated to alcohol consumption, either
of moderate or of severe degree. Accidents, in particular, have been investigated
in population studies that strongly suggest a direct effect of alcohol on
attention and executive functions as well as a relevant interference of drinking
with the neurological functioning and with eventual subclinical dysfunction of
individuals: epilepsy threshold, cardiovascular risk and previous events, sleep
disorders; post-traumatic sequelae. DISCUSSION AND CONCLUSIONS: Impairment of
cognitive function (and concomitant functional/structural brain damage) is
characterized, in particular, by difficulties in abstract problem solving, visuo
spatial and verbal learning, memory function, perceptual and motor skills,
related to disruption of frontal, pontocerebellar and cerebellothalamocortical
systems. These abilities should therefore be monitored in at-risk working
populations in order to prevent work accidents and to address appropriate
therapeutic and rehabilitative interventions.
PMID- 18041466
TI - [CDT: a biological marker of alcohol abuse].
AB - BACKGROUND: Laboratory tests may be useful tools in the identification of heavy
drinkers, in identifying the etiological role of alcohol in the onset of the
disease, and in monitoring changes in alcohol intake. OBJECTIVES: An ideal
diagnostic marker of alcohol abuse should: be characterized by high specificity
and sensitivity; show an high specific correlation with alcohol metabolism; be
dependent on alcohol intake and have a relatively short half-life (t1/2) so as to
be able to monitor abstinence periods. CONCLUSIONS: CDT (Carbohydrate-Deficient
Transferrin) meets all these requirements and offers the physician a significant
tool as a marker of chronic alcohol abuse. CDT can reveal a daily alcohol
consumption of 50-80 g of ethanol, corresponding to a bottle of 11 degrees-13
degrees wine, for two consecutive weeks, with normalization after two weeks of
abstinence (t1/2 of CDT is 15 days). Compared with other more common alcohol
abuse markers, such as GGT or MCK CDT is more specific and provides more detailed
information.
PMID- 18041467
TI - [Occupational physicians' new attributions and responsibilities].
AB - BACKGROUND: With the introduction in Italy of the Law regarding alcohol abuse and
addiction (Law No.125/01), new tasks and responsibilities were assigned to
occupational physicians. This law establishes that in working activities with a
high risk of industrial accidents, or which may cause a risk for the safety and
health of others, workers are forbidden to consume alcoholic beverages during
working hours. In addition, occupational physicians are asked to play a key role
in testing workers for alcohol levels. In March 2006 a specific list of job
titles was issued which makes the law effective. DISCUSSION AND CONCLUSIONS: The
application of this law gives rise to various consequences and ambiguities, in
particular for occupational physicians, mainly concerning the identification of
workers with alcohol problems, the subsequent control of such workers, including
proposals for valid rehabilitation programmes, and assessment of residual work
fitness. All these aspects can, to some extent, produce conflicts between privacy
rights and the need to ensure the health and safety of workers and third parties.
A specific screening package is proposed for the identification of alcohol abuse
as well as methods to overcome some of the constraints. Specific and effective
guidelines need to be issued by scientific societies and health authorities.
PMID- 18041468
TI - [Alcohol and work: ethical-deontological and medico-legal remark upon the recent
set of rules].
AB - BACKGROUND AND OBJECTIVES: The Disposition of the Permanent Conference for the
relation among the State, the Regions and the Autonomous Provinces of Trento and
Bolzano, published in Gazzetta Ufficiale n. 75 on the 30th March 2006 the list of
high-risk occupations under the influence of alcohol, activating de facto a
previous law (Legge n. 125, 3017 march 2001, "Legge quadro in materia di alcol e
di problemi alcolcorrelati"). We here present some ethical-deontological and
medical-juridical profiles on the contents of this law and some consideration
about its application. RESULTS AND CONCLUSIONS: Particular attention is dedicated
to deontological aspects about industrial safety rules and to medico-legal
aspects about occupation under the influence of alcohol, with references to
complex relations among worker's discretion right, employer's right to the
protection of his own interests and qualified doctor's (or someone to him
comparable) right to the respect for the deontological code, with regard to
benefit recipient information before the medical treatment. Authors'purpose is to
evidence critical points and interpretative ambiguities of a regulation lacking
in its practical applications, to provide further proposals of consideration,
available to revalue a thematic rich in questions and with a significant social
impact.
PMID- 18041469
TI - [Vigilance in application of law 125/2001 concerning alcohol and alcohol-related
risk assessment in workplaces].
AB - BACKGROUND AND OBJECTIVES: The prohibition of using, dispensing or distributing
alcoholic drinks at workplaces involving an elevated risk of accidents (Art. 15 -
Law 125/2001 "General policy concerning alcohol and alcohol- related problems")
is consistent with the duties of employers and employees regulated by Decree
626/94. The purpose of this rule of law is the health protection of workers and
of any third party in the workplace. Alcohol-addiction in workers has to be
considered as part of the risk assessment and, in the case of the hazardous
activities identified in the law, the increase in risk due to alcohol consumption
must also be considered RESULTS AND CONCLUSIONS: Along with the prohibition of
dispensing or distributing alcoholic drinks at the workplace, companies can
launch training and information campaigns on the adverse health effects resulting
from by harmful and hazardous alcohol consumption in workplaces, which will be
all the more effective if addressed to all workers and not only to the more
exposed employees. In developing this activity, the company occupational health
physician has a central role to play by giving assistance to employees, involving
the management and cooperating with public institutions. The controlling body
will promote, support and supervise this process. In particular, the local
authorities for Occupational Health and Safety (PSAL), together with social
partners, will encourage companies to start up projects for health protection,
will facilitate cooperation with the Pathological Addiction Services (Ser. T),
and will encourage the implementation of a network to facilitate occupational
health physicians in analyzing accident risks and solving health problems related
to alcohol abuse.
PMID- 18041470
TI - [Alcohol and construction workers].
AB - BACKGROUND AND OBJECTIVES: On the basis of the data drawn by national and
international literature and the introduction of recent legislation concerning
"alcohol and alcohol-related problems", we studied alcohol consumption and the
consequences that alcohol abuse has on health, working ability, accidents and
absenteeism in construction workers. METHODS: We studied alcohol consumption in
two groups of building workers over different periods (1996-2000; 2006). In 142
workers alcohol concentration was measured in expired air during the periodic
health surveillance examination and during work on building sites. We also
analyzed the relationship between declared alcohol consumption, accidents and
absenteeism. RESULTS: Our study showed a reduction in the average consumption of
alcohol over the last ten years but confirmed the role of alcohol-related
diseases as a cause of work limitations or work disability. On the other hand,
"breathalysing" demonstrated that alcohol consumption during pauses in work is
still common. CONCLUSIONS: The results of this study confirm the correlation
between frequency/seriousness of accidents, absenteeism and average consumption
of alcohol.
PMID- 18041471
TI - [Alcohol intake, complex ability and responsibility towards others: experience on
a cohort of personnel employed to public transport services].
AB - BACKGROUND: The excessive intake or the abuse of alcoholic substances represent
an important hazard's source for the individual health and for the carrying out
of any complex working activities, above all if characterized by elevated
responsibility toward other people. In this context the recent Provision of 16
March 2006 of the Permanent Lecture for the Relationships among the State the
Regions and the Autonomous Provinces of Trento and Bolzano, has individualized
bus driver among the activities or tasks of which is forbidden the assumption of
alcoholic drinks at work and can performed alcoholic controls by the competent
physician (art. 15 of the Law March 30 th 2001, n. 125). Within the normative
considering the DM 23 February 1999 n. 88s (Rule bringing norms dealing about the
check and the control of the physical and psycho-aptitude ability of the
personnel employed to public transport services), we introduced experience
growing up in the period from January 2005 to August 2006, on about 1500
employees, for over 90% of men, employed in a public transport company of the
Lombardy as bus driver or railwayman. METHODS: In order to assess driver's
alcoholic abuse the analytical determination of carbohydrate-deficient
transferring (CDT) has been used as a marker of alcohol intake. Within the visits
of hiring in service (equal to 10% of the total one of the effected visits) the
determination of the CDT has always been performed, while in revision visits
(equal to 90% of the total one) this analytical determination has been performed
only if possible alcohol abuse has been hypothesized by elevated values of gamma
GT before the Provision March 16th 2006 (and eventually of MCV, AST and ALT) and
of routine from April 2006. CONCLUSIONS: This experience on this large population
has confirmed the importance of a careful behaviours of abuse monitoring in
workers with high responsibility toward other people. The CDT values reflect high
alcoholic consumption, while is poorly remarkable the contribution furnished by
anamnestic questionnaire.
PMID- 18041472
TI - [Interactions between alcohol and work exposure to chemical substances].
AB - BACKGROUND AND OBJECTIVES: The quite diffused habit of a significant assumption
of alcohol drinks, can interfere with the professional exposure to chemical
substances. The interaction may result in increasing their toxicity and/or
modifying the parameters of the biological monitoring. It may also act as a
confounding factor, not only in epidemiologic researches but also at individual
level when the assessment of the occupational exposure and/or the diagnosis of an
occupational diseases, is under consideration. We review available references in
the literature summarizing major scientific evidences. RESULTS: The interaction
between the alcohol assumption and industrial chemicals may be toxicokinetic or
toxicodynamic. Alcohol can interfere in the processes of biotransformation of
xenobiotics and modify the doses and the effect indicators used for the
biological monitoring, causing wrong interpretations of the results. The
metabolism of ethanol can be altered by the exposures to toxic industrial
materials, creating some clinical pictures of alcohol intolerance, like an
"antabuse syndrome" or an "degreaser flush syndrome". Professional exposure to
carbon sulfide or to dimethylformamides, trichloroethylene as well as to
nitroglycerin and nitroglycole ethylenic can produce similar syndromes.
Interactions are reported between alcohol and solvents: on toxicokinetic bases
for methanol, isopropanol, glycol ether, trichloroethylene, methyl ethyl ketone
and toluene; and on toxicodynamic bases for CNS. Also between alcohol and metals
there can occur toxicokinetic interactions, like in the case of lead and mercury.
Alcohol can also interfere with the biological monitoring of solvents, producing
an over-estimation of the exposure. CONCLUSIONS: For the biological monitoring of
reported chemical substances, it is suitable to evaluate the biologic indicators
in the days in which there is not assumed alcohol. If this cannot be guaranteed,
it is necessary to know at least the quantity of the alcohol consumed or at least
if the subject is an alcohol abuser.
PMID- 18041473
TI - [Alcohol and work: remaining sober and return to work].
AB - BACKGROUND: One of the most complex alcohol-driven problems is the job loss and
the subsequent attempts to return to a professional activity. METHODS: In order
to better understand the issue, an epidemiologic investigation was carried out on
a group of 162 alcoholics whilst hospitalised in a specialised clinic. RESULTS:
The outcome shows the importance of remaining sober to keep or to be returned to
one's own job. Unfortunately, local resources at hand, first of all joining an
auto-mutual-help group, re still too little known and thus clearly underemployed.
Therefore, an informative action within companies is highly desirable. Those
alcoholics suffering from serious illnesses, especially mental ones represent a
different issue. CONCLUSIONS: For these people a higher involvement of public
authorities is desirable in creating protected job openings.
PMID- 18041474
TI - Practical consequences of fatigue-related performance failures.
PMID- 18041475
TI - Electrical coupling: novel mechanism for sleep-wake control.
AB - STUDY OBJECTIVES: Recent evidence suggests that certain anesthetic agents
decrease electrical coupling, whereas the stimulant modafinil appears to increase
electrical coupling. We investigated the potential role of electrical coupling in
2 reticular activating system sites, the subcoeruleus nucleus and in the
pedunculopontine nucleus, which has been implicated in the modulation of arousal
via ascending cholinergic activation of intralaminar thalamus and descending
activation of the subcoeruleus nucleus to generate some of the signs of rapid eye
movement sleep. DESIGN: We used 6- to 30-day-old rat pups to obtain brainstem
slices to perform whole-cell patch-clamp recordings. MEASUREMENTS AND RESULTS:
Recordings from single cells revealed the presence of spikelets, manifestations
of action potentials in coupled cells, and of dye coupling of neurons in the
pedunculopontine nucleus. Recordings in pairs of pedunculopontine nucleus and
subcoeruleus nucleus neurons revealed that some of these were electrically
coupled with coupling coefficients of approximately 2%. After blockade of fast
synaptic transmission, the cholinergic agonist carbachol was found to induce
rhythmic activity in pedunculopontine nucleus and subcoeruleus nucleus neurons,
an effect eliminated by the gap junction blockers carbenoxolone or mefloquine.
The stimulant modafinil was found to decrease resistance in neurons in the
pedunculopontine nucleus and subcoeruleus nucleus after fast synaptic blockade,
indicating that the effect may be due to increased coupling. CONCLUSIONS: The
finding of electrical coupling in specific reticular activating system cell
groups supports the concept that this underlying process behind specific
neurotransmitter interactions modulates ensemble activity across cell populations
to promote changes in sleep-wake state.
PMID- 18041476
TI - Running promotes wakefulness and increases cataplexy in orexin knockout mice.
AB - STUDY OBJECTIVE: People with narcolepsy and mice lacking orexin/hypocretin have
disrupted sleep/wake behavior and reduced physical activity. Our objective was to
identify physiologic mechanisms through which orexin deficiency reduces locomotor
activity. DESIGN: We examined spontaneous wheel running activity and its
relationship to sleep/wake behavior in wild type (WT) and orexin knockout (KO)
mice. Additionally, given that physical activity promotes alertness, we also
studied whether orexin deficiency reduces the wake-promoting effects of exercise.
MEASUREMENTS AND RESULTS: Orexin KO mice ran 42% less than WT mice. Their ability
to run appeared normal as they initiated running as often as WT mice and ran at
normal speeds. However, their running bouts were considerably shorter, and they
often had cataplexy or quick transitions into sleep after running. Wheel running
increased the total amount of wakefulness in WT and orexin KO mice similarly,
however, KO mice continued to have moderately fragmented sleep/wake behavior.
Wheel running also doubled the amount of cataplexy by increasing the probability
of transitioning into cataplexy. CONCLUSIONS: Orexin KO mice run significantly
less than normal, likely due to sleepiness, imminent cataplexy, or a reduced
motivation to run. Orexin is not required for the wake-promoting effects of wheel
running given that both WT and KO mice had similar increases in wakefulness with
running wheels. In addition, the clear increase in cataplexy with wheel running
suggests the possibility that positive emotions or reward can trigger murine
cataplexy, similar to that seen in people and dogs with narcolepsy.
PMID- 18041477
TI - Circadian rhythms of melatonin, cortisol, and clock gene expression during
simulated night shift work.
AB - STUDY OBJECTIVES: The synchronization of peripheral circadian oscillators in
humans living on atypical sleep/wake schedules is largely unknown. In this night
shift work simulation, we evaluate clock gene expression in peripheral blood
mononuclear cells (PBMCs) relative to reliable markers of the central circadian
pacemaker. DESIGN: Participants were placed on a 10-hr delayed sleep/wake
schedule simulating nighttime work followed by a daytime sleep episode. SETTING:
Baseline, intermediate and final circadian evaluations were performed in the
temporal isolation laboratory. PARTICIPANTS: Five healthy candidates, 18-30
years. INTERVENTIONS: Polychromatic white light of (mean +/-SEM) 6,036 +/-326 lux
(approximately 17,685 +/-955 W/m2) during night shifts; dim light exposure after
each night shift; an 8-hr sleep/darkness episode beginning 2 hrs after the end of
each night shift. MEASUREMENTS: Melatonin and cortisol in plasma; clock genes
HPER1, HPER2 and HBMAL1 RNA in PBMCs. RESULTS: Following 9 days on the night
schedule, hormonal rhythms were adapted to the shifted schedule. HPER1 and HPER2
expression in PBMCs displayed significant circadian rhythmicity, which was in a
conventional relationship with the shifted sleep/wake schedule. Changes in the
pattern of clock gene expression were apparent as of 3 days on the shifted
sleep/wake schedule. CONCLUSIONS: This preliminary study is the first
documentation of the effects of a shifted sleep/wake schedule on the circadian
expression of both peripheral circadian oscillators in PBMCs and centrally-driven
hormonal rhythms. In light of evidence associating clock gene expression with
tissue function, the study of peripheral circadian oscillators has important
implications for understanding medical disorders affecting night shift workers.
PMID- 18041478
TI - Plasma melatonin rhythms in young and older humans during sleep, sleep
deprivation, and wake.
AB - STUDY OBJECTIVES: To determine the effects of sleep and sleep deprivation on
plasma melatonin concentrations in humans and whether these effects are age
dependent. DESIGN: At least 2 weeks of regular at-home, sleep/wake schedule
followed by 3 baseline days in the laboratory and at least one constant routine
(sleep deprivation). SETTING: General Clinical Research Center (GCRC), Brigham
and Women's Hospital, Boston, MA. PARTICIPANTS: In Study 1, one group (<10 lux
when awake) of 19 young men (18-30 y) plus a second group (<2 lux when awake) of
15 young men (20-28 y) and 10 young women (19-27 y); in Study 2, 90 young men (18
30 y), 18 older women (65-81 y), and 11 older men (64-75 y). All participants
were in good health, as determined by medical and psychological screening.
INTERVENTIONS: One to three constant routines with interspersed inversion of the
sleep/wake cycle in those with multiple constant routines. MEASUREMENTS AND
RESULTS: Examination of plasma melatonin concentrations and core body
temperature. Study 1. There was a small, but significant effect of sleep
deprivation of up to 50 hours on melatonin concentrations (increase of 9.81 +/-
3.73%, P <0.05, compared to normally timed melatonin). There was also an effect
of circadian phase angle with the prior sleep episode, such that if melatonin
onset occurred <8 hours after wake time, the amplitude was significantly lower
(22.4% +/- 4.79%, P <0.001). Study 2. In comparing melatonin concentrations
during sleep to the same hours during constant wakefulness, in young men,
melatonin amplitude was 6.7% +/- 2.1% higher(P <0.001) during the sleep episode.
In older men, melatonin amplitude was 37.0% +/- 12.5% lower (P <0.05) during the
sleep episode and in older women, melatonin amplitude was non-significantly 10.9%
+/- 8.38% lower (P = 0.13) during the sleep episode. CONCLUSIONS: Both sleep and
sleep deprivation likely influence melatonin amplitude, and the effect of sleep
on melatonin appears to be age dependent.
PMID- 18041479
TI - Practice parameters for the clinical evaluation and treatment of circadian rhythm
sleep disorders. An American Academy of Sleep Medicine report.
AB - The expanding science of circadian rhythm biology and a growing literature in
human clinical research on circadian rhythm sleep disorders (CRSDs) prompted the
American Academy of Sleep Medicine (AASM) to convene a task force of experts to
write a review of this important topic. Due to the extensive nature of the
disorders covered, the review was written in two sections. The first review
paper, in addition to providing a general introduction to circadian biology,
addresses "exogenous" circadian rhythm sleep disorders, including shift work
disorder (SWD) and jet lag disorder (JLD). The second review paper addresses the
"endogenous" circadian rhythm sleep disorders, including advanced sleep phase
disorder (ASPD), delayed sleep phase disorder (DSPD), irregular sleep-wake rhythm
(ISWR), and the non-24-hour sleep-wake syndrome (nonentrained type) or free
running disorder (FRD). These practice parameters were developed by the Standards
of Practice Committee and reviewed and approved by the Board of Directors of the
AASM to present recommendations for the assessment and treatment of CRSDs based
on the two accompanying comprehensive reviews. The main diagnostic tools
considered include sleep logs, actigraphy, the Morningness-Eveningness
Questionnaire (MEQ), circadian phase markers, and polysomnography. Use of a sleep
log or diary is indicated in the assessment of patients with a suspected
circadian rhythm sleep disorder (Guideline). Actigraphy is indicated to assist in
evaluation of patients suspected of circadian rhythm disorders (strength of
recommendation varies from "Option" to "Guideline," depending on the suspected
CRSD). Polysomnography is not routinely indicated for the diagnosis of CRSDs, but
may be indicated to rule out another primary sleep disorder (Standard). There is
insufficient evidence to justify the use of MEQ for the routine clinical
evaluation of CRSDs (Option). Circadian phase markers are useful to determine
circadian phase and confirm the diagnosis of FRD in sighted and unsighted
patients but there is insufficient evidence to recommend their routine use in the
diagnosis of SWD, JLD, ASPD, DSPD, or ISWR (Option). Additionally, actigraphy is
useful as an outcome measure in evaluating the response to treatment for CRSDs
(Guideline). A range of therapeutic interventions were considered including
planned sleep schedules, timed light exposure, timed melatonin doses, hypnotics,
stimulants, and alerting agents. Planned or prescribed sleep schedules are
indicated in SWD (Standard) and in JLD, DSPD, ASPD, ISWR (excluding elderly
demented/nursing home residents), and FRD (Option). Specifically dosed and timed
light exposure is indicated for each of the circadian disorders with variable
success (Option). Timed melatonin administration is indicated for JLD (Standard);
SWD, DSPD, and FRD in unsighted persons (Guideline); and for ASPD, FRD in sighted
individuals, and for ISWR in children with moderate to severe psychomotor
retardation (Option). Hypnotic medications may be indicated to promote or improve
daytime sleep among night shift workers (Guideline) and to treat jet lag-induced
insomnia (Option). Stimulants may be indicated to improve alertness in JLD and
SWD (Option) but may have risks that must be weighed prior to use. Modafinil may
be indicated to improve alertness during the night shift for patients with SWD
(Guideline).
PMID- 18041482
TI - Sonographic measurement of lateral parapharyngeal wall thickness in patients with
obstructive sleep apnea.
AB - INTRODUCTION: Lateral parapharyngeal wall (LPW) thickness may be a predominant
anatomic factor causing airway narrowing in apneic subjects. In this study, we
explored sonographic measurement of the LPW thickness and compared the results
with LPW thickness measured by magnetic resonance imaging (MRI). We also
investigated the association between sonographic measurement of LPW thickness and
apnea-hypopnea index (AHI). METHOD: Seventy-six patients with suspected
obstructive sleep apnea (OSA) underwent ultrasound examination of LPW thickness
after overnight polysomnography. Fifteen out of 76 subjects also participated in
correlation and reliability studies of sonographic and MRI measurements of LPW
thickness. RESULTS: There was good correlation between measurements of LPW
thickness on ultrasound and MRI (r = 0.78, P = 0.001), although Bland-Altman
analysis indicated overestimation of LPW thickness by ultrasound, when compared
with the LPW as measured by MRI. The sonographic measurement of LPW thickness had
high reproducibility, with intraclass correlation coefficients of 0.90 and 0.97
for intraoperator and interoperator reliability, respectively. Fifty-eight
subjects with significant OSA (AHI > or = 10/h) had a higher body mass index,
larger neck circumference, and greater LPW thickness measured by ultrasound than
those (n = 18) with an AHI of less than 10 per hour. LPW thickness had a positive
correlation with AHI on univariate analysis (r = 0.37, P = 0.001). On
multivariate analysis, LPW thickness had a positive independent association with
AHI after adjustment for age, sex, neck circumference, and body mass index. The
positive association of LPW thickness with AHI remained significant in both
univariate and multivariate analyses of men only (n = 62). CONCLUSIONS:
Sonographic measurement of LPW thickness is a novel and reliable technique and
had good correlations with measurement by MRI and the severity of OSA. Ultrasound
may provide an alternative imaging modality with easy accessibility and lower
cost in OSA research.
PMID- 18041483
TI - Sleep apnea in heart failure increases heart rate variability and sympathetic
dominance.
AB - AIMS: Sleep disordered breathing (SDB) is common in heart failure and ventilation
is known to influence heart rate. Our aims were to assess the influence of SDB on
heart rate variability (HRV) and to determine whether central sleep apnea (CSA)
and obstructive sleep apnea (OSA) produced different patterns of HRV. METHODS AND
RESULTS: Overnight polysomnography was performed in 21 patients with heart
failure and SDB. Two 10-minute segments each of SDB and stable breathing from
each patient were visually identified and ECG signal exported for HRV analysis.
SDB increased total power (TP) with very low frequency (VLF) power accounting for
the greatest increase (1.89+/-0.54 vs 2.96+/-0.46 ms2, P <0.001); LF/HF ratio
increased during SDB (1.2+/-1.0 vs 2.7+/-2.1, P <0.001). Compared to OSA, CSA was
associated with lower absolute LF (2.10+/-0.47 vs 2.52+/-0.55 ms2, P = 0.049) and
HF power (1.69+/-0.41 vs 2.34+/-0.58 ms2, P = 0.004), increased VLF% (78.9%+/
13.4% vs 60.9%+/-19.2%, P = 0.008), decreased HF% (6.9%+/-7.8% vs 16.0%+/-11.7%,
P = 0.046) with a trend to higher LF/HF ratio. CONCLUSIONS: SDB increases HRV in
the setting of increased sympathetic dominance. HRV in CSA and OSA have unique
HRV patterns which are likely to reflect the different pathophysiological
mechanisms involved.
PMID- 18041481
TI - Circadian rhythm sleep disorders: part II, advanced sleep phase disorder, delayed
sleep phase disorder, free-running disorder, and irregular sleep-wake rhythm. An
American Academy of Sleep Medicine review.
AB - OBJECTIVE: This the second of two articles reviewing the scientific literature on
the evaluation and treatment of circadian rhythm sleep disorders (CRSDs),
employing the methodology of evidence-based medicine. We herein report on the
accumulated evidence regarding the evaluation and treatment of Advamced Sleep
Phase Disorder (ASPD), Delayed Sleep Phase Disorder (DSPD), Free-Running Disorder
(FRD) and Irregular Sleep-Wake Rhythm ISWR). METHODS: A set of specific questions
relevant to clinical practice were formulated, a systematic literature search was
performed, and relevant articles were abstracted and graded. RESULTS: A
substantial body of literature has accumulated that provides a rational basis the
evaluation and treatment of CRSDs. Physiological assessment has involved
determination of circadian phase using core body temperature and the timing of
melatonin secretion. Behavioral assessment has involved sleep logs, actigraphy
and the Morningness-Eveningness Questionnaire (MEQ). Treatment interventions fall
into three broad categories: 1) prescribed sleep scheduling, 2) circadian phase
shifting ("resetting the clock"), and 3) symptomatic treatment using hypnotic and
stimulant medications. CONCLUSION: Circadian rhythm science has also pointed the
way to rational interventions for CRSDs and these treatments have been introduced
into the practice of sleep medicine with varying degrees of success. More
translational research is needed using subjects who meet current diagnostic
criteria.
PMID- 18041480
TI - Circadian rhythm sleep disorders: part I, basic principles, shift work and jet
lag disorders. An American Academy of Sleep Medicine review.
AB - OBJECTIVE: This the first of two articles reviewing the scientific literature on
the evaluation and treatment of circadian rhythm sleep disorders (CRSDs),
employing the methodology of evidence-based medicine. In this first part of this
paper, the general principles of circadian biology that underlie clinical
evaluation and treatment are reviewed. We then report on the accumulated evidence
regarding the evaluation and treatment of shift work disorder (SWD) and jet lag
disorder (JLD). METHODS: A set of specific questions relevant to clinical
practice were formulated, a systematic literature search was performed, and
relevant articles were abstracted and graded. RESULTS: A substantial body of
literature has accumulated that provides a rational basis the evaluation and
treatment of SWD and JLD. Physiological assessment has involved determination of
circadian phase using core body temperature and the timing of melatonin
secretion. Behavioral assessment has involved sleep logs, actigraphy and the
Morningness-Eveningness Questionnaire (MEQ). Treatment interventions fall into
three broad categories: 1) prescribed sleep scheduling, 2) circadian phase
shifting ("resetting the clock"), and 3) symptomatic treatment using hypnotic and
stimulant medications. CONCLUSION: Circadian rhythm science has also pointed the
way to rational interventions for the SWD and JLD, and these treatments have been
introduced into the practice of sleep medicine with varying degrees of success.
More translational research is needed using subjects who meet current diagnostic
criteria.
PMID- 18041484
TI - Reduced cerebral blood flow during wakefulness in obstructive sleep apnea
hypopnea syndrome.
AB - STUDY OBJECTIVES: To investigate changes in regional cerebral blood flow (rCBF)
in patients with obstructive sleep apnea-hypopnea syndrome (OSAHS). DESIGN: We
compared the 99mTc-ethylcysteinate dimer (ECD) single photon emission computed
tomography (SPECT) images of patients with OSAHS with those of age- and sex
matched healthy volunteers. SETTING: University hospital. PATIENTS AND
PARTICIPANTS: Twenty-seven patients with severe OSAHS and 27 healthy volunteers
underwent 99mTc-ECD brain SPECT studies. INTERVENTION: For statistical parametric
mapping analysis, all SPECT images were spatially normalized to the standard
SPECT template and then smoothed using a 14-mm full-width at half-maximum
Gaussian kernel. The Student t test was used for the statistical analysis.
MEASUREMENTS AND RESULTS: The mean age of patients and subjects was 44.3 years
(range 31-58). All patients underwent overnight polysomnography. The mean apnea
hypopnea index of patients was 60.4 +/- 17.6 per hour (range 33 -104), indicating
severe OSAHS. All patients snored heavily and had daytime sleepiness (mean
Epworth Sleepiness Scale score, 10.7 +/- 3.7, range 6-12). Statistical parametric
mapping analysis showed that rCBF in patients with OSAHS was significantly
reduced in bilateral parahippocampal gyri and in the right lingual gyrus, as
compared with that of healthy volunteers (P < 0.05 with false discovery rate
correction). Moreover, apnea-hypopnea indexes of patients were negatively
correlated with rCBF in the right pericentral gyrus and right cuneus at
uncorrected P < 0.001. CONCLUSIONS: Our results show the altered rCBF pattern in
bilateral parahippocampal gyri, right lingual gyrus, pericentral gyrus, and
cuneus in patients with severe OSAHS. These findings may partly explain the
deficit in memory, spatial learning, executive function, and attention, which are
frequently found in patients with OSAHS.
PMID- 18041485
TI - Zaleplon and zolpidem objectively alleviate sleep disturbances in mountaineers at
a 3,613 meter altitude.
AB - STUDY OBJECTIVES: To assess the effects of zolpidem and zaleplon on nocturnal
sleep and breathing patterns at altitude, as well as on daytime attention,
fatigue, and sleepiness. DESIGN: Double-blind, randomized, placebo-controlled,
cross-over trial. SETTING: 3 day and night alpine expedition at 3,613 m altitude.
PARTICIPANTS: 12 healthy male trekkers. PROCEDURE: One week spent at 1,000 m
altitude (baseline control), followed by 3 periods of 3 consecutive treatment
nights (N1-3) at altitude, to test 10 mg zolpidem, 10 mg zaleplon, and placebo
given at 21:45. MEASURES: Sleep from EEG, actigraphy and sleep logs; overnight
arterial saturation in oxygen (SpO2) from infrared oximetry; daytime attention,
fatigue and sleepiness from a Digit Symbol Substitution Test, questionnaires, and
sleep logs; acute mountain sickness (AMS) from the Lake Louise questionnaire.
RESULTS: Compared to baseline control, sleep at altitude was significantly
impaired in placebo subjects as shown by an increase in the amount of Wakefulness
After Sleep Onset (WASO) from 17 +/- 8 to 36 +/- 13 min (P<0.05) and in arousals
from 5 +/- 3 to 20 +/- 8 (P<0.01). Slow wave sleep (SWS) and stage 4 respectively
decreased from 26.7% +/- 5.8% to 20.6% +/- 5.8% of total sleep time (TST) and
from 18.2% +/- 5.2% to 12.4% +/- 3.1% TST (P<0.05 and P<0.001, respectively).
Subjects also complained from a feeling of poor sleep quality combined with
numerous 02 desaturation episodes. Subjective fatigue and AMS score were
increased. Compared to placebo control, WASO decreased by approximately 6 min
(P<0.05) and the sleep efficiency index increased by 2% (P<0.01) under zaleplon
and zolpidem, while SWS and stage 4 respectively increased to 22.5% +/- 5.4% TST
(P<0.05) and to 15.0% +/- 3.4% TST (P<0.0001) with zolpidem only; both drugs
further improved sleep quality. No adverse effect on nighttime SpO2, daytime
attention level, alertness, or mood was observed under either hypnotic. AMS was
also found to be reduced under both medications. CONCLUSIONS: Both zolpidem and
zaleplon have positive effects on sleep at altitude without adversely affecting
respiration, attention, alertness, or mood. Hence, they may be safely used by
climbers.
PMID- 18041486
TI - Liability to anxiety and severe life events as predictors of new-onset sleep
disturbances.
AB - STUDY OBJECTIVES: This study examined the effects of liability to anxiety and
stressful life events on the onset of sleep disturbances. DESIGN: A 5-year
longitudinal observational cohort study. PARTICIPANTS: A population sample of
16,627 men and women with undisturbed sleep and 2572 with disturbed sleep at
baseline. INTERVENTIONS: N/A. MEASUREMENTS AND RESULTS: Liability to anxiety, as
indicated by a general feeling of stressfulness (the Reeder stress inventory) and
symptoms of sympathetic nervous system (SNS) hyperactivity, was assessed at
baseline. The occurrence of post-baseline life events and sleep disturbances was
measured at follow-up five years later. Both liability to anxiety and exposure to
negative life events were strongly associated with sleep disturbances. Among the
men liable to anxiety, the odds of sleep disturbances were 3.11 (95% CI 1.90
5.10) times higher for those who had experienced a severe life event within 6
months than for the others. For the men not liable to anxiety, the corresponding
odds ratio was only 1.13 (95% CI 0.40-3.18). For the men and women liable to
anxiety, the odds ratio for sleep disturbance 0-6 months after divorce was 2.05
(95% CI 1.38-3.05), with the corresponding odds ratio being 1.47 (95% CI 0.84
2.58) for the men and women not liable to anxiety. The effects of total or
specific life events on sleep after 6 months were not dependent on liability to
anxiety. CONCLUSION: This study provides prospective evidence that individuals
liable to anxiety may be at particularly high risk of post-event sleep
disturbances at least during the first months after the event.
PMID- 18041487
TI - Dysfunctional beliefs and attitudes about sleep (DBAS): validation of a brief
version (DBAS-16).
AB - STUDY OBJECTIVE: Sleep related cognitions (e.g., faulty beliefs and appraisals,
unrealistic expectations, perceptual and attention bias) play an important role
in perpetuating insomnia. This paper presents new psychometric data on an
abbreviated version of the Dysfunctional Beliefs and Attitudes about Sleep Scale
(DBAS-16), a 16-item self-report measure designed to evaluate a subset of those
sleep related cognitions. DESIGN: Psychometric study of a patient-reported
measure of sleep related beliefs based on existing clinical and research
databases. PARTICIPANTS: A total of 283 individuals (60% women; mean age of 46.6
years old) with insomnia, including 124 clinical patients and 159 research
participants. MEASUREMENTS AND RESULTS: Participants completed the DBAS, Insomnia
Severity Index, Beck Depression and Anxiety Inventories, daily sleep diaries for
2 weeks, and 3 nights of polysomnography (research sample only) as part of a
baseline assessment. The DBAS-16 was found to be reliable, as evidenced by
adequate internal consistency (Cronbach alpha = 0.77 for clinical and 0.79 for
research samples) and temporal stability (r = 0.83). The factor structure was
similar to the original 30-item version, with 4 factors emerging and reflecting:
(a) perceived consequences of insomnia, (b) worry/helplessness about insomnia,
(c) sleep expectations, and (d) medication. DBAS total scores were significantly
correlated with other self-report measures of insomnia severity, anxiety, and
depression, but not with specific sleep parameters. CONCLUSION: The psychometric
qualities of this abbreviated DBAS-16 version seem adequate. This patient
reported measure should prove a useful instrument to evaluate the role of sleep
related beliefs and attitudes in insomnia and to monitor change on this cognitive
variable as a potential moderator of treatment outcome.
PMID- 18041488
TI - Efficacy and safety of doxepin 1 mg, 3 mg, and 6 mg in adults with primary
insomnia.
AB - STUDY OBJECTIVES: To evaluate the efficacy and safety of doxepin 1, 3, and 6 mg
in insomnia patients. DESIGN: Adults (18-64 y) with chronic primary insomnia (DSM
IV) were randomly assigned to one of four sequences of 1 mg, 3 mg, and 6 mg of
doxepin, and placebo in a crossover study. Treatment periods consisted of 2
polysomnographic assessment nights with a 5-day or 12-day drug-free interval
between periods. Efficacy was assessed using polysomnography (PSG) and patient
reported measures. Safety analyses included measures of residual sedation and
adverse events. MEASUREMENTS AND RESULTS: Sixty-seven patients were randomized.
Wake time during sleep, the a priori defined primary endpoint, was statistically
significantly improved at the doxepin 3 mg and 6 mg doses versus placebo. All
three doses had statistically significant improvements versus placebo for PSG
defined wake after sleep onset, total sleep time, and overall sleep efficiency
(SE). SE in the final third-of-the-night also demonstrated statistically
significant improvement at all doses. The doxepin 6 mg dose significantly reduced
subjective latency to sleep onset. All three doxepin doses had a safety profile
comparable to placebo. There were no statistically significant differences in
next-day residual sedation, and sleep architecture was generally clinically
preserved. CONCLUSIONS: In adults with primary insomnia, doxepin 1 mg, 3 mg, and
6 mg was well-tolerated and produced improvement in objective and subjective
sleep maintenance and duration endpoints that persisted into the final hour of
the night. The side-effect profile was comparable to placebo, with no reported
anticholinergic effects, no memory impairment, and no significant hangover/next
day residual effects. These data demonstrate that doxepin 1 mg, 3 mg, and 6 mg is
efficacious in improving the sleep of patients with chronic primary insomnia.
PMID- 18041489
TI - Evaluation of automated and semi-automated scoring of polysomnographic recordings
from a clinical trial using zolpidem in the treatment of insomnia.
AB - OBJECTIVE: To evaluate the performance of 2 automated systems, Morpheus and
Somnolyzer24X7, with various levels of human review/editing, in scoring
polysomnographic (PSG) recordings from a clinical trial using zolpidem in a model
of transient insomnia. METHODS: 164 all-night PSG recordings from 82 subjects
collected during 2 nights of sleep, one under placebo and one under zolpidem (10
mg) treatment were used. For each recording, 6 different methods were used to
provide sleep stage scores based on Rechtschaffen & Kales criteria: 1) full
manual scoring, 2) automated scoring by Morpheus 3) automated scoring by
Somnolyzer24X7, 4) automated scoring by Morpheus with full manual review, 5)
automated scoring by Morpheus with partial manual review, 6) automated scoring by
Somnolyzer24X7 with partial manual review. Ten traditional clinical efficacy
measures of sleep initiation, maintenance, and architecture were calculated.
RESULTS: Pair-wise epoch-by-epoch agreements between fully automated and manual
scores were in the range of intersite manual scoring agreements reported in the
literature (70%-72%). Pair-wise epoch-by-epoch agreements between automated
scores manually reviewed were higher (73%-76%). The direction and statistical
significance of treatment effect sizes using traditional efficacy endpoints were
essentially the same whichever method was used. As the degree of manual review
increased, the magnitude of the effect size approached those estimated with fully
manual scoring. CONCLUSION: Automated or semi-automated sleep PSG scoring offers
valuable alternatives to costly, time consuming, and intrasite and intersite
variable manual scoring, especially in large multicenter clinical trials.
Reduction in scoring variability may also reduce the sample size of a clinical
trial.
PMID- 18041490
TI - Sleep architecture and NREM alterations in children and adolescents with Asperger
syndrome.
AB - STUDY OBJECTIVES: To analyze sleep in children with Asperger syndrome (AS) by
means of standard sleep questionnaires, to evaluate sleep architecture and NREM
sleep alterations by means of cyclic alternating pattern (CAP) and to correlate
objective sleep parameters with cognitive behavioral measures. DESIGN: Cross
sectional study involving validated sleep questionnaires, neuropsychological
scales, and PSG recording. SETTING: Sleep medicine center. PARTICIPANTS: Eight
children with AS, 10 children with autism, and 12 healthy control children.
INTERVENTIONS: N/A. MEASUREMENTS AND RESULTS: Children with AS had a higher
prevalence of problems of initiating sleep and daytime sleepiness. Sleep
architecture parameters showed minor differences between the 3 groups. CAP
parameters showed an increased percentage of A1 and a decreased percentage of A2
subtypes in subjects with AS vs. controls. All A subtype indexes (number per hour
of NREM sleep) were decreased, mostly in sleep stage 2 but not in SWS. With
respect to children with autism, subjects with AS showed increased CAP rate in
SWS and A1 percentage. In subjects with AS, verbal IQ had a significant positive
correlation with total CAP rate and CAP rate in SWS and with global and SWS A1
index. The percentage of A2 negatively correlated with full scale IQ, verbal and
performance IQ. CBCL total score correlated positively with CAP rate and A1 index
while externalizing score correlated negatively with A3%. CONCLUSIONS: This study
shows peculiar CAP modifications in children with AS and represents an attempt to
correlate the quantification of sleep EEG oscillations with the degree of mental
ability/disability.
PMID- 18041492
TI - Costly infections. A new CMS hospital-acquired infection mandate is putting
hospitals on the alert.
PMID- 18041491
TI - Automatic analysis of single-channel sleep EEG: validation in healthy
individuals.
AB - STUDY OBJECTIVE: To assess the performance of automatic sleep scoring software
(ASEEGA) based on a single EEG channel comparatively with manual scoring (2
experts) of conventional full polysomnograms. DESIGN: Polysomnograms from 15
healthy individuals were scored by 2 independent experts using conventional R&K
rules. The results were compared to those of ASEEGA scoring on an epoch-by-epoch
basis. SETTING: Sleep laboratory in the physiology department of a teaching
hospital. PARTICIPANTS: Fifteen healthy volunteers. MEASUREMENTS AND RESULTS: The
epoch-by-epoch comparison was based on classifying into 2 states (wake/sleep), 3
states (wake/REM/ NREM), 4 states (wake/REM/stages 1-2/SWS), or 5 states
(wake/REM/ stage 1/stage 2/SWS). The obtained overall agreements, as quantified
by the kappa coefficient, were 0.82, 0.81, 0.75, and 0.72, respectively.
Furthermore, obtained agreements between ASEEGA and the expert consensual scoring
were 96.0%, 92.1%, 84.9%, and 82.9%, respectively. Finally, when classifying into
5 states, the sensitivity and positive predictive value of ASEEGA regarding
wakefulness were 82.5% and 89.7%, respectively. Similarly, sensitivity and
positive predictive value regarding REM state were 83.0% and 89.1%. CONCLUSIONS:
Our results establish the face validity and convergent validity of ASEEGA for
single-channel sleep analysis in healthy individuals. ASEEGA appears as a good
candidate for diagnostic aid and automatic ambulant scoring.
PMID- 18041493
TI - All hype? Have business intelligence tools actually changed, or have they just
been repackaged and renamed?
PMID- 18041494
TI - Taking out the trash. Figuring out the best way to get rid of PCs fraught with
mercury, lead and private patient information is far from simple.
PMID- 18041495
TI - Tracking with RFID. Brigham and Women's is keeping tabs on expensive equipment
and valuable devices with the help of an indoor positioning system.
PMID- 18041496
TI - AHIC 2.0. The next generation of the American Health Information Community is
almost here, yet there are still many more questions than answers.
PMID- 18041497
TI - PACS grows up. As imaging technology matures into its second generation, the
industry begins to feel the shift forward.
PMID- 18041498
TI - Climb every mountain. Getting the right PACS may feel like climbing a mountain,
exhausting and never-ending at the same time.
PMID- 18041499
TI - The vendor selection. For hospitals that perform tens of thousands of procedures
a year, choosing a vendor can be a tricky operation.
PMID- 18041500
TI - Bringing health home. Though some say the verdict is still out on telehealth
because of funding questions, many proponents say its value is unquestionable.
PMID- 18041501
TI - Looking for adventure. Anticipated regulatory changes may alter the entire
landscape for ambulatory surgery center joint ventures.
PMID- 18041502
TI - To outsource or not to outsource. When looking to offload non-core functions,
healthcare CFOs shouldn't just focus on dollars and cents.
PMID- 18041503
TI - Accelerating adoption. When it comes to getting everyone on board with RIS and
PACS, sometimes a new model is all that's needed.
PMID- 18041504
TI - Chasing the carrot. There is money to be gained through P4P incentives but
getting it is no easy task.
PMID- 18041505
TI - Floundering in fluoride fog.
PMID- 18041506
TI - The art and science of pediatric dentistry.
PMID- 18041507
TI - Evidence-based assessment of tooth-colored restorations in proximal lesions of
primary molars.
AB - The purpose of this study was, using evidence-based dentistry, to compare the
successes of glass ionomer cement (GIC), resin-modified GIC (RmGIC), composite
resin (CR), and polyacid-modified composite resin (PAMCR) in primary molar
proximal lesions. METHODS: The PICOT question was: P: in primary molar proximal
lesions; I: does the use of 1 material (GIC, RmGIC, CR, or PAMCR); C: compared
with the remaining materials; O (Outcome): result in higher success rates; T:
when followed for at least 1 year? Relevant articles (256) were identified from
databases then sieved by titles, abstracts, and full texts. Following exclusions,
36 dinical trials-including 25 randomized clinical trials (RCTs)-remained.
Extracted data were meta-analyzed. RESULTS: GIC restorations had a significantly
(P<.05) lower likelihood of success than RmGIC and CR restorations. Overall
success rates were: (1) GIC (691 restorations)=75%; (2) RmGIC (276)=89%; (3) CR
(620)=83%; (4) PAMCR (596)=87%. Mean success rates did not differ significantly
(P>.05): (1) GIC (6 studies)=65+/-34%; (2) RmGIC (3 studies)=93+/-7%; (3) CR (7
studies)=85+/-12%; and (4) PAMCR (8 studies)=90+/-10%. CONCLUSIONS: Few articles
were available to determine the best material. Recognizing material improvements
since 1990, earlier data may be noncomparable. RmGIC had the highest success
rates, but fewest studies and fewest restorations; only one product was
assessable. Prospective RCTs should be of at least 5 years' duration to determine
correctly the success rate of Class II restorations in primary molars.
PMID- 18041508
TI - Effect of motivational interviewing on rates of early childhood caries: a
randomized trial.
AB - PURPOSE: The purposes of this randomized controlled trial were to: (1) test
motivational interviewing (MI) to prevent early childhood caries; and (2) use
Poisson regression for data analysis. METHODS: A total of 240 South Asian
children 6 to 18 months old were enrolled and randomly assigned to either the MI
or control condition. Children had a dental exam, and their mothers completed
pretested instruments at baseline and 1 and 2 years postintervention. Other
covariates that might explain outcomes over and above treatment differences were
modeled using Poisson regression. Hazard ratios were produced. RESULTS: Analyses
included all participants whenever possible. Poisson regression supported a
protective effect of MI (hazard ratio [HR]=0.54 (95%CI=035-0.84)-that is, the M/
group had about a 46% lower rate of dmfs at 2 years than did control children.
Similar treatment effect estimates were obtained from models that included, as
alternative outcomes, ds, dms, and dmfs, including "white spot lesions."
Exploratory analyses revealed that rates of dmfs were higher in children whose
mothers had: (1) prechewed their food; (2) been raised in a rural environment;
and (3) a higher family income (P<.05). CONCLUSIONS: A motivational interviewing
style intervention shows promise to promote preventive behaviors in mothers of
young children at high risk for caries.
PMID- 18041509
TI - Caries risk-based fluoride supplementation for children.
AB - PURPOSE: The purpose of this study was to evaluate primary care physicians'
recommendation of fluoride supplements based upon a child's caries risk. METHODS:
A representative sample of family physicians (FPs) and pediatricians (PDs) in the
United States was mailed a letter and a questionnaire that described case
scenarios of 2-year-old children-one with low and the other with high caries-risk
-as well as questions about opinions on fluoride supplement use. The physicians'
opinions were compared with CDC experts' consensus on the same scenarios.
RESULTS: The response rates were 43% for FPs and 52% for PDs. FPs and PDs had a
high agreement level (76% ond 80%, respectively) with CDC experts regarding the
need for fluoride supplementation of the high-risk child. For o low risk child,
all physicians showed a significantly lower level of agreement with the CDC
experts (15% for FPs; 7% for PDs). CONCLUSIONS: The majority of primary care
physicians follow the current fluoride supplementation guideline without
considering the caries risk status of a child. If caries risk status is to be
used to tailor preventive regimens, then physicians need to be educated on how to
identify children with the highest need for prevention.
PMID- 18041510
TI - Common dental conditions associated with dental erosion in schoolchildren in
Australia.
AB - PURPOSE: The purpose of the investigation was to determine factors associated
with dental erosion in a group of schoolchildren in Queensland, Australia.
METHODS: Dental examinations were carried out on 714 children aged 5.5 to 14.6
years from 8 randomly selected Australian schools. A total of 3,165 primary and
2,976 permanent teeth were scored for dental erosion using a modified erosion
index. Dental caries experience was determined from clinical examination and
bitewing radiographs. Enamel defects were recorded using the developmental
defects of enamel index. RESULTS: There were 225 children (32%) who exhibited no
erosion and 489 (68%) who had erosion of at least one tooth. Erosion was found in
78% of subjects with primary teeth and 25% of subjects with permanent teeth
(P<.001). Children with erosion in the primary and permanent dentition were more
likely to have: (1) a lower socioeconomic status (primary dentition, P<.001 and
permanent dentition (P<.001); (2) enamel hypoplasia in permanent dentition
(P=.001); (3) dental caries in the primary dentition (P=.001); and (4) permanent
dentition (P=.002). CONCLUSIONS: In Australian schoolchildren, the prevalence of
dental erosion in the primary dentition is approximately 3 times greater than in
the permanent dentition. Dental erosion is strongly associated with caries
experience and enamel hypoplasia.
PMID- 18041511
TI - Texas dentists' attitudes toward the Dental Medicaid program.
AB - PURPOSE: The purpose of this study was to report the attitudes of Texas dentists
toward the Dental Medicaid program. METHODS: A self-administered survey was
mailed to all pediatric dentists and a random sample of general dentists.
RESULTS: Surveys from 347 (69%) of 500 dentists (171 of 295 general dentists
[58%] and 169 of 205 pediatric dentists [82%]) were returned. 57% of pediatric
dentists and 29% of general dentists (P<.0001) treated at least 1 Medicaid
patient in the past year. The major areas of dissatisfaction were: (1) broken
appointments; (2) low reimbursement levels; and (3) patient noncompliance. This
mirrors results from studies in Iowa, Louisiana, Ohio, Washington, and
California. Both pediatric and general practitioners identified the following
barriers to core for the Medicaid population: (1) low dental IQ; (2) few
providers; and (3) no transportation. CONCLUSIONS: The major areas of
dissatisfaction included both programmatic and patient-related factors.
Attributes of the system (eg, lower reimbursement levels) are more modifiable
than attributes of the patient population (eg, patient noncompliance and low
dental IQ). Underfunding of dental Medicaid is endemic to all states studied in
the literature. Providers, legislators, and government programs should target the
programmatic problems with future efforts and funding.
PMID- 18041512
TI - Pulp revascularization of a necrotic infected immature permanent tooth: case
report and review of the literature.
AB - The purpose of this report was to present the case of a patient wherein
revascularization of the necrotic infected pulp space of an immature permanent
maxillary central incisor tooth was induced in vivo by stimulation of a blood
clot from the periapical tissues into the canal space. This was achieved after
disinfection of the canal space with a topical antibiotic paste followed by a
blood clot scaffold induced from the periapical tissues. This treatment approach
offers clinicians great potential to avoid the need for traditional apexification
with calcium hydroxide or the need to achieve an artificial apical barrier with
mineral trioxide aggregate. Furthermore, this treatment approach can help rescue
infected immature teeth by physiologically strengthening the root walls.
PMID- 18041513
TI - Dental management of a talon cusp on a primary incisor.
AB - There are many treatment options for the pediatric patient with a talon cusp
(TC). The purpose of this paper was to report the case of a TC involving a
primary maxillary right central incisor in a 14-month-old male causing
displacement of the affected tooth. The etiology of a TC is thought to be a
disturbance during the morphodifferentiation stage of tooth development. Clinical
problems include: (1) occlusal interferences; (2) esthetic disturbances; (3)
accidental cusp fracture; (4) tongue irritation; (5) nursing difficulty; (6)
caries; and (7) displacement of the affected tooth. The TC affecting the central
incisor was reduced over a period of 4 visits, followed by immediate placement of
a 5% sodium fluoride varnish at the conclusion of each reduction visit.
Restoration of esthetics and function was evident within 1 month following
complete cusp reduction. There were no clinical signs of any problems related to
the reduction, and the prognosis was considered to be guarded. The pediatric
patient was placed on a 6-month oral hygiene maintenance follow-up.
PMID- 18041514
TI - Management of avulsed permanent incisors: a comprehensive update.
AB - An avulsion injury is a challenge to manage, and there are many factors to
consider to obtain the best prognosis in each scenario. In 2001, Lee and
colleagues published decision analysis paradigms for the management of avulsed
permanent teeth for the purpose of providing busy clinicians with user-friendly,
reference-based flow-charts to facilitate the best possible outcomes for managing
these teeth in children and adolescents. The purpose of this article was to
update the 2001 flow-charts and decision analyses with: (1) current concepts; (2)
recent literature-based findings; and (3) new philosophies.
PMID- 18041515
TI - Ethics and leadership in children's oral health.
AB - PURPOSE: This paper reviews key ethical precepts in health care for children, and
explores how interpretations of justice predict different and sometimes
conflicting approaches to children's dental needs. Ethics is a core competency
for health professionals because of their special responsibilities toward
patients and the public. Ethical principles guiding health professionals include:
(1) beneficence; (2) nonmaleficence; (3) respect for autonomy; and (4) justice.
Different theories of justice lead to different responses toward public needs,
such as access to dental care. The most frequently encountered response in the
dental community is volunteerism, consistent with the libertarian perspective on
justice. Though desirable, volunteerism alone will never solve dental access
issues because such efforts do not address the problems systematically. A policy
statement of the American Academy of Pediatric Dentistry (AAPD) explicitly
recognizes that children have a right to oral health care. Children's unique
characteristics--their vulnerability, dependence, and developmental processes
call for special arrangements to address their health needs. Given the importance
of children to society, it is critical that all health sectors work together to
address children's health and well-being. However, those with the greatest
knowledge of children's oral health needs-pediatric dentists-must take a
leadership role in creating and supporting solutions to these needs. The AAPD has
an opportunity to support systemic solutions at the state and national level to
ensure that all children have access to oral health care. One example of a
systemic solution is the Access to Baby and Child Dentistry (ABCD) program in
Washington State.
PMID- 18041516
TI - [Intrafamiliar violence against pregnant adolescents].
AB - The objective of this work is to describe how the adolescents had relationship
with their relatives before and after the discovery of the pregnancy. Fifteen
adolescents from a public maternity that were in the post-partum period
participated fo the research. A semi-strcutred interview was used as instrument
of data collection. Qualitative approach was used for data analysis. Results
indicated that the adolescents had a good relationship, but when the pregnancy
was revealed they became victims of violent acts by their parents and that
dialogue about sexuality and contraception is still distant between parents and
children.
PMID- 18041517
TI - [Characterization of suicide cases in a Brazilian northeastern capital].
AB - A descriptive, retrospective study which aimed to characterize suicide in the
municipality of Teresina, Piaui, the period of 2000-2005, in regards to the
social demographic aspects, means of death and period (month) of the act. The
results were obtained through the Institute of Legal Medicine, they show a
greater rate of suicide among men (71.3%) with a greater frequency of the youth
population, predominance among the single (54.9%). The occupational groups with
the greatest percentage were students (23.8%). The most frequently used method
was hanging (66%) followed by firearms (13.1%). The use of interventions in hopes
of lowering the current rates is imperative, as suicide occupies fourth place in
relation to all causes of violent deaths in the municipality.
PMID- 18041518
TI - [Correlation between the carotid resistance and functional autonomy of old
women].
AB - This study had as objective to correlate the resistance of the carotid artery and
the functional autonomy of old women. The resistance of the carotid artery was
evaluated through the method called Doppler and the functional autonomy through
tests related to daily activities (Protocol GDLAM). In the description of the
data it was used measures of localization and dispersion. The internal right
carotid resistance got mean and pattern deviation respectively (0.71 +/- 0.07)
and functional autonomy mean and pattern deviation respectively (30.40 +/- 6.31).
The coefficient of the correlation of Spearman showed a strong association
between the variation of the study (r = 0.998; p = 0.000). The results suggest
that the bigger the table of contents of the resistance of the carotid artery is
the bigger will be the difficulty of execution of the daily life tasks.
PMID- 18041519
TI - [Feelings and expectations of the women concerning oncotic citology].
AB - Qualitative study whose objective was to argue and to describe the feelings and
the expectations lived deeply for 28 women about oncotic citology in a unit of
the Family Health Program in Parnaiba-PI, through an interview with script of
opened questions. The results were presented in categories and evidenced that the
majority of the women do not carry through the examination, even so they all
affirm the importance of this, mainly for detention of illnesses. It was observed
despite during the procedure, the feelings most recurrent between the women were:
shyness, unreliability, fear, shame and pain. Related to the expectations, they
wish a better attendance of the professionals, and a result that does not present
alterations, in special the cancer.
PMID- 18041520
TI - [Mental health in the family health program].
AB - A descriptive study whose objective was to identify the education and actions of
the nurse in Mental Health (MH), in the Family Health Program. The sample
consisted of 134 acting nurses at the Family Health Program in Teresina, Piaui
The results show that 95.5% don't have the specified education in MH. Of those
interviewed, 97% state that there are patients, in their assigned areas, that
need this type of care. The referenced actions were home visits (60%)
appointments (27.7%), referrals (21.5%), medication delivery (15.4%), inactivity
(14.6%), ambulatory service (7.7%), community therapy (5.4%) and casework (0.8%).
Methods and strategies of public policies related to this area should be
revisited and instituted in order to (re)direct ways of reform in the actions and
services of mental health.
PMID- 18041521
TI - [Vertical transmission of HIV: situation found in a maternity of Teresina].
AB - The increase of the number of cases of AIDS among women reveals a progressive
increase of the vertical transmission of HIV in Brazil, as well as in Piaui
Considering the relevance of the subject, this study had as objective to analyze
the conditions of the implementation of the rules and measures recommended by the
Ministry of Health to prevent the vertical transmission of HIV in maternity
houses of Teresina-PI. The theoretical-methodological approach included the study
of organizational dynamics and its actors, by means of qualitative approach. In
order to obtain data, 17 semi-structured interviews were carried out. The results
revealed that the recommendations of the Ministry of Health were totally
followed, making more evident the weakness of the assistance provided, to the
mother/son binomial.
PMID- 18041522
TI - [The pedagogical practice of nursing teachers and their knowledge].
AB - This article has as objectives investigate the faculty knowledge that embase the
pedagogical practice of the nursing-professors, glimpsing to understand the
meaning of this social practice in what it refers to the process to become a
professional professor. The qualitative nature study, with methodological
emphasis in verbal story, used as instruments of data collection semi-arranged
interviews, and the data analysis starting from the content analysis. Among the
results obtained from the analysis, it has proven that the faculty knowledge and
the pedagogical practice positively incises for the consolidation of the process
to become professional professor in the scope of the faculty in the nursing
course at UFPI.
PMID- 18041523
TI - [Socio-political aspects of the implantation of the Transplant Center of Piaui].
AB - A qualitative study aiming at understanding the socio-political aspects that
mediate the implantation of the Transplant Center of Piaui, identify the social
topics involved in this implantation and analyze the participation of the
organized civil society. Ten people directly related to the implantation of the
transplant center were involved in the study, selected through the "snowball"
technique. A loosely structured interview, taped, transcribed and submitted for
thematic analysis was used. It was concluded that the implantation of the
transplant center in Piaui was the fruit of a complex series of negotiations and
interests among the State and organized civil society, as there was no political
project for action in the area of transplants. This distancing from the
responsibility of the public sector characterizes the importance that was given
to this implantation.
PMID- 18041524
TI - [Change in the collective construction in the nursing undergraduate nursing
course: a challenge].
AB - This study aims to draw up a proposal of integration for the fourth term of
Nursing from NOVAFAPI. The main focus was to describe the subjects' structure
chain, the competencies and abilities to be developed, as well as discuss the
methodology and the method of assessment specified and draw up a proposal for the
fourth term. A qualitative approach was used, based on the action research
referential. The subjects were the faculties and students from the term, plus the
coordinator of the course. Its results show there is already the understanding
that the integrated curriculum will contribute for the education of subjects more
capable of transforming social reality.
PMID- 18041525
TI - [Nosocomial infection: study of prevalence at a public teaching hospital].
AB - This study aimed to determine the prevalence of nosocomial infection (NI) and
distribution for topography and microorganism and its antimicrobial sensibility.
The study was carried out in two Intensive Care Units (ICUs) of a public teaching
hospital of Teresina, with sampling of 394 NI cases and processed by the SPSS
Software. The respiratory infection was the biggest prevalence (61.26%) in the
General ICU. It was found a bigger bacterial sensibility to amicacine (52.48%) in
the ICU of the ER. The microorganism with bigger prevalence was Klebsiella
pneumonia (35.46%) in the general ICU. In conclusion, the prevalence of NI in the
two ICUs was 60.8%, exceeding 45.3% of the general rate registered in the
Brazilian hospitals that is 15.5%, contributing to increase the morbi-mortality
caused by infections.
PMID- 18041526
TI - [Gender differences in the elderly].
AB - Qualitative study that aimed at investigating present and decisive gender
subjects of health and elder people's life quality. The subjects were 20 (twenty)
seniors of the Programa Terceira Idade em Acao-PTIA. The semi-structured
interview was used as data collection technique. From the speeches, information
that answered the investigation inquietudes emerged and they made possible the
formulation of three analysis categories. In the first, it stood out the low self
esteem lived by the men when they age, otherwise in the second one it was
evidenced the autonomy and the freedom conquered by the senior women, and in the
third category the learning happened among the old ladies who participated of
PTIA. Concluding that there is influence of the gender subjects in health and
life quality in aging.
PMID- 18041527
TI - [Social representations of the legal implications of nosocomial infection and its
control].
AB - The study aimed at learning the social representations of the legal implications
of hospital infections (HI) and analyze how these representations are articulated
with the quality of work developed by the health and law professionals. The data
were produced through interviews and processed by software Alceste4.8. The
descendant hierarchical analysis showed 04 classes of words, that objected the
legal implications of HI, anchored in the ideological, historical and socio
cultural aspects, in the rights that the health user has not run risks, resulting
of occurences caused by negligence of involved in the process having to be
fiscalized by the competent organs in order the responsibles answer civily and
penaltily, miscase or miscare in hospital assistance.
PMID- 18041528
TI - [Knowledge, contraceptive practice and HIV/AIDS prevention among university
students].
AB - Descriptive research of qualitative approach, with the study object about college
medicine and nursing students concerning the contraceptive choice and DST/SIDA
control. Identifying the contraceptive method choice between these graduating,
and to evidence if they associate the methods to the prevention of the DST's/SIDA
or not. The data were collected by semi-structured interviews in ribbon cassette.
The produced data were reorganized and analyzed based on theoretical referencial.
Results alert for changes in students attitudes needs either in current education
way, to offer support so that they really understand the importance and necessity
of safe sexual practical, and associates contraceptive methods to sexual
diseases, HIV/AIDS control beyond planned pregnancy prevention.
PMID- 18041529
TI - [Vaccine influenza: knowledge, attitudes and practices of elderly in Teresina].
AB - This objective study to raise the knowledge, practical and attitudes of the aged
ones of an area of the Program of Health of Familia (PSF) on the vaccine it
counts influenza and to identify the reasons that had taken some not to be
vaccinated. It consists of a domiciliary inquiry, an area of the PSF of Teresina,
with 74 aged ones from 60 years. The result had evidenced that even so 85.3% have
inadequate knowledge on the vaccine, 89.1% is favorable. The reasons most
frequent for the vaccination had not been illness and fear of the adverse events.
It is concluded that the favorable attitude regarding the vaccination can modify
the practical front it, restoring behavior of self-protection and greater
adhesion.
PMID- 18041530
TI - [Analysis of after-vacination side-effects occurred in Teresina].
AB - This study focuses the profile of the adverse events after-vaccine occurrences in
Teresina in 2006. The data had been collected by means of the form application
with 73 participants in 18 Basic Units of Health. The results show that the
vaccines that had more produced events adverse had been tetravalente, BCG and DPT
The events most frequent had been: fever, hiporresponsivo hipotonic episode,
moderate irritability and local manifestations. Amongst the behaviors adopted for
the health professionals, 80% had been approximately adjusted. The year minors
had been accomitted by the events. All the events had evolved for the cure. It
was concluded that the action of vaccination still continues requiring constant
qualification of the professionals of the area. It is suggested to deepen the
knowledge with relation to the handling, diagnosis, inquiry and treatment.
PMID- 18041531
TI - [Analysis of the scientific production about the aged in REBEn].
AB - This is a bibliographic research that aimed at analyzing the scientific
production about the aged in Revista Brasileira de Enfermagem (Brazilian Nursing
Journal), in the period from 2000 to 2006. It was carried out bibliographic data
bases using the following descriptors: senior, nursing, gerontology. It was
analyzed eighteen scientific articles. In 2004 it happened the largest number of
publications. Regarding authorship of the articles, most had the participation of
doctors, most of this production concentrated on the southeast area with
qualitative approach and preponderance of hospital as the scenario. The
scientific production of nursing about the aged is initial, recent and relevant.
PMID- 18041532
TI - [Humanization and nursing assistance to normal childbirth].
AB - Bibliographical study that sought to identify the scientific production about
humanization and nursing assistance to normal childbirth. The sources were
scientific articles from SCIELO-Brasil's database, from 2000 to 2007. We obtained
13 articles as result from the search, which were grouped in the following
categories: childbirth medicalization, humanization of assistance to childbirth,
companion during childbirth and performance of the obstetric nurse. The analysis
pointed out that the current paradigm is centralized on childbirth intervention,
despite of humanization movements defending the natural and physiological
childbirth made by the nurse. We concluded that qualified and humanized
assistance to childbirth and birth privileges women's respect, dignity and
autonomy, regarding women's active role in the birth process.
PMID- 18041533
TI - [Nurse-teacher: a dialogue with pedagogical education].
AB - College faculty presents itself as an important subject matter in a moment of
transformation on nursing education characterized by syllabus and methodological
changes. This assignment aimed to reflect upon the pedagogical education of the
nurse-teacher in order to make this education appropriate to assist the new
educational demands of society which are considered by the Syllabus Guidelines of
Nursing Graduation. It is about a bibliographical study. The literature review
permits to state that pedagogical education is necessary for the nurse-teacher to
educate a nurse capable of acting in all care dimensions as a promoter for the
health of the citizen, the family and the community. In this context, the
reflecting practice is pointed out as guideline to the development of faculty
education.
PMID- 18041534
TI - [Teaching and learning with multiple sclerosis patients: experience report].
AB - This report describes the experience of a group of nursing students from
Universidad Federal do Piaui, in face of the reality of multiple sclerosis
patient. The experience highlight the necessity of an integrated team to achieve
fundamental knowledge and to offer a holistic assistance to the patient. The
impact of the actions developed by the students, in APPEM (Piauiense Association
of Multiple Sclerosis), allows them to better coexist with the difficulties of
the disease. In addition, the general community is favored through the knowledge
transmited by the activities developed by the group. We conclude that a wider
knowledge about a full of mysteries pathology is only possible when the theory is
combined with the reality of the patient.
PMID- 18041535
TI - [Collective memory of the Brazilian Nursing Association--Piaui Section].
AB - Historical and social study that aimed at obtaining the social representations
about associative practice present at the collective memory of the members from
ABEn-PI. Its primary source were 94 minutes of ABEn-PI created from 1959 to 1970
and from 1995 to 2005 and analyzed according to a thematic analysis of
categorical content. The social representations obtained were about knowledge,
positioning and feelings about nursing teaching, religiosity and honoring and
political fights of ABEn. The dissemination of these knowledge, positioning and
feelings among the members of the association, showed the spread of social
representations determining the behavior of these nurses as ABEn-PI members.
PMID- 18041536
TI - [From population to individual approach in the primary prevention of vascular
disease: let's not miss the trees for the forest].
PMID- 18041537
TI - [Ethical and practical problems of secondary prevention. Two paediatrics
examples].
AB - The concept of prevention is surrounded by a halo of optimism that can sometimes
confuse, since it is not always borne out by the facts. The saying, "An ounce of
prevention is worth a pound of cure", is not always true. Moreover, preventive
activities should be based on a much more solid scientific basis than curative
activities, since we offer the former as an option, whereas we are required to
provide the latter. This article reviews two examples of paediatric screening
instruments-one for neuroblastoma, and the other for hip dysplasia-which provide
an opportunity to evaluate the practical problems of secondary prevention. These
two instruments are used to examine a few general but important issues in
screening, such as the need to know the natural history of the disease, and the
"point of no return" (the moment past which early diagnosis and early
intervention are no longer so effective in improving the course of the disease).
In conclusion, only sometimes "an ounce of cure is worth a pound of prevention",
and regardless of the value of a given screening instrument, clinicians should
use the same level of scientific rigor to judge preventive activities as they use
for curative activities.
PMID- 18041538
TI - [Predictive capacity, comparison and clinic consequences of the Framingham-Wilson
and REGICOR tables in persons for whom care has been provided at a health care
center, Badajoz, Spain].
AB - BACKGROUND: Framingham's original equation modified by Wilson and the REGICOR
calibration are widely recommended in coronary risk stratification. This study is
aimed at: (1) Analyzing the ten-year predictiveness of the Framingham-Wilson and
REGICOR tables among a population for which care has been provided at an urban
health care center (2) Evaluating the concordance use of hypolipemiant and
antihypertensive drugs resulting from these tables. METHODS: Observational,
longitudinal, retrospective study of a cohort of patients for whom care was
provided at a primary care center. A total of 1011 patients ages 35-74 (mean age
55.7, 56.0% females) without any evidence of cardiovascular disease. Those
patients having a > or =20% risk in Wilson and > or =10% in REGICOR were
considered high-risk. RESULTS: The actual coronary risk of the population was
10.7%, whilst the mean coronary risk estimated with the functions was 17.0% in
Wilson and 6.6% in REGICOR. A total 29.6% was classified high-risk in Wilson as
compared to the 18.2% in RECIGOR (p < 0.05). The percentage of high-risk males
was significantly higher in Wilson than in REGICOR (49.0% vs. 29.4%, p < 0.01).
The Kappa index was 0.70 (95 CI: 0.67, 0.73). A total of 39.5% of the patients
(as per Wilson) and 31.4% (as per REGICOR) were candidates for taking
hypolipemiant drugs (p < 0.001). The validity criteria of both of these functions
are quite discreet: sensitivity, specificity and odds ratio diagnosed at 50.9%,
73.1% and 2.11 in Wilson and 28.7%, 83.1% and 1.98 in REGICOR, respectively.
CONCLUSIONS: The Wilson and REGICOR tables show an acceptable degree of
concordance, but their validity parameters are discreet. The Framingham-Wilson
function screens a higher percentage of patients as being candidates for
hypolipemiant drugs.
PMID- 18041539
TI - [Cardiovascular risk of hypertensive people with long-range monitoring. The
effect of aging (Ciclo Risk Study)].
AB - BACKGROUND: The evolution of estimated cardiovascular risk can be for evaluating
the effectiveness of the different treatment interventions which are carried out
on patients with regular follow-up by family physicians. This study is aimed at
evaluating the effect of aging on the evolution of cardiovascular risk among
hypertensive patients with long-range Primary Care monitoring. METHODS:
Longitudinal, descriptive study with monitoring of 842 hypertensive patients
within the 34-70 age range at two primary care centers, with a quality
improvement intervention (improvement cycle) during the last year at one of these
centers. The main variables were age and gender, blood pressure, lipids, smoking
habit, diabetes and cardiovascular risk (CVR) (Framingham-Wilson) in the real
life situation and considering the age constant in the first case, and the risk
factors in the second, plus the relative risk. RESULTS: A drop was found in the
systolic and diastolic blood pressure from 11.78 mmHg (95 IC: 10.51-13.05) and
8.83 mmHg (95 CI: 8.13-9.53), respectively, and LDL Cholesterol 15.94 mg/dl (95
CI: 11.77-20.12), a rise in HDL-Cholesterol of 7.53 mg/dl (95C1: 6.39-8.66),
decreased smoking habit of 31% and an increase in diabetics. The Coronary risk
decreased 1.40 percent points, Coronary risk with age constant decreased 3.84 (95
CI: 3.35-4.33), having increased with constant risk factors by 3.06(95 CI: 2.82
3.29). The Relative risk dropped from 2.50 to 1.85. CONCLUSIONS: Aging may mask
the effect achieved by health care in the absolute cardiovascular risk check. The
relative risk could be an alternative for monitoring the follow-up.
PMID- 18041540
TI - [Trends in effects of maximum temperatures on organic-cause mortality in Castile
La Mancha, Spain from 1975 to 2003].
AB - BACKGROUND: Non-lineal temperature-mortality relationship varies depending on the
characteristics of the designated study geographic zone. In given places, a
growing level of economic development has led to lesser influence of
environmental variables on mortality. This paper analyzes trends in the
association between maximum temperatures and organic-cause mortality from 1975 to
2003 in Castile-La Mancha (Spain). METHODS: Daily maximum temperatures and
organic-cause mortality data were divided into 3 time period: 1975-1984, 1985
1994 and 1995-2003. After data pre-whitening by applying ARIMA model estimated
for the daily maximum temperature series, we calculate cross-correlation
functions between residuals of temperature and mortality, 7 days lagged for
summer, 15 for cold months, and comparing its correlation coefficients. RESULTS:
We observe an increasing number of significant lags during the warm season (p <
0.05) between first and second decades studied in regional overall but with some
provincial differences. In the third study period the number of significant lags
varies slightly, although cross correlation coefficients were significantly
upward (p < 0.05) at lag 3 in the entire region and Toledo in particular.
CONCLUSIONS: Maximum temperature and mortality by organic cause association
became more extensive and intense since 1975-1984 decade in Castile-La Mancha.
The aging of regional population could offset the probable beneficial effect of
economic growth on this relationship. No appreciable time trends are found in
cold months.
PMID- 18041541
TI - [Evaluation of the surveillance system for human immunodeficiency virus
infections of Navarra, Spain, 1985-2003].
AB - BACKGROUND: Navarra has an information system of HIV diagnoses working since the
beginning of the epidemic up to the present day. This study aims at describing
and evaluating this system, focusing on its sensitivity. METHODS: The updated
guidelines for evaluating public health surveillance systems from the CDC were
used to describe the purpose and operation of the system and to analyse its
attributes for the period 1985-2003. For the evaluation of the sensitivity the
regional database of antiretrovirals distribution was used. RESULTS: The HIV
surveillance system of Navarra is confidential and name-based, and includes all
HIV-infection cases diagnosed each year. The information sources of the system
are: (a) all the laboratories in the public health system that perform the
western blot test, and (b) hospital discharge registries. The system covers the
entire population of Navarre (584,734 inhabitants). It uses the HIV case
definition proposed by the European Centre for the Epidemiological Monitoring of
AIDS. The system is well-accepted by the community and by all the stakeholders,
including those providing data. By the end of 2003 it included 2302 HIV cases,
and 98.8% of all patients who had ever received antiretrovirals in Navarra.
CONCLUSIONS: The HIV information system of Navarra is simple, useful, well
accepted and highly sensitive. The information about antiretroviral distribution
was useful for this evaluation.
PMID- 18041542
TI - [Recommendations for health research and information on definitions and variables
for the study of the foreign-born immigrant population].
AB - BACKGROUND: The growth of the foreign population residing in Spain makes it
necessary to avail of better information on the level of health. In the health
information and research systems, no uniform criteria currently exist for the
nomenclature of origin-related variables. This study poses a consensus on the
definitions of immigrant and foreigner. METHODS: Employing the Delphi
methodology, 66 experts in immigration from different disciplines were invited to
take part in the study using a two-round questionnaire with suggested
definitions, terms and variables, including in the second round the percentages
of responses and the comments made by the participants in the first. The
suggestions regarding which an 80% consensus was reached were put into a final
document which was approved in the third round. RESULTS: A total of 57 people
accepted the invitation, 44 having completed at least one round and 33 having
completed all three. Some of the most important aspects regarding which a
consensus was reached were: the term "immigrant" denotes the individual who comes
to a country where he/she was not born to take up residency, one not being an
immigrant on a lifelong basis. Those individuals born in another country who have
arrived in Spain less than 5-10 years ago can be considered immigrants; and
immigrated people are all those individuals born in another country.
Socioeconomic variables, gender, administrative status and country of origin
should also be taken into consideration. The children of immigrated individuals
who are born in Spain must not be considered individuals who are born in Spain
must not be considered. CONCLUSIONS: To properly define the inmigrating and
immigrated population categories, the health studies and information systems must
include country of birth, year of arrival and nationality.
PMID- 18041543
TI - [Hospital admissions for infectious diseases: 1999-2003 incidence in one health
district in the autonomous community of Valencia, Spain].
AB - BACKGROUND: . Infectious diseases are still currently one of the leading causes
of death and illness. Their dynamic nature justifies the epidemiological study
thereof. This study is aimed at analyzing the incidence of infectious diseases
most often conditioning hospital admissions. METHODS: A study was conducted of
those individuals admitted to hospital during the 1999-2003 period whose main
diagnosis at admission to hospital had been encoded according to the
International Disease Classification (ICD 9-CM) as an infectious disease in the
Minimum Basic Data Set. A total of 2010 active infectious disease codes were
selected and were grouped into 25 groups as per the ICD 9-CM. The target
population was that of one district in the Autonomous Community of Valencia.
RESULTS: A total of 9.7% of the admissions during the period under study (8,585
records) were due to an infectious disease. Those affected averaged 38 years of
age, median age of 37, standard deviation 31 and the range of 1-102 years. The
admissions of males (54.5%) prevailed over females (48.5%). The incidence rate of
admissions due to infectious diseases was 728 cases / 100,000 inhabitants / year.
The highest rate of admissions was among young children and the elderly. The
groups of diseases showing the largest number of admissions were, in descending
order, infectious digestive, respiratory and genitourinary diseases. CONCLUSION:
The hospital admissions due to infections diseases totaled one tenth of the
admissions, and 7/1000 inhabitants/year required hospitalization for an
infectious disorder. The highest incidence rates were found for intestinal
diseases, digestive, respiratory and genitourinary tract diseases among the
childhood-aged population, but also, although in smaller percentages, among
individuals over 65 years of age.
PMID- 18041544
TI - [Use of geographic restrictions in PubMed].
PMID- 18041545
TI - [Worker's life before and after repetive cumulative trauma (RCT) and
osteomuscular work-related disease (OWRD)].
AB - This is a qualitative work, which focused on workers routine before and after
being affected by RCT/ OWRD. The study aimed at verifying and analyzing if
workers' lives had changed after the disease diagnostic. The research took place
at sections of the TRT-AL--a Court responsible for labor conciliations in Maceio
Alagoas. The information was collected based on semi-structured interviews and
data were analyzed based on the following tematics: life before RCT/OWRD; the
activity that caused it; the diagnosis; an answer to the repetitive work and a
new perspective to the horizon. Results enabled researchers to suggest preventive
care, as well as to contribute for reducing damages caused by repetitive
activities and high pressure on workers.
PMID- 18041546
TI - [The facets of "touching", "staying" and "dating": social representations of
relationships among adolescents].
AB - This study aimed at describing and analyzing the different forms of interpersonal
relationships among adolescents. Social representations approach was adopted as
the theoretical-methodological reference. Subjects were 130 adolescent and data
collection was accomplished with focal groups, in 19 encounters, from March to
June 2004. A analysis was accomplished with software Alceste. Results revealed
the distribution of the contents in six categories and the different forms of
relationships were identified in class 1, by recognizing three types: "touching",
"staying" and "dating". It is concluded that adolescents experiment a tension
between the attractions exercised by freedom (touching/staying) and by
affectivity (dating). It was also highlighted the social fluidity both
adolescence, so that those, modifying the intensity/modality of relationships
along short periods of time.
PMID- 18041547
TI - [Why the nursing staff professionals with urinary incontinence do not seek for
treatment].
AB - It was verified the prevalence of urinary incontinence (UI) among the women's
nurse staff at a medical school, if the incontinent women seek a doctor for
treatment and what was they reasons for not seeking treatment. Among 291 women
that answer the survey, 27.5% reported UI and, 79% women didn't seek UI
treatment. The most common reasons for not seek treatment were that incontinence
was slight degree (28.8%) and the belief that the UI was a common problem for
women (22%). The prevalence and the reasons for not seeking treatment for UI were
similar the others researches with women in general. It was concluded that the
factor at to be health's professional didn't influence their attitudes.
PMID- 18041548
TI - [Curricular Guidelines for Nursing Graduate Course: contexts, changes and
perspectives].
AB - Research focusing the curricular guidelines for Nursing Faculty in Brazil as
approved in 2001 by the Resolution no 03/2001-CNE. The study aimed at
contextualizing and rescueing the historical elaboration process of these
guidelines, to discuss the approved content supporting elements to guide the
construction of politician-pedagogical projects. Bibliographical sources,
documents and interviews were used. Pedagogical principles present in the
guidelines were verified; the discussion on the research were approached; the
nursery licentiature degree, the Unique System of Health as focus of the
formation and the performance of the United Net. The context of the private
higher education and health, the economic changes (financial globalization, the
performance of international agencies) had conformed the scenery of changes in
the graduation in Brazil in the 90' and beginning of the 21st century.
PMID- 18041549
TI - [Caregiver role strain in caregivers of children with cancer].
AB - The purpose of this study was to identify the frequency of nursing diagnoses
"caregiver role strain" and "risk for caregiver role strain" in 50 caregivers of
children with cancer in a specialized children's cancer hospital in Campinas, Sao
Paulo. The data for this descriptive cross-sectional study was obtained from the
patients' files and interviews held with the caregiver using a specially
formulated protocol. "Caregiver role strain" was presented by 78% of the
caregivers and 100% presented the risk for "caregiver role strain" with at least
two defining characteristics and six risk factors. The conclusion was that
caregivers of children with cancer present a high risk for caregiver role strain.
PMID- 18041550
TI - [HIV positive pregnant women who do not follow the prenatal prophylaxis].
AB - This is an exploratory study based on a qualitative approach. The objective was
to investigate why HIV positive pregnant women do not follow the treatment which
is recommended by the Health Ministry during the prenatal period. The individuals
participating of this investigation were seven HIV positive women who, during
their pregnancy, did not follow the prophylaxis treatment currently recommended.
The data were collected by means of semi-structured interviews. Categories based
on what these individuals reported were then stipulated and the results showed
that women are in a vulnerable position regarding the negotiation of safe sex
with their partners. Moreover, low social and economical conditions and lack of
affection lead women to become victims of HIV/AIDS.
PMID- 18041551
TI - [Family violence in the perspective of the child who goes to day care center and
pre-school].
AB - The present research uses the descriptive and traversal study and also the
content analysis to know the family violence in children of day care center and
pre-schools. The population and the subjects are constituted of infantile
educators, teachers and monitors. It is verified in the results the presence of
the domestic violence, practiced mainly by the mother, the aggressive child is
present in the atmosphere of the schools as a form of reproduction of behavior
and also, the negligence regarding the cares and the attention to the child in
the daily. These behaviors can generate other violence forms, maintaining the
child always in risk atmospheres during several phases of the life.
PMID- 18041552
TI - [Family caregiver activity: meanings and beliefs].
AB - This qualitative study aims at apprehending the meanings and beliefs of caregiver
of people with methabolic syndrome in the domiciliar context. It used the
symbolic interactionism as theoretical referential, following the content
analysis approach of Bardin. For data collection the semi-structured interviews
and the free observation were used, in the period from march to may, 2005. The
results demonstrate important work overload delivered to the relative by the
caregiver, which implies in meaningful impact about physical, emotional and
social health. It was concluded that reflections about the person who is
continously involved with their relatives' care must be intensified, aiming at a
better preparation of them to domiciliar therapeutic accompanying.
PMID- 18041553
TI - [Work accidents involving nursing workers].
AB - The objective of this study was to identify and analyze work accidents as well
the activities in which the nursing workers are exposed to. This is a study case,
descriptive and exploratory, developed in a University Hospital in the Brazilian
Federal District. It was done a survey on work accidents registered in SESMT and
CCIH, from January 1998 to December 2002 and is was also identified work
activities related to these accidents. We could noticed that the workers suffered
76 accidents. From those accidents 83.95% happened due to sharpened materials;
8.64% due to falls; 6.17% due to exposition to biological fluids and 1.24% due to
injuries. The diversity and simultaneity of the work activities contribute to the
occurrence of the work accidents.
PMID- 18041554
TI - [Red reflex: prevention way to blindness in childhood].
AB - This study had as objective to investigate the result and the colour gradation of
red reflex test in newborns (NB). It is a exploratory, quantitative study and the
sample was 180 NB from maternity ward in Fortaleza-CE. From this, 156 showed
result "no altered" and 24 "suspect". About the aspect of red reflex, 144 NB
showed the same coloration in the two eyes, in 35 of this, the colour was red, in
33, orange reddish, in 46 orange colour, in 24 light yellow, in 6 yellow with
whitish stains central. Of the suspect cases, the reflex was light yellow with
whitish stains with lines. The nurse trained to accomplish the red reflex test
can have important role at Neonatal Unit with actions about the prevention of
ocular alterations in the childhood.
PMID- 18041555
TI - [Humanization in nursing care: acting with respect in a school hospital].
AB - The purpose of the present study was to verify the hospital routine aspects in
which nurses see bioethic principles when giving attendance and verify in which
situations the nurse understands that the respect concept is connected to their
routine. An exploratory, decriptive and qualitative approach research was
performed with 18. The categories found were: The interference of bioethic
principles in the nursing practice; How to respect the patient despite the
hospital routines; How to render care to the patient in a humanized way and How
to put into practice the humanization theory. We concluded that nurses understand
that the bioethic principles help them in their daily nursing routine because
they can orient themselves in their actions, making respect to the patient happen
very naturally. However, nurses also understand that the principles can have
their link hindered by their own Hospital rules.
PMID- 18041556
TI - [A proposal for a geometrical delimitation model for ventro-gluteal injection].
AB - This study aimed at presenting and comparing geometrical and traditional
technique for intramuscular injection in the ventrogluteal area. This is a quasi
experimental study of non-equivalent control group type, carried out with
anatomical parts of corpses to verify the precision of function between
traditional delimitation using hand as reference and the geometrical model which
was constituted by tracing imaginary lines across bone structures of the hip,
making a configuration of a triangle whose barycenter points the punction site.
The study demonstrated that the punction site delimited by the geometrical
technique keeped proportion of the envolved structures, and matched with the
muscular womb of the ventrogluteal area in 100% of punctions. In another hand, in
the traditional technique the punction site varied in 39.9% of punctions.
PMID- 18041557
TI - [The meaning of family for homosexual couples].
AB - This study aimed at understanding the structure and the dynamics of the family of
couples constituted by people of the same sex, approaching the basis of its
experience. The phenomenological approach was used with interviews. From analysis
of the testimonies added to our experiences and readings resulted in two thematic
categories: "the meaning of the family, constituted by homosexuals" and
"perceiving the impact and the value of the family". Based on the phenomenon
understanding, new perspectives are glimpsed, therefore, when somebody you decide
to compose a different family of that proposal for the traditional model, he/she
also will be creating a new condition, or either, a new type of family. In
nursing care it is necessary to be aware for new care needs in order that the
different types of family can be attended with the same ethics and respect and
also considering the privacy guarantee.
PMID- 18041558
TI - [Modulators of sleeping habits in childhood].
AB - This literature review presents the main organic, psychological and cultural
factors influencing the sleeping habits of infants. By means of a clinical
anthropological approach, the interrelation between these habits and
biobehavioral and psychosocial stressing factors is described, as well as
cultural practices such as shared bed, night feeding, transitional objects and
use of dummies. It presents some measures that may modulate the physiology of
sleep and home practices of sleeping in childhood.
PMID- 18041559
TI - [The teaching of biosafety in undergraduate nursing: a literature review].
AB - It's a study that made a scientific production survey in nursing regarding to
biosafety teaching aiming to investigate its context and discover the content of
publishing on biosafety teaching in the nursing undergraduation. It was analyzed
the journal articles found consulting LILACS, SciELO, MEDLINE, BDENF, DEDALUS and
PERIENF databases, identifying 26 articles. The results found provided the
creation and discussion of these categories: "Ideological and theoretical bases",
"Historic approach of biosafety", "Occupational risks and AIDS" and "Biosafety
education in graduation". It was concluded that the number of articles is small,
mainly the ones focusing on biosafety teaching, but there was an evolution of
this content in the last years.
PMID- 18041560
TI - [Analysis of a scientific article: an exercise].
AB - This essay describes the analysis of a scientific article, with the purpose of
demonstrating the operability of that activity, common for all the high level
professionals, especially researchers. 23 items were selected as the most
relevant ones in this type of analysis, and each item, besides describing some
analysis criteria, also used a selected scientific article to exemplify the
analysis. It concludes that the analysed scientific study was well prepared and
with high quality.
PMID- 18041561
TI - [Decision-making process and health management councils: theoretical approaches].
AB - With the institutionalization of participation in health, through conferences and
management councils at national, state, municipal and local levels, a process of
democratization is initiated in the health area. However, in relation to the
health councils in particular, there is still much to be done, including
improving the quality of the decision-making process. This work aims to place the
decision-making process in its theoretical context in terms of participatory
democracy, elements which make up, factors which influence its development, and
finally, to explore some possibilities of this theoretical basis to analyze the
practices of the health councils in the area of health. It is hoped that it will
make a theoretical contribution to the analyses carried out in this area, in
order to provide a decision-making process that is more inclusive in terms of
participation.
PMID- 18041562
TI - [Distance education as strategy for permanent education in health: possibilities
and challenges].
AB - The point of this study is to reflect about how important Permanent Education in
Health (PEH) is in the promotion of the changes process of professors of UEFS; to
establish strategies to promote PEH and show the possibilities and challenges to
the operate of DE as strategy to PEH. Bibliographical Revision Study: The result
shows that PEH is one strategy to professional formation through colletive works
between professors. As possibility: DE makes possible a new style in formation
construction. Challenges: Knowledge need to use new technologies of information
and communication; difficulty of access to these technologies; scarcity of time
to develop the course activities. We learn that DE have a new perspective to PEH.
PMID- 18041563
TI - [Living groups: contributions for an educational proposal for tuberculosis].
AB - This study is a report on care practice. Its objective was to develop a proposal
for education in health care from a group of people with tuberculosis, seeking to
increase the effectiveness of their treatment. The data was obtained through a
proposal for education in health care, developed through a living group. Two
interrelated themes resulted from the process of analyzing the data: the
perception of tuberculosis and its care and treatment, and social isolation.
These represent the elements that influence education in health care for people
with tuberculosis, as well as the meaning that such a situation has to these
people.
PMID- 18041564
TI - [Hypertrophic cardiomyopathy: update and nursing assistance].
AB - This is an update that aimed to describe some aspects of physiopathology of
Hypertrophic Cardiomyopathy (HCM) and its therapeutics correlating them to
nursing assistance. Data source was based on LILACS bibliographical database
using the search expression "hypertrophic cardiomiopathy" and "nursing care". The
HCM is a disease that appears from cromossomic alterations that causes
hypertrophy of the left ventricule and can cause heart failure, functional class
III and IV. Treatment consists in using palliative medications or other
procedures like Pace-Maker implantation, ventriculoplasty and heart
transplantation. Nursing assistance based on Nursing Diagnoses and Intereventions
are presented.
PMID- 18041565
TI - [The safety of enoxaparine use in elderly with acute myocardial infarction].
AB - BACKGROUND/AIM: Enoxaparin (ENOX), the low-molecular-weight heparin, used in
acute myocardial infarction (AMI) could lead to hemorrhage. The aim of this study
was to determine wether bleeding was more often in AMI patients older than 65 or
75 years who receive ENOX or unfractionated heparin (UFH). METHODS: Among the
patients with AMI hospitalized during three successive months receiving ENOX or
UFH, three group of parameters were investigated: demographic, ischemic and
bleeding TIMI criteria. RESULTS: Among 85 hospitalized patients with signs of
AIM, there were 35 (41.2%) old 65 years or less, 32 (38.5) old 66-75 years and 18
(21.2%) older than 75 years. In AMI elderly patients, according to the received
ENOX/UFH: ischemic complication (18.2 vs. 21.4%) were insignificantly lower and
the number of lethal outcomes (18.2 vs. 17.8%) were insignificantly more often in
ENOX group; represented only by one patient (age beyond 75 years), major and non
major bleeding events occurred only in UFH group. CONCLUSION: The ENOX usage in
AMI in patients older than 65 years did not show any significant difference in
efficacy and bleeding rate comparing to UFH.
PMID- 18041566
TI - [Determination of diazepam and its metabolites in serum by the use of liquid
chromatography-mass spectrometry method].
AB - BACKGROUND/AIM: Diazepam is a benzodiazepine anxyolitic. Metabolism of diazepam
takes place in liver which generates pharmacologically active metabolites N
desmethyldiazepam, temazepam and oxazepam. The aim of this study was to develop
and validate the method of liquid chromatographymass spectrometry (LC-MS) for
separation and determination of diazepam and its active metabolites in the serum
of rats samples after i.p. application of diazepam in a dose of 10 mg/kg.
METHODS: The serum samples taken from Wistar rats, were used in LC-MS analysis
after the application of 10 mg/kg of diazepam i.p. RESULTS: After alkaline
extraction from the serum samples with diethylether and separation on a C18
reversed-phase column by using mobile phase methanolglacial acetic acid-water
(50:1:49 v/v), diazepam and its metabolites were quantified. Determination was
performed in a selective ion monitoring (SIM) mode, thereby the other exogenous
and endogenous compounds did not interfere with this assay. Diazepam, N
desmethyldiazepam, oxazepam and temazepam were eluted in 14 minutes. The standard
curve was linear in the range from 10-2 000 ng/ml. The limits of detection for
diazepam, N-desmethyldiazepam, oxazepam and temazepam were 4.37, 3.13, 4.38 and
7.31 ng/ml, respectively. The limits of quantitation for diazepam, N
desmethyldiazepam, oxazepam and temazepam were 14.58, 10.41, 14.59 and 24.36
ng/ml, respectively. CONCLUSION: The described LC-MS is a simple, sensitive,
specific and accurate method and could be used for routine identification and
quantification of small concentrations of diazepam and its metabolites in
biological fluids.
PMID- 18041567
TI - [Risk factors for nutritional status determination and indications for preventive
nutrition therapy in hospitalized gastroenterological patients].
AB - BACKGROUND/AIM: Risk factors for the intrahospital nutritional status worsening
(NSW) have not been precisely defined in the literature. The objective was
defining thoese factors among gastroenterological patients and defining the risk
patients requiring a preventive nutritional therapy. METHODS: In 650
gastroenterological patients, NSW was evaluated on the basis of reducing of the
six parameters: body weight, body mass index (BMI), triceps skinfold thickness
(TSF), mid-upper arm muscle circumference (MAMC), serum albumin level (ALB), and
lymphocyte count (LYM). The influence on NSW was tested for 13 factors concerning
characteristics of the patient, disease, and diagnostic procedures. Among the
factors influencing significantly the NSW, primary and secondary risk factors
were selected. After scoring of risk factors had been performed, the risk-score
for NSW (RSNSW) was defined. The critical value of RSNSW which required
preventive nutritional therapy was also calculated. RESULTS: The incidence of NSW
was in the range 29.2%-57.9%. The presence of general complications and severe
disease activity were considered as primary risk factors, whereas malignant
disease, age above 71, hepato-billiary tract involvement, hospitalization longer
than 14 days, and mobility worsening were considered as secondary risk factors.
The best predictive value for the NSW was proved for the RSNSW > or = 6. Because
of that, preventive nutritional therapy should be indicated in patients
presenting with both primary risk factors or in patients presenting with one
primary factor combined with three secondary risk factors at least. CONCLUSION:
There are 7 risk factors for NSW in gastroenterological patients, but they are
not of the same importance--two primary and five secondary risk factors can be
differentiated. Preventive nutritional therapy is indicated only in patients
having both primary risk factors or in those presenting with one primary risk
factor combined with three secondary risk factors at least.
PMID- 18041568
TI - [Botulinum toxin efficacy in the treatment of patients with spasmodic dysphonia].
AB - BACKGROUND/AIM: Spasmodic dysphonia (DS) is a disabling speech disturbance
appearing as the consequence of dystonic vocal folds contraction. Its
intermittent appearance in the laryngeal muscles causes vocal function
discontinuation. The quality of life of these patients is significantly
disturbed. Surgical and a medical therapy appear to be inadequate and
unsuccessful ones of no steady improvement. It is the botulinum toxin therapy
that proved to be highly efficacious one, with the established improvement in 80
100% of patients. The aim of our study was to evaluate the efficacy of botulinum
toxin therapy in patients with SD and to show our preliminary results. METHODS:
The study included 10 patients with adductor spasmodic dysphonia. After
diagnostic procedures, botulinum toxin was applied either in one or both vocal
folds, in doses of 12-16 units each. In our study we applied indirect technique
originally developed by Hocevar and Pirtosek. Perceptive voice and speech
analysis was performed prior to and after the instillation of botuline toxin as
per structured Scale of pathological characteristics of voice and speech
appearing in the spasmodic dysphonia. RESULTS: The majority of our patients
experienced both subjective improvement and the improvement in the terms of the
quality of life, Voice Henolicap Index--(VHI) that was rated as rather
significant one (t = 3.562; p = 0.006). CONCLUSION: Regardless unquestionable
improvement of definite phonation, further function restitution requires
individual vocal therapy and psychotherapy. Vocal therapy includes structural
vocal techniques which reduce degree of vocal tension and rapid changes in the
power and the height of voice. Further investigations are necessary for the scope
of the definition of a standardized therapeutically procedure for spasmodic
dysphonia treatment which comprises multidisciplinary approach in diagnosis,
therapy and treatment efficacy evaluation.
PMID- 18041569
TI - [Evaluation of the depth of infiltration of urothelial carcinoma in the vesical
wall obtained by transurethral intravesical echotomography].
AB - BACKGROUND/AIM: Transitional cell carcinoma (TCC) is the most frequent tumor of
the bladder and represents 95-98% of blader neoplasams and 2-3% of all carcinomas
in the body. In urogenital oncology more frequent is only prostatic cancer.
Evaluation of the depth of infiltration of urothelial carcinoma in the vesical
wall represents the clinical base in treatment planning and prognosis. Clinical
investigation and convential radiological procedures have a low level of accuracy
in estimating the local growth of the tumor. The aims of our investigation were
to determine the depth of infiltration of urothelial carcinoma in the vesical
wall in the investigated group of patients by transurethral intravesical
echotomography (TIE) and computerised tomography (CT scan) and to compare results
obtained by both methods with pathohistological (PH) results, and, based on the
difference of the results determine which method was more accurate in the
evaluation of the depth of infiltration of urothelial carcinoma in the vesical
wall. METHODS: Thirty patients with TCC of the bladder both genders, aged 51-81
years were involved in our investigation. In all of these patients, radical
cystectomy (RC) was performed. This was neccessary to provide the defintive PH
result. Transurethral intravesical echotomography was performed by ultrasound
scanner type 1846 Bruel and Kjaer, sond type 1850, and the CT scan was perfomed
by Pace plus, General Electric, U.S.A. The specimen for the definitive PH result
obtained by RC includes all standards of the TNM classification. RESULTS: Using
CT scan, the most frequent was T1 stage (17 patients or 56.68%). Using TIE, the
most frequent was T2 stage (22 patients or 73.33%). After RC the most frequent
was T2 stage (21 patients or 70%). The Kolmogorov-Smirnov test, showed a high
significant difference between the results obtained using CT and definitive PH
results after RC. The same test showed no statistically significant difference
between the results obtained using TIE and definitive PH results. The sensitivity
and accurance of TIE compared to definitive PH results was 93.3%, but using CT it
was only 33.3%. CONCLUSION: There was a significant difference between the
results obtained using CT and TIE. The results obtained by TIE were in closer
relation with the definitive PH results than the results obtained by CT scan. TIE
provides more precise evaluation of the depth of infiltration of urothelial
carcinoma in the vesical wall than CT scan. We conclude that the use of this
procedure in local staging in TCC is justified and represents the clinical basis
in the treatment planning and disease outcome prognosis.
PMID- 18041570
TI - [Effect of hypertonic-hyperoncotic solution infusion on tissue perfusion during
surgical treatment of the abdominal aorta].
AB - BACKGROUND/AIM: Decreasing of arterial flow below the critical level leads to
capillary endothelium edema and to further worsening of tissue perfusion.
Hypertonic solution infusion provides mild and short plasma osmolality
increasing, while colloidal solutions intensify that effect. The aim of this
study was to investigate the effect of hypertonic-hyperoncotic solution (HH) on
the organs perfusion during reconstructive surgical procedure on the abdominal
aorta (AA). METHODS: The study included 40 patients submitted to AA
reconstruction due to aneurysm or Leriche's syndrome. A clamp was put
transversally to the aorta, under the outlets of the renal arterias. According to
the solution received when a clamp was on the aorta, the patients were divided
into two groups containing 20 patients each: the tested group (A) which received
4 ml/kg of the solution (7.2% NaCl/10% dextran), and the control group (B) which
received 0.9% NaCl. The study excluded the patients with the preoperative
creatinine level more than 139 micromol/l, and ejection heart fraction less than
40%. RESULTS: The mixed venous blood oxygen saturation increased from 73.3+/-7.33
to 74.95+/-6.19% in the group A, while it decreased from 65.35+/-10.39 to 62.65+/
10.42% in the group B (p = 0.001). The quantity of the provided oxygen in the
group A increased significantly from 684.44+/-244.34 to 1362.45+/-2351.01 ml/min,
while it decreased from 668.2+/-382.12 to 651.7+/-313.98 ml/min in the group B (p
= 0.016). Alveolo-arterial difference in oxygen decreased from 23.12+/-14.74 to
21.1+/-10 mmHg in the group A, while it increased from 23.79+/-15.22 to 26.33+/
13.78 mmHg in the group B (p = 0.05). CONCLUSION: Satisfactory perfusion of
organs during the AA surgery is obtained by using both HH and an isotonic
solution. Due to maintaining the optimal values of the minute heart volume,
saturation of vein blood blended with oxygen, and al-veolo-arterial difference in
oxygen, it is recommended to use HH solution for reanimation of patients in
declamping shock.
PMID- 18041571
TI - [Influence of the primary malignant tumor site on the incidence and features of
metastatic ovarian tumors].
AB - BACKGROUND/AIM: Ovary is the organ of the female reproductive system most
commonly affected by metastases. The aim of the study was to determine the
frequency and features of metastatic ovarian tumors (MOT) depending on the site
of the primary malignant tumor. METHODS: The study group consisted of 488
patients with histopathologically confirmed ovarian cancers treated at the Clinic
of Oncology, Clinical Center Nis, in the period from 1 January 1998 to 31
December 2005. MOT were found in 41 patients. Regarding the site of the primary
malignant tumor, those with secondary ovarian tumor were divided into two groups:
group A--primary malignant tumor involving the genital organs (n = 30) and group
B--primary malignant tumor of extragenital origin (n = 11). RESULTS: MOT were
confirmed in 8.40% (41/488) of the patients. Secondary ovarian malignancies were
the consequence of endometrial carcinoma spreading in 73.17%, breast carcinoma in
19.51%, stomach carcinoma in 4.88% and colon carcinoma in 2.44% of the cases. No
significant differences were found between the group A and group B by the factors
of age, body mass index, parity and menopausal status. Contrary to the group A,
metastatic tumors in the group B patients were more commonly asymptomatic (p <
0.001), bilateral (p < 0.05), with larger ovarian diameter (p < 0.05), associated
with ascites (p < 0.001) and abdominal metastases (p < 0.01), all of statistical
significance. CONCLUSIONS: Metastatic tumors made up 8.40% of ovarian neoplasmas.
With non-genital primary tumors, secondary ovarian deposits were frequently
asymptomatic, bilateral, associated with larger ovarian diameter, ascites and
abdominal metastatic deposits, compared to malignant tumors of genital origin.
PMID- 18041572
TI - [Total bilateral hip arthroplasty in one surgical procedure].
AB - BACKGROUND/AIM: Primary coxarthrosis occurs often in older population. There is
possibility of implanting both endoprothesis simultaneosly in bilateral
coxarthrosis which decreases hospitalisation and reduces the expences and
possibility of complication that can occur during general anesthesia. The most
serious indications for bilateral total hip arthroplasty in one procedure are
younger patients with serious bilateral osteoarthrosis of the hips, without added
diseases. Absolute contraindication is found in patients with persistent ductus
arteriosus and septal defect. The aim of this study was to point out the
possibility and advantages of a single-staged bilateral hip arthroplasty and
introducing it in our orthopaedic practice. METHODS: The procedure was
accomplished in 19 patients. The standard total hip arthroplasty surgical
technique was performed, followed by the usual antibiotic and anticoagulant
therapy. RESULTS: Only one complication--acetabular part instability we recorded,
followed by luxation that was surgically managed. CONCLUSION: This procedure can
be performed routinly in selected population and should be reserved for major
medical centres capable to provide adequate postoperative care.
PMID- 18041573
TI - [Electromagnetic field and osteogenesis].
PMID- 18041574
TI - [New bearings in pharmacotherapeutic strategies: pharmacogenetics and gene
therapy].
PMID- 18041575
TI - [Coexistence of Hashimoto's thyroiditis and papillary thyroidal carcinoma with
papillary carcinoma of thyreoglossal duct].
AB - BACKGROUND: Simultaneous presence of Hashimoto's thyroiditis and papillary
thyroidal carcinoma in thyroidal gland with papillary carcinoma association in
thyroglossal duct is quite rare. The questions like where the original site of
primary process, is where metastasis is, what the cause of coexisting of these
diseasesis present a diagnostic dilemma. CASE REPORT: We presented a case of a 53
year old female patient, with the diagnosis of Hashimoto's thyroiditis and
symptoms of subclinical hypothyreosis and nodal changes in the right lobe of
thyroidal gland, according to clinical investigation. Morphological examination
of thyroidal gland, ultrasound examination and scintigraphy with technetium (Tc)
confirmed the existence of nonhomogenic tissue with parenchyma nodular changes in
the right lobe of thyroidal gland that weakly bonded Tc. Fine needle biopsy in
nodal changes, with cytological analyses showed no evidence of atypical
thyreocites. Hashimoto's thyroiditis was confirmed on the basis of the increased
values of anti-microsomal antibodies, the high levels of thyreogobulin 117 ng/ml
and TSH 6.29 microIU/ml. The operation near by the nodular change in the right
lobe of thyroidal gland revealed pyramidal lobe spread in the thyroglossal duct.
Total thyroidectomia was done with the elimination of thyroglossal duct. Final
patohystological findings showed papillary carcinoma in the nodal changes pT2, N0
and in the thyroglossal duct with the presence of Hashimoto's thyroiditis in the
residual parenchyme of the thyroid gland. After the surgery the whole body
scintigraphy with iodine 131 (131I) did not reveal accumulation of 131I in the
body, while the fixation in the neck was 1%. After that, the patient was treated
with thyroxin with suppression-substitution doses. CONCLUSION: Abnormality in
embrional development of thyroidal tissue might be the source of thyroidal
carcinoma or the way of spreading of metastasis of primary thyroidal carcinoma
from thyroid gland. The cause of this process is most probably a hereditary
mutation in RET oncogenes.
PMID- 18041577
TI - Studies of natural products on treating neurological disorders in China.
PMID- 18041576
TI - Drug-induced alterations in the extracellular signal-regulated kinase (ERK)
signalling pathway: implications for reinforcement and reinstatement.
AB - Drug addiction, characterized by high rates of relapse, is recognized as a kind
of neuroadaptive disorder. Since the extracellular signal-regulated kinase (ERK)
pathway is critical to neuroplasticity in the adult brain, understanding the role
this pathway plays is important for understanding the molecular mechanism
underlying drug addiction and relapse. Here, we review previous literatures that
focus on the effects of exposure to cocaine, amphetamine, Delta(9)
tetrahydrocannabinol (THC), nicotine, morphine, and alcohol on ERK signaling in
the mesocorticolimbic dopamine system; these alterations of ERK signaling have
been thought to contribute to the drug's rewarding effects and to the long-term
maladaptation induced by drug abuse. We then discuss the possible upstreams of
the ERK signaling pathway activated by exposure of drugs of abuse and the
environmental cues previously paired with drugs. Finally, we argue that since ERK
activation is a key molecular process in reinstatement of conditioned place
preference and drug self-administration, the pharmacological manipulation of the
ERK pathway is a potential treatment strategy for drug addiction.
PMID- 18041578
TI - Prospect of neuroscience in China.
AB - This article depicted how a young Chinese scientist got her training in China,
how her training had impact on her scientific career in the US, and how she felt
the prospect of Neuroscience in China.
PMID- 18041579
TI - Antioxidant enzymatic system in neuronal and glial cells enriched fractions of
rat brain after aluminum exposure.
AB - The aim of this work was to investigate as to how neurons and glial cells
separated from the brain cortex respond to oxidative stress induced by aluminum.
Female SD rats were exposed to aluminum at the dose level of 100 mg/kg b.w. for 8
weeks. Neuronal and glial cell-enriched fractions were obtained from rat cerebral
cortex by sieving the trypsinated homogenate through a series of nylon meshes,
followed by centrifugation on ficoll density gradient. Total glutathione content,
glutathione peroxidase (GPx), glutathione reductase (GR), and glutathione-s
transferase (GST) along with antioxidant enzymes superoxide dismutase (SOD),
catalase were estimated in neuronal and glial-enriched fractions in both control
(N-c and G-c) and aluminum exposed animals (N-a and G-a). Secondary products of
lipid peroxidation that is MDA levels were estimated by measuring the (TBARS)
levels. Our results indicate that TBARS levels were significantly higher in glial
cell fraction of unexposed controls (Gc) than the neuronal cells (Nc).
Correspondingly the glial cells had higher levels of GSH, GSSG, GPx and GST where
as neurons had higher levels of catalase, SOD and GR. Following aluminum
exposures significant increase in the TBARS levels was observed in neurons as
compared to glial cells which also showed a significant decrease in SOD and
catalase activity. The decrease in the TBARS levels in the glial cells could be
related to the increase in the GSH levels, GR activity, and GST activity which
were found to be increased in glial enriched fractions following aluminum
exposure. The increase in activity of various enzymes viz GR, GST in glial cells
as compared to neurons suggests that glial cells are actively involved in
glutathione homeostasis. Our conclusion is that glial and neurons isolated from
rat cerebral cortex show a varied pattern of important antioxidant enzymes and
glial cells are more capable of handling the oxidative stress conditions.
PMID- 18041580
TI - Maternal personal resources and children's socioemotional and behavioral
adjustment.
AB - The study examined the role of three maternal personal resources [sense of
coherence (SOC), attachment style, and social/emotional feelings of loneliness]
in explaining children's socioemotional adjustment (self-rated loneliness and
SOC, and mother-rated child behavior) and children's (self-rated) secure
attachment. The sample included 58 mother-child dyads (27 boys and 31 girls) aged
8-11 years. Preliminary analyses indicated significant group differences between
mothers with high or low scores on the two subscales of the attachment scale
(i.e., avoidance and anxiety), on their SOC, and their social/emotional
loneliness. Findings revealed that maternal SOC significantly contributed to all
child socioemotional adjustment measures and attachment scores. In addition, the
current findings demonstrated the role of maternal anxious attachment in
explaining children's externalizing behaviors. Discussion focused on the unique
value of maternal characteristics for understanding social and emotional
adjustment among school-age children.
PMID- 18041581
TI - Involvement of very long fatty acid-containing lactosylceramide in
lactosylceramide-mediated superoxide generation and migration in neutrophils.
AB - The neutral glycosphingolipid lactosylceramide (LacCer) forms lipid rafts
(membrane microdomains) coupled with the Src family kinase Lyn on the plasma
membranes of human neutrophils; ligand binding to LacCer activates Lyn, resulting
in neutrophil functions, such as superoxide generation and migration (Iwabuchi
and Nagaoka, Lactosylceramide-enriched glycosphingolipid signaling domain
mediates superoxide generation from human neutrophils, Blood 100, 1454-1464, 2002
and Sato et al. Induction of human neutrophil chemotaxis by Candida albicans
derived beta-1,6-long glycoside side-chain-branched beta glycan, J. Leukoc. Biol.
84, 204-211, 2006). Neutrophilic differentiated HL-60 cells (D-HL-60 cells)
express almost the same amount of LacCer as neutrophils. However, D-HL-60 cells
do not have Lyn-associated LacCer-enriched lipid rafts and lack LacCer-mediated
superoxide-generating and migrating abilities. Here, we examined the roles of
LacCer molecular species of different fatty acid compositions in these processes.
Liquid chromatography-mass spectrometry analyses revealed that the very long
fatty acid C24:0 and C24:1 chains were the main components of LacCer (31.6% on
the total fatty acid content) in the detergent-resistant membrane fraction (DRM)
from neutrophil plasma membranes. In contrast, plasma membrane DRM of D-HL-60
cells included over 70% C16:0-LacCer, but only 13.6% C24-LacCer species. D-HL-60
cells loaded with C24:0 or C24:1-LacCer acquired LacCer-mediated migrating and
superoxide-generating abilities, and allowed Lyn coimmunoprecipitation by anti
LacCer antibody. Lyn knockdown by siRNA completely abolished the effect of C24:1
LacCer loading on LacCer-mediated migration of D-HL-60 cells. Immunoelectron
microscopy revealed that LacCer clusters were closely associated with Lyn
molecules in neutrophils and C24:1-LacCer-loaded D-HL-60 cells, but not in D-HL
60 cells or C16:0-LacCer-loaded cells. Taken together, these observations suggest
that LacCer species with very long fatty acids are specifically necessary for Lyn
coupled LacCer-enriched lipid raft-mediated neutrophil superoxide generation and
migration.
PMID- 18041582
TI - A metabolomic study of brain tissues from aged mice with low expression of the
vesicular monoamine transporter 2 (VMAT2) gene.
AB - The vesicular monoamine transporter 2 (VMAT2) sequesters monoamines into synaptic
vesicles in preparation for neurotransmission. Samples of cerebellum, cortex,
hippocampus, substantia nigra and striatum from VMAT2-deficient mice were
compared to age-matched control mice. Multivariate statistical analyses of (1)H
NMR spectral profiles separated VMAT2-deficient mice from controls for all five
brain regions. Although the data show that metabolic alterations are region- and
age-specific, in general, analyses indicated decreases in the concentrations of
taurine and creatine/phosphocreatine and increases in glutamate and N-acetyl
aspartate in VMAT2-deficient mouse brain tissues. This study demonstrates the
efficacy of metabolomics as a functional genomics phenotyping tool for mouse
models of neurological disorders, and indicates that mild reductions in the
expression of VMAT2 affect normal brain metabolism.
PMID- 18041583
TI - Variability in cardiovascular control: the baroreflex reconsidered.
AB - Although blood pressure control is often viewed as a paradigmatic example of a
"homeostatic" biological control system, blood pressure levels can fluctuate
considerably over shorter and longer time scales. In modern signal analysis,
coherence between heart rate and blood pressure variability is used to estimate
baroreflex gain. However, the shorter the measurement period, the more
variability this gain factor reveals. We review evidence that this variability is
not due to the technique used for the estimation, but may be an intrinsic
property of the circulatory control mechanisms. The baroreflex is reviewed from
its evolutionary origin, starting in fishes as a reflex mechanism to protect the
gills from excessively high pressures by slowing the heart via the
(parasympathetic) vagus nerve. Baroreflex inhibition of cardiovascular
sympathetic nervous outflow is a later development; the maximally possible extent
of sympathetic activity probably being set in the central nervous system by
mechanisms other than blood pressure per se. In the sympathetic outflow tract not
only baroreflex inhibition but also as yet unidentified, stochastic mechanisms
decide to pass or not pass on the sympathetic activity to the periphery. In this
short essay, the "noisiness" of the baroreflex as nervous control system is
stressed. This property is observed in all elements of the reflex, even at the-
supposedly--most basic relation between afferent receptor nerve input and
efferent--vagus--nerve output signal.
PMID- 18041584
TI - Cerebral autoregulation: from models to clinical applications.
AB - Short-term regulation of cerebral blood flow (CBF) is controlled by myogenic,
metabolic and neurogenic mechanisms, which maintain flow within narrow limits,
despite large changes in arterial blood pressure (ABP). Static cerebral
autoregulation (CA) represents the steady-state relationship between CBF and ABP,
characterized by a plateau of nearly constant CBF for ABP changes in the interval
60-150 mmHg. The transient response of the CBF-ABP relationship is usually
referred to as dynamic CA and can be observed during spontaneous fluctuations in
ABP or from sudden changes in ABP induced by thigh cuff deflation, changes in
posture and other manoeuvres. Modelling the dynamic ABP-CBFV relationship is an
essential step to gain better insight into the physiology of CA and to obtain
clinically relevant information from model parameters. This paper reviews the
literature on the application of CA models to different clinical conditions.
Although mathematical models have been proposed and should be pursued, most
studies have adopted linear input-output ('black-box') models, despite the
inherently non-linear nature of CA. The most common of these have been transfer
function analysis (TFA) and a second-order differential equation model, which
have been the main focus of the review. An index of CA (ARI), and frequency
domain parameters derived from TFA, have been shown to be sensitive to
pathophysiological changes in patients with carotid artery disease, stroke,
severe head injury, subarachnoid haemorrhage and other conditions. Non-linear
dynamic models have also been proposed, but more work is required to establish
their superiority and applicability in the clinical environment. Of particular
importance is the development of multivariate models that can cope with time
varying parameters, and protocols to validate the reproducibility and ranges of
normality of dynamic CA parameters extracted from these models.
PMID- 18041585
TI - An overview of HIV and chronic viral hepatitis co-infection.
AB - Combination antiretroviral therapy often controls HIV disease, may indirectly
slow HCV progression, and creates an immune environment which may optimize HCV
drug therapy response. Monitoring for antiretroviral-related liver adverse events
is vital. However, this complication infrequently causes clinically significant
liver toxicity. HCV antiviral therapy should, in most cases, be reserved for
those abstaining from alcohol and achieving HIV RNA suppression and immune
restoration on combination antiretroviral therapy or for those with nadir CD4
counts above 350 cells/mul. Given the high prevalence of HBV and HCV co
infection, chronic viral hepatitis will influence the health and treatment of HIV
infected individuals for the foreseeable future.
PMID- 18041586
TI - Protective effects of diets supplemented with omega-3 polyunsaturated fatty acids
and calcium against colorectal tumor formation.
AB - This study is to evaluate the effect of dietary omega-3 polyunsaturated fatty
acid (omega-3 PUFA) and/or calcium supplementation on colon tissue of the
carcinogenic N-methyl-N-nitrosurea (NMU)-injected rats and to investigate this
effect by the assessment of the oxidative stress. The rats were divided into four
groups: those fed with a standard diet, with a diet supplemented by omega-3 PUFA,
those fed with a diet with calcium, and those fed with a standard diet with the
combination of omega-3 PUFA and calcium. Rats were injected with an intrarectal
NMU. After 32 weeks, colon tissue specimens and plasma were taken to
histopathologically investigate and analyze tissue superoxide dismutase (SOD) and
glutathione peroxidase (GSH-px) activities and erythrocyte MDA levels. The tumor
incidences in supplemented-diet groups II and IV were found to be significantly
lower when compared with those of the controls (P < 0.05). Superoxide dismutase
and glutathione peroxidase antioxidative enzyme activities in colorectal tissue
were increased in the study groups when compared with control rats (P < 0.001)
and MDA levels were significantly lower than in the controls (P < 0.001) while
the levels in group IV were rather decreased than those in group III (P = 0.011).
These results suggest that the dietary supplementation of PUFA and/or calcium may
be useful in the prevention of colorectal tumor formation.
PMID- 18041588
TI - Psychogenic nonepileptic seizures.
AB - Neurological, neurodiagnostic, and neuropsychological aspects of psychogenic
nonepileptic seizures (PNES) are reviewed, including psychosocial, psychiatric,
cognitive, and MMPI-2 findings.
PMID- 18041587
TI - Sensitivity to phonological similarity within and across languages.
AB - The influence of phonological similarity on bilingual language processing was
examined within and across languages in three experiments. Phonological
similarity was manipulated within a language by varying neighborhood density, and
across languages by varying extent of cross-linguistic overlap between native and
non-native languages. In Experiment 1, speed and accuracy of bilinguals' picture
naming were susceptible to phonological neighborhood density in both the first
and the second language. In Experiment 2, eye-movement patterns indicated that
the time-course of language activation varied across phonological neighborhood
densities and across native/non-native language status. In Experiment 3, speed
and accuracy of bilingual performance in an auditory lexical decision task were
influenced by degree of cross-linguistic phonological overlap. Together, the
three experiments confirm that bilinguals are sensitive to phonological
similarity within and across languages and suggest that this sensitivity is
asymmetrical across native and non-native languages and varies along the
timecourse of word processing.
PMID- 18041589
TI - Reading development and dyslexia in a transparent orthography: a survey of
Spanish children.
AB - Spanish-speaking children learn to read words printed in a relatively transparent
orthography. Variation in orthographic transparency may shape the architecture of
the reading system and also the manifestation of reading difficulties. We tested
normally developing children and children diagnosed with reading difficulties.
Reading accuracy was high across experimental conditions. However, dyslexic
children read more slowly than chronological age (CA)-matched controls, although,
importantly, their reading times did not differ from those for ability-matched
controls. Reading times were significantly affected by frequency, orthographic
neighbourhood size and word length. We also found a number of significant
interaction effects. The effect of length was significantly modulated by reading
ability, frequency and neighbourhood. Our findings suggest that the reading
development of dyslexic children in Spanish is delayed rather than deviant. From
an early age, the salient characteristic of reading development is reading speed,
and the latter is influenced by specific knowledge about words.
PMID- 18041590
TI - Molecular genetic events in gastrointestinal and pancreatic neuroendocrine
tumors.
AB - Gastrointestinal and pancreatic neuroendocrine tumors originate from the cells of
the diffuse endocrine system. Their molecular genetic mechanism of development
and progression is complex and remains largely unknown, and they are different in
genetic composition from the gastrointestinal epithelial tumors. The current
literature suggests that multiple genes are involved in their tumorigenesis with
significant differences for tumors of different embryological derivatives:
foregut, midgut and hindgut. The MEN1 gene is involved in initiation of 33% of
foregut gastrointestinal neuroendocrine tumors. 18q defects are present almost
exclusively in mid/hindgut neuroendocrine tumors. X-chromosome markers are
associated with malignant behavior in foregut tumors only. Analysis of poorly
differentiated neuroendocrine carcinomas of any site demonstrates high
chromosomal instability and frequent p53 alterations similar to other poorly
differentiated carcinomas. Several factors played a limiting role in the
molecular studies published to date: the tumors are rare and heterogeneous, it is
difficult to predict their behavior and prognosis, and several different tumor
classifications are used by the investigators in the studies. Future studies need
to evaluate molecular genetic composition of large series of gastrointestinal and
pancreatic neuroendocrine tumors of each specific tumor type. Understanding of
specific genetic alterations characteristic for gastrointestinal and pancreatic
neuroendocrine tumors might lead to their improved diagnosis, morphologic and
molecular characterization and treatment.
PMID- 18041591
TI - A primary right atrium paraganglioma in a 15-year-old patient.
AB - Thoracic pheochromocytomas account for less than 2% of reported cases, while
primary cardiac paragangliomas are even rare. The following case illustrates a 15
year-old patient with primary right atrium paraganglioma. This patient was
referred for paroxysmal hypertension and excessive perspiration. Pheochromocytoma
was suspected and then confirmed by very high serum nor-metanephrine which
increased more than 30-fold above the upper limit of normal. 131I
metaiodobenzylguanidine (MIBG) scintigraphy showed high uptake only in the middle
mediastinum, but not in the adrenal glands or elsewhere. Both contrast CT and
gated MRI of the chest disclosed a 5.0 x 4.0 cm2 mass in the right atrium.
Coronary angiography demonstrated the mass with feeding vessels from the right
coronary artery. When the patient's blood pressure was well controlled with
doxazosin and metoprolol, surgery was then performed. A 6.0 x 4.9 x 4.0 cm3 round
solid right atrium paraganglioma weighing 41.7 g was resected. The second day
after surgery, serum nor-metanephrine and urinary noradrenaline levels dropped
rapidly to normal range, and the patient was free of clinical symptoms with
normal BP. Postoperative cardiac function, as measured by echocardiogram, was
normal. Although cardiac paraganglioma may be difficult to resect, it can be
cured.
PMID- 18041592
TI - Comparison of manual and automatic (MagNA Pure) isolation methods of total RNA
from adipose tissue.
AB - AIM: Comparison of manual and automatic (MagNA Pure) isolation methods of total
RNA from adipose tissue with respect to its quality and recovery factor.
MATERIAL: 120 human subcutaneous adipose tissue samples (about 100 mg/sample)
were collected from patients during surgical operations. The tissue sample was
stabilized in RNAlater (QIAGEN GmbH, Germany). METHODS: Total RNA was extracted
by the following kits: Rneasy Protect Mini, Rneasy Lipid Tissue (QIAGEN GmbH,
Germany) and MagNA Pure Compact RNA Isolation (Tissue) for MagNA Pure Compact
Instrument (Roche Diagnostics GmbH, Germany). RESULTS: The average RNA yields
with Rneasy Lipid Tissue kits were about two-fold higher in comparison with the
Rneasy Protect Mini kit. When the MagNA Pure Compact System was used, RNA yields
from the same sample were more uniform compared with manual systems. It was also
more convenient and less time-consuming than the manual approach. No DNA
contamination of total RNA samples was detected except for samples isolated by
Rneasy Protect Mini Kit. CONCLUSION: Rneasy Lipid Tissue Kit and MagNA Pure
Compact RNA Isolation Kit (Tissue) provide RNA samples of high quantity, purity
and PCR amplificability. RNA samples are suitable for further processing using
methods of molecular biology.
PMID- 18041593
TI - [Diabetes and the heart. Abstracts of the 35th Annual Meeting of the Austrian
Society of Diabetes. November 29-December 1, 2007. Innsbruck, Austria].
PMID- 18041594
TI - 2007--a very successful year for "Anxiety, Stress and Coping".
PMID- 18041595
TI - Editorial: Twenty-five years on ...
PMID- 18041596
TI - Effect of consumer cooking on furan in convenience foods.
AB - The effect of domestic preparation regimes on the level of the heat-formed
toxicant furan was studied to provide useful information for exposure assessment
and advice for manufacturers and consumers. Foods were cooked in a saucepan on a
gas hob or microwaved and furan was determined by headspace sampling with gas
chromatography-mass spectrometry. In general, furan levels did not decrease as
much when foods were cooked in a microwave oven when compared with the same foods
cooked in a saucepan. Furan levels decreased in most canned and jarred foods
after heating in a saucepan. Low levels of furan in soups in cartons were not
changed by any procedure. Furan decreased slightly in foods on standing before
consumption, but did so more rapidly on stirring. The levels also decreased
slightly when foods were left to stand on plates; this observation is attributed
to the volatility of furan.
PMID- 18041597
TI - Absorption, distribution and elimination of fumonisin B(1) metabolites in weaned
piglets.
AB - The absorption, distribution and elimination of fumonisin B(1) (and B(2)) after
oral administration of Fusarium verticillioides (MRC 826) fungal culture, mixed
into the experimental feed for 10 days, was studied in weaned barrows. In order
to determine the absorption of FB(1) from the feed marked by chromium oxide, a
special T-cannula was implanted into the distal part of pigs' ileum. During the
feeding of toxin-containing diet (45 mg FB(1) kg(-1)) and until the tenth day
after the end of treatment, the total quantity of urine and faeces was collected
and their toxin content analysed. At the end of the trial, samples of lung,
liver, kidney, brain, muscle, and fat were also collected and their fumonisin
content analysed by LC-MS. The fumonisins appeared to decrease the reduced
glutathione content in blood plasma and red blood cell haemolysate, possibly
associated with in vivo lipid peroxidation. From a data set of 80 individual data
and the concentration and rate of C(r) and fumonisins (FB(1), partially
hydrolysed FB(1) and aminopentol) in the chymus, it could be established that the
accumulative absorption of fumonisin B(1) was 3.9% +/- 0.7%. In the chymus, the
FB(1) conversions into aminopentol and partially hydrolysed FB(1) were 1.0 and
3.9%, respectively. The degree of metabolism in faeces was variable, although the
main product was the partially hydrolysed form, with very small amounts of the
aminopentol moiety being recovered. In the investigated tissues the FB(1)
conversion to aminopentol and partially hydrolysed FB(1) was 30 and 20%,
respectively.
PMID- 18041598
TI - Fenvalerate residue level and dissipation in tea and in its infusion.
AB - Fenvalerate is a non-systemic insecticide/acaricide used in controlling a wide
range of pests, including those resistant to organochlorine, organophosphorus and
carbamate insecticides. The study investigated the dissipation behaviour (residue
level) of fenvalerate in tea and its transfer during infusion. Fenvalerate was
applied on tea crop at two dosages, 100 and 200 g a.i. ha(-1) (recommended and
double the recommended) in the dry and wet seasons under field conditions.
Samples (green tea shoots, made tea, its infusion and spent leaves) were analysed
for fenvalerate by high-performance liquid chromatography using diode array
detection. The residue dissipated faster in the wet season than in the dry
season. Seven days after the treatment (normal round of plucking) the residues
observed in the green shoots at the two dosages were 0.5 +/- 0.01, 1.1 +/- 0.01
and 0.4 +/- 0.02, 0.9 +/- 0.01 mg kg(-1) in the dry and wet seasons,
respectively. During processing of green tea shoots to made tea a 30-40% loss of
residue was observed. The transfer of residue from made tea to infusion was in
the range 10-30% for both seasons, whereas 50-70% of the residues remained in the
spent leaves. However, the degradation rate in both seasons followed first-order
kinetics. The half-lives were in the range of 2-3 days for green shoots and made
tea in both seasons.
PMID- 18041599
TI - Development of monoclonal antibodies for the fusarin mycotoxins.
AB - The fusarins are a group of mycotoxins produced by fungi that commonly infest
cereal crops, in particular by the fungus Fusarium verticillioides. This group of
compounds is characterized by a substituted 2-pyrrolidone ring attached to a 12
carbon polyunsaturated backbone. Several of the fusarins contain an epoxide
substitution on the pyrrolidone ring and are highly mutagenic. This paper
describes the development of seven monoclonal antibodies and immunoassays for
detecting fusarins C and A. Fusarin C was isolated and conjugated to ovalbumin to
produce the immunogen. Competitive indirect enzyme-linked immunosorbent assays
(CI-ELISAs) were developed based upon the isolated monoclonal antibodies. The
concentrations of fusarin C able to inhibit colour development by 50% (IC(50)) in
CI-ELISAs were 1.0, 2.0, 3.6, 23.4, 28.9, 31.4, and 66.7 ng ml(-1) for clones 1
38, 1-30, 1-5, 1-7, 1-43, 1-25, and 1-21, respectively. Cross-reactivity with
fusarin A was 44.8, 51.4, 41.1, 174.0, 62.6, 78.2, and 98.0% for clones 1-38, 1
30, 1-5, 1-7, 1-43, 1-25, and 1-21, respectively. Given the sensitivity of these
antibodies for fusarins it is expected that, with further development, they may
be useful for detecting fusarins at relevant levels in foods.
PMID- 18041600
TI - Sources of contamination by polycyclic aromatic hydrocarbons in Spanish virgin
olive oils.
AB - The presence of polycyclic aromatic hydrocarbons (PAHs) in virgin olive oils
results from contamination on olive skins and the oil itself during processing.
Determination of nine PAHs was carried out by isolation of the hydrocarbon
fraction and subsequent clean-up by solid phase extraction, followed by RP-HPLC
analysis using a programmable fluorescence detector. Contamination of olive skins
depends directly on environmental pollution levels and inversely on fruit size.
In the oil mill, PAHs levels were increased by contamination from combustion
fumes during the extraction process. Other procedures, such as washing or talc
addition during extraction, did not affect PAHs levels. High concentrations of
PAHs were only found as a consequence of accidental exposure to contamination,
such as direct contact of olives with diesel exhaust and oil extraction in a
polluted environment.
PMID- 18041603
TI - Unertan syndrome: a case series demonstrating human devolution.
AB - A large family with six individuals exhibiting the Unertan syndrome (UTS) was
identified residing in southern Turkey. All of the individuals had mental
impairments and walked on all four extremities. The practice of intra-familial
marriages suggested that the UTS may be an autosomal recessive disorder, similar
to previously described cases. The inferior portions of the cerebellum and vermis
were absent as evidenced by MRI and CT scans. The height and head circumference
of those affected were within normal ranges. Barany's test suggested normal
vestibular system function. The subjects could not name objects or their close
relatives. The males (n = 4) could understand simple questions and commands, but
answered questions with only one or two sounds. The females (n = 2) were superior
to the males with respect to language skills and walking, suggesting an
association between walking and speaking abilities. One male exhibited three
walking patterns at the same time: quadripedal, tiptoe, and scissor walking.
Another male used two walking styles: quadripedal and toe-walking. It is
emphasized that there are important differences between the UTS and the
disequilibrium syndrome. It is suggested that the inability to walk upright in
those affected with the UTS may be best explained by a disturbance in lateral
balance mechanisms, without being related to the cerebello-vestibular system. An
interruption of locomotor development during the transition from quadripedality
to bipedality may result in habitual walking on all four extremities and is
normal in some children. Because quadripedal gait is an ancestral trait,
individuals with the UTS, exhibiting a manifestation of reverse evolution in
humans, may be considered an experiment of nature, useful in understanding the
mechanisms underlying the transition from quadripedality to bipedality during
human evolution. The proposed mutant gene or gene pool playing a role in human
quadrupedality may also be responsible for human bipedality at the same time.
Herein there is no intent to insult or injure; rather, this report is an endeavor
to better understand human beings. Supplementary materials are available for this
article. Go to the publisher's online edition of International Journal of
Neuroscience for the following free supplemental resource(s): video clips.
PMID- 18041604
TI - Abnormal ERPs and high frequency bands power in multiple sclerosis.
AB - Event-related potentials (ERPs) and power spectral density (PSD) were registered
during an auditory-oddball paradigm in 11 MS patients. These patients showed a
decrease in the amplitude of P2 and N2 components and a delayed P3 latency
compared to control subjects suggesting that the attentional orienting mechanism
in the auditory modality is affected in MS. The PSD analysis showed that MS
patients exhibited an increased power in beta and gamma bands. The combined
analysis of frequency and time domain suggested diverse phenomena that occurred
in the MS patient group related with the EEG background or the motivational
status.
PMID- 18041605
TI - The effect of aripiprazole on prepulse inhibition of the startle response in
normal and hyperdopaminergic states in rats.
AB - This study compared the D(2) partial agonists, aripiprazole, (R(+)-terguride; S(
)-3-(3-hydroxyphenyl)-N-n-propylpiperidine [S(-)-3-PPP]; 7-[3-[4-(2,3
dimethylphenyl)piperazinyl]propoxy]-2(1H)-quinolinone [OPC-4392]) and D(2)
antagonists (haloperidol, olanzapine, clozapine, risperidone, and quetiapine) on
prepulse inhibition (PPI) of the startle response, and the ability to reverse
apomorphine-induced deficits in the PPI response. Aripiprazole did not
essentially affect PPI in naive rats but dose-dependently restored apomorphine
induced PPI disruption. R(+)-terguride restored PPI disruption but suppressed PPI
significantly in naive rats, S(-)-3-PPP partially restored whereas OPC-4392 did
not restore PPI disruption. Haloperidol and risperidone restored PPI disruption
whereas olanzapine and quetiapine partially restored PPI disruption and clozapine
had no restorative effect. In conclusion, aripiprazole, unlike other
antipsychotic agents, failed to suppress PPI significantly and restored PPI
disruption.
PMID- 18041606
TI - Modulation of cognitive performance and mood by aromas of peppermint and ylang
ylang.
AB - This study provides further evidence for the impact of the aromas of plant
essential oils on aspects of cognition and mood in healthy participants. One
hundred and forty-four volunteers were randomly assigned to conditions of ylang
ylang aroma, peppermint aroma, or no aroma control. Cognitive performance was
assessed using the Cognitive Drug Research computerized assessment battery, with
mood scales completed before and after cognitive testing. The analysis of the
data revealed significant differences between conditions on a number of the
factors underpinning the tests that constitute the battery. Peppermint was found
to enhance memory whereas ylang-ylang impaired it, and lengthened processing
speed. In terms of subjective mood peppermint increased alertness and ylang-ylang
decreased it, but significantly increased calmness. These results provide support
for the contention that the aromas of essential oils can produce significant and
idiosyncratic effects on both subjective and objective assessments of aspects of
human behavior. They are discussed with reference to possible pharmacological and
psychological modes of influence.
PMID- 18041607
TI - Repeat test scores on neurobehavioral measures over an eight-year period in a
sample of Portuguese children.
AB - Normative data were collected on a sample of 503 Portuguese children who were
taking part in a dental study. At the outset, the children were aged 8-11.9
years, with an average of just over 10. A standard battery of neurobehavioral
tests covering learning and memory, visual-motor function, and attention was
administered at baseline and during seven subsequent years. Normative test data
are presented separately by gender and by age at study inception (younger versus
older). Results provide normative data for use in clinical settings, where
dissociations in performance between cognitive domains need to be identified for
diagnostic and therapeutic purposes.
PMID- 18041608
TI - Chronic prenatal depression and neonatal outcome.
AB - Four hundred and thirty pregnant women were recruited at approximately 22 weeks
gestation at prenatal clinics. Of these, 86 (20%) were diagnosed as depressed.
The women were seen again at approximately 32 weeks gestation and after delivery.
Chronicity of depression was evidenced by continuing high depression scores in
those women diagnosed as depressed. Comorbid problems were chronically high
anxiety, anger, sleep disturbance, and pain scores. Less optimal outcomes for the
depressed women included lower gestational age and lower birthweight of their
newborns.
PMID- 18041610
TI - Time reproduction disturbances in ADHD children: an ERP study.
AB - Sixteen ADHD children and a control group were asked to reproduce the varying
time duration of successively presented visual stimuli. Time estimation was
poorer in ADHD children, who showed more impulsive errors. ERPs exhibited similar
grand-mean waveforms for both groups during the estimating period, but they were
significantly different during the reproducing stage, when an early positive wave
over frontal regions characterized the control group, interpreted as memory
guided motor output, followed by a slow negativity probably reflecting an
inhibitory motor closure process, both probably involving central executive
networks that seem to be improperly activated in ADHD children.
PMID- 18041609
TI - The effect of progesterone on total brain tissue sialic acid levels in
experimental epilepsy.
AB - Epilepsy, the most common neurological disorder worldwide, changing cellular
interactions and connectivity may have effects on sialic acid levels. A total of
80 mice were separated into 8 groups: the sham, control, pentylentetrazole (PTZ),
PTZ plus progesterone, five dose progesterone, single dose progesterone,
kindling, and kindling plus progesterone groups. Brains of each mice were
extracted and were divided into five parts. The sialic acid levels were
significantly different between the groups and also in the subgroups. The results
suggested that progesterone may have an anti-seizure effect by decreasing sialic
acid levels in mice. Further studies are needed to evaluate the role of
progesterone on sialic acid levels and its role in the epilepsy pathogenesis.
PMID- 18041611
TI - Relationship between dysgeusia and dysesthesia in stroke patients.
AB - This study investigated the relationship between dysgeusia and dysesthesia in
stroke patients and evaluated the effect of carbamazepine therapy. Twenty-four
patients with dysesthesia of the face, oral cavity, or tongue were divided into
two groups: with and without subjective dysgeusia. Taste thresholds were
objectively evaluated using the filter-paper taste test and electrogustometry.
There was no significant difference in taste thresholds between the two groups.
Carbamazepine had an effect on subjective dysgeusia in four of the eight treated
patients. Dysgeusia with dysesthesia in stroke patients might be caused by
disorders of the somatosensory pathway rather than disorders of the gustatory
pathway.
PMID- 18041612
TI - A prefrontal ERP involved in decision making during visual duration and size
discrimination tasks.
AB - Recently, a late positive component (LPCt) with prefrontal dominance was
identified in a duration discrimination task as a marker of decision-making
processes (Paul et al., 2003). In the present study, LPCt amplitudes and
latencies were measured in visual and size discrimination tasks for the purpose
of determining the selectivity of this phenomenon. LPCt amplitudes were larger
and latencies shorter for longer stimulus pairs, at a time of maximal behavioral
performances. Wave amplitudes were also larger for smaller stimuli, but were not
directly related to behavioral performances. These results indicate that the LPCt
is not specific to temporal discrimination but can reflect more general decision
making processes.
PMID- 18041613
TI - Apolipoprotein E phenotype in demented patients in Greek population.
AB - Apolipoprotein E is a plasma protein, involved in the transport of lipids and
their metabolism. The aim of this investigation was to correlate the ApoE
phenotypes with the type and the severity of dementia in Greek demented patients.
The investigation revealed that 72% of the patients have the E3/3 phenotype, but
only 11% of them demonstrated the E3/2; 13% of the patients have the E4/3
phenotype and only 4% of them demonstrated the phenotype E4/4. The most severely
demented patients corresponded to e4 allele. The present results indicate that
the most common ApoE phenotype in Greek demented patients is E3/3.
PMID- 18041614
TI - The omitted stimulus potential is related to the cognitive component of reaction
time.
AB - Omitted stimulus potentials (OSP) are waves that are considered to involve
moderately high-level processing, but their relation to the cognitive, premotor
component of reaction time (PMRT) to an omitted stimulus has not been examined.
This relationship was tested in 20 participants who responded to an auditory
omitted stimulus occurring in fast (7 Hz) and slow (.5 Hz) frequency trains while
electrophysiological recordings provided measures of the OSP and EMG. In accord
with the hypothesis, the time between the onset of the OSP and the EMG was
strongly correlated to PMRT under both stimulus frequency conditions.
PMID- 18041615
TI - Coherent motion, magnocellular sensitivity and the causation of dyslexia.
AB - The central tenet of the magnocellular deficit theory of dyslexia is that
dyslexia is caused by a magnocellular deficit. A number of investigators have
found deficiencies in visual coherent motion perception among dyslexic readers.
These deficiencies have been attributed to magnocellular deficits, which means
that they directly reflect the cause of dyslexia. However, similar perceptual
deficiencies have been found in association with autism, Williams's syndrome,
hemiplegia, and schizophrenia. These findings appear to undermine at least one of
the following claims: (1) that a magnocellular deficit is the cause of dyslexia,
and (2) that coherent motion is a reliable test of magnocellular sensitivity.
PMID- 18041617
TI - Isolation of bicarbonate from equine urine for isotope ratio mass spectrometry.
AB - Sodium bicarbonate administration to horses prior to competition in order to
enhance the buffer capacity of the organism is considered as a doping offence.
The analysis of the isotopic composition of urinary bicarbonate/CO(2) (TCO(2))
may help to identify an exogenous bicarbonate source, as technical sodium
bicarbonate exhibits elevated delta(13)C values compared with urinary total
carbon. The isolation of TCO(2) from 60 equine urine samples as BaCO(3) followed
by an isotopic analysis shows a significant variability of delta(13)C for TCO(2)
of more than 10 per thousand. The delta(13)C of total carbon and TCO(2) seem to
reflect different proportions of C3 and C4 plant material in the diet. The
isotopic analysis of different mixtures of technical NaHCO(3) and equine urine
shows that TCO(2) can be easily isolated without major isotopic fractionation;
however, attention has to be paid to the storage time of urine samples, as a
shift of delta(13)C of TCO(2) to lower values may occur.
PMID- 18041618
TI - Determination of delta13 CV-PDB and delta15NAIR values of cocaine from a big
seizure in Germany by stable isotope ratio mass spectrometry.
AB - In this study, delta(13)C(V- PDB) and delta(15)N(AIR) values of 132 cocaine
samples from a big seizure in Germany in 2002 were determined using elemental
analyser isotope ratio mass spectrometry. The 1.2 tons of cocaine were packed in
1 kg packages and the cocaine bricks inside these packages showed certain logos.
Twenty different logos could be identified. Results show a large variability
among some samples, for delta(15)N(AIR) values ranging from-17 to -2 per
thousand. Furthermore, the possibility of linking samples with the same logo was
checked. The results show that, in general, there is no relationship between the
determined isotope ratio and a certain logo.
PMID- 18041619
TI - Stable nitrogen isotopes in essential versus non-essential amino acids of
different plankton size fractions.
AB - The stable nitrogen isotope values (delta(15)N) of the essential amino acid (EAA)
leucine and the delta(15)N values of six non-essential amino acids (NEAAs) from
plankton size fractions from the South China Sea (SCS) were analysed. Data from
the SCS were collected during two cruises in July 2003 and 2004 onboard of RV
Nghien Cuu Bien. The delta(15)N values of alanine, aspartic acid, glutamic acid
and leucine increased with size at all sites. The delta(15)N of glycine did not
increase with size, the delta(15)N of tyrosine increased with size only at
offshore stations and the delta(15)N of proline increased with size only at
inshore stations. We found highly significant correlations between the delta(15)N
ratios of leucine to the delta(15)N ratios of glutamic acid, proline, alanine,
tyrosine and aspartic acid at oligotrophic sites of enhanced nitrogen fixation.
In contrast thereto these correlations were less distinct or absent at more
eutrophic sites of low nitrogen fixation. A comparison with an independent data
set from the tropical North Atlantic revealed intriguing similar patterns. We
interpret these patterns as result of the connected metabolism of EAA and NEAA in
zooplankton at sites of nitrogen limitation.
PMID- 18041620
TI - Regional and temporal (1992-2004) evolution of air-borne sulphur isotope
composition in Saxony, southeastern Germany, central Europe.
AB - The isotopic composition of air-borne sulphur was investigated in Saxony,
Southeast Germany--a region with formerly very high atmospheric SO(2)
concentrations. In addition, data from various authors were compiled for
different Saxonian locations, spanning from 1992 to 2004, i.e., a time of
decreasing SO(2) concentrations in the atmosphere. There were no obvious temporal
changes in the mean delta(34)S value of bulk precipitation. However, the
variability of monthly mean delta(34)S values decreased. The mean sulphur isotope
composition of sulphate from bulk precipitation after the year 2000 converges in
Saxony towards 4-5 per thousand, with similar values for different locations.
Mean values of different forms of sulphur show the following enrichment order:
delta(34)S of SO(2) < delta(34)S of weathering crusts < or =delta(34)S of
sulphate from bulk precipitation < or =delta(34)S of dust. Judging from local
differences on sulphate crusts and corresponding isotope values of sources, the
delta(34)S value of SO(2) as well as for crusts mainly reflects local point
sources. The mean delta(34)S value of bulk precipitation represents more
regionally well-mixed SO(2) sources and is therefore an ideal tool for monitoring
regional atmospheric change.
PMID- 18041621
TI - Comparison of monthly and daily isotopic composition of precipitation in the
coastal area of Slovenia.
AB - The stable isotopic composition (delta(2)H and delta(18)O) of short-term (daily)
precipitation collected from October 2002 to September 2003 at two stations in a
coastal, karstic area in south-western Slovenia was investigated. In addition,
monthly composite samples were collected and analysed for comparison with amount
weighted monthly means. The delta(2)H and delta(18)O values obtained show a wide
range and reflect seasonal climatic variations. Deuterium excess and local
meteoric water lines (LMWLs) were determined and cumulative frequency analysis
and coincidence tests were performed. The statistical coincidence test showed
that the LMWLs calculated from monthly data for Portoroz and Kozina are
coincident, but the LMWLs calculated from daily precipitation events are not.
This difference could be explained by the greater variance of the isotopic
composition of daily precipitation in comparison to monthly composite samples and
also to the influence of evaporation during events below<1 mm at Portoroz during
the extremely dry and warm spring-summer season of 2003. Finally, synoptic maps
and backward trajectories of a selected precipitation event showed that changes
of isotopic composition are related to mixing of air masses originating from the
continent and Mediterranean cyclogenesis.
PMID- 18041622
TI - Estimating groundwater mixing and origin in an overexploited aquifer in
Guanajuato, Mexico, using stable isotopes (strontium-87, carbon-13, deuterium and
oxygen-18).
AB - Stable Isotopes (strontium-87, deuterium and oxygen-18, carbon-13) have been used
to reveal different sources of groundwater and mixing processes in the aquifer of
the Silao-Romita Valley in the state of Guanajuato, Mexico. Calcite dissolution
appeared to be the main process of strontium release leading to relatively equal
(87)Sr/(86)Sr ratios of 0.7042-0.7062 throughout the study area which could be
confirmed by samples of carbonate rocks having similar Sr ratios (0.7041-0.7073).
delta(13)C values (-11.91- -6.87 per thousand VPDB) of groundwaters confirmed the
solution of carbonates but indicated furthermore influences of soil-CO(2).
Deuterium and (18)O contents showed a relatively narrow range of-80.1- -70.0 per
thousand VSMOW and -10.2- -8.8 per thousand, VSMOW, respectively but are affected
by evaporation and mixing processes. The use of delta(13)C together with
(87)Sr/(86)Sr revealed three possible sources: (i) carbonate-controlled waters
showing generally higher Sr-concentrations, (ii) fissure waters with low
strontium contents and (iii) infiltrating water which is characterized by low
delta(13)C and (87)Sr/(86)Sr ratios. The third component is affected by
evaporation processes taking place before and during infiltration which might be
increased by extraction and reinfiltration (irrigation return flow).
PMID- 18041623
TI - Lead and strontium isotopes as indicators for mixing processes of waters in the
former mine 'Himmelfahrt Fundgrube', Freiberg (Germany).
AB - To pinpoint the origin and mixing processes of mine waters, different mine water
types from the polymetallic sulphide ore deposit 'Himmelfahrt Fundgrube'
(Freiberg, Germany) were analysed by thermal ionisation mass spectrometry using
lead and strontium isotope ratios. Results show that the lead isotope composition
of different mine waters results from a mixture of at least two sources: released
lead from oxidised sulphide ores (mainly galena) and anthropogenic lead from
groundwater. Furthermore, there are indications for an additional lead source.
Strontium isotopes in mine waters identify at least three different sources:
released strontium from weathered host rock (Grey Gneisses), released strontium
from weathered gangue carbonates, and probably strontium from anthropogenic
inputs. Contrary to former oxygen and sulphur isotope studies, strontium isotope
compositions as well as hydrochemical parameters show the important role of
gangue carbonates as an element source in mine waters.
PMID- 18041624
TI - Isotopic characteristics of meteoric waters in the Belgrade region.
AB - The stable isotope composition of hydrogen (delta(2)H) and oxygen (delta(18)O) in
monthly precipitation and river water (Sava River and Danube) samples in the
Belgrade area gathered between 1992 and 2005 are determined. The local meteoric
water line delta(2)H=7.8 (+/-0.2) delta(18)O+7.3(+/-1.6) (r(2)=0.98, n=60,
sigma=0.52) for the whole period of observation is close to the global meteoric
water line. The amount-weighted mean delta(2)H and delta(18)O values of
precipitation were-65+/-27 per thousand and-9.4+/-3.4 per thousand, respectively.
Good correlation between delta(18)O values (r approximately >0.67) and ambient
temperature and relative humidity was obtained. Stream-water data ranged from-94
to-60 per thousand for delta(2)H and from-11.0 to approximately 5.7 per thousand
for delta(18)O with highly statistically significant difference (p>0.01) between
the Sava River and the Danube. In addition, the isotopic compositions of local
precipitation and adjacent river water at monitoring sites were compared.
Obtained data will give an opportunity to improve the knowledge of mixing stream
water and local groundwater, and assessment of potential groundwater risks and
pressures in the Belgrade basin.
PMID- 18041625
TI - Characteristics of suspended matter in the River Sava watershed, Slovenia.
AB - A combination of C/N ratios, delta(13)C and delta(15)N values in suspended matter
was used to examine the seasonal (late summer 2004 and spring 2005) relationship
with hydrological characteristics of the River Sava watershed in Slovenia. The
values of C/N ratios range from 1.2 to 19.1, delta(13)C values range from-29.2 to
23.0 per thousand and delta(15)N values from 0.5 to 16.7 per thousand and
indicate that the samples are a mixture of two end members: modern soils and
plant litter. A simple mixing model was used to indicate that soil organic carbon
prevails over plant litter and contributes more than 50% of suspended material.
The calculated annual particulate organic carbon flux is estimated as 5.2x10(10)
g C/year, the annual particulate nitrogen flux 8.5x10(9) g N/year and the total
suspended solid flux is estimated to be 1.3x10(12) g/year. Anthropogenic impact
was detected only in a tributary stream of the River Sava, which is located in an
agriculture-industrial area and is reflected in higher delta(15)N values in
suspended matter and high nitrate concentrations in the late summer season.
PMID- 18041626
TI - Using radon-222 for tracing groundwater discharge into an open-pit lignite mining
lake--a case study.
AB - Groundwater discharge into an open pit lignite mining lake was investigated using
radon-222 as a naturally occurring environmental tracer. The chosen study site
was a meromictic lake, i.e., a water body that is divided horizontally into two
separate layers--the upper mixolimnion (with seasonal mixing) and the lower
monimolimnion (without seasonal mixing). For the estimation of groundwater
discharge rates into the lake, a simple box model including all radon sinks and
sources related to each layer was applied. Two field investigations were
performed. During the October campaign, the total groundwater discharge into the
lake was found to be 18.9 and 0.7 m(3) d(-1) for the mixolimnion and
monimolimnion, respectively. During the December campaign, the groundwater
discharge into the mixolimnion was 15.0 m(3) d(-1), whereas no discharge at all
was observed into the monimolimnion. Based on the given water volumes, the
residence time of lake water was 5.3 years for the monimolimnion and varies
between 0.9 and 1.1 years for the mixolimnion. The investigation confirmed radon
to be a useful environmental tracer for groundwater and surface water
interactions in meromictic lake environments.
PMID- 18041629
TI - Self-reported knowledge and behaviors related to oral and dental health in
Turkish children.
AB - AIM: The purpose of the study was to present oral- and dental health-related
knowledge and behaviors of children who presented to Akdeniz University Medical
Faculty Pediatric Outpatient Clinic between March 1 and May 1, 2006 for non
dental health reasons. METHOD: Data were collected from a total of 173 children.
A survey which contained questions about the children's oral and dental health
was completed using face-to-face interview technique. RESULTS: Forty-eight
percent of the children were 5-6 years old and 68.8% were not going to school. It
was determined that 43.3% of the mothers of the children in the study had a
primary-school level of education and 74.6% were housewives. It also was
determined that 49.1% of the children had never had a cavity, 43.4% stated that
they brushed their teeth after meals, and 30.6% stated that they brushed at least
once a day. More than sixty percent of the children spent their allowance on
chocolate, chips, cola, candy, and other acidic drinks. A significant
relationship was found between the children's ages and having a caries in this
study. There also was a statistically significant relationship between the
parents' tooth-brushing habit and the children's tooth brushing, and between the
parents' frequency of tooth brushing and the children's frequency of tooth
brushing. CONCLUSION: Based on the results of the study it is recommended that
both children and their families be given education about oral and dental health.
PMID- 18041630
TI - Adolescents born with spina bifida: experiential worlds and biopsychosocial
developmental challenges.
AB - The author used phenomenological (interpretive) ethnography to investigate the
experience of physical disability and its attached meanings in relation to self,
world, and other for adolescents born with spina bifida. Multiple in-depth
interviews were conducted with 11 late-stage adolescents (aged 18-24 years).
Analysis of their narrative accounts illustrated commonalities with respect to
the biopsychosocial impact of living with the physical disability of spina
bifida. The theme, "Experiencing self as dissimilar other" is reported upon.
Findings imply that youth born with spina bifida face biological, psychological,
and social challenges that might interfere with normative developmental tasks of
adolescence, including identity formation. Greater emphasis needs to be directed
toward humanizing and emancipating the physical and social environment for youth
with physical disabilities to maximize developmental opportunities and potential
while fostering positive identity.
PMID- 18041631
TI - Evidence-based practice: a primer for action.
AB - Although evidence-based practice (EBP) began as a challenge to the medical
profession in the early 1970s, the concept has since been embraced by nursing and
other health care professions. Although it is clear that the process of placing
evidence into practice will continue to be refined, the mandate to do so is
clear. The purpose of this article is to present a brief history of the
definition of EBP, describe some of the more well-known models of knowledge
translation, discuss some of the commonly agreed-upon steps in the EBP process,
and present some resources that might be useful for readers.
PMID- 18041633
TI - Design aspects of poly(alkylcyanoacrylate) nanoparticles for drug delivery.
AB - Poly(alkylcyanoacrylate) (PACA) nanoparticles were first developed 25 years ago
taking advantage of the in vivo degradation potential of the polymer and of its
good acceptance by living tissues. Since then, various PACA nanoparticles were
designed including nanospheres, oil-containing and water-containing nanocapsules.
This made possible the in vivo delivery of many types of drugs including those
presenting serious challenging delivery problems. PACA nanoparticles were proven
to improve treatments of severe diseases like cancer, infections and metabolic
disease. For instance, they can transport drugs across barriers allowing delivery
of therapeutic doses in difficult tissues to reach including in the brain or in
multidrug resistant cells. This review gives an update on the more recent
developments and achievements on design aspects of PACA nanoparticles as delivery
systems for various drugs to be administered in vivo by different routes of
administration.
PMID- 18041634
TI - Optimization of ultrasound and microbubbles targeted gene delivery to cultured
primary endothelial cells.
AB - Ultrasound and microbubbles targeted gene delivery (UMTGD) is a promising
technique for local gene delivery. As the endothelium is a primary target for
systemic UMTGD, this study aimed at establishing the optimal parameters of UMTGD
to primary endothelial cells. For this, an in vitro ultrasound (US) setup was
employed in which individual UMTGD parameters were systematically optimized. The
criteria for the final optimized protocol were: (1) relative high reporter gene
expression levels, restricted to the US exposed area and (2) induction of not
more than 5% cell death. US frequency and timing of medium replacement had a
strong effect on UMTGD efficiency. Furthermore, US intensity, DNA concentration
and total duration of US all affected UMTGD efficiency. Optimal targeted gene
delivery to primary endothelial cells can be accomplished with Sonovue
microbubbles, using 20 microg/ml plasmid DNA, a 1 MHz US exposure of Ispta 0.10
W/cm(2) for 30 s with immediate medium change after UMTGD. This optimized
protocol resulted in both an increase in the number of transfected cells (more
than three fold) and increased levels of transgene expression per cell (170%).
PMID- 18041635
TI - Active tumor-targeted delivery of PEG-protein via transferrin-transferrin
receptor system.
AB - Transferrin (Tf) holds promise as a drug carrier because of overexpress
transferring receptors (TfRs) on the surface of tumor cells. The purpose of this
work was to conjugate Tf to PEGylated protein (Tf-PEG-protein) to improve tumor
targeted delivery of PEG-protein. After a model protein, beta-lactoglobulin B
(LG), was modified by the heterobifunctional polyethyleneglycol (PEG), Tf was
covalently linked to the distal end of the PEG chains on the PEG-LG (PL)
conjugate. The purified Tf-PEG-LG (TPL) contained 1.4 of Tf ligand on one LG
molecule. The specificity and affinity of TPL to TfR on two kinds of tumor cells
(K562 and KB cells) were assessed. The results demonstrated that, TPL can bind
specifically to the TfR on the tumor cell surface and the affinity of the
conjugate to TfR was similar to that of native Tf. The pharmacokinetics and
biodistribution studies in rodents found that TPL exhibited a significantly
delayed blood clearance, the longest tumor resident time and the greatest tumor
accumulation, as compared with LG and PL. Such design of the Tf conjugate would
suggest a promising approach for active tumor targeting of therapeutic proteins
or peptides to target cells.
PMID- 18041636
TI - Transfection of primary human nasal epithelial cells using a biodegradable poly
(ester amine) based on polycaprolactone and polyethylenimine as a gene carrier.
AB - The purpose of this study was to prepare and characterize poly (ester amine)
(PEA)/pGL3 complexes and investigate their transfection efficiency in human nasal
epithelial (HNE) cells. Particle size, zeta potential, and gel retardation
characteristics of PEA /pGL3 complexes were also measured. After treatment of
DNase-I, protection and release assay of PEA/pGL3 complexes were performed. To
assess the transfection efficiency and cytotoxicity, measurement of relative
luciferase activity and MTS assay were performed. PEA/pGL3 complexes showed
effective and stable DNA condensation with the particle sizes below 200 nm,
implicating their potential for intracellular delivery. PEA/pGL3 complexes
successfully transfected into the HNE cells with higher viability of the cells.
These results suggested that, the PEA can be used as an efficient cationic
polymeric vehicle which provides a versatile platform for further investigation
of structure property relationship along with the controlled degradation,
significant low cytotoxicity, and high transfection efficiency of the primary HNE
cells.
PMID- 18041637
TI - Colon-specific drug delivery for mebeverine hydrochloride.
AB - Mebeverine Hydrochloride (MB-HCl), an effective spasmolytic drug, was formulated
as CODES. A colon-specific drug delivery technology CODES was designed to avoid
the inherent problems associated with pH- or time-dependent systems. To achieve
more protection and control of drug release, MB-HCl was prepared as microspheres
and compressed as core tablets of CODES (modified CODES). The core tablets
contained the drug either in free form [Formula 1 (F(1))], or as microspheres
with 2 different polymer:drug:lactulose ratios (1:1:0.5 [Formula 2 (F(2))] and
2:1:0.5 [Formula 3 (F(3))]. The release profiles of the coated CODES systems were
compared with uncoated compressed tablets. The uncoated tablet showed a drug
release of 94% after 1 h in simulated gastric condition (pH = 1.2). The release
characteristics of the coated systems revealed that the enteric coating (Eudragit
L(100)) prevented any drug release in simulated gastric or duodenal conditions in
the first 3 h (pH 1.2-6.1), after which drug was slightly liberated in simulated
intestinal fluid (pH 7.4) {Phase 1 (P1)}. After 4 h the pH was adjusted to 7 and
beta-glucose-oxidase was added, which is an enzyme produced by enterobacteria
present in the colon. The acid-soluble coat (Eudragit)E(100)) dissolved and the
drug release suddenly increased to reach 95, 72 and 60.4% for F(1)-F(3),
respectively. IR spectrum study showed a covalent bond between the drug and the
polymer in the formulae F(2) and F(3) resulting in the sustained drug release
from the microspheres with a significant difference (p>0.05) to F(1). The
findings were confirmed by in vivo investigation using X-ray images for Guinea
pigs ingested tablets containing barium sulphate (F(4)), where the tablet began
to disintegrate after 10 h of tablet intake. The results of the study indicated
that MB-HCl CODES colon-specific drug delivery can act as a successful trigger
for drug targeting in the colon. Furthermore, a sustained release of the drug can
be achieved from modified CODES containing the drug in the form of microspheres.
PMID- 18041638
TI - M-cell targeted biodegradable PLGA nanoparticles for oral immunization against
hepatitis B.
AB - The transcytotic capability and expression of distinct carbohydrate receptors on
the intestinal M-cells render it a potential portal for the targeted oral vaccine
delivery. PLGA nanoparticles loaded with HBsAg were developed and antigen was
stabilized by co-encapsulation of trehalose and Mg(OH)(2). Additionally, Ulex
europaeus 1 (UEA-1) lectin was anchored to the nanoparticles to target them to M
cells of the peye's patches. The developed systems was characterized for shape,
size, polydispersity index and loading efficiency. Bovine submaxillary mucin
(BSM) was used as a biological model for the in vitro determination of lectin
activity and specificity. The targeting potential of the lectinized nanoparticles
were determined by Confocal Laser Scanning Microscopy (CLSM) using dual staining
technique. The immune stimulating potential was determined by measuring the anti
HBsAg titre in the serum of Balb/c mice orally immunized with various lectinized
formulations and immune response was compared with the alum-HBsAg given
intramuscularly. Induction of the mucosal immunity was assessed by estimating
secretary IgA (sIgA) level in the salivary, intestinal and vaginal secretion.
Additionally, cytokines (interleukin-2; IL-2 and interferon-gamma; IFN-gamma)
level in the spleen homogenates was also determined. The results suggest that
HBsAg can be successfully stabilized by co-encapsulation of protein stabilizers.
The lectinized nanoparticles have demonstrated approximately 4-fold increase in
the degree of interaction with the BSM as compared to plain nanoparticles and
sugar specificity of the lectinized nanoparticles was also maintained. CLSM
showed that lectinized nanoparticles were predominantly associated to M-cells.
The serum anti-HBsAg titre obtained after oral immunization with HBsAg loaded
stabilized lectinized nanoparticles was comparable with the titre recorded after
alum-HBsAg given intramuscularly. The stabilized UEA-1 coupled nanopartilces
exhibited enhanced immune response as compared to stabilized non-lectinized
nanoparticles. Furthermore, the stabilized lectinized nanoparticles elicited sIgA
in the mucosal secretion and IL-2 and IFN-gamma in the spleen homogenates. These
stabilized lectinized nanoparticles could be a promising carrier-adjuvant for the
targeted oral-mucosal immunization.
PMID- 18041639
TI - Polyethylenimine-DNA solid particles for gene delivery.
AB - Polyethylenimine (PEI), a cationic polymer, was used to develop a non-viral
vector for gene delivery. A simple, reproducible process is described with which
to condense plasmid DNA with PEI. When prepared at the optimum charge ratio of
6.3 ( +/- ; PEI:DNA, 5:1 w/w), PEI-DNA complexes were 30-60 nm in diameter and
excluded intercalating dyes from the plasmid DNA. The particles were stable for
more than one month at 4 degrees C with respect to size and transfection
activity. PEI-condensed DNA transfected a broad range of murine and human tumor
cell lines (B16, Lewis Lung, SK-OV-3 and LS180) in vitro in the presence of fetal
calf serum. Intraperitoneal administration of PEI-condensed DNA resulted in
significant gene expression in a human ovarian cancer peritoneal xenograft model.
PMID- 18041641
TI - A cross-industry assessment of personal exposures to methyl methacrylate.
AB - This study investigated personal exposures to methyl methacrylate (MMA) monomer
during monomer production and use within the chemical manufacturing industry. The
aim was to include multiple companies and sites to provide information regarding
differences and similarities among exposure subgroups within the industry during
the time period of 1998-2000. To this end, personal exposure data for MMA monomer
from three chemical corporations was combined into a single data set. The data
was stratified by sample type (full shift or short term), job classification
(monomer production, monomer use, maintenance, distribution, and laboratory
operations), company, and facility. Only classifications with three samples or
more were included in the final data set, yielding 376 samples for analysis (334
full-shift and 42 short-term samples). Results compare well with previously
published data on MMA monomer exposures. Although there was significant
variability in several exposure subgroups (particularly among companies and
facilities), MMA monomer use in the manufacture of other products resulted in the
highest exposure distributions, with lower exposures associated with monomer
production, maintenance, and distribution operations. The usefulness of these
findings is related to the provision of information for discussion of current
needs in the industry regarding data sampling, exposure assessment, and standards
development.
PMID- 18041642
TI - Laboratory and field testing of sampling methods for inhalable and respirable
dust.
AB - The performance of four sampling devices for inhalable dust and three devices for
respirable dust was tested with different kinds of dusts in the laboratory and in
the field. The IOM sampler was chosen as the reference method for inhalable dust,
and the IOM sampler provided with the porous plastic foam media was used as the
reference method for respirable dust. The other tested instruments were the
Button sampler, the optical Grimm aerosol monitor, and the Dekati two-stage
cascade impactor with cutoff sizes of 10 and 4 mu m. The study confirmed the
applicability of the IOM and Button samplers. The new foam product followed the
respirable criteria well. However, the foam sampler was unstable for measuring
inhalable dust, probably due to its moisture absorption. In addition, high dust
loads should be avoided with the foam sampler due to increase in filtering
efficiency. The concentrations of inhalable dust measured with the Button
sampler, the Grimm monitor, and the impactor sampler were usually close to those
measured with the reference sampler. On the other hand, impactor sampling yielded
higher respirable dust concentrations than the reference method in the field,
which may have been caused by particle bounce; high dust loads should be avoided
while using the impactor. The results also showed that the Grimm monitor enables
real-time dust concentration determinations that are accurate enough for routine
monitoring of occupational exposure and for testing efficiency of control
measures in workplaces.
PMID- 18041643
TI - Issues when modeling benzene, toluene, and xylene exposures using a literature
database.
AB - A database of benzene, toluene, and xylene measurements was compiled from an
extensive literature review that contained information on several exposure
determinants, including job type, operation, mechanism of release, process type,
ventilation, temperature, distance from the source, quantity, and location. The
database was used to develop statistical models for benzene, toluene, and xylene
exposure as a function of operation and other workplace determinants. These
models can be used to predict exposure levels for subjects enrolled in community
based case-control studies. This article presents the derived parameter estimates
for specific operations and additional workplace exposure determinants and
describes a number of statistical and data limitation issues that are inherent in
determinants modeling of historical published data. [Supplementary materials are
available for this article. Go to the publisher's online edition of Journal of
Occupational and Environmental Hygiene for the following free supplemental
resource(s): a PDF file of QQ plots and a Word file with references used in the
benzene/toluene/xylene exposure database].
PMID- 18041644
TI - Assessing total fungal concentrations on commercial passenger aircraft using
mixed-effects modeling.
AB - The primary objective of this study was to compare airborne fungal concentrations
onboard commercial passenger aircraft at various in-flight times with
concentrations measured inside and outside airport terminals. A secondary
objective was to investigate the use of mixed-effects modeling of repeat measures
from multiple sampling intervals and locations. Sequential triplicate culturable
and total spore samples were collected on wide-body commercial passenger aircraft
(n = 12) in the front and rear of coach class during six sampling intervals:
boarding, midclimb, early cruise, midcruise, late cruise, and deplaning.
Comparison samples were collected inside and outside airport terminals at the
origin and destination cities. The MIXED procedure in SAS was used to model the
mean and the covariance matrix of the natural log transformed fungal
concentrations. Five covariance structures were tested to determine the
appropriate models for analysis. Fixed effects considered included the sampling
interval and, for samples obtained onboard the aircraft, location (front/rear of
coach section), occupancy rate, and carbon dioxide concentrations. Overall, both
total culturable and total spore fungal concentrations were low while the
aircraft were in flight. No statistical difference was observed between
measurements made in the front and rear sections of the coach cabin for either
culturable or total spore concentrations. Both culturable and total spore
concentrations were significantly higher outside the airport terminal compared
with inside the airport terminal (p-value < 0.0001) and inside the aircraft (p
value < 0.0001). On the aircraft, the majority of total fungal exposure occurred
during the boarding and deplaning processes, when the aircraft utilized ancillary
ventilation and passenger activity was at its peak.
PMID- 18041645
TI - Effects of Hoods and Flame-Retardant Fabrics on WBGT Clothing Adjustment Factors.
AB - Personal protective clothing (PPC) may include hoods and flame-retardant (FR)
fabrics that may affect heat transfer and, thus, the critical wet bulb globe
temperature (WBGT crit) to maintain thermal equilibrium. The purpose of this
study was to compare the differences in WBGT crit for hooded vs. nonhooded
versions of particle barrier and vapor barrier coveralls as well as for coveralls
made of two flame-retardant fabrics (INDURA cotton and Nomex). Acclimated men (n
= 11) and women (n = 4) walked on a treadmill in a climatic chamber at 180 W/m2
wearing four different ensembles: limited-use, particle barrier coveralls with
and without a hood (Tyvek 1427), and limited-use vapor barrier coveralls with and
without a hood (Tychem QC, polyethylene-coated Tyvek). Twelve of the participants
wore one of two flame-retardant coveralls. All participants wore standard cotton
clothing. Progressive exposure testing at 50% relative humidity (rh) was designed
so that each subject established a physiological steady-state followed by a clear
loss of thermal equilibrium. WBGT crit was the WBGT 5 min prior to a loss of
thermal equilibrium. Hooded ensembles had a lower WBGT crit than the nonhooded
ensembles. The difference suggested a clothing adjustment of 1 degrees C for
hoods. There were no significant differences among the FR ensembles and cotton
work cloths, and the proposed clothing adjustment for FR coveralls clothing is 0
degrees C.
PMID- 18041646
TI - Testing antimicrobial paint efficacy on gypsum wallboard contaminated with
Stachybotrys chartarum.
AB - The goal of this research was to reduce occupant exposure to indoor mold through
the efficacy testing of antimicrobial paints. An accepted method for handling
Stachybotrys chartarum-contaminated gypsum wallboard (GWB) is removal and
replacement. This practice is also recommended for water-damaged or mold
contaminated GWB but is not always followed completely. The efficacy of
antimicrobial paints to eliminate or control mold regrowth on surfaces can be
tested easily on nonporous surfaces. The testing of antimicrobial efficacy on
porous surfaces found in the indoor environment, such as gypsum wallboard, can be
more complicated and prone to incorrect conclusions regarding residual organisms.
The mold S. chartarum has been studied for toxin production and its occurrence in
water-damaged buildings. Research to control its growth using seven different
antimicrobial paints and two commonly used paints on contaminated, common gypsum
wallboard was performed in laboratory testing at high relative humidity. The
results indicate differences in antimicrobial efficacy for the period of testing,
and that proper cleaning and resurfacing of GWB with an antimicrobial paint can
be an option in those unique circumstances when removal may not be possible.
PMID- 18041647
TI - Levels of Smad7 regulate Smad and mitogen activated kinases (MAPKs) signaling and
controls erythroid and megakaryocytic differentiation of erythroleukemia cells.
AB - Smad and MAPK signaling cascades are involved in erythroid and megakaryocytic
differentiation. The inhibitory Smad for TGF-beta/activin signaling, Smad7, may
directly or indirectly affect these signaling pathways. By modulating Smad7
expression, we attempted to delineate the relevance of Smad7 during erythro
megakaryocytic (E/M) differentiation of human erythroleukemia cells. Smad7
transcripts were detected at low levels in different erythroleukemia cell lines
(TF-1, HEL and K562). Reduction of expression of endogenous Smad7 by RNA
interference enhanced erythroid differentiation of K562 cells in response to
physiological doses of activin-A/TGF-beta1. Stable over-expression of Smad7 in
K562 cells (K562/7) prevented activation of Smad2/3 and MAPK (ERK1/2, p38 and
JNK1/2) proteins by activin-A/TGF-beta1 and subsequent induction of erythroid
differentiation. High levels of Smad7 also interfered with hydroxyurea- and
butyrate-, but not hemin-induced erythroid differentiation. Interestingly, K562/7
cells were found to harbor a significant proportion (about 35%) of large ploy
nucleated cells compared to fewer than 12% in control cells. K562/7 cells treated
with phorbol 12-myristate 13-acetate (PMA), showed a great shift in ploidy
towards high ploidy classes (> or =8N) accompanied with an increase in the
expression of the maturation marker CD42b. We showed here that: (a) low levels of
endogenous Smad7 in erythroleukemia cells are physiologically relevant, and (b)
high levels of Smad7 interferes with TGF-beta/activin-induced Smad/MAPK signaling
and erythro-differentiation and promotes megakaryocytic differentiation, possibly
by blocking autocrine TGF-beta.
PMID- 18041648
TI - Thrombopoietin is not uniquely responsible for thrombocytosis in inflammatory
disorders.
AB - A few studies in patients with reactive thrombocytosis identified levels of the
hormone higher than expected, and suggested that TPO behaves as an acute-phase
protein and was responsible for increased platelet count. At the opposite, other
studies did not find any significant rise of the hormone in patients who
similarly developed reactive thrombocytosis. To gain further information on this
topic, we compared TPO levels and platelet counts in two series of patients
hospitalized for acute illnesses: one with strong elevation of both erythrocyte
sedimentation rate (ESR) and C-reactive protein (CRP), and the other with normal
values. Within the group of subjects with high ESR and CRP, 38 had normal
platelet counts, while 15 had thrombocytosis. No thrombocytosis was observed in
control patients. Patients with high acute phase indexes had significantly higher
TPO levels and platelet counts than control patients. We identified significant
positive correlations between ESR and CRP, and between TPO and CRP.
Interestingly, no significant relationship between platelet counts and TPO levels
was find. When we grouped patients with acute-phase reaction according to absence
or presence of thrombocytosis, we found similar TPO values. Conversely, positive
correlations between platelet count and IL-6 and between TPO and IL-6 have been
identified. All together our results confirm that TPO acts as an acute phase
protein but exclude the possibility that it is uniquely responsible for
thrombocytosis of inflammatory disorders, which might recognize in IL-6 a
credible candidate as a cooperating factor.
PMID- 18041649
TI - Serotonin modulates the oxidative burst of human phagocytes via various
mechanisms.
AB - Serotonin, the major secretory product of activated platelets, has been widely
reported as regulating various constituents of the immune system and immune
functions. This modulation is complex and the data available are rather
controversial. The aim of the present study was to clarify the mechanisms of
serotonin action on human phagocytes. The effect of serotonin in a concentration
range of 10(-7) M-10(-3) M on various parameters of oxidative burst of phagocytes
was studied using various luminol-enhanced chemiluminescence methods. Serotonin
inhibited the chemiluminescence response of the cells in a dose dependent manner.
The effect of serotonin on the activity of myeloperoxidase was studied in further
experiments. In this case, serotonin again exerted a dose dependent inhibition of
the myeloperoxidase activity. The hypothesis that the inhibitory activity of
serotonin might be also receptor mediated was evaluated using various serotonin
receptor agonists and antagonists. None of the agonists studied exerted any
direct antioxidative properties. Only (+/-)-DOI hydrochloride, a selective 5
HTR(2) agonist, exerted similar effects on phagocytic cells as serotonin. It can
be concluded that serotonin could affect the oxidative burst of phagocytes.
Responsibility for its inhibitory effects lies with both the decrease in the
generation of reactive oxygen species (due to the inhibition of myeloperoxidase
activity) and with direct scavenging of reactive oxygen species. The effect of
serotonin on phagocytes is also partially mediated by 5-HTR(2) receptor.
PMID- 18041650
TI - Platelet activation in subjects with impaired glucose tolerance.
AB - Impaired glucose tolerance (IGT), a prediabetic state, is associated with an
increased risk of cardiovascular disease. Mean platelet volume (MPV), a
determinant of platelet activation, is a newly emerging risk factor for
atherothrombosis. This study was designed to answer the following questions: (i)
Do MPV levels change in IGT? (ii) Is there any relation between MPV levels and 2
h plasma glucose levels after 75 g oral glucose tolerance test. We selected 48
subjects with IGT, and 48 healthy subjects with normal glucose tolerance matched
for age, gender, and body mass index. MPV was significantly higher in IGT group
than in control group (9.06 +/- 1.5 fl vs. 8.28 +/- 0.8 fl, p = 0.002). Also, MPV
was positively correlated with 2 h plasma glucose concentration in IGT group (r =
0.39, p = 0.006). In conclusion, our results suggest that subjects with IGT tend
to have increased platelet activation. Increased platelet activity could
contribute to increasing the risk of cardiovascular disease in IGT.
PMID- 18041651
TI - Anti-platelet antibodies in a natural animal model of sulphonamide-associated
thrombocytopaenia.
AB - Delayed hypersensitivity (HS) reactions to sulphonamide antimicrobials occur in
both humans and dogs with a similar clinical presentation, and may include
thrombocytopaenia. Drug-dependent anti-platelet antibodies have been identified
in humans with sulphonamide-associated thrombocytopaenia. Our purpose was to
determine whether similar antibodies were present in dogs with sulphonamide
associated thrombocytopaenia. Flow cytometry was used to detect anti-platelet
antibodies in sera from 32 dogs with sulphonamide HS, eight dogs that tolerated
sulphonamide therapy without adverse reactions and nine healthy control dogs were
used as controls. Anti-platelet antibodies were found more frequently, with
significantly stronger fluorescence signals, in HS dogs (75%) compared to
'tolerant' dogs (38%), and in HS dogs with thrombocytopaenia (90%) compared to HS
dogs with normal platelet counts (46%). Binding to platelets was enhanced in the
presence of soluble sulphonamide in 42% of positive samples. Experiments with
canine Glanzmann's platelets, and competition assays with fibrinogen fragments or
anti-GP antibodies, did not support the hypothesis that these canine antibodies
target the fibrinogen receptor. In conclusion, anti-platelet antibodies were
identified in dogs with sulphonamide-associated thrombocytopaenia, which suggests
a similar immunopathogenesis for this reaction in dogs as seen in humans. Further
work in both species will determine whether these antibodies are pathogenic in
vitro.
PMID- 18041652
TI - Thrombocytopenia in patients with dengue virus infection in the Brazilian Amazon.
AB - Thrombocytopenia may be occasionally observed in dengue fever (DF) but is a
constant feature and one of the diagnostic criteria of dengue hemorrhagic fever
(DHF). Its clinical presentation and relevance is still poorly described in the
literature. Patients with fever and bleeding were referred to a tertiary care
center in Manaus, in the Western Brazilian Amazon during the outbreak of dengue
in 2001. They were hospitalized for clinical observation and supportive care.
Platelet counts were performed on admission and throughout the hospitalization.
Only patients with a serological confirmation or viral isolation of the dengue
virus were included. One hundred and seventy eight patients were enrolled in the
study (118 with DF and 60 with DHF). There was no association between the
presence of active bleeding and the degree of thrombocytopenia on admission (P =
0.302). A positive tourniquet test, hematemesis and ecchymoses were more frequent
in DHF patients (P < 0.05). Patients with DHF had lower platelet counts than
patients with DF (P < 0.001). There was a positive correlation between platelet
counts and plasma albumin levels (r = 0.217; P = 0.016) and a negative
correlation with ALT values (r = -0.3; P = 0.001). Clinical manifestations of
thrombocytopenia are not related only to the number of peripheral platelets in
dengue infection, but its recovery is associated with clinical improvement. The
level of platelets correlates with the vascular leakage of proteins and liver
damage.
PMID- 18041653
TI - Reactive oxygen species and human platelet GP IIb/IIIa receptor activation.
AB - This study concerned reactive oxygen species for their potential to activate
human platelet GP IIb/IIIa receptors. All cells produce reactive oxygen species -
radicals that can abstract electrons and hydrogen atoms from biological molecules
to alter cell function. In many cells, radicals contribute to cellular signaling.
In platelets, the predominant oxidant effect is platelet activation. Less is
known concerning oxidants and GP IIb/IIIa receptor activation. The first aim of
the current study was to confirm that although both H(2)O(2) and tert butyl
hydroperoxide both predispose platelets to aggregation; neither directly
activates GP IIb/IIIa receptors. The second aim was to demonstrate that even in
the presence of extracellular redox iron; H(2)O(2) does not activate GP IIb/IIIa
receptors. The third aim was to determine if extracellular superoxide anions
evoke GP IIb/IIIa activation. Finally, a role for intra-platelet iron in GP
IIb/IIIa activation was examined. Intracellular superoxide anions are produced in
excess during platelet activation and curiously, they are uniquely able to
increase intracellular free iron. This iron can, in a redox manner, generate
radicals and these iron dependent species modulate signaling systems, including
systems associated with adhesion receptor activation. In the current studies,
platelets in suspension were exposed to H(2)O(2) and to tert butyl hydroperoxide,
to H(2)O(2) plus ferrous or ferric chloride (+/- ascorbate to enhance iron redox
cycling) and to xanthine plus xanthine oxidase to generate extra-platelet
superoxide anions. Intra-platelet iron was increased with iron ionophore 8
hydroxyquinoline. During flow cytometry, intra-platelet oxidant state was
assessed with the redox sensitive fluorescent indicator H2DCF, while GP IIb/IIIa
activation was assessed using fluorescent antibody PAC-1. Results showed that
although all the oxidizing systems examined increased intra-platelet oxidant
state, GP IIb/IIIa receptors were not activated by H(2)O(2), by tert butyl
hydroperoxide, by H(2)O(2) plus iron (+/- ascorbate) or by xanthine plus xanthine
oxidase. In contrast, iron plus ionophore 8-hydroxyquinoline evoked GP IIb/IIIa
activation. Platelet positivity for PAC-1 increased from 2 +/- 0.2 to 28 +/- 7%
(P < 0.005). However this response, although vigorous, was less than 56 +/- 8% (P
< 0.001) evoked by thrombin 0.1 milliunit/ml. In conclusion, the results
indicated that oxidant systems external to platelets did not activate GP IIb/IIIa
receptors while increased intra-platelet iron was associated with appearance of
cytosolic oxidizing species and with GP IIb/IIIa receptor activation.
PMID- 18041654
TI - Platelet pathology in carriers of the X-linked GATA-1 macrothrombocytopenia.
AB - Previous investigations from our laboratory have characterized the
ultrastructural and cytochemical pathology of platelets in male members of a
family with X-linked GATA-1 G208S macrothrombocytoenia. A large proportion of
their circulating platelets were hypogranular macrothrombocytes, resembling cells
from patients with the Gray Platelet Syndrome. However, most of the GATA-1
macrothrombocytes contained some alpha granules, and a small number had as many
as are present in normal platelets. GATA-1 macrothrombocytes also contained
tubular inclusions formed from elements of the dense tubular system wrapped
around each other like scrolls. Many macrothrombocytes contained flat tubular
membrane sheets connected to channels of the open canalicular system, platelets
in platelets and platelets attached to platelets forming very large
macrothrombocytes. The present study has examined one obligate and three
potential female carriers in this family. Thin sections of their platelets
examined in the electron microscope revealed features consistent with the
pathology observed in male family members. Most of their platelets were normal
sized, discoid cells containing the usual complement of alpha and delta storage
organelles and channels of the dense tubular system and OCS. However, a
significant number of giant platelets containing the usual frequency of alpha and
delta granules and hypogranular and agranular giant platelets were observed. The
frequency of the macrothrombocytes varied in each of the four women studied, but
were present in all. The ability of their platelets to bind multimers of vWF, in
contrast to male family members, did not differ from normal controls. Near normal
as well as normal platelet counts and the ability of their platelets to bind vWF
multimers may protect them from the serious bleeding problems of males with the X
linked GATA-1 G208S mutation. Our findings indicate that obligate female carriers
of the GATA-1 gene can be detected by examination of their platelets in the
electron microscope and distinguished from the pathology of other giant platelet
disorders.
PMID- 18041655
TI - Children and adolescents presenting in general practice: potential for
identification and intervention against overweight.
PMID- 18041657
TI - Factors influencing GPs' choice between drugs in a therapeutic drug group. A
qualitative study.
AB - OBJECTIVE: To explore how GPs choose between drugs in a therapeutic drug group.
DESIGN: A qualitative study based on semi-structured ethnographic interviews.
SETTING AND SUBJECTS: General practitioners from the counties of both Funen and
West Zealand in Denmark. A total of 15 general practitioners (GPs) were selected
with reference to variation in organizational structure, age, and gender. MAIN
OUTCOME MEASURES: GPs' description of drug choice in relation to specific patient
encounters involving a prescription. RESULTS: All informants appeared to consider
drug price important as it was a recurring theme during all interviews. External
factors outside the GP's control such as governmental regulation on prescribing
and the pharmaceutical industry influenced most GPs. Internal factors related to
the actual consultation included characteristics of the GP and the patient, drug
characteristics, and repeat prescriptions. These factors interact in a non-linear
and unpredictable way similar to complex adaptive systems. CONCLUSION: GPs
balance both internal and external factors when choosing between analogues. Drug
choice is a regulated process in the realm of complex prescribing behaviour with
drug costs as a major factor.
PMID- 18041658
TI - The lesser evil? Initiating a benzodiazepine prescription in general practice: a
qualitative study on GPs' perspectives.
AB - OBJECTIVE: Chronic benzodiazepine (BZD) use is widespread and linked with adverse
effects. There is consensus concerning the importance of initiating BZD as a
crucial moment. Nevertheless specific research in this field is lacking. This
paper addresses the views of GPs on why they start prescribing BZDs to first-time
users. DESIGN: Qualitative study with five focus groups analysed using a
systematic content analysis. SETTING: Regions of Ghent and Brussels in Belgium.
SUBJECTS: A total of 35 general practitioners. MAIN OUTCOME MEASURE: The GPs'
perspective on their initiating of BZD prescribing. RESULTS: GPs reported that
they are cautious in initiating BZD usage. At the same time, GPs feel overwhelmed
by the psychosocial problems of their patients. They show empathy by prescribing.
They feel in certain situations there are no other solutions and they experience
BZDs as the lesser evil. They admit to resorting to BZDs because of time
restraint and lack of alternatives. GPs do not perceive the addictive nature of
BZD consumption as a problem with first-time users. GPs do not specifically
mention patients' demand as an element for starting. CONCLUSION: The main concern
of GPs is to help the patient. GPs should be aware of the addictive nature of BZD
even in low doses and a non-pharmacological approach should be seen as the best
first approach. If GPs decide to prescribe a BZD they should make plain to the
patient that the medication is only a "temporary" solution with clear agreements
with regard to medication withdrawal.
PMID- 18041659
TI - Interdisciplinary cooperation of GPs in palliative care at home: a nationwide
survey in The Netherlands.
AB - OBJECTIVE: To investigate the occurrence and predictors of interdisciplinary
cooperation of GPs with other caregivers in palliative care at home. DESIGN: In a
prospective study among 96 general practices, the GPs involved identified all
dying patients during the study period of 12 months. The GPs received an
additional post-mortem questionnaire for each patient who died during the study
period, and registered the healthcare providers with whom they cooperated.
Multivariable logistic regression analysis was used to identify the predictors of
GP cooperation with other caregivers. SETTING: Second Dutch National Survey in
General Practice. SUBJECTS: A total of 743 patients who received palliative care
according to their GP. MAIN OUTCOME MEASURES: Interdisciplinary cooperation
between GP and other healthcare providers. RESULTS: During the study period, 2194
patients died. GPs returned 1771 (73%) of the questionnaires. According to the
GPs, 743 (46%) of their patients received palliative care. In 98% of these
palliative care patients, the GP cooperated with at least one other caregiver,
with a mean number of four. Cooperation with informal caregivers (83%) was most
prevalent, followed by cooperation with other GPs (71%) and district nurses
(63%). The best predictors of cooperation between GPs and other caregivers were
the patient's age, the underlying disease, and the importance of psychosocial
care. CONCLUSION: In palliative care patients, GP interdisciplinary cooperation
with other caregivers is highly prevalent, especially with informal caregivers
and other primary care collaborators. Cooperation is most prevalent in younger
patients, patients with cancer as underlying disease, and if psychosocial care is
important.
PMID- 18041660
TI - The fibromyalgia diagnosis: hardly helpful for the patients? A qualitative focus
group study.
AB - OBJECTIVE: To explore experiences and consequences of the process of being
diagnosed with fibromyalgia. DESIGN: Qualitative focus-group study. SETTING: Two
local self-help groups. SUBJECTS: Eleven women diagnosed with fibromyalgia. MAIN
OUTCOME MEASURES: Descriptions of experiences and consequences of the process of
being diagnosed with fibromyalgia. RESULTS: Many participants had been suffering
for years, and initial response of relief was common. For some, the diagnosis
legitimized the symptoms as a disease, for others it felt better to suffer from
fibromyalgia rather than more serious conditions. Nevertheless sadness and
despair emerged when they discovered limitations in treatment options, respect,
and understanding. Some patients keep the diagnosis to themselves since people
seem to pay no attention to the name, or blatantly regard them as too cheerful or
healthy looking. The initial blessing of the fibromyalgia diagnosis seems to be
limited in the long run. The process of adapting to this diagnosis can be lonely
and strenuous. CONCLUSION: A diagnosis may be significant when it provides the
road to relief or legitimizes the patient's problems. The social and medical
meaning of the fibromyalgia diagnosis appears to be more complex. Our findings
propose that the diagnosis was hardly helpful for these patients.
PMID- 18041661
TI - Clinical symptoms of cerebellar disease and their interpretation.
PMID- 18041663
TI - Genetic profiling and tailored therapy in asthma: are we there yet?
AB - Asthma is characterized by reversible bronchial hyper-responsiveness and airway
inflammation, and encompasses a wide variety of patients with different clinical
phenotypes that display variable responses to therapy. The definition of genomic
variation presented in the Human Genome Project has facilitated the development
of genetic-guided therapy in various diseases, including asthma. Tailored therapy
is a reality in many types of malignancies where specific gene mutations or
molecular profiles are identified and used to make critical therapeutic
decisions. Despite the identification of beta-adrenergic receptor polymorphisms
by Liggett and colleagues during the 1990s, the pharmacogenetics of asthma is
still in its infancy. There have been great advances in asthma pharmacogenetics
and pharmacotherapy with the completion of several large trials highlighting the
effects of genotype on response to asthma therapy. This review focuses on
research articles that serve to emphasize the potential role of using genotyping
as a tool to develop individualized patient treatment regimens for asthma, thus
improving outcomes and limiting adverse effects of certain therapies.
PMID- 18041664
TI - Smoking behavior and related cancers: the role of CYP2A6 polymorphisms.
AB - Smoking exerts complex central and peripheral nervous system, behavioral,
cardiovascular, and endocrine effects in humans and is a primary risk factor for
various cancers. Nicotine, a major constituent of tobacco, is the compound that
is responsible for the development and maintenance of tobacco dependence. The
absorbed nicotine is rapidly and extensively metabolized to inactive cotinine by
CYP2A6 in human livers, which has a major impact on nicotine clearance. Progress
has been made in understanding the relationship between the inter-individual
variability in nicotine metabolism and genetic polymorphisms of CYP2A6. Recent
findings have increased our knowledge concerning ethnic differences in the allele
frequencies of the CYP2A6 variants, nicotine metabolism, and cancer risk. In this
review, the potential associations between the CYP2A6 polymorphisms and smoking
behavior or the risk of cancer are also discussed.
PMID- 18041665
TI - The genetic determinants of atorvastatin response.
AB - The statins or HMG-CoA reductase inhibitors are considered one of the most
effective classes of drugs for reducing LDL and total cholesterol. Although,
statin treatment has beneficial effects in the prevention of cardiovascular
disease, considerable inter-individual variation exists in response to statin
therapy, as well as in the incidence of adverse effects. Genetic factors
contribute to patients' inter-variability in the lipid-lowering response to
statins,drug-interactions and the occurrence of muscle damage havebeen reported.
However, studies investigating aspects of pharmacokinetics, pharmacodynamics and
disease-related genes have found no association that could impact on the
decisions to treat with statins. Improved strategies that assess the simultaneous
influence of multiple relevant susceptibility factors on disease risk (eg, diet,
lifestyle and gene effects) are required. Data from pharmacogenetics studies
investigating the association between gene variation and drug response and/or
susceptibility to adverse effects are promising and are expected to impact on the
clinical treatment of hypercholesterolemia.
PMID- 18041666
TI - Electrotransfer of therapeutic molecules into tissues.
AB - Electroporation is a physical method for the delivery of various molecules into
cells by application of controlled external electrical fields that transiently
increase permeability of the cell membrane. This technique is now widely used as
an alternative to viral gene delivery for transfection of therapeutic genes into
different tissues. Gene electrotransfer holds great potential for clinical
application due to the ease of preparation of large quantities of endotoxin-free
plasmid DNA, the control and reproducibility of this method, and the development
of electric pulse generators approved for clinical use. Electroporation has been
utilized mainly for DNA vaccination against infectious diseases and cancer. It
has also been used for the delivery of other therapeutic genes, mainly cytokines,
used in the treatment of various diseases, including cancer, arthritis, multiple
sclerosis and inflammation, following organ transplantation. Electroporation as a
delivery system for chemotherapeutic drugs, termed antitumor electrochemotherapy,
is already at the clinical stage and is being used routinely in several oncology
centers in Europe. In addition, the first clinical trials for electrogene therapy
of cancer are ongoing. Therefore, it can be presumed that electrotransfer of
therapeutic genes into tissues will soon form a validated alternative to viral
delivery systems in a clinical setting.
PMID- 18041667
TI - Cancer biomarkers: current issues and future directions.
AB - Cancer biomarkers and characteristics of an ideal biomarker for cancer are
discussed in this review, as well as technologies for their detection. The focus
of this article is on the use of biomarkers for anticancer drug development and
clinical applications, including determination of prognosis as well as monitoring
of response to therapy. Types of biomarkers include methylated DNA sequences,
mitochondrial DNA and microRNA. Within clinical research, oncology is expected to
have the largest gains from biomarkers over the next five to ten years.
Development of personalized medicine for cancer is closely linked to biomarkers,
which may serve as the basis for diagnosis, drug discovery and monitoring of
diseases. A major challenge in development of cancer biomarkers will be the
integration of proteomics with genomics and metabolomics data and their
functional interpretation in conjunction with clinical data and epidemiology.
PMID- 18041668
TI - NMR-based metabolomics: translational application and treatment of cancer.
AB - Cancer cells possess a highly unique metabolic phenotype which is characterized
by high glucose uptake, increased glycolytic activity, decreased mitochondrial
activity, low bioenergetic expenditure and increased phospholipid turnover. In
addition to these general metabolic markers of malignancy, tissue-specific
biochemistry has identified specific endogenous metabolites found in particular
tumors types. These include N-acetyl aspartate in neuroblastoma, myo-inositol in
gliomas and citrate in prostate cancer. Metabolic profiles can be readily
assessed to monitor responsiveness and the development of resistance to novel
targeted drugs, for example, where a cytostatic effect rather than cytotoxicity
occurs. Using modern analytical technologies in combination with statistical
approaches, a methodology termed 'metabolomics' has been developed. Metabolomics
has been used to generate a global metabolic profile on patient samples, which
can then be used to determine treatment response. This review describes existing
NMR-based approaches for global metabolic profiling in tissue biopsies and body
fluids and the use of non-invasive radiological techniques to assess metabolic
biomarkers. In addition, studies on metabolic responses to novel targeted drugs,
including tyrosine kinase inhibitors and metabolic modulators, are evaluated.
PMID- 18041669
TI - An update on lipidomics: progress and application in biomarker and drug
development.
AB - Substantial progress has been made in the application of lipidomics in biomarker
discovery and drug development, as well as in a variety of other areas. In
particular, the number of published studies reporting the quantitation and
analysis of low-abundance lipid molecular species has greatly increased. It can
be anticipated that many of the biochemical mechanisms underlying metabolic
syndromes and other lipid-related diseases will be identified through these
methods. Importantly, lipid markers diagnostic of the onset, progression, or
severity of these diseases as well as evaluation of drug efficacy and safety will
be discovered as the ability to detect low-abundance lipid molecular species
improves. An update of technical developments within the field of lipidomics from
2006 to the present is provided, along with a brief discussion of its
applications in biomarker and drug development.
PMID- 18041670
TI - Ranibizumab, a mAb against VEGF-A for the potential treatment of age-related
macular degeneration and other ocular complications.
AB - Genentech Inc and Novartis Ophthalmics AG have developed and launched the
humanized anti-VEGF antibody fragment ranibizumab, a 48-kDa humanized antibody
fragment that inhibits all forms of biologically active VEGF-A, for the treatment
of age-related macular degeneration by intravitreal administration. Phase I to
III clinical trials to confirm the role of ranibizumab in the treatment of
choroidal neovascularization (phase II and III), diabetic macular edema (phase II
and III), retinal venous occlusion (phase II and III), telangiectasia (phase I
and II), central serous chorioretinopathy (phase I), polypoidal choroidal
vasculopathy (phase I/II), conjunctival neoplasms (phase I) and von Hippel-Lindau
syndrome (phase I) are ongoing.
PMID- 18041671
TI - MM-093, a recombinant human alpha-fetoprotein for the potential treatment of
rheumatoid arthritis and other autoimmune diseases.
AB - Merrimack Pharmaceuticals Inc (previously Atlantic Biopharmaceuticals) is
developing MM-093 (formerly ABI-001), an injectable formulation of a recombinant
human alpha-fetoprotein, for the potential treatment of myasthenia gravis,
multiple sclerosis, rheumatoid arthritis, autoimmune uveitis and psoriasis. MM
093 is currently undergoing phase II clinical trials for rheumatoid arthritis,
psoriasis and autoimmune uveitis.
PMID- 18041673
TI - AACR-NCI-EORTC--19th symposium. Molecular Targets and Cancer Therapeutics--Part
1.
PMID- 18041674
TI - AACR-NCI-EORTC--19th symposium. Molecular Targets and Cancer Therapeutics--Part
2.
PMID- 18041675
TI - AACR-NCI-EORTC--19th symposium. Molecular Targets and Cancer Therapeutics--Part
3.
PMID- 18041676
TI - AACR-NCI-EORTC--19th symposium. Molecular Targets and Cancer Therapeutics--Part
4.
PMID- 18041677
TI - Discovery on Target 2007--CHI's Fifth Annual Conference. RNAi for Drug Discovery
and Therapeutics.
PMID- 18041678
TI - Discovery on Target 2007--CHI's Fifth Annual Conference. Ion channels.
PMID- 18041679
TI - BioPartnering Europe--15th Annual Conference. Highlights from open house and
emerging company presentations--Part 1.
PMID- 18041680
TI - BioPartnering Europe--15th Annual Conference. Highlights from open house and
emerging company presentations--Part 2.
PMID- 18041681
TI - Perspectives in interpandemic influenza.
PMID- 18041682
TI - World Institute of Pain (WIP)--Fourth World Congress.
PMID- 18041683
TI - Virtual Discovery Europe--Select Biosciences Conference. Computer-aided drug
design and screening.
PMID- 18041684
TI - International Clinical Trials Symposium 2007: improving healthcare in the new
millennium.
PMID- 18041686
TI - The impact of generic antiviral drugs.
AB - The HIV/AIDS epidemic has spread worldwide, with approximately 40 million people
who were infected by the end of 2006. Antiretroviral therapy (ART) has proven to
be lifesaving, and can convert AIDS into a chronic but manageable disease. Until
a few years ago, the annual cost of treating one patient with HIV/AIDS was
between US $10,000 and $12,000--beyond the affordability of most individuals. In
2001, the offer of a triple generic therapy at a cost of less than US $1 per day
from the Indian company Cipla stunned the world. Although there were some initial
challenges, this approach to HIV/AIDS treatment galvanized a reaction that had
never occurred previously. Governments, the WHO, NGOs and other bodies quickly
attempted to make ART available in the developing world. By 2006, almost 1.3
million patients were receiving ART (comprising mostly generic drugs), a 5-fold
increase compared with five years earlier; however, significant challenges in ART
availability remain. With international patent laws now being applicable in India
(and in most developing countries), generics companies may no longer be able to
provide HIV/AIDS-afflicted countries with new third-generation drugs at low
prices. Innovative solutions will need to be obtained urgently to address this
issue.
PMID- 18041685
TI - Targeting parasite-mediated host hemoglobin degradation in malaria.
AB - Malaria is a major infectious disease in the tropics, with more than 300 million
clinical cases reported annually. A vaccine for malaria does not exist, making
the use of drugs for disease prophylaxis and treatment the only option available.
The malaria parasite Plasmodium resides primarily within the host erythrocyte,
where it exploits host cell components to meet its needs for life-cycle
development. One of the most predominant and parasite-specific processes that
occurs during this development is a rapid and organized degradation of the
hemoglobin content of infected cells. Given that this parasite-mediated
catabolization is critical for the growth of Plasmodium within the host cell, the
degradation of hemoglobin has become one of the most well-established targets for
antimalarial drug discovery.
PMID- 18041687
TI - Pasireotide--a somatostatin analog for the potential treatment of acromegaly,
neuroendocrine tumors and Cushing's disease.
AB - Pasireotide (SOM-230) is a small somatostatin (SST) analog that is being
developed by Novartis Pharma AG for the potential treatment of acromegaly,
Cushing's disease and neuroendocrine tumors; the compound is currently in phase
III clinical trials for Cushing's disease. Pasireotide exhibits high binding
affinity to four of the five human (h)SST receptor subtypes, with IC50 values for
hSST5 > hSST2 > hSST3 > hSST1; the compound displays no affinity for hSST4. The
affinity profile of pasireotide resembles the profile of endogenous SSTs--a
feature that is favorable given that different tumors exhibit differing SST
receptor expression profiles. Pasireotide also exhibits a longer half-life than
the clinically available SST analogs octreotide or lanreotide. Thus, this
compound may be a better therapeutic agent than other analogs. In phase II
clinical trials, pasireotide inhibited growth hormone (GH) secretion from GH
secreting pituitary tumors, controlled symptoms associated with metastatic
carcinoid tumors, and inhibited adrenocorticotropic hormone secretion in
Cushing's disease. However, a major advantage for pasireotide compared with
octreotide was not demonstrated. Commonly encountered side effects for the
compound included mild to moderate gastrointestinal events (diarrhea, abdominal
discomfort, nausea and vomiting). The efficacy of pasireotide and any potential
advantage over current therapies will need to be tested or validated in larger
phase III clinical trials.
PMID- 18041691
TI - [Passive smoking--health consequences and effects of exposure prevention].
AB - Passive smoking is the third leading but preventable cause of death worldwide. It
is associated with an elevated risk of developing acute respiratory diseases,
obstructive lung disorders, lung cancer, and cardiovascular disease. Whereas the
dose-response relationship between second-hand smoke exposure and respiratory
diseases is likely to be linear, a non-linear dose-response curve has been
observed with respect to acute cardiovascular events. This explains the
disproportionately high risk of myocardial infarction among passive smokers as
compared to unexposed individuals. Over the last ten years, exposure to second
hand smoke has declined in Germany, but it is still substantial. With passive
smoking in the home being a difficult target for preventive measures, public
smoking bans have recently been shown to greatly reduce second-hand smoke-related
morbidity and mortality. In addition, such measures are usually well tolerated
and highly relevant regarding legal aspects related to workplace issues. This
article summarises the current evidence on the health consequences of passive
smoking and on the favourable effects of public smoking bans.
PMID- 18041693
TI - [Which integrative and disorder specific treatment approaches are considered in
research papers? An analysis on psychotherapeutic treatments in German journals].
AB - In the current discussion on optimizing psychotherapeutic approaches, two
important developments have to be considered: a tendency towards integrative and
a tendency towards disorder specific approaches. For the years 2005 and 2006, an
analysis of three German journals (covering the fields of psychosomatics,
psychiatry and clinical psychology) was conducted on the question whether these
two developments can be identified in current empirical and conceptual
publications. Contrary to the expectations, there are only very few papers
dealing with integrative approaches. As expected, there is a major emphasis on
psychodynamic and cognitive behavioural approaches; other approaches are only
marginally considered. A disorder specific approach can be identified not only
for cognitive behavioural, but also for psychodynamic papers.
PMID- 18041694
TI - [Statistics for statistics?--Thoughts about psychological tools].
AB - Statistical methods take a prominent place among psychologists' educational
programs. Being known as difficult to understand and heavy to learn, students
fear of these contents. Those, who do not aspire after a research carrier at the
university, will forget the drilled contents fast. Furthermore, because it does
not apply for the work with patients and other target groups at a first glance,
the methodological education as a whole was often questioned. For many
psychological practitioners the statistical education makes only sense by
enforcing respect against other professions, namely physicians. For the own
business, statistics is rarely taken seriously as a professional tool. The reason
seems to be clear: Statistics treats numbers, while psychotherapy treats
subjects. So, does statistics ends in itself? With this article, we try to answer
the question, if and how statistical methods were represented within the
psychotherapeutical and psychological research. Therefore, we analyzed 46
Originals of a complete volume of the journal Psychotherapy, Psychosomatics,
Psychological Medicine (PPmP). RESULT: Within the volume, 28 different analyse
methods were applied, from which 89 per cent were directly based upon statistics.
To be able to write and critically read Originals as a backbone of research,
presumes a high degree of statistical education. To ignore statistics means to
ignore research and at least to reveal the own professional work to
arbitrariness.
PMID- 18041695
TI - [Balancing change and mindful acceptance: the third wave of behavior therapy].
PMID- 18041696
TI - Establishment of the European College of Veterinary Clinical Pathology (ECVCP)
and the current status of veterinary clinical pathology in Europe.
AB - After 5 years of development, the European College of Veterinary Clinical
Pathology (ECVCP) was formally recognized and approved on July 4, 2007 by the
European Board of Veterinary Specialisation (EBVS), the European regulatory body
that oversees specialization in veterinary medicine and which has approved 23
colleges. The objectives, committees, basis for membership, constitution, bylaws,
information brochure and certifying examination of the ECVCP have remained
unchanged during this time except as directed by EBVS. The ECVCP declared full
functionality based on the following criteria: 1) a critical mass of 65 members:
15 original diplomates approved by the EBVS to establish the ECVCP, 37 de facto
diplomates, 7 diplomates certified by examination, and 5 elected honorary
members; 2) the development and certification of training programs, laboratories,
and qualified supervisors for residents; currently there are 18 resident training
programs in Europe; 3) administration of 3 annual board-certifying examinations
thus far, with an overall pass rate of 70%; 4) European consensus criteria for
assessing the continuing education of specialists every 5 years; 5) organization
of 8 annual scientific congresses and a joint journal (with the American Society
for Veterinary Clinical Pathology) for communication of scientific research and
information; the College also maintains a website, a joint listserv, and a
newsletter; 6) collaboration in training and continuing education with relevant
colleges in medicine and pathology; 7) development and strict adherence to a
constitution and bylaws compliant with the EBVS; and 8) demonstration of
compelling rationale, supporting data, and the support of members and other
colleges for independence as a specialty college. Formal EBVS recognition of
ECVCP as the regulatory body for the science and practice of veterinary clinical
pathology in Europe will facilitate growth and development of the discipline and
compliance of academic, commercial diagnostic, and industry laboratories in
veterinary clinical pathology. Future needs are in developing sponsorship for
resident positions, increasing employment opportunities, increasing compliance
with laboratory, training, and continuing education standards, and advancing
relevant science and technology.
PMID- 18041697
TI - Outbreak of canine monocytic ehrlichiosis in Saudi Arabia.
AB - BACKGROUND: Canine monocytic ehrlichiosis (CME) is a widespread tickborne
infection of canids caused by Ehrlichia canis, a gram-negative obligatory
intracellular bacteria belonging to the family Anaplasmataceae. CME is reported
to have worldwide distribution, but its presence in a region requires the
presence of the vector, the brown dog tick Rhipicephalus sanguineus. OBJECTIVE:
This purpose of this report was to describe an outbreak of CME in a colony of
dogs resident in the eastern region of Saudi Arabia. METHODS: History, presenting
clinical signs, and the results of a CBC, biochemical panel, and serology (using
a commercial test for E canis antibodies) were evaluated in 9 male Labrador
Retrievers between October and December 2006. RESULTS: The majority of dogs
presented with severe lethargy (7/9) and acute anorexia (5/9), and had fever
(7/9) and generalized lymphadenopathy (7/9). The most common laboratory
abnormalities were anemia (8/9), leukopenia (7/9), and hypoalbuminemia (6/9).
Thrombocytopenia was found in only 2 dogs, 1 of which had increased bleeding
tendency. Ehrlichia morulae were identified in blood films from 4/9 dogs and
serologic test results were positive in 7/9 dogs. Confirmation of Ehrlichia sp
infection was obtained in 1 dog by using a genus-specific real-time PCR assay.
Four dogs had tick infestation; the ticks on 1 dog were identified as R
sanguineus. All of the dogs had a rapid clinical response to doxycycline hyclate.
CONCLUSIONS: This report, to our knowledge, is the first to describe the presence
of a pathogenic Ehrlichia organism affecting dogs in Saudi Arabia. Additional
molecular studies are necessary to confirm E canis infection, and to identify the
strain of the organism.
PMID- 18041698
TI - Teaching veterinary clinical pathology to undergraduate students: an integrated
European project.
AB - BACKGROUND: Veterinary clinical pathology is a relatively new and emerging
discipline in Europe that has gained momentum with the recent establishment of a
specialty college. In this situation, veterinary faculties may face challenges in
understanding and defining what clinical pathology is and how it can best be
integrated into existing curricula. In addition, many schools in Europe may not
yet have available a critical mass of suitably qualified faculty capable of
teaching in all areas of clinical pathology. OBJECTIVE: The main purpose of this
report is to describe the goals, procedures adopted, teaching material produced,
and proposed future activities of a major European initiative designed to develop
a veterinary clinical pathology curriculum. METHODS: Four working subgroups were
formed to establish a list of course objectives and topics and prepare a series
of lectures. These contents were reviewed and discussed several times at a series
of general meetings. RESULTS: An undergraduate course on veterinary clinical
pathology was designed with course objectives, a list of topics and a CD-ROM
consisting of 24 lectures. CONCLUSIONS: The results of this project could be
useful in the establishment or improvement of training programs in veterinary
clinical pathology at the undergraduate level in Europe and in other places
around the world. The provision of teaching resources for faculty could help to
instill in veterinary students a strong understanding of the discipline and
promote development of advanced training programs and career opportunities in
clinical pathology in Europe.
PMID- 18041699
TI - The effect of lead poisoning on hematologic and biochemical values in trumpeter
swans and Canada geese.
AB - BACKGROUND: Lead is a persistent contaminant in the environment, and waterfowl
are susceptible to lead toxicity from ingestion of lead pellets and fishing
weights. Lead affects numerous physiologic processes through inhibition of enzyme
activity and protein function, but its effects on commonly assessed avian blood
values are incompletely understood. OBJECTIVES: Our aim was to evaluate
hematologic and biochemical changes associated with blood lead concentrations in
trumpeter swans and Canada geese. METHODS: Data for CBCs, plasma biochemical
profiles (total protein, albumin, glucose, cholesterol, total bilirubin, calcium,
phosphorus, gamma-glutamyltransferase [GGT], aspartate aminotransferase, lactate
dehydrogenase, glutamate dehydrogenase, creatine kinase, amylase, and lipase),
and whole blood lead concentrations were retrospectively analyzed for 69
trumpeter swans and 52 Canada geese. Laboratory data obtained prospectively from
an additional 20 trumpeter swans also were included. RBC morphology was
semiquantitated in blood smears from 70 of the birds. Data were analyzed
initially by ANOVA and covariance. A statistical model then was constructed to
determine the relationship between each parameter and lead concentration.
RESULTS: In both avian species, PCV, hemoglobin concentration, and MCHC decreased
significantly (P < .05) with increasing blood lead concentration. Uric acid
concentration and GGT activity were increased in trumpeter swans and phosphorus
concentration was decreased in Canada geese in association with high blood lead
concentration (P < .05). CONCLUSIONS: Lead toxicosis induced significant changes
in the values of commonly measured hematologic parameters in waterfowl. These
changes may be useful indicators of severe lead intoxication during routine
laboratory assessment. Changes in clinical chemistry values, although
statistically significant, were too inconsistent to serve as indicators of lead
toxicosis.
PMID- 18041700
TI - Hematologic characteristics of captive western barred bandicoots (Perameles
bougainville) from Western Australia.
AB - BACKGROUND: The western barred bandicoot (Perameles bougainville) is an
Australian marsupial species now considered endangered as a consequence of
habitat destruction and predation. A recently discovered papillomatosis syndrome
is hindering efforts to repopulate this species. Hematology reference intervals
have been lacking for P bougainville, preventing optimal interpretation of
hematology results from wart-affected and clinically normal animals. OBJECTIVES:
The purpose of this study was to establish hematology reference values and
describe morphologic characteristics of blood cells of healthy western barred
bandicoots. METHODS: Fifty-nine whole blood samples were collected by jugular
venipuncture into EDTA from 47 clinically healthy captive western barred
bandicoots at 3 locations on the Western Australian mainland. A CBC was performed
using an ADVIA-120 analyzer. Data were compared on the basis of geographic
location, sex, age, and lactation status, and reference intervals were
calculated. Blood cell morphology was evaluated using light microscopy, and
transmission and scanning electron microscopy. RESULTS: Significant differences
were found based on sex (RBC indices, fibrinogen), age (% polychromatophilic
RBCs), and geographic location (RBC, neutrophil, and lymphocyte counts, MCHC, %
polychromatophilic RBCs, fibrinogen). Combined reference intervals were
calculated for hemoglobin concentration (122-165 g/L), HCT (0.36-0.49 L/L), and
total WBC (2.9-14.9 x 10(9)/L), monocyte (0-0.6 x 10(9)/L), eosinophil (0-0.9 x
10(9)/L), and total plasma protein (47-63 g/L) concentrations. Leukocyte,
erythrocyte, and platelet morphology were similar to those of other marsupial
peramelid species. Nuclei in neutrophils, monocytes, and eosinophils occasionally
had an annular configuration. CONCLUSIONS: Reference intervals and blood cell
morphology obtained in this study will be useful for the evaluation of laboratory
data from ill animals and assist with population health monitoring of western
barred bandicoots.
PMID- 18041701
TI - The relationship between blood indicators of phosphorus status in cattle.
AB - BACKGROUND: Determining accurate body phosphorus status and requirements is
important in ruminants because of environmental concerns of surface water
pollution by overzealous phosphorus consumption in developed countries, and
extensive regions of phosphorus deficiency in developing ones. Current
indicators, such as concentrations of bone, plasma, fecal, and rumen inorganic
phosphorus (Pi), fall short of this goal. In addition, plasma Pi (PPi)
concentrations may be falsely increased by hemolysis during storage of blood
samples. OBJECTIVES: The goals of this study were to: 1) compare whole blood Pi
(WBPi), red blood cell Pi (RBCPi), and PPi concentrations in different groups of
dairy calves and cows; 2) evaluate relationships among the concentrations of
these indicators of phosphorus status; and 3) investigate the possibility of
predicting RBCPi and PPi concentrations according to WBPi concentration. METHODS:
Heparinized whole blood samples were obtained from 53 suckling calves, 29 weaned
calves, 14 heifers, 20 dry pregnant cows, and 19 high-producing lactating dairy
cows. Pi concentration was measured in plasma and in lysates of whole blood and
packed RBCs using an automated phosphomolybdate method. PCV was determined using
the microhematocrit method. Results were analyzed using 1-way ANOVA and
regression analysis. RESULTS: Heifers and dry cows had significantly higher RBCPi
concentrations, and weaned calves and dry cows had significantly higher WBPi
concentrations, compared with other groups. In all groups, WBPi was significantly
associated with other variables, including PCV. Regression models for each group
were calculated to predict RBCPi and PPi concentrations according to WBPi
concentration. CONCLUSION: Results of different blood phosphorus indicators vary
according to age and stage of lactation. The models that predict PPi and RBCPi
according to WBPi may have some value in estimating total body phosphorus status
in cattle.
PMID- 18041702
TI - Changes in gas composition and acid-base values of venous blood samples stored
under different conditions in 4 domestic species.
AB - BACKGROUND: The effect of storage temperature and time on blood gas and acid-base
values has been investigated intensively in cattle and dogs; however, data are
lacking in other species. OBJECTIVE: The aim of our study was to evaluate changes
in gas composition and acid-base values in venous blood stored at different
temperatures and for different times in 4 domestic species in Italy. METHODS:
Blood samples from Comisana sheep (n = 10), Maltese goats (n = 10), Ragusana
donkeys (n = 10), and Thoroughbred horses (n = 10) were analyzed after storage at
23 degrees C (room temperature) for 15 minutes (group I), 23 degrees C for 1 hour
(group II), 37 degrees C for 8 hours (group III), and 4 degrees C for 24 hours
(group IV). Results were analyzed using a 1-way repeated measures ANOVA. RESULTS:
In all species no statistically significant differences in pH values were present
in samples stored at 4 degrees C for 24 hours. This also was true for PCO2 in all
species except the horse. Except for HCO3- concentration in the horse,
significant changes in PO2, HCO3- concentration, base excess, and the standard
bicarbonate concentration were observed for all species in samples stored at 4
degrees C. In samples stored for only 1 hour at room temperature, significant
changes in most analytes were detected. CONCLUSIONS: The results of this study
underline the need for rapid assessment of acid-base samples, because any delay,
even for 1 hour, may affect the results.
PMID- 18041703
TI - Blood coagulation times in the European brown hare (Lepus europaeus).
AB - BACKGROUND: Many causes of mortality in the European brown hare, such as
bacterial and viral infections, anticoagulant poisoning, and trauma, may result
in hemorrhage. There are, however, no reference values concerning blood clotting
in this species. OBJECTIVES: The aim of this study was to determine reference
values for blood coagulation times and related parameters in healthy European
brown hares. METHODS: Blood samples from 30 clinically healthy adult hares (15
males and 15 females) were obtained. Hares were physically restrained for blood
collection from the cephalic vein into tubes containing citrate and EDTA.
RESULTS: Mean +/- SD were obtained for thrombin time (TT) (13.97 +/- 1.37
seconds), prothrombin time (PT) (13.32 +/- 2.15 seconds), activated partial
thromboplastin time (APTT) (16.73 +/- 1.86 seconds), fibrinogen concentration
(2.98 +/- 1.06 g/L), and platelet count (355.28 +/- 128.73 x 10(9)/L).
CONCLUSIONS: Reference values for blood coagulation times and other parameters
associated with blood clotting will be useful in the laboratory evaluation of
hemorrhage in the European brown hare.
PMID- 18041704
TI - Cytologic appearance of a keloidal fibrosarcoma in a dog.
AB - A 5-year-old neutered male, mixed-breed dog was presented with a single 4-mm,
nodular, firm, haired subcutaneous mass on the left flank that had been present
for approximately 2 weeks. Cytologic preparations of the mass revealed many
spindle cells, few mast cells, rare eosinophils, rare macrophages, abundant
hyalinized collagen, and moderate numbers of erythrocytes. The spindle cells were
oval to fusiform, with oval nuclei, finely stippled to lacy chromatin, 1-5
variably sized prominent nucleoli, and moderate to abundant cytoplasm with
indistinct cell borders, wispy cytoplasmic extensions, and occasionally, fine
magenta granulation. The cell population exhibited moderate anisocytosis,
moderate anisokaryosis, and rare binucleation. The eosinophilic material occurred
both in large angular aggregates with blunt ends and in amorphous aggregates with
fine wispy projections. Histologic findings were consistent with a keloidal
fibrosarcoma. To the authors' knowledge, this report is the first to describe the
cytomorphologic characteristics of a keloidal fibrosarcoma in a dog.
PMID- 18041705
TI - Prostatic sarcomatoid carcinoma in a dog: cytologic and immunohistochemical
findings.
AB - An 8-year-old neutered male Boxer was presented with tenesmus, hemorrhagic
urethral discharge, and dysuria. Abdominal ultrasound and radiographic
examinations revealed irregular prostatic enlargement. Laparotomy was performed
and intraoperative cytology was done on imprint smears of a biopsy specimen
obtained from a prostatic mass. The cytologic preparation was highly cellular and
contained a predominant population of atypical, large, loosely cohesive spindle
cells, with rare multinucleated cells and mitotic figures. The cytologic findings
were consistent with undifferentiated sarcoma. At necropsy, a large cystic
prostatic mass and numerous satellite nodules in the soft tissues around the
pelvis were found. On histologic examination the tumor was composed primarily of
bundles of neoplastic spindle cells. Rare pseudo-acinar structures and signet
ring cells also were observed. On immunohistochemical examination, the neoplastic
cells co-expressed cytokeratin and vimentin. Based on histologic and
immunohistochemical findings, the tumor was diagnosed as primary prostatic
sarcomatoid carcinoma. This is a rare tumor in dogs, in which biphasic morphology
of epithelial and mesenchymal cells can complicate the diagnosis, requiring
immunochemical stains for confirmation.
PMID- 18041706
TI - Pure white cell aplasia in a dog.
AB - A 3-year-old Irish Wolfhound was evaluated because of acute onset of lethargy and
fever. Severe neutropenia (0/microL; reference interval 2500-11,200/microL) was
associated with granulocyte aplasia in the bone marrow (myeloid:erythroid ratio,
0.009:1). Antineutrophil antibodies were assessed by an indirect
immunofluorescence assay using flow cytometry. When normal canine leukocytes were
incubated with the patient's serum and anti-IgG, a marked shift was observed in
the forward-angle light scatter of the neutrophil population, and the monocyte
cluster disappeared, possibly the result of fragmentation or lysis. Both
neutrophil fluorescence intensity (309 +/- 11 median channel units [MCU], control
values 107-152 MCU) and the percentage of neutrophils with increased fluorescence
intensity (61 +/- 5%, control values 3.8-13.7%) were increased in the patient's
serum, consistent with the presence of antineutrophil antibodies. Repeated
episodes of neutropenia occurred while treatment with steroidal and nonsteroidal
immunosuppressive therapy was initiated and modified. The neutrophil count
eventually stabilized in the low-normal range, and the dog was maintained for the
next 15 months on prednisone (0.4 mg/kg PO q 48 h) and azathioprine (2 mg/kg
daily). During this period, the dog developed immune-mediated hemolytic anemia
and thrombocytopenia, decubital ulcers, nasal aspergillosis, and eventually,
multi-organ septicemia, which led to euthanasia on day 784. A diagnosis of pure
white cell aplasia was made in this dog, based on the many similarities to human
patients with pure white cell aplasia, including severe neutropenia with
selective granulocyte aplasia, serum antineutrophil antibodies, remission
dependent on treatment with immunosuppressive therapy, and recurrent bacterial
infections.
PMID- 18041707
TI - Hypercalcemia and high parathyroid hormone-related peptide concentration in a dog
with a complex mammary carcinoma.
AB - A 10-year-old female Dachshund was presented with a history of mammary masses,
slight lethargy, polyuria, and polydipsia. Physical examination findings included
masses involving the first, second, and fourth mammary glands of the left side.
The mandibular, axillary, and right popliteal lymph nodes were mildly enlarged.
Serum chemistry results included hypercalcemia (13.9 mg/dL, reference interval
8.0-11.5 mg/dL). Although intact parathyroid hormone (PTH) concentration (1.05
pmol/L) was below the reference interval (2-13 pmol/L), PTH-related protein
(PTHrP) concentration was markedly increased (9.40 pmol/L, reference value < 2
pmol/L). The masses were surgically removed, and the histopathologic diagnosis
was complex mammary carcinoma. Three weeks after surgery, serum total calcium
concentration had decreased to 10.5 mg/dL. Resolution of the hypercalcemia and
clinical signs supported a diagnosis of humoral hypercalcemia of malignancy
associated with mammary gland carcinoma.
PMID- 18041708
TI - Cerebrospinal fluid from a dog with hind limb ataxia.
AB - A 9-year-old spayed female German Shepherd dog with a history of orthopedic
disease was presented to the North Carolina State University Veterinary Teaching
Hospital for evaluation of recent, progressive, bilateral, hindlimb ataxia.
Analysis of cisternal and lumbar cerebrospinal fluid (CSF) samples revealed
normal total nucleated cell counts and a mild increase in protein concentration
in the lumbar sample. In cytocentrifuged specimens of both CSF samples,
aggregates of refractile, angular to irregular, pale blue to colorless,
crystalline material were observed in the background. Some of the material
appeared birefringent under polarized light. Differentials for the material
included contrast agent, epidural anesthetics or other pharmacologic agents, or
artifact introduced through sample processing, collection, or handling. Based on
investigation of clinical and laboratory processes it was determined that tubes
used to collect CSF in the hospital recently had been changed from additive-free
glass tubes to silica-coated shatter-resistant plastic tubes (BD Vacutainer Plus
serum tubes, silicone-coated, Becton Dickinson). A cytocentrifuged preparation of
saline placed in a silica-coated tube contained crystalline material identical to
that observed in the CSF samples; saline placed in an additive-free glass tube
contained no material. In this case, we document the microscopic appearance of
highly concentrated silica particles in cytocentrifuged preparations of CSF and
underscore the importance of recognizing and identifying this artifact in
cytologic preparations.
PMID- 18041709
TI - Solubilization and bioconjugation of QDs and their application in cell imaging.
AB - Quantum dot (QD) solubilization, conjugation with biomolecules, column
purification, and labeling of human HepG2 cells with transferrin-QD (Tf-QD)
conjugates are reported in detail in this article. Water-soluble QDs (WQDs) were
obtained using sodium thiolycolate to replace the surface ligand tri-n
octylphosphine oxide (TOPO) on the surface of oil-soluble QDs, and Tf-QD
conjugates were produced by coupling Tf to WQD. The resulting Tf-QDs were
characterized by UV and luminescence spectrophotometry and purified by Sephadex
column. The results indicate that Tf has been conjugated to QD successfully.
Based on transferrin/transferrin-receptor-mediated delivery system, the Tf-QD
conjugates were used to label human HepG2 cells. After a short incubation, the
QDs were mainly localized to the membrane of cells. After 12-h incubation, QDs
appear mainly in the cytoplasm portion. However, QDs were not found in the
nucleus of the cells. Furthermore, the fluorescence intensity of QDs in the cells
reduces gradually over time, and fluorescence cannot be observed after 10 days.
However, the growth of the labeled cells was not markedly affected by the
toxicity of QDs, and they are alive for 10 days. These results can be used for
further application of QDs in bioscience.
PMID- 18041710
TI - Apparent conversion of adhesive resin in the hybrid layer, Part 1: Identification
of an internal reference for Raman spectroscopy and the effects of water storage.
AB - Monomer conversion of adhesives in the hybrid layer is important to the quality
and longevity of the dentin bond. In this study, degree of conversion and
relative co-monomer concentrations of both experimental and commercial adhesive
resins were determined using Raman spectroscopy. The objectives were to identify
stable Raman scattering peaks to use as internal references and to determine the
effect of water storage on measured conversion and co-monomer concentrations. The
peak at 605 cm(-1) did not change throughout polymerization and is associated
with monomers in both adhesives. This peak was used as an internal reference for
conversion and composition calculations before and after water storage.
Conversion of the adhesive resins immediately after photopolymerization was
approximately 20% lower than that measured after 24-h water storage. HEMA
concentration (relative to bis-GMA) in the adhesive resins immediately after
photopolymerization was at least 5 wt % higher than that measured after 24-h
water storage. Elution of unreacted HEMA provides a reasonable explanation for
the "supposed" change in conversion measurements, which is more appropriately
described as apparent conversion. This apparent conversion will impact
interpretation of physical properties and structure of the polymer, as well as
increase the probability of water penetration and its reaction within the hybrid
layer.
PMID- 18041711
TI - In vitro engineering of a palatal mucosa equivalent with acellular porcine dermal
matrix.
AB - The objective of this study was to develop a palatal mucosa equivalent composed
of multilayered oral keratinocytes grown on the acellular porcine dermal matrix.
Acellular porcine dermal matrix was prepared through a series of procedures and
assessed by histological, immunohistochemical, and scanning electron microscopy
examination. The palatal mucosa equivalent was fabricated by seeding oral
keratinocytes, which cultured from human palate mucosa, onto the acellular dermal
matrix. After 4 days submerged in medium, this composite was raised to the air
liquid interface for another 7 or 14 days of cultivation. The results
demonstrated the processed porcine dermal matrix was totally cell-free. The
resultant palatal mucosa equivalent showed a multilayered oral epithelium that
had been formed, and the number of cell layers was correlated with the culture
period at the air-liquid interface. Oral keratinocytes infiltrated into the empty
hair follicles of the acellular porcine dermal matrix and formed an anchor-like
structure, which exhibited resemblance to the rete ridges of the native palate
mucosa. Immunohistochemical staining for CK10/13, CK19, Ki-67 nuclear antigen,
and Heparan sulphate indicated the cultured palatal mucosa equivalent shared the
same characteristics with that of the native palate mucosa. In conclusion, our
fabricated palatal mucosa equivalent exhibited the characteristics of the native
counterpart, and this equivalent might be useful for recovery of the wounds in
the palate secondary to palatoplasty.
PMID- 18041713
TI - Leptin's mitogenic effect in human liver cancer cells requires induction of both
methionine adenosyltransferase 2A and 2beta.
AB - Leptin is an adiopokine that plays a pivotal role in the progression of liver
fibrogenesis and carcinogenesis. Recently, leptin was shown to be mitogenic in
human liver cancer cell lines HepG2 and Huh7. Whether leptin can act as a mitogen
in normal hepatocytes is unclear. Methionine adenosyltransferase (MAT) is an
essential enzyme that catalyzes the formation of S-adenosylmethionine (SAMe), the
principal methyl donor and precursor of polyamines. Two genes (MAT1A and MAT2A)
encode for the catalytic subunit of MAT, whereas a third gene (MAT2beta) encodes
for a regulatory subunit that modulates the activity of MAT2A-encoded isoenzyme.
The aims of this study were to examine whether leptin's mitogenic activity
involves MAT2A and MAT2beta and whether this can be modulated. We found that
leptin is mitogenic in HepG2 cells but not in primary human or mouse hepatocytes.
Leptin induced the expression of MAT2A and MAT2beta in HepG2 cells and normal
human and mouse hepatocytes, but although it increased SAMe level in HepG2 cells,
it had no effect on SAMe level in normal hepatocytes. Leptin-mediated induction
of MAT genes and growth in HepG2 cells required activation of extracellular
signal-regulated kinase and phosphatidylinositol-3-kinase signaling pathways.
Treatment with SAMe or its metabolite methylthioadenosine (MTA) lowered
expression of MAT2A and MAT2beta and blocked leptin-induced signaling, including
an increase in MAT gene expression and growth. Increased expression of MAT2A and
MAT2beta is required for leptin to be mitogenic, although by entirely different
mechanisms. CONCLUSION: Leptin induces MAT2A and MAT2beta expression in HepG2
cells and normal hepatocytes but is mitogenic only in HepG2 cells.
Pharmacological doses of SAMe or MTA lower expression of both MAT2A and MAT2beta
and interfere with leptin signaling.
PMID- 18041714
TI - Interacting alleles of the coinhibitory immunoreceptor genes cytotoxic T
lymphocyte antigen 4 and programmed cell-death 1 influence risk and features of
primary biliary cirrhosis.
AB - Autoimmune diseases such as primary biliary cirrhosis (PBC) result from failure
in the immune mechanisms that establish and maintain self-tolerance. Evidence
suggests that these processes are shared among the spectrum of autoimmune
syndromes and are likely genetically determined. Cytotoxic T-lymphocyte antigen 4
(CTLA4) and programmed cell-death 1 (PDCD1) are two genes encoding coinhibitory
immunoreceptors that harbor polymorphisms with demonstrated associations to
multiple autoimmune disorders. We aimed to assess functional single nucleotide
polymorphisms (SNPs) in these two genes for association with PBC. SNPs in CTLA4
and PDCD1 were genotyped in 351 PBC patients and 205 controls. Allele and
genotype frequencies were evaluated for association with PBC and/or
antimitochondrial antibody (AMA) positivity with logistic regression. Haplotypes
were inferred with an expectation-maximization algorithm, and allelic interaction
was analyzed by logistic regression modeling. Individual SNPs demonstrated no
association to PBC. However, the GG genotype of CTLA4 49AG was significantly
associated with AMA positivity among the PBC patients. Also, individual SNPs and
a haplotype of CTLA4 as well as a rare genotype of the PDCD1 SNP PD1.3 were
associated with orthotopic liver transplantation. As well, we identified the
influence of an interaction between the putatively autoimmune-protective CTLA4
49AG:CT60 AA haplotype and autoimmune-risk PDCD1 PD1.3 A allele on development of
PBC. CONCLUSION: Our findings illustrate the complex nature of the genetically
induced risk of PBC and emphasize the importance of considering definable
subphenotypes of disease, such as AMA positivity, or definitive measures of
disease severity/progression, like orthotopic liver transplantation, when genetic
analyses are being performed. Comprehensive screening of genes involved with
immune function will lead to a greater understanding of the genetic component of
autoimmunity in PBC while furthering our understanding of the pathogenic
properties of this enigmatic disease.
PMID- 18041715
TI - CXCL10 regulates liver innate immune response against ischemia and reperfusion
injury.
AB - We have shown that activation of toll-like receptor 4 (TLR4) and its interferon
regulatory factor 3 (IRF3)-dependent downstream signaling pathway are required
for the development of liver ischemia/reperfusion injury (IRI). This study
focused on the role of TLR4-IRF3 activation pathway products, in particular,
chemokine (C-X-C motif) ligand 10 (CXCL10). The induction of CXCL10 by liver IR
was rapid (1 hour postreperfusion), restricted (ischemic lobes), and specific (no
CXCL9 and CXCL11 induction). Functionally, CXCL10 was critical for IR-induced
liver inflammation and hepatocellular injury. CXCL10 knockout (KO) mice were
protected from IRI, as evidenced by reduced serum alanine aminotransferase (sALT)
levels and preserved liver histological detail. The induction of pro-inflammatory
genes, such as tumor necrosis factor alpha (TNF-alpha), interleukin 1beta (IL
1beta), IL-6, and IL-12beta was diminished, whereas the induction of the IL-10
gene remained intact in CXCL10 KO mice, indicating an altered liver response
against IR. This was accompanied by selective down-regulation of extracellular
signal-regulated kinase (ERK), but intact Jun N-terminal kinase (JNK), activation
in the KO IR livers. This altered liver inflammation response was (1) specific to
IR, because lipopolysaccharide (LPS) induced a comparable pro-inflammatory
response in CXCL10 KO and wild-type (WT) mice; and (2) responsible for liver
cytoprotection from IR, because neutralization of IL-10 restored local
inflammation and hepatocellular damage. CONCLUSION: CXCL10 regulates liver
inflammation response against IRI, and its deficiency protected livers from IRI
by local IL-10-mediated cytoprotection. Targeting CXCL10 may provide a novel
therapeutic means to ameliorate liver IRI in clinics.
PMID- 18041717
TI - Enhancement of bio-compatibility via specific interactions in polyesters modified
with a bio-resourceful macromolecular ester containing polyphenol groups.
AB - Specific interactions and miscibility are demonstrated in a series of binary
miscible blend comprising of bio-compatible/biodegradable polyesters, such as
poly(epsilon-caprolactone) (PCL), poly(ethylene adipate) (PEA), or poly (butylene
adipate) (PBA), and a macromolecular ester with polyphenol groups, tannic acid
(TA). Thermal analysis and infrared spectroscopy were used for proving existence
of favorable interactions, and polarized-light optical microscopy was used for
characterizing the changes in crystal growth. The appearance of a single
composition-dependent glass transition temperature (T(g)) observed by
differential scanning calorimetry (DSC) indicated that TA is miscible with PCL,
PBA, and PEA, respectively, over the entire range of compositions. Fourier
transform infrared (FTIR) spectroscopy confirmed the presence of specific
intermolecular hydrogen bonding interactions between the carbonyl groups of
polyesters and the phenolic hydroxyl groups of TA. The blend T(g)'s generally
exhibited various extents of positive-then-negative deviation from linearity with
the compositions. The T(g)-composition relationships for three blend systems
could all be fitted by the Kwei equation with large negative q values of -80 to
110 for different polyesters. Significant effects by TA on the spherulitic
crystallization growth in the polyester/TA blends were also discussed to support
the miscibility and strong interactions. Overall, the behavior of blends of
polyesters with TA is similar to that of blends of polyesters with poly(vinyl p
phenol) (PVPh) that have been more widely studied and reported. However, TA is
naturally bio-resourceful, bio-compatible, and bio-degradable but PVPh is not.
Synergism of miscibility, natural bio-compatibility, and biodegradability in
these blends by introducing naturally biodegradable macromolecules such as TA may
offer greater potential in intended applications.
PMID- 18041716
TI - Limbic dysregulation is associated with lowered heart rate variability and
increased trait anxiety in healthy adults.
AB - OBJECTIVES: We tested whether dynamic interaction between limbic regions supports
a control systems model of excitatory and inhibitory components of a negative
feedback loop, and whether dysregulation of those dynamics might correlate with
trait differences in anxiety and their cardiac characteristics among healthy
adults. EXPERIMENTAL DESIGN: Sixty-five subjects received fMRI scans while
passively viewing angry, fearful, happy, and neutral facial stimuli. Subjects
also completed a trait anxiety inventory, and were monitored using ambulatory
wake ECG. The ECG data were analyzed for heart rate variability, a measure of
autonomic regulation. The fMRI data were analyzed with respect to six limbic
regions (bilateral amygdala, bilateral hippocampus, Brodmann Areas 9, 45) using
limbic time-series cross-correlations, maximum BOLD amplitude, and BOLD amplitude
at each point in the time-series. PRINCIPAL OBSERVATIONS: Diminished coupling
between limbic time-series in response to the neutral, fearful, and happy faces
was associated with greater trait anxiety, greater sympathetic activation, and
lowered heart rate variability. Individuals with greater levels of trait anxiety
showed delayed activation of Brodmann Area 45 in response to the fearful and
happy faces, and lowered Brodmann Area 45 activation with prolonged left amygdala
activation in response to the neutral faces. CONCLUSIONS: The dynamics support
limbic regulation as a control system, in which dysregulation, as assessed by
diminished coupling between limbic time-series, is associated with increased
trait anxiety and excitatory autonomic outputs. Trait-anxious individuals showed
delayed inhibitory activation in response to overt-affect stimuli and diminished
inhibitory activation with delayed extinction of excitatory activation in
response to ambiguous-affect stimuli.
PMID- 18041718
TI - Sub-micron and nanoscale feature depth modulates alignment of stromal fibroblasts
and corneal epithelial cells in serum-rich and serum-free media.
AB - Topographic features are generally accepted as being capable of modulating cell
alignment. Of particular interest is the potential that topographic feature
geometry induces cell alignment indirectly through impacting adsorbed proteins
from the cell culture medium on the surface of the substrate. However, it has
also been reported that micron-scale feature depth significantly impacts the
level of alignment of cellular populations on topography, despite being orders of
magnitude larger than the average adsorbed protein layer (nm). In order to better
determine the impact of biomimetic length scale topography and adsorbed protein
interaction on cellular morphology we have systematically investigated the effect
of combinations of sub-micron to nanoscale feature depth and lateral pitch on
corneal epithelial cell alignment. In addition we have used the unique properties
of a serum-free media alternative in direct comparison to serum-rich medium to
investigate the role of culture medium protein composition on cellular alignment
to topographically patterned surfaces. Our observation that increasing groove
depth elicited larger populations of corneal epithelial cells to align regardless
of culture medium composition and of cell orientation with respect to the
topography, suggests that these cells can sense changes in topographic feature
depths independent of adsorbed proteins localized along ridge edges and tops.
However, our data also suggests a strong combinatory effect of topography with
culture medium composition, and also a cell type dependency in determining the
level of cell elongation and alignment to nanoscale topographic features.
PMID- 18041720
TI - Heparin modification of calcium phosphate bone cements for VEGF
functionalization.
AB - A promising strategy to promote angiogenesis within an engineered tissue is the
local and sustained delivery of an angiogenic factor by the substitute itself.
Recently, we reported on functionalization of Biocement D (BioD) and several
modifications of this calcium phosphate bone cement with vascular endothelial
growth factor (VEGF). Maintenance of biological activity of VEGF after release
from the cement was improved by modification of BioD with mineralized collagen
type I (BioD/coll). However, BioD/coll composites showed a higher initial burst
of VEGF release than do the unmodified BioD. In the present study, VEGF release
from BioD/coll composites modified with different amounts of heparin was
investigated. We found a distinct reduction of the initial burst of release by
adding heparin in a concentration-dependent manner. Moreover, the heparin
modification had a positive impact on the biological activity of released VEGF.
An advancement of biological properties of BioD/coll by addition of heparin was
further shown by improved adhesion of endothelial cells on the cement surface.
Characterization of material properties of the heparin-modified BioD/coll
composites revealed a finer microstructure with smaller HA-particles and a higher
specific surface area than heparin-free BioD/coll. However, higher amounts of
heparin resulted in a reduced compressive strength. The rheological properties of
these cement pastes have been found to be favorable for good handling
particularly with regard to their clinical application.
PMID- 18041719
TI - Pre-treatment of synthetic elastomeric scaffolds by cardiac fibroblasts improves
engineered heart tissue.
AB - Native myocardium consists of several cell types, of which approximately one
third are myocytes and most of the nonmyocytes are fibroblasts. By analogy with
monolayer culture in which fibroblasts were removed to prevent overgrowth, early
attempts to engineer myocardium utilized cell populations enriched for cardiac
myocytes (CMs; approximately 80-90% of total cells). We hypothesized that the pre
treatment of synthetic elastomeric scaffolds with cardiac fibroblasts (CFs) will
enhance the functional assembly of the engineered cardiac constructs by creating
an environment supportive of cardiomyocyte attachment and function. Cells
isolated from neonatal rat ventricles were prepared to form three distinct
populations: rapidly plating cells identified as CFs, slowly plating cells
identified as CMs, and unseparated initial population of cells (US). The cell
fractions (3 x 10(6) cells total) were seeded into poly(glycerol sebacate)
scaffolds (highly porous discs, 5 mm in diameter x 2-mm thick) using
Matrigeltrade mark, either separately (CM or CF), concurrently (US), or
sequentially (CF pre-treatment followed by CM culture, CF + CM), and cultured in
spinner flasks. The CF + CM group had the highest amplitude of contraction and
the lowest excitation threshold, superior DNA content, and higher glucose
consumption rate. The CF + CM group exhibited compact 100- to 200-mum thick
layers of elongated myocytes aligned in parallel over layers of collagen
producing fibroblasts, while US and CM groups exhibited scattered and poorly
elongated myocytes. The sequential co-culture of CF and CM on a synthetic
elastomer scaffold thus created an environment supportive of cardiomyocyte
attachment, differentiation, and contractile function, presumably due to scaffold
conditioning by cultured fibroblasts. When implanted over the infarcted
myocardium in a nude rat model, cell-free poly(glycerol sebacate) remained at the
ventricular wall after 2 weeks of in vivo, and was vascularized.
PMID- 18041721
TI - Oscillatory perfusion seeding and culturing of osteoblast-like cells on porous
beta-tricalcium phosphate scaffolds.
AB - Perfusion culture systems have proven to be effective bioreactors for
constructing tissue engineered bone in vitro, but existing circuit-based
perfusion systems are complicated and costly for conditioned culture due to the
large medium volume required. A compact perfusion system for artificial bone
fabrication using oscillatory flow is described here. Mouse osteoblast-like MC
3T3-E1 cells were seeded at 1.5 x 10(6) cells/100 microL and cultured for 6 days
in porous ceramic beta-tricalcium phosphate scaffolds (10 mm in diameter, 8 mm in
height) by only 1.5 mL culture media per scaffold. The seeding efficiency, cell
proliferation, distribution and viability, and promotion of early osteogenesis by
both a static and an oscillatory perfusion method were evaluated. The oscillatory
perfusion method generated higher seeding efficiency, alkaline phosphatase
activity, and scaffold cellularity (by DNA content) after 6 days of culture.
Stereomicroscopic observation of 3(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide staining and Calcein-AM/propidium iodide double
staining also demonstrated homogeneous seeding, proliferation, and viability of
cells throughout the scaffolds in the oscillatory perfusion system. By contrast,
the static culture yielded polarized seeding and proliferation favoring the outer
and upper scaffold surfaces, with only dead cells in the center of the scaffolds.
Thus, these results suggest that the oscillatory flow condition not only allow a
better seeding efficiency and homogeneity, but also facilitates uniform culture
and early osteogenic differentiation. The oscillatory perfusion system could be a
simple and effective bioreactor for bone tissue engineering.
PMID- 18041722
TI - Adhesion of staphylococcal and Caco-2 cells on diamond-like carbon polymer hybrid
coating.
AB - Staphylococci cause the majority of the nosocomial implant-related infections
initiated by adhesion of planktonic bacteria to the implant surface. It was
hypothesized that plasma accelerating filtered pulsed arc discharge method
enables combination of the advantageous properties of diamond with the
antisoiling properties of polymers. Diamond-like carbon polytetrafluoroethylene
hybrid (DLC-PTFE-h) coating was produced. The adhesion of S. aureus ATCC 25923
(10(8) colony-forming units/mL) to surfaces diminished from 2.32%, 2.35%, and
2.57% of high quality DLC, titanium, and oxidized silicon, respectively, to 1.93%
of DLC-PTFE-h. For S. epidermidis ATCC 35984 the corresponding figures were
3.90%, 3.32%, 3.47%, and 2.57%. Differences in bacterial adhesion between
recombinant DLC-PTFE-h and other materials were statistically significant (p <
0.05). In contrast, human Caco-2 cells adhered as well to DLC-PTFE-h as to DLC,
titanium, or silicon, which were all in the MTT test found to be cytocompatible.
DLC-PTFE-h coating can be used to modify the surface properties of any surgical
implants and is an unfavorable substrate for staphylococcal cells, but compatible
with human Caco-2 cells. DLC-PTFE-h coating may help in the combat against
Staphylococcus-related implant infections which usually require both antibiotics
and surgical removal of the implant for cure.
PMID- 18041723
TI - The effect of combined application of TGFbeta-1, BMP-2, and COLLOSS E on the
development of bone marrow derived osteoblast-like cells in vitro.
AB - This study investigated the combined application of Transforming Growth Factor
beta-1 (TGFbeta-1) and Bone Morphogenetic Protein-2 (BMP-2) to stimulate
osteogenic expression in vitro. TGFbeta-1 and BMP-2 fulfill specific roles in the
formation of new bone. COLLOSS E, a bone-derived collagen product containing a
variety of naturally occurring growth factors, was also used. Growth factors were
administered to osteoblast-like cells from rat bone marrow (RBM). Proliferation
and differentiation were monitored up to 24 days, by measuring total DNA content,
alkaline phosphatase activity, and calcium content. Genetic expression of a set
of differentiation markers at day 7 was measured by Q-PCR. Adding BMP-2 alone
induced high proliferation rates, compared to the growth factor supplemented
groups, and it induced high differentiation rates, compared to the control group.
Adding TGFbeta-1 combined with BMP-2, TGFbeta-1 alone, or COLLOSS E resulted in a
significant decrease in proliferation rate, but an increase in differentiation
rate, compared to the control group. Additive or synergistic effects of
application of TGFbeta-1 and BMP-2 were not observed. The observed effects of
COLLOSS E mainly resembled those of TGFbeta-1 application alone. It can be
concluded that BMP-2 is the most suitable candidate for osteogenic stimulation of
RBM cells in these settings.
PMID- 18041724
TI - Hemocompatible albumin-heparin coatings prepared by the layer-by-layer technique.
The effect of layer ordering on thrombin inhibition and platelet adhesion.
AB - Using the layer-by-layer technique, ELISA polystyrene plates were coated with
multilayer assemblies of albumin with various heparins or with multilayer
assemblies of albumin. The coatings containing heparin were tested for their
ability to potentiate thrombin inhibition by antithrombin and its dependence on
the layer arrangement. The order of activities of surface bound heparins matched
their order in solution; however their activity was reduced to less than 10% due
to binding. The increasing number of layers increased the activity of the
coatings suggesting that heparin inside the assemblies is available for the
interaction. The albumin-heparin assemblies overcoated with albumin layers
preserved about half of heparin activity. Platelets adhered in similar amounts to
albumin-heparin and albumin coatings; however, in both cases platelets adhered
more to single layer than to multilayer coatings. The adhesion of platelets to
single layer coatings was also affected by the crosslinking of the coatings; more
platelets adhered to less crosslinked single layer coatings while multilayer
coatings remained essentially unaffected by crosslinking. If the coatings were
dried and reswollen, a substantial number of platelets adhered to the
reconditioned single layer coatings but the two layer coatings were affected much
less and the adhesion of platelets to the coatings with three layers was close to
normal. A minimum of three albumin-heparin or albumin layers is apparently
required to shield the underlying surface and to achieve proper functioning of
the coatings.
PMID- 18041725
TI - In vitro cytotoxicity of single-walled carbon nanotube/biodegradable polymer
nanocomposites.
AB - Injectable nanocomposites made of biodegradable poly(propylene fumarate) and the
crosslinking agent propylene fumarate-diacrylate as well as each of three forms
of single-walled carbon nanotubes (SWNTs) were evaluated for their in vitro
cytotoxicity. Unreacted components, crosslinked networks, and degradation
products of the nanocomposites were investigated for their effects on cell
viability using a fibroblast cell line in vitro. The results did not reveal any
in vitro cytotoxicity for purified SWNTs, SWNTs functionalized with 4-tert
butylphenylene, and ultra-short SWNTs at 1- 100 microg/mL concentrations.
Moreover, nearly 100% cell viability was observed on all crosslinked
nanocomposites and cell attachment on their surfaces was comparable with that on
tissue culture polystyrene. The degradation products of the nanocomposites
displayed a dose-dependent adverse effect on cells, which was partially due to
increased osmolarity by the conditions of accelerated degradation and could be
overcome at diluted concentrations. These results demonstrate that all three
tested nanocomposites have favorable cytocompatibility for potential use as
scaffolds for bone tissue engineering applications.
PMID- 18041726
TI - Potential of baboon endothelial progenitor cells for tissue engineered vascular
grafts.
AB - Thrombosis and intimal hyperplasia limit the usefulness of small caliber vascular
grafts. While some improvements have been reported for grafts seeded with mature
endothelial cells (EC), the harvesting of ECs from autologous sources, for
example, veins or adipose tissue, remains problematic. More recently, endothelial
progenitor cells (EPCs) have been considered a promising source of ECs because
EPCs can be readily isolated from whole blood then rapidly expanded in vitro.
Additionally, EPCs are increasingly recognized to play important roles in
hemostasis, angiogenesis, and arterial injury repair. However, the
characterization of EPCs in relevant animal models remains poorly defined.
Accordingly, we have characterized the isolation, growth, and functional
characteristics of Baboon EPCs (BaEPCs) to evaluate their potential for an
autologous cell source for tissue engineered vascular grafts. BaEPCs were
successfully cultured from the peripheral blood with an average population
doubling time of 1.17 +/- 0.43 days. While the BaEPCs were positive for typical
EC markers of vWF, CD31, VE-cadherin, VEGF-R2, Thrombomodulin, and E-selectin,
there was reduced eNOS expression. The BaEPCs cell body and actin filaments align
in the direction of flow typical of mature ECs. Thus while the lack of eNOS
expression is worthy of investigation, EPCs are an attractive cell source for
tissue engineered vascular grafts and the baboon model has great potential for
continuing evaluations of these cells.
PMID- 18041727
TI - Self-assembling cyclodextrin based hydrogels for the sustained delivery of
hydrophobic drugs.
AB - This study aims to investigate the rheological properties of self-assembling gels
containing cyclodextrins with potential application as injectable matrix for the
sustained delivery of poorly soluble drugs. The ability of these gels to entrap
two hydrophobic molecules, benzophenone (BZ) and tamoxifen (TM), and to allow
their in vitro sustained release was evaluated. In view of their future
pharmaceutical use, gels were sterilized by high hydrostatic pressures (HHP) and
tested for their biocompatibility. The gels formed instantaneously at room
temperature, by mixing the aqueous solutions of two polymers: a beta-cyclodextrin
polymer (pbetaCD) and a hydrophobically modified dextran by grafting alkyl side
chains (MD). MD-pbetaCD gels presented a viscoelastic behavior under low shear,
characterized by constant values of the loss modulus G'' and the storage modulus
G'. The most stable gels were obtained for a total polymer concentration C(p) of
6.6% and 7.5% (w/w), and a polymer ratio MD/pbetaCD of 50/50 and 33/67 (w/w). BZ
and TM were successfully incorporated into MD-pbetaCD gels with loading
efficiencies as high as 90%. In vitro, TM and BZ were released gradually from the
gel matrix with less than 25% and 75% release, respectively, after 6 days
incubation. HHP treatment did not modify the rheological characteristics of MD
pbetaCD gels. Moreover, the low toxicity of these gels after intramuscular
administration in rabbits makes them promising injectable devices for local
delivery of drugs.
PMID- 18041728
TI - Biocompatibility and gelation of chitosan-glycerol phosphate hydrogels.
AB - The aim of this study was to evaluate the cytotoxicity and gelation of
thermosensitive chitosan-beta-glycerol phosphate (GP) solutions, which undergo
sol-gel transition around body temperature. Chitosan 0.5-2% (w/v) mixed with GP 5
20% (w/v) solutions all gel at 37 degrees C and possess pH around the
physiological range. High GP and chitosan concentrations result in faster
gelation time. Extracts of all chitosan concentrations mixed with or without 5%
(w/v) GP and 2% (w/v) chitosan combined with 10% (w/v) GP demonstrated up to 34%
increase in proliferation rate of goat bone marrow derived mesenchymal stem cells
when compared with control medium. Extracts from all other chitosan-GP
combinations resulted in reduced cell proliferation relative to control medium.
Increasing GP content in the gel resulted in a linear increase in the osmolality
of the extracts in contact with the gels. The results of this study indicate that
chitosan-GP is a biocompatible hydrogel, extracts of which can stimulate
mesenchymal stem cell proliferation at certain concentrations. This material is
therefore a promising vehicle for cell encapsulation and injectable tissue
engineering applications.
PMID- 18041729
TI - Heparin-conjugated star-shaped PLA for improved biocompatibility.
AB - Star-shaped PLA-heparin (sPLA-Hep) was prepared by coupling heparin to the star
shaped PLA (sPLA) reaction using carbonyldiimidazole (CDI) chemistry. Hydroxyl
groups of sPLA were activated by CDI for the reaction with the remained amino
terminal groups of heparin. The surface heparin content of sPLA-Hep was measured
to be 1.43 microg/cm(2). sPLA-Hep-coated surface has shown higher hydrophilicity
than control surface. The clotting time of sPLA-Hep measured by activated partial
thromboplastin time (APTT) was significantly prolonged when compared with sPLA
and linear PLA-Hep. sPLA-Hep surface demonstrated lower protein adsorption and
platelet adhesion than control sPLA surface. In addition, fibroblast culture on
the sPLA-Hep surface showed the enhanced cell spreading area compared with the
sPLA surface. Obtained results suggest that the incorporation of heparin to sPLA
is effective in curtailing the surface induced-thrombosis and in manipulating the
cell interaction. sPLA-Hep could be applied as blood/tissue compatible
biodegradable materials for implantable medical devices and tissue engineering.
PMID- 18041730
TI - Factors influencing the properties of reconstituted collagen fibers prior to self
assembly: animal species and collagen extraction method.
AB - This research work allows a direct comparison between collagen solutions of equal
concentration derived from the two widely used collagen sources: bovine Achilles
tendon (BAT) and rat tail tendon (RTT), and extraction methods: acid (AS) and
pepsin (PS) solubilization on the properties of extruded collagen fibers.
Scanning electron microscopy revealed that the substructure of the collagen
fibers was the same independent of the treatment. Transmission electron
microscopy revealed that the AS collagen-derived fibers were comprised of thick
quarter-staggered fibrils, while the coexistence of thin nonbanded and thick
banded fibrils was apparent for the PS collagen-derived fibers. The BAT-derived
fibers demonstrated higher denaturation temperature than the RTT-derived ones (p
< 0.05). The extraction method had no influence on the thermal characteristics of
the fibers produced (p > 0.05). ASBAT collagen was of higher viscosity than both
ASRTT and PSBAT (p < 0.002), and therefore larger diameter fibers were obtained
(p < 0.001). An inversely proportional relationship between dry-fiber diameter
and stress at break was observed within the treatments. The PS yielded 10 times
more soluble collagen from BAT and the derived fibers were of similar tensile
strength, stiffness, and elongation (p > 0.05) as those derived from the AS
collagen. No significant difference was observed for the stress at break for the
ASBAT and the ASRTT, while significant difference was observed for the elongation
and modulus values (p < 0.005). Overall, reconstituted collagen fibers were
produced with properties similar to native or synthetic fibers to suit a wide
range of tissue engineering applications.
PMID- 18041731
TI - Bacterial adhesion and osteoblast function on titanium with surface-grafted
chitosan and immobilized RGD peptide.
AB - Biomaterials-associated infections remain a source of serious complications in
modern medicine. When a biomaterial is implanted in the body, the result of
successful tissue integration or implant infection depends on the race for the
surface between bacteria and tissue cells. One promising strategy to reduce the
incidence of infection is the functionalization of the biomaterial surface to
inhibit bacterial adhesion and encourage the growth of cells. In this in vitro
study, the surface of titanium alloy substrates was first functionalized by
covalently grafted chitosan (CS). The cell-adhesive Arg-Gly-Asp (RGD) peptide was
then immobilized on the CS-grafted surface through covalent binding of peptide to
the free NH(2) groups of CS. Both these functionalized surfaces showed a decrease
in adhesion of Staphylococcus aureus (S. aureus) and Staphylococcus epidermidis
(S. epidermidis) compared with the pristine substrate. A significant increase in
osteoblast cell attachment, proliferation, and alkaline phosphatase activity was
observed on the surface with the immobilized Arg-Gly-Asp peptide. Thus, utilizing
surface-grafted chitosan in conjunction with the cell-adhesive peptide to modify
the metal surface provides a promising means for enhancing tissue integration of
implants by reducing bacterial adhesion and promoting osteoblast functions.
PMID- 18041733
TI - A novel surface treatment for porous metallic implants that improves the rate of
bony ongrowth.
AB - Rapid implant fixation could prove beneficial in a host of clinical applications
from total joint arthroplasty to trauma. We hypothesized that a novel self
assembled monolayer of phosphonate molecules (SAMP) covalently bonded to the
oxide surface of titanium alloy would enhance bony integration. Beaded metallic
rods were treated with one of three coatings: SAMP, SAMP + RGD peptide, or
hydroxyapatite. Rods were inserted retrogradely into both distal femurs of 60
rabbits. Fifteen rabbits were sacrificed at 2, 4, 8, and 16 weeks. At each time,
seven specimens for mechanical pull-out testing and three for histomorphometric
analysis were available for each coating. At four weeks, both SAMP groups had
significantly higher failure loads when compared to hydroxyapatite (p < 0.01). No
significant differences were found among groups at other times, though the SAMP
alone group remained stronger at 16 weeks. Histology showed abundant new bone
formation around all the three groups, though more enhanced formation was
apparent in the two SAMP groups. With this novel treatment, with or without RGD,
the failure load of implants doubled in half the time as compared with
hydroxyapatite. Where early implant fixation is important, the SAMP treatment
provides a simple, cost-effective enhancement to bony integration of orthopaedic
implants.
PMID- 18041732
TI - Adhesion of MC3T3-E1 cells to bone sialoprotein and bone osteopontin specifically
bound to collagen I.
AB - Bone sialoprotein (BSP) and bone osteopontin (OPN) are members of the SIBLING
(small integrin-binding ligand, N-linked glycoproteins) family of proteins
commonly found in mineralized tissues. Previously, OPN was shown to exhibit a
preferential orientation for MC3T3-E1 cell adhesion when it was specifically
bound to collagen. In this work, the orientation of BSP under similar
circumstances is examined and compared with OPN. Radiolabeled adsorption
isotherms were obtained for BSP bound to both tissue culture polystyrene (TCPS)
and collagen-coated TCPS. The results show that collagen has the capacity to bind
almost twice as much OPN under identical conditions. An in vitro MC3T3-E1 cell
adhesion assay was then performed to compare the cell binding ability of BSP on
either TCPS or collagen-coated TCPS with identical amounts of adsorbed protein.
It was found that there is no significant difference in the cell binding ability
of BSP on either of the substrates. For cell binding studies on collagen-coated
TCPS, it was shown that there are a greater number of cells bound to substrates
with adsorbed OPN as compared with BSP. The preferable orientation of OPN for
cell binding coupled with the higher binding capability of collagen for OPN
indicates that OPN is more important than BSP for osteoblast adhesion to the
collagen matrix. In addition, a cell inhibition assay was performed to show that
all of the cell binding that occurred throughout these studies was dependent upon
integrin interactions with the RGD cell binding moiety.
PMID- 18041734
TI - Hydroxyapatite nanoparticle loaded collagen fiber composites: microarchitecture
and nanoindentation study.
AB - Hydroxyapatite (HA) nanoparticle-collagen composite materials with various
HA/collagen weight ratios were prepared from HA/collagen dispersions using the
solution deposition and electrospinning with static or rotating collectors. The
composites with nanoparticle HA to collagen weight ratio of 80:20 can be easily
prepared in the solution deposition approach, whereas in the electrospun fibrous
composites it was possible to reach a maximum HA/collagen weight ratio of 30:70
while maintaining a good fibrous structure. The structure, surface morphology,
and nanoindentation properties of these nanoparticle HA/collagen composites with
different microarchitectures were investigated. The values from 0.2 GPa to 20 GPa
for nanoindentation Young's modulus and from 25 MPa to 500 MPa for hardness, were
obtained depending on the fabrication technique, composition, and
microarchitecture of the composites. It was observed that the nanoindentation
Young's modulus and hardness of the HA/collagen composite materials seem to
achieve maximum values for 45-60% HA content by weight.
PMID- 18041736
TI - Pancreatic response of rats fed genetically modified soybean.
AB - Mice fed genetically modified (GM) soybean were not affected in nutritional
performance, but pancreatic microscopic features were disturbed. The mechanisms
for these contradictory findings are unknown. This study analysed the histology
of acinar pancreatic cells and the expression of pancreatitis-associated protein
(PAP) and trypsinogen mRNA in rats fed GM soy protein. Two bioassays were run,
each one with 34 Wistar rats distributed into two groups fed with non-GM or GM
soy protein (18% protein) for 0, 1, 3, 5, 15 and 30 days. Nutritional evaluation,
plasma amylase levels, pancreatic histological analysis and quantification of PAP
and trypsinogen mRNAs levels using quantitative real-time RT-PCR were done. No
differences in nutritional performance among rats fed non-GM and GM diets were
found. The GM, but not the non-GM, diet induced zymogen-granule depletion after
15 days feeding, returning to normal levels after 30 days (P < 0.05). Acinar
disorganization started as early as 5 days after initiation of the GM diet and it
recovered after 30 days. Levels of PAP mRNA significantly increased in the GM
diet between day 1 and day 3 and decreased to the basal level by day 15.
Trypsinogen mRNA peaked at two different times; at day 1 and at day 15,
decreasing to basal levels after 30 days. Plasma amylase levels remained
unchanged at all times. This indicates that GM soy protein intake affected
pancreas function, evidenced by the early acute PAP mRNA increased levels and
pancreas cellular changes followed by recuperation of acinar cells after 30 days.
PMID- 18041735
TI - Pharmacokinetic interaction between efavirenz and dual protease inhibitors in
healthy volunteers.
AB - The combination of efavirenz with HIV-1 protease inhibitors (PI) results in
complex interactions secondary to mixed induction and inhibition of oxidative
metabolism. ACTG A5043 was a prospective, open-label, controlled, two-period,
multiple-dose study with 55 healthy volunteers. The objective of the present
study was to evaluate the potential pharmacokinetic interaction between efavirenz
and dual PIs. The subjects received a daily dose of 600 mg efavirenz for 10 days
with amprenavir 600 mg twice daily added at day 11 and were randomized to receive
nelfinavir, indinavir, ritonavir, saquinavir, or no second PI on days 15-21.
Intensive pharmacokinetic studies were conducted on day 14 and 21. Efavirenz
plasma concentrations were fit to candidate models using weighted non-linear
regression. The disposition of efavirenz was described by a linear two
compartment model with first order absorption following a fitted lag time.
Apparent clearance (CLt/F), volume of distribution at steady state (Vss/F), inter
compartmental clearance, and the central and peripheral volume of distribution
were estimated. The mean CLt/F and Vss/F of efavirenz were 0.126 l/h/kg and 4.412
l/kg, respectively. Both AUC and CLt/F of efavirenz remained unchanged after 7
days of dual PI dosing. The mean Vss/F of efavirenz increased an average of 89%
across arms, ranging from 52% (nelfinavir) to 115% (indinavir) relative to
efavirenz with amprenavir alone. Increases were also observed in Vp/F after the
addition of nelfinavir, indinavir, ritonavir and saquinavir by 85%, 170%, 162%
and 111%, respectively. In conclusion, concomitant administration of dual PIs is
unlikely to have any clinically significant effect on the pharmacokinetics of
CYP2B6 substrates in general or oral efavirenz specifically.
PMID- 18041737
TI - Structural and functional MRI correlates of Stroop control in benign MS.
AB - The objective of this study was to assess the functional and structural
substrates of cognitive network changes in patients with benign multiple
sclerosis (BMS), using an analysis of effective connectivity and MR tractography.
Using a 3-Tesla scanner, we acquired dual-echo, diffusion tensor (DT) and
functional MRI during the performance of the Stroop task from 15 BMS patients and
19 healthy controls. DT MRI tractography was used to calculate DT derived metrics
from several white matter (WM) fiber bundles, thought to be involved in cognitive
performance. DT MRI metrics from WM fiber bundles not directly related with
cognitive performance were also derived. Effective connectivity analysis was
performed using statistical parametric mapping. MS patients had significantly
abnormal DT MRI metrics in all the structures analyzed. Compared with controls,
MS patients had more significant activations of several areas of the cognitive
network involved in Stroop performance, bilaterally. Compared with controls, BMS
patients also had increased connectivity strengths between several cortical areas
of the sensorimotor network and the right (R) inferior frontal gyrus and the R
cerebellum, as well as decreased connectivity strengths with the anterior
cingulate cortex. Coefficients of altered connectivity were moderately correlated
with structural MRI metrics of tissue damage within intra- and inter-hemispheric
cognitive-related WM fiber bundles, while no correlations were found with the
remaining fiber bundles studied, suggesting that functional cortical changes in
patients with BMS might represent an adaptive response driven by damage of
specific WM structures.
PMID- 18041738
TI - Model-free group analysis shows altered BOLD FMRI networks in dementia.
AB - FMRI research in Alzheimer's disease (AD) and mild cognitive impairment (MCI)
typically is aimed at determining regional changes in brain function, most
commonly by creating a model of the expected BOLD-response and estimating its
magnitude using a general linear model (GLM) analysis. This crucially depends on
the suitability of the temporal assumptions of the model and on assumptions about
normality of group distributions. Exploratory data analysis techniques such as
independent component analysis (ICA) do not depend on these assumptions and are
able to detect unknown, yet structured spatiotemporal processes in neuroimaging
data. Tensorial probabilistic ICA (T-PICA) is a model free technique that can be
used for analyzing multiple subjects and groups, extracting signals of interest
(components) in the spatial, temporal, and also subject domain of FMRI data. We
applied T-PICA and model-based GLM to study FMRI signal during face encoding in
18 AD, 28 MCI patients, and 41 healthy elderly controls. T-PICA showed activation
in regions associated with motor, visual, and cognitive processing, and
deactivation in the default mode network. Six networks showed a significantly
decreased response in patients. For two networks the T-PICA technique was
significantly more sensitive to detect group differences than the standard model
based technique. We conclude that T-PICA is a promising tool to identify and
detect differences in (de)activated brain networks in elderly controls and
dementia patients. The technique is more sensitive than the commonly applied
model-based method. Consistent with other research, we show that networks of
activation and deactivation show decreased reactivity in dementia.
PMID- 18041739
TI - Tactile-associated fMRI recruitment of the cervical cord in healthy subjects.
AB - Using spinal cord functional magnetic resonance imaging (fMRI), 12 right-handed
healthy subjects were scanned during a tactile stimulation of the palm of the
right hand. The task-related mean signal change was computed for all activated
voxels within the cervical cord, and separately, in the four cord quadrants
(right and left anterior, right and left posterior) from C5 to C8. The frequency
of fMRI activity at each cord level was obtained by assigning a score of 25% at
each active quadrant and by averaging the percentage of active quadrants at each
level of all subjects. The difference in the occurrence of fMRI activity (a) in
right versus left, and anterior versus posterior cord, and (b) among the
different cord levels, was evaluated using a random effect logistic regression
model, with the frequency of fMRI activity as the dependent variable and the
subject as the grouping factor. The task-related mean signal change of all
activated voxels of the cord was 3.2% (SD = 0.8%). During the tactile
stimulation, subjects showed a higher occurrence of fMRI cord activity in the
right than in the left cervical cord (odds ratio = 2.25, 95% confidence interval
= 1.31-3.87, P = 0.003). A significant heterogeneity in frequency of fMRI
activity between cord levels was also observed (P < 0.001), with the highest
frequencies of fMRI activity detected at C6 and C7. Spinal cord fMRI enables to
obtain reliable physiological information on the activity of human spinal
circuits associated to tactile stimulation. This holds significant promise for a
better planning and conduct of studies of people with diseased spinal cords.
PMID- 18041740
TI - Stimulus-contrast-induced biases in activation order reveal interaction between
V1/V2 and human MT+.
AB - The luminance contrast of a visual stimulus is known to modulate the response
properties of areas V1 and the human MT complex (hMT+), but has not been shown to
modulate interactions between these two areas. We examined the direction of
information transfer between V1/V2 and hMT+ at different stimulus contrasts by
measuring magnetoencephalographic (MEG) responses to moving and stationary
stimuli presented centrally or peripherally. To determine the direction of
information flow, the different response latencies among stimuli and hemispheres
in V1/V2 was compared with those of hMT+. At high contrast, responses to stimulus
motion and position began in V1/V2, and were followed in hMT+ with a delay
between 34 and 55 ms. However, at low contrast, lateralized responses in hMT+
came first, with those in V1/V2 lagging with a delay of 27 ms. Also, at high
contrast, stationary stimuli produced greater responses than motion stimuli in
V1/V2, while the reverse was true in hMT+, whose response lagged behind the
initial response in V1/V2. The same activation order was found using Mutual
Information Analysis of the response variances for each condition. Here, the
response variances in hMT+ mimicked and trailed those of V1/V2 at high contrast,
whereas the reverse was true at low contrast. Such consistent interactions found
using two different methodologies strongly supports a processing link between
these two areas. The results also suggest that feedback from hMT+ for low
contrast stimuli compensates for unresolved processing in V1/V2 when the input of
a visual image is weak.
PMID- 18041741
TI - Differences in genetic and environmental influences on the human cerebral cortex
associated with development during childhood and adolescence.
AB - In this report, we present the first regional quantitative analysis of age
related differences in the heritability of cortical thickness using anatomic MRI
with a large pediatric sample of twins, twin siblings, and singletons (n = 600,
mean age 11.1 years, range 5-19). Regions of primary sensory and motor cortex,
which develop earlier, both phylogenetically and ontologically, show relatively
greater genetic effects earlier in childhood. Later developing regions within the
dorsal prefrontal cortex and temporal lobes conversely show increasingly
prominent genetic effects with maturation. The observation that regions
associated with complex cognitive processes such as language, tool use, and
executive function are more heritable in adolescents than children is consistent
with previous studies showing that IQ becomes increasingly heritable with
maturity(Plomin et al. 1997: Psychol Sci 8:442-447). These results suggest that
both the specific cortical region and the age of the population should be taken
into account when using cortical thickness as an intermediate phenotype to link
genes, environment, and behavior.
PMID- 18041742
TI - Distinct expression patterns of the immunogenic differentiation antigen NY-BR-1
in normal breast, testis and their malignant counterparts.
AB - NY-BR-1 is a differentiation antigen and a potential target for cancer
immunotherapy. Its mRNA expression is restricted to breast, testis, prostate and
breast cancer by RT-PCR. In this study, we correlated NY-BR-1 protein and mRNA
expression on tissue microarrays of mammary, prostatic and testicular
malignancies using immunohistochemistry and in situ hybridization with probes for
exon 4-7 and 30-33. NY-BR-1 mRNA was confined to primary spermatocytes,
suggesting a role in spermatogenesis. Exon 4-7 and 30-33 were equally expressed
this cell type. However, NY-BR-1 was absent in all germ cell tumours analyzed (n
= 475) and present in one of 56 (2%) prostate carcinomas. In breast, NY-BR-1 mRNA
expression was detected in 307 of 442 (70%) primary carcinomas, with strong
correlation to its protein expression (p < 0.0001). mRNA expression was
significantly stronger and more frequently detected by the exon 30-33 probe than
by the exon 4-7 probe (70% vs. 35%, p < 0.0001), indicating the presence of
alternative splice variants that lack 5-prime sequences. A similar restricted
mRNA pattern was also observed in the normal breast epithelium. NY-BR-1 protein
and mRNA correlated significantly with estrogen receptor alpha (ER alpha) protein
expression (p < 0.0001), with stronger association to NY-BR-1 mRNA than protein
(odds ratio 7.7 compared to 4.6). We identified 4 estrogen response elements
(ERE)-like sequences nearby the promoter region, suggesting that NY-BR-1
transcription might be controlled by ER alpha. Accordingly, analysis of matching
pairs of primary tumors with their recurrences showed a marked decrease of NY-BR
1 expression in recurrences after tamoxifen treatment (p < 0.0001).
PMID- 18041743
TI - STN-stimulation in Parkinson's disease restores striatal inhibition of
thalamocortical projection.
AB - To test the hypothesis that deep brain stimulation of the subthalamic nucleus
(STN) restores the inhibitory output to the striatothalamocortical loop in
Parkinson's disease, we obtained functional brain images of blood flow in 10 STN
stimulated patients with Parkinson's disease. Patients were immobile and off
antiparkinsonian medication for 12 h. They were scanned with and without
bilateral STN-stimulation with a 4-h interval between the two conditions. The
order of DBS stimulation (ON or OFF) was randomized. Stimulation significantly
raised regional cerebral blood flow (rCBF) bilaterally in the STN and in the left
nucleus lentiformis. Conversely, flow declined in the left supplementary motor
area (BA 6), ventrolateral nucleus of the left thalamus, and right cerebellum.
Activation of the basal ganglia and deactivation of supplementary motor area and
thalamus were both correlated with the improvement of motor function. The result
is consistent with the explanation that stimulation in resting patients raises
output from the STN with activation of the inhibitory basal ganglia output nuclei
and subsequent deactivation of the thalamic anteroventral and ventrolateral
nuclei and the supplementary motor area.
PMID- 18041744
TI - Activation of mitogen activated protein kinase (MAPK) pathways after soman
poisoning in rat cerebellar granule neurons.
AB - The expression of activated p38 mitogen-activated protein kinase (MAPK) and
activated MAPK transcription factors c-jun, c-myc and elk-1 were examined in rat
cerebellum after soman poisoning to determine the pathogenetic mechanism of the
non-specific long-term effects of nerve agents. Male Wistar rats were poisoned by
intramuscular administration of soman at a dose 60 microg kg(-1) (70% LD(50)) and
samples were taken 1, 7 and 14 days after poisoning, immunohistochemically
stained and p-p38MAPK, p-c-jun, p-c-myc and p-elk-1 expressions were measured
using image analysis. Control groups were administered with saline instead of
soman. The expression of activated p38MAPK and c-myc increased 14 days after
soman poisoning while c-jun and elk-1 expressions remained unchanged 1, 7 and 14
days after soman poisoning. Delayed activation of p38 MAPK and its targets might
be involved in the pathogenetic mechanism of the long-term neurophysiological
toxic effects of nerve agents.
PMID- 18041745
TI - Bmi-1 expression predicts prognosis for patients with gastric carcinoma.
AB - BACKGROUND AND OBJECTIVE: The Bmi-1 gene is a transcriptional repressor involved
in oncogenesis in various human cancers. Here, we examine Bmi-1 expression in
gastric carcinoma (GC) and investigates whether its expression correlates with
patient prognosis. METHODS: Immunohistochemistry was performed using an anti-Bmi
1 antibody on primary tumor samples of 146 cases of GC. The association between
Bmi-1 expression and the clinicopathological status and prognosis of GC patients
was statistically analyzed. Furthermore, reverse transcription-PCR (RT-PCR) and
Western blotting were performed to determine the expression levels of Bmi-1 in an
additional 8 GC and the adjacent non-cancerous samples. RESULTS: Using
immunohistochemistry, we found that 99 of 146 paraffin-embedded GC samples
expressed Bmi-1 extensively. Statistical analysis showed that Bmi-1
overexpression was highly correlated with tumor size, clinical stage, lymph node
metastasis and T classification (P < 0.05), Patients with Bmi-1 expression had
shorter overall survival time than those without Bmi-1 expression (P < 0.01).
Multivariate analysis indicated that Bmi-1 expression is an independent
prognostic factor of GC. RT-PCR and Western blotting showed that Bmi-1 was up
regulated at both the transcriptional and translational levels in the GC tissues
compared with the adjacent non-cancerous tissues. CONCLUSIONS: Bmi-1 may serve as
a valuable marker for diagnosis and prognosis of GC.
PMID- 18041746
TI - Liver resection for metastases from breast cancer.
AB - BACKGROUND AND OBJECTIVES: The value of liver resection for metastases from
breast cancer is still controversial. This study was conducted to clarify safety
and effectiveness of hepatectomy in this conditions and to identify selection
criteria for patients suitable for liver resection. METHODS: From January 1988 to
December 2006, 39 patients underwent liver resection for metastases from breast
cancer. The outcome of these 39 patients was retrospectively reviewed using a
prospective database. Prognostic factors for patient survival were determined by
univariate and multivariate analysis. RESULTS: None of the patients died
perioperatively and the morbidity rate was 13% (n = 5). The overall 1-, 3-, and 5
year survival rates were 77%, 50%, and 42%, respectively. In the univariate
analysis, metastatic manifestation prior to hepatectomy, vascular invasion and
resection margin revealed statistically significant influence on survival. The
multivariate analysis identified only resection margin as an independent
prognostic factor for survival. CONCLUSIONS: Liver resection should be considered
in the multimodal treatment approach of patients with metastatic breast cancer.
Hepatic resection can be performed with low risk and offers a chance of long-term
survival. Achievement of a curative resection and less important absence of
previous metastatic manifestation represent suitable criteria to select patients
for liver resection.
PMID- 18041747
TI - Detection and characterization of vascular endothelial growth factors and their
receptors in a series of angiosarcomas.
AB - BACKGROUND: Angiosarcomas are malignant mesenchymal neoplasms, including sarcomas
of presumptive vascular endothelial origin and sarcomas of probable lymphatic
origin. It is, however, often difficult to determine whether they are from blood
vascular or lymphatic endothelium. The majority of angiosarcomas are thought to
originate from vascular endothelia and spread via bloodstream to lung, but
lymphatic metastases can occur. METHODS: We investigated immunohistochemical
expression of vascular endothelial growth factors (VEGF-A, VEGF-C) and their
receptors (VEGFR-1, VEGFR-2, VEGFR-3) in a series of 34 angiosarcomas. RESULTS:
VEGF-A was expressed by 32/34 (94%), VEGF-C by 4/34 (12%), VEGFR-1 by 32/34
(94%), VEGFR-2 by 22/34 (65%), and VEGFR-3 by 27/34 (79%). Patients who expressed
low or no VEGFR-2 showed a significantly unfavorable prognosis by log-rank test
(P = 0.010) and multivariate analysis (hazard ratio, 5.16; 95% CI, 1.40-19.04; P
= 0.014). VEGFR-1 and VEGFR-3 were not significantly associated with patients'
prognosis. CONCLUSIONS: VEGF-A and VEGFR-1 were detected in diverse subtypes of
angiosarcomas. In cooperation, VEGF-A and VEGF-C are likely to be involved in the
development of angiosarcoma associated with lymphedema. VEGF-C expression may
cause susceptibility to lymphatic metastasis through tumor lymphangiogenesis.
Angiosarcoma of the scalp, which is traditionally considered as a true
hemangiosarcoma, may include some cases of lymphatic origin.
PMID- 18041748
TI - Large scale analysis of protein-binding cavities using self-organizing maps and
wavelet-based surface patches to describe functional properties, selectivity
discrimination, and putative cross-reactivity.
AB - A new method to discover similar substructures in protein binding pockets,
independently of sequence and folding patterns or secondary structure elements,
is introduced. The solvent-accessible surface of a binding pocket, automatically
detected as a depression on the protein surface, is divided into a set of surface
patches. Each surface patch is characterized by its shape as well as by its
physicochemical characteristics. Wavelets defined on surfaces are used for the
description of the shape, as they have the great advantage of allowing a
comparison at different resolutions. The number of coefficients to describe the
wavelets can be chosen with respect to the size of the considered data set. The
physicochemical characteristics of the patches are described by the assignment of
the exposed amino acid residues to one or more of five different properties
determinant for molecular recognition. A self-organizing neural network is used
to project the high-dimensional feature vectors onto a two-dimensional layer of
neurons, called a map. To find similarities between the binding pockets, in both
geometrical and physicochemical features, a clustering of the projected feature
vector is performed using an automatic distance- and density-based clustering
algorithm. The method was validated with a small training data set of 109 binding
cavities originating from a set of enzymes covering 12 different EC numbers. A
second test data set of 1378 binding cavities, extracted from enzymes of 13
different EC numbers, was then used to prove the discriminating power of the
algorithm and to demonstrate its applicability to large scale analyses. In all
cases, members of the data set with the same EC number were placed into coherent
regions on the map, with small distances between them. Different EC numbers are
separated by large distances between the feature vectors. A third data set
comprising three subfamilies of endopeptidases is used to demonstrate the ability
of the algorithm to detect similar substructures between functionally related
active sites. The algorithm can also be used to predict the function of novel
proteins not considered in training data set.
PMID- 18041749
TI - Intubation secondary to asthma exacerbation in a patient with asthma receiving
Xolair (omalizumab).
PMID- 18041750
TI - Differential effects of chronic intermittent and chronic constant hypoxia on
postnatal growth and development.
AB - Exposure to chronic constant or intermittent hypoxia (CCH or CIH) may have
different effects on growth and development in early life. In this work, we
exposed postnatal day 2 (P2) CD1 mice to CCH or CIH (11% O2) for 4 weeks and
examined the effect of hypoxia on body and organ growth until P30. Regression
analysis showed that weight increased in control, CCH and CIH cohorts with age
with r2 values of 0.99, 0.97, and 0.94, respectively. Between days 2 and 30,
slopes were 0.93+/-0.057, 0.76+/-0.108, and 0.63+/-0.061 (g/day, means+/-SEM) for
control, CIH, and CCH, respectively and significantly different from each other
(P<0.001). The slopes between P2 and P16 were 0.78+/-0.012, 0.46+/-0.002, and
0.47+/-0.019 for control, CCH and CIH, respectively. From P16 to 30, slopes were
1.12+/-0.033, 1.09+/-0.143, and 0.82+/-0.08 for control, CIH, and CCH,
respectively with no significant difference from each other, suggesting a catch
up growth in the latter part of the hypoxic period. Slower weight gain resulted
in a 12% and 23% lower body weight in CIH and CCH mice (P<0.001) by P30.
Lung/body ratios were 0.010, 0.015, 0.015 for control, CIH, and CCH at P30,
respectively. The decrease in liver, kidney, and brain weight were greater in CCH
than CIH. Smaller liver weight was shown to be due to a reduction in cell size
and cell number. Liver in CIH and CCH mice showed a 5% and 10% reduction in cell
size (P<0.05) and a reduction of 28% in cell number (P<0.001) at P30. In
contrast, CCH and CIH heart weight was 13% and 33% greater than control at P30
(P<0.05), respectively. This increase in the heart weight was due to an increase
in the size of cardiomyocytes which showed an increase of 12% and 14% (P<0.001)
for CIH and CCH, respectively as compared to control. Brain weight was 0.48 and
0.46 g for CIH and CCH, respectively (95% and 92% of normal). We concluded that
(a) CIH and CCH follow different body and organ growth patterns; (b) mostly with
CCH, the liver and kidneys are reduced in size in a proportionate way to body
size but heart, lung, and brain are either spared or increased in size compared
to body weight; and (c) the decrease in liver is secondary mostly to a decrease
in cell number.
PMID- 18041751
TI - C-reactive protein in children with obstructive sleep apnea and the effects of
treatment.
AB - OBJECTIVE: To evaluate C-reactive protein (CRP) concentration in children with
OSA and to determine the effects of treatment for OSA on its serum concentration.
METHODS: Consecutive children with habitual snoring and symptoms suggestive of
OSA were recruited. They completed a sleep apnea symptom questionnaire, underwent
physical examination and an overnight polysomnography (PSG). Fasting serum CRP
and lipid profile were taken after overnight PSG. OSA was diagnosed if
obstructive apnea index (OAI)>1. RESULTS: One hundred forty-one children with a
median (IQR) age of 10.8 (8.5-12.8) years were recruited. There were 96 boys and
the commonest presenting symptoms were nocturnal mouth breathing, prone sleeping
position and poor attention at school. Forty-five children were found to have OSA
and those with moderate disease (OAI>5) had significantly higher CRP levels
compared to their non-OSA counterparts [1.3 (0.8-3.6) vs. 0.7 (0.2-2.0), P=0.01].
Stepwise linear multiple regression analysis indicated that OAI was independently
associated with CRP (beta coefficient=0.013, P=0.001). Sixteen children underwent
treatment and there was significant reduction in their serum CRP after
intervention [pre vs. post-CRP, 1.3 (0.6-4.1) vs. 0.4 (0.2-1.3), P=0.033]. A
significant correlation was also demonstrated between change in CRP and change in
OAI (r=0.593, P=0.042) following treatment for OSA. CONCLUSION: Children with OSA
may have associated systemic inflammation as reflected by a raised CRP that
decreased significantly following treatment.
PMID- 18041752
TI - Non-invasive ventilation reduces respiratory tract infections in children with
neuromuscular disorders.
AB - Non-invasive ventilation (NIV) has been shown to improve gas exchange and
survival in patients with chronic neuromuscular disorders. Little is known about
its influence on respiratory tract infections (RTIs). Twenty-four patients with
regular use of NIV and 11 patients without NIV with neuromuscular disorders
answered a questionnaire concerning the use of NIV and assisted coughing
techniques, the status of influenza and pneumococcus vaccination, and the
frequency and severity of RTIs. Additionally, we performed a retrospective chart
review of twelve patients who were ventilated over a period of at least 5 years.
In the first year of NIV consultations of a general practitioner due to RTI
decreased from 9.2+/-20.8 to 3.2+/-5.3 per year (P<0.005), the number of
antibiotic treatment due to RTI decreased from 4.1+/-3.4 to 1.9+/-2.2 per year
(P<0.005) and the number of hospital admissions due to RTI decreased from 1.6+/
1.7 to 0.7+/-1.3 per year (P<0.005). Vaccinations against influenza and/or
pneumococcus did not have a significant influence on the rate of infections. In
12 patients using NIV for more than 5 years the incidence of RTI requiring
hospital admission decreased from 0.54+/-0.41/year in the pre-ventilation period
to 0.12+/-0.09/year in the NIV period (P<0.005). NIV had a favorable impact on
respiratory infectious complications in children with neuromuscular disorders.
PMID- 18041753
TI - An experimental model for the measurement of inspired gas temperatures in
ventilated neonates.
AB - OBJECTIVE: To determine the inspired gas temperature at points from the endo
tracheal tube (ETT) circuit manifold to the tip of the ETT in a model neonatal
lung. DESIGN: A model lung attached to standard ventilator circuit, autofeed
chamber and humidifier was ventilated using typical pressure-limited, time cycled
settings. Temperatures were measured at various distances along the ETT using a K
type thermocouple temperature probe. RESULTS: The inspired gas temperature
dropped from the circuit temperature probe site (40 degrees C) to the proximal
end of the ETT (37 degrees C). The temperature dropped further as it passed
through the exposed part of the ETT (34 degrees C) but then warmed again on
entering the lung model so that the inspired gas at the distal end of the ETT was
37 degrees C. Statistically significant differences were found with a one-way
ANOVA P-value of <0.0001. The differences between each pair of mean temperatures
were statistically significant (all P<0.001) except when comparing the proximal
end of the ETT with midway down the ETT (Bonferroni's Multiple Comparison Test,
P>0.05). CONCLUSIONS: Inspired gas temperature drops as it passes through the
circuit temperature probe site, the proximal end of the ETT and the exposed part
of the ETT. The inspired gas rewarms on entering the model lung and exits the ETT
at the desired temperature. The effect of measuring temperature closer to the
patient, setting the circuit temperature higher and/or increasing the ambient
temperature through which the circuit passes, need to be evaluated.
PMID- 18041754
TI - Spontaneous pneumothorax in children: when is invasive treatment indicated?
AB - A retrospective review of pneumothoraces in children presenting to a major
tertiary children's hospital is described. A total of 35 cases in 31 patients of
spontaneous pneumothorax were identified over a 10-year period. There was one
case of bilateral pneumothorax and three cases of recurrent pneumothoraces.
Twenty-four cases (69%) required intercostal tube catheter drainage for a mean of
4.9 days (range 2-10 days). Eleven cases, including two cases in CF patients, and
three cases in patients with Marfan's Syndrome proceeded to a secondary surgical
procedure after a continuing air leak was present for an average of 5.9 days
(range 2-16 days). In follow-up studies on 11 cases, 5 (45%) were found to have
apical abnormalities of the lung on CT scanning. Pneumothoraces were identified
in six cases of patients with cystic fibrosis as well as four in patients with
Marfan's Syndrome. This present study has suggested that unless intercostal
catheter treatment resolves the air leak associated with a spontaneous
pneumothorax within 5 days that surgical intervention is most likely to be
required to achieve a full resolution.
PMID- 18041755
TI - Pulmonary function in adolescents with ataxia telangiectasia.
AB - INTRODUCTION: Pulmonary complications are common in adolescents with ataxia
telangiectasia (A-T), however objective measurements of lung function may be
difficult to obtain because of underlying bulbar weakness, tremors, and
difficulty coordinating voluntary respiratory maneuvers. To increase the
reliability of pulmonary testing, minor adjustments were made to stabilize the
head and to minimize leaks in the system. Fifteen A-T adolescents completed lung
volume measurements by helium dilution. To assess for reproducibility of
spirometry testing, 10 A-T adolescents performed spirometry on three separate
occasions. RESULTS: Total lung capacity (TLC) was normal or just mildly decreased
in 12/15 adolescents tested. TLC correlated positively with functional residual
capacity (FRC), a measurement independent of patient effort (R2=0.71). The
majority of individuals had residual volumes (RV) greater than 120% predicted
(10/15) and slow vital capacities (VC) less than 70% predicted (9/15). By
spirometry, force vital capacity (FVC) and forced expiratory volume in 1 sec
(FEV1) values were reproducible in the 10 individuals who underwent testing on
three separate occasions (R=0.97 and 0.96 respectively). Seven of the 10
adolescents had FEV1/FVC ratios>90%. CONCLUSION: Lung volume measurements from A
T adolescents revealed near normal TLC values with increased RV and decreased VC
values. These findings indicate a decreased ability to expire to residual volume
rather then a restrictive defect. Spirometry was also found to be reproducible in
A-T adolescents suggesting that spirometry testing may be useful for tracking
changes in pulmonary function over time in this population.
PMID- 18041756
TI - Congenital central hypoventilation syndrome: PHOX2B genotype determines risk for
sudden death.
AB - OBJECTIVE: Children with Congenital Central Hypoventilation Syndrome (CCHS) have
cardiovascular symptoms consistent with the autonomic nervous system
dysregulation/dysfunction (ANSD) phenotype. We hypothesized that children with
CCHS would have a relationship between PHOX2B genotype and two clinically
applicable cardiovascular measures of ANSD: duration of longest r-r interval and
longest corrected QT interval (QTc). MATERIALS AND METHODS: We studied 501 days
of Holter recordings from 39 individuals with PHOX2B mutation-confirmed CCHS, and
analyzed longest r-r and QTc intervals with respect to PHOX2B genotype. RESULTS:
We determined that longest r-r interval varied by genotype (P=0.001), with a
positive correlation between repeat number and longest r-r interval duration
(P=0.0007). Number of children with a longest r-r interval value>or=3 sec varied
by genotype (P<0.0001): 0% with the 20/25 genotype, 19% with the 20/26 genotype,
and 83% with the 20/27 genotype. Though longest QTc interval did not vary by
genotype (P=0.09), all children with CCHS had at least one Holter with a QTc
interval>450 msec, and percent of time with QTc>450 msec exceeded published
values. The proportion of subjects who received a cardiac pacemaker due to
prolonged r-r interval was greater for the children with the 20/27 genotype (67%)
than the 20/25 (0%) or 20/26 genotype (25%) (P=0.01). Among three children who
did not receive a cardiac pacemaker, but who had r-r intervals>or=3 sec, two died
suddenly. CONCLUSIONS: These results confirm a disturbance of cardiac autonomic
regulation in CCHS, indicate that PHOX2B genotype is related to the severity of
dysregulation, predict the need for cardiac pacemaker, and offer the clinician
the potential to avert sudden death.
PMID- 18041757
TI - Percutaneous penetration and absorption of parathion using human and pig skin
models in vitro and human skin grafted onto nude mouse skin model in vivo.
AB - This study determined and compared the percutaneous penetration and absorption of
an organophosphorus (OP) pesticide, parathion (PA), using three experimental skin
models: namely the human abdominal- and pig-ear skin in vitro models and the
Human Skin grafted onto a nude mouse (HuSki) in vivo model. The percentage of
topically applied dose absorbed and the doses present in the stratum corneum and
skin were systematically determined at 24 h under similar experimental
conditions. The three experimental skin models were first compared. Then, the
advantages of the HuSki model for in vivo PA skin absorption studies were
evaluated compared with the pig in vivo model previously used by others. Lastly,
the relevance of each skin model to predict the permeability of human skin to PA
in vivo was assessed by comparing our results with previously published in vivo
human volunteer values. It was demonstrated that (a) pig-ear skin is relevant for
predicting the in vitro human abdominal skin absorption taking into account a 2-3
times higher skin permeability to PA, (b) using ethanol as the vehicle, the
absorption of PA was 4-5 times higher in the HuSki model than in the pig model
but supports the usefulness of the HuSki model to easy mass balance studies, (c)
both human in vitro and HuSki models closely predict the in vivo human volunteer
absorption at 24 h when acetone is used as a vehicle but the HuSki model
overcomes the known limitations of in vitro models for studying the fate of PA in
the different skin layers after topical application.
PMID- 18041758
TI - Crystallographic and computational studies on 4-phenyl-N-(beta-D-glucopyranosyl)
1H-1,2,3-triazole-1-acetamide, an inhibitor of glycogen phosphorylase: comparison
with alpha-D-glucose, N-acetyl-beta-D-glucopyranosylamine and N-benzoyl-N'-beta-D
glucopyranosyl urea binding.
AB - 4-Phenyl-N-(beta-D-glucopyranosyl)-1H-1,2,3-triazole-1-acetamide
(glucosyltriazolylacetamide) has been studied in kinetic and crystallographic
experiments with glycogen phosphorylase b (GPb), in an effort to utilize its
potential as a lead for the design of potent antihyperglycaemic agents. Docking
and molecular dynamics (MD) calculations have been used to monitor more closely
the binding modes in operation and compare the results with experiment. Kinetic
experiments in the direction of glycogen synthesis showed that
glucosyltriazolylacetamide is a better inhibitor (K(i) = 0.18 mM) than the parent
compound alpha-D-glucose (K(i) = 1.7 mM) or beta-D-glucose (K(i) = 7.4 mM) but
less potent inhibitor than the lead compound N-acetyl-beta-D-glucopyranosylamine
(K(i) = 32 microM). To elucidate the molecular basis underlying the inhibition of
the newly identified compound, we determined the structure of GPb in complex with
glucosyltriazolylacetamide at 100 K to 1.88 A resolution, and the structure of
the compound in the free form. Glucosyltriazolylacetamide is accommodated in the
catalytic site of the enzyme and the glucopyranose interacts in a manner similar
to that observed in the GPb-alpha-D-glucose complex, while the substituent group
in the beta-position of the C1 atom makes additional hydrogen bonding and van der
Waals interactions to the protein. A bifurcated donor type hydrogen bonding
involving O3H, N3, and N4 is seen as an important structural motif strengthening
the binding of glucosyltriazolylacetamide with GP which necessitated change in
the torsion about C8-N2 bond by about 62 degrees going from its free to the
complex form with GPb. On binding to GP, glucosyltriazolylacetamide induces
significant conformational changes in the vicinity of this site. Specifically,
the 280s loop (residues 282-288) shifts 0.7 to 3.1 A (CA atoms) to accommodate
glucosyltriazolylacetamide. These conformational changes do not lead to increased
contacts between the inhibitor and the protein that would improve ligand binding
compared with the lead compound. In the molecular modeling calculations, the GOLD
docking runs with and without the crystallographic ordered cavity waters using
the GoldScore scoring function, and without cavity waters using the ChemScore
scoring function successfully reproduced the crystallographic binding
conformation. However, the GLIDE docking calculations both with (GLIDE XP) and
without (GLIDE SP and XP) the cavity water molecules were, impressively, further
able to accurately reproduce the finer details of the GPb
glucosyltriazolylacetamide complex structure. The importance of cavity waters in
flexible receptor MD calculations compared to "rigid" (docking) is analyzed and
highlighted, while in the MD itself very little conformational flexibility of the
glucosyltriazolylacetamide ligand was observed over the time scale of the
simulations.
PMID- 18041759
TI - Modeling of metal interaction geometries for protein-ligand docking.
AB - The accurate modeling of metal coordination geometries plays an important role
for structure-based drug design applied to metalloenzymes. For the development of
a new metal interaction model, we perform a statistical analysis of metal
interaction geometries that are relevant to protein-ligand complexes. A total of
43,061 metal sites of the Protein Data Bank (PDB), containing amongst others
magnesium, calcium, zinc, iron, manganese, copper, cadmium, cobalt, and nickel,
were evaluated according to their metal coordination geometry. Based on
statistical analysis, we derived a model for the automatic calculation and
definition of metal interaction geometries for the purpose of molecular docking
analyses. It includes the identification of the metal-coordinating ligands, the
calculation of the coordination geometry and the superposition of ideal polyhedra
to identify the optimal positions for free coordination sites. The new
interaction model was integrated in the docking software FlexX and evaluated on a
data set of 103 metalloprotein-ligand complexes, which were extracted from the
PDB. In a first step, the quality of the automatic calculation of the metal
coordination geometry was analyzed. In 74% of the cases, the correct prediction
of the coordination geometry could be determined on the basis of the protein
structure alone. Secondly, the new metal interaction model was tested in terms of
predicting protein-ligand complexes. In the majority of test cases, the new
interaction model resulted in an improved docking accuracy of the top ranking
placements.
PMID- 18041760
TI - Stabilization of antibody structure upon association to a human carbonic
anhydrase IX epitope studied by X-ray crystallography, microcalorimetry, and
molecular dynamics simulations.
AB - Specific antibodies interfere with the function of human tumor-associated
carbonic anhydrase IX (CA IX), and show potential as tools for anticancer
interventions. In this work, a correlation between structural elements and
thermodynamic parameters of the association of antibody fragment Fab M75 to a
peptide corresponding to its epitope in the proteoglycan-like domain of CA IX, is
presented. Comparisons of the crystal structures of free Fab M75 and its complex
with the epitope peptide reveal major readjustments of CDR-H1 and CDR-H3. In
contrast, the overall conformations and positions of CDR-H2 and CDR-L2 remain
unaltered, and their positively charged residues may thus present a fixed frame
for epitope recognition. Adoption of the altered CDR-H3 conformation in the
structure of the complex is accompanied by an apparent local stabilization.
Analysis of domain mobility with translation-libration-screw (TLS) method shows
that librations of the entire heavy chain variable domain (V(H)) decrease and
reorient in the complex, which correlates well with participation of the heavy
chain in ligand binding. Isothermal titration microcalorimetry (ITC) experiments
revealed a highly unfavorable entropy term, which can be attributed mainly to the
decrease in the degrees of freedom of the system, the loss of conformational
freedom of peptide and partially to a local stabilization of CDR-H3. Moreover, it
was observed that one proton is transferred from the environment to the protein
ligand complex upon binding. Molecular dynamics simulations followed by molecular
mechanics/generalized Born surface area (MM-GBSA) calculations of the ligand
(epitope peptide) binding energy yielded energy values that were in agreement
with the ITC measurements and indicated that the charged residues play crucial
role in the epitope binding. Theoretical arguments presented in this work
indicate that two adjacent arginine residues (ArgH50 and ArgH52) are responsible
for the observed proton transfer.
PMID- 18041761
TI - CO escape from myoglobin with metadynamics simulations.
AB - The relatively small size of myoglobin makes it suitable for the investigation of
the ligand escape process in respiratory proteins and, in general, an ideal model
system for the study of the more general structure-function paradigm. In this
work, we use Molecular Dynamics simulations combined with an accelerated
algorithm, the metadynamics, to probe the escape of CO from myoglobin. Our
approach permits to quantitatively describe the escape process via the
reconstruction of the associated free energy surface. Additionally, hints on the
involvement of a larger numbers of residues than hitherto assumed in the gating
process are extracted from our data.
PMID- 18041762
TI - Estimating quality of template-based protein models by alignment stability.
AB - The error in protein tertiary structure prediction is unavoidable, but it is not
explicitly shown in most of the current prediction algorithms. Estimated error of
a predicted structure is crucial information for experimental biologists to use
the prediction model for design and interpretation of experiments. Here, we
propose a method to estimate errors in predicted structures based on the
stability of the optimal target-template alignment when compared with a set of
suboptimal alignments. The stability of the optimal alignment is quantified by an
index named the SuboPtimal Alignment Diversity (SPAD). We implemented SPAD in a
profile-based threading algorithm and investigated how well SPAD can indicate
errors in threading models using a large benchmark dataset of 5232 alignments.
SPAD shows a very good correlation not only to alignment shift errors but also
structure-level errors, the root mean square deviation (RMSD) of predicted
structure models to the native structures (i.e. global errors), and local errors
at each residue position. We have further compared SPAD with seven other quality
measures, six from sequence alignment-based measures and one atomic statistical
potential, discrete optimized protein energy (DOPE), in terms of the correlation
coefficient to the global and local structure-level errors. In terms of the
correlation to the RMSD of structure models, when a target and a template are in
the same SCOP family, the sequence identity showed a best correlation to the
RMSD; in the superfamily level, SPAD was the best; and in the fold level, DOPE
was best. However, in a head-to-head comparison, SPAD wins over the other
measures. Next, SPAD is compared with three other measures of local errors. In
this comparison, SPAD was best in all of the family, the superfamily and the fold
levels. Using the discovered correlation, we have also predicted the global and
local error of our predicted structures of CASP7 targets by the SPAD. Finally, we
proposed a sausage representation of predicted tertiary structures which
intuitively indicate the predicted structure and the estimated error range of the
structure simultaneously.
PMID- 18041763
TI - Polyubiquitylation of PARP-1 through ubiquitin K48 is modulated by activated DNA,
NAD+, and dipeptides.
AB - Poly(ADP-ribose) polymerase-1 (PARP-1) is the most abundant and the best-studied
isoform of a family of enzymes that catalyze the polymerization of ADP-ribose
from NAD(+) onto target proteins. PARP-1 is well known to involve in DNA repair,
genomic stability maintenance, transcription regulation, apoptosis, and necrosis.
Polyubiquitylation targets proteins towards degradation and regulates cell cycle
progression, transcription, and apoptosis. Here we report polyubiquitylation of
PARP-1 in mouse fibroblasts in the presence of proteasome inhibitor and in full
length recombinant PARP-1 in vitro under standard ubiquitylation assay conditions
by immunoprecipitation and immunoblotting. Mutation of ubiquitin K48R but not
ubiquitin K63R abolishes polyubiquitylation of PARP-1, indicating that K48 of
ubiquitin was used in the formation of polyubiquitin chain and that ubiquitylated
PARP-1 is likely destined for degradation. Full-length PARP-1 was ubiquitylated
most likely at the N-terminal 24 kDa domain of PARP-1 as suggested by the
inhibition of ubiquitylation by activated DNA and the absence of polyubiquitin in
the C-terminal 89 kDa PARP-1 derived from caspase-catalyzed cleavage. NAD(+)
inhibited ubiquitylation of PARP-1, while dipeptides ArgAla and LeuAla enhanced
ubiquitylation of PARP-1. ATP inhibited the synthesis of poly(ADP-ribose) by PARP
1 and affinity purified polyubiquitylated PARP-1 was active in PAR synthesis. The
results suggest polyubiquitylation of PARP-1 could regulate poly(ADP
ribosyl)ation of nuclear proteins by PARP-1 and consequently apoptosis and PARP-1
regulated cellular processes through ubiquitin-dependent degradation pathways.
PMID- 18041764
TI - Overexpression of Par-4 enhances thapsigargin-induced apoptosis via down
regulation of XIAP and inactivation of Akt in human renal cancer cells.
AB - The prostate-apoptosis-response-gene-4 (Par-4) protein has been shown to function
as an effector of cell death in response to various apoptotic stimuli that
trigger mitochondria and membrane receptor-mediated cell death pathways. We found
that overexpressing Par-4 by stable transfection sensitizes Caki cells to
induction of apoptosis by TRAIL and drugs that induce endoplasmic reticulum (ER)
stress [thapsigargin (TG), tunicamycin (TU) and etoposide]. Ectopic expression of
Par-4 is associated with decreased levels of XIAP protein in TG-treated cells,
caused in part by XIAP protein instability and caspase activation. Levels of
phospho-Akt are decreased in Caki/Par-4 cells to a significantly greater extent
than in Caki/Vector cells by treatment with TG, and this is in turn associated
with decreased levels of phospho-PDK1, the kinase upstream of Akt. In conclusion,
we provide evidence that ectopic expression of Par-4 sensitizes Caki cells to TG
and that XIAP protein instability and inactivation of Akt are important in
cellular pathways affected by Par-4.
PMID- 18041766
TI - The cancer nuclear microenvironment: interface between light microscopic cytology
and molecular phenotype.
AB - A definitive diagnosis of cancer may be rendered by microscopic assessment of
only a few cells in an appropriate clinical setting due to the distinctive
nuclear structure of most cancer cells in comparison to nuclei of normal human
cells. The molecular architecture of non-neoplastic human nuclei--of the nuclear
matrix and of matrix-associated proteins and nucleic acids--is being
characterized in exquisite molecular detail. What is missing is the application
of the findings and tools of molecular biology to understanding the cytological
structure of cancer nuclei. This article delves into the basis of nuclear
structure at different levels of resolution--light microscopic, electron
microscopic, and molecular.
PMID- 18041765
TI - Developmental expression and differential cellular localization of obscurin and
obscurin-associated kinase in cardiac muscle cells.
AB - Obscurin and obscurin-associated kinase are two products of the obscurin
transcriptional unit that encodes a recently identified giant muscle-specific
protein obscurin. In this study, we characterized the developmental expression
and cellular localization of obscurin and obscurin-associated kinase in cardiac
muscle cells. We cloned murine obscurin-associated kinase and found that it is
abundantly expressed in the heart as two isotypes encoded by 2.2 and 4.9 kb
sequences. The 2.2 kb isotype of the kinase was more prominently expressed than
the 4.9 kb isotype. Both obscurin and the kinase-like domains were progressively
upregulated since the early stages of cardiac development. Obscurin-associated
kinase was expressed at higher levels than obscurin at early stages of
cardiomyogenesis. Increasing intensity of obscurin expression in the developing
heart positively correlated with progressive cell differentiation and was higher
in the ventricles compared to the atria. These data were supported by the results
of experiments with primary cardiac cell cultures. Obscurin localization changed
from a weakly immunopositive diffuse pattern in poorly differentiated cells to an
intensely immunolabeled cross-striated distribution at the level of mid-A-bands
and Z-disks during the assembly of the myofibrillar contractile apparatus. In
dividing myocytes, unlike the interphase cells, obscurin translocated from
disassembling myofibrils into a diffuse granulated pattern segregated separately
from alpha-actinin-immunopositive aggregates. Obscurin-associated kinase was
localized mainly to cell nuclei with increasing incorporation into the Z-disks
during differentiation. Our results suggest that these two novel proteins are
involved in the progression of cardiac myogenesis during the transition to
advanced stages of heart development.
PMID- 18041768
TI - FGF-1-induced matrix metalloproteinase-9 expression in breast cancer cells is
mediated by increased activities of NF-kappaB and activating protein-1.
AB - Matrix metalloproteinase-9 (MMP-9) plays a critical role in tumor invasion and
metastasis. Here, we investigate the effect of fibroblast growth factor-1 (FGF-1)
on the expression of MMP-9 in ENU1564, an ethyl-N-nitrosourea-induced rat mammary
adenocarcinoma cell line. We observed that FGF-1 induces a dose-dependent
increase in MMP-9 mRNA, protein, and activity in ENU1564 cells. To gain insight
into the molecular mechanism of MMP-9 regulation by FGF-1, we investigated the
role of components of PI3K-Akt and MEK1/2-ERK signaling pathways in our system
since NF-kappaB and AP-1 transcription factor binding sites have been
characterized in the upstream region of the MMP-9 gene. We demonstrated that FGF
1 increases Akt phosphorylation, triggers nuclear translocation of NF-kappaBp65,
and enhances degradation of cytoplasmic IkappaBalpha. Pretreatment of cells with
LY294002, a PI3K inhibitor, significantly inhibited MMP-9 protein expression in
FGF-1-treated cells. Conversely, our data show that FGF-1 increases ERK
phosphorylation in ENU1564 cells, increases c-jun and c-fos mRNA expression in a
time-dependent manner, and triggers nuclear translocation of c-jun. Pretreatment
of cells with PD98059, a MEK1/2 inhibitor significantly inhibited MMP-9 protein
expression in FGF-1 treated cells. Finally, we observed increased DNA binding of
NF-kappaB and AP-1 in FGF-1-treated cells and that mutation of either NF-kappaB
or AP-1 response elements prevented MMP-9 promoter activation by FGF-1. Taken
together, these results demonstrated that FGF-1-induced MMP-9 expression in
ENU1564 cells is associated with increasing DNA binding activities of NF-kappaB
and AP-1 and involve activation of a dual signaling pathway, PI3K-Akt and MEK1/2
ERK.
PMID- 18041769
TI - Bladder voiding by combined high frequency electrical pudendal nerve block and
sacral root stimulation.
AB - AIMS: Uncoordinated contraction of the external urethral sphincter is prevalent
in individuals with spinal cord injury and can prevent bladder voiding. The aim
of this study was to demonstrate that complete and reversible sinusoidal high
frequency alternating current (HFAC) conduction block of the pudendal nerves (PN)
can eliminate external urethral sphincter activation and produce low residual
bladder voiding. METHODS: In four cats, tripolar nerve cuff electrodes were
implanted bilaterally on both pudendal nerves and on both extradural S2 roots.
Bladder and urethral pressures, bladder volumes and flow were recorded. Bilateral
HFAC was applied to determine voltage and frequency parameters resulting in
bilateral PN conduction block. Sacral root stimulation provided bladder
activation. Randomized sets of voiding trials were conducted with and without
HFAC PN block. Additional voiding trials were conducted following bilateral PN
neurotomy to eliminate somatic sphincter resistance and provide an estimate of
voiding with complete block. RESULTS: Effective bilateral PN block and voiding
was obtained in three of four animals. Application of bilateral PN HFAC
stimulation improved voiding from 2 +/- 4% to 77 +/- 18% of the initial bladder
volume and significantly (P < 0.001) reduced maximum bladder pressure during
voiding. Voiding in trials with PN block was not significantly different from
voiding following PN neurotomy (82 +/- 19%, P = 0.51). CONCLUSIONS: These results
demonstrate that bilateral HFAC block of the PN can produce effective voiding.
Neural prostheses using this approach may provide an alternative method for
producing micturition for people with spinal cord injury.
PMID- 18041770
TI - Diabetes mellitus and female lower urinary tract symptoms: a review.
AB - Diabetes mellitus (DM) has reached epidemic proportions world wide. Many chronic
complications of DM, including neuropathy, retinopathy and nephropathy, have been
well studied and although urologic complications have been recognized since 1935,
little is known about DM as a pathophysiological risk factor for development of
lower urinary tract symptoms (LUTS) in women. Diabetic nephropathy, a life
threatening condition, has received considerable attention in the last few years.
Diabetic cystopathy, on the other hand, has received far less attention despite
having a significant impact on quality of life, and with significant individual
health risks. Initial studies suggested that long standing DM causes paralysis of
the detrusor muscle leading to voiding difficulties and this has been the
received wisdom regarding diabetic cystopathy for many years. In this review, we
discuss what is currently known about lower urinary tract function and urinary
incontinence in diabetic females, with a critical analysis of the available
evidence and suggest areas for future research.
PMID- 18041772
TI - Developmental expression of glutamic acid decarboxylase and of gamma-aminobutyric
acid type B receptors in the ascidian Ciona intestinalis.
AB - We describe Ciona intestinalis gamma-aminobutyric acid (GABA)-ergic neurons
during development, studying the expression pattern of Ci-GAD (glutamic acid
decarboxylase: GABA synthesizing enzyme) by in situ hybridization. Moreover, we
cloned two GABA(B) receptor subunits (Ci-GABA(B)Rs), and a phylogenetic analysis
(neighbor-joining method) suggested that they clustered with their vertebrate
counterparts. We compared Ci-GAD and Ci-GABA(B)Rs expression patterns in C.
intestinalis embryos and larvae. At the tailbud stage, Ci-GAD expression was
widely detected in central and peripheral nervous system (CNS/PNS) precursors,
whereas Ci-GABA(B)Rs expression was evident at the level of the precursors of the
visceral ganglion. GABA was localized by immunohistochemistry at the same
developmental stage. In the larva, Ci-GAD transcripts and GABA immunofluorescence
were also detected throughout the CNS and in some neurons of the PNS, whereas
transcripts of both GABA(B) receptor subunits were found mainly in the CNS. The
expression pattern of Ci-GABA(B)Rs appeared restricted to Ci-GAD-positive
territories in the sensory vesicle, whereas, in the visceral ganglion, Ci
GABA(B)Rs transcripts were found in ventral motoneurons that did not express Ci
GAD. Insofar as GABAergic neurons are widely distributed also in the CNS and PNS
of vertebrates and other invertebrate chordates, it seems likely that GABA
signaling was extensively present in the protochordate nervous system. Results
from this work show that GABA is the most widespread inhibitory neurotransmitter
in C. intestinalis nervous system and that it can signal through GABA(B)
receptors both pre- and postsynaptically to modulate different sensory inputs and
subsequent swimming activity.
PMID- 18041773
TI - Emergence of cellular markers and functional ionotropic glutamate receptors on
tangentially dispersed cells in the developing mouse retina.
AB - Tangential cell dispersion in the retina is a spacing mechanism that establishes
a regular mosaic organization among cell types and contributes to their final
positioning. The present study has used the X-inactivation transgenic mouse
expressing the lacZ reporter gene on one X chromosome. Due to X chromosome
inactivation, 50% of early progenitor cells express beta-galactosidase (beta
Gal); therefore, all cells derived from a particular beta-Gal-expressing
progenitor cell can be identified in labeled columns. The radial segregation of
clonally related beta-Gal-positive and beta-Gal-negative cells can be used to
determine whether single cells transgress a clonal boundary in the retina. We
investigated the extent to which particular cell classes tangentially disperse by
analyzing the placement of labeled cells expressing particular markers at several
ages and quantifying their tangential displacement. Retinal neurons expressing
cell markers at postnatal day (P) 1 have a greater degree of tangential
dispersion compared with amacrine and bipolar cells at P5-6. We also studied
whether there is a functional correlation with these dispersion patterns by
investigating the emergence of functional ionotropic glutamate receptors. To
determine the degree of functional glutamate receptor activation, agmatine (AGB)
was used in combination with cell-specific labeling. AGB permeates functional
glutamate receptor channels following activation with alpha-amino-3-hydroxy-5
methyl-4-isoxazolepropionic acid (AMPA), kainate or N-methyl-D-aspartate (NMDA).
Within these receptor groups, high concentrations of AMPA, kainate, and NMDA are
associated with a high degree of tangential dispersion in the adult.
Developmentally, functional kainate and AMPA receptors were detected by P1 and
were associated with tangentially dispersed cells. Functional NMDA receptors were
not detected as early as kainate and AMPA receptors. These results indicate that
cells generated early during development are more likely to disperse tangentially
compared with those generated later in development. Therefore, functional AMPA
and kainate receptors may play a critical role in tangentially displacing cell
types.
PMID- 18041774
TI - Expression profile of the cadherin family in the developing Drosophila brain.
AB - The Drosophila genome encodes 17 members of the cadherin family of adhesion
molecules, which in vertebrates has been implicated in patterning the nervous
system through cell and axon sorting. With only a few exceptions all cadherins
show widespread expression in the larval brain. What expression patterns have in
common is that 1) they are global, in the sense that all lineages of the central
brain or optic lobe, or both, show expression; and 2) expression is stage
specific: some cadherins are expressed only in primary neurons (located closest
to the neuropile), others in early secondary neurons (near the brain surface), or
primaries plus late secondaries. The Fat-like cadherins, Fat and Dachsous, as
well as Cad96Ca and Cad74A, are expressed in the epithelial optic lobe anlagen,
which matches the widespread epithelial expression of these molecules in the
embryo. DE-cadherin is restricted to immature secondary neurons and glia; by
contrast, DN-cadherin, Flamingo, Cad87A, Cad99C, and Calsyntenin-1 appear in
differentiating primary neurons and, at a later stage, some or all secondary
neurons. Cad87A is strongly enriched apically in epithelia and in neuronal
dendrites. Fat-like, Cad86C, Cad88C, Cad89D, and Dret are expressed ubiquitously
in embryonic and larval brains at low or moderate levels. We conclude from this
analysis that cadherins are likely to play a role in 'generic' neural functions,
such as neurite fasciculation, branching, and synapse formation.
PMID- 18041775
TI - The heterozygous LMNA mutation p.R471G causes a variable phenotype with features
of two types of familial partial lipodystrophy.
AB - We report on a novel LMNA mutation (p.R471G) in a proband affected by a syndrome
comprising partial lipodystrophy, insulin-resistant diabetes, acanthosis
nigricans, liver steatosis, muscle weakness, and contractures. This phenotype has
features of both types 1 and 2 familial partial lipodystrophy. The sister and
father of the proband had the same mutation. The sister was more mildly affected
and the father was apparently unaffected, demonstrating variable expressivity and
reduced penetrance for this mutation.
PMID- 18041776
TI - Heterogeneous expression of SNAP-25 in rat and human brain.
AB - Synaptosomal associated protein of 25 kDa (SNAP-25) is a SNARE component of the
exocytotic apparatus involved in the release of neurotransmitter. We used
multiple-labeling immunofluorescence, confocal microscopy, and ultrastructural
immunocytochemistry to examine the expression of SNAP-25 in excitatory and
inhibitory terminals from different rat and human brain areas. Glutamatergic and
GABAergic terminals were identified by staining for the vesicular glutamate
transporter (vGLUT1), glutamic acid decarboxylase (GAD67), or the vesicular GABA
transporter (vGAT). In all examined areas GABAergic terminals did not display
detectable levels of SNAP-25, whereas glutamatergic terminals expressed the
protein to a variable extent. Codistribution analysis revealed a high
colocalization between pixels detecting SNAP-25 labeling and pixels detecting
vGLUT1 immunoreactivity. On the contrary, a low degree of pixel colocalization,
comparable to that between two unrelated antigens, was detected between SNAP-25
and vGAT, thus suggesting a random overlap of immunofluorescence signals. Our
immunofluorescence evidence was supported by ultrastructural data, which clearly
confirmed that SNAP-25 was undetectable in GABAergic terminals identified by both
their typical morphology and specific staining for GABA. Interestingly, our
ultrastructural results confirmed that a subset of glutamatergic synapses do not
contain detectable levels of SNAP-25. The present study extends our previous
findings obtained in rodent hippocampus and provides evidence that SNAP-25
expression is highly variable between different axon terminals both in rat and
human brain. The heterogeneous distribution of SNAP-25 may have important
implications not only in relation to the function of the protein as a SNARE but
also in the control of network excitability.
PMID- 18041777
TI - Semaphorins 3A, 3C, and 3F in mesencephalic dopaminergic axon pathfinding.
AB - By analyzing the mechanisms that govern dopaminergic axon pathfinding from the
midbrain to the striatum in embryonic rat brains, we identified neuroepithelial
regions that exert chemotropic effects on mesencephalic dopaminergic axons.
Explants from the pretectum and the striatum showed an attractive effect, whereas
those from the midhindbrain boundary, the dorsal thalamus, and the ventral
thalamus had no effect. Expression of semaphorin (Sema) 3C and Sema3F in the
pretectum and of Sema3A in the striatum suggested a role for these axon guidance
molecules in dopaminergic axon pathfinding. When expressed in HEK293 cell
aggregates, Sema3C had an attractive effect and enhanced axon growth, Sema3A
enhanced axon growth, and Sema3F had a repulsive effect on dopaminergic axons.
Antineuropilin-1 and antineuropilin-2 antibodies reduced attraction by the
pretectum, whereas attraction by the striatum was not affected by the presence of
antineuropilin-1 antibodies. Moreover, neuropilin-1- and neuropilin-2-soluble Fc
chimeras reduced the attraction by the pretectum. These results suggest that
semaphorins may help to establish the dopaminergic projection from the midbrain
to the striatum during embryonic development.
PMID- 18041778
TI - Identification of major classes of cholinergic neurons in the nematode
Caenorhabditis elegans.
AB - The neurotransmitter acetylcholine (ACh) is specifically synthesized by the
enzyme choline acetyltransferase (ChAT). Subsequently, it is loaded into synaptic
vesicles by a specific vesicular acetylcholine transporter (VAChT). We have
generated antibodies that recognize ChAT or VAChT in a model organism, the
nematode Caenorhabditis elegans, in order to examine the subcellular and cellular
distributions of these cholinergic proteins. ChAT and VAChT are found in the same
neurons, including more than one-third of the 302 total neurons present in the
adult hermaphrodite. VAChT is found in synaptic regions, whereas ChAT appears to
exist in two forms in neurons, a synapse-enriched form and a more evenly
distributed possibly cytosolic form. We have used antibodies to identify the
cholinergic neurons in the body of larval and adult hermaphrodites. All of the
classes of putative excitatory motor neurons in the ventral nerve cord appear to
be cholinergic: the DA and DB neurons in the first larval stage and the AS, DA,
DB, VA, VB, and VC neurons in the adult. In addition, several interneurons with
somas in the tail and processes in the tail or body are cholinergic; sensory
neurons are generally not cholinergic. Description of the normal pattern of
cholinergic proteins and neurons will improve our understanding of the role of
cholinergic neurons in the behavior and development of this model organism.
PMID- 18041779
TI - Identifying interacting residues using Boolean Learning and Support Vector
Machines: case study on mRFP and DsRed proteins.
AB - In a protein, interactions exist between amino acid residues that influence the
protein's structural integrity or stability and thus affect its catalytic
function. The loss of this interaction due to mutations in these amino acids
usually leads to a non-functional protein. Probing the sequence space of a
protein through mutations or recombinations, as performed in directed evolution
to search for an improved variant, frequently results in such inactive sequences.
In this work, we demonstrate the use of machine learning to identify such
interacting residues and the use of template engineering strategies to increase
the fraction of active variants in a library. We show that using the sequences
from recombination of monomeric red fluorescent protein (mRFP) and Discosoma red
fluorescent protein (DsRed), we were able to identify a pair of interacting
residues using an algorithm based on Boolean Learning and Support Vector
Machines. The interaction between the identified residues was verified through
point mutations on the mRFP and DsRed genes. We also show that it is possible to
use such results to alter the parental genes such that the probability of
disrupting the important interactions is minimized. This will result in a larger
fraction of active variants in the recombinant library and allow us to access
more functional space. We demonstrate this effect by comparing the recombinant
library of wild-type (WT) DsRed, mRFP and an altered sequence of DsRed with mRFP
WT genes.
PMID- 18041780
TI - Simultaneous detection of protozoa in the tissues of snakes by double in situ
hybridization.
AB - Different methods have been established for the simultaneous detection of
different pathogens in tissue samples, each with certain advantages and
disadvantages. Chromogenic in situ hybridization combines specific molecular
pathogen detection with microscopic evaluation of pathogen quantity, morphology
and distribution, as well as associated tissue damage. Furthermore, only a
minimum of usually costly technical equipment is needed. The aim of our study was
to detect two different protozoa simultaneously in tissue samples using
exclusively digoxigenin (DIG)-labeled probes and alkaline phosphatase-coupled
anti-DIG-antibodies and the chromogens Vector Red and NBT/BCIP with standard
protocols. Gastrointestinal tissue samples from 15 snakes infected with either
one or two protozoan species were investigated. All expected protozoa stained
clearly dark purple or bright red, respectively, depending on the chromogen used.
This technique can be used in pathogenicity studies of various pathogens in any
kind of tissue.
PMID- 18041781
TI - Ultrastructure of hybrid chitosan-glycerol phosphate blood clots by environmental
scanning electron microscopy.
AB - Chitosan-based polymers have been extensively studied for biomedical
applications. Recently, liquid solutions of chitosan in a glycerol phosphate
buffer (chitosan-GP) with physiological pH and osmolality were mixed with
autologous blood to form hybrid chitosan-GP/blood implants that improved the
repair of articular cartilage lesions in a large animal model. The mixture of
chitosan-GP and blood forms a viscous liquid, which solidifies in minutes via
normal blood coagulation as well as chitosan-mediated mechanisms. Here we have
examined the ultrastructure of these chitosan-GP/blood clots as well as regular
blood clots and chitosan-GP gels, the latter produced by heating. Both unfixed
and fixed samples of chitosan-GP/blood clots, regular blood clots, and chitosan
GP gels were investigated by environmental scanning electron microscopy (ESEM) in
conjunction with energy dispersive X-ray spectrometry (EDS), the former
permitting direct observation of the ultrastructure in hydrated conditions
simulating the natural state. By examination of unfixed specimens using ESEM we
found that chitosan formed a network structure in both chitosan-GP gels and
chitosan-GP/blood clots; however this structure was altered by aldehyde fixation
to produce artifactual aggregates of chitosan microparticles. We were also able
to identify chitosan in chitosan-GP/blood clots by washing samples in low
concentration NaCl solutions followed by local EDS analyses to identify excess
chloride versus sodium, and thus presence of cationic chitosan in analyzed
features. Additional results indicated that the majority of glycerol phosphate
diffuses freely from chitosan-GP gels (by EDS of phosphorus) and that
hyperosmotic paraformaldehyde-based fixatives (i.e. 4% w/v) significantly disturb
erythrocyte morphology in fixed whole blood clots.
PMID- 18041782
TI - Expression and distribution of S100 protein in the nervous system of the adult
zebrafish (Danio rerio).
AB - S100 proteins are EF-hand calcium-binding protein highly preserved during
evolution present in both neuronal and non-neuronal tissues of the higher
vertebrates. Data about the expression of S100 protein in fishes are scarce, and
no data are available on zebrafish, a common model used in biology to study
development but also human diseases. In this study, we have investigated the
expression of S100 protein in the central nervous system of adult zebrafish using
PCR, Western blot, and immunohistochemistry. The central nervous system of the
adult zebrafish express S100 protein mRNA, and contain a protein of approximately
10 kDa identified as S100 protein. S100 protein immunoreactivity was detected
widespread distributed in the central nervous system, labeling the cytoplasm of
both neuronal and non-neuronal cells. In fact, S100 protein immunoreactivity was
primarily found in glial and ependymal cells, whereas the only neurons displaying
S100 immunoreactivity were the Purkinje's neurons of the cerebellar cortex and
those forming the deep cerebellar nuclei. Outside the central nervous system,
S100 protein immunoreactivity was observed in a subpopulation of sensory and
sympathetic neurons, and it was absent from the enteric nervous system. The
functional role of S100 protein in both neurons and non-neuronal cells of the
zebrafish central nervous system remains to be elucidated, but present results
might serve as baseline for future experimental studies using this teleost as a
model.
PMID- 18041783
TI - Cholinergic innervation of the frontal cortex: differences among humans,
chimpanzees, and macaque monkeys.
AB - Cholinergic innervation of the frontal cortex is important in higher cognitive
functions and may have been altered in humans relative to other species to
support human-specific intellectual capacities. To evaluate this hypothesis we
conducted quantitative comparative analyses of choline acetyltransferase
immunoreactive axons in cortical areas 9, 32, and 4 among humans, chimpanzees,
and macaque monkeys. Area 9 of the dorsolateral prefrontal cortex is involved in
inductive reasoning and specific components of working memory processes, while
area 32 of the medial prefrontal cortex has been implicated in theory of mind.
Area 4 (primary motor cortex) was also evaluated because it is not directly
associated with higher cognitive functions. The findings revealed no quantitative
species differences in the three cortical areas examined, indicating that human
cognitive specializations are not related to a quantitative increase in cortical
cholinergic input. However, species-specific morphological specializations were
observed. Clusters of cholinergic fibers that may be indicative of cortical
plasticity events were present in chimpanzees and humans, but not in macaques.
The other significant morphology noted was the common and distinctive oval or
ovoid perisomatic staining in macaque cortices. This feature was also
sporadically observed in chimpanzee cortex. Our findings suggest a potential
alteration of cortical cholinergic afferents within the prefrontal cortex of
humans and chimpanzees, to the exclusion of macaque monkeys.
PMID- 18041784
TI - Maturation of auditory brainstem projections and calyces in the congenitally deaf
(dn/dn) mouse.
AB - The deaf dn/dn mouse is a valuable model of human congenital deafness. In this
study we used the lipophylic dye DiA to trace auditory nerve and cochlear nucleus
projections in the dn/dn mouse. In both normal and deaf mice, the ipsilateral
projections from the anteroventral cochlear nucleus (AVCN) to the lateral
superior olive (LSO), and the contralateral projections from the AVCN to the
medial nucleus of the trapezoid body (MNTB) were intact. With age, there was a
noted increase in the fenestration of the endbulb and calyx of Held, and this
morphological maturation was also observed in the deaf mice, although there was a
significant difference in total endbulb volume at P20 between normal and deaf
mice. However, total calyceal volume was not significantly different between
normal and deaf mice. There was electrophysiological evidence of in vivo
spontaneous ventral cochlear nucleus activity in normal and deaf animals,
indicating that this activity may be responsible for the appropriate connectivity
in the deaf mice. Our results indicate that congenital deafness caused by the
dn/dn mutation does not result in aberrant projections between the AVCN and the
ipsilateral MNTB and contralateral LSO but can cause abnormalities in endbulb
size.
PMID- 18041785
TI - Cholinergic input from the ventral nucleus of the trapezoid body to cochlear root
neurons in rats.
AB - Brain stem pathways are essential for the modulation of the acoustic startle
reflex by sounds; nevertheless, the neural circuits that convey fast auditory
information to the primary acoustic startle circuit are still unclear. In the
rat, cochlear root neurons (CRNs) comprise the first component of the primary
acoustic startle circuit and are critical in the initiation and full expression
of the acoustic startle reflex. To determine whether CRNs receive auditory
descending inputs, we developed tract-tracing studies combined with
immunohistochemistry, electron microscopy, morphometry, and confocal microscopy.
Either FluoroGold or biotinylated dextran amine (BDA) injections in CRNs showed
retrogradely labeled neurons in the ventral nucleus of the trapezoid body (VNTB).
We verified the projection to CRNs by injecting BDA into the VNTB. Our results
showed that neurons from VNTB project bilaterally and directly to CRNs, giving
off numerous endings onto cell bodies and preferentially dendrites of CRNs.
Electron microscopy analysis of labeled VNTB terminals demonstrated that they
made multiple symmetric synapses and contained small round vesicles.
Colocalization of the vesicular acetylcholine transporter and fluorescein dextran
after injection in the VNTB indicated that these terminals use acetylcholine as
neurotransmitter. We also revealed that the inferior colliculus, an important
nucleus mediating the auditory prepulse inhibition, projects to VNTB neurons that
innervate CRNs. Our data show a novel and short descending auditory pathway from
the VNTB to the first nucleus of the primary acoustic startle circuit that might
play an important role in the auditory prepulse inhibition of the startle reflex
elicited by sounds.
PMID- 18041786
TI - Organization of the olfactory pathway and odor processing in the antennal lobe of
the ant Camponotus floridanus.
AB - Ants rely heavily on olfaction for communication and orientation. Here we provide
the first detailed structure-function analyses within an ant's central olfactory
system asking whether in the carpenter ant, Camponotus floridanus, the olfactory
pathway exhibits adaptations to processing many pheromonal and general odors.
Using fluorescent tracing, confocal microscopy, and 3D-analyses we demonstrate
that the antennal lobe (AL) contains up to approximately 460 olfactory glomeruli
organized in seven distinct clusters innervated via seven antennal sensory
tracts. The AL is divided into two hemispheres regarding innervation of glomeruli
by either projection neurons (PNs) with axons leaving via the medial (m) or
lateral (l) antennocerebral tract (ACT). M- and l-ACT PNs differ in their target
areas in the mushroom-body calyx and lateral horn. Three additional ACTs project
to the lateral protocerebrum only. We analyzed odor processing in AL glomeruli by
retrograde loading of PNs with Fura-2 dextran and fluorimetric calcium imaging.
Odor responses were reproducible and comparable across individuals. Calcium
responses to pheromonal and nonpheromonal odors were very sensitive (10(-11)
dilution) and patterns were partly overlapping, indicating that processing of
both odor classes is not spatially segregated within the AL. Response patterns to
the main trail-pheromone component nerolic acid remained stable over a wide range
of intensities (7-8 log units), while response durations increased indicating
that odor quality is maintained by a stable pattern and intensity is mainly
encoded in response durations. The structure-function analyses contribute new
insights into important aspects of odor processing in a highly advanced insect
olfactory system.
PMID- 18041790
TI - DNA-mediated assembly of boron nitride nanotubes.
AB - The dispersion of nanomaterials in solutions is of primary importance for the
improvement of their processability, but it also provides a way to investigate
phase behavior and to assemble nanostructures in solvents. Several methods based
on different interactions have been developed to disperse carbon nanotubes,
whereas little development has been made for their boron nitride nanotube (BNNT)
counterparts. A direct way to obtain long-range ordering may be through
spontaneous nematic ordering in solutions at sufficiently high concentrations of
the nanomaterial fraction. Lyotropic nematics have been observed in various
organic and inorganic systems. In this work, the strong interactions between DNA
and BNNTs were exploited to fabricate high-concentration BNNTs aqueous solutions
by a simple method, and then, for the first time, nematic ordered ensembles of
BNNTs were obtained by filtration. It is proposed that a localized liquid-crystal
phase appears during filtration, as the ordering trend for the BNNTs was found to
depend on the concentration of the aqueous solutions of the BNNTs. Moreover,
BNNTs were successfully localized on a predefined area by using a thiol-modified
DNA-BNNT hybrid.
PMID- 18041793
TI - Simultaneous detection of five different 2-hydroxyethyl-DNA adducts formed by
ethylene oxide exposure, using a high-performance liquid
chromatography/electrospray ionisation tandem mass spectrometry assay.
AB - A method has been developed for the simultaneous detection and quantitation of
five different 2-hydroxyethyl-DNA (HE-DNA) adducts that could be formed as a
result of exposure to ethylene oxide (EO). In addition to the major N7-HE-guanine
(N7-HEG) adducts this assay can also measure the less prevalent but potentially
more biologically significant N1-HE-2'-deoxyadenosine (N1-HEdA), O(6)-HE-2'
deoxyguanosine (O(6)-HEdG), N(6)-HE-2'-deoxyadenosine (N(6)-HEdA) and N3-HE-2'
deoxyuridine adducts (N3-HEdU). The method involves the isolation of HE adducts
from the unmodified nucleosides by either neutral thermal hydrolysis or enzymatic
digestion, followed by high-performance liquid chromatographic (HPLC)
purification, before detection and quantification by liquid chromatography tandem
mass spectrometry (LC/MS/MS) using selective reaction monitoring (SRM). The
limits of detection were in the range 0.5-25 fmol for each individual adduct,
making this one of the most sensitive assays available for the detection of N7
HEG. To illustrate the possible applications of the assay, it has been employed
in the measurement of endogenous/background and EO-induced HE adducts in a
variety of DNA samples.
PMID- 18041794
TI - Prevalence of clinically significant depressive symptoms in an epidemiologic
sample of community-dwelling elders with milder forms of cognitive impairment in
Hong Kong SAR.
AB - BACKGROUND: Depression and cognitive impairment in later-life have great bearings
on public health. The two conditions often co-occur and have mutual implications
on short-term risk and long-term prognosis. METHOD: A two-phase epidemiologic
survey on the prevalence of dementia in elders aged 60 and over was conducted in
Hong Kong in 2005-2006. In the first phase, 6,100 randomly selected community
dwelling elders were assessed with Cantonese version of Mini-Mental State
Examination (C-MMSE) and Abbreviated Memory Inventory for Chinese (AMIC). Two
thousand and seventy-three subjects were screened positive and invited for second
phase cognitive and psychiatric assessment. 35.5% of screen-positive subjects
participated in Phase 2 assessment conducted by psychiatrists for diagnosis of
dementia. Severity of dementia was determined using Clinical Dementia Rating
Scale (CDR). Cornell Scale for Depression in Dementia (CSDD) and a structured
bedside cognitive battery were also administered to each subject. RESULTS: 1.7%
of subjects with CDR 0.5 and 5.9% of subjects with CDR 1 had clinically
significant depressive symptoms (>or= 8 on CSDD). Score on CSDD correlated
positively with duration of cognitive symptoms, scores on CIRS and CMMSE in
linear regression model. In a logistic regression model, male gender, duration of
cognitive symptoms, CIRS and CMMSE was associated with increased risk for
clinically significant depressive symptoms. CONCLUSIONS: In our sample, milder
forms of cognitive impairment were associated with increased risk for depression
in the presence of other risk factors such as male gender, higher physical
illness burden and longer duration of cognitive symptoms.
PMID- 18041795
TI - Mass spectrometry analysis of in vitro nitration of a recombinant human IgG1
monoclonal antibody.
AB - Nitration of a recombinant human monoclonal antibody was carried out in vitro by
incubating the antibody with the nitrating reagent tetranitromethane (TNM). The
susceptible sites of nitration were identified using high-performance liquid
chromatography/mass spectrometry (HPLC/MS). In general, tyrosine residues in the
variable domains of the antibody are more susceptible to nitration, while
tyrosine residues in the constant domains are relatively resistant to nitration.
However, one tyrosine residue in the CH1 domain and one tyrosine residue in the
CH2 domain are highly susceptible to nitration. Interestingly, the susceptible
tyrosine residue in the CH2 domain is followed by the conserved asparagine
residue that is glycosylated.
PMID- 18041796
TI - Ultrasensitive fluorescent responses of water-soluble, zwitterionic, boronic acid
bearing, regioregular head-to-tail polythiophene to biological species.
AB - Water-soluble regioregular head-to-tail zwitterionic fluorescent conjugated
boronic acid-bearing polythiophene (polymer 2) was prepared through a
postpolymerization quaternization of a pyridine group of 3-pyridineboronic acid
with bromide groups of regioregular head-to-tail poly(3-bromohexylthiophene)
(polymer 1). Titration of monosaccharides, lactose, ascorbic acid, or dopamine
with 0.1 M phosphate buffer (pH 7.4), containing 4.0 microM of polymer 2, results
in significant concentration-dependent quenching of the polymer fluorescence. The
polymer displays an optimum response to the biological species at pH 7.0. The
binding constants of polymer 2 with mannose, fructose, glucose, galactose,
vitamin C, dopamine, and lactose are 3.33 x 10(4), 1.13 x 10(5), 1.23 x 10(5),
1.69 x 10(5), 3.17 x 10(5), 3.27 x 10(5), and 4.60 x 10(5), respectively.
PMID- 18041797
TI - Quantitative conformational study of redox-active [2]rotaxanes, part 2: Switching
in flexible and rigid bistable [2]rotaxanes.
AB - Translational movement of the macrocycle in two structurally similar bistable
[2]rotaxanes, which is induced by a four-step electrochemical process in
solution, has been investigated by using a methodology developed in the preceding
article (Chem. Eur. J. 2008, 14, 1107-1116). Both [2]rotaxanes contain a crown
ether that can be accommodated by either of two interconnected viologen
recognition sites. These sites are substantially different in terms of their
affinity towards the crown ether and they possess considerably different
electrochemical reduction potentials. The two [2]rotaxanes differ in the length
and the rigidity of a bridge that links these sites. A combination of molecular
mechanics modelling and NOE spectroscopy data provides information about the
conformations of both [2]rotaxanes in the parent oxidation state when the crown
ether exclusively populates the strong recognition site. To determine the
population of the recognition sites at subsequent stages of reduction, a
paramagnetic NMR technique and cyclic voltammetry were used. The key finding is
that the flexibility of the connecting bridge element between the recognition
sites interferes with shuttling of the crown ether in [2]rotaxanes. It can be
demonstrated that the more flexible trimethylene bridge is folded, thus limiting
the propensity of the crown ether to shuttle. Consequently, the crown ether
populates the original site even in the second reduced state of the flexible
[2]rotaxane. On the contrary, in the [2]rotaxane in which two viologen sites are
connected by a larger and more rigid p-terphenylene bridge, the predominant
location of the crown ether at the weak recognition site is achieved after just
one single electron reduction.
PMID- 18041798
TI - Polymer-fullerene composite solar cells.
AB - Fossil fuel alternatives, such as solar energy, are moving to the forefront in a
variety of research fields. Polymer-based organic photovoltaic systems hold the
promise for a cost-effective, lightweight solar energy conversion platform, which
could benefit from simple solution processing of the active layer. The function
of such excitonic solar cells is based on photoinduced electron transfer from a
donor to an acceptor. Fullerenes have become the ubiquitous acceptors because of
their high electron affinity and ability to transport charge effectively. The
most effective solar cells have been made from bicontinuous polymer-fullerene
composites, or so-called bulk heterojunctions. The best solar cells currently
achieve an efficiency of about 5%, thus significant advances in the fundamental
understanding of the complex interplay between the active layer morphology and
electronic properties are required if this technology is to find viable
application.
PMID- 18041799
TI - Aluminum triflate as a highly active and efficient nonprotic cocatalyst in the
palladium-catalyzed methoxycarbonylation reaction.
PMID- 18041800
TI - Catalytic enantioselective 1,6-conjugate addition of Grignard reagents to linear
dienoates.
PMID- 18041801
TI - The total synthesis of chlorotonil A.
PMID- 18041802
TI - Single-molecule magnets with mesomorphic lamellar ordering.
PMID- 18041803
TI - Shining new light on an old problem: retooling MALDI mass spectrometry for
organotransition-metal catalysis.
PMID- 18041804
TI - Compartmental relaxation and diffusion tensor imaging measurements in vivo in
lambda-carrageenan-induced edema in rat skeletal muscle.
AB - Integrated diffusion tensor T(2) measurements were made on normal and edematous
rat muscle, and the data were fitted with one- and two-compartment models,
respectively. Edematous muscle exhibited a short-lived component (T(2) = 28 +/- 6
ms), with diffusion characteristics similar to that of normal muscle, and a long
lived component (T(2) = 96 +/- 27 ms), with greater mean apparent diffusion
coefficient (ADC) and lower fractional anisotropy (FA). With this two-component
description of diffusion and relaxation, values of ADC and FA estimated with a
conventional pulsed-gradient spin-echo sequence will depend on the echo time,
relative fraction of short-lived and long-lived water signals, and the intrinsic
ADC and FA values within the tissue. On the basis of the relative differences in
water diffusion properties between long-lived and short-lived water signals, as
well as the similarities between the short-lived component and normal tissue, it
is postulated that these two signal components largely reflect intracellular and
extracellular water.
PMID- 18041805
TI - Relationships between MR transverse relaxation parameters R*(2), R(2) and R'(2)
and hepatic iron content in thalassemic mice at 1.5 T and 3 T.
AB - Assessment of hepatic iron concentration is important in the management of
patients with thalassemia. The goal of this study was to investigate the
relationships between the three MR transverse relaxation rates, R*(2), R(2) and
R'(2), and hepatic iron content in a mouse model of thalassemia at 1.5 and 3 T
field strengths. A GESFIDE (gradient-echo sampling of free induction decay and
echo) pulse sequence was used to measure the three parameters efficiently in a
single scan in a study examining the livers of normal and thalassemic mice,
including a subgroup of the latter that were subjected to periodic transfusions.
The results showed that R*(2), R(2) and R'(2) all correlated closely with liver
iron concentration at both 1.5 T and 3 T, with correlation coefficients ranging
from 0.72 to 0.79. High degrees of correlation (r = 0.93-0.99) were also observed
among the three MR parameters at both field strengths. It can be concluded that
the three rates could all be effective for assessing hepatic iron concentration
and that imaging at higher fields may not offer any advantages over that at lower
fields.
PMID- 18041806
TI - Axonal injury detected by in vivo diffusion tensor imaging correlates with
neurological disability in a mouse model of multiple sclerosis.
AB - Recent studies have suggested that axonal damage, and not demyelination, is the
primary cause of long-term neurological impairment in multiple sclerosis and its
animal model, experimental autoimmune encephalomyelitis (EAE). The axial and
radial diffusivities derived from diffusion tensor imaging have shown promise as
non-invasive surrogate markers of axonal damage and demyelination, respectively.
In this study, in vivo diffusion tensor imaging of the spinal cords from mice
with chronic EAE was performed to determine if axial diffusivity correlated with
neurological disability in EAE assessed by the commonly used clinical scoring
system. Axial diffusivity in the ventrolateral white matter showed a significant
negative correlation with EAE clinical score and was significantly lower in mice
with severe EAE than in mice with moderate EAE. Furthermore, the greater
decreases in axial diffusivity were associated with greater amounts of axonal
damage, as confirmed by quantitative staining for non-phosphorylated
neurofilaments (SMI32). Radial diffusivity and relative anisotropy could not
distinguish between the groups of mice with moderate EAE and those with severe
EAE. The results further the notion that axial diffusivity is a non-invasive
marker of axonal damage in white matter and could provide the necessary link
between pathology and neurological disability.
PMID- 18041808
TI - The prevention and treatment (and cost) of cancer.
PMID- 18041807
TI - Lung cancer and regular use of aspirin and nonaspirin nonsteroidal anti
inflammatory drugs.
AB - PURPOSE: Lung cancer is the leading cause of cancer death in the US. There is
evidence of a reduced risk of some cancer sites associated with use of aspirin
(ASA) and nonaspirin nonsteroidal anti-inflammatory drugs (NANSAIDs). Our
objective was to examine the association of regular use of ASA and NANSAIDs with
lung cancer. METHODS: A hospital-based case-control study of 1884 incident cases
of lung cancer and 6251 controls with noncancer diagnoses. Use of ASA and
NANSAIDs was considered 'regular' if it occurred on > or =4 days/week and lasted
for > or =3 months. Logistic regression was used to estimate odds ratios (OR) and
95% confidence intervals. RESULTS: The OR for regular use of ASA was 1.1 (0.9
1.4), and the corresponding estimate for regular NANSAID use was 1.0 (0.7-1.3).
There was no evidence of decreased risk within strata of age, sex, years of
education, or interview year. Examining the association within strata of duration
of use, recency of use, cigarette smoking status, pack-years of cigarette
smoking, or histologic type of cancer produced no ORs significantly different
from 1.0. CONCLUSIONS: The hypothesis that regular use of ASA or NANSAIDs reduces
the risk of lung cancer is not supported by the present data.
PMID- 18041812
TI - Yin-yang ways of controlling gene expression are now in our hands.
AB - Molecular biologists have long dreamed of switching genes on and off at will in
any part of the body during embryonic development. Their dream is now coming true
thanks to the transparency of the embryonic body of the zebrafish ( Danio rerio),
which has made gene manipulation by photoillumination possible.
PMID- 18041813
TI - First glimpse of the crystal structure of YaeT's POTRA domains.
AB - The Omp85/YaeT family of proteins, which are conserved from bacteria to human,
catalyzes insertion and assembly of proteins in the outer membrane. The structure
consists of a transmembrane beta-barrel domain and a soluble polypeptide
transport-associated (POTRA) domain. The POTRA domain is critical for substrate
recognition and perhaps substrate folding, while the beta-barrel domain assists
in membrane insertion. The resolution of the crystal structure of the POTRA
domain of the Escherichia coli YaeT protein provides a possible molecular
mechanism by which the diverse group of substrates is recognized. Knowledge
gained from the crystal structure may also spur the development of a novel class
of chemotherapeutic inhibitors.
PMID- 18041814
TI - Signaling by committee: receptor clusters determine pathways of cellular
activation.
AB - Receptor clustering is a common signaling mechanism for cell surface receptors.
Exogenous ligands such as antibodies or synthetic analogues can be used to
artificially induce clustering. New studies using defined synthetic ligands
suggest that the spatial organization of these clusters attenuates signaling in
one pathway but has no effect in another.
PMID- 18041815
TI - Metal sensing by RNA in bacteria: exception or rule?
AB - Until 2006, it was believed that bacteria control their intracellular metal ion
concentrations exclusively through metal-sensing proteins. However, the detection
of the first riboswitch that uses a small ion, Mg2+, as ligand to regulate gene
expression in Salmonella challenged this assumption. Now, the discovery and in
depth characterization of a second metal-ion-sensing riboswitch that seems to be
ubiquitously present in Gram-positive bacteria suggest that metal sensing by RNAs
may represent a widespread mechanism.
PMID- 18041816
TI - Chemical proteomics identifies unanticipated targets of clinical kinase
inhibitors.
AB - Kinases represent one of the most important target classes of current drug
discovery efforts. However, because the vast majority of potential small-molecule
therapeutics is directed toward the highly conserved ATP-binding cleft, kinase
inhibitors often exhibit significant unintended off-target effects. A recent
report describes a chemical proteomics methodology that enables the simultaneous
in vivo quantification of the on- and off-binding targets of kinase inhibitors
across hundreds of nucleotide-dependent enzymes.
PMID- 18041818
TI - Exploring the structural diversity of mammalian carbohydrates ("glycospace") by
statistical databank analysis.
AB - The diversity of three major classes of mammalian carbohydrates, mainly
glycolipids and O- and N-linked glycans, deposited in the databank
GLYCOSCIENCES.de was subjected to statistical analyses. Size, chain length, and
branching complexity were accessed and revealed that the average oligosaccharide
is composed of about eight monosaccharide units. About a quarter of all
oligosaccharides are strictly linear, and the remainder are branched at least
once. Glucosamine, galactose, and mannose are dominating and comprise ~75% of the
monosaccharides within mammalian oligosaccharide frameworks. alpha-Linked sialic
acid, alpha-linked fucose, and beta-linked galactose decorate the majority of
reducing termini. Glucose as the most abundant carbohydrate in mammals plays only
a very minor role within these structures. Particular emphasis was placed on
analyzing the way the monosaccharide units are linked within the oligomeric
framework. Just 11 monosaccharide connections account for >75% of all linkages.
Thus, the number of structural combinations found in nature, the part of the
occupied mammalian glycospace, is much smaller than expected. As a result, a
potential set of building blocks for oligosaccharide assembly is presented. This
potential building block set was correlated with the accessible 3299 mammalian
carbohydrate structures in the GLYCOSCIENCES.de databank. Only 36 building blocks
are required to construct 75% of the 3299 mammalian oligosaccharides.
PMID- 18041817
TI - Trivalent ligands with rigid DNA spacers reveal structural requirements for IgE
receptor signaling in RBL mast cells.
AB - Antigen-mediated cross-linking of IgE bound to its receptor, FcRI, stimulates
degranulation, phospholipid metabolism, and cytokine production in mast cells and
basophils to initiate inflammatory and allergic responses. Previous studies
suggested that spatial organization of the clustered receptors affects the
assembly of the transmembrane signaling complexes. To investigate systematically
the structural constraints in signal initiation, we utilized rigid double
stranded DNA scaffolds to synthesize ligands with tunable lengths. We
characterized a series of symmetric trivalent DNA ligands with rigid spacing
between 2,4-dinitrophenyl (DNP) haptenic groups in the range of 5-15 nm. These
ligands all bind to anti-DNP IgE on RBL mast cells with similar avidity, and they
all cross-link IgE-FcRI complexes effectively. We observe length-dependent
stimulation of tyrosine phosphorylation of FcRI beta and gamma subunits and the
adaptor protein LAT: the shortest ligand is approximately 5-10-fold more potent
than the longest. Stimulated Ca2+ mobilization and degranulation also exhibits
kinetics and magnitudes that differ as a function of ligand length. In contrast,
tyrosine phosphorylation of phospholipase Cgamma1 and consequent Ca2+ release
from intracellular stores do not show this dependence on ligand length. Our
results with these rigid, DNA-based ligands provide direct support for receptor
transphosphorylation as a key step in amplified signaling leading to
degranulation, and they further reveal branching of pathways in signaling events.
PMID- 18041819
TI - Temperature-triggered self-assembly of ZnO: from nanocrystals to nanorods to
tablets.
AB - ZnO nanocrystals, nanorods, and tablets were prepared at 110, 140, and 180
degrees C in a water-ethanol system. Nanorods (~2 x 40 nm) arranged in serpentine
morphologies formed by the oriented coalescence of anhedral ZnO nanocrystals
(~3.5 nm diameter), while tabular ZnO grew by [1210] textural attachment of the
nanorods. The development of these crystal habits is believed to proceed via a
dissolution and growth mechanism mediated by a transient amorphous phase.
Materials synthesized at intermediate temperatures (125 and 160 degrees C)
possessed microstructures containing mixed crystal forms in the expected
orientation relationship. Photoluminescent spectra of the nanocrystals and
nanorods showed blue shifts of 0.16 and 0.13 eV with respect to the bulk ZnO band
gap (3.26 eV) due to quantum confinement, with the narrow emission peaks typical
of particles possessing uniform size and shape. The larger tablets displayed a
less energetic emission (3.10 eV) ascribed to exciton-exciton collisions.
PMID- 18041820
TI - Lateral diffusion of thiol ligands on the surface of au nanoparticles: an
electron paramagnetic resonance study.
AB - The lateral mobility of the thiolate ligands on the surface of Au nanoparticles
was probed by EPR spectroscopy. This was achieved by using bisnitroxide ligands,
which contained a disulfide group (to ensure attachment to the Au surface) and a
cleavable ester bridge connecting the two spin-labeled branches of the molecule.
Upon adsorption of these ligands on the surface of Au nanoparticles, the two spin
labeled branches were held next to each other by the ester bridge as evidenced by
the spin-spin interactions. Cleavage of the bridge removed the link that kept the
branches together. CW and pulsed EPR (DEER) experiments showed that the average
distance between the adjacent thiolate branches on the Au nanoparticle surface
only marginally increased after cleaving the bridge and thermal treatment. This
implies that the lateral diffusion of thiolate ligands on the nanoparticle
surface is very slow at room temperature and takes hours even at elevated
temperatures (90 degrees C). The changes in the distance distribution observed at
high temperature are likely due to ligands hopping between the nanoparticles
rather than diffusing on the particle surface.
PMID- 18041821
TI - Electrochemical determination of HIV drug Abacavir based on its reduction.
AB - Abacavir (I), a drug used in the treatment of HIV, is electrochemically reduced
at the dropping mercury electrode in a four-electron process, similar to
structurally related adenine (III) and adenosine triphosphate (IV). To undergo
the reduction, the species is protonated in the vicinity of the electrode. The
protonations take place on the 6-amino group and on one of the pyrimidine ring
nitrogens. The role of covalent hydration of the pyrymidine ring has been
interpreted. Best suited as supporting electrolytes for analytical purposes are
solutions of 0.1-1.0 M sulfuric, perchloric, or hydrochloric acids. Procedures of
analyses of tablets containing I were established and validated, based on peak
currents obtained by linear sweep, differential pulse, or square-wave voltammetry
with a hanging mercury drop electrode as indicator electrode. The procedure
proved to be more sensitive and more reliable than that based on oxidation on a
glassy carbon electrode, proposed previously.
PMID- 18041822
TI - Practically modified attenuated total reflection surface-enhanced IR absorption
spectroscopy for high-quality frequency-extended detection of surface species at
electrodes.
AB - A practically modified ATR configuration has been proposed for in situ
electrochemical surface-enhanced IR absorption spectroscopy (SEIRAS) by
sandwiching an ultrathin water interlayer between a hemicylindrical ZnSe prism
and a Si wafer as an integrated window. This new ATR optics significantly
enhances the throughput of an effective IR beam across the ZnSe/gap/Si/metal
film, enabling high-quality spectral fingerprints down to 700 cm(-1) to be
readily detected at larger incidence angles without compromising the
electrochemical feasibility and stability of metallic films deposited on Si. The
advantages of this modified ATR-SEIRAS have been initially applied to explore two
selected systems: wide-ranged in situ ATR-SEIRA spectra provided strong evidence
in support of the formate intermediate pathway for methanol electrooxidation at
the Pt electrode in an acid solution; in addition, new spectral fingerprints
revealed comprehensive orientational information about of the p-nitrobenzoate
species at Pt electrode as a result of the dissociative adsorption of p
nitrobenzoic acid molecules from an acid solution.
PMID- 18041823
TI - Luminescence studies of perturbation of tryptophan residues of tubulin in the
complexes of tubulin with colchicine and colchicine analogues.
AB - Tubulin, a heterodimeric (alphabeta) protein, the main constituent of
microtubules, binds efficiently with colchicine (consisting of a
trimethoxybenzene ring, a seven-member ring and methoxy tropone moiety) and its
analogues, viz., demecolcine and AC [2-methoxy-5-(2',3',4'
trimethoxyphenyl)tropone]. Tubulin contains eight tryptophan (Trp) residues at
A21, A346, A388, A407, B21, B103, B346, and B407 in the two subunits. The role of
these eight Trp residues in this interaction and also their perturbation due to
binding have been explored via time-resolved fluorescence at room temperature and
low-temperature (77 K) phosphorescence in a suitable cryosolvent. Both the time
resolved fluorescence data and 77 K phosphorescence spectra indicate that the
emitting residues move toward a more hydrophobic and less polar environment after
complex formation. The environment of emitting Trps in the complex also becomes
slightly more heterogeneous. Our analysis using the experimental results, the
calculation of the accessible surface area (ASA) of all the Trps in the wild type
and tubulin-colchicine complex [Ravelli, R. B. G., et al. (2004) Nature 428, 198
202], the distance of the Trp residues from the different moieties of the
colchicine molecule, the knowledge of the nature of the immediate residues (<5 A)
present near each Trp residue, and the calculation of the intramolecular Trp-Trp
energy transfer efficiencies indicate that Trp A346, Trp A407, Trp B21, and Trp
B407 are the major contributors to the emission in the free protein, while Trp
B21 and Trp B103 are mainly responsible for the emission of the complexes. A
comparative account of the photophysical aspects of the drug molecules bound to
protein in aqueous buffer and in buffer containing 40% ethylene glycol has been
presented. The quantum yield and average lifetime of fluorescence in tubulin and
its complexes with colchicine are used to predict the possible donors and the
energy transfer (ET) efficiency in the ET process from Trps to colchicine in the
complex. This study is a unique attempt to identify the Trp residues contributing
to the emission in the free protein and in a complex of a multi-Trp protein with
a drug molecule without performing the mutation of the protein.
PMID- 18041824
TI - Theoretical study of the benzyl+O2 reaction: kinetics, mechanism, and product
branching ratios.
AB - Ab initio calculations at the level of CBS-QB3 theory have been performed to
investigate the potential energy surface for the reaction of benzyl radical with
molecular oxygen. The reaction is shown to proceed with an exothermic barrierless
addition of O2 to the benzyl radical to form benzylperoxy radical (2). The
benzylperoxy radical was found to have three dissociation channels, giving
benzaldehyde (4) and OH radical through the four-centered transition states
(channel B), giving benzyl hydroperoxide (5) through the six-centered transition
states (channel C), and giving O2-adduct (8) through the four-centered transition
states (channel D), in addition to the backward reaction forming benzyl radical
and O2 (channel E). The master equation analysis suggested that the rate constant
for the backward reaction (E) of C6H5CH2OO-->C6H5CH2+O2 was several orders of
magnitude higher that those for the product dissociation channels (B-D) for
temperatures 300-1500 K and pressures 0.1-10 atm; therefore, it was also
suggested that the dissociation of benzylperoxy radicals proceeded with the
partial equilibrium between the benzyl+O2 and benzylperoxy radicals. The rate
constants for product channels B-D were also calculated, and it was found that
the rate constant for each dissociation reaction pathway was higher in the order
of channel D>channel C>channel B for all temperature and pressure ranges. The
rate constants for the reaction of benzyl+O2 were computed from the equilibrium
constant and from the predicted rate constant for the backward reaction (E).
Finally, the product branching ratios forming CH2O molecules and OH radicals
formed by the reaction of benzyl+O2 were also calculated using the stationary
state approximation for each reaction intermediate.
PMID- 18041825
TI - Local density enhancement in supercritical carbon dioxide studied by Raman
spectroscopy.
AB - The polarized IVV and depolarized IVH Raman profiles of the Fermi dyad (1285 cm(
1) and 1388 cm(-1)) of supercritical (SC) CO2 have been measured along the
isotherms 307, 309, 313, and 323 K in the reduced density range
0.040.01). CONCLUSION: Online and PDA drug information
databases assist practitioners in improving their clinical decision-making. Lexi
Drugs performed significantly better than all of the other PDA databases
evaluated. No PDA database demonstrated superiority to its online counterpart;
however, the online versions of Clinical Pharmacology and Micromedex were
superior to their PDA versions in answering questions.
PMID- 18041887
TI - Ranolazine: a new option in the management of chronic stable angina.
AB - Pharmacotherapy for the management of chronic stable angina has not changed much
in the past 10-20 years. Although the use of revascularization has increased,
beta-blockers, calcium channel blockers, and long-acting nitrates are still
widely used in the management of patients with chronic stable angina. Despite the
demonstrated effectiveness of these agents, a number of patients do not achieve
the American College of Cardiology-American Heart Association goal of freedom
from exertional angina attacks. For the first time in more than a decade, a new
agent, ranolazine, is available to assist in controlling exertional angina.
Ranolazine has a novel mechanism of action of inhibiting the late sodium current
during ventricular depolarization. This mechanism contributes to a reduction in
intracellular sodium and, therefore, a reduction in intracellular calcium,
reducing ischemic injury. Unlike currently available pharmacotherapy for chronic
stable angina, ranolazine does not produce clinically meaningful changes in heart
rate or blood pressure. A number of clinical trials have demonstrated the ability
of ranolazine to increase exercise tolerance, decrease weekly anginal episodes,
and decrease sublingual nitroglycerin consumption for breakthrough angina. Based
on the results of these trials, ranolazine recently was approved by the United
States Food and Drug Administration for treatment of patients with chronic stable
angina. Because of ranolazine's pharmacokinetic and pharmacodynamic profile,
pharmacists will have to play a significant role in patient selection and
monitoring.
PMID- 18041888
TI - Traditional management of chronic stable angina.
AB - The clinical syndrome of chronic stable angina is an age-related condition that
is one common manifestation of coronary artery disease (CAD). The presence of
angina significantly affects quality of life when patients must limit their
activities of daily living in an effort to prevent the occurrence of anginal
attacks. In addition, patients are at risk for significant complications of CAD
such as myocardial infarction, heart failure, stroke, and death. Therefore,
treatment should focus not only on relief of symptoms and improvements in quality
of life, but also on preventing disease progression and reducing the risk of
complications from CAD. All patients should be instructed on the appropriate use
of sublingual nitroglycerin for the immediate treatment of anginal episodes. Beta
blockers, calcium channel blockers, long-acting nitrate therapy, and ranolazine
can prevent anginal symptoms. In addition, aggressive risk factor management,
healthy lifestyle changes, antiplatelet agents such as aspirin, and angiotensin
converting enzyme inhibitors all should be used to prevent disease progression
and occurrence of myocardial infarction or death. Many patients will be
candidates for revascularization of the myocardium with either percutaneous
coronary intervention or coronary artery bypass grafting for relief of symptoms
as well as improvement in prognosis. Even after revascularization, patients may
still require antianginal drug therapy. All patients undergoing revascularization
should be guided to make appropriate lifestyle changes and to make concerted
efforts to manage risk factors for CAD.
PMID- 18041889
TI - Abatacept: a novel treatment for moderate-to-severe rheumatoid arthritis.
AB - Rheumatoid arthritis is a chronic autoimmune disease that often leads to
functional disability and reduced quality of life. The pathogenesis of synovial
inflammation that is associated with this disease is thought to result from T
cell activation. To become fully activated, T cells require an antigen-specific
signal through the T-cell receptor and a second signal through a costimulatory
receptor. Abatacept is the first drug in a new class of disease-modifying
antirheumatic drugs (DMARDs) known as selective costimulation modulators.
Costimulation modulators block the second signal and decrease T-cell activation.
Abatacept has been approved by the United States Food and Drug Administration for
reducing signs and symptoms, inducing major clinical response, slowing the
progression of structural damage, and improving physical function in adults with
moderate-to-severe active rheumatoid arthritis who have had an inadequate
response to at least one other DMARD, such as methotrexate or tumor necrosis
factor (TNF)-alpha inhibitors. Randomized controlled trials have shown that
abatacept improves both clinical outcomes and health-related quality of life in
patients who have had an inadequate response to other DMARDs. Abatacept has been
shown to be well tolerated. In clinical trials, however, abatacept treatment was
associated with a higher rate of infections compared with placebo. This finding
was compounded when abatacept was used with TNF-alpha inhibitors; thus, this
combination should be avoided. Abatacept appears to be a useful treatment option
for patients with rheumatoid arthritis who have previously failed other DMARDs.
However, additional clinical trials evaluating its long-term effect on patient
safety and disease outcomes are needed.
PMID- 18041890
TI - Rituximab for the treatment of rheumatoid arthritis.
AB - Rituximab has been approved by the United States Food and Drug Administration in
combination with methotrexate for the treatment of rheumatoid arthritis in
patients who failed to achieve adequate benefit from tumor necrosis factor-alpha
inhibitors. Rituximab is a biologic agent that depletes peripheral B cells--an
action thought to reduce rheumatoid arthritis activity--and induces prolonged
clinical improvement. Two 1000-mg infusions administered 2 weeks apart can result
in a response that lasts for months. Most patients will require retreatment, but
the effect of repeated dosing on patient outcomes has not yet been determined.
Combination therapy with methotrexate is recommended as this appears to achieve
the best outcomes. Rituximab also has been shown to be safe, although the lack of
long-term efficacy and safety data limit its use. More studies are needed, but
this agent has been demonstrated to be safe and effective in patients who fail to
achieve adequate clinical response to methotrexate and tumor necrosis factor
alpha inhibitors.
PMID- 18041891
TI - Candida endophthalmitis: focus on current and future antifungal treatment
options.
AB - Candida endophthalmitis is a sight-threatening manifestation of disseminated
candidiasis. The occurrence of endogenous candida endophthalmitis in patients
with candidemia has ranged from 0-45% in the published literature. In critically
ill patients, it has even been associated with increased mortality. In recent
years, use of newer antifungal therapies for invasive candidiasis has increased
given the rise in infections with non-albicans species of Candida. To identify
current practices of the management of endogenous candida endophthalmitis and
relevant antifungal drug research in this disease state, we conducted a MEDLINE
search (1967-2006) and bibliographic search of the English-language literature.
Treatments for candida endophthalmitis have not been evaluated through well
designed, well-powered clinical trials. Data have mainly been presented in case
reports, case series, animal studies, pharmacokinetic studies, and as small
subsets of larger trials. Traditional systemic therapies have been amphotericin B
with or without flucytosine or fluconazole. Cure rates with antifungal drugs
alone appear to be much higher in patients with chorioretinitis than in
endophthalmitis with vitreal involvement. Pars plana vitrectomy with or without
intravitreal amphotericin B injections has been advocated particularly for
patients with moderate-to-severe vitritis and substantial vision loss.
Information on new antifungal agents for endophthalmitis is limited, despite
increasing use in patients with candidemia. Voriconazole may be a particularly
attractive agent to consider for infections with fluconazole-resistant,
voriconazole-susceptible strains. The current patchwork of animal studies and
small patient reports provide clinicians with some insight into the role of newer
agents in the treatment of candida endophthalmitis. In general, it appears that
chorioretinitis infections can be more readily cured with most systemic
antifungal agents, whereas more aggressive treatment, often including vitrectomy
with or without intra-vitreal antifungal administration, is needed for patients
with endophthalmitis with vitritis.
PMID- 18041892
TI - Key articles and guidelines in the management of acute coronary syndromes and in
percutaneous coronary intervention: 2007 update.
AB - Clinical evidence in the management of acute coronary syndromes (ACS) and in
percutaneous coronary intervention (PCI) continues to evolve at a rapid pace. For
clinicians to provide optimal care for these patients, it is important to keep up
with new information as it becomes available. With the existence of numerous
pharmacologic agents, abundance of major clinical trials, and several nationally
recognized clinical guidelines, compiling the needed reference material to make
evidence-based decisions on the care of patients with ACS or those undergoing PCI
can be difficult for clinicians. Therefore, we provide an update to the first
compiled bibliography of key articles and guidelines relative to patients with
ACS published in Pharmacotherapy in 2004. A number of guidelines and practice
changing literature have been published since the initial 2004 document. We hope
that this compilation will serve as a resource for pharmacists, physicians,
nurses, residents, and students responsible for the care of patients with
coronary heart disease.
PMID- 18041893
TI - Azacitidine-associated hyperthermia and interstitial pneumonitis in a patient
with myelodysplastic syndrome.
AB - The DNA hypomethylating agent azacitidine was approved by the United States Food
and Drug Administration after the drug demonstrated superiority over the best
supportive care for treatment of myelodysplastic syndrome in patients unable to
undergo stem cell transplantation. Mild adverse reactions, both hematologic and
nonhematologic, are not uncommon; however, severe adverse effects are rare. We
describe a 55-year-old woman who was treated with azacitidine for myelodysplastic
syndrome and experienced hyperthermia that was not attributable to other causes.
The patient's treatment course was further complicated by interstitial
pneumonitis and hypoxic respiratory failure that ultimately led to acute
respiratory distress syndrome. Hyperthermia develops when discord occurs between
metabolic heat production and heat dissipation. The process of temperature
regulation can be altered by drugs such as succinylcholine, phenothiazines,
monoamine oxidase inhibitors, atropine, benztropine, antihistamines, cocaine,
Ecstasy, amphetamines, and haloperidol. The hyperthermia in this patient was
refractory to antipyretic therapy and was not due to other drug-induced
hyperthermic syndromes. She eventually responded to high-dose methylprednisolone.
The Naranjo adverse drug reaction probability scale score indicated that the
association between azacitidine and hyperthermia was probable. Clinicians should
be aware of this rare, severe, potential adverse effect of azacitidine.
PMID- 18041894
TI - Nebulized milrinone use in a pulmonary hypertensive crisis.
AB - Significant advances have been achieved over the past few decades regarding
comprehension of the pathogenesis of pulmonary arterial hypertension (PAH). The
development of new agents and use of existing drug therapies have targeted the
underlying abnormalities and pathways leading to progression of PAH. Milrinone, a
phosphodiesterase inhibitor, remains a therapeutic option. Unfortunately,
intravenous administration of the drug in patients with PAH may be limited by
systemic hypotension, especially in those already receiving prostanoid treatment.
We describe a 42-year-old woman with acute decompensated idiopathic PAH who was
given nebulized milrinone as a novel adjunctive therapy. She was acutely treated
with intravenous treprostinil 2 ng/kg/minute and inhaled nitric oxide 20 ppm.
However, increasing the treprostinil infusion rate or adding other therapies such
as intravenous milrinone for acute symptomatic relief was limited by her
hemodynamic instability, which required treatment with dobutamine, vasopressin,
and epinephrine. Nebulized milrinone was added as salvage therapy for her acute
PAH crisis. After 8 days of therapy, the patient's PAH symptoms improved without
compromising her mean arterial pressure and heart rate. Nebulized milrinone in
addition to inhaled nitric oxide and low-dose intravenous treprostinil may have
played a major role in the acute management of her PAH crisis. Further studies
are needed to assess the role of nebulized milrinone in patients with PAH.
PMID- 18041895
TI - Mefloquine-induced eosinophilic pneumonia.
AB - Mefloquine has been widely used for prophylaxis and treatment of patients with
chloroquine-resistant malaria; the drug is usually well tolerated. Rarely,
adverse effects may be severe, including gastrointestinal disturbances,
neuropsychiatric reactions, cardiovascular manifestations, skin lesions,
musculoskeletal symptoms, and bone marrow toxicity. We describe a 67-year-old
woman with fever, dyspnea on exertion, peripheral blood eosinophilia, and diffuse
pulmonary infiltrates on chest radiography. She had taken mefloquine for malaria
prophylaxis for an 8-week trip to South Africa. A thorough work-up led to the
diagnosis of eosinophilic pneumonia caused by the mefloquine. Her condition
improved after the drug was discontinued. To our knowledge, this is the first
report of mefloquine-induced eosinophilic pneumonia. Clinicians should be aware
of this rare, potential adverse effect of mefloquine.
PMID- 18041896
TI - Back to the future: the ribosome as an antibiotic target.
PMID- 18041897
TI - Longicin plays a crucial role in inhibiting the transmission of Babesia parasites
in the vector tick Haemaphysalis longicornis.
PMID- 18041899
TI - Nitazoxanide: clinical studies of a broad-spectrum anti-infective agent.
AB - Nitazoxanide is a new compound with broad-spectrum activity against numerous
intestinal protozoa, helminths and anaerobic bacteria. It is approved for the
treatment of diseases caused by Giardia intestinalis and Cryptosporidium species.
The drug is well tolerated, with few side effects and requires a short course of
treatment. Further investigations regarding its use in patients with AIDS is
needed. Nitazoxanide represents a significant advance in the treatment of
intestinal parasitic infections worldwide.
PMID- 18041900
TI - Retapamulin: a semisynthetic pleuromutilin compound for topical treatment of skin
infections in adults and children.
AB - Retapamulin is a semisynthetic pleuromutilin compound with in vitroactivity
against Gram-positive bacteria, no cross-resistance to other classes of
antimicrobial agents in current use and a low potential for development of
resistance. A 1% ointment formulation has been developed for clinical use, and a
placebo-controlled trial of impetigo in 210 patients produced significantly
higher rates of clinical and microbiological success compared with placebo - 85.6
versus 52.1% and 91.2 versus 50.9%, respectively. Additional comparative studies
in over 1900 patients showed noninferiority to topical fusidic acid and oral
cephalexin and a low frequency of adverse events. In 2007, retapamulin was
approved in the USA for topical treatment of impetigo caused by Streptococcus
pyogenes and methicillin-susceptible Staphylococcus aureus, and in the EU for
topical treatment of impetigo and infected wounds caused by S. pyogenes and S.
aureus, with approvals including adults and children over 9 months of age.
PMID- 18041901
TI - Environmental stress regulates Shigella virulence: interplay between anerobiosis
and iron acquisition.
PMID- 18041902
TI - Anticancer and immunosuppressive properties of bacterial prodiginines.
AB - Bacterial prodiginines are a family of red-pigmented, tripyrrolic compounds that
display numerous biological activities, including antibacterial, antifungal,
antiprotozoal, antimalarial, immunosuppressive and anticancer properties.
Recently, significant progress has been made in understanding the biosynthesis
and regulation of bacterial prodiginines. An understanding of the biosynthesis of
prodiginines will allow engineering of bacterial strains capable of synthesizing
novel prodiginines through rational design and mutasynthesis experiments.
Bacterial prodiginines and synthetic derivatives are effective proapoptotic
agents with multiple cellular targets, and they are active against numerous
cancer cell lines, including multidrug-resistant cells, with little or no
toxicity towards normal cell lines. A synthetic derivative, GX15-070 (Obatoclax),
developed through structure-activity relationship studies of the pyrrolic ring A
of GX15, is in multiple Phase I and II clinical trials in both single and dual
agent studies to treat different types of cancer. Therefore, prodiginines have
real therapeutic potential in the clinic.
PMID- 18041903
TI - Methionine sulfoxide reductases and virulence of bacterial pathogens.
AB - Oxidation of methionine (Met) residues in proteins by reactive oxygen species and
reactive nitrogen intermediates results in altered protein structures, which
subsequently affect their functions. Oxidized Met (Met-O) residues are reduced to
Met by the methionine sulfoxide reductase (Msr) system, which includes mainly
MsrA and MsrB. MsrA and MsrB show no sequence and structural identity with each
other but both reduce methionine sulfoxides. MsrA is specific to the reduction of
methionine-S-sulfoxide, whereas MsrB is specific to the reduction of methionine-R
sulfoxide. Genes encoding the enzymes MsrA and MsrB exist in most living
organisms including bacteria. In recent times, absence of these enzymes has been
implicated in the virulence of bacterial pathogens. In particular, pathogens
deficient in Msr have been reported to have reduced ability to adhere with
eukaryotic cells, to survive inside hosts and to resist in vitro oxidative
stress. Bacterial proteins that are susceptible to Met oxidation, in the absence
of Msr, have also been identified. This review discusses the current knowledge on
the role of Msr in bacterial virulence.
PMID- 18041904
TI - Advances in Tropheryma whipplei research: the rush to find biomarkers for
Whipple's disease.
AB - Whipple's disease (WD) is a systemic chronic infection, caused by the Gram
positive bacterium Tropheryma whipplei. There are several clinical traits linked
to WD: histological lesions in the GI tract in association with diverse clinical
manifestations (classic WD), endocarditis with negative blood cultures, and
isolated neurological infection. WD is rare, predominantly affects middle-aged
men and is fatal without treatment. The most recent strategy for diagnosing WD
uses the results of diastase-resistant periodic acid Schiff staining and PCR in
parallel, both performed on involved organ/tissue biopsy (small intestine,
cardiac valve and cerebrospinal fluid). The generation of rabbit polyclonal
antibodies has enabled the detection of the bacterium in tissues by
immunohistochemical staining. However, the diagnosis of WD remains an invasive
procedure. The recent achievement of stable bacterial culture and sequencing of
the T. whipplei genome has opened a framework for the development of a biomarker
platform. Several studies in different fields have been performed, for example,
transcriptomics, immunoproteomics and comparative proteomics. Biomarker
candidates have been proposed for the development of less invasive procedures for
diagnosing WD.
PMID- 18041905
TI - Candidate targets for new antivirulence drugs: selected cases of bacterial
adhesion and biofilm formation.
AB - Management of bacterial infections is becoming increasingly difficult due to the
rising frequency of strains that are resistant to many current antibiotics. New
types of antibiotics are, therefore, urgently needed. Virulence factors or
virulence-associated phenotypes such as adhesins and biofilm formation are highly
attractive targets for new drugs. Specific adhesion provides bacteria with target
selection and prevents removal by hydrodynamic flow forces. Bacterial adhesion is
of paramount importance for bacterial pathogenesis. Adhesion is also the first
step in biofilm formation. Biofilm formation is particularly problematic in
medical contexts because biofilm-associated bacteria are particularly hard to
eradicate. Several promising candidate drugs that target bacterial adhesion and
biofilm formation are being developed. Some of these might be valuable weapons
for fighting infectious diseases in the future. Here we use illustrative
examples, mainly from the enterics, to demonstrate the principles.
PMID- 18041906
TI - Novel targets for antibiotics in Staphylococcus aureus.
AB - Multiple resistant staphylococci that cause significant morbidity and mortality
are the leading cause of nosocomial infections. Meanwhile, methicillin-resistant
Staphylococcus aureus (MRSA) also spreads in the community, where highly virulent
strains infect children and young adults who have no predisposing risk factors.
Although some treatment options remain, the search for new antibacterial targets
and lead compounds is urgently required to ensure that staphylococcal infections
can be effectively treated in the future. Promising targets for new
antibacterials are gene products that are involved in essential cell functions.
In addition to antibacterials, active and passive immunization strategies are
being developed that target surface components of staphylococci such as cell wall
linked adhesins, teichoic acids and capsule or immunodominant antigens.
PMID- 18041907
TI - Use of animal models in the development of human vaccines.
AB - Over the past 100 years, animal infectious disease research has played a crucial
role in the development of human vaccines. In fact, many of today's vaccines are
based on utilizing animal pathogens, either in the form of an attenuated vaccine
or as a vaccine vector. Vaccine development has become increasingly complex with
chronic and newly emerging diseases, a demand for therapeutic vaccines for
noninfectious diseases, extended vaccine in the neonate and the elderly, and
increasing concerns regarding vaccine safety. Furthermore, the evaluation of
quantity and quality of immune responses and the ability to efficiently translate
the results of basic research into the clinic are critical to ensure that
vaccines meet their therapeutic potential. Here, we review the importance of
animal models for developing and testing novel human vaccines, discuss the
limitations of existing animal models in knowledge translation, and summarize the
needs and criteria for future animal models. We argue that efficient translation
of basic vaccine research to clinical therapies will depend upon the availability
of appropriate animal models to address each of the questions which arise during
vaccine development.
PMID- 18041908
TI - Investigating novel therapeutic targets and molecular mechanisms to treat
botulinum neurotoxin A intoxication.
AB - Botulinum neurotoxin (BoNT) has recently catapulted into the public consciousness
in two seemingly disparate roles: potential use as a biological weapon and
treatment of neuromuscular disorders. This bacterially produced protein is the
most potent toxin known to humans, with a lethal dose estimated at approximately
1 ng/kg of body weight. BoNT intoxication occurs via a multistep process that
includes recognition of peripheral neuronal cell membrane receptors, endocytosis
and translocation of the light chain metalloprotease into the cytosol, and
catalytic cleavage of soluble N-ethylmaleimide-sensitive factor attachment
protein receptor (SNARE) proteins critical for vesicle fusion and
neurotransmitter release. Each of these distinct steps has been studied at the
molecular level and is a valid target for the development of pharmacological
interventions to prevent the considerable mortality associated with botulism.
Alternatively, clinical uses of BoNT continue to expand at an ever-increasing
rate; however, further optimization of BoNT therapy is critical to maximize
efficacy.
PMID- 18041909
TI - Anatomical location of urothelial carcinomas of the urinary tract leads to
perspectives of specific treatment.
PMID- 18041911
TI - 17th World Congress of the International Association of Surgeons,
Gastroenterologists and Oncologists.
PMID- 18041912
TI - Expression profiling of melanoma cell lines: in search of a progression-related
molecular signature.
PMID- 18041913
TI - Significance of whole-pelvic radiotherapy for high-risk prostate cancer patients
after radical prostatectomy.
PMID- 18041914
TI - Lung cancer susceptibility: are we on our way to identifying a high-risk group?
AB - Many studies have investigated lung cancer susceptibility based on the presence
of low-penetrance, high-frequency single nucleotide polymorphisms. Identifying
such susceptibility polymorphisms may lead to the development of tests that allow
a more focused follow-up of a high-risk group. Genetic polymorphisms of
xenobiotic metabolism, DNA repair, cell-cycle control, immunity, addiction and
nutritional status have been described as promising candidates. Genetic
polymorphisms in both metabolic activation (Phase I) and detoxification (Phase
II) enzymes influence DNA damage. The DNA repair system is a critical cellular
response that counteracts the carcinogenic effects of DNA. Thus, genetically
determined susceptibility to carcinogens depends on the balance between metabolic
and DNA repair enzymes. This review evaluates whether or not a specific
polymorphism or a combination of such polymorphisms can effectively predict high
risk groups.
PMID- 18041915
TI - Molecular approaches to resolve diagnostic dilemmas: the case of gastrointestinal
stromal tumor and leiomyosarcoma.
AB - Gastrointestinal stromal tumors (GISTs) and leiomyosarcomas (LMSs) are common
types of mesenchymal tumors that may present a diagnostic challenge. GISTs have
frequent overexpression of Kit and often encode for mutation of the KIT oncogene.
In addition, patients usually experience a favorable response to targeted therapy
with imatinib mesylate (Gleevec, STI-571), but not cytotoxic chemotherapy. Up to
5% of GISTs can be completely negative for Kit on immunohistochemistry.
Conversely, LMSs may rarely express Kit, but are virtually never associated with
an activating KIT mutation, and are often effectively treated with cytotoxic
chemotherapy but are resistant to imatinib. To aid in resolution of this clinical
challenge, we performed a whole-genome gene expression study on 71 well-defined
GIST and LMS samples with development of a robust, novel approach to molecular
classification discussed herein.
PMID- 18041916
TI - PI3 kinase/AKT pathway as a therapeutic target in multiple myeloma.
AB - The development of novel therapies for multiple myeloma depends on a
comprehensive understanding of the events leading to cellular proliferation and
survival. Controlling pathways that regulate growth signals is an emerging and
complementary approach to myeloma treatment. The PI3K/Akt pathway is a central
gatekeeper for crucial cellular functions including adhesion, angiogenesis,
migration and development of drug resistance. Established proteins and genes such
as mTOR, p53, NF-kappaB and BAD are all regulated through PI3K and Akt
activation, making them attractive targets for broad downstream effects. Direct
PI3K inhibition has demonstrated impressive tumor inhibition and regression in
cell-line and animal models, and multiple agents including SF1126 are currently
in clinical trials. Drugs such as perifosine that are specific for Akt are also
in development. Combinations of these agents with existing therapies are rational
approaches on the path to improving myeloma treatment.
PMID- 18041917
TI - Reducing biochemical recurrence rates in EBRT-treated prostate cancer patients:
the influence of dose and dose per fraction.
AB - In the last 15-20 years, technological improvements in radiation treatment
planning and delivery have allowed radiation oncologists to increase the total
dose to the prostate gland. The results of four randomized trials using
conventional daily doses (1.8-2 Gy) demonstrate that higher total doses lead to
lower rates of biochemical recurrence, but with a modest increase in late
toxicity. Preclinical data suggest that treatment schedules relying on fewer,
larger daily fractions of radiotherapy (hypofractionation) may increase the
therapeutic ratio. Early results from several uncontrolled trials indicate that
schedules that rely on larger daily doses are associated with low toxicity,
provided some form of daily target localization and sophisticated treatment
delivery are used. The results of several randomized trials that compare
hypofractionated regimens to conventionally fractionated regimens will be
available in the next 5-10 years.
PMID- 18041918
TI - Lentiviral vector-mediated RNAi and its use for cancer research.
AB - RNAi is a useful tool for functional analysis of genes and developing a potential
therapeutic strategy for various diseases including cancers. RNAi can be applied
in various forms. HIV vectors are useful for the stable transduction of genes to
both replicating and quiescent cells with a broad host tropism, and have been
developed for basic and clinical research of gene therapy. HIV vectors can
deliver shRNAs for post-transcriptional silencing of specific genes with high
efficiency, and have been used to evaluate various genes for their potential
involvement in cancer development and malignant features, and may be useful for
future cancer gene therapy. Here we describe the development of shRNA-expressing
HIV vectors and their use in cancer research, as well as perspectives for their
future use in cancer gene therapy.
PMID- 18041919
TI - Constitutional polymorphisms of prostate cancer: prognostic and diagnostic
implications.
AB - Prostate cancer is the most common cancer diagnosis in men. While often perceived
as a slow, indolent malignancy, prostate cancer trails only lung cancer among
cancer-related mortality in men. Current diagnosis and treatment algorithms are
plagued by overdiagnosis of non-lethal indolent prostate cancer with no proven
means to predict, detect, and prevent aggressive lethal prostate cancer in men
most at risk. These challenges are particularly concerning for African-American
men who demonstrate increased rates of prostate cancer incidence and mortality
when compared to other ethnic groups. With the completion of the human genome
project, technology and techniques now exist to differentiate cancer from normal
tissues based on the expression patterns of thousands of genes assessed
simultaneously on a single microarray gene 'chip'. This platform has greatly
improved our understanding of genes that regulate tumor behavior once cancer is
established. Microarrays can also be utilized in patients without cancer to
determine which patients are at high risk for tumor development and in need of
rational prevention strategies. Constitutional single nucleotide polymorphisms
(SNPs) are one source of genetic variation and may serve as a means to identify
these high-risk individuals. SNPs are single nucleotide base pair changes within
a gene which occur in one percent or more of the population. SNPs can contribute
to a disease state by altering the function of a protein encoded by a gene
without affecting gene expression. This review will examine the current
understanding of constitutional SNPs associated with prostate cancer
carcinogenesis, highlight two current diagnostic array platforms and discuss
implications for future prevention and screening programs.
PMID- 18041920
TI - An interview with Robert Tanguay, Ph.D. Interviewed by Vicki Glaser.
PMID- 18041921
TI - The Time Reaper 5-Channel Automatic Liquid Dispenser: a new tool for studying
zebrafish development.
AB - Patterning of zebrafish and other vertebrate embryos proceeds according to
consistent, predictable developmental time courses. Because zebrafish spawn
primarily during the first few hours after dawn, many important developmental
stages typically occur during the middle of the night. As an automatic, accurate
way to fix embryos at these inconvenient times, we have developed the Time Reaper
5-Channel Automatic Liquid Dispenser (TimeR). The TimeR delivers up to 50 mL of
liquid to embryos in a Petri dish at preset times. We have used the TimeR to
deliver paraformaldehyde and fix zebrafish embryos at different stages of
development. We find that the pattern of expression for a number of genes is
indistinguishable between embryos fixed manually and with the TimeR. The TimeR is
also suitable for fixing embryos for whole-mount immunostaining, but care needs
to be taken to find conditions that preserve the antibody's epitope. The TimeR is
inexpensive to make, and can be constructed using tools present in most machine
shops. In addition to fixing embryos, the TimeR will be useful for any experiment
that requires automatic delivery of milliliter amounts of liquid.
PMID- 18041922
TI - The zebrafish fgf family.
AB - Fibroblast growth factors (FGFs) are secreted polypeptide growth factors. The FGF
signaling system plays crucial roles in multiple developmental processes in
vertebrates. The human FGF family comprises 22 members. Although 16 zebrafish
fgfs have been reported, the zebrafish fgf family has not been well elucidated.
We have identified 11 additional zebrafish fgfs by conducting a homology-based
search in the zebrafish genome and cDNA databases. The zebrafish fgf family now
comprises at least 27 members. By conducting phylogenetic and gene location
analyses, we examined relationships of zebrafish fgf genes with human FGF genes.
All the zebrafish orthologs of human FGFs except for FGF9 have been identified.
Zebrafish fgf9 might have been lost from the genome during evolution. In
addition, six paralogs of zebrafish fgf genes have been identified. The
phylogenetic analysis suggests that the zebrafish fgf gene family can be divided
into seven subfamilies. The zebrafish fgf subfamilies are essentially consistent
with the human FGF subfamilies, although some include potential paralogs. As the
zebrafish system has proved useful for studying gene functions and genetic
diseases, the present findings will be useful for elucidation of roles of FGFs in
zebrafish and humans.
PMID- 18041923
TI - Differential regulation of primitive myelopoiesis in the zebrafish by Spi-1/Pu.1
and C/ebp1.
AB - The zebrafish has become a powerful tool for analysis of vertebrate
hematopoiesis. Zebrafish, unlike mammals, have a robust primitive myeloid pathway
that generates both granulocytes and macrophages. It is not clear how this unique
primitive myeloid pathway relates to mammalian definitive hematopoiesis. In this
study, we show that the two myeloid subsets can be distinguished using RNA in
situ hybridization. Using a morpholino-antisense gene knockdown approach, we have
characterized the hematopoietic defects resulting from knockdown of the myeloid
transcription factor gene pu.1 and the unique zebrafish gene c/ebp1. Severe
reduction of pu.1 resulted in complete loss of primitive macrophage development,
with effects on granulocyte development only with maximal knockdown. Reduction of
c/ebp1 did not ablate initial macrophage or granulocyte development, but resulted
in loss of expression of the secondary granule gene lys C. These data reveal
strong functional conservation of pu.1 between zebrafish primitive myelopoiesis
and mammalian definitive myelopoiesis. Further, these results are consistent with
a conserved role between c/ebp1 and mammalian C/EBPE, whose ortholog in zebrafish
has not been identified. These studies validate the examination of zebrafish
primitive myeloid development as a model for human myelopoiesis, and form a
framework for identification and analysis of myeloid mutants.
PMID- 18041927
TI - Evolutionary and expression analysis of the zebrafish deubiquitylating enzyme,
usp9.
AB - Mouse Usp9x/Fam (fat facets in mouse) and its Drosophila ortholog faf (fat
facets) encode substrate-specific deubiquitylating enzymes and are essential for
early embryonic development. The zebrafish (Danio rerio) is a powerful tool for
studying embryonic gene expression patterns and function, and to that end, we
sought to characterize the zebrafish Usp9 ortholog. Zebrafish usp9 was identified
from database searches, and the predicted Usp9 protein is very highly conserved
in mouse (90% identical and 94% similar) over its entire length. Phylogenetic
analysis indicated that vertebrate Usp9s are highly clustered and separate from
the USP9Y and Drosophila forms. We examined the developmental expression of usp9
from fertilization to 2 days postfertilization. usp9 is initially expressed
ubiquitously but later restricted to the cephalic central nervous system, the
developing lens, distal tips of the pectoral fin bud, and migrating endoderm. The
extraordinary level of conservation between the mouse and zebrafish genes,
coupled with equivalent expression patterns, makes zebrafish an appropriate
complementary system for the study of usp9 in development.
PMID- 18041928
TI - Molecular cytogenetics of blind mexican tetra and comments on the karyotypic
characteristics of genus Astyanax (Teleostei, Characidae).
AB - Astyanax mexicanus is popularly known as the blind Mexican tetra or blind cave
tetra and has been extensively studied regarding various aspects of its biology
and genetics. Despite the identification of linkage maps of genes related to
quantitative trait loci by many recent studies, only its diploid number was known
from a cytogenetical point of view. With the purpose of providing a base for
comparative studies and for the elucidation of physical maps for the species,
cytogenetical studies were performed in a group of 10 blind specimens from
Mexico. All the individuals presented 2n = 50 chromosomes and a karyotypic
formula composed of 8M + 18SM + 12ST + 12A. A few specimens presented one or two
B microchromosomes of the acrocentric type. Although simple argyrophilic
nucleolar organizer regions (Ag-NORs) were evidenced, fluorescence in situ
hybridization (FISH) with an 18S rDNA probe evidenced eight sites, and six sites
were observed with a 5S rDNA probe. Little constitutive heterochromatin was
observed, mainly related with the Ag-NORs and located close to the centromeres,
including those from the B microchromosomes. A few pericentromeric
heterochromatin regions were mainly constituted by GC, including the one from the
Ag-NOR. Very subtle markings were observed by FISH with an As-51 satellite DNA
probe. The B microchromosome did not present ribosomal genes or satellite DNA.
Chromosomal aspects of the genus Astyanax are discussed.
PMID- 18041929
TI - A rapid apoptosis assay measuring relative acridine orange fluorescence in
zebrafish embryos.
AB - The ability to easily analyze apoptosis is important in studies of molecular cell
biology and to evaluate the relative toxicity of different treatments or
environments. This is particularly the case when substances such as morpholino
oligonucleotides are injected into embryos, as such treatments can cause
widespread, complex patterns of apoptosis. Zebrafish embryos are well suited for
cell biological and environmental toxicity analyses, but the need remains for a
simple method that can analyze levels of apoptosis in a statistically significant
number of embryos. Here we present a "group fluorescence" method for rapid, large
scale analysis of relative levels of apoptosis based on densitometric techniques.
PMID- 18041930
TI - Low-cost aquatic lab animal holding system.
AB - We have constructed a low-cost aquatic animal holding system that provides an
alternative to expensive, commercially available systems. Our flow-through system
is especially useful for programs that are limited in space and funding. The easy
assembly and maintenance of the system are advantages for the researchers who may
be unfamiliar with aquatic animals.
PMID- 18041935
TI - Overview of Parkinson's disease.
AB - This overview of Parkinson's disease is designed to serve as a background to the
discussion elsewhere in this supplement on the pharmacotherapy used in its
management. Parkinson's disease is a common progressive neurodegenerative
condition associated with significant disability and negative impact on quality
of life. Although the cause of Parkinson's disease is unknown, the pathologic
manifestation involves the loss or dysfunction of dopaminergic neurons in the
substantia nigra pars compacta. Characteristic clinical manifestations include
difficulty with coordinated movement such as asymmetric resting tremor, rigidity,
and bradykinesia. These symptoms and their response to levodopa constitute the
basis for a clinical diagnosis of Parkinson's disease. Postural instability and
gait abnormalities occur in more advanced disease. Although there is no cure for
Parkinson's disease, a number of pharmacologic treatments are available for
managing the motor and nonmotor symptoms. Research is under way to assess the
disease-modifying ability of both standard and newer treatments.
PMID- 18041936
TI - Pharmacotherapy for Parkinson's disease.
AB - The available pharmacotherapies for Parkinson's disease address symptomatology
because no agent has been demonstrated to provide definite neuroprotection
against the disease. Choice of pharmacotherapy must include consideration of
short-term benefits as well as long-term consequences. Patients with mild
Parkinson's disease often function adequately without symptomatic treatment.
However, recent data suggest that initiation of treatment with a well-tolerated
agent (e.g., the monoamine oxidase [MAO]-B inhibitor rasagiline) in the absence
of functional impairment is associated with improved long-term outcomes.
Consideration should also be given to many patient-specific factors, including
patient expectations, level of disability, employment status, functional as well
as chronologic age, expected efficacy and tolerability of drugs, and response to
previous Parkinson's disease therapies. Increasingly, initial monotherapy begins
with a nondopaminergic agent or, if the patient is considered functionally young,
a dopamine agonist. Since Parkinson's disease is a progressive disorder,
adjustments to pharmacotherapy must be expected over time. When greater
symptomatic relief is desired, or in the more frail elderly patient, levodopa
therapy should be considered. If motor fluctuations develop, addition of a
catechol-O-methyltransferase inhibitor or MAO-B inhibitor should be considered.
For management of levodopa-induced dyskinesias, addition of amantadine is an
option. Surgery may be considered when patients need additional symptomatic
control or are experiencing severe motor complications despite pharmacologically
optimized therapy.
PMID- 18041937
TI - Monoamine oxidase-B inhibition in the treatment of Parkinson's disease.
AB - Inhibitors of monoamine oxidase (MAO) with selectivity and specificity for MAO
type B prolong the activity of both endogenously and exogenously derived
dopamine, making them an option either as monotherapy in early Parkinson's
disease or as adjunctive therapy in patients treated with levodopa who are
experiencing motor complications. In addition to symptomatic benefits,
experimental data suggest that MAO-B inhibitors may be neuroprotective through
MAO-B inhibition and other mechanisms that have yet to be clearly defined. The
two available MAO-B inhibitors approved for use in the United States, rasagiline
and selegiline, each provide symptomatic relief as monotherapy and as adjunctive
therapy, and have shown potential disease-modifying effects in experimental
models and clinical studies. Selegiline in a conventional tablet formulation is
less bioavailable than rasagiline, resulting in limited potency. It also has
amphetamine metabolites that may produce adverse effects and interfere with any
putative disease-modifying effects. The oral disintegrating tablet formulation of
selegiline allows pregastric absorption, minimizing first-pass metabolism,
thereby increasing selegiline bioavailability and reducing the concentration of
amphetamine metabolites. Rasagiline, more potent than selegiline, exhibits
disease-modifying effects in experimental models and lacks amphetamine
metabolites. Both the symptomatic and potential disease-modifying effects of
rasagiline are under investigation. A third agent with MAO-B inhibition
properties, safinamide, is in phase III development. Although not yet approved,
safinamide may offer the added advantage of combined MAO-B and dopamine reuptake
inhibition.
PMID- 18041938
TI - Investigator profile: an interview with Danica Zivkovic, Ph.D.
PMID- 18041939
TI - Fish models in toxicology.
PMID- 18041940
TI - Zebrafish in the wild: a review of natural history and new notes from the field.
AB - The zebrafish, Danio rerio, has emerged as a major model organism for biomedical
research, yet little is known about its natural history. We review the literature
pertaining to the geographic range, biotic and abiotic habitats, and life cycle
of the zebrafish. We also report our own field study to document several aspects
of zebrafish natural history across sites in northeast India. We found zebrafish
particularly abundant in silt-bottomed, well-vegetated pools and rice paddies
adjacent to slow moving streams at a range of elevations. We further identified
co-occurring fishes likely to be zebrafish competitors and predators. Finally, we
present observations that indicate substantial habitat degradation and loss, and
suggest guidelines for documenting and preserving natural zebrafish populations.
PMID- 18041941
TI - Construction of bacterial artificial chromosome libraries for the Lake Malawi
cichlid (Metriaclima zebra), and the blind cavefish (Astyanax mexicanus).
AB - Teleost fishes have become important models for studying the evolution of the
genetic mechanisms of development. A key resource for comparative genomics and
positional cloning are large-insert libraries constructed in bacterial artificial
chromosomes. We have constructed bacterial artificial chromosome libraries for
two species of teleost fish that are important models for the study of
developmental evolution. Metriaclima zebra is one of several hundred closely
related, morphologically diverse, haplochromine cichlids which have evolved over
the last one million years in Lake Malawi, East Africa. The Mexican tetra,
Astyanax mexicanus, is well known for adaptations related to the recent evolution
of blind cave-dwelling forms. Clones and high-density filters for each library
are available to the scientific community through the Hubbard Center for Genome
Studies.
PMID- 18041942
TI - Embryonic expression and steroid regulation of brain aromatase cyp19a1b in
zebrafish (Danio rerio).
AB - Estradiol is produced from testosterone by the aromatase gene, cyp19. In the
zebrafish Danio rerio, brain aromatase, cyp19a1b, is highly expressed during
development. We report the developmental expression pattern of cyp19a1b using
whole mount in situ hybridization and describe hormonal effects on the gene using
RT-PCR. Expression is up-regulated between 24 and 48 hours postfertilization
(hpf). Localized expression of cyp19a1b is first detected at 48 hpf in the
preoptic area, hypothalamus, terminal nerve, and olfactory bulb. The gene is
itself induced by estradiol in a positive feedback loop. Testosterone exposure
also induces the cyp19a1b gene in zebrafish; however, a majority of this
induction is blocked by an estrogen receptor antagonist. The expression pattern
of aromatase in the brain and its control by steroid hormones is well conserved
among the vertebrate lineage.
PMID- 18041943
TI - Effects of nicotine on growth and development in larval zebrafish.
AB - In this study, larval zebrafish (Danio rerio) were chronically exposed to
nicotine concentrations of 5, 10, or 20 mg/L (corresponding to 10, 20, or 40
microM) beginning at 1 day postfertilization. Larval growth, morphology, and
behavior were examined at day 10. Results indicate that nicotine exposure
significantly reduces notochord length and eye diameter (growth), adversely
affects the startle responses (behavior), and decreases survival. These findings
parallel results on the deleterious effects of nicotine on development in other
animals and indicate that zebrafish may be a good model to further study factors
affecting vertebrate development.
PMID- 18041944
TI - Diet affects spawning in zebrafish.
AB - Seven-month-old zebrafish (Danio rerio) were fed four different diets to test the
hypothesis that diet affects spawning success and resulting characteristics of
eggs and offspring. The diets were: the recommended feeding regime for zebrafish
(a mixture of Artemia, flake feed, and liver paste); Artemia; a flake feed; and a
commercially available trout diet. The number of eggs laid and average egg
diameter were significantly different as functions of male, female, and
individual matings. Fish fed the flake diet produced significantly fewer eggs
(mean, 116) than fish fed all other diets (means, 166-187). However, the percent
hatch of eggs from fish fed the flake diet (62.5%) was significantly higher than
from fish fed the trout diet (19.5%). The percentages of hatched eggs from fish
fed the control diet (36.2%) or Artemia (35.6%) were not significantly different
from each other or from fish fed the other two diets. Wet weight and diameter of
eggs were not significantly affected by diet. Larval length was significantly
higher from parents fed the flake diet (14.5 mm) compared to larvae from parents
fed Artemia (13.7 mm). Length of larvae from fish fed the control or trout diets
was intermediate and not significantly different from fish fed the flake diet or
Artemia. Larval weight was not significantly affected by dietary treatment, but
offspring from fish fed the flake diet were heavier than larvae from adults fed
any of the other diets. Feeding adult zebrafish the flake diet alone resulted in
more viable offspring and larger larvae and is a simpler feeding regime than the
current recommendation. The authors recommend feeding adult zebrafish flake diets
to satiation three times daily for maximum production of viable offspring.
PMID- 18041948
TI - Foodborne disease trends and reports.
PMID- 18041949
TI - A role for translational control in listerial osmoregulation and strain
variation?
PMID- 18041950
TI - The use of bulk tank milk samples to monitor trends in antimicrobial resistance
on dairy farms.
AB - The routine monitoring of bacteria obtained from bulk tank milk (BTM) may be an
important tool for detecting farm-level trends in antimicrobial resistance on
dairy farms. This study describes and compares antimicrobial susceptibility
patterns of Salmonella enterica subspecies enterica (Salmonella) and Escherichia
coli recovered from dairy BTM. BTM from more than 400 dairies in a dairy-intense
region of California were sampled eight times at 2- to 3-month intervals over a
29-month period. From Salmonella positive and Salmonella negative herds any one
Salmonella and three E. coli isolates per sample were tested for susceptibility
to 12 antimicrobials. The prevalence of multiple drug resistant (MDR) E. coli was
assessed in relation to Salmonella on the farm, farm size, season, MDR
Salmonella, and serovar. At each sampling period, 10-21% and 54-77% of the dairy
farms were positive for Salmonella and E. coli, respectively. The most commonly
recovered Salmonella serovars were Montevideo (33%), Typhimurium (14%), Dublin
(13%), and Give (11%). Two-thirds, respectively, of 478 Salmonella and 1577 E.
coli isolates were pan-susceptible. The antimicrobial resistance patterns of MDR
Salmonella tended to be serovar dependent and were different from the
antimicrobial resistance patterns of MDR E. coli. MDR E. coli were more likely to
be recovered from dairies with MDR Salmonella. There were no associations between
MDR E. coli and season, Salmonella serovar detected in the BTM, or dairy herd
size. Bulk milk E. coli and Salmonella could be valuable to monitor the dynamics
of antimicrobial resistance in dairy milk production.
PMID- 18041951
TI - Phenotypic and molecular characterization of Listeria monocytogenes strains
isolated from a marine environment in Morocco.
AB - Microbiological analysis of 1025 marine samples, including 345 from seawater, 337
from shellfish, and 343 from sediments collected between January 2000 and
December 2002 from 18 shellfish sites on the Atlantic coast of mid-west of
Morocco (Agadir region), yielded 143 strains of Listeria (Listeria monocytogenes:
38; L. innocua: 109; L. ivanovii: 1). The overall incidence of Listeria sp. in
the coastal environment was 5.3%. Thirteen L. monocytogenes strains were isolated
from seawater, 7 from sediment, and 12 from shellfish. The 38 strains of L.
monocytogenes were phenotypically characterized. All belonged to two chemotypes
according to appareillage et procede d'identification (API) Listeria
classification: 8 strains were type 2510, alpha-mannosidase-negative and
hemolytic; and 30 strains were type 6510, alpha-mannosidase-positive, of which 8
strains were nonhemolytic. All the L. monocytogenes strains belonged to the 1/2
serogroup, with serovar 1/2b clearly prevalent (78.9%), although some
nonhemolytic strains were serovar 1/2a. This collection of L. monocytogenes
strains included 6 different pulsotypes as assessed by DNA macrorestriction with
the restriction enzymes AscI and ApaI.
PMID- 18041952
TI - Retail meat consumption and the acquisition of antimicrobial resistant
Escherichia coli causing urinary tract infections: a case-control study.
AB - BACKGROUND: The increasing incidence of community-acquired urinary tract
infections (UTIs) caused by antimicrobial resistant Escherichia coli, and
observations of potential outbreaks of UTI-causing E. coli, suggest that food may
be an important source of E. coli in women who develop UTI. We sought to
determine if acquisition of and infection with a UTI-causing, antimicrobial
resistant E. coli isolate is associated with a woman's dietary habits,
specifically her preparation and consumption of retail meat products. METHODS:
Between April 2003 and June 2004, a case-control study was conducted. The dietary
habits of women with UTI caused by an antimicrobial resistant E. coli (cases) and
women with UTI caused by fully susceptible E. coli (controls) were compared.
Broth microdilution was used to perform antimicrobial resistance testing. All E.
coli isolates were genotyped by the pulsed-field gel electrophoresis (PFGE)
method. RESULTS: Ninety-nine women met study criteria. Women who were infected
with multidrug-resistant E. coli reported more frequent chicken consumption
(adjusted OR = 3.7, 95% CI 1.1, 12.4). Women with UTI caused by an ampicillin- or
cephalosporin-resistant E. coli isolate reported more frequent consumption of
pork (adjusted OR = 3.2, 95% CI 1.0, 10.3 and adjusted OR = 4.0, 95% CI 1.0,
15.5, respectively). Frequent alcohol consumption was associated with
antimicrobial resistant UTI. CONCLUSIONS: This study provides epidemiologic
evidence that antimicrobial resistant, UTI-causing E. coli could have a food
reservoir, possibly in poultry or pork.
PMID- 18041953
TI - Assessment of the prevalence of Mycobacterium avium subsp. paratuberculosis in
commercially pasteurized milk.
AB - Conflicting laboratory-acquired data have been published about the heat
resistance of Mycobacterium avium subsp. paratuberculosis (MAP), the cause of the
deadly paratuberculosis (Johne's disease) of ruminants. Results of surveys of the
presence of MAP in industrially pasteurized milk from several countries are
conflicting also. This paper critically reviews the available data on the heat
resistance of MAP and, based on these studies, a quantitative model describing
the probability of finding MAP in pasteurized milk under the conditions
prevailing in industrialized countries was derived using Monte Carlo simulation.
The simulation assesses the probability of detecting MAP in 50-mL samples of
pasteurized milk as lower than 1%. Hypotheses are presented to explain why higher
frequencies were found by some authors; these included improper pasteurization
and cross-contamination in the analytical laboratory. Hypotheses implicating a
high rate of inter- and intraherd prevalence of paratuberculosis or heavy
contamination of raw milk by feces were rejected.
PMID- 18041954
TI - Longitudinal study of a clonal, subclinical outbreak of Salmonella enterica
subsp. enterica serovar Cerro in a U.S. dairy herd.
AB - Salmonellae are a major group of foodborne pathogens known to affect both humans
and animals. Dairy cattle are a known reservoir of these bacteria and human
Salmonella infections have been associated with the consumption of improperly
processed or contaminated dairy products. Many of the over 2500 known serotypes
of Salmonella are known to infect cattle, resulting in asymptomatic to fatal
salmonellosis. This study describes the course of a Salmonella outbreak and
subsequent endemic infection on a dairy farm in Pennsylvania. The outbreak was
initially detected when a few cows with clinical symptoms and one fatality were
found to be infected with Salmonella enterica subsp. enterica serovar Typhimurium
var. Copenhagan. Based upon sampling of the farm environment, Salmonella
Typhimurium var. Copenhagan was succeeded within 3 months by Salmonella enterica
subsp. enterica serovar Kentucky. Salmonella enterica subsp. enterica serovar
Cerro ultimately supplanted Typhimurium var. Copenhagan and Kentucky in
individual animals and environmental samples and persisted in the herd at high
prevalence for almost 2 years. Since there were no obvious clinical consequences
of the Salmonella Cerro infection, these data suggest that some serotypes of S.
enterica subsp. enterica can behave as commensal organisms in dairy cattle and
illustrate the difficulties of controlling Salmonella in milk production systems.
The consistent finding of Salmonella in the environment reinforces the potential
for human exposure to this pathogen and the need to understand the dynamics and
ecology of Salmonella in dairy production settings.
PMID- 18041955
TI - Proteomic analysis to identify the role of LuxS/AI-2 mediated protein expression
in Escherichia coli O157:H7.
AB - Microorganisms employ autoinducer molecules to modulate various bacterial
processes including virulence expression, biofilm development, and
bioluminescence. The universal autoinducer molecule AI-2 is hypothesized to
mediate cell signaling in Escherichia coli O157:H7. We investigated the role of
AI-2 on the E. coli O157:H7 cellular proteins using a two-dimensional (2D) gel
electrophoresis-based proteomic approach. The protein expression patterns between
two experimental comparisons were studied namely, 1) a wild type E. coli O157:H7
and its isogenic luxS mutant, and 2) the luxS mutant and the luxS mutant
supplemented with AI-2 molecules. Eleven proteins were differentially expressed
between the wild type and the luxS mutant strain, whereas 18 proteins were
differentially expressed in the luxS mutant strain when supplemented with AI-2.
The tryptophan repressor binding protein (WrbA), phosphoglycerate mutase (GpmA),
and a putative protein YbbN were found to be differentially expressed under both
experimental comparisons. The FliC protein which is involved in flagellar
synthesis and motility was up-regulated in the wild type strain but was not
influenced by the addition of synthetic AI-2 molecules to the luxS mutant
suggesting the involvement of signaling molecules other than AI-2 on flagellar
synthesis and motility.
PMID- 18041956
TI - Discriminating between strains of Escherichia coli using pulsed-field gel
electrophoresis and BOX-PCR.
AB - In this study, we evaluated two biomolecular techniques for discriminating
between strains of Escherichia coli isolated form a variety of sources. The DNA
of 211 strains of E. coli collected from dairy farms, calves, feces, pigs,
primates, humans, and food products was analyzed by pulsed-field gel
electrophoresis (PFGE) and repetitive-element polymerase chain reaction using the
BOXA1 primer (BOX-PCR). Objectives of the present study were to compare PFGE and
BOX-PCR for discriminating among strains of E. coli and investigate their
capability in clustering E. coli strains according to the origin of bacterial
isolation. Our results showed that PFGE and BOX-PCR were both able to distinguish
closely related strains of E. coli; however, PFGE was able to discriminate
between isolates indistinguishable by BOX-PCR and interpretation of PFGE data was
easier. BOX-PCR proved to have good discrimination power, was less expensive, and
could be performed in a PCR thermocycler. Neither of the methods used were
effective in clustering E. coli strains according to the source of the organism.
PMID- 18041957
TI - Antibacterial activity of guava (Psidium guajava L.) and Neem (Azadirachta indica
A. Juss.) extracts against foodborne pathogens and spoilage bacteria.
AB - The antibacterial activity of guava (Psidium guajava) and neem (Azadirachta
indica) extracts against 21 strains of foodborne pathogens were determined-
Listeria monocytogenes (five strains), Staphylococcus aureus (four strains),
Escherichia coli O157:H7 (six strains), Salmonella Enteritidis (four strains),
Vibrio parahaemolyticus, and Bacillus cereus, and five food spoilage bacteria:
Pseudomonas aeroginosa, P. putida, Alcaligenes faecalis, and Aeromonas hydrophila
(two strains). Guava and neem extracts showed higher antimicrobial activity
against Gram-positive bacteria compared to Gram-negative bacteria except for V.
parahaemolyticus, P. aeroginosa, and A. hydrophila. None of the extracts showed
antimicrobial activity against E. coli O157:H7 and Salmonella Enteritidis. The
minimum inhibitory concentration (MIC) of ethanol extracts of guava showed the
highest inhibition for L. monocytogenes JCM 7676 (0.1 mg/mL), S. aureus JCM 2151
(0.1 mg/mL), S. aureus JCM 2179 (0.1 mg/mL), and V. parahaemolyticus IFO 12711
(0.1 mg/mL) and the lowest inhibition for Alcaligenes faecalis IFO 12669,
Aeromonas hydrophila NFRI 8282 (4.0 mg/mL), and A. hydrophila NFRI 8283 (4.0
mg/mL). The MIC of chloroform extracts of neem showed similar inhibition for L.
monocytogenes ATCC 43256 (4.0 mg/mL) and L. monocytogenes ATCC 49594 (5.0 mg/mL).
However, ethanol extracts of neem showed higher inhibition for S. aureus JCM 2151
(4.5 mg/mL) and S. aureus IFO 13276 (4.5 mg/mL) and the lower inhibition for
other microorganisms (6.5 mg/mL). No significant effects of temperature and pH
were found on guava and neem extracts against cocktails of L. monocytogenes and
S. aureus. The results of the present study suggest that guava and neem extracts
possess compounds containing antibacterial properties that can potentially be
useful to control foodborne pathogens and spoilage organisms.
PMID- 18041959
TI - Salmonella contamination of turkey from processing to final product--a process to
product perspective.
AB - Food safety and the development of an understanding of issues surrounding
pathogens associated with food is of considerable importance in modern-day food
production. The design and use of risk models to estimate the likelihood of human
illness has become an important part of our understanding of food safety issues.
In order to quantify food safety risks, the first requirement is to estimate the
occurrence of the pathogen associated with a particular production system. As
such, an assessment of whether interventions significantly reduce these risks can
be made. In light of recent events in food production including pathogens in
ready to eat foods and outbreaks of Escherichia coli O157:H7 associated with
produce, the development and implementation of risk models will continue to gain
importance in the coming years. This paper focuses on some of the current
research ongoing at North Dakota State University to develop a greater
understanding of Salmonella as it pertains to turkey production and processing in
the Midwest. This overview of studies of Salmonella in turkey production stems
from a presentation of research made at the Integrated Risk Studies: Gate to
Plate-Current Issues and Future Strategies Conference held in Fargo, ND, May 4-5,
2006.
PMID- 18041960
TI - Voluntary vs. mandatory approaches to food safety: considering heterogeneous
firms.
AB - A critical assessment of voluntary approaches in regulatory programs is
presented. When accounting for heterogeneous firms, with varying effectiveness of
risk control, the optimality of a lump-sum financial incentive to encourage
voluntary adoption is questioned. The paper uses a game-theoretic model to
characterize the strategies of a regulator and firms. Using robust comparative
statics, it can be shown that less efficient firms are less likely to participate
in a voluntary food safety program. Adverse selection leads to lower overall risk
control, suggesting mandatory control may be preferred.
PMID- 18041961
TI - Occurrence of antimicrobial-resistant salmonella species in raw and ready to eat
turkey meat products from retail outlets in the midwestern United States.
AB - OBJECTIVES: To investigate occurrence of Salmonella in raw and ready to eat (RTE)
turkey from retail outlets, determine factors associated with its occurrence and
antimicrobial-resistance patterns. MATERIALS AND METHODS: A total of 959 turkey
products (raw, n = 345; and RTE, n = 614) were purchased in 3 months from four
stores in one city in the midwestern United States. Chi-square and logistic
regression analyses were used to test for associations of microbial contamination
with product type and brand, expiration date, sampling time, and retail store.
Antimicrobial resistance of Salmonellae was determined using a National
Antimicrobial Resistance Monitoring System (NARMS) panel of antimicrobials.
RESULTS: Overall, 2.2% (21/959) of the samples (4.1% [14/345] raw and 1.1%
[7/614] RTE) were contaminated with Salmonella. A significant difference in
Salmonella occurrence (p < 0.05), between meat type (raw vs. RTE; OR = 4.2, 95%
CI = 1.6, 10.8); and sampling month (p < 0.05) was reported, but not between
retail stores and product brands. Salmonellae belonged to 6 serotypes: Hadar,
Heidelberg, Typhimurium var. Copenhagen, Newport, Saintpaul, and Agona.
Salmonellae from raw turkey exhibited higher antimicrobial resistance (53%)
compared to those from RTE products (33%). Multidrug resistance was exhibited by
62% of Salmonellae (86% RTE, 50% raw meats). CONCLUSION AND CLINICAL
APPLICATIONS: Turkey (both raw and RTE) may occasionally be contaminated with
antimicrobial-resistant Salmonellae whose occurrence was influenced by sampling
month and meat type. Continued surveillance of Salmonella occurrence in meat
products, in particular RTE ones, is warranted in order to ensure a safe food
supply.
PMID- 18041962
TI - How university researchers can contribute to farm-to-table risk assessments:
Listeria monocytogenes as an example.
AB - As a part of the Sanitary and Phytosanitary (SPS) agreement, the World Trade
Organization requires that member countries establish SPS measures on the basis
of an appropriate risk assessment. In addition, many governments use risk
assessment in their management of food safety. Consequently, a number of risk
assessments for different foodborne pathogens have been conducted. Risk
assessments have also been successfully used as a research tool. While,
historically, risk assessments are typically initiated by government agencies,
university-based researchers are increasingly becoming involved in risk
assessments. The purpose of this article is to illustrate the role that
university researchers can play in the development and refinement of food safety
risk assessments, including possible roles in (1) de novo development or
refinement of risk assessment (including farm-to-table risk assessment), (2) data
collection supporting risk assessments, and (3) development of new methodological
techniques. Transmission of the foodborne pathogen Listeria monocytogenes through
the food production chain and risk assessments addressing transmission of this
pathogen are used as an example to highlight the roles of university researchers
in food safety risk assessments.
PMID- 18041963
TI - Efficacy of vaccination to reduce Salmonella prevalence in live and slaughtered
swine: a systematic review of literature from 1979 to 2007.
AB - A systematic review was conducted to evaluate the efficacy of vaccination to
reduce Salmonella prevalence in market weight finisher swine. A search of online
databases and selected conference proceedings was conducted to identify relevant
studies. The review process followed relevance screening, methodological quality
assessment, and data extraction. Although multiple outcomes were frequently
reported, only outcomes describing culture of Salmonella were extracted. Five
clinical trials and 23 challenge studies were considered likely relevant to the
review as they described vaccination to reduce Salmonella in swine. Five clinical
trials reported vaccination was associated with reduced isolation of Salmonella
in market weight pigs, however, information required to assess the internal
validity of the study was often not described in the manuscripts. All challenge
studies assessed vaccine efficacy in pigs aged <15 weeks reducing the relevance
of results to the review which focused on market weight pigs. Only five of the 23
challenge studies reported the majority of information necessary to evaluate the
quality of vaccine studies. Given large variability in population type, sample
size, type of vaccine, dose and dosing regimens, and type of outcomes observed,
pooled data analysis was not possible, and therefore, a qualitative synthesis of
the studies was conducted. Available evidence suggests that vaccination is
associated with reduced Salmonella prevalence in swine at or near harvest;
however, this conclusion is based on studies with design and reporting
deficiencies that could potentially indicate biases with the outcome.
PMID- 18041964
TI - Evaluation of Salmonella occurrence in domestic animals and humans in North
Dakota (2000-2005).
AB - OBJECTIVES: To evaluate the occurrence, serotypes, and antimicrobial
susceptibility of Salmonellae from domestic animals and humans in North Dakota.
MATERIALS AND METHODS: Salmonellosis data (2000-2005) in humans (n = 286) and
animals (n = 258) were extracted from the North Dakota Department of Health
(NDDoH) and North Dakota State University Veterinary Diagnostic Laboratory (NDSU
VDL), and analyzed for temporal and spatial trends, and for other associations.
Additionally, random samples of 35, 30, and 15 Salmonella isolates from NDSU-VDL,
NDDoH, and North Dakota healthy cattle, respectively, were tested for
antimicrobial susceptibility. RESULTS: Most animal salmonellosis occurred in
cattle (64.7%) sheep (12%), pigs (10.9%), and bison (0.4%) with Salmonella
Typhimurium (45.7%) as the predominant serotype; Salmonella Arizona (10.9%) and
Dublin (10.5%) were host specific in sheep and cattle respectively. In humans,
Salmonella Typhimurium (32.5%) and Salmonella Newport (11.2%) were predominant.
Season influenced human (p = 0.027) and animal (p = 0.014) salmonellosis with
cases peaking in the spring and summer for animals and humans, respectively.
Salmonella Typhimurium case reports in humans were not seasonally related to
domestic animals (p = 0.001) nor cattle (p = 0.001). Over time, case reports
increased in humans but decreased in domestic animals. Most serotypes from
domestic animals were multidrug resistant compared to human isolates. CONCLUSIONS
AND APPLICATIONS: Many Salmonella serotypes (17) were involved in North Dakota
human and animal salmonellosis with case reports closely related in fall and
winter, but not during warmer months. Spatial clustering of human and animal
cases was similar. Antimicrobial resistance was widespread but lower in human
isolates. These data are helpful in determining future policy, research, and
control strategies for salmonellosis in humans and domestic animals.
PMID- 18041966
TI - Issues in older person nursing.
PMID- 18041967
TI - Towards innovation: the development of a person-centred model of care for older
people in acute care.
AB - That the population is ageing poses many challenges for health care planners.
Some argue that these challenges, exacerbated by limited funding, maintaining
increased community expectations and the need for quality health care outcomes,
may be overcome by exploring alternate models of care. These ideas have led
health planners to reconceptualise contemporary philosophies of care with the
current emphasis on multidisciplinary teams and a person-centred approach to
care. This paper presents a model for the care of older people in the acute care
setting. The concepts for the development of the model were derived from the
international literature. In the model the care of older people is underpinned by
a philosophy of person-centredness. The complex nature of care is represented
along with those factors that enable a person-centred approach to be taken. The
functions of the model in practice are highlighted and discussion of how it is
being implemented within the acute care sector outlined.
PMID- 18041968
TI - Trends and challenges in the management of tracheostomy in older people: the need
for a multidisciplinary team approach.
AB - The trend towards increasing representation of older people as recipients of
health care in acute care settings is matched with a corresponding increase in
the numbers of older people undergoing tracheostomy.The reasons for tracheostomy
in this age group are varied, including respiratory failure, neurological
injury/event and carcinomas. Further, current research supports early
tracheostomy for patients requiring medium to long-term ventilation and
unconscious patients at risk of airway obstruction. In spite of this increase
very little has been written about decision making and ongoing nursing care for
these patients. There is an abundance of literature on the physiological
processes of aging and the problems related to comorbidities; however, there has
been very little exploration of how these impact on the occurrence of
complications and other outcomes for older patients with a tracheostomy, and the
consequent implications for care provision. This article examines clinical issues
of significance to older people with tracheostomy and outlines the implementation
and benefits of a multidisciplinary team approach.
PMID- 18041969
TI - Advance care planning (ACP): the nurse as 'broker' in residential aged care
facilities.
AB - This paper describes one aspect of a study that investigated how Advance Care
Planning (ACP) was implemented in residential care facilities (RACFs) in
Australia and the role of a Clinical Nurse Consultant (CNC) for ACP. Three RACFs
were included in the study. This paper focuses on the implementation process of
ACP by the CNC in the RACFs. The preliminary findings highlight the need to
dispel the myths about ACP and Advanced Care Directives (ACDs), and how the CNC
acted as a broker for ACP. The CNC's role is represented by a Nursing Brokerage
Model. By revealing how ACP is implemented the research contributes to the
limited existing knowledge of options that are currently available to older
adults and their families in order to help them make care choices about the end
of their lives.
PMID- 18041970
TI - Person-centered approach to care (PCA): a philosophy of care and management for
carers.
PMID- 18041971
TI - Urinary stress incontinence and overactive bladder symptoms in older women.
AB - Urinary incontinence - the accidental leaking of urine - is a major problem in
Australia and has a significant impact on quality of life, affecting the social,
psychological, physical and financial aspects of living. The prevalence of
urinary incontinence is significantly higher in women than in men. While urine
loss itself is a devastating symptom, older women are also likely to suffer lower
urinary tract symptoms associated with the overactive bladder syndrome such as
urgency, frequency, mixed incontinence and nocturia which are reported more
frequently in older women. These symptoms disrupt activities of daily living as
well as seriously disturbing sleep and general health status. Conservative nurse
interventions have been shown to be effective in the management of these
symptoms. Simple assessment tools suitable for use by primary level clinicians
and conservative management strategies are discussed within the paper.
PMID- 18041972
TI - The immediate needs of relatives during the hospitalisation of acutely ill older
relatives.
AB - The main aim of this study was to explore the immediate needs of the relatives of
acutely ill older people during hospitalisation. The research question posed was:
'What are the immediate needs of the relatives1 of acutely ill older people in
the hospital setting?' A descriptive qualitative approach was utilized, with
ethnographic data collection methods and thematic data analysis. Unstructured
interviews were conducted with relatives of older people who were admitted for
acute care. The setting for the study included two large tertiary referral
hospitals located in two area health services in New SouthWales,Australia.
Analysis of data revealed two themes: being informed and being there. Being
informed describes the nature of the information that relatives need and why this
is so important to them. Being there illustrates how relatives perceive their
roles and responsibility during hospitalisation. It highlights the importance of
this and the impact it has on individuals The findings highlight the importance
of appreciating the family's experiences in relation to the care of their older
family member. They point to the need for education of stakeholders to focus on
relatives as well as the older patient, improved assessment incorporating a whole
of family approach on admission to hospital, and finally, facilitating positive
relationships between ward staff and families.
PMID- 18041973
TI - The changing skill mix and scope of practice of health care workers in New South
Wales: implications of education and training reforms for registered nurse
practice, performance and education.
PMID- 18041974
TI - The negative attitudes of nurses towards older patients in the acute hospital
setting: a qualitative descriptive study.
AB - AIM: This paper reports the findings of a sub-sample of interviews from a larger
study designed to explore the attitudes of health care workers towards older
people in that acute care setting. The discussion in this paper focuses only on
interviews with nurses and their negative attitudes towards older people in their
care. BACKGROUND: As Australia's ageing population continues to grow
exponentially, their demand for hospital care also increases. Many nurses in the
acute care setting have had little, if any, specialist education in the care of
older people and therefore do not understand the extent of their needs. Coupled
with the lack of specialist knowledge is the low status of older person care in
this setting. Many nurses prefer to care for younger patients with acute
illnesses that are curable (Nay 1993 in Nay & Garratt 2004: 61). As with the
population at large, health care professionals hold negative views about old age
and this is reflected in their attitudes. METHOD: The findings presented in this
paper focus on data analysed from in depth interviews with nurses. As a prompt to
discussions, nurses were presented with scenarios that exemplified both positive
and negative attitudes to care. However, much of what was discussed in interviews
focused on negative attitudes. Interviews with medical and allied health staff
will be reported in a separate paper. RESULTS: The analysis of data with nurses
reveals two themes and subthemes. 'Marginalisation and oppression of the older
person' shows the ways in which nurses perceive older people are relegated to a
lower status in the acute care setting, how this is 'contagious' and how 'lack of
time' for care for older people is problematic and has become their
'catchcry'.'Stereotyping the older person' depicts some of the ways in which this
evolves, for example, through 'Chinese Whispers' (UsingEnglish.com 2006), but has
become part of the ageist culture.
PMID- 18041975
TI - Delirium dichotomy: a review of recent literature.
AB - Delirium remains a commonly occurring problem for older people and staff in acute
care settings. The aim of this review of current literature is to find
contemporary evidence on which to base practice modalities. Although the
literature provides an exposition of the concerns with which practitioners are
currently faced and highlights the consistent themes identified, there is little
research evidence regarding the effectiveness of treatment protocols for the
management of older people with delirium in acute care setting.
PMID- 18041978
TI - Nurses in general practice settings: roles and responsibilities.
AB - Nurses are an important human resource, vital for the delivery of health services
in countries across the globe. To improve the quality, access and affordability
of primary care services to support rural and remote communities, in 2001, the
Australian Government implemented the Nursing in General Practice Initiative
[NIGPI] to increase the numbers of nurses to work in general practice settings.
The NIGPI positions nurses as both a human resource and a human commodity. This
paper examines how developments emerging from this initiative enable and
constrain the role and responsibilities of nurses working in general practices in
Australia.
PMID- 18041979
TI - Where does practice nursing fit in primary health care?
AB - Practice nursing is an integral and growing part of primary health care
internationally and increasingly within the Australian health care system. The
potential for practice nursing being considered as a specialty of community
nursing, boundary issues in community nursing, and defining characteristics of
practice nursing as a model of community-based nursing are discussed in this
paper. As the author has worked as a practice nurse, personal reflections on the
evolving practice nurse role are provided. Practice nursing is a dynamic entity
and will continue to evolve in the primary health care setting. In order for
practice nursing to meet the primary health care agenda, there is a need to
incorporate a social model of health with the medical model of health and to
promote research and scholarship to support this goal.
PMID- 18041980
TI - Professional organisations and regulatory bodies: forging and advancing the role
of nurses in Australian primary care.
PMID- 18041981
TI - Leadership in primary health care: an international perspective.
AB - A primary health care approach is essential to contemporary nursing roles such as
practice nursing. This paper examines the evolution of primary health care as a
global strategy for responding to the social determinants of health. Primary
health care roles require knowledge of, and a focus on social determinants of
health, particularly the societal factors that allow and perpetuate inequities
and disadvantage. They also require a depth and breadth of leadership skills that
are responsive to health needs, appropriate in the social and regulatory context,
and visionary in balancing both workforce and client needs. The key to succeeding
in working with communities and groups under a primary health care umbrella is to
balance the big picture of comprehensive primary health care with operational
strategies for selective primary health care. The other essential element
involves using leadership skills to promote inclusiveness, empowerment and health
literacy, and ultimately, better health.
PMID- 18041982
TI - Divisions of general practice and practice nurse development in Australia.
AB - Nurses are consistently being seen as an integral part of a team approach to
primary care service delivery, with significant policy initiatives being directed
towards their employment in Australian general practice. Furthermore they are
being increasingly recognised to add a value to general practice that is more
than simply the 'sum of its parts'.This recognition, for GPs and practices, has
often occurred on the back of an experience that has been provided or illustrated
by a Division of General Practice. Divisions as the face of 'organised general
practice' in this country have been instrumental in providing a broad range of
support strategies and development opportunities for practice nursing, especially
over the last five to ten years. Essentially, the role of Divisions has been
about increasing connectivity, and acting as 'brokers' of information,
opportunities, and in some cases even resources. Divisions have made a
significant contribution to achievements in practice nurse development, but this
has not been without challenges. As the evolution of Australian practice nursing
reaches a crucial stage, Divisions can play a pivotal role in advancing this
development but must seek partnerships to be effective and should continue to
broaden their scope.
PMID- 18041983
TI - Multiprofessional working, interprofessional learning and primary care: a way
forward?
AB - Across all sectors of health and social care there is a growing need for
collaboration between professionals, agencies, providers and above all with the
people they seek to serve. The significant challenge is how to create, within the
existing and future workforce, the capability to work in multi professional teams
capable of effective collaboration. The intention of this paper is to explore the
implications that multi- or more specifically inter-professional learning may
have for collaboration within primary care. As part of this, the state of the
current evidence base regarding this form of learning will be explored. However,
just as this way of learning is but one element in a wider picture of health
care, so the focus will also include the implications for a workforce relevant to
future primary care.
PMID- 18041984
TI - Policy development to support nursing in Australian general practice: an
overview.
AB - There has been a groundswell of change, which has increased the profile and
diversity of the role for nurses working in general practice. The need for change
has been driven by the requirement to deliver quality, safe health care services
in an environment that is complex and increasingly under pressure. Australian
government initiatives have played an important part in shaping the role for the
general practice nurse and supporting a team based approach to care in general
practice. Professional groups have also played a key role in advocating for
change and supporting new initiatives to enhance and promote community health and
wellbeing.
PMID- 18041985
TI - Investing in primary care nursing: an important strategy in improving the health
and well-being of women.
PMID- 18041986
TI - Expanding roles of Aboriginal health workers in the primary care setting: seeking
recognition.
AB - The work of Aboriginal health workers (AHWs) in the primary care setting is
discussed, emphasising that partnership with non-Indigenous health providers such
as nurses and general practitioners brings complementary skills together to
improve the health care available to Aboriginal clients, and to decrease the
cultural and communication barriers to delivering such health care. The diverse
skills and responsibilities of AHWs, which include clinical, health promotion,
education and leadership roles, are illustrated. The current focus on increasing
AHW educational opportunities, and the need to recognise AHWs as core health
professionals and equal members of the health care team is presented. The
increasing recognition of the role of AHWs in providing cultural mentorship for
non-Indigenous colleagues is discussed.
PMID- 18041987
TI - Practice nursing in rural Australia.
AB - Rural Australia faces unique issues in workforce management and health care
delivery. This paper provides an integrated review of the existing literature
describing the work of practice nurses in rural Australia and the perceptions of
consumers. Distinct differences are evident in the role of the practice nurse
between rural and metropolitan practices. A key difference is that the rural
practice nurse is known within the community and plays an important role in care
coordination. Findings from two studies of consumer perceptions of the role of PN
in rural areas suggest that the more remotely located the consumer, the greater
is their perception that the nurse works under the direct supervision of the
doctor. Currently, remotely located residents do not support an expanded
autonomous role for the nurse. Greater research is required to develop the role
of the practice nurse in rural Australia.
PMID- 18041988
TI - Nurses in primary care and the nurse practitioner role in Thailand.
AB - Thailand supports a system of universal health care coverage and promotes a
system of primary care. Effective and efficient primary health care can improve
health through increasing access and thereby reducing inequities. Nurses are key
providers of primary care services, particularly in remote areas and play an
important role in improving the health and well-being of the Thai community.
PMID- 18041989
TI - Meeting a primary care challenge in the United States: chronic illness care.
AB - Leading health care experts in the United States have stated that the greatest
primary care challenge today is meeting the complex needs of patients with
chronic illness/long-term conditions or impairment.To address this challenge,
there is a need for health care system redesign that requires a multidisciplinary
team approach, including active participation from professional nurses. In
particular, it is essential for advanced practice nurses to provide leadership in
health systems design for which they are specifically trained and experienced. In
this article, the primary care challenge related to chronic illness care
management is addressed. Future implications for community-based, chronic illness
care delivery and the education of future health care providers with a focus on
advanced practice nurses will also be discussed.
PMID- 18041990
TI - Primary health care nurse practitioners in Canada.
AB - Canada, like many countries, is in the midst of primary health care reform. A key
priority is to improve access to primary health care, especially in remote
communities and areas with physician shortages. As a result, there is an
increased emphasis on the integration of primary health care nurse practitioners.
As of March 2006, legislation exists in all provinces and two territories in
Canada that allows nurse practitioners (NPs) to implement their expanded nursing
role. In this paper, we will briefly review the historical development of the NP
role in Canada and situate it in the international context; describe the NP role,
supply of NPs in the country, and the settings in which they work; propose an NP
practice model framework; summarize facilitators and barriers to NP role
implementation in primary health care delivery; and outline strategies to address
the barriers.
PMID- 18041991
TI - Research and development: reflections of practice nurse research fellows.
PMID- 18041992
TI - The research potential of practice nurses: what contribution to primary health
care research?
AB - Primary health care (PHC) is at the core of effective, sustainable population
healthcare. Although PHC research has been described as the missing link in the
development of high-quality, evidence-based health care for populations, research
outputs have been disappointingly low in Australia and overseas. This paper
reviews the current status of PHC research in Australia, particularly relating to
funding and research capacity building needed to conduct high quality and
relevant research with significant transfer potential for practice and policy. It
explores the likely contribution of research-trained practice nurses (R-T PNs) as
study coordinators, rather than as independent nurse researchers, although this
is certainly possible, and proposes adapting a successful secondary care research
model for use in the PHC research setting.
PMID- 18041993
TI - Strategic directions for developing the Australian general practice nurse role in
cardiovascular disease management.
AB - Practice nursing is an integral component of British and New Zealand primary
care, but in Australia it remains an emerging specialty. Despite an increased
focus on the Australian practice nurse role, there has been limited strategic
role development, particularly relating to national health priority areas. This
paper reports the third stage of a Project exploring the Australian practice
nurse role in the management of cardiovascular disease (CVD). This stage involved
a consensus development conference, undertaken to identify strategic, priority
recommendations for practice nurse role development. 1. Practice nurses have an
important role in developing systems and processes for CVD management; 2. A
change in the culture of general practice is necessary to promote acceptance of
nurse-led CVD management; 3. Future research needs to evaluate specific models of
care, incorporating outcome measures sensitive to nursing interventions; 4.
Considerable challenges exist in conducting research in general practice; and 5.
Changes in funding models are necessary for widespread practice nurse role
development. The shifting of funding models provides evidence to support
interdisciplinary practice in Australian general practice. The time is ripe,
therefore, to engage in prospective and strategic planning to inform development
of the practice nurse role.
PMID- 18041994
TI - Time to talk, time to see: changing microeconomies of professional practice among
nurses and doctors in Australian general practice.
AB - In Australia, more nurses are entering general practice, and nurses' work is
being funded in increasingly complex ways through Medicare. Little research has
explored the ways doctors and nurses realign their priorities and activities when
working together in general practice. We undertook rapid, intensive multimethod
studies of 25 general practices to explore the ways in which the labour of nurses
and doctors was structured, and the implicit decisions made by both professions
about the values placed on different ways of working and on their time. Data
collected included photographs, floor-plans, interviews with 37 nurses, 24
doctors and 22 practice managers, and 50 hours of structured observation. Nursing
time was constructed by both nurses and doctors as being fluid and non
contingent; they were regarded as being 'available' to patients in a way that
doctors were not. Compared to medical time, nursing time could be disposed more
flexibly, underpinning a valorized attribute of nursing: deep clinical and
personal contact with patients. The location of practice nurses' desks in areas
of traffic, such as administrative stations, or in the treatment room,
underpinned this valuable unstructured contact with patients. Changes to the
practice nurse role through direct fee-for-service items for nurses may lead to
greater congruence between the microeconomies of nursing and medicine in general
practice. In a time of pressure upon a primary care workforce, this is likely to
lead to more independent clinical work by nurses, but may also lead to a decrease
in flexible contact with patients.
PMID- 18041995
TI - Exploring the development of Australian general practice nursing: where we have
come from and where to from here?
AB - Significant development has occurred in the role and scope of Australian general
practice nursing in the last decade. Although there has been a nursing presence
in Australian general practice for many years (Linn 1977), current workforce
shortages and the growing need for chronic and complex disease management and
provision of preventive health care in the community have fuelled role
development (Halcomb, Patterson & Davidson 2006). In an effort to explore and
document the evolution of scholarship and professional development in Australian
general practice nursing a content analysis of the proceedings of the four
Australian practice nursing conferences was undertaken. This framework allows the
mapping of the trajectory related to professional development issues, policy,
research and scholarship. Content analysis revealed that the papers presented at
each of the four conferences could be broadly divided into six major themes,
namely: (1) role of the practice nurse, (2) education and training, (3) research,
(4) legal, ethical and risk issues, (5) innovation in clinical practice, and (6)
operational and management issues in general practice. Documenting the evolution
of this emerging specialty is important in planning initiatives to maximise
practice nurses' important contribution to primary health care.
PMID- 18041997
TI - Discontinued drugs in 2006: anti-infectives.
AB - Of the drugs dropped from development in 2006, 11 were being developed for
infectious diseases. Of these, nine were for viral diseases, including four
against HIV, two against hepatitis C virus and one each against respiratory
syncytial virus, severe acute respiratory syndrome (coronavirus) and a variety of
viruses. The nine antiviral agents comprised six synthetic small-molecule
compounds, one peptide, one monoclonal antibody and a vaccine. The remaining two
agents were a vaccine for Pseudomonas aeruginosa infection, and lipid-based agent
for septic shock. Each of these drugs is briefly reviewed and reasons for failure
are discussed.
PMID- 18041998
TI - The potential link between atherosclerosis and the 5-lipoxygenase pathway:
investigational agents with new implications for the cardiovascular field.
AB - The 5-lipoxygenase pathway is responsible for the production of leukotrienes-
inflammatory lipid mediators that have a role in innate immunity, but that can
also have pathological effects in inflammatory diseases. Recently, a potential
link between leukotriene production and atherosclerosis has been proposed. The
expression of leukotriene biosynthetic enzymes and leukotriene receptors has been
identified in coronary and carotid atherosclerotic plaques, and the levels of
biosynthetic enzymes have been correlated with the clinical symptoms of unstable
plaques. Genetic variants in 5-lipoxygenase pathway genes have also been
associated with a relative risk of developing myocardial infarction and stroke.
On the basis of these discoveries, antileukotriene compounds are now being
evaluated for the treatment of cardiovascular disease. Several tool compounds
have been shown to limit the progression of lesion development in preclinical
models of atherosclerosis, and three compounds, including two drugs previously
developed for asthma, are undergoing clinical trials in patients with acute
coronary syndromes.
PMID- 18041999
TI - Antiangiogenic agents for the treatment of glioblastoma.
AB - Glioblastomas are highly vascularized and, therefore, antiangiogenic agents are
increasingly being explored as therapeutic options. This review summarizes the
present data on antiangiogenic agents in glioblastoma treatment. The angiogenic
pathway in gliomas and the proposed mechanisms of antiangiogenic agents are
reviewed briefly, and details of the drugs in clinical trial are provided. In
addition to their effects on blood vessels, these agents also have potent
antiedema effects that may have therapeutic benefit. The review concludes with a
discussion of the role of biomarkers and neuroimaging in the assessment of tumor
response. Although preliminary studies of these drugs in glioblastoma have been
promising, larger prospective trials that include survival as an end point will
be required to determine the ultimate utility of this class of agents. It seems
likely that a combination of antiangiogenesis agents with other cytotoxic
therapies will be required to achieve maximal efficacy.
PMID- 18042000
TI - Leukotriene B4 receptor antagonists as therapeutics for inflammatory disease:
preclinical and clinical developments.
AB - Leukotriene B(4) (LTB(4)) is a lipid inflammatory mediator derived from membrane
phospholipids by the sequential actions of cytosolic phospholipase A2 (PLA2), 5
lipoxygenase (5-LO) and leukotriene A(4) (LTA(4)) hydrolase. Several inflammatory
diseases, including asthma, chronic obstructive pulmonary disease, arthritis and
inflammatory bowel disease, have been associated with elevated levels of LTB(4).
As a result, pharmacological strategies to modulate the synthesis of LTB(4)
(inhibition of PLA2, 5-LO or LTA(4) hydrolase) or the effects of LTB(4) itself
(antagonism of LTB(4) receptors) are being developed by several companies. Two G
protein-coupled receptors mediate the effects of LTB(4), namely BLT1 and BLT2.
The pharmacology, expression and function of these two receptors were last
reviewed by Tager and Luster in 2004. Since then, there has been an increased
understanding of the function of these receptors, in particular for the lesser
understood of the two receptors, BLT2. Furthermore, since last reviewed in 1996,
there have been several clinical developments in the use of BLT receptor
antagonists for inflammatory diseases. This review summarizes the latest
preclinical and clinical developments in BLT antagonism for inflammatory diseases
and discusses potential future developments.
PMID- 18042001
TI - Natural antioxidants in Alzheimer's disease.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disorder
characterised by severe cognitive impairment that ultimately leads to death.
Current drugs used in AD are acetylcholinesterase inhibitors and antagonists to
the NMDA receptors. These drugs may only slightly improve cognitive functions but
have only very limited impact on the clinical course of the disease. In the past
several years, based on in vitro and in vivo studies in laboratory animals,
natural antioxidants, such as resveratrol, curcumin and acetyl-L-carnitine have
been proposed as alternative therapeutic agents for AD. An increasing number of
studies demonstrated the efficacy of primary antioxidants, such as polyphenols,
or secondary antioxidants, such as acetylcarnitine, to reduce or to block
neuronal death occurring in the pathophysiology of this disorder. These studies
revealed that other mechanisms than the antioxidant activities could be involved
in the neuroprotective effect of these compounds. This paper discusses the
evidence for the role of acetylcarnitine in modulating redox-dependent mechanisms
leading to the upregulation of vitagenes. Furthermore, future development of
novel antioxidant drugs targeted to the mitochondria should result in effectively
slowing disease progression. The association with new drug delivery systems may
be desirable and useful for the therapeutic use of antioxidants in human
neurodegenerative diseases.
PMID- 18042002
TI - Huntington's disease: progress and potential in the field.
AB - While the first description of Huntington's disease was reported over a century
ago, no therapy exists that can halt or ameliorate the inexorable disease
progression. Tremendous progress, however, has been made in significantly
broadening the understanding of pathogenic mechanisms in this neurological
disorder that may eventually lead to successful treatment strategies.
Huntington's disease is caused by the expansion of a CAG repeat in the huntingtin
gene, which results in the expression of a mutant form of the protein that is
toxic to neurons. Several mechanisms have been identified in mediating this
toxicity, such as protein aggregation, mitochondrial dysfunction, oxidative
stress, transcriptional dysregulation, aberrant apoptosis, altered proteosomal
function and excitotoxicity. With increasing understanding of each of these
pathogenic mechanisms, therapeutic strategies have attempted to target specific
aspects of each. There have been many encouraging reports of preclinical efficacy
in transgenic Huntington's disease mice, from which a number have been extended
to human clinical trials with some success. This review focuses on these studies
and the compounds that hold promise for treating human Huntington's disease.
PMID- 18042003
TI - Silodosin, a novel selective alpha 1A-adrenoceptor selective antagonist for the
treatment of benign prostatic hyperplasia.
AB - Silodosin is a novel selective alpha(1A)-adrenoceptor (AR) antagonist generated
by Kissei Pharmaceutical Co. Ltd. This drug selectively binds to alpha(1A)-AR,
which is widely distributed in the prostate, urethra and bladder trigone,
involved in their contraction, located at the lower urinary tract. This high
selectivity for alpha(1A)-AR contributes to inhibition of sympathetic nerve
stimulation and relaxation of smooth muscle tone of the lower urinary tract
tissues, resulting in suppression of increase in intraurethral pressure. Clinical
data suggested that silodosin showed significant improvement in lower urinary
tract symptoms associated with benign prostatic hyperplasia, as well as in
quality of life. The improvements were observed in both voiding and storage
symptoms. In addition, the clinical effects occurred in the early treatment
phase, and were observed not only in mild cases, but also in cases with severe
symptoms. Long-term study revealed that the efficacy and safety was sustained for
1 year. Although silodosin showed relatively high incidence rate of abnormal
ejaculation, the adverse events associated with lowering of blood pressure were
low. This article reviews preclinical and clinical data of silodosin, and
introduces the usefulness of the drug for treatment of benign prostatic
hyperplasia patients.
PMID- 18042004
TI - The role of azacitidine in the treatment of myelodysplastic syndromes.
AB - Myelodysplastic syndromes (MDS) are a heterogeneous group of hematopoietic
disorders characterized by ineffective hematopoiesis and potential transformation
to acute myeloid leukemia. Supportive care including transfusions and growth
factors remained the mainstay of treatment for decades; however, further
understanding of the biology behind these diseases led to the investigation of
novel agents. As hypermethylation of tumor suppressor genes, such as p15, was
believed to play a key role in the pathogenesis of these diseases,
hypomethylating agents were investigated. Azacitidine is one of two
hypomethylating agents used in the treatment of MDS, and the first approved by US
FDA. In preclinical studies, azacitidine demonstrated
hypomethylating/differentiating activity with low concentration, whereas high
concentration was associated with cytotoxic effects. In clinical trials,
azacitidine not only improved the cytopenias associated with MDS but also delayed
leukemic transformation, improved quality of life and improved overall survival
in many patients so treated. Azacitidine was the first agent noted to change the
natural history of the disease. Further studies are underway evaluating the role
of azacitidine pre- and post-transplantation, in combination with other agents,
as well as in treatment of acute myeloid leukemia patients who are not good
candidates for intensive chemotherapy. Azacitidine is also likely to be studied
in the treatment of other malignant conditions. Although both subcutaneous and
intravenous administrations have been approved, oral azacitidine is presently
under investigation.
PMID- 18042005
TI - Fosaprepitant (MK-0517): a neurokinin-1 receptor antagonist for the prevention of
chemotherapy-induced nausea and vomiting.
AB - Chemotherapy-induced nausea and vomiting (CINV) is a distressing and common
adverse event associated with cancer treatment. Updated anti-emetic guidelines
were published in 2007 by the National Comprehensive Cancer Network and in 2006
by the American Society of Clinical Oncology, which have included the use of the
new and more effective anti-emetic agents (5-hydroxytryptamine-3 [5-HT(3)]
receptor antagonists and neurokinin-1 [NK-1] receptor antagonists). Aprepitant is
a selective NK-1 receptor antagonist approved as part of combination therapy with
a corticosteroid and a 5-HT(3) receptor antagonist for the prevention of acute
and delayed CINV. Fosaprepitant (also known as MK-0517 and L-758,298) is a water
soluble phosphoryl prodrug for aprepitant, which, when administered
intravenously, is converted to aprepitant within 30 min after intravenous
administration via the action of ubiquitous phosphatases. Because fosaprepitant
is rapidly converted to the active form (aprepitant), it is expected to provide
the same aprepitant exposure in terms of AUC, and a correspondingly similar anti
emetic effect. Clinical studies have suggested that fosaprepitant could be
appropriate as an intravenous alternative to the aprepitant oral capsule. In a
study in healthy subjects, fosaprepitant was well tolerated up to 150 mg (1
mg/ml), and fosaprepitant 115 mg was bioequivalent in its AUC to aprepitant 125
mg. Fosaprepitant 115 mg has been submitted for FDA approval as an alternative on
day 1 of a 3-day oral aprepitant regimen, with oral aprepitant administered on
days 2 and 3. Fosaprepitant may be a useful parenteral alternative to oral
aprepitant. Further study is needed to clarify the use of fosaprepitant for the
prevention of CINV, and to clarify optimal dosing regimens that may be
appropriate substitutes for oral aprepitant.
PMID- 18042006
TI - An update on the pharmacology of galantamine.
AB - Alzheimer's disease (AD) is associated with a gradual loss of attention and
memory that has been related to impairment of brain cholinergic
neurotransmission, particularly a deficit of cholinergic neurons. The first
therapeutic target that has demonstrated therapeutic efficacy on cognition,
behaviour and functional daily activities has been the inhibition of
acetylcholinesterase. The acetylcholinesterase inhibitors used to treat AD
patients at present are donepezil, rivastigmine and galantamine. This review
summarises the current state of the art concerning the pharmacology of
galantamine, focusing on the most important details of its possibilities as an
acetylcholinesterase inhibitor, an allosteric potentiator of neuronal nicotinic
receptors for acetylcholine, a modulator of neurotransmitter release, and an
agent causing neuroprotection through an antiapoptotic action. In so doing,
galantamine will be discussed in the context of the treatment of dementia, both
of AD type and of mixed vascular-Alzheimer type.
PMID- 18042007
TI - A review of the efficacy and tolerability of agomelatine in the treatment of
major depression.
AB - Agomelatine is a novel agent that is under late-stage development as a potential
antidepressant. Compared with available antidepressant agents, the drug may have
a distinct mechanism of action, with significant interactions with melatonin
receptors, in addition to serotonergic brain systems. Agomelatine has been shown
to be active in preclinical models indicative of antidepressant activity and the
results of a large-scale clinical trial programme, conducted in major depressive
disorder, indicate both antidepressant activity and a favourable tolerability
profile. As agomelatine may have a pharmacological profile and mechanism of
action distinct from available agents, it may come to represent a valuable
additional treatment option in those patients who do not respond fully or who
prove unable to tolerate the side effects of existing antidepressants.
PMID- 18042008
TI - Menopausal hormone therapy for vasomotor symptoms: balancing the risks and
benefits with ultra-low doses of estrogen.
AB - Estrogen therapy is the most consistently effective treatment and the only
therapy approved by the FDA for menopausal vasomotor symptoms. Following the
safety issues reported in the primary Women's Health Initiative publications and
with continued patient requests for treatment, a challenge to clinicians has been
to identify the lowest effective dose of estrogen for alleviating menopausal
symptoms. A number of low-dose estrogen preparations are now available, and
transdermal preparations containing an ultra-low dose (25% of the previous
conventional or standard dose) of estrogen have recently been approved by the
FDA. These preparations effectively relieve menopausal symptoms such as vasomotor
symptoms and vaginal atrophy, and potentially protect against bone loss. Compared
with standard-dose estrogen therapy, these ultra-low-dose products have an
improved tolerability profile and may require reduced amounts or a lower
frequency of progestogen administration, potentially mitigating the apparent long
term adverse effects of estrogen-progestogen combinations, as noted in the
Women's Health Initiative.
PMID- 18042010
TI - Extracorporeal shockwave lithotripsy (ESWL): a chronology.
PMID- 18042011
TI - Low-dose computed tomography for the evaluation of flank pain in the pregnant
population.
AB - BACKGROUND: Evaluation of the pregnant patient with suspected renal colic is
complex. Fetal irradiation concerns have traditionally prohibited the use of CT
in this population. We report our institution's experience using low-dose CT in
the evaluation of pregnant patients with refractory flank pain. PATIENTS AND
METHODS: A retrospective review of all patients who underwent low-dose CT
evaluation of the urinary tract for suspected urinary tract stones was performed.
Data obtained included gestational age, urinalysis and ultrasonography results,
CT findings, and calculated fetal radiation exposure. RESULTS: Between April 2004
and December 2006, 20 patients with an average gestational age of 26.5 weeks
presented to our institution with acute, refractory flank pain consistent with a
diagnosis of urolithiasis. All patients underwent renal ultrasonographic
evaluation before unenhanced CT of the abdomen and pelvis using a low-dose
protocol. The average radiation exposure was 705.75 mrads (range 210-1372; SD +/-
338.66 mrads). Of the 20 patients, CT demonstrated urinary stones (1-12 mm) in
13. Of those patients with documented stones, 4 were treated conservatively, 2
underwent intrapartum stent placement, 5 had ureteroscopy with stone extraction,
and 2 were treated postpartum. CONCLUSION: Low-dose CT is highly sensitive and
specific for the detection of urinary calculi in the pregnant population. CT
confers a low risk of fetal harm and can improve patient care when used
judiciously.
PMID- 18042012
TI - Stone treatment index: a mathematical summary of the procedure for removal of
stones from the urinary tract.
AB - BACKGROUND AND PURPOSE: Numerous factors influence the treatment result and
efforts for stone removal. To summarize the important factors, our aim was to
formulate a general mathematical expression of the stone-removal procedure.
MATERIALS AND METHODS: A mathematical expression (stone treatment index; STI) was
developed for patients who became stone free (SF): STI(SF) = (Num(SF) x mean(sqrt
[SA] x HI) x meanAge(R) x meanBMI(R) x (1 + meanNum(ANA)) divided by
Num(SESSIONS) + Num(AUX) + Num(ANE) + Num(COMP). The variables included were the
number of stone-free patients (Num(SF)), mean stone burden expressed as the
product of the square root of the stone surface area (SA(1/2)) and the hardness
index (HI), the mean age ratio (Age(R) = age/50), the mean body mass index (BMI)
ratio (BMI(R) = BMI/25), the mean number of patients with anatomic abnormalities
(Num(ANA)), the total number of treatment sessions (Num(SESSIONS)), the number of
auxiliary procedures (Num(AUX)), the number of procedures requiring general or
regional anesthesia (Num(ANE)), and the number of complications (Num(COMP)). A
similar index was calculated for patients who were either stone free or had
residual fragments < or =4 mm (STI(DIS)). For conclusions on efficacy, these
indices were compared with optimal and total values of STI. The STI was
calculated for 450 patients with renal and 374 patients with ureteral stones
treated with shockwave lithotripsy as the primary procedure. In patients with a
known BMI, this factor was used; otherwise, the BMI(R) was set to 1. RESULTS:
When STI was compared with the efficiency quotient (EQ) for stones in different
size intervals, STI was less sensitive to variations in stone burden. The
quotients STI(SF)/STI(OPTIMAL) corresponded roughly to EQ but might be more
informative because of the inclusion of factors for anesthesia and complications.
CONCLUSION: With or without related estimates, the STI might be useful for
summarizing stone-removal procedures in groups or individual patients with
urinary-tract stones. The STI might be helpful because it encompasses the factors
of importance for conclusions about the treatment procedure, such as the hardness
of the stone, the age and body size of the patient, and the presence of anatomic
abnormalities likely to influence the result. The STI might be used
advantageously for comparison of different equipment and treatment strategies.
PMID- 18042013
TI - Percutaneous renal access: a simplified approach.
AB - PURPOSE: To present a simplified surgical technique to access the kidney
percutaneously with low exposure to radiation. MATERIAL AND METHODS: This
percutaneous approach to the kidney "creates" a plane of coincidence between the
C-arm beam axis and the needle axis. Both axes always have the same angle running
in opposite directions with the predetermined calix located in the medial
vertical plane at 0 degrees. Twenty-one percutaneous access tracts were made into
the lower calix and 7 into the middle and upper calices. The 3-dimensional
position of the inserted needle was determined. RESULTS: All tracts were
successfully accomplished. Statistical analysis of 28 procedures revealed that
the technique is reproducible. The coefficient of variation of the angle
determining the entrance position of the needle was low, which indicated that the
procedure is reproducible and easy to teach. CONCLUSION: The technique is safe,
easy to perform, reproducible, and requires less radiation than other methods.
PMID- 18042014
TI - Prestenting improves ureteroscopic stone-free rates.
AB - PURPOSE: Although the use of stents after ureteroscopy has been studied
extensively, relatively little has been published about stent placement before
complicated ureteroscopic procedures. In this study, we examined our experience
with stent placement before ureteroscopic management of renal and ureteral stone
disease. PATIENTS AND METHODS: A total of 90 patients underwent ureteroscopic
surgery on 115 renal units by a single surgeon from 2001 to 2006. All patients
had documented follow-up with imaging either by CT or intravenous urography (IVU)
with tomography. Patients were classified into two groups depending on whether
they had a stent placed before ureteroscopy. Baseline characteristics, operative
indications for stent placement, stone-free rates, and complications were
compared between groups. RESULTS: Baseline characteristics were similar between
the groups. The majority of patients received stents before stone management
because of technical considerations during surgery (17/36, 47%) or infection
(13/36, 37%). Strict stone-free rates after ureteroscopic treatment were 47% in
the 79 procedures without previous stents, compared with 67% in the 36 procedures
with prestenting (P < 0.05). Including small fragments (2 mm or smaller), stone
free rates improved to 54% v 78%, respectively (P < 0.02). Complications were not
significantly different in the two groups (P = 0.70). CONCLUSION: Although
routine stent placement is not necessary before all ureteroscopic procedures, we
demonstrate that it is associated with good stone-free rates and few
complications. In this retrospective cohort, prestenting was associated with
significantly higher stone-free rates. Prestenting should be considered in
challenging cases.
PMID- 18042015
TI - One-step percutaneous nephrostomy in patients with a history of open
nephrolithotomy: comparison with the fascial dilator system.
AB - BACKGROUND AND PURPOSE: A percutaneous nephrostomy (PCN) done on the same side as
a previous open nephrolithotomy is always technically challenging. A novel one
step PCN tube that allows the puncture and placement of a drainage tube to be
done in a single step has been developed. The hydrophilic coating on the tube's
surface significantly reduces friction and allows easier insertion. We evaluated
the tube's efficiency and safety compared with the traditional fascial dilator
system. PATIENTS AND METHODS: Sixty-five patients with a history of open
nephrolithotomy were randomly allocated (with the aid of a computer-derived
assignment number) into two groups to have PCN performed in one step or multiple
steps. In the one-step group, a new type of PCN tube was used. In the multistep
group, fascial dilators were used serially prior to tube insertion. The two
groups were similar in terms of mean age, width of target calix, and baseline
serum creatinine and hemoglobin concentrations. The operating times, intubation
rates, and complications in the two groups were compared. RESULTS: The mean
number of attempts required to access the collecting system was 1.1 +/- 0.6 in
the one-step group v 2.3 +/- 1.2 in the multistep group (P = 0.002), the
successful intubation rate was 96.9% v 78.8% (P = 0.012), the mean operating time
was 10.2 +/- 2.4 minutes v 25.6 +/- 2.8 minutes (P = 0.029), and the rate of
intraoperative and postoperative complications was 3.1% v 15.2%, respectively (P
= 0.019). No major complications occurred in the one-step group. CONCLUSIONS: The
one-step PCN tube is a convenient and efficacious method for accessing an
anatomic region where open nephrolithotomy was done previously and is a simple
method for nephrostomy tube placement.
PMID- 18042016
TI - Practice patterns of ureteral stenting after routine ureteroscopic stone surgery:
a survey of practicing urologists.
AB - BACKGROUND: Controversy exists regarding the need for ureteral stent insertion
after routine ureteroscopic stone surgery. We designed a questionnaire to assess
and better understand the practice patterns of urologists for stent applications.
MATERIALS AND METHODS: A 26-question survey was distributed to 570 community and
academic urologists. The answers were anonymously tabulated to determine the
practice patterns for stent placement. RESULTS: Of the 173 respondents, 97.7%
performed ureteroscopic surgery, with the majority (77%) performing 1 to 10
procedures per month. Sixty-eight percent of urologists considered more than 70%
of their ureteroscopic procedures "routine." Only 21% of urologists dilated the
ureteral orifice more than 90% of the time. Those who dilated the ureteral
orifice used a balloon (43%), ureteral access sheath (13.5%), or both (21%). The
use of an access sheath did not change stenting practices for 75% of urologists.
Patterns vary with regard to length of indwelling time, with 85% of urologists
maintaining the stent for fewer than 7 days. Most urologists use either
cystoscopy (42%) or pull-suture in clinic (37%) to remove stents. Patient
tolerance is the most significant problem with stents reported by 97.6% of
urologists. The respondents were divided into three experience-based groups:
group 1, <2 years of experience; group 2, 2 to 10 years; and group 3, >10 years.
Using Fisher's exact test, there were no statistically significant differences
between the groups. CONCLUSION: A wide variability exists among urologists in the
practice patterns of stent insertion after routine ureteroscopic surgery. Most
consider their procedures routine and are more likely to place stents after
ureteral dilation despite growing evidence to the contrary. Knowledge of the
varied practices may aid less experienced urologists in their decision to insert
a stent after ureteroscopy.
PMID- 18042017
TI - Occult spinal dysraphism and urolithiasis: are patients at higher risk of stone
disease?
AB - BACKGROUND AND PURPOSE: Spinal dysraphism is associated with urinary-tract
dysfunction in severe cases such as meningomyelocele, in part because of
incomplete innervation of the lower urinary tract. Patients with meningomyelocele
are at higher risk for stone formation, possibly secondary to stasis of urine
from aberrant storage and emptying. However, minimal data exist on the risk of
stone formation in patients with milder forms of spinal dysraphism. The purpose
of this study was to examine whether an association exists between urolithiasis
and occult spinal dysraphism (OSD). PATIENTS AND METHODS: All patients who
underwent a non-contrast CT scan of the abdomen and pelvis during a 4-month
period were included. The final dataset consisted of 374 consecutive patients
(195 men, 179 women) with a mean age of 54.2 years (range 18-95 years). Scans
were reviewed for evidence of urolithiasis and, independently, for skeletal
abnormalities. Patients with urolithiasis included those with a kidney, ureteral,
or bladder stone(s). Patients with OSD included those with bifid lumbosacral bony
elements, posterior arch defects, or incomplete fusion or non-fusion of S1, S2,
or S3. The association between urolithiasis and OSD was examined by calculating
series of crude and adjusted odds ratios (ORs) with corresponding 95% confidence
intervals (CIs). RESULTS: Of the 374 patients, 135 (36.1%) had urinary calculi,
and 83 (22.2%) had OSD. No relation was found between the prevalence of OSD and
stone disease (OR 1.22; 95% CI 0.72, 2.08), even after adjusting for potential
confounders. CONCLUSION: Unlike severe spinal dysraphism, OSD does not appear to
confer an increased risk of stone disease.
PMID- 18042018
TI - Percutaneous nephrostomy in patients with tumors of advanced stage: treatment
dilemmas and impact on clinical course and quality of life.
AB - OBJECTIVES: The purpose of this study was to evaluate the outcome, in respect to
safety, survival, and quality of life (QoL), after performance of percutaneous
nephrostomy in patients with obstructive nephropathy caused by various types of
advanced malignancy. PATIENTS AND METHODS: A cohort of 270 patients with
established nephropathy because of advanced pelvic or nonpelvic tumors was
evaluated. A decision to obtain percutaneous access was made; primary stenting
had either failed or was not feasible because of complicated anatomy. Patients
were divided in equal groups by type of malignancy (54 patients each). In
addition, each malignancy group was further divided in two equal subgroups by
tumor burden (27 patients each). Correlations were made with respect to renal
function outcome, overall survival after the procedure, and QoL differences both
before and after the procedure. RESULTS: No serious complications, such as severe
bleeding or sepsis, were experienced because of the procedure. Statistical
analysis showed no significant differences in survival among patients with
different types of cancer. Only patients with prostate (P < 0.0365) and
colorectal (P < 0.0307) cancer with lower tumor burden had significantly longer
survival when compared with patients with large tumor burden. Regarding QoL
scores, only patients with prostate cancer in the subgroup with low tumor burden
demonstrated a positive statistically significant difference (P < 0.001).
CONCLUSIONS: Despite the fact that percutaneous nephrostomy has shown good safety
characteristics and beneficial impact on renal function, only patients with
specific cancers most likely to respond to ongoing palliative therapy or with
cancers that progress slowly by nature may statistically benefit from the
procedure. This questions the universal application of this procedure for all
types and stages of advanced malignancy.
PMID- 18042019
TI - Advances in pheochromocytoma management in the era of laparoscopy.
AB - PURPOSE: To understand the advances that were made in the management of
pheochromocytoma since laparoscopy was initiated at our institution. MATERIALS
AND METHODS: Data for all patients who underwent surgical procedure for adrenal
diseases had been recorded prospectively since September 2000, when laparoscopy
was routinely initiated at our institution; all patients with a diagnosis of
pheochromocytoma up to December 2005 had their data assessed (group 1). Charts
for all patients with a diagnosis of pheochromocytoma who underwent surgery at
our institution from 1990 to 1995 (group 2) were reviewed, and the data were
compared with data from patients in group 1. RESULTS: In group 1, 24 patients
with pheochromocytoma underwent 26 procedures at our institution, including five
patients with extra-adrenal tumor and one patient with bilateral tumor; 18
adrenalectomies (one bilateral) were performed, and two extra-adrenal tumors were
removed using a laparoscopic approach. In group 2, ten open adrenalectomies were
performed in 9 patients (1 bilateral). The patients who underwent laparoscopy for
either adrenal or extra-adrenal tumor had shorter operative times and hospital
stay and less bleeding. CONCLUSIONS: Pheochromocytoma is a complex disease with
potentially severe complications. Laparoscopic adrenalectomy can be safely
performed for removal of either adrenal or extra-adrenal tumors. Patients spend a
shorter time in the critical care unit after the procedure.
PMID- 18042020
TI - Laparoscopic nephrectomy outcomes of elderly patients in the 21st century.
AB - BACKGROUND AND PURPOSE: As life expectancy continues to increase, we will be
faced with the need to counsel older patients on the risks and benefits of
undergoing surgery. It is clear that laparoscopic renal surgery has significant
benefits over open renal surgery. However, contemporary data on whether these
benefits carry over to the elderly is less robust. The objective of this study is
to compare the perioperative outcomes of laparoscopic nephrectomy in patients age
70 and over to those under age 70. Outcomes from the study can be used to assist
in preoperative counseling for older patients. PATIENTS AND METHODS: Between
February 2000 and December 2005, 405 consecutive patients underwent laparoscopic
nephrectomy for benign or malignant conditions. To decrease selection bias,
kidney donors, bilateral nephrectomies, partial nephrectomies, as well as
patients under the age of 17 were excluded. Laparoscopic nephrectomies included
simple nephrectomies, radical nephrectomies, and nephroureterectomies. A total of
158 patients were included in the analysis. Patient demographics and
perioperative data were recorded prospectively. The preoperative American Society
of Anesthesiologists (ASA) score was used to reflect patient co-morbidity. Subset
analysis between pure laparoscopic nephrectomy (LN) and hand-assisted
laparoscopic nephrectomy (HALN) was also performed. RESULTS: Average hospital
stay for patients 70 years and older was 3.6 days versus 2.6 days for their
younger counterparts (p = 0.02). All other demographic and perioperative
parameters were comparable between groups, including incidence of intraoperative
or postoperative complications, blood transfusions, and conversions to open
surgery. In a subset analysis of patients undergoing HALN, despite having similar
co-morbid conditions (ASA score 2.57 vs. 2.56, p = 0.9), the elderly group had a
significantly increased length of stay compared to patients younger than 70 years
(3.0 vs. 4.2 days, p < 0.05). However, in the group undergoing LN, there was no
statistically significant difference in hospital stay (3.1 vs. 2.4 days, p =
0.22), despite the older group having higher co-morbid conditions (ASA score 2.55
vs. 2.10, p < 0.01). CONCLUSION: Other than an increased hospital stay by one
day, patients 70 years and older undergoing laparoscopic nephrectomy can expect
comparable perioperative outcomes to those achieved in younger patients. Such
differences in the length of hospital stay may be mitigated by pure laparoscopic
nephrectomy as opposed to hand-assisted laparoscopic nephrectomy in patients 70
years or older. These data may be useful in preoperative decision-making and
counseling in this growing subset of patients.
PMID- 18042021
TI - Robot-assisted urologic surgery: safety and feasibility in the pediatric
population.
AB - PURPOSE: To assess the safety and feasibility of performing robot-assisted
pediatric urologic surgery with the da Vinci Surgical System (Intuitive Surgical,
Inc, Sunnyvale, Calif) based on our experience with a variety of procedures.
PATIENTS AND METHODS: A retrospective review was performed of 53 robot-assisted
pediatric procedures performed in our practice between September 2003 and March
2006. The procedures included 11 renal extirpative surgeries, 10 orchiopexies, 26
dismembered pyeloplasties, 2 uretero-ureterostomies, and 3 bladder surgeries. The
mean patient age was 7.7 years, and the mean patient weight was 32 kg. All
procedures were performed transperitoneally. RESULTS: All procedures were
successfully completed with no conversions to open surgery. There was one
procedure in which the robotic system malfunctioned, resulting in an
unrecoverable loss of three-dimensional visualization and temporary loss of
color. The only postoperative complication involved delayed return of bowel
function that led to a diagnostic laparotomy with negative findings. A decrease
in mean postoperative stay was noted in patients who underwent robot-assisted
procedures compared with previous patients who had undergone open surgery.
CONCLUSION: Robot-assisted surgery appears to be safe and feasible for a variety
of pediatric urologic procedures. Prospective randomized studies are required to
further evaluate the outcomes compared with open surgical procedures. Our initial
experience has been encouraging and will serve as a foundation for future and
more complex minimally invasive pediatric urologic operations.
PMID- 18042022
TI - How to improve the safety of polymer clips for vascular control during
laparoscopic donor nephrectomy.
AB - PURPOSE: To evaluate the use of a new modification of the technique for
controlling the renal pedicle during laparoscopic donor nephrectomy (LDN) with
Hem-o-Lok clips. PATIENTS AND METHODS: From July 2005 to January 2007, 241
candidates for left LDN were enrolled in the study. There were 175 men, and the
mean age of the patients was 27.84 +/- 4.96 years. At the end of procedure for
renal-artery closure, one 10-mm Hem-o-Lok clip was applied a few millimeters
distal to the root from the aorta, and a medium-large titanium clip was applied
distal to the Hem-o-Lok clip using a non-automatic firing applier to exert
sufficient closing pressure to the titanium clip to ensure adequate tightness.
Then the renal vein was doubly ligated with one 12-mm and one 10-mm Hem-o-Lok
clip. RESULTS: With these modifications, there were no intraoperative or
perioperative bleeding complications, clip dislodgments, or slippages. The
conversion rate was zero, and the mean warm-ischemia time was 7.50 +/- 0.71
minutes (range 3-17 minutes). Graft function was excellent, with a mean serum
creatinine concentration of 1.42 +/- 0.46 mg/dL after 12 months of follow-up and
no renal-artery or -vein thrombosis in any of the grafts. CONCLUSION: With this
technique, there is more security on the arterial closure, and sufficient pedicle
length can be obtained for anastomosis. The warm-ischemia time is within an
acceptable range. Also, this approach is less expensive than the use of
endovascular staplers.
PMID- 18042023
TI - Laparoscopic nephrectomy for benign and inflammatory conditions.
AB - OBJECTIVES: To evaluate the outcomes of laparoscopic nephrectomy for benign renal
conditions associated with dense perinephric inflammation, such as
xanthogranulomatous pyelonephritis (XGPN), pyonephrosis, tuberculous
pyelonephritis, and calculus pyelonephritis, and compare outcomes with a matched
group of patients undergoing open nephrectomy for similar indications. An
additional objective was to evaluate factors predictive of complications and open
conversions. PATIENTS AND METHODS: We retrospectively analyzed data from 84
patients with benign inflammatory diseases who underwent laparoscopic nephrectomy
and compared the data with data from 94 matched patients undergoing open
nephrectomy. Both groups were compared with regard to operative time, blood loss,
intraoperative and postoperative complications, analgesia requirement, blood
transfusion, and hospital stay. Univariate analysis assessed the predictive value
of factors such as kidney size, presence of hilar lymphadenopathy, perinephric
and perihilar adhesion, laterality and body mass index on complications and risk
of open conversion. RESULTS: Mean operative time was 170 +/- 59.8 and 148 +/-
42.5 minutes, blood loss was 156.2 +/- 6.8 and 154.6 +/- 68.8 mL, analgesia
requirement was 165 +/- 71.2 and 284 +/- 81 g diclofenac sodium, and average
hospital stay was 4.34 +/- 0.8 and 8.07 +/- 1.8 days in the laparoscopic and open
groups, respectively. Abnormal renal hilum (71%) and perihilar adhesions (86%)
were common findings in patients with XGPN, whereas abnormal hilum and hilar
lymphadenopathy (55%) were commonly seen in those with tuberculosis. The renal
hilum was relatively unaffected in patients with pyonephrosis and calculus
pyelonephritis. Pleural entry was more common (P < 0.0001) in the open group, and
visceral injury was more common in the laparoscopic group (P = 0.04). Blood
transfusion was necessary in 7% and 11% of patients in the laparoscopic and open
groups, respectively. Open conversion was required in 8 cases (autosomal dominant
polycystic kidney disease-3, pyonephrosis, 2, XGPN and calculus pyelonephritis,
3). Intestinal obstruction that required laparotomy and adhesinolysis developed
in one patient in the laparoscopic group. CONCLUSION: Laparoscopic nephrectomy
can be performed safely in most patients with benign inflammatory conditions that
require surgical extirpation. Proper patient selection and technical
modifications may help reduce morbidity. One should keep a low threshold for
laparoscopic-assisted open conversion whenever necessary.
PMID- 18042024
TI - Perirenal venous aneurysm presenting as retroperitoneal tumor treated
successfully by laparoscopic excision.
AB - We describe an unusually located venous aneurysm found incidentally in a 46-year
old man that was presumptively diagnosed as a retroperitoneal mass near the left
renal hilum. He presented for constipation and weight loss; an abdominal
ultrasound scan disclosed an anechoic lesion in the left retroperitoneum.
Abdominal CT showed a 3-cm mass with low density located at the junction of the
left para-aortic and perirenal hilar regions that was initially suspected of
being metastatic lymphadenopathy with an unknown primary origin or a neurogenic
tumor. The mass was resected by a laparoscopic approach, which revealed a round
mass with a smooth capsule and roots connecting to the paraspinal muscles. On
pathologic examination, the mass showed classic features of a venous aneurysm. At
12-month follow-up, no local recurrence or malignancy was documented.
PMID- 18042025
TI - Predictive factors for open conversion of laparoscopic adrenalectomy: a 13-year
review of 456 cases.
AB - BACKGROUND AND PURPOSE: Laparoscopic adrenalectomy has largely replaced open
adrenalectomy for the treatment of adrenal tumor. However, certain cases still
require conversion to open procedures. Identifying the risk of conversion remains
difficult. This study identified risk factors that may predict conversion from a
laparoscopic to an open procedure. PATIENTS AND METHODS: From January 1993 to
September 2006, a total of 456 laparoscopic adrenalectomies were performed at two
urologic centers. A retrospective analysis of parameters, including tumor size,
patient age, American Society of Anesthesiology classification, body mass index
(BMI), sex, laparoscopic approach, previous abdominal surgery, laterality, type
of tumor, laparoscopist experience, and surgeon, was performed. Multivariate
logistic regression was used to identify those variables predicting conversion.
RESULTS: A total of 25 (5.5%) laparoscopic adrenalectomies required conversion.
Significant predictors of conversion according to univariate analysis were tumor
size > or =5 cm, BMI > or =24 kg/m(2), and pheochromocytoma. Multivariate
analysis showed that the significant independent predictive factors for
conversion were tumor size > or =5 cm (8.884 greater odds ratio [OR] of
conversion; 95% confidence interval 3.543, 22.277; P < 0.001), BMI > or = 24
kg/m(2) (OR 3.632; 95% confidence interval 1.367, 9.648; P = 0.010), and
pheochromocytoma (OR 3.068; 95% confidence interval 1.175, 8.007; P = 0.022).
CONCLUSIONS: Knowledge of tumor size, BMI, and tumor type can help in counseling
patients undergoing laparoscopic adrenalectomy with regard to the probability of
conversion. The size of the tumor was found to be the most important predictor.
PMID- 18042026
TI - Case report: percutaneous cryoablation of a small renal lesion necessitating
modified lateral decubitus position.
AB - When performing percutaneous cryoablation for renal masses, the standard patient
positioning has been the prone position. We present a case in which placing the
patient in a modified lateral decubitus position aided in the access of probe
placement for percutaneous cryoablation.
PMID- 18042027
TI - Da Vinci robot error and failure rates: single institution experience on a single
three-arm robot unit of more than 700 consecutive robot-assisted laparoscopic
radical prostatectomies.
AB - BACKGROUND AND PURPOSE: Previous reports have suggested that a 2% to 5% device
failure rate (FR) be quoted when counseling patients about robot-assisted
laparoscopic radical prostatectomy (RLRP). We sought to evaluate our FR on the da
Vinci system. PATIENTS AND METHODS: Since February 2003, more than 800 RLRPs have
been performed at our institution using a single three-armed robotic unit. A
prospective database was analyzed to determine the device FR and whether it
resulted in case abortion or open conversion. Intuitive Surgical Systems provided
data concerning the system's performance, including its fault rate. Error
messages were classified as recoverable and non-recoverable faults. RESULTS:
Between February 2003 and November 2006, 725 RLRP cases were available for
evaluation. There were no intraoperative device failures that resulted in a case
conversion. Technical errors resulting in surgeon handicap occurred in 3 cases
(0.4%). Four patients (0.5%) had their procedures aborted secondary to system
failure at initial set-up prior to patient entrance to the operating room. Data
analysis retrieved from the da Vinci console reported on a total of 807
procedures since 2003. Only 4 cases (0.4%) were reported from the Intuitive
Surgical database to result in either an aborted or a converted case, which
compares favorably with our results. Since the last computer system upgrade
(September 2005), the mean recoverable and non-recoverable fault rates per
procedure were 0.21 and 0.05, respectively. CONCLUSIONS: For all the advanced
features the da Vinci system offers, it is surprisingly reliable. Throughout our
RLRP experience, device failure resulted in case conversion, procedure abortion,
and surgeon handicap in 0, 0.5%, and 0.4% of procedures, respectively. As such, a
lowered device FR of 0.5% should be used when counseling patients undergoing
RLRP. To avoid futile general anesthesia, a policy should be enforced to ensure
that the da Vinci system is completely set up before the patient enters the
operating room.
PMID- 18042028
TI - External validation of a nomogram for prediction of side-specific extracapsular
extension at robotic radical prostatectomy.
AB - BACKGROUND AND PURPOSE: Several staging tools have been developed for open
radical prostatectomy (ORP) patients. However, the validity of these tools has
never been formally tested in patients treated with robot-assisted laparoscopic
radical prostatectomy (RALP). We tested the accuracy of an ORP-derived nomogram
in predicting the rate of extracapsular extension (ECE) in a large RALP cohort.
PATIENTS AND METHODS: Serum prostate specific antigen (PSA) and side-specific
clinical stage and biopsy Gleason sum information were used in a previously
validated nomogram predicting side-specific ECE. The nomogram-derived predictions
were compared with the observed rate of ECE, and the accuracy of the predictions
was quantified. Each prostate lobe was analyzed independently. As complete data
were available for 576 patients, the analyses targeted 1152 prostate lobes.
Median age and serum PSA concentration at radical prostatectomy were 60 years and
5.4 ng/mL, respectively. RESULTS: The majority of side-specific clinical stages
were T(1c) (993; 86.2%). Most side-specific biopsy Gleason sums were 6 (572;
49.7%). The median side-specific percentages of positive cores and of cancer
were, respectively, 20.0% and 5.0%. At final pathologic review, 107 patients
(18.6%) had ECE, and side-specific ECE was present in 117 patients (20.3%). The
nomogram was 89% accurate in the RALP cohort v 84% in the previously reported ORP
validation. CONCLUSIONS: The ORP side-specific ECE nomogram is highly accurate in
the RALP population, suggesting that predictive and possibly prognostic tools
developed in ORP patients may be equally accurate in their RALP counterparts.
PMID- 18042029
TI - Is there a proper pelvic size for an extraperitoneal robot-assisted radical
prostatectomy?
AB - BACKGROUND: A narrow pelvis can potentially complicate an extraperitoneal radical
robot-assisted prostatectomy (RAP). We report our experience with RAP and
evaluate whether a narrow pelvis can affect treatment outcomes after
extraperitoneal RAP. MATERIALS AND METHODS: We prospectively evaluated 50
patients who underwent RAP during a 2-month period using the extraperitoneal
approach. To approximate the relative size of the field available for working
using the extraperitoneal approach, the arc length between the anterior superior
iliac spines was estimated with the umbilicus as the center of the circle.
Patients with an arc length measuring <33 cm were compared with those with an arc
length > or =33 cm. Additional parameters evaluated included age, total operating
time, estimated blood loss, prostate-specific antigen (PSA) level, pathological
stage and Gleason grade, intraoperative and perioperative complications, surgical
margin status, and continence at 3 months. RESULTS: Twenty-eight (56%) patients
had an arc length <33 cm and twenty-two (44%) patients had an arc length > or =33
cm. When comparing the two groups, no statistically significant difference (P >
0.05) was noted in age, PSA level, blood loss (161 v 163 mL), operative time (174
v 176 min), and total positive margin rates (14% v 13.6%). The continence rate at
3 months was 66.6% and equal for both groups. CONCLUSION: In our experience, a
narrow pelvis did not significantly affect operative outcome in patients
undergoing an extraperitoneal radical RAP. Although this variable should be taken
into account, it should not be a primary factor in deciding the route of access.
PMID- 18042030
TI - Transurethral injection therapy with dextranomer/hyaluronic acid copolymer
(Deflux) for treatment of secondary vesicoureteral reflux after renal
transplantation.
AB - BACKGROUND AND PURPOSE: Secondary vesicoureteral reflux (SVUR) after renal
transplantation may cause recurrent urinary-tract infections (UTI) and loss of
renal function. There are only a few reports on the endoscopic treatment of SVUR
by transurethral injection therapy. This is the first report of transurethral
injection of dextranomer/hyaluronic acid copolymer (Deflux; Q-Med Scandinavia,
Uppsala, Sweden) to relieve SVUR after renal transplantation. PATIENTS AND
METHODS: Between November 2003 and October 2005, four women were treated for SVUR
with transurethral injections of dextranomer/hyaluronic acid copolymer. All
patients had deterioration of renal function attributable to SVUR, recurrent UTI,
or both. The mean follow-up was 29 months (range 16-38 months). RESULTS:
Initially, SVUR was corrected in all patients. Recurrent SVUR made a second
treatment necessary in two patients. Three patients had no signs of SVUR 15, 27,
and 36 months after the treatment. Renal function remained stable in these
patients, and two were free of UTI. One of the patients who received two Deflux
injections developed a filiform stenosis of the distal ureter, which was
corrected by ureteropyeloplasty with the native ureter. CONCLUSION: Transurethral
injection therapy with Deflux is a minimally invasive treatment option for
patients with SVUR after renal transplantation. A second treatment seems to be
necessary in some cases. Complications such as ureteral obstruction may occur.
PMID- 18042031
TI - Characterizing TUNA ablative treatments of the prostate for benign hyperplasia
with gadolinium-enhanced magnetic resonance imaging.
AB - BACKGROUND AND PURPOSE: Transurethral Needle Ablation of the prostate TUNA has
been accepted as an office-based treatment for benign prostatic hyperplasia (BPH)
for many years. Clinical outcomes have been reported, but the amount and location
of the necrosis produced have yet to be characterized. The necrosis caused by
TUNA was evaluated by gadolinium-enhanced magnetic resonance imaging (MRI) of the
pelvis. PATIENTS AND METHODS: Twelve patients with BPH/lower urinary-tract
symptoms underwent standard TUNA, and MRI scans with gadolinium enhancement were
performed before and 1 week after treatment. The images were studied using
Analyze software to quantify the amount of necrosis compared with the prostatic
volume. Transverse, coronal, and sagittal images were obtained to identify the
location of the necrosis. RESULTS: New gadolinium defects were seen in all
patients after TUNA. The lesions coalesced into continuous areas of necrosis and
correlated with the site of needle placement. The mean volume of necrosis was
6.84 cc and equated to 8.6% of the prostate volume. No lesions were found near
the apex, urethra, or rectum; and none extended beyond the prostate capsule.
CONCLUSIONS: Gadolinium-enhanced MRI demonstrates new vascular defects
representing necrosis caused by TUNA of the prostate. This therapy for BPH
produces necrotic lesions that can be placed strategically by the surgeon. The
standard protocol produces lesions that coalesce to create larger lesions. This
MRI study has characterized, for the first time, the heating pattern and
intraprostatic necrosis of a complete TUNA procedure.
PMID- 18042032
TI - Pain scores and local anesthesia for transrectal ultrasound-guided prostate
biopsy in patients with anorectal pathologies.
AB - PURPOSE: The aim of the present randomized study was to evaluate the efficacy of
lidocaine gel compared with dimethylsulfoxide (DMSO) with lidocaine for
transrectal ultrasonography (TRUS)-guided prostate biopsy in patients with
anorectal pathologies. PATIENTS AND METHODS: Sixty-two patients were randomly
divided into two equal groups. Group 1 was given 10 mL of 2% lidocaine gel
intrarectally 10 minutes before the biopsy. Group 2 was given 10 mL of
intrarectal 40% DMSO with an amount of lidocaine equal to that in the lidocaine
gel 10 minutes before the procedure. The degree of pain was rated by patients
using a 10-point visual analog scale. RESULTS: The pain scores for probe
insertion were significantly lower for group 2 (3.15 +/- 1.41) than for group 1
(4.58 +/- 160) (P = 0.01). No significant differences were found between the pain
scores of the two groups for biopsy-needle insertion (P = 0.62). CONCLUSIONS:
Dimethylsulfoxide with lidocaine instilled into the rectum is a simple, safe,
rapid-acting, and effective method of anesthesia delivery before TRUS-guided
prostate biopsy in patients with anorectal pathologies.
PMID- 18042033
TI - Urinary leakage after laparoscopic radical prostatectomy: a systematic review.
AB - PURPOSE: To review the literature on the definition and incidence of leakage
after laparoscopic radical prostatectomy (LRP) as well as its relation to the
surgeon's technical experience, the type of urethrovesical suture, and the
approach (extraperitoneal or transperitoneal). MATERIALS AND METHODS: We
conducted a systematic review of the subject, consulting MEDLINE, Embase, and
Cochrane library (MeSH terms "radical prostatectomy," "laparoscopy," "anastomotic
leakage," and "complications"). Languages were limited to English, Spanish, and
French. Selection criteria were original papers with 30 or more patients and
description of the leakage rate. Data on mean catheterization days, surgical
approach, and type of suture were retrieved. Papers were included after approval
by two independent reviewers. Statistical analysis was performed with SPSS V. 12.
A P value <0.05 was considered significant. RESULTS: A total of 24 articles were
identified meeting the inclusion criteria. Most of them were observational. There
were no randomized controlled trials. Meta-analysis was not performed because of
clinical heterogeneity. Leakage was defined in 11 of the papers, and great
variation in the definition was found. On average, the incidence of anastomotic
leakage was 9.7% (396/4091 patients) and ranged from 3.2% to 33%. None of the
risk factors studied showed statistical significance, although some individual
series demonstrated a decrease in the leakage rate with increasing surgeon
experience. CONCLUSIONS: The evidence in the studies on urine leakage after LRP
is poor. There is a lack of a uniform definition of the condition. Time frame,
diagnostic method, and significance need to be standardized. This lack of
definition, together with the character of the studies and the heterogeneity of
the series, makes comparison difficult, precluding a proper meta-analysis.
PMID- 18042034
TI - New model for training in laparoscopic dismembered ureteropyeloplasty.
AB - PURPOSE: To create an animal model for teaching laparoscopic dismembered
pyeloplasty in which a realistic anatomic environment and the technical points of
the procedure could be duplicated. MATERIALS AND METHODS: Ten pigs underwent
general anesthesia, and the ureter was dissected and spatulated. The small
intestine near the renal hilum was trimmed to replace the enlarged renal pelvis,
and the "ureteropelvic" anastomosis was performed according to the standard
operation steps. A double-pigtail stent was placed in the ureter in antegrade
fashion. This model was used by trainees during their year of fellowship in
advanced laparoscopic urology. A mentor-trainee fellowship program was planned to
guarantee the success of the operations and the quality of the anastomoses. The
learning curve was analyzed in terms of the time necessary to perform the task.
RESULTS: This model reproduced the technical complexity of laparoscopic
dismembered pyeloplasty. The total operating time declined from 135 +/- 14.6
minutes (range 121-155 minutes) to 62 +/- 5.2 minutes (range 56-70 minutes) after
the trainees had performed 12 pyeloplasties. The time needed for intraoperative
antegrade stenting declined from 35 +/- 12 minutes to 8 +/- 2 minutes. Six
pyeloplasties could be performed in every pig with adequate substitutes for an
enlarged renal pelvis. With this training, all participants were able to perform
ureteropelvic anastomosis and intraoperative antegrade stenting skillfully.
CONCLUSION: This model not only allows trainees to acquire the skills necessary
for laparoscopic dismembered pyeloplasty but also provides more practices with
the use of a suitable renal pelvic substitute.
PMID- 18042035
TI - Interstitial laser ablation of the kidney: acute and chronic porcine study using
new-generation diffuser tip fiber.
AB - BACKGROUND AND PURPOSE: Many ablative techniques have been described for
treatment of small renal tumors. We investigated interstitial laser ablation
(ILA) of porcine kidneys with a new-generation diffuser tip fiber. MATERIALS AND
METHODS: Six female farm pigs underwent bilateral lower-pole ILA of normal
kidneys via a midline approach. The acute group consisted of three pigs that
underwent immediate post-treatment bilateral nephrectomy, while the chronic group
survived for 45 days prior to bilateral nephrectomy. The lower pole of each
kidney was treated with a diode laser in temperature-adaptive mode (100 degrees
C) with the diffuser tip fiber. The treatment time was increased for each pig in
each group, from 4 minutes to 8 minutes to 12 minutes. RESULTS: The acute lesions
were elliptical, with the depth being longer than the width. The dimensions of
the acute lesions on gross inspection were 18 x 9 and 17 x 9 mm in the 4-minute
treatment pig, 25 x 6 and 18 x 15 mm in the 8-minute treatment pig, and 22 x 18
and 19 x 12-mm in the 12-minute treatment pig. Reduced nicotinamide adenine
dinucleotide staining of the acute lesions revealed a nonviable zone of 14 x 18
and 15 x 10 mm in the 4-minute treatment pig, 16 x 8 and 12 x 7 mm in the 8
minute treatment pig, and 11 x 7 and 14 x 9 mm in the 12-minute treatment pig.
Histologic architecture was preserved. Gross examination of the chronic kidney
revealed a divot with a scar in the treatment area. Histologic examination showed
an irregularly shaped area of fibrosis and loss of normal architecture, with the
lesions being smaller than those seen on the acute sections. CONCLUSIONS:
Interstitial laser ablation of the kidney with a diffuser tip fiber produces
adequate cell death but small lesions. Further studies with multiple fibers or
active monitoring and repositioning of the fiber to produce larger lesions are
necessary.
PMID- 18042039
TI - Identification of small molecules from human embryonic stem cells using
metabolomics.
AB - Metabolomics enables the discovery of small molecules that may serve as candidate
biomarkers of pharmacological efficacy or toxicity. Biochemical pathways of human
development are likely active in human embryonic stem (hES) cells and
derivatives, since they recapitulate organogenesis in vitro. We hypothesized that
small molecules could be measured from undifferentiated hES cells and hES cell
derived neural precursors (hNPs) using metabolomics and that these compounds are
altered in response to known disruptors of human development. Metabolite
profiling was performed in hES cells and hNPs after exposure to valproate, an
inducer of neurodevelopmental disorders. Kynurenine, an intermediate in
tryptophan metabolism, and other small molecules in glutamate metabolism were
significantly upregulated in response to valproate. Thus, for the first time, we
have been able to measure and identify small molecules secreted from hES cells
and cells derived from hES cells. The hES cell metabolome may thus serve as a
source of candidate biomarkers to predict or measure pharmacological efficacy or
toxic response.
PMID- 18042040
TI - Hepcidin expression in mouse retina and its regulation via
lipopolysaccharide/Toll-like receptor-4 pathway independent of Hfe.
AB - Hepcidin is a hormone central to the regulation of iron homeostasis in the body.
It is believed to be produced exclusively by the liver. Ferroportin, an iron
exporter, is the receptor for hepcidin. This transporter/receptor is expressed in
Muller cells, photoreceptor cells and the RPE (retinal pigment epithelium) within
the retina. Since the retina is protected by the retinal-blood barriers, we asked
whether ferroportin in the retina is regulated by hepcidin in the circulation or
whether the retina produces hepcidin for regulation of its own iron homeostasis.
Here we show that hepcidin is expressed robustly in Muller cells, photoreceptor
cells and RPE cells, closely resembling the expression pattern of ferroportin. We
also show that bacterial LPS (lipopolysaccharide) is a regulator of hepcidin
expression in Muller cells and the RPE, both in vitro and in vivo, and that the
regulation occurs at the transcriptional level. The action of LPS on hepcidin
expression is mediated by the TLR4 (Toll-like receptor-4). The upregulation of
hepcidin by LPS occurs independent of Hfe (human leukocyte antigen-like protein
involved in Fe homeostasis). The increase in hepcidin levels in retinal cells in
response to LPS treatment is associated with a decrease in ferroportin levels.
The LPS-induced upregulation of hepcidin and consequent down-regulation of
ferroportin is associated with increased oxidative stress and apoptosis within
the retina in vivo. We conclude that retinal iron homeostasis may be regulated in
an autonomous manner by hepcidin generated within the retina and that chronic
bacterial infection/inflammation of the retina may disrupt iron homeostasis and
retinal function.
PMID- 18042041
TI - Dentin non-collagenous proteins (dNCPs) can stimulate dental follicle cells to
differentiate into cementoblast lineages.
AB - BACKGROUND INFORMATION: Although the mechanism of cementogenesis is an area full
of debate, the DFCs (dental follicle cells) are thought to be the precursors of
cementoblasts. At the onset of cementogenesis, DFCs come into contact with the
root dentin surface and undergo subsequent differentiation. But the exact effects
of dentin or dentin matrix on DFCs remain an open question. In the present study,
we hypothesized that dNCPs (dentin non-collagenous proteins) extracted from
dentin could stimulate DFCs to differentiate into cementoblast lineages. RESULTS:
DFCs were isolated from tooth germs of SD (Sprague-Dawley) rats and then co
cultured with dNCPs. Treated DFCs presented several features of cementoblast
lineages in vitro, as indicated by morphological changes, decreased
proliferation, enhanced ALP (alkaline phosphatase) activity and increased matrix
mineralization. The expression of mineralization-associated proteins and genes
were up-regulated after induction, whereas the expression of specific markers of
odontoblast were not detected. Incubation of treated DFC pellets in vivo revealed
that a large amount of cementum-like tissues was formed within the novel dentin
carriers, which were quite distinct from the newly formed osteodentin secreted by
DPSCs (dental pulp stem cells). The negative expression of DSP (dentin
sialoprotein) also excluded the possibility of producing dentin matrix by treated
DFCs. CONCLUSIONS: dNCPs can stimulate DFCs to differentiate into cementoblast
lineages. The present study provides new insights into the mechanism of
cementogenesis.
PMID- 18042042
TI - APC nuclear membrane association and microtubule polarity.
AB - BACKGROUND INFORMATION: Directional cell migration is a fundamental feature of
embryonic development, the inflammatory response and the metastatic spread of
cancer. Migrating cells have a polarized morphology with an asymmetric
distribution of signalling molecules and of the actin and microtubule
cytoskeletons. The dynamic reorganization of the actin cytoskeleton provides the
major driving force for migration in all mammalian cell types, but microtubules
also play an important role in many cells, most notably neuronal precursors.
RESULTS: We previously showed, using primary fibroblasts and astrocytes in in
vitro scratch-induced migration assays, that the accumulation of APC (adenomatous
polyposis coli; the APC tumour suppressor protein) at microtubule plus-ends
promotes their association with the plasma membrane at the leading edge. This is
required for polarization of the microtubule cytoskeleton during directional
migration. Here, we have examined the organization of microtubules in the soma of
migrating neurons and fibroblasts. CONCLUSIONS: We find that APC, through a
direct interaction with the NPC (nuclear pore complex) protein Nup153
(nucleoporin 153), promotes the association of microtubules with the nuclear
membrane.
PMID- 18042043
TI - Identification and characterization of the Arabidopsis gene encoding the
tetrapyrrole biosynthesis enzyme uroporphyrinogen III synthase.
AB - UROS (uroporphyrinogen III synthase; EC 4.2.1.75) is the enzyme responsible for
the formation of uroporphyrinogen III, the precursor of all cellular
tetrapyrroles including haem, chlorophyll and bilins. Although UROS genes have
been cloned from many organisms, the level of sequence conservation between them
is low, making sequence similarity searches difficult. As an alternative approach
to identify the UROS gene from plants, we used functional complementation, since
this does not require conservation of primary sequence. A mutant of Saccharomyces
cerevisiae was constructed in which the HEM4 gene encoding UROS was deleted. This
mutant was transformed with an Arabidopsis thaliana cDNA library in a yeast
expression vector and two colonies were obtained that could grow in the absence
of haem. The rescuing plasmids encoded an ORF (open reading frame) of 321 amino
acids which, when subcloned into an Escherichia coli expression vector, was able
to complement an E. coli hemD mutant defective in UROS. Final proof that the ORF
encoded UROS came from the fact that the recombinant protein expressed with an N
terminal histidine-tag was found to have UROS activity. Comparison of the
sequence of AtUROS (A. thaliana UROS) with the human enzyme found that the seven
invariant residues previously identified were conserved, including three shown to
be important for enzyme activity. Furthermore, a structure-based homology search
of the protein database with AtUROS identified the human crystal structure.
AtUROS has an N-terminal extension compared with orthologues from other
organisms, suggesting that this might act as a targeting sequence. The precursor
protein of 34 kDa translated in vitro was imported into isolated chloroplasts and
processed to the mature size of 29 kDa. Confocal microscopy of plant cells
transiently expressing a fusion protein of AtUROS with GFP (green fluorescent
protein) confirmed that AtUROS was targeted exclusively to chloroplasts in vivo.
PMID- 18042044
TI - Two different classes of E2 ubiquitin-conjugating enzymes are required for the
mono-ubiquitination of proteins and elongation by polyubiquitin chains with a
specific topology.
AB - RING (really interesting new gene) and U-box E3 ligases bridge E2 ubiquitin
conjugating enzymes and substrates to enable the transfer of ubiquitin to a
lysine residue on the substrate or to one of the seven lysine residues of
ubiquitin for polyubiquitin chain elongation. Different polyubiquitin chains have
different functions. Lys(48)-linked chains target proteins for proteasomal
degradation, and Lys(63)-linked chains function in signal transduction,
endocytosis and DNA repair. For this reason, chain topology must be tightly
controlled. Using the U-box E3 ligase CHIP [C-terminus of the Hsc (heat-shock
cognate) 70-interacting protein] and the RING E3 ligase TRAF6 (tumour-necrosis
factor-receptor-associated factor 6) with the E2s Ubc13 (ubiquitin-conjugating
enzyme 13)-Uev1a (ubiquitin E2 variant 1a) and UbcH5a, in the present study we
demonstrate that Ubc13-Uev1a supports the formation of free Lys(63)-linked
polyubiquitin chains not attached to CHIP or TRAF6, whereas UbcH5a catalyses the
formation of polyubiquitin chains linked to CHIP and TRAF6 that lack specificity
for any lysine residue of ubiquitin. Therefore the abilities of these E2s to
ubiquitinate a substrate and to elongate polyubiquitin chains of a specific
topology appear to be mutually exclusive. Thus two different classes of E2 may be
required to attach a polyubiquitin chain of a particular topology to a substrate:
the properties of one E2 are designed to mono-ubiquitinate a substrate with no or
little inherent specificity for an acceptor lysine residue, whereas the
properties of the second E2 are tailored to the elongation of a polyubiquitin
chain using a defined lysine residue of ubiquitin.
PMID- 18042045
TI - Brm transactivates the telomerase reverse transcriptase (TERT) gene and modulates
the splicing patterns of its transcripts in concert with p54(nrb).
AB - We report that a DBHS (Drosophila behaviour, human splicing) family protein,
p54(nrb), binds both BRG1 (Brahma-related gene 1) and Brm (Brahma), catalytic
subunits of the SWI/SNF (switch/sucrose non-fermentable) chromatin remodelling
complex, and also another core subunit of this complex, BAF60a. The N-terminal
region of p54(nrb) is sufficient to pull-down other core subunits of the SWI/SNF
complex, suggesting that p54(nrb) binds SWI/SNF-like complexes. PSF
(polypyrimidine tract-binding protein-associated splicing factor), another DBHS
family protein known to directly bind p54(nrb), was also found to associate with
the SWI/SNF-like complex. When sh (short hairpin) RNAs targeting Brm were
retrovirally expressed in a BRG1-deficient human cell line (NCI-H1299), the
resulting clones showed down-regulation of the TERT (telomerase reverse
transcriptase) gene and an enhancement of ratios of exon-7-and-8-excluded TERT
mRNA that encodes a beta-site-deleted inactive protein. All of these clones
display growth arrest within 2 months of the Brm-knockdown. In NCI-H1299 cells,
Brm, p54(nrb), PSF and RNA polymerase II phosphorylated on CTD (C-terminal
domain) Ser(2) specifically co-localize at a region incorporating an alternative
splicing acceptor site of TERT exon 7. These findings suggest that, at the TERT
gene locus in human tumour cells containing a functional SWI/SNF complex, Brm,
and possibly BRG1, in concert with p54(nrb), would initiate efficient
transcription and could be involved in the subsequent splicing of TERT
transcripts by accelerating exon-inclusion, which partly contributes to the
maintenance of active telomerase.
PMID- 18042046
TI - Extracranial vertebral artery intervention.
AB - Atherosclerosis is the commonest cause of vertebral artery stenosis and has a
predilection for the origin and proximal section of the extracranial portion of
the vessel and also the intracranial portion of the vessel. Although it has
generally been thought that extracranial vertebral artery (ECVA) disease has a
more benign outcome compared to intracranial vertebral artery disease,
significant occlusive disease of the proximal vertebral artery is the primary
cause of vertebral artery ischemia in a significant proportion of patients. We
focus on the interventional management of patients with proximal ECVA disease in
this article.
PMID- 18042047
TI - Peripheral atherectomy: a critical review.
AB - Atherectomy is experiencing increased interest from endovascular specialists as a
therapeutic treatment in the peripheral arteries. Long studied in the coronary
vasculature, atherectomy has several theoretical advantages that make it uniquely
suited for the peripheral circulation. In particular, infra-inguinal peripheral
arterial disease experiences physiologic stresses and forces that have made
traditional percutaneous coronary treatments such as angioplasty and stenting not
as successful. Restenosis has been a major problem for angioplasty and stenting
alone. The SilverHawk atherectomy device has favorable short-term data but
important longer-term data are limited and need further study. Laser atherectomy
also has favorable applications in niche patients but the number of studies is
limited. Unfortunately, athero-ablative technologies for peripheral arterial
disease require more definitive objective data regarding 12-month and longer-term
outcomes in order to obtain widespread scientific acceptance.
PMID- 18042048
TI - FDA advisory panel on the safety and efficacy of drug-eluting stents: summary of
findings and recommendations.
PMID- 18042049
TI - Importance of intensive lipid lowering in acute coronary syndrome and
percutaneous coronary intervention.
AB - Lipid-lowering therapy with statins reduces the risk of cardiovascular events in
patients with established coronary heart disease (CHD). Traditionally, statins
were perceived to lower the long-term cardiovascular risk by reducing elevated
low-density lipoprotein cholesterol (LCL-C). Recently, this benefit has been
established for patients early after acute coronary syndrome (ACS). The benefit
appears linked to reductions in both LDL-C and C-reactive protein (CRP) that is a
marker of systemic inflammation. This paper will review the current state of
evidence from key recent statin trials in ACS and percutaneous coronary
intervention (PCI) and discuss their significance for clinical practice.
PMID- 18042050
TI - Long-term clinical benefit of sirolimus-eluting stents compared to bare metal
stents in the treatment of saphenous vein graft disease.
AB - OBJECTIVE: The purpose of this study was to evaluate the efficacy of sirolimus
eluting stents (SES) in the treatment of saphenous vein graft (SVG) disease.
BACKGROUND: Percutaneous coronary intervention (PCI) of SVG lesions with bare
metal stents (BMS) is associated with frequent in-stent restenosis, progression
of disease in nonstented SVG segments, and suboptimal clinical outcomes. While
SES have been shown to reduce restenosis rates in various native lesion subsets,
the long-term clinical impact of SES use in SVG lesions is less clear. METHODS:
We compared our first 59 patients who underwent SES implantation in SVGs with 50
consecutive patients who received BMS in an equivalent time period prior to SES
availability. Clinical outcomes were compared in both groups. RESULTS: Baseline
clinical variables between the two groups were similar. Mean graft age in the SES
cohort was older than that in the BMS cohort (12.9 years vs. 9.4 years). At
follow-up, the SES group had a 24.6% absolute lower incidence of major adverse
cardiac events (MACE) (25.4% vs. 50.0%), driven by a 20.7% absolute lower
incidence of target vessel revascularization (TVR) (15.3% vs. 36.0%). The SES
treatment group had a 24.1% lower rate of clinical restenosis (11.9% vs. 36.0%).
The use of a SES was an independent negative predictor of MACE at a mean follow
up of 20 months (odds ratio [OR]= 0.48,P = 0.03). CONCLUSIONS: Despite the
placement of longer stents in patients with older, smaller SVGs, the use of SES
in the treatment of SVG lesions appears to be safe and is associated with less
clinical restenosis and more favorable long-term clinical outcomes as compared
with BMS.
PMID- 18042051
TI - The retrograde coronary approach for chronic total occlusions: mid-term results
and technical tips & tricks.
AB - BACKGROUND: Coronary chronic total occlusions (CTO) remain a challenging lesion
subset for interventional cardiologists. The retrograde approach is a novel but
still incompletely described technique. We report our 5-year-long experience in
retrograde percutaneous coronary intervention (PCI) for CTOs. METHODS: We
abstracted patient and procedural data on all cases with attempted retrograde
approach by means of a native septal or epicardial collateral pathway. End-points
were wiring, angiographic and procedural success, plus long-term major adverse
cardiovascular events (MACE). RESULTS: The retrograde approach was used in 18
patients, including 11 CTOs of right coronary artery (RCA), 3 of left circumflex
(LCX), and 4 of left anterior descending (LAD), with procedural success in 12
(67%). Conversely, two cases of wiring failures, three angiographic failures
despite successful retrograde wiring, and one postprocedural myocardial
infarction in an otherwise angiographically successful procedure were found.
Causes of wiring failure were inability to retrogradely cross the distal (1) or
the proximal stump (1) with the wire, while angiographic failures were due to
interruption of the procedure due to rupture of a minor septal collateral branch
during attempts at advancing the noninflated balloon (1) or persistent inability
to deliver the balloon through the chosen collateral (2). Follow-up at a mean of
15 months showed MACE had occurred in five (28%) subjects. CONCLUSIONS: The
retrograde approach appears feasible and safe in the percutaneous management of
coronary occlusions, and its application can be envisioned as a promising
alternative in selected patients in whom antegrade approaches fail or are unsafe.
PMID- 18042052
TI - Distal embolization during native vessel and vein graft coronary intervention
with a vascular protection device: predictors of high-risk lesions.
AB - OBJECTIVE: We sought to define clinical and angiographic variables that may
predict patients and lesions at increased risk for distal embolism during
percutaneous intervention (PCI), as assessed by debris retrieval from a distal
protection filter device. BACKGROUND: Distal thrombo- and atheroembolism may
contribute to periprocedural myocardial necrosis during PCI, which may in turn
affect long-term outcomes. Distal protection devices have been used to reduce
this occurrence with variable outcomes depending on lesion and patient subsets.
METHODS: 194 consecutive patients in whom the FilterWire(R) device (FW) [Boston
Scientific Corp., Natick, MA] was used for native coronary vessel (n =129) or
vein graft (n = 65) PCI were studied. FW debris was visually analyzed using a
semi-quantitative grading score. Patients with "significant" debris (particles >
or = 1 mm diameter) were compared with those with "nonsignificant" debris (no
debris or particles <1 mm) with respect to clinical (age, gender, coronary
disease risk factors, clinical presentation, periprocedural medications), and
angiographic (vessel treated, vessel size, lesion length, lesion characteristics,
angiographic thrombus and TIMI flow before and after PCI) variables. RESULTS:
Significant debris was retrieved in 55% of patients, more frequently from vein
graft (69%) than native vessel lesions (48%, p = 0.006). No clinical
characteristics predicted significant debris retrieval. Angiographic predictors
of significant debris by multivariate analysis were longer stent length and final
TIMI flow <3 (p = 0.009 and 0.007, respectively). CONCLUSION: Longer stent
length, likely reflecting increased lesion length and plaque burden, predicted
significant distal embolism during PCI in native vessel and vein graft lesions,
as assessed by debris collected in a distal vascular protection device. This
suggests that use of vascular protection devices should be considered during PCI
of long lesions.
PMID- 18042053
TI - Improvement in endothelium dysfunction in diabetics treated with statins: a
randomized comparison of atorvastatin 20 mg versus rosuvastatin 10 mg.
AB - AIM: To investigate the effect a 3-month treatment with atorvastatin 20 mg
compared with rosuvastatin 10 mg on endothelium dysfunction in subjects with
diabetes. METHODS: A total of 22 consecutive subjects with diabetes who were not
receiving statins were enrolled in the study. Endothelium function was assessed
before treatment (T0), after 1 month (T1), and after 3 months of treatment (T2)
with statins with brachial echo-Doppler test. Patients were randomized to receive
atorvastatin 20 mg or rosuvastatin 10 mg. Blood samples were drawn in the
meantime in order to evaluate C-reactive protein (CRP) plasmatic concentrations.
RESULTS: A total of 82% of patients enrolled showed endothelium dysfunction
(hyperemic reserve <5%). Treatment with statins significantly improved
endothelium function in diabetics. Subjects with endothelium dysfunction
decreased from 82% (T0) to 44% (T2): mean hyperemic reserve values increased from
2.64% (T0) to 3.23% (T1) and 4% (T2) in patients treated with rosuvastatin (ANOVA
P < 0.01), and from 2.74% (T0) to 2.75% (T1) and 4.40% (T2) in those treated with
atorvastatin (ANOVA P < 0.01); differences were significant only comparing T2
with T0. Relative increase in endothelium reserve was 51.51% with rosuvastatin
versus 60.58% with atorvastatin (P N.S.). Both statins significantly reduced
plasmatic levels of CRP (3.18 +/- 2.43 mg/dL [T0] vs. 1.31 +/- 1.67 mg/dL [T2]
with rosuvastatin [P < 0.01], 7.53 +/- 7.46 mg/dL [T0] vs. 2.92 +/- 2.06 mg/dL
[T2] with atorvastatin [P < 0.01]). Relative reduction of CRP levels was -50.57%
with rosuvastatin versus -36.28% with atorvastatin (P N.S.). CONCLUSION: A 3
month treatment with either atorvastatin 20 mg or rosuvastatin 10 mg is effective
in improving endothelium dysfunction in subjects with diabetes.
PMID- 18042054
TI - Percutaneous mitral valve repair.
PMID- 18042055
TI - Transcatheter mitral valve repair for functional mitral regurgitation: coronary
sinus approach.
AB - Mitral regurgitation has become recognized as an important health problem. More
specifically, functional mitral regurgitation is associated with worse outcomes
in heart failure, postmyocardial infarction, and perioperative coronary artery
bypass surgery patients. Many patients with severe mitral regurgitation are
denied or refused mitral valve surgery. A less invasive procedure with possibly
fewer potential complications may thus be attractive for patients with severe
mitral regurgitation. Devices used for coronary sinus (CS) mitral annuloplasty
are directed toward patients with functional mitral regurgitation. Because of its
easy accessibility and close relationship to the posterior mitral annulus (MA),
alterations of the CS geometry with percutaneous devices may translate to
displacement of the posterior annulus and correct mitral leaflet coaptation. This
review will focus on the contemporary CS annuloplasty devices: (1) Edwards MONARC
system; (2) Cardiac Dimensions CARILLON; and (3) Viacor Shape Changing Rods
system. In addition, important information obtained from recent imaging studies
describing the relationship between the CS, MA, and coronary arteries will be
reviewed.
PMID- 18042057
TI - ASD/PFO devices: what is in the pipeline?
AB - Since the initial description of an atrial septal defect (ASD) occluding device
in the mid-1970s by King and Mills, a number of devices have been developed. To
date, various transcatheter devices and methods to close congenital heart defects
are currently available commercially or within clinical trials. Devices have been
designed specifically for the ASD and patent foramen ovale (PFO). The trend in
interventional treatment of intracardiac shunts is toward defect-specific systems
and new devices minimizing the foreign material left in the atria. This review
first focuses on new devices that are not approved in the United States but are
elsewhere, and then reviews the experimental devices for PFO and ASD closure.
PMID- 18042056
TI - Aortic stenosis: assessment of the patient at risk.
AB - The true incidence of aortic stenosis among the general population is unknown but
aortic sclerosis, its precursor, has been estimated to affect about 25% of people
over age 65, while an estimated 3% of the population over age 75 have severe
aortic stenosis. Severe aortic stenosis, when accompanied by symptoms of angina,
syncope, or heart failure, is associated with high mortality rates. Two
dimensional and Doppler echocardiography are cornerstone tools for the evaluation
and monitoring of aortic stenosis. Echocardiography helps identify the patient at
risk of death and guide timing of aortic valve replacement. Other important
diagnostic tools include cardiac catheterization, treadmill stress testing, and
dobutamine stress echocardiography, although their use is limited to specific
patient populations. Aortic valve replacement carries a significant operative
risk of approximately 4.0%. However, risk of operative mortality varies according
to comorbidities and disease presentation. There are many risk models that guide
estimation of the risk of operative mortality. Understanding operative risk is
important in patient care and the selection of patients for aortic valve
replacement.
PMID- 18042058
TI - Transcatheter closure of intracardiac defects in adults.
AB - There has been a dramatic increase in the adult congenital heart disease
population and appreciation of intracardiac shunt lesions discovered or acquired
in adults over the past several years. Fortunately, this increase has been met
with advances in imaging modalities, which permit a more accurate noninvasive
assessment of cardiac defects. Additionally, the evolutions in both device
technology as well as fluoroscopic and echocardiographic image guidance have
permitted the safe and effective catheter-based closure of numerous intracardiac
defects. With catheter-based closure procedures now considered the treatment of
choice in most cases of intracardiac defect repair in adults, it is imperative
that clinicians possess a sound understanding of intracardiac shunt lesions and
indications for repair or closure so that they may better care for this unique
subset of adult patients. This review will focus on the anatomy, pathophysiology,
and current transcatheter therapeutic options for adult patients with patent
foramen ovale (PFO), atrial septal defects (ASD), and ventricular septal defects
(VSD).
PMID- 18042059
TI - Finite element analysis of stent deployment: understanding stent fracture in
percutaneous pulmonary valve implantation.
AB - OBJECTIVES: To analyze factors responsible for stent fracture in percutaneous
pulmonary valve implantation (PPVI) by finite element method. BACKGROUND: PPVI is
an interventional catheter-based technique for treating significant pulmonary
valve disease. Stent fracture is a recognized complication. METHODS: Three
different stent models were created: (1) platinum-10% iridium alloy stent -
resembles the first-generation PPVI device; (2) same geometry, but with the
addition of gold over the strut intersections - models the current stent; (3)
same design as 1, but made of thinner wire. For Model 3, a stent-in-stent
solution was applied. Numerical analyses of the deployment of these devices were
performed to understand the stress distribution and hence stent fracture
potential. RESULTS: Model 1: Highest stresses occurred at the strut
intersections, suggesting that this location may be at highest risk of fracture.
This concurs with the in vivo stent fracture data. Model 2: Numerical analyses
indicate that the stresses are lower at the strut intersections, but
redistributed to the end of the gold reinforcements. This suggests that fractures
in this device may occur just distal to the gold. This is indeed the clinical
experience. Model 3 was weakest at bolstering the implantation site; however,
when two stents were coupled (stent-in-stent technique), better strength and
lower stresses were seen compared with Model 1 alone. CONCLUSIONS: Using finite
element analysis of known stents, we were able to accurately predict stent
fractures in the clinical situation. Furthermore, we have demonstrated that a
stent-in-stent technique results in better device performance, which suggests a
novel clinical strategy.
PMID- 18042060
TI - A hybrid surgical transcatheter strategy for treating severe para-right
atrioventricular valvular regurgitation in a patient with left atrial isomerism.
AB - Paravalvular leaks are a recognized complication of valve replacement surgery. We
report a 47-year-old man with left atrial isomerism, interrupted left sided
inferior caval vein with unilateral left sided superior caval vein, a common
atrium, and anomalous pulmonary venous connection to the coronary sinus, who had
recurrent severe para-right atrioventricular (AV) regurgitation with gross right
heart failure following tricuspid valve (TCV) replacement. He underwent a hybrid
surgery-transcatheter treatment strategy in the cardiac catheterization
laboratory, which led to significant improvement in hemodynamics and symptoms.
This to our knowledge is the first reported case of a minimally invasive approach
to para-right sided AV valve regurgitation.
PMID- 18042061
TI - Initial experience with alcohol septal ablation using a novel magnetic navigation
system.
AB - OBJECTIVES: We compared the use of magnetically assisted intervention (MAI) with
conventional guidewire techniques to perform alcohol septal ablation (ASA) in
patients with hypertrophic obstructive cardiomyopathy (HOCM). BACKGROUND:
Treatment of symptomatic patients with HOCM using ASA has been established as an
effective therapy. However, in many cases cannulaton of the septal artery can be
technically challenging. Recently, a magnetically directed guidewire system has
been developed to assist in navigation in difficult percutaneous interventions.
By directing the guidewire to the septal branch, this system has potential to
shorten the procedure time and increase success and safety of ASA in patients
with HOCM. METHODS: From October 2004 through October 2006, 44 consecutive
patients underwent ASA using MAI with the Niobe system (Stereotaxis, Inc., St.
Louis, MO). We compared these patients to 29 age- and gradient-matched cases
using conventional techniques performed by an operator with >100 prior cases.
RESULTS: All procedures were successful. Both the MAI and conventional groups had
improved symptoms, exercise times, and reduced gradients compared with baseline.
One dissection occurred in the conventional group. Although there were no
aggregate differences in acute or 3-month clinical outcomes, the time required
for guidewire cannulation of the septal branch decreased progressively with
increasing experience (third tertile vs first tertile (3 [1.25-4.75 vs 10.5 [6
17] minutes, P = 0.004) compared with the conventional group (6 [2-10.25]
minutes, P = 0.075 vs third tertile), suggesting a learning curve for MAI.
CONCLUSIONS: MAI has the potential to improve wiring time and outcomes of HOCM
patients undergoing ASA.
PMID- 18042062
TI - Bioabsorbable stents.
PMID- 18042065
TI - KIT and PDGFRalpha mutational analyses of mixed cell-type gastrointestinal
stromal tumours.
AB - AIMS: To determine whether the epithelioid and spindle components of a mixed cell
type gastrointestinal stromal tumour (GIST) show the same receptor tyrosine
kinase mutation and, by inference, the same sensitivity to imatinib. METHODS AND
RESULTS: Six mixed cell-type GISTs were identified from 108 gastric GISTs.
Clinicopathological and immunohistochemical data of the six neoplasms were
collated. For each neoplasm, DNA was extracted separately from the laser
microdissected epithelioid and spindle components and non-neoplastic tissue and
sequenced for KIT and platelet-derived growth factor receptor (PDGFR)alpha
mutations. The epithelioid component often showed less CD117 and/or CD34
immunoreactivity than the spindle component of the same mixed cell-type GIST.
Four mixed cell-type GISTs showed somatic KIT mutations (deletions in exon 11 in
three tumours and an insertion in exon 9 in one tumour) and one showed a somatic
PDGFRalpha mutation (point mutation in exon 18); in each of the five cases, both
epithelioid and spindle components showed identical mutations. CONCLUSIONS: The
presence of the same receptor tyrosine kinase mutation in both components of a
mixed cell-type GIST suggests that both components should be equally responsive
to imatinib treatment, and that such mutation is an early key event in the
pathogenesis of these neoplasms.
PMID- 18042066
TI - Goblet cell carcinoid of the appendix: a specific type of carcinoma.
AB - AIMS: Goblet cell carcinoid is a poorly understood tumour of the appendix. The
aim of this study was to determine whether it should be regarded as a separate
entity or as a variant of classical carcinoid. METHODS AND RESULTS: The
immunohistochemical expression pattern of 21 markers and the mutation status of
KRas codon 12 were determined in 16 goblet cell carcinoids and compared with 14
classical carcinoids, 19 colonic adenocarcinomas and 10 appendiceal mucinous
cystadeno (carcino)mas. The results were subjected to a stepwise linear
discriminant analysis. Goblet cell carcinoids were significantly different from
the control groups. The most important markers for discriminating between the
groups were CEA (classical carcinoid versus all others), KRas mutation (present
in all mucinous cystadeno (carcino)mas), beta-catenin (goblet cell carcinoid
versus left sided colonic adenocarcinoma) and chromogranin (goblet cell carcinoid
versus right sided colonic adenocarcinoma). Expression of Math1 and HD5 was
similar in goblet cell carcinoid and colonic adenocarcinoma but absent in
classical carcinoid. CONCLUSION: The results suggest that goblet cell carcinoids
should be regarded as a separate entity. The formerly used term 'crypt cell
carcinoma' may be more appropriate because it reflects the more aggressive
clinical behaviour of these tumours as well as their greater similarity to
adenocarcinomas rather than to carcinoids.
PMID- 18042067
TI - Expression of c-FLIP is primarily detected in diffuse large B-cell lymphoma and
Hodgkin's lymphoma and correlates with lack of caspase 8 activation.
AB - AIMS: Inhibition of apoptosis is important in the pathogenesis of lymphomas. c
FLIP, a regulator of caspase 8-mediated apoptosis, plays an important role in
protecting normal B and T cells from apoptosis and possibly also in lymphomas.
Because of contradictory reports about immunohistochemical detection of c-FLIP
expression, the aim was to test the specificity of four antibodies in c-FLIP
transfected cells and subsequently to investigate expression of c-FLIP in
different types of lymphoma. METHODS AND RESULTS: Two of four antibodies were
specific. In primary lymphomas c-FLIP expression was restricted to Hodgkin's
lymphomas (> 90%) and diffuse large B-cell lymphomas (44%). Burkitt lymphomas and
indolent B-cell lymphomas were negative in all cases. No expression was detected
in primary T-cell lymphomas, although expression was observed in one relapsed
ALK+ anaplastic large cell lymphoma. Expression of c-FLIP was inversely
correlated with caspase 8 activation. CONCLUSIONS: c-FLIP is important in escape
of B cells from apoptosis during normal follicle centre cell reaction and may
thus be an important early event in the development of B-cell-derived lymphomas.
Moreover, non-specific staining of frequently used antibodies might explain
discrepancies in different reports of c-FLIP expression.
PMID- 18042068
TI - Differential expression of matrix metalloproteinase (MMP)-2, MMP-9 and tissue
inhibitor of metalloproteinase (TIMP)-1 and TIMP-2 in non-melanoma skin cancer:
implications for tumour progression.
AB - AIMS: To investigate the expression of matrix metalloproteinase (MMP)-2, MMP-9,
and tissue inhibitor of metalloproteinase (TIMP)-1 and TIMP-2 in non-melanoma
skin cancer (NMSC) and to compare their expression between different tumour types
and with clinicopathological factors. METHODS AND RESULTS: A study of 11 normal
skin, 29 Bowen's disease (BD), 40 squamous cell carcinoma (SCC) and 38 basal cell
carcinoma (BCC) samples for MMP-2, MMP-9, TIMP-1 and TIMP-2 expression was
carried out using immunohistochemistry and in situ hybridization. The expression
of all metalloproteinases was greater in tumours than in normal skin. MMP-2 and
MMP-9 expression was more extensive in the stroma of SCC than of BCC or BD. TIMP
1 expression was greater in the stroma of BCC than of SCC or BD and TIMP-2
expression was greater in the stroma of SCC than of BD. There was a correlation
between increased metalloproteinase expression and depth of lesion (MMP-2 and
TIMP-2), inflammation (MMP-2, MMP-9, TIMP-1 and TIMP-2) and microvessel density
(MMP-2, MMP-9 and TIMP-2). CONCLUSIONS: MMP-2, MMP-9, TIMP-1 and TIMP-2 play an
important role in the pathogenesis of non-melanoma skin cancer, but differ
significantly in their expression levels between the tumour types examined. The
immunoexpression of these proteins may be useful indicators of cutaneous cancer
invasion and progression.
PMID- 18042069
TI - Clear-cell dermal duct tumour: another distinctive, previously underrecognized
cutaneous adnexal neoplasm.
AB - AIMS: To describe 13 examples of clear cell dermal duct tumour, a neoplasm
previously underrecognized in the literature. METHODS AND RESULTS: Thirteen
examples of a neoplasm that we have named clear-cell dermal duct tumour were
studied histopathologically and immunohistochemically. Histopathologically, all
lesions consisted of mostly dermal neoplasms mainly composed of multiple solid
aggregations of clear cells involving the dermis. Although the neoplasms were
mostly solid, ductal structures were identified in all cases.
Immunohistochemically, neoplastic cells were immunoreactive for MNF116 and
AE1/AE3 cytokeratins, but not for CAM5.2 or cytokeratin 7. Epithelial membrane
antigen, carcinoembryonic antigen and glial cystic disease fibrillary protein 15
decorated the ductal structures, but neoplastic cells were negative. In contrast
to some other clear cell neoplasms of the skin, which may be associated with
diabetes mellitus, none of our cases of clear cell dermal duct tumour developed
in a diabetic patient. CONCLUSIONS: We consider these neoplasms to be clear cell
dermal duct tumours for the following reasons: (i) the neoplasms were mostly
composed of multiple solid aggregations of epithelial clear cells; (ii)
neoplastic aggregations mostly involved the dermis; (iii) in some cases,
neoplastic aggregations of conventional poroid cells were intermingled with the
aggregations of clear cells; (iv) ductal structures were identified within some
neoplastic aggregations; (iv) small areas of necrosis en masse were seen in some
neoplastic aggregations; and (v) the stroma of the neoplasm was scant.
PMID- 18042070
TI - Immunohistochemical expression patterns of AP2alpha and AP2gamma in the
developing fetal human breast.
AB - AIMS: AP2alpha (TFAP2A) and AP2gamma (TFAP2G) transcription factors have been
implicated in the control of proliferation, differentiation and apoptosis of
normal breast epithelium and in breast cancer. The aim of this study was to
provide a comprehensive analysis of the expression patterns of TFAP2A and TFAP2G
in the developing fetal breast anlage with other relevant markers. METHODS AND
RESULTS: Sixty fetal and one infant human breast specimens from 14 weeks of
gestational age to 5 months old were examined. The primary breast
outgrowth/nipple showed TFAP2A expression by the basal cells (week 14), followed
later by cytokeratin (CK) 5 co-expression (week 17). Sprouting of the secondary
outgrowths was characterized by HER-2+ invading cells. Preliminary ductal buds
were lined by TFAP2G/HER-1-expressing myoepithelial precursors (week 19).
Maturation of TFAP2A/CK18+ epithelia and TFAP2G/smooth muscle actin-positive
myoepithelia proceeded in a distal-to-proximal manner beginning in the terminal
end buds (week 22). CK5+ progenitor cells and CK5/TFAP2A or CK5/TFAP2G co
expressing intermediary glandular or myoepithelial cells were found in the
terminal end buds of neonatal fetal breast tissue. CONCLUSIONS: AP2 transcription
factors may play decisive pacemaker roles in initiating and coordinating budding
and branching processes during formation of the fetal breast anlage, possibly via
modulation of an epidermal growth factor receptor.
PMID- 18042071
TI - The expression of Wilms' tumour-1 and Ca125 in invasive micropapillary carcinoma
of the breast.
AB - AIM: Metastases from ovarian serous papillary carcinoma to the breast and primary
invasive micropapillary carcinoma of the breast are histologically similar. The
distinction is clinically important to ensure appropriate management. Wilms'
tumour-1 (WT1) and Ca125 are frequently expressed in serous papillary carcinomas,
and uncommonly in unselected mammary carcinomas. One previous study found Ca125
expression in 69% of invasive micropapillary carcinomas. The aim was to assess
the frequency of expression of WT1 and Ca125 in invasive micropapillary
carcinoma. METHODS AND RESULTS: Twenty-five of 34 invasive micropapillary
carcinomas showed no nuclear expression of WT1. The remaining nine tumours showed
weak to moderate immunoreactivity in 1-10% of nuclei. Six of these nine tumours
also contained ductal carcinoma in situ, which expressed WT1 in five of the six.
Membranous or cytoplasmic expression of Ca125 was found in seven tumours.
CONCLUSION: Nuclear WT1 expression is present in a minority of invasive
micropapillary carcinomas and, when present, expression is focal. The frequency
of expression of Ca125 was similar to the results in unselected mammary
carcinoma. Thus, these markers are useful members of the immunohistochemical
panel for the distinction of mammary invasive micropapillary carcinoma from
ovarian serous papillary carcinoma.
PMID- 18042072
TI - Evaluation of oestrogen receptor expression in breast cancer by quantification of
mRNA.
AB - AIMS: cDNA microarrays have subclassified breast carcinomas into molecular
subtypes with oestrogen receptor-alpha (ER) gene expression as a main marker. The
aim was to compare ER expression in 97 patients with operable breast cancer
estimated by real-time reverse transcriptase-polymerase chain reaction (real-time
RT-PCR) and by routine immunohistochemistry, and to determine which method was
reliable for molecular subtyping in relation to basal-type keratins and HER2 gene
expression. METHODS AND RESULTS: Frozen tumour samples were analysed by real-time
RT-PCR for the expression of ER, HER2, keratin 5 and keratin 17 genes. In a group
of 27 tumours with a low level of ER mRNA (<1.00), there were eight ER+ cases as
assessed by immunohistochemistry, and of 70 cases with a high level of ER mRNA
(>or=1.00), 26 were ER- by immunohistochemistry (P = 0.003). Lack of prognostic
relevance of ER mRNA level was demonstrated, whereas assessment by
immunohistochemistry was related to clinical outcome. Expression of basal
keratins and HER2 genes differed significantly between ER+ and ER- tumours based
on immunohistochemistry, but not on mRNA level. CONCLUSIONS: These results throw
doubt on the assessment of ER mRNA as a key factor in the molecular distinction
between breast tumours.
PMID- 18042073
TI - Myofibroblasts in the stroma of oral squamous cell carcinoma are associated with
poor prognosis.
PMID- 18042074
TI - Expression of human herpesvirus 8 (HHV-8), latent nuclear antigen 1 (LANA1) in
angiosarcoma in acquired immunodeficiency syndrome (AIDS) - a report of two
cases.
PMID- 18042075
TI - Malignancy in giant cell tumour of bone: is there a reproducible histological
threshold? A study of three giant cell tumours with worrisome features.
PMID- 18042076
TI - Hodgkin transformation of small lymphocytic lymphoma: gene usage, mutational
status and clonal relationship.
PMID- 18042077
TI - Maspin expression is up-regulated during the progression of endometrioid
endometrial carcinoma.
PMID- 18042078
TI - Diagnostic value of cytokeratin 7, CD10 and mesothelin in distinguishing ovarian
clear cell carcinoma from metastasis of renal clear cell carcinoma.
PMID- 18042079
TI - The impact of site and extent of clinically evident cardiovascular disease and
atherosclerotic burden on new cardiovascular events in patients with Type 2
diabetes. The SMART study.
AB - AIMS: Patients with Type 2 diabetes and coronary heart disease (CHD) have an
excess cardiovascular risk. The relationship of both other sites [cerebrovascular
disease, peripheral arterial disease (PAD)] and the extent of clinically evident
cardiovascular disease (CVD) with the occurrence of new cardiovascular events
have not been investigated previously in patients with diabetes. We aimed to
quantify this relationship and to assess the additional influence of
atherosclerotic burden. METHODS: From 1996 to 2005, 776 patients with Type 2
diabetes with (n = 458) and without (n = 318) clinically evident CVD were
followed prospectively for cardiovascular events (cardiovascular death, non-fatal
ischaemic stroke or myocardial infarction). CVD was classified according to the
site (cerebrovascular disease, CHD, PAD); the extent of atherosclerosis was
expressed as the number of affected sites. Carotid intima-media thickness and
albuminuria were used as markers of atherosclerotic burden. RESULTS: Compared
with patients with diabetes without CVD, the hazard ratio (HR) for a
cardiovascular event was 3.8 (95% confidence interval 1.7, 8.5), adjusted for
age, gender and potential confounders, in those with cerebrovascular disease, 4.3
(1.9, 9.5) in those with CHD, and 4.6 (2.1, 10.2) in those with PAD. Findings
were similar after additional adjustment for atherosclerotic burden. Adjusted HR
was 3.4 (1.6, 6.9) for patients with diabetes with one affected site and 6.6
(3.0, 14.3) for those with two or more sites. CONCLUSIONS: Patients with Type 2
diabetes and cerebrovascular disease, CHD or PAD have strongly increased risks
for future cardiovascular events which are comparable. This risk increases
markedly with the number of different cardiovascular sites affected and is
irrespective of atherosclerotic burden.
PMID- 18042080
TI - Diagnostic potential of serum protein pattern in Type 2 diabetic nephropathy.
AB - AIMS: Microalbuminuria is the earliest clinical sign of diabetic nephropathy
(DN). However, the multifactorial nature of DN supports the application of
combined markers as a diagnostic tool. Thus, another screening approach, such as
protein profiling, is required for accurate diagnosis. Surface enhanced laser
desorption/ionization time-of-flight mass spectrometry (SELDI-TOF-MS) is a novel
method for biomarker discovery. We aimed to use SELDI and bioinformatics to
define and validate a DN-specific protein pattern in serum. METHODS: SELDI was
used to obtain protein or polypeptide patterns from serum samples of 65 patients
with DN and 65 non-DN subjects. From signatures of protein/polypeptide mass, a
decision tree model was established for diagnosing the presence of DN. We
estimated the proportion of correct classifications from the model by applying it
to a masked group of 22 patients with DN and 28 non-DN subjects. The weak
cationic exchange (CM10) ProteinChip arrays were performed on a ProteinChip PBS
IIC reader. RESULTS: The intensities of 22 detected peaks appeared up-regulated,
whereas 24 peaks were down-regulated more than twofold (P < 0.01) in the DN group
compared with the non-DN groups. The algorithm identified a diagnostic DN pattern
of six protein/polypeptide masses. On masked assessment, prediction models based
on these protein/polypeptides achieved a sensitivity of 90.9% and specificity of
89.3%. CONCLUSION: These observations suggest that DN patients have a unique
cluster of molecular components in serum, which are present in their SELDI
profile. Identification and characterization of these molecular components will
help in the understanding of the pathogenesis of DN. The serum protein signature,
combined with a tree analysis pattern, may provide a novel clinical diagnostic
approach for DN.
PMID- 18042081
TI - An angled insertion technique using 6-mm needles markedly reduces the risk of
intramuscular injections in children and adolescents.
AB - AIMS: The aims of this study were (i) to establish which children with Type 1
diabetes are at risk of intramuscular or intradermal insulin injections and (ii)
to determine a needle length and technique that reliably administers insulin into
subcutaneous fat. METHODS: Seventy-two healthy diabetic children (age 6.3-14.3
years, body mass index standard deviation score 1.0 +/- 1.4) were recruited for
study 1 and 37 of this cohort participated in study 2. In study 1, 200 microl air
was injected into the abdomen and anterior thigh by a pinched skin-fold technique
using either a perpendicular insertion of NovoFine(R) 31G 6-mm or an angled
insertion of NovoFine(R) 30G 8-mm needles. In study 2, subjects received
injections into abdomen and anterior thigh via angled 6-mm needles with either an
unpinched or pinched technique. The site of air injection was visualized by
ultrasound scan and measurements taken of subcutaneous fat thickness. RESULTS: In
study 1, intramuscular injections were detected in 32% of subjects, and in a
further 22% air was visualized at the muscle fascia. In study 2, intramuscular
injections occurred in 3% of subjects and a further 11% had muscle fascia air
detected. No intramuscular injections occurred in subjects injecting with a 6-mm
needle and an angled pinched skin-fold technique. Pinching abdomen and thigh skin
folds increased the subcutaneous fat thickness by 192 +/- 16% and 22 +/- 6%,
respectively. In very lean subjects, pinching thighs actually reduced
subcutaneous fat thickness. CONCLUSIONS: While intramuscular injections were
observed frequently using standard injection protocols, an angled 6-mm needle
technique reliably injects into the subcutaneous fat.
PMID- 18042082
TI - A randomized cross-over trial to identify the optimal use of insulin glargine in
prepubertal children using a three-times daily insulin regimen.
AB - AIMS: The long-acting insulin analogue glargine reduces nocturnal hypoglycaemia
and stabilizes morning blood glucose levels in patients with Type 1 diabetes
(T1DM) on multiple injection therapy. However, young children may not tolerate
such intensive insulin regimens. We investigated the effects of glargine in
various three-injections-daily insulin combinations on 24-h glucose control in
prepubertal children. METHODS: Seventeen T1DM prepubertal children (10 boys),
median age 10.2 years (range 6.0-12.4), glycated haemoglobin (HbA(1c)) 8.8% (6.8
11.5) were recruited to a randomized, open-label, cross-over study. After a 2
week run-in period (with NPH pre-bed), every child underwent three different 3
week treatment blocks in random order. All treatment blocks included glargine pre
bed, but used different morning insulins: block 1, soluble only; block 2, soluble
+ NPH; block 3, aspart + NPH. Continuous glucose monitoring was performed for 3
days at the end of the run-in and each treatment block. RESULTS: Compared with
the run-in period on NPH, the three glargine treatment blocks were associated
with lower (P < 0.0001) and less variable (P < 0.05) pre-breakfast glucose
levels, and with an 8-15% reduction in total daily insulin dose (P < 0.0001).
Risk of nocturnal hypoglycaemia detected by continuous glucose monitoring varied
significantly between the three glargine treatment blocks, and was lowest when
children were given aspart + NPH in the morning (block 3). CONCLUSION: Insulin
glargine pre-bed can be used in three-injections-daily regimens in prepubertal
children to lower and stabilize pre-breakfast glucose levels. However, to avoid
the risk of nocturnal hypoglycaemia, the pre-bed glargine dose should be lowered
by giving a further long-acting insulin, such as NPH, in the morning.
PMID- 18042083
TI - Delayed initiation of subcutaneous insulin therapy after failure of oral glucose
lowering agents in patients with Type 2 diabetes: a population-based analysis in
the UK.
AB - AIMS: The aim of this retrospective cohort study was to estimate the time to
insulin initiation in patients with Type 2 diabetes inadequately controlled on
oral glucose-lowering agents (OGLAs). METHODS: Insulin-naive patients failing on
OGLAs were identified from The Health Improvement Network database, which
collects records from general practices throughout the UK. Patients were included
if they were aged > or = 40 years, had concomitant prescriptions for > or = 2
OGLAs, and > or = 1 year of available records prior to the first occurrence of
HbA(1c) > or = 8.0% after > or = 90 days of OGLA polytherapy at > or = 50% of
maximum recommended dosages. RESULTS: A total of 2501 eligible patients with Type
2 diabetes who had an HbA(1c) above the OGLA failure threshold of > or = 8.0%
were identified (54.0% male; 30.9% aged 60-69 years). It was estimated that if
all the eligible patients were followed for 5 years, 25% would initiate insulin
within 1.8 years of OGLA failure (95% CI 1.6-2.0), and 50% within 4.9 years (95%
CI 4.6-5.8). The presence of diabetes-related complications had no substantial
impact on the time to insulin initiation. CONCLUSIONS: This study found that 25%
of patients with Type 2 diabetes had insulin initiation delayed for at least 1.8
years, and 50% of patients delayed starting insulin for almost 5 years after
failure of glycaemic control with OGLA polytherapy, even in the presence of
diabetes-related complications. Interventions that reduce this delay to insulin
initiation are required to help achieve and maintain recommended glycaemic
targets in patients with Type 2 diabetes.
PMID- 18042084
TI - Quality of diabetes care in patients with schizophrenia and bipolar disorder:
cross-sectional study.
AB - AIMS: To determine whether patients with severe mental illness receive poorer
health care for diabetes than patients without. METHODS: This population-based
cross-sectional survey used electronic general practice records from 481 UK
general practices contributing to the QRESEARCH database. The records of 11 043
patients with diabetes, drawn from a database population of over 9 million
patients, were extracted. Unadjusted and adjusted odds ratios were calculated
using unconditional logistic regression for each of 17 quality indicators for
diabetes care from the new General Medical Services contract for general
practitioners. RESULTS: The presence of severe mental illness did not reduce the
quality of care received; the only significant difference between groups showed
that such patients were more likely to have glycated haemoglobin < 7.5%[adjusted
odds ratio = 1.45 (99% confidence interval 1.20-1.76)]. Increasing age was
associated with better care [adjusted odds ratios from 1.06 (1.02-1.11) to 1.61
(1.52-1.70)], but other confounding variables had no consistent effect across
indicators. Overall, performance against government targets was good.
CONCLUSIONS: The hypothesis of poorer diabetes care for those with severe mental
illness is disproved, perhaps surprisingly, in the light of other recent UK
studies showing inequalities in care for the mentally ill. The study does not
reveal who is providing this good care (general practitioners, psychiatrists or
diabetologists) or take account of the estimated 600 000 people in the UK with
undiagnosed diabetes.
PMID- 18042085
TI - No evidence of association of the PDCD1 gene with Type 1 diabetes.
AB - AIMS: To test the association between the immunoreceptor PD-1 (PDCD1) gene and
Type 1 diabetes mellitus (T1DM). This gene has been reported to be associated
with other autoimmune diseases such as systemic lupus erythematosus (SLE) as well
as T1DM. METHODS: Genotyping of single nucleotide polymorphisms (SNPs) in the
PDCD1 gene was performed using polymerase chain reaction-restriction fragment
length polymorphism (PCR-RFLP), pyrosequencing and TaqMan in two separate cohorts
of Swedish patients and control subjects: a family study consisting of 184
multiplex and eight simplex families and a case-control study consisting of 586
patients and 836 control subjects. Three SNPs were genotyped: PD-1 7146, PD-1
7785 and PD-1 8738. RESULTS: We did not detect any association or linkage between
SNPs in PDCD1 and T1DM. We further performed a meta-analysis for association of
PD-1 7146, PD-1 7785 and PD-1 8738 to T1DM. We detected heterogeneity in
association with weak evidence for overall association. CONCLUSIONS: We conclude
that PDCD1 is unlikely to be a major susceptibility gene for T1DM.
PMID- 18042086
TI - Climbers with diabetes do well on Mount Kilimanjaro.
PMID- 18042087
TI - Use of metformin according to estimated glomerular filtration rate: the threshold
and the equation are important.
PMID- 18042089
TI - Estimated glomerular filtration rate (eGFR) and metformin.
PMID- 18042090
TI - [Founding the new German Skin Research Center].
PMID- 18042091
TI - Diagnosis of oral squamous cell carcinoma and its precursor lesions.
AB - Improvement of survival rate and quality of life after treatment of oral squamous
cell carcinoma as well as cost reduction requires reliable early diagnosis of the
tumor and its precursor lesions. Four different screening methods are primarily
employed: toluidine blue staining (visually detected lesions: sensitivity 70
100%, specificity 25-67%), photodynamic diagnosis (sensitivity 94-99%,
specificity 60-89%), autofluorescence (no data published so far) and modern oral
cytology (sensitivity 80%,specificity 95-100%). Additional analytic procedures
such automated image analysis, DNA image cytometry and immunocytochemistry can be
used to enhance the low sensitivity of conventional oral cytology. While these
methods have achieved sensitivity and specificity approaching 100%, the studies
involved clearly-defined entities such as large oral squamous cell carcinomas and
aphthae. The modern and methodenhanced oral cytology is a simple, value-based and
inexpensive tool for early diagnosis of oral squamous cell carcinoma and its
precursor lesions. Surgical biopsy and histopathological examination remains the
gold standard for definitive diagnosis.
PMID- 18042092
TI - Embolia cutis medicamentosa following subcutaneous injection of glatiramer
acetate.
AB - Embolia cutis medicamentosa (ECM) is a rare side effect observed primarily after
intramuscular injections. It is associated with localized, sometimes extensive
necrosis of the skin. Reflex vasospasm induced by the injection trauma may be
etiologically involved. It is a potentially serious reaction which is little
influenced by which drug is injected. A patient developed typical ECM after
subcutaneous selfinjection of glatiramer acetate for multiple sclerosis. This
case is remarkable since 1) ECM developed after subcutaneous and not after
intramuscular injection, 2) the injection was given by the patient himself, and
3) glatiramer acetate can induce skin necrosis as a side effect. ECM can be
easily differentiated from the latter by its typical clinical features.
PMID- 18042093
TI - Clinical manifestations of cutaneous lupus erythematosus.
AB - Cutaneous lupus erythematosus (CLE) is a chronic inflammatory autoimmune disease
with a broad spectrum of clinical manifestations and a variable course. In
numerous investigations, it has been shown that exogenous factors, such as UV
light and drugs, can induce this disease. However, not all clinical aspects can
be explained and therefore, the pathogenesis of CLE is currently under extensive
research. The various cutaneous manifestations of LE are divided into LE
nonspecific and LE-specific skin disease based on histologic criteria. LE
nonspecific manifestations are mostly associated with systemic LE but can also
occur in other diseases and include particularly vascular skin lesions such as pe
riungual telangiectases. LE-specific skin disease includes the subtypes of CLE
such as acute cutaneous LE (ACLE), subacute cutaneous LE (SCLE), chronic
cutaneous LE (CCLE), and intermittent CLE (ICLE). The subdivision of these
subtypes with different prognosis and course is supported by genetic, clinical,
histologic, and immunoserologic findings. The subtypes of CLE require a specific
morphological and clinical analysis, which is described in the first part of this
review. In the second part of this review, further diagnostic procedures and
therapeutic strategies in patients with CLE are discussed.
PMID- 18042094
TI - [Band-like symmetric horizontal depression on both thighs].
PMID- 18042095
TI - [Paratibial fasciotomy for postthrombotic leg ulcer using Vollmars fasciotome].
PMID- 18042098
TI - Innovative endoscopy--research or patient care?
PMID- 18042099
TI - Percutaneous endoscopic gastrostomy.
PMID- 18042100
TI - Keeping pace with GI technology: knowing when to get out.
PMID- 18042101
TI - Colonoscopy preparation: are our patients at risk?
AB - Clinical studies are used to make generalizations about a population of interest.
Bias can be defined as the systematic error in study design or implementation,
leading to inaccurate generalizations about this population. There is a potential
for bias in all of the clinical studies on sodium phosphate colonoscopy
preparation, and this bias may lead to the differing conclusions regarding safety
drawn by the authors. A review of some of the relevant literature is presented,
as well as a discussion of propensity score analysis, a technique used to help
clarify the causal pathway in nonrandomized studies. Based on the available
information, it is reasonable to follow the recommendations contained in the
consensus document of the American Society of Colon and Rectal Surgeons, American
Society for Gastrointestinal Endoscopy, and Society of American Gastrointestinal
and Endoscopic Surgeons regarding sodium phosphate colonoscopy preparation.
PMID- 18042102
TI - A randomized prospective comparison of self-expandable plastic stents and
partially covered self-expandable metal stents in the palliation of malignant
esophageal dysphagia.
AB - OBJECTIVES: Self-expanding metal stents (SEMS) provide effective palliation in
patients with malignant dysphagia, although severe complications and mortality
may result. We performed a prospective controlled trial to compare a new self
expanding polyester mesh stent (Polyflex) with SEMS (Ultraflex). METHODS: One
hundred one patients with unresectable esophageal carcinoma were randomized to
placement of a Polyflex (N=47) or a partially covered Ultraflex (N=54) stent.
Patients with esophagogastric junction (EGJ) malignancy were excluded. RESULTS:
Placement was successful in 46 (98%) patients with the Polyflex and 54 (100%)
patients with the Ultraflex stent. In one patient, the Polyflex stent could not
be placed. After 1 wk, dysphagia was improved by at least 1 grade in 100% of the
Polyflex group and in 94% of the Ultraflex group. Major complications were
observed in 48% of the Polyflex group and 33% of the Ultraflex group.
Intraprocedural perforation occurred in 1 Polyflex and 1 Ultraflex patient. Two
Polyflex patients had postprocedural hemorrhage. Twenty (44%) patients with a
Polyflex stent and 18 (33%) with an Ultraflex stent had recurrent dysphagia
because of tumor overgrowth, stent migration, hyperplastic granulomatous
reaction, or food bolus impaction. Multivariate analysis showed a significantly
higher complication rate with Polyflex than with Ultraflex stents (odds ratio
2.3, 95% CI 1.2-4.4). However, median survival was 134 days with Polyflex and 122
days with Ultraflex stents (P=NS). CONCLUSIONS: No difference was seen in
palliation of dysphagia between the two stents. Significantly more complications,
especially late stent migration, were observed in the Polyflex group.
PMID- 18042103
TI - Palliation of malignant esophageal Dysphagia: would you like plastic or metal?
AB - Advanced esophageal carcinoma has a rather dismal prognosis with dysphagia to
solids and liquids as a common symptom. Self-expanding metal stents provide
immediate and durable relief of dysphagia. Recently, self-expanding plastic
stents have been developed for refractory benign esophageal strictures but may
have applications in malignant strictures as well. In this issue of The American
Journal of Gastroenterology, a multicenter, prospective, randomized, comparative
trial evaluates the safety and efficacy of self-expanding metal versus plastic
stents for palliation of dysphagia due to esophageal cancer. While the stents
compared equivalently for symptom relief, complications were observed more
commonly in the plastic stent group.
PMID- 18042104
TI - Should the quality of preparation impact postcolonoscopy follow-up
recommendations?
AB - A high-quality bowel cleansing preparation is an essential prerequisite for a
safe, efficient, and accurate colonoscopy. Large studies, however, have shown
that up to 25% of patients undergoing colonoscopy have what is considered to be
an inadequate preparation. A well-done survey reported in this issue of the
American Journal of Gastroenterology by Ben-Horin et al. found considerable
variability among endoscopists in their assessment of preparation adequacy from
photographs of three representative cases. When the preparation was judged
suboptimal, follow-up colonoscopy often was recommended at shorter time intervals
than is currently indicated by clinical guidelines. Because this practice is not
supported by any direct investigation, a better practice might be to determine if
the preparation is poor or inadequate, indicating instead the need for a prompt
repeat preparation and another colonoscopy.
PMID- 18042105
TI - Abdominal ultrasound for diagnosis of nonalcoholic fatty liver disease (NAFLD).
AB - Nonalcoholic fatty liver disease (NAFLD) is one of the most common causes of
chronic liver disease. The progressive subtype of NAFLD or nonalcoholic
steatohepatitits (NASH), may progress to cirrhosis and its complications.
Unfortunately, accurate noninvasive modalities for diagnosing NASH and monitoring
its progression are unavailable, necessitating a liver biopsy. Abdominal
ultrasound (US) is widely used for screening asymptomatic patients with an
incidental elevation of liver enzymes. However, US cannot detect small amounts of
hepatic steatosis and cannot establish the diagnosis of NASH or stage of hepatic
fibrosis. In this issue of AJG, a new radiologic scoring system has been reported
to have excellent performance in diagnosing NAFLD and visceral obesity. However,
the utility of this scoring system in establishing the diagnosis of NASH and
hepatic fibrosis, has not been shown. Additionally, validity of this scoring
system to other populations (i.e. obese) and in the setting of private practice
must be proven. In summary, this study provides some valuable data regarding the
utility of radiologic modalities in detecting hepatic steatosis and abdominal fat
but still falls short in answering some important diagnostic and prognostic
questions in NAFLD. The evolving field of diagnostic imaging for NAFLD holds
promise. A combination of serum biomarkers and radiologic modalities may one day
provide the best diagnostic approach for patients with NAFLD, and potentially
replace the necessity for liver biopsy in most patients.
PMID- 18042106
TI - Health-related quality of life during natalizumab maintenance therapy for Crohn's
disease.
AB - OBJECTIVES: We evaluated the effects of treatment on health-related quality of
life (HRQoL) during a randomized controlled trial of natalizumab maintenance
therapy (ENACT-2) using both disease-specific and generic measures. METHODS:
Crohn's disease patients who received natalizumab as induction therapy in ENACT-1
(N=724) and responded (N=339) were re-randomized to ENACT-2 in which they
received natalizumab 300 mg (N=168) or placebo (N=171) every 4 wk for 48
additional wk. Outcome measures were the change from baseline on the inflammatory
bowel disease questionnaire (IBDQ), the short form-36 (SF-36), the EuroQol-5D (EQ
5D), and a subject global assessment. RESULTS: At entry into ENACT-1, scores
indicated substantially impaired HRQoL for both the disease-specific and general
measures. Natalizumab responders showed clinically meaningful improvement in
HRQoL over the course of the ENACT-1 study. During maintenance therapy, IBDQ and
SF-36 scale scores of patients who responded to natalizumab induction and were re
randomized to receive the drug in ENACT-2 (N=168) remained stable, while those re
randomized to placebo (N=171) worsened. At week 60, 48 wk after the initiation of
maintenance therapy, the mean change from ENACT-1 baseline of all scales of the
IBDQ and the SF-36 was significantly higher for those who continued to receive
natalizumab (P<0.001 for all scales). The scores of patients who received
maintenance natalizumab treatment were not statistically different from those of
a cross-section of the U.S. population for 6 of 8 scales of the SF-36.
CONCLUSIONS: The substantial improvement in HRQoL experienced by patients who
responded to natalizumab induction therapy was maintained during an additional 48
wk of maintenance therapy.
PMID- 18042107
TI - Towards a nondualistic approach to multisystem illness.
AB - There is an increasing interest in understanding the etiology and treatment of
patients who present with multiple chronic symptoms. The thesis of this comment
is that the understanding of these problems and progress on effective treatment
will be greatly enhanced by emerging new models that go beyond dualistic
distinctions like those between organic versus functional disorders and
psychological versus physiological causation. The outline of this new model
includes a focus on common neurobiological and behavioral mechanisms that operate
across disorders including altered pain modulation, affect regulation, and
illness coping. Exciting new areas of research include functional and structural
brain imaging studies and more recent studies linking imaging with genetic
markers, behavior, and autonomic responses. These new studies, carried out across
illness populations, hold great promise to tie together the data on psychosocial,
genetic, and biological mechanisms of these complicated clinical problems.
PMID- 18042108
TI - The changing face of Clostridium difficile: what treatment options remain?
AB - In this issue of the Journal, an article by Pepin et al. documents the shifting
sands of the modern-day Clostridium difficile epidemic as seen in Quebec. Pepin
and coauthors' observation that the superior activity of vancomycin over
metronidazole has been lost since the emergence there of the hypervirulent strain
NAP1/027 in 2003 has implications for the future treatment of C. difficile
associated diarrhea (CDAD). This editorial explores these, particularly in
complicated cases and high-risk populations.
PMID- 18042110
TI - Methotrexate following unsuccessful thiopurine therapy in pediatric Crohn's
disease.
AB - BACKGROUND: The thiopurines, azathioprine and 6-mercaptopurine, are traditional
first-line immunomodulatory agents in adult and pediatric Crohn's disease, but
the comparative efficacy and safety of methotrexate have seldom been examined. We
report outcomes with methotrexate treatment in pediatric patients previously
refractory to or intolerant of thiopurines. METHODS: In a four-center,
retrospective cohort study, efficacy of methotrexate in maintaining remission was
assessed by PCDAI measurements, steroid use, and height velocity. Patients served
as their own historical controls. Multivariable analysis controlled for route of
methotrexate administration, reason for thiopurine discontinuation, baseline
disease activity, and disease duration. RESULTS: Forty-two percent of 60 children
treated with methotrexate were in clinical remission without steroids at both 6
and 12 months. A strong steroid sparing effect was observed compared with the
year prior to methotrexate (P<0.001). Success rates were similar in previously
thiopurine-intolerant and refractory patients. Height velocity increased from
1.9 SDS to -0.14 SDS (P=0.004) in the year following therapy. In a median 3-yr
follow-up, a third of the patients did not require escalation of therapy; the
others required step-up therapy with infliximab or surgery. Eight children (13%)
stopped methotrexate due to adverse events, including, most commonly, elevated
liver enzymes, and one serious episode of sepsis. CONCLUSION: Methotrexate
appears effective in maintaining remission in pediatric Crohn's disease, when
thiopurines have failed. Consideration should be given to its use earlier in
pediatric treatment algorithms.
PMID- 18042112
TI - Cyclic vomiting syndrome: what a gastroenterologist needs to know.
AB - BACKGROUND: CVS (cyclic vomiting syndrome) is a functional disorder that may
occur in any age group of patients. In the past the disorder was considered to be
fairly uncommon of unknown etiology. Treatment has generally been based on
anecdotal reports. METHODS: Literature review was performed based on
internet/Medline search as of April 2006. RESULTS: CVS is much more common than
previously thought, especially in adults and is commonly associated with migraine
headaches and sharing many of its characteristic features. Genetic association
with mitochondrial DNA has been recognized with CVS. Prompt diagnosis is
essential in order to prevent the significant morbidity associated with CVS. It
is mandatory to rule out certain life-threatening disorders that can mimic CVS.
Treatment options are based on the particular phase of the syndrome in which a
patient is in and carried out in a systematic manner. CONCLUSION: Expert
consensus is that CVS is a distinct disorder and is a part of the migraine
spectrum (migraine headaches, CVS, and abdominal migraines). Once patients are
properly diagnosed, treatment is highly effective. Because of renewed interest in
CVS since the 1990's, many more patients in all age groups are being recognized
who suffer from this disabling disorder. An increase in basic science and
clinical research in CVS will hopefully lead to better patient outcomes.
PMID- 18042114
TI - Banding ligation versus beta-blockers as primary prophylaxis in esophageal
varices: systematic review of randomized trials.
AB - OBJECTIVE: To compare banding ligation versus beta-blockers as primary
prophylaxis in patients with esophageal varices and no previous bleeding.
METHODS: Randomized trials were identified through electronic databases,
reference lists in relevant articles, and correspondence with experts. Three
authors extracted data. Random effects meta-analysis and metaregression were
performed. The reported allocation sequence generation and concealment were
extracted as measures of bias control. RESULTS: The initial searches identified
1,174 references. Sixteen trials were included. In 15 trials, patients had high
risk varices. Three trials reported adequate bias control. All trials reported
mortality for banding ligation (116/573 patients) and beta-blockers (115/594
patients). Mortality in the two treatment groups was not significantly different
in the trials with adequate bias control (relative risk 1.22, 95% CI 0.84-1.78)
or unclear bias control (RR 1.02, 95% CI 0.75-1.39). Trials with adequate bias
control found no significant difference in bleeding rates (RR 0.86, 95% CI 0.55
1.35). Trials with unclear bias control found that banding ligation significantly
reduced bleeding (RR 0.56, 95% CI 0.41-0.77). Both treatments were associated
with adverse events. In metaregression analyses, the estimated effect of ligation
was significantly more positive if trials were published as abstracts. Likewise,
the shorter the follow-up, the more positive the estimated effect of ligation.
CONCLUSIONS: Banding ligation and beta-blockers may be used as primary
prophylaxis in high-risk esophageal varices. The estimated effect of banding
ligation in some trials may be biased and was associated with the duration of
follow-up. Further high-quality trials are still needed.
PMID- 18042116
TI - Post-sphincterotomy bleeding: who, what, when, and how.
AB - Endoscopic biliary sphincterotomy (ES) is the cornerstone of therapeutic
endoscopic retrograde cholangiopancreatography (ERCP). Bleeding is one of the
most frequent complications following ES. Rates of post-ES bleeding vary widely
and its presentation may be immediate (intraprocedural) or several days later.
Clinically, bleeding can range from insignificant to life threatening. Most
bleeding episodes are managed successfully by conservative measures with or
without endoscopic therapy. Endoscopic treatment options include injection,
thermal, and mechanical methods-alone or in combination. For refractory cases,
angiographic embolization, or surgery, is necessary. Both technical risk factors
and patient risk factors contribute to the development of post-ES bleeding. When
these risk factors are present, measures can be taken to reduce the risk of
bleeding. In this manuscript the literature on post-ES bleeding is reviewed.
PMID- 18042117
TI - ERCP in acute biliary pancreatitis: the moor has done his duty, the moor may go.
PMID- 18042119
TI - Improving transparency in medical journals.
PMID- 18042120
TI - New modification of double-balloon endoscopy to reduce complications.
PMID- 18042121
TI - Metastatic leiomyosarcoma diagnosed on routine screening colonoscopy.
PMID- 18042122
TI - Acute lower gastrointestinal bleeding after ingestion of blister-wrapped tablet.
PMID- 18042123
TI - Another case of severe, chronically symptomatic, nonhealing gastroduodenal injury
after radioembolization of hepatic tumor.
PMID- 18042124
TI - Coexistence of pyoderma gangrenosum and Sweet's syndrome in a patient with
ulcerative colitis.
PMID- 18042127
TI - Clinical practice algorithm: medication management to reduce fall risk in the
elderly. Part 1.
PMID- 18042128
TI - Preparation for negotiating scope of practice for acute care nurse practitioners.
PMID- 18042129
TI - Benefits of hormone replacement therapy in postmenopausal women.
AB - PURPOSE: To provide an overview of current research regarding hormone replacement
therapy (HRT) and to assist healthcare providers to better educate patients about
potential benefits of this therapy. DATA SOURCES: A systematic review of
healthcare literature was conducted with 602 articles selected from CINAHL,
Medscape, Pubmed, and Medline databases. Keywords directing the search included
hormone replacement therapy, benefits of hormone replacement therapy and trends,
hormone replacement therapy and osteoporosis, hormone replacement, and menopause
symptoms. CONCLUSIONS: According to the literature, HRT can assist women with
postmenopausal symptoms. In addition, research shows that HRT can help some
postmenopausal women with selected comorbid conditions such as osteoporosis, type
II diabetes, certain cardiovascular pathologies, and colorectal cancer. The
decision as to who should use any form of HRT needs to be based on the individual
woman's needs, quality of life, and potential risks versus benefits. IMPLICATIONS
FOR PRACTICE: HRT has been a benefit to many women in the treatment of
postmenopausal symptoms. Recent studies have shown that HRT, whether it is
combined estrogen and progestin therapy, or estrogen-only therapy, can help
postmenopausal women with osteoporosis and some selected comorbid conditions.
Recent research indicates that some women are dying from comorbid conditions
rather than breast cancer. Although the research regarding HRT in some areas may
be limited, further research adds to existing knowledge and offers new ideas and
possibilities in the treatment of postmenopausal symptoms and selected comorbid
conditions. Certainly HRT can improve quality of life and possibly longevity for
selected women. Ongoing research is needed to further validate such benefits, as
well as to further explore the risks and benefits of long-term HRT. Increased
knowledge about HRT will help healthcare providers better educate patients about
the potential benefits of HRT, while providing documentation about who should
take selected types of HRT or whether alternative treatment is preferred.
PMID- 18042130
TI - Suboptimal vitamin D status is a highly prevalent but treatable condition in both
hospitalized patients and the general population.
AB - PURPOSE: To heighten awareness of the problems related to the high prevalence of
suboptimal vitamin D status in hospitalized patients and the general population,
including an overview of vitamin D biology, how vitamin D status is defined, the
negative health issues associated with suboptimal vitamin D status, indications
for treatment, treatment strategies, and controversies in the field. DATA
SOURCES: (a) Literature review was performed using PubMed and CINAHL databases to
locate and review medical, nursing, and nutritional journals. (b) Authors' recent
prospective studies of 100 patients in a general tertiary hospital rehabilitation
unit and 51 nonhospitalized volunteers. CONCLUSION: Poor vitamin D status
(ranging from suboptimal to overt deficiency) is common in both hospitalized
patients and ostensibly healthy individuals of all ages and geographic latitude.
Suboptimal vitamin D status is associated with muscle weakness, functional
deficits, and perhaps longer length of stay of hospitalized patients. Predictors
of vitamin D status include race, poor nutrition, advanced age, use of
multivitamins, ultraviolet light exposure, and grip strength. Fortunately,
treatment with 50,000 IU of vitamin D(2) for several weeks is a very inexpensive
and safe yet effective treatment to replete vitamin D status. IMPLICATIONS FOR
PRACTICE: NPs should be aware of the indications for monitoring vitamin D status
and the appropriate treatment for suboptimal vitamin D status. Improving vitamin
D status may improve a patient's functional ability, therefore decreasing falls
and preventing fractures, decreasing length of stay in the hospital, and
decreasing the cost of health care. Providers can potentially improve the life of
older adults by educating patients on the importance of vitamin D
supplementation.
PMID- 18042131
TI - A qualitative analysis of how advanced practice nurses use clinical decision
support systems.
AB - PURPOSE: The purpose of this study was to generate a grounded theory that will
reflect the experiences of advanced practice nurses (APNs) working as critical
care nurse practitioners (NPs) and clinical nurse specialists (CNS) with computer
based decision-making systems. DATA SOURCES: A study design using grounded theory
qualitative research methods and convenience sampling was employed in this study.
Twenty-three APNs (13 CNS and 10 NPs) were recruited from 16 critical care units
located in six large urban medical centers in the U.S. Midwest. Single-structured
in-depth interviews with open-ended audio-taped questions were conducted with
each APN. Through this process, APNs defined what they consider to be relevant
themes and patterns of clinical decision system use in their critical care
practices, and they identified the interrelatedness of the conceptual categories
that emerged from the results. Data were analyzed using the constant comparative
analysis method of qualitative research. CONCLUSIONS: APN participants were
predominantly female, white/non-Hispanic, had a history of access to the clinical
decision system used in their critical care settings for an average of 14 months,
and had attended a formal training program to learn how to use clinical decision
systems. "Forecasting decision outcomes," which was defined as the voluntary
process employed to forecast the outcomes of patient care decisions in critical
care prior to actual decision making, was the core variable describing system use
that emerged from the responses. This variable consisted of four user constructs
or components: (a) users' perceptions of their initial system learning
experience, (b) users' sense of how well they understand how system technology
works, (c) users' understanding of how system inferences are created or derived,
and (d) users' relative trust of system-derived data. Each of these categories
was further described through the grounded theory research process, and the
relationships between the categories were identified. IMPLICATIONS FOR PRACTICE:
The findings of this study suggest that the main reason critical care APNs choose
to integrate clinical decision systems into their practices is to provide an
objective, scientifically derived, technology-based backup for human forecasting
of the outcomes of patient care decisions prior to their actual decision making.
Implications for nursing, health care, and technology research are presented.
PMID- 18042132
TI - Florida nurse practitioners' attitudes and practices regarding oral cancer
prevention and early detection.
AB - PURPOSE: To examine Florida nurse practitioners' (NPs') attitudes and practices
regarding oral cancer prevention and early detection. DATA SOURCE: A statewide
mail survey was conducted among Florida NPs who provided primary care. The
questionnaire was adapted from an existing survey instrument used to measure NPs'
knowledge, attitudes, and practices about oral cancer prevention and early
detection. A total of 448 Florida NPs (33% response rate) completed the survey.
CONCLUSIONS: Florida NPs reportedly were not well prepared for oral cancer
prevention and early detection. Although most NPs realized the importance of
annual oral cancer screening for high-risk populations and held positive
attitudes toward the benefit of early detection, only 39.3% of respondents
thought his or her knowledge about oral cancer was current and more than half had
never provided oral cancer examinations. IMPLICATIONS FOR PRACTICE: Florida has
among the nation's highest oral cancer rates, but persons at highest risk are
among those least likely to see a dentist. Therefore, a multidisciplinary
approach involving all relevant healthcare providers, including NPs, may be more
effective than relying only on dentists' efforts in improving survival rates for
oral cancer. Strategies are needed to increase the involvement of Florida's NPs
in oral cancer detection.
PMID- 18042133
TI - Nurse Practitioner Corporate Partner Council.
PMID- 18042134
TI - Axonal Guillain-Barre syndrome: carbohydrate mimicry and pathophysiology.
AB - Acute motor axonal neuropathy (AMAN), an axonal subtype of Guillain-Barre
syndrome (GBS), is characterized by pure motor involvement, frequent antecedent
infection by Campylobacter jejuni, association with anti-GM1 or anti-GD1a
immunoglobulin G (IgG) antibodies, and the electrophysiological features of
axonal degeneration and reversible conduction block. Molecular mimicry exists
between GM1 and GD1a gangliosides and lipooligosaccharides (LOSs) of C. jejuni
isolates from AMAN. Sensitization of rabbits with GM1 or C. jejuni LOS induces
anti-GM1 IgG antibodies and subsequent flaccid paralysis. Pathological changes
seen in rabbit model peripheral nerves are identical to those in human AMAN.
Immunohistochemistry of AMAN rabbits shows disruption of nodal sodium channel
clusters and detachment of paranodal myelin terminal loops, similar to paranodal
demyelination, which would significantly reduce the safety factor for impulse
transmission and might be responsible for the rapidly reversible conduction block
frequently present in human AMAN. C. jejuni sialyltransferase (Cst-II), which
functions in the biosynthesis of ganglioside-like LOSs, determines the
transferase activity. Strains with cst-II (Thr51) express GM1 and GD1a epitopes,
whereas GBS patients infected with cst-II (Thr51) strains have anti-GM1 or anti
GD1a IgG antibodies. The cst-II gene is responsible for the development of GBS.
Immunological, pathological, electrophysiological, and bacteriological studies
have provided strong evidence of carbohydrate mimicry being a cause of AMAN and
clarified the mechanisms of nerve conduction failure in AMAN.
PMID- 18042135
TI - Selective changes in nocifensive behavior despite normal cutaneous axon
innervation in leptin receptor-null mutant (db/db) mice.
AB - Much of our understanding of the effects of diabetes on the peripheral nervous
system is derived from models induced by streptozotocin in which hyperglycemia is
rapidly caused by pancreatic beta-cell destruction. Here, we have quantified
sensory impairments over time in leptin receptor (lepr)-null mutant -/- mice, a
type 2 model of diabetes in which the absence of leptin receptor signaling leads
to obesity and chronic hyperglycemia by 4 weeks of age. To assess these mice as a
model for peripheral neuropathy, we quantified the responsiveness of lepr -/-
mice to mechanical, thermal, and chemogenic stimuli, as well as epidermal and
dermal innervation of the hind paw. Compared with wild-type +/+ and heterozygous
+/- mice, lepr -/- mice displayed reduced sensitivity to mechanical stimuli by 6
weeks of age, and however, responses to noxious heat were normal. Lepr -/- mice
also devoted less activity to their injected paw during the second phase
following formalin administration. However, epidermal and dermal innervation of
lepr -/- mice was not different from that of lepr +/+ and +/- mice even after 10
weeks of hyperglycemia, suggesting that cutaneous innervation is resistant to
chronic hyperglycemia in these mice. These results suggest that certain rodent
nocifensive behaviors may be linked to the abundance of cutaneous innervation,
while others are not. Finally, these results reveal that the lepr -/- mice may
not be useful to study neuropathy associated with distal axonal degeneration but
may be better suited for studies of hyperglycemia-induced sensory neuron
dysfunction without distal nerve loss.
PMID- 18042136
TI - Diabetes does not accelerate neuronal loss following nerve injury.
AB - To determine the resistance of neuronal dorsal root ganglion (DRG) cells in
experimental diabetes, we studied the neuronal cell loss after severe axonal
injury in streptozotocin (STZ) diabetic rats with unilateral transection of the
L5 spinal nerve for 12 weeks. Fifty 18-week-old inbred male Wistar rats were
randomly allocated to three study groups. In study group 1 without spinal nerve
injury, STZ diabetes was induced in 9 and 10 rats were kept as nondiabetic
controls. In study group 2, spinal nerve injury was performed in 10 diabetic rats
and in 10 nondiabetic controls. In study group 3, six nondiabetic control rats at
18 weeks and five nondiabetic control rats at 30 weeks were included to determine
whether DRG cell changes occur without nerve injury during the study period. In
group 1, the stereologically determined number of all neuronal DRG cells was
unchanged after 12 weeks of diabetes. The mean perikaryal volume of neuronal DRG
cells of the A and B subtypes was reduced by 10% each (p < 0.05). In group 2,
spinal nerve injury led to neuronal cell loss, chromatolysis, and perikaryal
shrinkage but without any acceleration of cell loss after 12 weeks of diabetes.
In group 3, there were no changes indicating that the reduction of perikaryal
volume in diabetic rats without nerve injury represents shrinkage. We conclude
that neuronal DRG cells in rats are resistant to diabetes per se and that
addition of diabetes for 12 weeks to spinal nerve injury does not further
accelerate the cell loss.
PMID- 18042137
TI - Interleukin-10 reduces scarring and enhances regeneration at a site of sciatic
nerve repair.
AB - Axonal regeneration at a site of peripheral nerve repair can be impeded by the
formation of scar tissue, which creates a mechanical barrier and initiates the
development of multiple branched axonal sprouts that form a neuroma. We have
investigated the hypothesis that the application of a scar-reducing agent to the
nerve repair site would permit better axonal regeneration. In anaesthetised C57
Black-6 mice, the left sciatic nerve was sectioned and immediately re
approximated using four epineurial sutures. In five groups of eight mice, we
injected transforming growth factor-beta3 (50 or 500 ng), interleukin-10 (IL-10)
(125 or 500 ng), or saline into and around the repair site, both before and after
the nerve section. Another group of eight animals acted as sham-operated
controls. After 6 weeks, the outcome was assessed by recording compound action
potentials (CAPs), measuring collagen levels using picrosirius red staining, and
counting the number of myelinated axons proximal and distal to the repair. CAPs
evoked by electrical stimulation distal to the repair were significantly smaller
in all repair groups except for the low-dose IL-10 group, where they were not
significantly different from that in controls. The area of staining for collagen
had significantly increased in all repair groups except for the low-dose IL-10
group, which was not significantly different from that in controls. The
myelinated fibre counts were always higher distal to the repair site, but there
were no significant differences between groups. We conclude that administration
of a low-dose of IL-10 to a site of sciatic nerve repair reduces scar formation
and permits better regeneration of the damaged axons.
PMID- 18042138
TI - Modulation of intracellular calcium influences capsaicin-induced currents of TRPV
1 and voltage-activated channel currents in nociceptive neurones.
AB - Modulation of intracellular calcium ([Ca2+](i)) has a major impact on processing
of nociceptive signals. While activation of the transient receptor potential
vanilloid-1 (TRPV-1) receptor/channel complex increases [Ca2+](i) by Ca2+ entry
from the extracellular space, as well as by Ca2+ release from intracellular
stores, the Ca2+ entry through voltage-activated calcium channels (VACCs) is
modulated simultaneously. To clarify the relations between [Ca2+](i) and the
activation of TRPV-1 receptor and VACC currents [I(TRPV-1) and I(Ca(V))], we
performed voltage clamp experiments using Ba2+ as well as Ca2+ as a charge
carrier. The TRPV-1 receptor was activated by the application of 0.5 microM
capsaicin, and the currents through TRPV-1 and VACC [I(TRPV-1) and I(Ca(V))] were
measured either when Ca2+ release from intracellular stores was pharmacologically
promoted or prevented. With Ba2+ as the divalent charge carrier, capsaicin (0.5
microM) reduced I(Ca(V)) (elicited by a depolarization to 0 mV) to 52.7 +/- 4.5%
of baseline, and the elicited current through the TRPV-1 receptor/channel complex
was 6.6 +/- 0.9% [relative to peak I(Ca(V))]. These currents were significantly
different when Ca2+ was used as charge carrier: the I(Ca(V)) reductions were
decreased to 17.8 +/- 5.9% of baseline, while the I(TRPV-1) was as high as 57.1
+/- 9.1% of I(Ca(V)). Increases of [Ca2+](i) by releasing Ca2+ from intracellular
stores (using caffeine, 10 mM) before the application of capsaicin increased the
I(TRPV-1) (14.1 +/- 7%), while the I(Ca(V)) was decreased to 51.6 +/- 4.9%
compared with control. A preexperimental partial reduction of the Ca2+ release
from the stores by dantrolene (5 microM) resulted in less pronounced effects
[24.5 +/- 8.8%, relative to peak I(Ca(V))] for I(TRPV-1), and a reduction to 35.4
+/- 3% of baseline for I(Ca(V)) after capsaicin application.
PMID- 18042139
TI - Incidence of Guillain-Barre syndrome in Germany.
PMID- 18042140
TI - Hemorrhagic polyneuropathy in idiopathic thrombocytopenic purpura.
PMID- 18042141
TI - Transient hypertrichosis in a patient with Guillain-Barre syndrome.
PMID- 18042142
TI - Cancer genomics.
AB - Almost all cells in the human body contain a complete copy of the genome with an
estimated number of 25,000 genes. The sequences of these genes make up about
three percent of the genome and comprise the inherited set of genetic
information. The genome also contains information that determines when and where
in the organism a given gene is active. This is the epigenetic information.
Genomics is the study of DNA sequences and the epigenetic information of gene
regulation.
PMID- 18042143
TI - Epigenetic changes in cancer.
AB - A cancer develops when a cell acquires specific growth advantages through the
stepwise accumulation of heritable changes in gene function. Basically, this
process is directed by changes in two different classes of genes: Tumor
suppressor genes that inhibit cell growth and survival and oncogenes that promote
cell growth and survival. Since several alterations are usually required for a
cancer to fully develop, the malignant phenotype is determined by the compound
status of tumor suppressor genes and oncogenes. Cancer genes may be changed by
several mechanisms, which potentially alter the protein encoding nucleotide
template, change the copy number of genes, or lead to increased gene
transcription. Epigenetic alterations, which, by definition, comprise mitotically
and meiotically heritable changes in gene expression that are not caused by
changes in the primary DNA sequence, are increasingly being recognized for their
roles in carcinogenesis. These epigenetic alterations may involve covalent
modifications of amino acid residues in the histones around which the DNA is
wrapped, and changes in the methylation status of cytosine bases (C) in the
context of CpG dinucleotides within the DNA itself. Methylation of clusters of
CpGs called "CpG-islands" in the promoters of genes has been associated with
heritable gene silencing. The present review will focus on how disruption of the
epigenome can contribute to cancer. In contrast to genetic alterations, gene
silencing by epigenetic modifications is potentially reversible. Treatment by
agents that inhibit cytosine methylation and histone deacetylation can initiate
chromatin decondensation, demethylation and reestablishment of gene
transcription. Accordingly, in the clinical setting, DNA methylation and histone
modifications are very attractive targets for the development and implementation
of new therapeutic approaches. Many clinical trials are ongoing, and epigenetic
therapy has recently been approved by the United States Food and Drug
Administration (US FDA) for use in the treatment of myelodysplastic syndrome
(MDS) and primary cutaneous T-cell lymphoma (CTCL).
PMID- 18042144
TI - Chromatin-modifying proteins in cancer.
AB - Chromatin-modifying proteins mold the genome into areas that are accessible for
transcriptional activity and areas that are transcriptionally silent. This
epigenetic gene regulation allows for different transcriptional programs to be
conducted in different cell types at different timepoints-despite the fact that
all cells in the organism contain the same genetic information. A large amount of
data gathered over the last decades has demonstrated that deregulation of
chromatin-modifying proteins is etiologically involved in the development and
progression of cancer. Here we discuss how epigenetic alterations influence
cancer development and review known cancer-associated alterations in chromatin
modifying proteins.
PMID- 18042145
TI - MicroRNAs and cancer.
AB - MicroRNAs (miRNAs) are a recently discovered group of small RNA molecules
involved in the regulation of gene expression. Analogously to mRNAs, the non
protein-encoding pri-miRNAs are synthesized by RNA polymerase II and post
transcriptionally modified by addition of a 5'-cap and a 3'-poly (A) tail.
Subsequently, the pri-miRNA undergoes a number of processing steps in the nucleus
and cytoplasm, and ends up as a mature approximately 22 nt miRNA, which can exert
its function by binding to the 3'-untranslated region of a subset of mRNAs.
Binding of the miRNA to the mRNA results in a reduced translation rate and/or
increased degradation of the mRNA. In this way a large number of cellular
pathways, such as cellular proliferation, differentiation, and apoptosis, are
regulated by mi-RNAs. As corruption of these pathways is the hallmark of many
cancers, dysregulation of miRNA biogenesis or expression levels may lead to
tumorigenesis. The mechanisms that alter the expression of miRNAs are similar to
those that change the expression levels of mRNAs of tumor suppressor- and
oncogenes, i.e. gross genomic aberrations, epigenetic changes, and minor
mutations affecting the expression level, processing, or target-interaction
potential of the miRNA. Furthermore, expression profiling of miRNAs has been
found to be useful for classification of different tumor types. Taken together,
miRNAs can be classified as onco-miRs or tumor suppressor-miRs, and may turn out
to be potential targets for cancer therapy.
PMID- 18042146
TI - Combining array-based approaches for the identification of candidate tumor
suppressor loci in mature lymphoid neoplasms.
AB - Tumor suppressor gene (TSG) inactivation by chromosomal deletions and/or
mutations is a well-characterized genetic alteration in lymphomas. Array-based
technologies have greatly increased the detection and characterization of
chromosomal imbalances and regions with loss of heterozygosity (LOH), leading to
the identification of a number of novel candidate TSG loci. In addition,
microarray platforms for studying DNA methylation and histone modifications
enable identifying epigenetic changes affecting gene expression of TSG. Combining
these microarray technologies with gene expression profiling is a promising
strategy to discover novel TSG in regions targeted by genetic or epigenetic
alterations. In this review we present an outline of methodological aspects of
the various microarray technologies, and discuss their potentials and
restrictions. Furthermore, we survey research findings derived from these high
throughput techniques, which are allowing a deeper insight into the mechanisms of
lymphomagenesis.
PMID- 18042147
TI - Diagnostic and prognostic significance of gene expression profiling in lymphomas.
AB - Gene expression profiling is a powerful tool to uncover complex molecular
networks in cancer and, specifically, in malignant lymphomas. Within diffuse
large B-cell lymphomas (DLBCL), two major molecular subtypes, the activated B
cell-like (ABC) and the germinal center B-cell-like (GCB) DLBCL, can be defined.
Compared to GCB DLBCL, ABC DLBCL shows a vast difference in gene expression and
constitutively expresses NFkappaB and its target genes. In retrospective
analyses, the molecular phenotype of ABC DLBCL is associated with inferior
survival. Gene expression profiling furthermore allows the molecular separation
of Burkitt lymphoma (BL) from DLBCL and reveals a Burkitt-specific signature
which is also expressed by a subset of tumors that are currently classified as
DLBCL. Whether patients with a DLBCL displaying a Burkitt-specific gene
expression signature may benefit from alternative therapeutic approaches will
have to be determined in future prospective clinical trials. In follicular
lymphoma (FL), two outcome-related signatures, termed Immune response 1 (IR1) and
Immune response 2 (IR2), have been identified by gene expression profiling,
indicating a significant role of the microenvironment in tumor development and
progression. IR1, composed of genes mostly expressed by T-cells, was found to be
associated with a more favorable clinical course, and IR2, enriched for genes
expressed by macrophages and follicular dendritic cells, was found to be
associated with an inferior clinical course. In mantle cell lymphoma (MCL), a
gene expression-based proliferation signature of 20 different genes was
identified that is able to predict survival of MCL patients in a linear fashion.
Future efforts will have to be directed towards the translation of relevant
molecular diagnostic and prognostic markers derived from the wealth of gene
expression data into clinical tests and towards the development of novel,
targeted therapies.
PMID- 18042148
TI - The epigenome of testicular germ cell tumors.
AB - Gene expression is tightly regulated in normal cells, and epigenetic changes
disturbing this regulation are a common mechanism in the development of cancer.
Testicular germ cell tumor (TGCT) is the most common malignancy among young males
and can be classified into two main histological subgroups: seminomas, which are
basically devoid of DNA methylation, and nonseminomas, which in general have
methylation levels comparable with other tumor tissues, as shown by restriction
landmark genome scanning (RLGS). In general, DNA methylation seems to increase
with differentiation, and among the nonseminomas, the pluripotent and
undifferentiated embryonal carcinomas harbor the lowest levels of DNA promoter
hypermethylation, whereas the well-differentiated teratomas display the highest.
In this regard, TGCTs resemble the early embryogenesis. So far, only a limited
number of tumor suppressor genes have been shown to be inactivated by DNA
promoter hypermethylation in more than a minor percentage of TGCTs, including
MGMT, SCGB3A1, RASSF1A, HIC1, and PRSS21. In addition, imprinting defects, DNA
hypomethylation of testis/cancer associated genes, and the presence of
unmethylated XIST are frequent in TGCTs. Aberrant DNA methylation has the
potential to improve current diagnostics by noninvasive testing and might also
serve as a prognostic marker for treatment response.
PMID- 18042149
TI - The genome and epigenome of malignant melanoma.
AB - Malignant melanoma originates in melanocytes, the pigment-producing cells of the
skin and eye, and is one of the most deadly human cancers with no effective cure
for metastatic disease. Like many other cancers, melanoma has both environmental
and genetic components. For more than 20 years, the melanoma genome has been
subject to extensive scrutiny, which has led to the identification of several
genes that contribute to melanoma genesis and progression. Three molecular
pathways have been found to be nearly invariably dysregulated in melanocytic
tumors, including the RAS-RAF-MEK-ERK pathway (through mutation of BRAF, NRAS or
KIT), the p16 INK4A-CDK4-RB pathway (through mutation of INK4A or CDK4) and the
ARF-p53 pathway (through mutation of ARF or TP53). Less frequently targeted
pathways include the PI3K-AKT pathway (through mutation of NRAS, PTEN or PIK3CA)
and the canonical Wnt signaling pathway (through mutation of CTNNB1 or APC).
Beyond the specific and well-characterized genetic events leading to activation
of proto-oncogenes or inactivation of tumor suppressor genes in these pathways,
systematic high-resolution genomic analysis of melanoma specimens has revealed
recurrent DNA copy number aberrations as well as perturbations of DNA methylation
patterns. Melanoma provides one of the best examples of how genomic analysis can
lead to a better understanding of tumor biology. We review current knowledge of
the genes involved in the development of melanoma and the molecular pathways in
which these genes operate.
PMID- 18042150
TI - Expression, phosphorylation, and mRNA-binding of heterogeneous nuclear
ribonucleoprotein K in Xenopus oocytes, eggs, and early embryos.
AB - Here we show that heterogeneous nuclear ribonucleoprotein K (hnRNP K), a member
of the K homology domain-containing proteins, is expressed in Xenopus immature
oocytes, unfertilized eggs, and early embryos. Fertilization or egg activation
treatment involving upregulation of the egg tyrosine kinase Src promotes a rapid
and transient tyrosine phosphorylation of hnRNP K. HnRNP K is also phosphorylated
on serine/threonine residues in unfertilized eggs, dephosphorylated after
fertilization, and re-phosphorylated during the premitotic phase of early
embryogenesis. In vitro, Src and mitogen-activated protein kinase (MAPK) were
capable of phosphorylating hnRNP K on tyrosine and serine/threonine residues,
respectively. In support of this, pretreatment of oocytes, eggs, or embryos with
inhibitors for Src (PP2) and MAPK (U0126) blocked effectively the phosphorylation
of hnRNP K. We also identify some maternal mRNAs that coimmunoprecipitate with
hnRNP K in unfertilized eggs. Specific binding of these mRNAs to hnRNP K was
verified by reverse transcriptase-polymerase chain reaction (RT-PCR). In
addition, real-time PCR analyses revealed a subset of the mRNAs whose binding to
hnRNP K might be up or downregulated in activated eggs. In vitro binding assay
with the use of poly U monopolymeric RNA-coupled beads demonstrated that the RNA
binding property of hnRNP K is negatively regulated by tyrosine phosphorylation
and positively or neutrally regulated by serine/threonine phosphorylation. Taken
together, it is attractive to suggest that hnRNP K is in association with certain
pools of maternal mRNAs whose translational activation are modulated by the
Src/MAPK phosphorylation of hnRNP K during oocyte-egg-embryo transition.
PMID- 18042151
TI - Branding the seal branders: what does the research say about seal branding?
PMID- 18042152
TI - Investigator responsibilities and animal welfare issues raised by hot branding of
pinnipeds.
PMID- 18042153
TI - Hot iron branding of seals and sea lions: why the ban will remain.
PMID- 18042154
TI - Comparison of radioimmunoassay and chemiluminescent assay methods to estimate
canine blood cortisol concentrations.
AB - BACKGROUND: Non-radioactive assay methods are widely used in commercial
laboratories to measure canine blood cortisol concentrations, despite a paucity
of published validity data of these tests compared with the traditional 'gold
standard' radioimmunoassay. OBJECTIVES: To compare a commercial chemiluminescence
assay with radioimmunoassay for blood cortisol measurement, determine the effect
of storage on the radioimmunoassay, and determine the impact of any differences
on clinical decisions. METHODS: The study included 54 client owned dogs
undergoing adrenal function testing. Fresh plasma or serum samples (n=170) were
assayed for cortisol using radioimmunoassay (RIA1). Samples (n=196) were also
frozen and stored in batches, and assayed by chemiluminescence and
radioimmunoassay (RIA2). RESULTS: Overall, there was a strong correlation
(r2=0.967, P<0.001) between RIA2 and chemiluminescence concentrations without
significant difference between means. Strong correlations were present for RIA2
and chemiluminescence at concentration subgroups of >400 nmol/L (r2=0.869,
P<0.001), <100 nmol/L (r2=0.790, P<0.001), and <40 nmol/L (r2=0.738, P<0.001).
Significant differences between means were present for RIA2 and chemiluminescence
concentrations in the <100 nmol/L, and <40 nmol/L (P<0.001) groups. Despite a
significant difference in RIA1 and RIA2 results overall, there was no significant
difference between RIA1 and RIA2 for any of the concentration groups. In seven
cases, discrepant RIA2 and chemiluminescence results may have altered clinical
decisions. CONCLUSIONS: Although RIA and chemiluminescence cortisol
concentrations appear highly correlated, a significant difference may exist for
concentrations less than 100 nmol/L in stored canine sera. Results of
chemiluminescence cortisol assays should be interpreted with caution unless the
specific assay method in the laboratory has been adequately validated in dogs.
PMID- 18042156
TI - Recovery of a dog from aplastic anaemia after treatment with mycophenolate
mofetil.
PMID- 18042157
TI - Salmonella and on-farm risk factors in healthy slaughter-age cattle and sheep in
eastern Australia.
AB - OBJECTIVE: To examine healthy slaughter-age cattle and sheep on-farm for the
excretion of Salmonella serovars in faeces and to identify possible risk factors
using a questionnaire. PROCEDURE: The study involved 215 herds and flocks in the
four eastern states of Australia, 56 with prior history of salmonellosis.
Production systems examined included pasture beef cattle, feedlot beef cattle,
dairy cattle, prime lambs and mutton sheep and animals were all at slaughter age.
From each herd or flock, 25 animals were sampled and the samples pooled for
Salmonella culture. All Salmonella isolated were serotyped and any Salmonella
Typhimurium isolates were phage typed. Questionnaires on each production system,
prepared in Epi Info 6.04, were designed to identify risk factors associated with
Salmonella spp excretion, with separate questionnaires designed for each
production system. RESULTS: Salmonellae were identified in all production systems
and were more commonly isolated from dairies and beef feedlots than other
systems. Statistical analysis revealed that dairy cattle were significantly more
likely to shed Salmonella in faeces than pasture beef cattle, mutton sheep and
prime lambs (P<0.05). A wide diversity of Salmonella serovars, all of which have
been isolated from humans in Australia, was identified in both cattle and sheep.
Analysis of the questionnaires showed access to new arrivals was a significant
risk factor for Salmonella excretion on dairy properties. For beef feedlots, the
presence of large numbers of flies in the feedlot pens or around stored manure
were significant risk factors for Salmonella excretion. CONCLUSION: Dairy cattle
pose the highest risk of all the slaughter-age animals tested. Some of the
identified risk factors can be overcome by improved management practices,
especially in relation to hygiene.
PMID- 18042158
TI - Minimal inhibitory concentration of tilmicosin against isolates of Histophilus
somni from Australian cattle.
PMID- 18042160
TI - Cyanide poisoning in cattle from Dysphania glomulifera (red crumbweed): using the
internet for rapid plant identification and diagnostic advice.
AB - A 300-strong Angus-Brahman cattle herd near Springsure, central Queensland, was
being fed Acacia shirleyi (lancewood) browse during drought and crossed a 5
hectare, previously burnt area with an almost pure growth of Dysphania
glomulifera subspecies glomulifera (red crumbweed) on their way to drinking
water. Forty cows died of cyanide poisoning over 2 days before further access to
the plant was prevented. A digital image of a plant specimen made on a flat-bed
scanner and transmitted by email was used to identify D glomulifera. Specific
advice on the plant's poisonous properties and management of the case was then
provided by email within 2 hours of an initial telephone call by the field
veterinarian to the laboratory some 600 km away. The conventional method using
physical transport of a pressed dried plant specimen to confirm the
identification took 5 days. D glomulifera was identified in the rumen of one of
two cows necropsied. The cyanogenic potential of D glomulifera measured 4 days
after collection from the site of cattle deaths was 18,600 mg HCN/kg in dry
matter. The lethal dose of D glomulifera for a 420 kg cow was estimated as 150 to
190 g wet weight. The plant also contained 4.8% KNO3 equivalent in dry matter,
but nitrate-nitrite poisoning was not involved in the deaths.
PMID- 18042161
TI - Inguinal and axillary dermatitis in wallabies in north Queensland due to the
dermanyssid mite Thadeua serrata.
PMID- 18042162
TI - The end of veterinary homeopathy.
PMID- 18042163
TI - Treatment of a metallic foreign body in the cranial cervical region of a horse.
AB - Ingestion of foreign bodies is uncommon in horses when compared with
indiscriminate grazers such as cattle. This case report describes the diagnosis
and treatment of a cervical abscess in a Thoroughbred racehorse thought to be
associated with ingestion of wire. Radiographic and ultrasonographic examination
provided a diagnosis, and conservative treatment of the lesion with
antimicrobials initially allowed the lesion to localise closer to the skin for
safer surgical exploration. Intra-operative ultrasonographic imaging facilitated
surgical access, removal of the foreign body, and drainage. While surgical
treatment is usually necessary to resolve an abscess, initially conservative
therapy may help to improve the prognosis by simplifying surgical access and
reducing the risk of surgical complications.
PMID- 18042164
TI - Equine influenza: an update.
PMID- 18042165
TI - Abstracts of the Australasian Society of Clinical Immunology & Allergy (ASCIA)
18th Annual Scientific Meeting, 14-16 November 2007, Fremantle, Western
Australia.
PMID- 18042166
TI - Mexican immunoparasitology: what is done and has to be done.
AB - In this special issue of Parasite Immunology, the reader will find reviewed some
of the hottest topics in immunoparasitology, with emphasis on the most studied
parasite species in Mexico. For instance, the immunological conditions that
appear favorable for the survival or destruction of the parasite in the
intermediate and definitive hosts in cysticercosis, as well as the use of
immunodiagnostic tests in epidemiological/intervention studies are discussed in
two different articles. The role that alternatively activated macrophages plays
in modulating host immunity is also discussed, while in the field of
Leishmaniasis, the reader will find reviewed the role that CD8+ T cells play in
the host defense during the human infection. The role that antibodies may play as
biomarkers of protective or pathological cellular immune events in Toxoplasma
gondii infections, as well as the new insights about the regulation of the
inflammatory immune response by the cytokine/chemokine network in amebiasis, are
topics reviewed. The use that TSL-1 antigens may have in the development of more
sensitive and specific diagnosis of human and animal trichinellosis as well as
the role that the neuroimmunoendocrine network plays during schistosomiasis are
also presented. We hope that our readers will find fascinating and enticing, the
first ever Special Issue devoted to Mexican Immunoparasitology.
PMID- 18042167
TI - The host-parasite neuroimmunoendocrine network in schistosomiasis: consequences
to the host and the parasite.
AB - The physiological interactions during the course of any immune response are
complex. Infection induces antigen-specific recognition by the immune system,
which is consequently charged with the responsibility of marshalling the
appropriate effector responses necessary to destroy the pathogen, or at the very
least inhibit its progression. Obviously, the immune system should accomplish
this while minimizing collateral damage to the host or it risks, winning a
Pyrrhic victory. As our understanding of the neuroendocrine system grows, it has
become increasingly clear that this complex network of neurotransmitters,
hormones and cytokines plays an important role in mediating immunity.
Schistosomes present an especially complex relationship between pathogen and
these physiological systems, with hormonally dependent host factors such as sex
and age correlated with parasite success. In this report, we review the current
literature on sex and age associations between infection and progression of
disease. We then follow with a discussion on interactions between the host
neuroendocrine and immune systems. We also speculate on strategies to apply this
knowledge to novel treatment strategies. Results argue for a complex network
comprising the immune, endocrinological and nervous systems of both host and
schistosome in the regulation of the plural outcomes of infection.
PMID- 18042168
TI - The divergent roles of alternatively activated macrophages in helminthic
infections.
AB - Macrophages play crucial roles in the immune response, as they can initiate,
modulate and also be final effector cells during immune responses to infections.
Macrophages are derived from myeloid precursor cells in bone marrow and are
widely distributed in every tissue of the body. Over the past 10 years, the
concepts about macrophage activation have clearly changed; macrophages are not
called activated or inactivated as they used to be. These changes in the concept
of macrophage response is the result of many in vitro and in vivo studies, but
the major support for the current concept of alternatively activated macrophages
(AAMphi) comes from parasitic helminth infections. Parasitic helminths have
developed complex mechanisms to evade and modulate host immunity. Infections with
these parasites induce strong polarized Th2-type immune responses frequently
associated with impaired T-cell proliferative responses to parasitic or unrelated
antigens. Given the recent advances in understanding the immunoregulatory
capabilities of helminthic infections, it has been suggested that macrophages can
be a target for immunomodulation. Furthermore, they become altered when a host
experiences chronic exposure to helminth parasites or their by-products, which
favour the induction of AAMphi. How AAMphi participate in modulating host
immunity during helminth infections and what their roles are in clearing or
favouring parasite survival remains elusive. Here we review the most recent
advances in the literature on AAMphi at the host-parasite interface, including
three classes of helminths: nematodes (Brugia, Nippostrongylus, Litomosoides,
Heligmosomoides), trematodes (Schistosoma, Fasciola) and cestodes (Taenia,
Echinococcus, Hymenolepis).
PMID- 18042169
TI - The immune response in Taenia solium cysticercosis: protection and injury.
AB - This article reviews current knowledge on the innate and acquired immune
responses in human Taenia solium neurocysticercosis, highlighting the conditions
that appear to be favourable for the survival or destruction of the parasite and
for the benefit or injury to its host.
PMID- 18042170
TI - Contribution of immunodiagnostic tests to epidemiological/intervention studies of
cysticercosis/taeniosis in Mexico.
AB - Cysticercosis is acquired when swine ingest human faeces contaminated with Taenia
solium eggs. Humans become tapeworm carriers when they ingest infected pork meat.
They can also develop cysticercosis after inadvertently swallowing T. solium
eggs. Human neurocysticercosis (NCC) is considered as a public health problem in
Mexico and in several countries around the world, mainly developing ones. The
development of immunodiagnostic techniques has promoted the conduct of
seroepidemiological studies. This review provides insight into the evolution of
these techniques, their predictive values and their use in field studies, and
summarizes evidence supporting health care practice and policy related to
cysticercosis/taeniosis in Mexico. Serological studies in rural and urban
settings have demonstrated that close proximity with a tapeworm carrier is the
main risk factor for acquiring cysticercosis. Research focusing on the tapeworm
carrier generated an ELISA for the detection of Taenia coproantigens and
facilitated the evaluation of intervention measures. Health education and self
identification of tapeworm carriers were shown to be successful. However,
cestodial treatment as a community-based intervention was not as successful.
Current immunodiagnostic techniques can be used to pinpoint transmission foci so
that appropriate and effective interventions can be applied. In this way,
sustainable control, and even eradication of T. solium may be envisioned.
PMID- 18042171
TI - Congenital and acquired toxoplasmosis: diversity and role of antibodies in
different compartments of the host.
AB - The apicomplexan parasite Toxoplasma gondii is remarkable in several aspects,
since it is a protozoan that infects most nucleated cells in many warm-blooded
animals, worldwide. Although the cellular immune response against T. gondii is
critical for infection control, antibodies may either enhance or block protective
mechanisms, and even mediate immunological damage, directly or indirectly. Since
cytokines regulate the class/subclass switch, antibodies may also be the
biomarkers of protective or pathological cellular immune events. There is a
scientific and clinical interest in the presence of natural and autoreactive
antibodies, as well as in the 'chronic' immunoglobulin M (IgM) response and the
post-treatment 'rebound'. Another interesting aspect is compartmentalization;
certain immunoglobulins may uniquely be found in specific host fluids. Local
synthesis has been demonstrated, but antibodies may also traverse several cell
layers, like the blood-brain and haemato-ocular barriers, and the placenta. In
some instances, Fc receptors (FcRs) facilitate transport and may even have a
concentrator effect, which can be related to resistance or pathology. These
aspects of the humoral response against T. gondii are reviewed in the present
paper.
PMID- 18042172
TI - Contributions to the study of Trichinella spiralis TSL-1 antigens in host
immunity.
AB - The observation on different hosts infected with Trichinella spiralis that
recognized similar muscle larvae (ML) antigens and the fact that different
monoclonal antibodies (mAb) had a similar reactivity to ML components prompted a
proposal to define a useful classification system for these antigens. For this
purpose, an international workshop provided a platform for the classification of
T. spiralis antigens. ML antigens were classified in eight groups -- Trichinella
spiralis larvae groups, TSL-1 to TSL-8. TSL-1 antigens are highly immunogenic and
a number of important studies have been performed to analyse the role of these
antigens in the host-parasite interplay. In this context, we have focused on the
analysis of the role of TSL-1 antigens in the induction of innate immune
responses with particular emphasis on the activation of mast cells (MC) by an IgE
independent pathway. These studies provided evidence on the role of mediator
release from TSL-1-activated MC in the development of Type 2 immune responses.
The protective role of TSL-1 in T. spiralis-infected mice has been described. In
addition, it has been demonstrated that the use of TSL-1 antigens allows for a
more sensitive and specific diagnosis of human and animal trichinellosis.
PMID- 18042173
TI - CD8 cytotoxic T cells in cutaneous leishmaniasis.
AB - CD8 T cells are essential in the defence against viruses, yet little is known of
their participation in the host defence against parasites, such as Leishmania,
which can cause a variety of clinical diseases, such as localized cutaneous,
diffuse cutaneous, mucocutaneous and visceral leishmaniasis. Murine models of
leishmaniasis suggest that CD8 T cells participate through IFN-gamma production,
yet their cytotoxic capacity also plays an important role, as has been found in
patients infected with various Leishmania strains, where CD8 T cell cytotoxicity
and apoptosis of autologous Leishmania-infected macrophages correlate with cure.
Yet the mechanisms underlying the CD8 T activation in patients with leishmaniasis
remain an enigma. It is possible that dendritic cells activate CD8 T cells
through mechanisms that include antigen cross-presentation. Here we summarize the
recent findings of CD8 T cells in cutaneous leishmaniasis and discuss their
significance in the control of the disease. Further knowledge in this field will
undoubtedly improve the design of therapeutic and vaccine strategies.
PMID- 18042174
TI - Regulation of the inflammatory immune response by the cytokine/chemokine network
in amoebiasis.
AB - Amoebiasis is caused by the protozoa Entamoeba histolytica and persists as one of
the leading parasitic diseases affecting millions worldwide. This parasite
invades the intestinal mucosa, causing amoebic colitis and ulcers. It may also
spread to other organs, mainly the liver, causing amoebic liver abscess (ALA).
Current research efforts have focused on the development of specific diagnostic
tests and animal models searching for a better understanding of the complex
physiopathology of this disease. Analysis of the inflammatory immune response
during intestinal amoebiasis in both human disease and animal murine models has
revealed an important regulatory role for chemokines and cytokines. Recruitment
and activation of inflammatory cells can also be modulated by specific protease
mediated cleavage of cytokines and by secreted amoebic factors such as
amoebapores and monocyte locomotion inhibitory factor (MLIF). Unlike intestinal
amoebiasis, analysis of the immune response in ALA has mainly been done in the
hamster model. This has limited our information regarding the immune response
during this phase of the disease. However, even with these limitations, several
Th1/2 cytokines, such as IL-6 and IL-4, and regulatory cytokines, like IL-10 and
TGFbeta, have been associated to the development of this disease.
PMID- 18042175
TI - Conventional withdrawal of thyroid hormone before radioiodine therapy in
differentiated thyroid carcinoma: how frequently are adequately raised TSH levels
attained?
PMID- 18042176
TI - Influence of iodine on the reference interval of TSH and the optimal interval of
TSH: results of a follow-up study in areas with different iodine intakes.
AB - OBJECTIVE: The aim of the present study was to evaluate whether the status of
iodine nutrition influences the TSH concentration in a selected Chinese reference
population according to the criteria proposed by National Academy of Clinical
Biochemistry (NACB) and regular thyroid ultrasonography, to establish a new
reference interval of TSH based on the wide variation of iodine nutrition in
populations, and to identify an optimal interval of TSH by following up the
cohort with normal TSH concentrations at baseline. DESIGN: The study was
conducted in Panshan, Zhangwu and Huanghua, the regions with mildly deficient,
more than adequate and excessive iodine intake, respectively. Of the 3761
unselected subjects who were enrolled at baseline, 2237 met the criteria for a
reference population. Of 3048 subjects with normal serum TSH at baseline, 2727
(80.0%) participated in the 5-year follow-up study. TSH and thyroid
autoantibodies in serum and iodine in urine were measured, and B-mode
ultrasonography of the thyroid was performed. RESULTS: In the reference
population, there was a urinary iodine-related increment of serum TSH levels (r =
0.21, P = 0.000), and the mean levels of TSH in Panshan, Zhangwu and Huanghua
were 1.15, 1.28 and 1.93 mIU/l, respectively (P = 0.000), corresponding to the
rising regional iodine intake. Based on the overall data, we obtained a reference
interval of 0.3-4.8 mIU/l. TSH concentrations obtained in the follow-up study
correlated well with those at baseline (r = 0.58, P = 0.000). A baseline serum
TSH > 1.9 mIU/l was associated with an increased incidence of development of
supranormal TSH and a baseline serum TSH < 1.0 mIU/l was associated with an
increased incidence of subnormal TSH development. CONCLUSIONS: Iodine nutrition
is an important factor associated with TSH concentration even in the rigorously
selected reference population. Baseline TSH of 1.0-1.9 mIU/l is an optimal
interval with the lowest incidence of abnormal TSH in 5 years.
PMID- 18042177
TI - Age-related decrease in stimulated glutamate release and vesicular glutamate
transporters in APP/PS1 transgenic and wild-type mice.
AB - We assessed baseline and KCl-stimulated glutamate release by using microdialysis
in freely moving young adult (7 months) and middle-aged (17 months) transgenic
mice carrying mutated human amyloid precursor protein and presenilin genes (APdE9
mice) and their wild-type littermates. In addition, we assessed the age-related
development of amyloid pathology and spatial memory impaired in the water maze
and changes in glutamate transporters. APdE9 mice showed gradual spatial memory
impairment between 6 and 15 months of age. The stimulated glutamate release
declined very robustly in 17-month-old APdE9 mice as compared to 7-month-old
APdE9 mice. This age-dependent decrease in stimulated glutamate release was also
evident in wild-type mice, although it was not as robust as in APdE9 mice. When
compared to individual baselines, all aged wild-type mice showed 25% or greater
increase in glutamate release upon KCl stimulation, but none of the aged APdE9
mice. There was an age-dependent decline in VGLUT1 levels, but not in the levels
of VGLUT2, GLT-1 or synaptophysin. Astrocyte activation as measured by glial
acidic fibrillary protein was increased in middle-aged APdE9 mice. Blunted pre
synaptic glutamate response may contribute to memory deficit in middle-aged APdE9
mice.
PMID- 18042178
TI - Preferential vulnerability of mesencephalic dopamine neurons to glutamate
transporter dysfunction.
AB - Nigral depletion of the main brain antioxidant GSH is the earliest biochemical
event involved in Parkinson's disease pathogenesis. Its causes are completely
unknown but increasing number of evidence suggests that glutamate transporters
[excitatory amino acid transporters (EAATs)] are the main route by which GSH
precursors may enter the cell. In this study, we report that dopamine (DA)
neurons, which express the excitatory amino acid carrier 1, are preferentially
affected by EAAT dysfunction when compared with non-DA neurons. In rat embryonic
mesencephalic cultures, l-trans-pyrrolidine-2,4-dicarboxylate, a substrate
inhibitor of EAATs, is directly and preferentially toxic for DA neurons by
decreasing the availability of GSH precursors and lowering their resistance
threshold to glutamate excitotoxicity through NMDA-receptors. In adult rat, acute
intranigral injection of l-trans-pyrrolidine-2,4-dicarboxylate induces a large
regionally selective and dose-dependent loss of DA neurons and alpha-synuclein
aggregate formation. These data highlight for the first time the importance of
excitatory amino acid carrier 1 function for the maintenance of antioxidant
defense in DA neurons and suggest its dysfunction as a candidate mechanism for
the selective death of DA neurons such as occurring in Parkinson's disease.
PMID- 18042180
TI - Hypergonadotropic hypogonadism in a patient with inv ins (2;4).
AB - We report on a 30-year-old man with azoospermia, primary hypogonadism and minor
dysmorphic features who carried a balanced insertional chromosome translocation
inv ins (2p24;4q28.3q31.22)de novo. Molecular cytogenetic analyses of the
chromosome breakpoints revealed the localization of the breakpoint in 4q28.3
between BACs RP11-143E9 and RP11-285A15, an interval that harbours the PCDH10
gene. In 4q31.22, a breakpoint-spanning clone (RP11-6L6) was identified which
contains the genes LSM6 and SLC10A7. On chromosome 2, BACs RP11-531P14 and RP11
360O18 flank the breakpoint in 2p24, a region void of known genes. In conclusion,
the chromosome aberration of this patient suggests a gene locus for primary
hypogonadism in 2p24, 4q28.3 or 4q31.2, and three possible candidate genes (LSM6,
SLC10A7 and PCDH10) were identified by breakpoint analyses.
PMID- 18042179
TI - Genetic or pharmacological blockade of noradrenaline synthesis enhances the
neurochemical, behavioral, and neurotoxic effects of methamphetamine.
AB - N-(2-chloroethyl)-N-ethyl-2-bromobenzylamine (DSP-4) lesions of the locus
coeruleus, the major brain noradrenergic nucleus, exacerbate the damage to
nigrostriatal dopamine (DA) terminals caused by the psychostimulant
methamphetamine (METH). However, because noradrenergic terminals contain other
neuromodulators and the noradrenaline (NA) transporter, which may act as a
neuroprotective buffer, it was unclear whether this enhancement of METH
neurotoxicity was caused by the loss of noradrenergic innervation or the loss of
NA itself. We addressed the specific role of NA by comparing the effects of METH
in mice with noradrenergic lesions (DSP-4) and those with intact noradrenergic
terminals but specifically lacking NA (genetic or acute pharmacological blockade
of the NA biosynthetic enzyme dopamine beta-hydroxylase; DBH). We found that
genetic deletion of DBH (DBH-/- mice) and acute treatment of wild-type mice with
a DBH inhibitor (fusaric acid) recapitulated the effects of DSP-4 lesions on METH
responses. All three methods of NA depletion enhanced striatal DA release,
extracellular oxidative stress (as measured by in vivo microdialysis of DA and
2,3-dihydroxybenzoic acid), and behavioral stereotypies following repeated METH
administration. These effects accompanied a worsening of the striatal DA neuron
terminal damage and ultrastructural changes to medium spiny neurons. We conclude
that NA itself is neuroprotective and plays a fundamental role in the sensitivity
of striatal DA terminals to the neurochemical, behavioral, and neurotoxic effects
of METH.
PMID- 18042181
TI - FSH and bFGF stimulate the production of glutathione in cultured rat Sertoli
cells.
AB - Migration of developing germ cells from the basal to the adluminal compartment of
the seminiferous epithelium requires extensive tissue restructuring, resulting in
the production of reactive oxygen species. Sertoli cells are involved in this
process. Glutathione (GSH), produced by Sertoli cells, has an essential role in
cell protection against oxidative stress. Intracellular GSH content is maintained
by de novo synthesis, involving glutamate-cysteine ligase catalytic (GCLC) and
modulatory (GCLM) subunits, and by recycling from oxidized GSH, catalysed by
glutathione reductase (GR). To assess whether follicle-stimulating hormone (FSH)
and basic fibroblast growth factor (bFGF) modulate GSH production in Sertoli
cells by regulating the expression of GCLC, GCLM and/or GR, we performed in vitro
studies using rat Sertoli cells in primary culture. FSH and bFGF stimulation
increased Sertoli cell GSH levels after 24 h incubation. The simultaneous
addition of FSH and bFGF did not produce any further effect. GCLM expression was
upregulated by FSH and bFGF 6 h. At 24 h, only the FSH-mediated effect was still
observed. FSH and bFGF also upregulated GR expression. In conclusion, our results
show that FSH and bFGF increase GSH levels in Sertoli cells through stimulation
of the de novo synthesis and recycling by upregulating GCLM and GR expression
respectively. Therefore, protection of germ cells against oxidative stress seems
to be regulated by hormones and germ cell-released growth factors capable of
influencing the production of Sertoli cell GSH.
PMID- 18042182
TI - Androgen receptor CAG repeats and body composition among Ariaal men.
AB - To determine the population variation in the androgen receptor (AR) and its
association with body composition in a subsistence population, we sampled 87
settled and 65 nomadic males ages 20+ among the Ariaal of northern Kenya.
Anthropometric measures included height, body mass index, fat-free mass (FFM),
upper arm muscle plus bone area (AMPBA), % body fat (%BF), suprailliac skinfold
(SISF), and waist-to-hip ratio. Salivary testosterone (T) was determined from
both morning (Am T) and afternoon (Pm T) samples. Hair roots were obtained for
genotyping AR CAG repeat length. AR CAG repeat length did not vary between the
two sub-groups (overall value = 22.6 +/- 3.1). Multiple regression models,
controlling for age and residence, indicate that Pm T was positively associated
with all measures of body composition. AR CAG repeat length was a significant
positive predictor of height, FFM, %BF, SISF and waist circumference. There was a
significant negative Pm T by AR CAG repeat length interaction in predicting all
anthropometric measures but AMPBA. These findings provide evidence for population
variation in AR CAG repeat length and suggest that both T and AR CAG length play
a role in body composition in this extremely lean population.
PMID- 18042183
TI - Approaches to medical student remediation after a comprehensive clinical skills
examination.
AB - OBJECTIVE: Most US medical schools conduct comprehensive clinical skills
assessments during Years 3 and 4. This study explores strategies used to identify
and remediate students who perform poorly on these assessments. METHODS: In the
academic year 2005-06, we conducted 33 semi-structured interviews with
individuals responsible for standard setting in and remediation after their
schools' comprehensive clinical skills assessments. We coded interviews to
identify major themes. RESULTS: Prior to remediation, some schools employed a
'verification' step to ensure the accuracy of the failing score or need for
remediation. Participants described a remediation process that included some or
all of 3 steps. Firstly, students' specific learning deficits were diagnosed.
Next, students participated in remedial activities such as performance review
sessions or practice with standardised or actual patients. Lastly, students were
re-tested, usually with a shorter, more formative examination. All participants
reported using a diagnostic step, most offered or required remedial activities
and many re-tested, although schools varied in the emphasis placed on each step.
Many participants cited the individualised attention students received from
remediation faculty staff as a strength of their approach, although they raised
concerns about the substantial time demands placed on remediation faculty. Most
respondents reported some dissatisfaction with their school's remediation
process, particularly uncertainty about efficacy or rigour. CONCLUSIONS: Schools
vary in the intensity and scope of remediation offered to students who perform
poorly on clinical skills assessments. Although many schools invest significant
resources in remediation, the effect of these efforts on students' subsequent
clinical performance is unknown.
PMID- 18042184
TI - What does patient-centred communication mean in Nepal?
AB - OBJECTIVES: To ascertain the expectations of Nepalese patients regarding aspects
of doctor-patient communication and to review a model of patient-centred care for
its appropriateness to Nepalese medical communication training. METHODS: A cross
sectional survey, using an adapted version of the Patient-Practitioner
Orientation Scale (PPOS), was undertaken with a random sample of patients
attending a general outpatients department in rural Nepal. An alternative survey
instrument, derived from the PPOS, was also used. RESULTS: The following issues
were most important to patients: being treated in a friendly and respectful
manner; being fully informed, and being given adequate consultation time.
Patients were happy for the doctor to be in charge and did not want to seek
information outside the doctor's advice. They expressed a strong preference for
receiving advice about preventative care. Patient responses were significantly
more 'doctor-centred' than those found in comparable studies in the USA.
DISCUSSION: Patients expressed strong preferences for some aspects of patient
centred communication (PCC), but were not very concerned with sharing power and
control. Models of PCC in Nepal require modification to reflect these local
preferences. The importance of good communication techniques requires emphasis in
clinical training and practice. Methods of disseminating information need to be
enhanced in this low-literacy setting.
PMID- 18042185
TI - Germination and conjugation of Bacillus thuringiensis subsp. israelensis in the
intestine of gnotobiotic rats.
AB - AIMS: To study the ability of Bacillus thuringiensis subsp. israelensis spores to
germinate and subsequently transfer a conjugative plasmid in the intestinal tract
of gnotobiotic rats. METHODS AND RESULTS: Germination was studied by feeding germ
free rats with spores of a B. thuringiensis strain harbouring a plasmid encoding
green fluorescent protein (GFP), which enabled quantification of germinated
bacteria by flow cytometry. To study in vivo conjugation, germ-free rats were
first associated with a B. thuringiensis recipient strain and after 1 week an
isogenic donor strain harbouring the conjugative plasmid pXO16 was introduced.
Both strains were given as spores and transfer of pXO16 was observed from the
donor to the recipient strain. CONCLUSIONS: Bacillus thuringiensis is able to
have a full life cycle in the intestine of gnotobiotic rats including germination
of spores, several cycles of growth and sporulation of vegetative cells. For the
first time conjugative plasmid transfer in a mammalian intestinal tract was shown
between two B. thuringiensis strains. SIGNIFICANCE AND IMPACT OF THE STUDY:
Strains of B. thuringiensis are used worldwide to combat insect pests, and this
study brings new insights into the nature of B. thuringiensis showing the
potential of the bacteria to germinate and transfer DNA in the mammalian
intestinal tract.
PMID- 18042186
TI - Isolation of a homocysteine gamma-lyase-producing bacterium and study of its
enzyme production conditions.
AB - AIM: To investigate the possibility of finding a new homocysteine (Hcy) gamma
lyase with the desired properties for Hcy measurement in bacteria. METHODS AND
RESULTS: Through a process of enrichment, the Hcy gamma-lyase-producing bacterium
strain N2-1 was isolated from soil. Based upon its morphological, physiological,
and biochemical characteristics, as well as its 16S rDNA sequence and
phylogenetic tree analysis, this isolate belongs to the genus Serratia. The
effects of pH, aeration, inducers, carbon (C) and nitrogen (N) sources on enzyme
production were studied. Methionine, yeast extract, and glucose were selected as
the optimal inducer, C and N sources, respectively. Maximum production of Hcy
gamma-lyase was obtained when the isolate was cultured at 30 degrees C at pH 6.5
for about 36 h in the optimum medium. Results also showed that this Hcy gamma
lyase has relatively high specificity towards Hcy. CONCLUSIONS: Because of its
high specificity for Hcy, this bacterial Hcy gamma-lyase has the potential
application in Hcy determination. SIGNIFICANCE AND IMPACT OF THE STUDY: In
addition to isolating a bacterium that produces Hcy gamma-lyase suitable for Hcy
determination, this study also indicates that the bacterium could be a source for
production of Hcy gamma-lyase for clinical applications.
PMID- 18042187
TI - The use of copper and silver in carbon point-of-use filters for the suppression
of Legionella throughput in domestic water systems.
AB - AIMS: To evaluate throughput of seeded Legionella pneumophila bacteria in
domestic point-of-use filters. METHODS AND RESULTS: The filters were challenged
with tap water seeded with Leg. pneumophila. After multiple challenge events
(4.25 x 10(11) CFU per filter), the levels of Legionella were lower in the
effluent from the filter containing both copper and silver (mean 4.48 x 10(3) CFU
ml(-1)) than in the effluent from the filter containing copper only (1.26 x 10(4)
CFU ml(-1); P < 0.001). After a single challenge event of approx. 5 x 10(9) CFU
L. pneumophila per filter, there was no significant difference between the levels
of Legionella in the effluents from a carbon filter containing copper and a
carbon filter with no metals (mean 6.87 x 10(2) and 6.89 x 10(2) CFU ml(-1),
respectively; P = 0.985). CONCLUSIONS: Legionella was detected in filter effluent
up to 6 weeks after being challenged, indicating that while filters may reduce
the levels during an initial contamination event, the exposure is extended as the
accumulated bacteria slough off over time. SIGNIFICANCE AND IMPACT OF THE STUDY:
This study has provided an understanding of the response of Legionella to the use
of silver and copper in domestic point-of-use carbon filters.
PMID- 18042188
TI - Anti-infectious potential of beta-mercapto-ethanol treated baker's yeast in
gnotobiotic Artemia challenge test.
AB - AIM: To evaluate nutritional and anti-infectious characteristics of the
chemically treated baker's yeast with 2-mercapto-ethanol (2ME) for
gnotobiotically grown Artemia. METHODS AND RESULTS: A selection of isogenic yeast
strains was treated with 2ME and fed to gnotobiotically grown Artemia. In the
first experiment the effect of the chemical treatment on the yeast nutritional
value was studied. In most cases, 2ME-treated yeast cells were better feed for
Artemia than the untreated cells. In the second experiment, a small quantity of
2ME-treated yeast cells was fed to Vibrio campbellii (VC) challenged Artemia. The
2ME-treatment on some yeast strains (e.g. gas1, kre6 and chs3) significantly
improved Artemia resistance against VC compared with the respective untreated
yeast cells. CONCLUSION: Simple chemical treatment with 2ME could significantly
improve the nutritional and anti-infectious properties of some baker's yeast
strains for gnotobiotically grown Artemia. SIGNIFICANCE AND IMPACT OF THE STUDY:
The gnotobiotic Artemia test system provides a unique opportunity (because of
noninterference of other microbial compounds) to investigate how the yeast cell
wall composition influences macro parameters (e.g. growth and survival) in an
organism. In addition, gene expression studies in these gnotobiotically grown
Artemia should provide further documentation on direct effects of yeast cells on
the genes involved in immune functions.
PMID- 18042189
TI - Reasons for migration among medical students from Karachi.
AB - CONTEXT: The subject of economic migration among health care professionals has
received intense attention. However, the aetiology of this migration has not been
rigorously evaluated in Pakistan. Such knowledge can potentially influence health
care and academic policies. Our current study proposes to quantify the relative
contributions of various personal, professional and economic variables among
final-year medical students in Karachi. METHODS: A self-administered structured
questionnaire using a 10-point scale was developed and piloted among Karachi
medical students. Additional open-ended questions were included to allow us to
capture information not otherwise covered in the questionnaire. SPSS software was
used for data entry and analysis. RESULTS: Over 95% of Aga Khan University (AKU)
and over 65% of Baqai University (BU) final-year medical students intend to
proceed abroad for their postgraduate training. The 2 most important factors
behind this intent as pointed out by the students are poor salary structure (AKU
mean score 8.94 +/- 1.73, BU mean score 7.14 +/- 2.6) and poor quality of
training in the home country (AKU mean score 9.20 +/- 1.20, BU mean score 8.68 +/
2.03). Other interesting factors captured through the open-ended questions were
the poor work environment and lack of rigor in teaching of residents in domestic
university hospitals. Over 50% of final-year medical students cited these issues
as major reasons behind their decision to migrate.
PMID- 18042190
TI - The effect of retail cigarette pack displays on impulse purchase.
AB - AIMS: To assess the extent to which point-of purchase (POP) cigarette displays
stimulate impulse purchases. DESIGN: Telephone-administered population survey.
SETTING: Victoria, Australia. PARTICIPANTS: A total of 2996 adults, among whom
526 smoked factory-made cigarettes and 67 were recent quitters (quit in the past
12 months). MEASUREMENTS: Reported cigarette purchase behaviour; perceived effect
on smoking of removing cigarettes from view in retail outlets; reported urges to
buy cigarettes as a result of seeing the cigarette display. FINDINGS: When
shopping for items other than cigarettes, 25.2% of smokers purchased cigarettes
at least sometimes on impulse as a result of seeing the cigarette display. Thirty
eight per cent of smokers who had tried to quit in the past 12 months and 33.9%
of recent quitters experienced an urge to buy cigarettes as a result of seeing
the retail cigarette display. One in five smokers trying to quit and one in eight
recent quitters avoided stores where they usually bought cigarettes in case they
might be tempted to purchase them. Many smokers (31.4%) thought the removal of
cigarette displays from stores would make it easier for them to quit.
CONCLUSIONS: POP cigarette displays act as cues to smoke, even among those not
explicitly intending to buy cigarettes, and those trying to avoid smoking.
Effective POP marketing restrictions should encompass cigarette displays.
PMID- 18042191
TI - Addiction science and its genetics.
AB - AIM: To assess the progress and impact of genetic studies in the addictions arena
and to present this information in a form accessible to the general readership of
Addiction. METHODS: Review of the evidence that genes are involved in addiction,
approaches to their identification, current findings and the potential
implications. RESULTS: Family, twin and adoption studies provide strong evidence
that addiction runs in families and that this is determined in part by genetic
factors. Two main molecular genetic approaches, namely linkage and association,
have been adopted to identify the specific genes involved. Both methods are
fraught with problems. Linkage is limited by issues of sensitivity, and
association by false positives. Perhaps the strongest finding in psychiatric
genetics to date is the impressive effect that a single genetic variant, in the
aldehyde dehydrogenase 2 gene, has on drinking behaviour and reducing the risk of
developing alcohol dependence. Other findings are currently less robust; however,
the implications of elucidating the genetic underpinning of addiction will be
profound. CONCLUSIONS: Addiction genetics is a developing science that has yet to
prove its worth in the clinical setting.
PMID- 18042192
TI - The role of spending money and drinking alcohol in adolescent smoking.
AB - AIMS: Previous studies have shown inconsistent findings with regard to the
association between amount of spending money and adolescent smoking. Drinking
alcohol may be a mediator of the association between spending money and
adolescent smoking. However, no studies have examined this potential role. The
objective of this study was to investigate the association between amount of
spending money and adolescent smoking and the potential mediation role of alcohol
use in this association. DESIGN: The 2003 Ontario Student Drug Use Survey of
students in grades 7-12. MEASUREMENTS: Multivariable logistic, probit and linear
regression models were used to investigate the association between amount of
spending money and smoking, and the contribution of drinking alcoholic beverages
to this relationship. FINDINGS: Spending money was associated positively with
experimental smoking, current smoking and daily consumption of cigarettes (P <
0.01). The analysis adjusted for confounders showed that students with spending
money > or =$20/week were significantly more likely to be experimental smokers,
students with > or =$30/week were significantly more likely to be current smokers
and students with > or =$60/week smoked significantly more cigarettes/day (P < or
= 0.05), compared to students with <$10/week. Alcohol use was an important
mediator, responsible for 81% of the association of spending money with
experimental smoking, 38% with current smoking and 37% with daily consumption of
cigarettes. CONCLUSIONS: Amount of spending money was associated significantly
and positively with smoking among adolescents, and alcohol use mediated this
association. Integrated tobacco prevention programs may be more effective, and
increasing taxes on cigarettes and alcohol would increase price sensitivity among
youth and protect against adolescent smoking.
PMID- 18042193
TI - Risk, resilience, and natural recovery: a model of recovery from alcohol abuse
for Alaska Natives.
AB - AIM: The People Awakening (PA) study explored an Alaska Native (AN) understanding
of the recovery process from alcohol abuse and consequent sobriety. DESIGN: PA
utilized a cross-sectional, qualitative research design and community-based
participatory research methods. SETTING AND PARTICIPANTS: The study included a
state-wide convenience sample of 57 participants representing all five major AN
groups: Aleut/Alutiiq, Athabascan, Inupiaq, Yup'ik/Cup'ik and
Tlingit/Haida/Tsimshian. Participants were nominated and self-identified as being
alcohol-abstinent at least five years following a period of problem drinking.
MEASUREMENTS: Open-ended and semistructured interviews gathered extensive
personal life histories. A team of university and community co-researchers
analyzed narratives using grounded theory and consensual data analysis
techniques. FINDINGS: A heuristic model of AN recovery derived from our
participants' experiences describes recovery as a development process understood
through five interrelated sequences: (i) the person entered into a reflective
process of continually thinking over the consequences of his/her alcohol abuse;
(ii) that led to periods of experimenting with sobriety, typically, but not
always, followed by repeated cycling through return to drinking, thinking it
over, and experimenting with sobriety; culminating in (iii) a turning point,
marked by the final decision to become sober. Subsequently, participants engaged
in (iv) Stage 1 sobriety, active coping with craving and urges to drink followed
for some participants, but not all, by (v) Stage 2 sobriety, moving beyond coping
to what one participant characterized as 'living life as it was meant to be
lived. CONCLUSIONS: The PA heuristic model points to important cultural elements
in AN conceptualizations of recovery.
PMID- 18042194
TI - Gender and non-medical use of prescription opioids: results from a national US
survey.
AB - AIMS: Gender differences exist regarding alcohol and illicit drug use disorders
in the United States. Little is known about the gender-related factors associated
with non-medical use of prescription opioids. DESIGN: Using data from the 2003
National Survey on Drug Use and Health, we examined risk factors for past-year
non-medical use of prescription opioids stratified by gender. SETTING: Non
institutionalized US residences. Participants Civilian, non-institutionalized US
citizens aged 12 years and older. MEASUREMENTS: Self-reported alcohol and drug
use, focusing specifically on past-year non-medical use of prescription opioids.
FINDINGS: Among 55 023 respondents, 4.8% reported past-year, non-medical use of
prescription opioids. For both women and men, alcohol abuse/dependence and
marijuana, hallucinogen, cocaine, non-medical stimulant and sedative/tranquilizer
use were associated with past-year non-medical use of prescription opioids. Among
women but not men, first use of illicit drugs beginning at 24 years or older
[adjusted odds ratios (AOR) 1.90, 95% CI 1.05-3.44], serious mental illness (AOR
1.67, 95% CI 1.29-2.17) and cigarette smoking (AOR 1.33, 95% CI 1.05-1.68) were
associated with past-year non-medical use of prescription opioids. Among men but
not women, past-year inhalant use (AOR 1.93, 95% CI 1.28-2.92) was associated
with the outcome. CONCLUSIONS: For both women and men, illicit drug use is
associated with the non-medical use of prescription opioids. Additionally,
certain factors associated with the non-medical use of prescription opioids are
notably gender-specific. Clinicians should recognize that patients with a history
of illicit substance use or misuse of other prescription medications are at
increased risk for non-medical use of prescription opioids, and that gender
specific factors can help to identify individuals at greatest risk.
PMID- 18042195
TI - In-vitro activity and in-vivo efficacy of catheters impregnated with
chloroxylenol and thymol against uropathogens.
PMID- 18042196
TI - Candida glabrata fungaemia in intensive care units.
AB - Candidaemia is increasingly important in intensive care units (ICUs). Compared
with Candida albicans fungaemia, the impact of C. glabrata fungaemia on ICU
patients is not well-known. The aim of this study was to investigate the clinical
features, the antifungal susceptibility and the treatment outcomes of C. glabrata
fungaemia in ICU patients. The medical records of ICU patients with candidaemia
between 2000 and 2005 were reviewed retrospectively, and antifungal
susceptibility testing was performed for isolates of C. glabrata. Among 147
episodes of candidaemia occurring in adult ICUs, C. glabrata was the second most
common species and accounted for 45 (30%) episodes of candidaemia. The incidence
of C. glabrata fungaemia was 1.3/1000 ICU admissions. Fluconazole resistance was
found in 11% of C. glabrata isolates. The 30-day all-cause mortality rate was
58%. Therapeutic regimens containing amphotericin B were associated with better
outcome. Despite higher fluconazole resistance, C. glabrata candidaemia was not
associated with greater mortality than non-glabrata candidaemia in the ICU
setting.
PMID- 18042197
TI - The central role of the chemokine receptor, CXCR4, in haemopoietic stem cell
transplantation: will CXCR4 antagonists contribute to the treatment of blood
disorders?
AB - Recent clinical trials have used CXCR4 antagonists for the rapid mobilization of
CD34(+) haemopoietic stem/progenitor cells (HSC/HPC) from the bone marrow to the
blood in patients refractory to granulocyte-colony-stimulating factor (G-CSF).
These antagonists not only mobilize non-cycling cells with a higher proportion of
repopulating cells, but also enhance CD34(+) cell mobilization when used in
combination with G-CSF. Here, we review the importance of CXCR4 and its ligand
CXCL12 in haemopoiesis, and the potential roles of CXCR4 antagonists in the
clinical HSC transplant setting.
PMID- 18042198
TI - Altered ecosystem carbon and nitrogen cycles by plant invasion: a meta-analysis.
AB - Plant invasion potentially alters ecosystem carbon (C) and nitrogen (N) cycles.
However, the overall direction and magnitude of such alterations are poorly
quantified. Here, 94 experimental studies were synthesized, using a meta-analysis
approach, to quantify the changes of 20 variables associated with C and N cycles,
including their pools, fluxes, and other related parameters in response to plant
invasion. Pool variables showed significant changes in invaded ecosystems
relative to native ecosystems, ranging from a 5% increase in root carbon stock to
a 133% increase in shoot C stock. Flux variables, such as above-ground net
primary production and litter decomposition, increased by 50-120% in invaded
ecosystems, compared with native ones. Plant N concentration, soil NH+4 and NO-3
concentrations were 40, 30 and 17% higher in invaded than in native ecosystems,
respectively. Increases in plant production and soil N availability indicate that
there was positive feedback between plant invasion and C and N cycles in invaded
ecosystems. Invasions by woody and N-fixing plants tended to have greater impacts
on C and N cycles than those by herbaceous and nonN-fixing plants, respectively.
The responses to plant invasion are not different among forests, grasslands, and
wetlands. All of these changes suggest that plant invasion profoundly influences
ecosystem processes.
PMID- 18042199
TI - Inhibited polar auxin transport results in aberrant embryo development in Norway
spruce.
AB - Current hypotheses concerning the role of polar auxin transport in embryo
development are entirely based on studies of angiosperms, while little is known
about how auxin regulates pattern formation in gymnosperms. In this study,
different developmental stages of somatic embryos of Norway spruce (Picea abies)
were treated with the polar auxin transport inhibitor 1-N-naphtylphthalamic acid
(NPA). Effects of the treatments on auxin content, embryo differentiation and
programmed cell death (PCD) were analysed. During early embryo development, NPA
treatment led to increased indole-3-acetic acid (IAA) content, abnormal cell
divisions and decreased PCD, resulting in aberrant development of embryonal tube
cells and suspensors. Mature embryos that had been treated with NPA showed both
apical and basal abnormalities. Typically the embryos had abnormal cotyledon
formation and irregular cell divisions in the area of the root meristem. Our
results show that polar auxin transport is essential for the correct patterning
of both apical and basal parts of conifer embryos throughout the whole
developmental process. Furthermore, the aberrant morhologies of NPA-treated
spruce embryos are comparable with several auxin response and transport mutants
in Arabidopsis. This suggests that the role of polar auxin transport is conserved
between angiosperms and gymnosperms.
PMID- 18042200
TI - Whole-plant mineral partitioning throughout the life cycle in Arabidopsis
thaliana ecotypes Columbia, Landsberg erecta, Cape Verde Islands, and the mutant
line ysl1ysl3.
AB - Minimal information exists on whole-plant dynamics of mineral flow through
Arabidopsis thaliana or on the source tissues responsible for mineral export to
developing seeds. Understanding these phenomena in a model plant could help in
the development of nutritionally enhanced crop cultivars. A whole-plant
partitioning study, using sequential harvests, was conducted to characterize
growth and mineral concentrations and contents of rosettes, cauline leaves,
stems, immature fruit, mature fruit hulls, and seeds of three WT lines (Col-0,
Ler, and Cvi) and one mutant line (Col-0::ysl1ysl3). Shoot mineral content
increased throughout the life cycle for all minerals, although tissue-specific
mineral partitioning differed between genotypes. In particular, iron (Fe), zinc
(Zn), and copper (Cu) were aberrantly distributed in ysl1ysl3. Remobilization was
observed for several minerals from various tissues, including cauline leaves and
silique hulls, but the amounts were generally far below the total mineral
accretion observed in seeds. When YSL1 and YSL3 are nonfunctional, Cu, Fe, and Zn
are not effectively remobilized from, or do not effectively pass through, leaf
and maternal fruit tissues. With respect to seed mineral accretion in
Arabidopsis, continued uptake and translocation of minerals to source tissues
during seed fill are as important, if not more important, than remobilization of
previously stored minerals.
PMID- 18042201
TI - Small differences in arrival time influence composition and productivity of plant
communities.
AB - 'Who comes first' is decisive for plant community assembly and ecosystem
properties. Early arrival or faster initial development of a species leads to
space occupancy both above and below ground and contributes to species success.
However, regular disturbance (e.g. biomass removal) might permit later-arriving
or slower-developing species to catch up. Here, artificial communities of
grassland species belonging to the plant functional types (PFTs) herb, grass and
legume were used to test the effect of stepwise arrival (sowing) of PFTs.
Dramatic effects were found as a result of a 3 wk arrival difference on
composition and above-ground biomass that persisted over four harvests and two
seasons. Priority effects, such as unequal germination time (arrival), and thus
differences in community age structure, had lasting effects on PFT biomass
contribution and associated ecosystem functioning. These effects were robust
against above-ground disturbance. Benefits of earlier root formation outweighed
above-ground species interaction. Earlier space occupancy and bigger reserve
pools are the likely causes. Natural populations commonly exhibit age diversity
and asynchrony of development among taxa. In experiments, artificial synchrony of
arrival (sowing) may thus induce assembly routes favouring faster-establishing
taxa, with consequences for ecosystem functioning (e.g. productivity). Founder
effects, such as those observed here, could be even greater in communities of
slow-growing species or forests, given their longer generation time and minor
disturbance.
PMID- 18042202
TI - Automatic discrimination of fine roots in minirhizotron images.
AB - Minirhizotrons provide detailed information on the production, life history and
mortality of fine roots. However, manual processing of minirhizotron images is
time-consuming, limiting the number and size of experiments that can reasonably
be analysed. Previously, an algorithm was developed to automatically detect and
measure individual roots in minirhizotron images. Here, species-specific root
classifiers were developed to discriminate detected roots from bright background
artifacts. Classifiers were developed from training images of peach (Prunus
persica), freeman maple (Acer x freemanii) and sweetbay magnolia (Magnolia
virginiana) using the Adaboost algorithm. True- and false-positive rates for
classifiers were estimated using receiver operating characteristic curves.
Classifiers gave true positive rates of 89-94% and false positive rates of 3-7%
when applied to nontraining images of the species for which they were developed.
The application of a classifier trained on one species to images from another
species resulted in little or no reduction in accuracy. These results suggest
that a single root classifier can be used to distinguish roots from background
objects across multiple minirhizotron experiments. By incorporating root
detection and discrimination algorithms into an open-source minirhizotron image
analysis application, many analysis tasks that are currently performed by hand
can be automated.
PMID- 18042203
TI - HAG2/MYB76 and HAG3/MYB29 exert a specific and coordinated control on the
regulation of aliphatic glucosinolate biosynthesis in Arabidopsis thaliana.
AB - In a previous transactivation screen, two Arabidopsis thaliana R2R3-MYB
transcription factors, HAG2/MYB76 and HAG3/MYB29, along with the already
characterized HAG1/MYB28, were identified as putative regulators of aliphatic
glucosinolate biosynthesis. Molecular and biochemical characterization of
HAG2/MYB76 and HAG3/MYB29 functions was performed using transformants with
increased or repressed transcript levels. Real-time PCR assays, cotransformation
assays and measurements of glucosinolate contents were used to assess the impact
of both MYB factors on the steady-state level of glucosinolate biosynthetic genes
and accumulation of aliphatic glucosinolates. Both HAG2/MYB76 and HAG3/MYB29 were
shown to be positive regulators of aliphatic glucosinolate biosynthesis.
Expression of promoter-beta-glucuronidase (GUS) fusions indicated GUS activities
in both vegetative and generative organs, with distinct characteristics for each
MYB factor. HAG1/MYB28, HAG2/MYB76 and HAG3/MYB29 reciprocally transactivated
each other in the control of aliphatic glucosinolate biosynthesis and
downregulated the expression of genes involved in the control of indolic
glucosinolate biosynthesis, pointing to a reciprocal negative regulation of these
two pathways. All three HAG transcription factors exert a coordinated control on
aliphatic glucosinolate biosynthesis.
PMID- 18042204
TI - Are there benefits of simultaneous root colonization by different arbuscular
mycorrhizal fungi?
AB - Arbuscular mycorrhizal fungal (AMF) communities were established in pots using
fungal isolates from a single field in Switzerland. It was tested whether
multispecies mixtures provided more phosphorus and supported greater plant growth
than single AMF species. Two host plants, medic (Medicago truncatula) and leek
(Allium porrum), were inoculated with three AMF species (Glomus mosseae, G.
claroideum and G. intraradices), either separately or in mixtures. The
composition of the AMF communities in the roots was assessed using real-time PCR
to determine the copy number of large ribosomal subunit genes. Fungal communities
in the roots were usually dominated by one AMF species (G. mosseae). The
composition of the communities depended on both plant identity and the time of
harvest. Leek colonized by a mixture of G. claroideum and G. intraradices
acquired more P than with either of the two AMF separately. Direct evidence is
provided for functional complementarity among species within the AMF community
colonizing a single root system. Competition among the species poses a major
challenge in interpreting experiments with mixed inoculations, but this is
greatly facilitated by use of real-time PCR.
PMID- 18042205
TI - Jasmonate signalling network in Arabidopsis thaliana: crucial regulatory nodes
and new physiological scenarios.
AB - Plant development and stress responses are regulated by complex signalling
networks that mediate specific and dynamic plant responses upon activation by
various types of exogenous and endogenous signal. In this review, we focus on the
latest published work on jasmonate (JA) signalling components and new regulatory
nodes in the transcriptional network that regulates a number of diverse plant
responses to developmental and environmental cues. Not surprisingly, the majority
of the key revelations in the field have been made in Arabidopsis thaliana.
However, for comparative reasons, we integrate information on Arabidopsis with
recent reports for other plant species (when available). Recent findings on the
regulation of plant responses to pathogens by JAs, as well as new evidence
implicating JAs in the regulation of senescence, suggest a common mechanism of JA
action in these responses via distinct groups of transcription factors. Moreover,
a significant increase in the amount of evidence has allowed placing of specific
mitogen-activated protein kinases (MAPKs) as crucial regulatory nodes in the
defence signalling network. In addition, we report on new physiological scenarios
for JA signalling, such as organogenesis of nitrogen-fixing nodules and
anticancer therapy.
PMID- 18042206
TI - Effect of antibiotics in extender on bacterial and spermatozoal quality of cooled
buffalo (Bubalus bubalis) bull semen.
AB - The present study was designed to study the effect of traditional antibiotic
combination (streptomycin and penicillin; SP) and relatively modern combination
of antibiotics (gentamycin, tylosin, lincomycin and spectinomycin; GTLS) in
extender on bacterial control and spermatozoal quality of liquid buffalo bull
semen stored at 5 degrees C. Semen collected from Nili-Ravi buffalo bulls (n =
10) was diluted with skim milk extender containing either SP (streptomycin 1000
microg/ml and penicillin 1000 IU/ml), GTLS (gentamycin 500 microg/ml, tylosin 100
microg/ml, lincomycin 300 microg/ml and spectinomycin 600 microg/ml) or negative
control with no antibiotics (NA). Liquid semen was stored at 5 degrees C for 5
days. Aerobic bacteria isolated from buffalo semen were Pseudomonas aeruginosa
and Staphylococcus aureus. The only facultative anaerobic bacterium isolated was
Klebsiella pneumoniae. In vitro antibiotic sensitivity test revealed that Ps.
aeruginosa and Staph. aureus were susceptible to gentamycin. Staphylococcus
aureus and K. pneumoniae were susceptible to tylosin and linco-spectinomycin.
Total aerobic bacterial count was significantly lower in semen samples treated
with GTLS than those of SP on third and fifth day of storage at 5 degrees C.
There was no difference (p > 0.05) in sperm motility, longevity and plasma
membrane integrity (PMI) in extender containing SP or GTLS combination until the
third day of storage at 5 degrees C. On fifth day of storage sperm motility,
longevity and PMI was significantly better in extender containing SP compared
with GTLS and NA. Intact acrosomes, and sperm head, mid piece and tail
abnormalities remained similar (p > 0.05) because of antibiotics up to 5 days of
storage. In conclusion, GTLS is more capable than SP for bacterial control of
buffalo bull semen. Moreover, GTLS and SP are equally efficient in preserving
spermatozoal quality of extended buffalo bull semen for 3 days at 5 degrees C.
PMID- 18042207
TI - Expression of vascular endothelial growth factor receptors in bovine cystic
follicles.
AB - Cystic follicles have excess fluid derived from blood flow in the theca interna
of the follicle; therefore, the vasculature network is related to cystic follicle
formation. Vascular endothelial growth factor (VEGF) is a potent stimulator of
blood vessel permeability and angiogenesis. The aim of this study was to examine
the expression of VEGF receptors proteins and mRNA in cystic follicles to
elucidate the VEGF system in cystic follicles. The expression of protein for VEGF
receptors; fms-like-tyrosine kinase-1 (Flt-1) and foetal liver kinase-1 (Flk-1)
was detected by the immunohistochemical method. The mRNA expression of Flt-1 and
Flk-1 in cystic follicles was determined by RT-PCR. Concentration of oestradiol
17beta and progesterone in the follicular fluid of cystic follicles was
determined using ELISA. Flt-1- and Flk-1 proteins were localized in granulosa and
theca interna cells and endothelial cells of theca layers. The intensity of Flt-1
and Flk-1 immunoreaction was similar among cystic follicles with various ratios
of oestradiol-17beta/progesterone concentrations. The expression of Flt-1 and Flk
1 mRNA was similar, regardless of the ratio of oestradiol-17beta to progesterone
in follicular fluid. These results demonstrate that cystic follicles have both
VEGF receptors in the granulosa and theca interna layers, which may be
responsible for the increased permeability of microvessels, causing the
accumulation of follicular fluid in cystic follicles.
PMID- 18042208
TI - Effects of oxygen exposure and gentamicin on stallion semen stored at 5 and 15
degrees C.
AB - This study was undertaken to investigate the effects of storage of stallion semen
in a defined milk protein extender at 5 and 15 degrees C under either anaerobic
or aerobic conditions, with or without addition of the antibiotic gentamicin.
Semen samples were collected from eight fertile stallions and stored for 96 h
(day 0-4) and assessed daily for motility, velocity and membrane integrity
(viability) using a CASA system. Samples for bacteriology assessment were taken
on day 2 of storage. No significant (p > 0.05) differences in motility, velocity
or viability were observed between treatments on days 0-2. On days 3 and 4, semen
stored without gentamicin at 5 degrees C had a significantly (p < 0.05) better
semen quality compared with storage at 15 degrees C without gentamicin,
irrespective of oxygen exposure. On days 3 and 4, motility and velocity were
greater in samples stored at 15 degrees C with gentamicin, compared with the
corresponding treatments without antibiotic (p < 0.05). This effect was also
evident for viability on day 4. The decline in semen quality observed at 15
degrees C most likely resulted from the effect of bacterial growth. Bacterial
growth was the greatest in samples stored at 15 degrees C without gentamicin,
under both anaerobic and aerobic conditions (p < 0.05). Bacterial growth was
inhibited by adding of gentamicin at 15 degrees C, which accordingly reduced the
decline in semen quality. Addition of antibiotic to samples stored at 5 degrees C
had no significant effect on any parameter analysed. In conclusion, storage at 15
degrees C can be achieved by using an extender containing the antibiotic
gentamicin. Storage at 5 degrees C tended to maintain better semen quality
irrespective of oxygen exposure, and did not necessitate an antibiotic treatment.
PMID- 18042209
TI - Functional activity of frozen thawed Chinchilla lanigera spermatozoa
cryopreserved with glycerol or ethylene glycol.
AB - The cryopreservation of spermatozoa constitutes a valuable tool for the captive
breeding management of valuable and/or threatened species. Chinchilla lanigera is
a species almost extinct in the wild, and the domestic counterpart has one of the
most valuable pelts in the world. The objectives of this study were to: (i)
compare the functional activity of post-thawed chinchilla spermatozoa
cryopreserved at -196 degrees C either with glycerol (G) or ethylene glycol (EG)
as cryoprotectants (1 m final concentration) and (ii) investigate the effects of
incubating the gametes for 4 h in the presence or in the absence of the
cryoprotectants; evaluations were performed taking into account motility,
viability, response to hypo-osmotic shock and acrosome integrity of the cells.
Parameters reflecting post-thaw (0 h) sperm functional activity were
significantly lower than those of freshly ejaculated gametes. When comparing the
cryoprotectant efficiency of G vs EG, neither cryoprotectant agent offered
appreciable advantages. After 4 h of incubation, in the presence or absence of
the cryoprotectant agent, a rapid and significant decrease was found in all
functional parameters and remained at approximately 20-30% motile, viable and
viable acrosome intact cells. Viability was significantly lower when the
cryoprotectant was removed from the media (possibly due to the centrifugation
process). With respect to the maintenance of sperm membrane integrity, only
approximately 10% of cells showed membrane resistance to hypo-osmotic conditions
after the 4 h incubation period. These results constitute new insights for
cryopreservation protocols and the development of assisted reproductive
techniques in this species.
PMID- 18042210
TI - Effects of feeding level during lactation on FSH and LH secretion patterns, and
follicular development in primiparous sows.
AB - In the primiparous lactating sow undernutrition affects LH, but the effect on FSH
is less clear. This study was conducted to investigate the effect of ad libitum
(AL; n = 5) and restricted (RE; 70% of the AL feed; n = 5) feeding on the
secretion patterns of FSH and LH, and on follicular development in primiparous
lactating sows. Body side fat thickness (BSFT) was measured prior to farrowing
and at weaning on day 21 postpartum (pp). Sows had an intravenous catheter fitted
on day 6 or 7 pp. Blood samples were taken on days 12, 15 and 18 pp, every 15 min
starting at 0700 h for 11 h, and plasma analysed for FSH and LH by
radioimmunoassay (RIA). Daily transcutaneous ultrasonography of the ovaries was
performed between days 10 and 20 pp to monitor follicular growth. Reduction in
BSFT was higher in RE than in AL sows (p < 0.05). Mean and basal LH were
significantly higher in AL than in RE sows on days 12 and 15 pp. (p < 0.05), and
LH pulse frequency tended to be higher (p < 0.1). Mean FSH was higher in AL than
in RE sows on days 15 and 18 (p < 0.05), and had a tendency to be higher on day
12 (p = 0.1). Follicle size increased in AL sows between days 10 and 20 of
lactation (p < 0.05; r = 0.71), but remained unaffected in RE sows. In
conclusion, the study demonstrates that feed restriction of primiparous sows
during lactation affects not only LH, but also FSH, as well as lactational
follicular growth. An association between those events is suggested.
PMID- 18042211
TI - Nurses' critical event risk assessments: a judgement analysis.
AB - AIMS: To explore and explain nurses' use of readily available clinical
information when deciding whether a patient is at risk of a critical event.
BACKGROUND: Half of inpatients who suffer a cardiac arrest have documented but
unacted upon clinical signs of deterioration in the 24 hours prior to the event.
Nurses appear to be both misinterpreting and mismanaging the nursing-knowledge
'basics' such as heart rate, respiratory rate and oxygenation. Whilst many
medical interventions originate from nurses, up to 26% of nurses' responses to
abnormal signs result in delays of between one and three hours. METHODS: A double
system judgement analysis using Brunswik's lens model of cognition was undertaken
with 245 Dutch, UK, Canadian and Australian acute care nurses. Nurses were asked
to judge the likelihood of a critical event, 'at-risk' status, and whether they
would intervene in response to 50 computer-presented clinical scenarios in which
data on heart rate, systolic blood pressure, urine output, oxygen saturation,
conscious level and oxygenation support were varied. Nurses were also presented
with a protocol recommendation and also placed under time pressure for some of
the scenarios. The ecological criterion was the predicted level of risk from the
Modified Early Warning Score assessments of 232 UK acute care inpatients.
RESULTS: Despite receiving identical information, nurses varied considerably in
their risk assessments. The differences can be partly explained by variability in
weightings given to information. Time and protocol recommendations were given
more weighting than clinical information for key dichotomous choices such as
classifying a patient as 'at risk' and deciding to intervene. Nurses' weighting
of cues did not mirror the same information's contribution to risk in real
patients. Nurses synthesized information in non-linear ways that contributed
little to decisional accuracy. The low-moderate achievement (R(a)) statistics
suggests that nurses' assessments of risk were largely inaccurate; these
assessments were applied consistently among 'patients' (scenarios). Critical care
experience was statistically associated with estimates of risk, but not with the
decision to intervene. CONCLUSION: Nurses overestimated the risk and the need to
intervene in simulated paper patients at risk of a critical event. This average
response masked considerable variation in risk predictions, the need for action
and the weighting afforded to the information they had available to them. Nurses
did not make use of the linear reasoning required for accurate risk predictions
in this task. They also failed to employ any unique knowledge that could be shown
to make them more accurate. The influence of time pressure and protocol
recommendations depended on the kind of judgement faced suggesting then that
knowing more about the types of decisions nurses face may influence information
use. RELEVANCE TO CLINICAL PRACTICE: Practice developers and educators need to
pay attention to the quality of nurses' clinical experience as well as the
quantity when developing judgement expertise in nurses. Intuitive unaided
decision making in the assessment of risk may not be as accurate as supported
decision making. Practice developers and educators should consider teaching
nurses normative rules for revising probabilities (even subjective ones) such as
Bayes' rule for diagnostic or assessment judgements and also that linear ways of
thinking, in which decision support may help, may be useful for many choices that
nurses face. Nursing needs to separate the rhetoric of 'holism' and 'expertise'
from the science of predictive validity, accuracy and competence in judgement and
decision making.
PMID- 18042212
TI - Isolation of hexachlorocyclohexane-degrading Sphingomonas sp. by dehalogenase
assay and characterization of genes involved in gamma-HCH degradation.
AB - AIM: To screen and identify bacteria from contaminated soil samples which can
degrade hexachlorocyclohexane (HCH)-isomers based on dechlorinase enzyme activity
and characterize genes and metabolites. METHODS AND RESULTS: Dechlorinase
activity assays were used to screen bacteria from contaminated soil samples for
HCH-degrading activity. A bacterium able to grow on alpha-, beta-, gamma- and
delta-HCH as the sole carbon and energy source was identified. This bacterium was
a novel species belonging to the Sphingomonas and harbour linABCDE genes similar
to those found in other HCH degraders. Gamma-pentachlorocyclohexene 1,2,4
trichlorobenzene and chlorohydroquinone were identified as metabolites.
CONCLUSIONS: The study demonstrates that HCH-degrading bacteria can be identified
from large environmental sample-based dehalogenase enzyme assay. This kind of
screening is more advantageous compared to selective enrichment as it is specific
and rapid and can be performed in a high-throughput manner to screen bacteria for
chlorinated compounds. SIGNIFICANCE AND IMPACT OF THE STUDY: The chlorinated
pesticide HCH is a persistent and toxic environmental pollutant which needs to be
remediated. Isolation of diverse bacterial species capable of degrading all the
isomers of HCH will help in large-scale bioremediation in various parts of the
world.
PMID- 18042213
TI - Used cot mattresses as potential reservoirs of bacterial infection: nutrient
availability within polyurethane foam.
AB - AIM: To evaluate possible source of nutrients for bacterial growth within
polyurethane (PU) foam of used cot mattresses as determinants of bacterial
population density. METHODS AND RESULTS: Used infant mattresses (n = 30) were
analysed for bacteria capable of degrading colloidal PU and for aqueous soluble
chemical components (aromatic amines, ammonium ions, phosphates and protein).
Mattress type (waterproof cover vs exposed PU foam at the infant-head region),
mattress age and previous use by another child were evaluated as factors that
could influence the measured parameters. The levels of protein extracted from PU
foam were (i) significantly (P = 0.0019) higher for mattresses lacking a
waterproof cover at the infant-head region and (ii) positively correlated with
both culturable bacterial population densities of the PU foams and extent of
growth of Staphylococcus aureus on aqueous leachates. No statistically
significant (P > 0.05) associations between other measured parameters and
mattress type/use factors were identified. CONCLUSIONS: Infant use of cot
mattresses with exposed PU foam leads to accumulation of proteins within the PU,
which can promote bacterial growth. SIGNIFICANCE AND IMPACT OF THE STUDY: The
study provides a mechanistic explanation for increased levels of bacteria
associated with exposed PU of cot mattresses. In the context of the common
bacterial toxins hypothesis for the sudden infant death syndrome (SIDS), this
could explain the lowered risk of SIDS associated with use of a waterproof cover
above the mattress.
PMID- 18042214
TI - Urologist practice patterns in the management of Peyronie's disease: a nationwide
survey.
AB - INTRODUCTION: Peyronie's disease (PD) is a poorly understood clinical entity.
Aim. We endeavored to determine how contemporary urologists in the United States
manage PD. METHODS: A randomly generated mailing list of 996 practicing
urologists was generated from the American Urologic Association member directory.
A specifically designed survey was mailed with a cover letter and a postage-paid
return envelope. MAIN OUTCOME MEASURE: Our survey assessed several practice
related factors and asked questions of how the subject would manage various
presentations of PD in their practice. Four cases were presented: case 1, a
healthy 55-year-old man with painless 30 degrees dorsal curvature of 16 months
duration; case 2, a 60-year-old man with 35 degrees dorsal curvature, 4/10 pain
on visual analog scale, of 6 months duration; case 3, a 62-year-old man with
painless 60 degrees dorsal curvature and erectile dysfunction responsive to
alprostadil suppository of 2 years duration; and case 4, a 50-year-old man with
mid-shaft waist deformity, foreshortening, no pain/curvature/erectile
dysfunction. RESULTS: Responses were received from 236 (24%) practicing
urologists. Vitamin E was the preferred initial management for 70% of
respondents, with observation, Potaba (Glenwood, Englewood, New Jersey, USA),
colchicine, verapamil injections, and verapamil gel favored by 32, 20, 12, 7, and
10% of respondents, respectively. Fifty-seven percent of respondents performed
surgery for PD, with penile prostheses, Nesbit procedure, grafting, and plication
used by 76, 66, 55, and 51% of respondents, respectively. Medical therapy and/or
observation was the preferred management for all of the cases except case 3, for
which penile prosthesis placement and referral were the favored options by 39 and
30% of urologists, respectively. CONCLUSIONS: Medical therapy is the initial
treatment for PD among American urologists. Penile prosthesis is the treatment of
choice in impotent patients. Most American urologists conform to recommended
practice patterns in the management of PD.
PMID- 18042215
TI - Validation of the female sexual distress scale-revised for assessing distress in
women with hypoactive sexual desire disorder.
AB - INTRODUCTION: The concept of sexually related personal distress is currently
central to the diagnosis of all female sexual dysfunctions (FSD). In the current
study, we have focused on validating a slightly revised version of the Female
Sexual Distress Scale (FSDS), the FSDS-Revised (FSDS-R), to enhance the
sensitivity of the instrument with patients suffering from hypoactive sexual
desire disorder (HSDD). In addition, we have attempted to extend the validation
generalizability of the scale by demonstrating that both instruments possess
reliability and discriminative validity in premenopausal women with HSDD. AIM: To
assess the validity of the revised version of the FSDS, the FSDS-R, for measuring
sexual distress in women with HSDD. METHODS: A prospective methodological study
carried out at 27 centers in North America enrolled 296 women aged 18-50 years
with HSDD, another female sexual dysfunction (FSD), or no FSD. The subjects
completed the FSDS-R at baseline, day 7, and day 28, with a 30-day recall at
baseline and with a 7-day recall on days 7 and 28. MAIN OUTCOME MEASURES:
Receiver operating characteristic (ROC) analyses of FSDS, FSDS-R, and FSDS-R item
13 were used for the differentiation of HSDD from no FSD, while intraclass
correlation coefficient (ICC) was used to estimate test-retest reliability.
Cronbach's coefficient alpha was used to measure the internal consistency of the
FSDS-R and Pearson's correlation coefficient to assess FSDS, FSDS-R, and FSDS-R
item 13 with different recall periods (7 and 30 days). RESULTS: Mean total FSDS,
FSDS-R, and FSDS-R item 13 scores with either recall period were significantly
higher (P < 0.0001) in women with FSD or HSDD than in women with no FSD, showing
both tests had discriminant validity. ROC analysis confirmed these findings,
while an ICC of >0.74 showed the test-retest reliability of both scales,
including FSDS-R item 13 alone, and Cronbach's coefficient alpha of >0.86
confirmed the internal consistency of both tests. CONCLUSIONS: Consistent with
the FSDS, the FSDS-R demonstrated good discriminant validity, high test-retest
reliability, and a high degree of internal consistency in measuring sexually
related personal distress in women with HSDD. FSDS-R item 13 alone also
demonstrated good discriminant validity and test-retest reliability.
PMID- 18042216
TI - Female sexual function after surgery for stress urinary incontinence:
transobturator suburethral tape vs. tension-free vaginal tape obturator.
AB - INTRODUCTION: The transobturator suburethral tape (TOT) and tension-free vaginal
tape obturator (TVT-O) procedures are relatively new incontinence treatment
procedures. Studies on the influence on sexual function as a result of these
procedures are limited. AIM: The influence of TOT or TVT-O for the surgical
treatment of stress urinary incontinence (SUI) on female sexual function.
METHODS: We evaluated 77 sexually active patients after TVT-O (N = 34, mean age
53.2 years) and TOT (OB-TAPE, Porges) (N = 44, mean age 52.0 years) placement for
SUI based on the responses to a mailed questionnaire 3 months after the
operation. MAIN OUTCOME MEASURE: Difference in postoperative sexual complaints
related to the TVT-O (inside-out) and TOT (outside-in) procedure. RESULTS:
Postoperative TOT and TVT-O: There was almost no difference in frequency of
sexual intercourse and an improvement of the continence during intercourse;
continence was reported in 33 patients (42.3%) before and 67 patients (78.4%)
after operation. The appreciation of sexual intercourse was improved in 15
patients (19.2%) and worsened in eight patients (10.3%). Postoperative TVT-O vs.
TOT: No difference was seen in lost of lubrication, clitoral tumescence
reduction, and clitoral sensibility reduction between both procedures. Pain
because of vaginal narrowing was seen significantly more in the TOT procedure
group. CONCLUSION: Overall, in this study, the technique of TOT gave rise to more
sexual dysfunction than TVT-O. However, because of the successful outcome on
incontinence, both procedures had, overall, a positive effect on sexual function.
The cause of significant more pain during intercourse as a result of vaginal
narrowing in the TOT procedure requires further investigation. Like other
studies, this study demonstrated that incontinence surgery can have a positive
and negative outcome on sexual function. It is important to put this issue in the
informed consent.
PMID- 18042217
TI - How urologists manage erectile dysfunction after radical prostatectomy: a
national survey (REPAIR) by the French urological association.
AB - INTRODUCTION: There is little sound information on how urologists manage erectile
dysfunction (ED) arising after radical prostatectomy (RP) in a real-world
situation. AIM: To perform a national survey of how French urologists manage ED
after RP in routine practice. MAIN OUTCOME MEASURES: Choice of first-line
treatment, type of treatment (rehabilitation of erectile function vs. treatment
on demand for intercourse), and timing and duration of treatment. METHODS: All
French urologists were invited to take part in a survey; 59.7% accepted
provisionally (760/1,272). They received the survey questionnaire and 10 patient
data forms to be completed during the visits of the first 10 patients with fewer
than 12 months follow-up post-RP. These were returned to an independent third
party for analysis. RESULTS: The final response rate was 535/1,272 (42%). Before
performing RP, 80% of the urologists assessed sexual activity and 76% erectile
function; 9% did neither. Thirty-eight percent reported that they systematically
proposed ED treatment to their patients post-RP ("routine prescribers"). The
remainder was treated on occasion, either at the patients' request (49%) or at
their own discretion (13%). Routine prescribers tended to be younger and had
performed more RPs in the preceding year. Most urologists (88%) always used the
same first-line treatment: regular intracavernosal injections (ICIs) for
rehabilitation, 39%; ICI on demand for intercourse, 30%; phosphodiesterase type 5
(PDE5) inhibitors on demand, 16%, or regular PDE5 inhibitors for rehabilitation,
8%; alternating ICI and PDE5 inhibitors, 7%; vacuum device, <1%. ED treatment was
initiated within 3 months of RP by 72% of the urologists (92% of routine
prescribers). The percentage of urologists recommending ED treatment for 6 months
was 20%, 38% for 1 year, and 33% for 2 years. CONCLUSION: ED was commonplace
after RP. French urologists reported a proactive attitude to ED treatment, many
favoring pharmacologic rehabilitation therapy. ICI was their first-line treatment
of choice.
PMID- 18042218
TI - Vaginal vasomotion--its appearance, measurement, and usefulness in assessing the
mechanisms of vasodilatation.
AB - INTRODUCTION: In a number of tissue microcirculations, the phenomenon of
vasomotion occurs where only a proportion of the total number of capillaries
present are open at the same time; they normally open and close in a random or
chaotic order, partly determined by the metabolic state of their surrounding
cells. AIM: A pilot to examine by photoplethysmography whether the vaginal
microcirculation shows evidence of vasomotion and the effect when sexual arousal
is induced. METHODS: The vaginal microcirculation in 14 healthy, premenopausal
women was monitored by intravaginal infrared photoplethysmography using the
vaginal pulse amplitude (VPA) as the index of blood content in the basal
condition and during sexual arousal induced by video and/or clitoral stimulation.
MAIN OUTCOME MEASURE: Analysis and interpretation of vaginal
photoplethysmographic traces. RESULTS: The basal traces in all the sexually
unaroused subjects (N = 14) showed at least two populations of their VPAs: a high
amplitude VPA (h-VPA) with rapid ascending phase (short duration of systolic
infill) and descending phase (short duration of diastolic outflow) among which
were pseudorandomly scattered, low amplitude VPAs (l-VPA) with less acute
ascending and descending phases; the l-VPAs were usually half the amplitude of
the h-VPAs. On sexual arousal, the l-VPAs were converted into h-VPAs so that the
l-VPAs decreased and in some cases practically disappeared, and the h-VPAs
usually showed an increase; with cessation of the vasodilatory stimulus (N = 6),
there was a slow recovery of the l-VPAs. The induction of orgasm did not appear
to facilitate their recovery. Even during a short duration of sexual arousal (1
minute), the decrease in the l-VPAs (N = 6) was a more sensitive index of the
occurrence of genital arousal than relying solely on changes in the maximum
amplitude of the VPA. CONCLUSION: Because of vasomotion, the vaginal
microcirculation can react to a vasodilatory stimulus with one of four
theoretical photoplethysmographic responses, viz., Type 1 (full response), where
there is a significant increase in the amplitude of the h-VPA signal and the
number of l-VPAs are greatly reduced with a near corresponding increase in the h
VPAs; Type 2 (partial response), where the amplitude (and in some cases the
number) of the h-VPAs increases but there is no decrease in the number of l-VPAs;
Type 3 (partial response), where the amplitude of the h-VPAs changes little but
the number of l-VPAs is reduced and the number of h-VPAs are correspondingly
increased; and Type 4, where neither the amplitude of the h-VPAs (or their
number) nor the number of the l-VPAs change significantly (a noneffective
stimulus). This new analysis allows a more comprehensive and better
discriminatory assessment of vaginal vasodilatation (genital arousal) and its
return to the basal state in response to sexual arousal and now includes a new
measure that is independent of an increase in the h-VPA maximum amplitude (Type 3
response).
PMID- 18042219
TI - Evaluation of the psychometric properties of the life satisfaction checklist as a
screening tool for erectile dysfunction.
AB - INTRODUCTION: There is a need for a more feasible and acceptable screening tool
for erectile dysfunction (ED) in our health context. The Fugl-Meyer's Life
Satisfaction Checklist (LISAT-8) has been shown to be a simple and good
instrument for assessing the satisfaction of ED patients in different aspects of
their lives and has also shown acceptable psychometric properties to be used in
the Spanish population with ED. Furthermore, this checklist has been used as a
screening tool in patients with and without ED, showing valid and reliable
properties for detection of ED. AIM: To evaluate the validity and feasibility of
LISAT-8 checklist as a screening tool to detect ED. METHODS AND MAIN OUTCOME
MEASURES: A cross-sectional, multicenter, and observational study was conducted
including 6,986 Spanish men aged over 18 years. Patients completed the
International Index of Erectile Function (IIEF), Sexual Health Inventory for Men
(SHIM) and LISAT-8 checklists. Questions about the simplicity of SHIM and LISAT-8
and time to complete them were used for feasibility evaluation. We used logistic
regression analysis to select the best predictors for ED among the different
items of LISAT-8 and the receiver operating characteristic (ROC) curve analysis
to select the best cutoff value discriminating ED vs. non-ED subjects.
Sensitivity, specificity, positive predictive value (PPV), and negative
predictive value (NPV), along with kappa agreement coefficient, were also
estimated. RESULTS: A total of 6,986 patients were included. Among the patients,
71.2% preferred LISAT-8 to SHIM. Time to completion of checklist was 0.5 minute
less with LISAT-8 than with SHIM (P < 00001). Items 2 (sexual life), 5 (contacts
with friends and acquaintances), and 3 (partner relationship) of LISAT-8 were
selected as predictors for ED. ROC curve analysis showed a cutoff point >/=15
with a sensitivity of 81.7% (95% confidence intervals: 80.5-82.9), a specificity
of 79.2% (77.5-80.8), and PPV of 88.7% (87.6-89.8%) and NPV of 72.0% (70.0
73.0%). Kappa agreement coefficients were 0.57 (LISAT-8 vs. SHIM) and 0.60 (LISAT
8 vs. IIEF). CONCLUSIONS: LISAT-8 and its composite variable (formed by items 2 +
3 + 5) showed acceptable psychometric properties, and it could be a valid
screening tool for ED in daily clinical practice.
PMID- 18042220
TI - Haemoglobin-vesicles as artificial oxygen carriers: present situation and future
visions.
AB - During the long history of development of haemoglobin (Hb)-based O2 carriers
(HBOCs), many side effects of Hb molecules have become apparent. They imply the
physiological importance of the cellular structure of red blood cells. Hb
vesicles (HbV) are artificial O2 carriers that encapsulate concentrated Hb
solution with a thin lipid membrane. We have overcome the intrinsic issues of the
suspension of HbV as a molecular assembly, such as stability for storage and in
blood circulation, blood compatibility and prompt degradation in the
reticuloendothelial system. Animal tests clarified the efficacy of HbV as a
transfusion alternative and the possibility for other clinical applications. The
results of ongoing HbV research make us confident in advancing further
development of HbV, with the expectation of its eventual realization.
PMID- 18042221
TI - Peroxisome proliferator-activated receptors--from active regulators of macrophage
biology to pharmacological targets in the treatment of cardiovascular disease.
AB - Altered macrophage functions contribute to the pathogenesis of many infectious,
immunological and inflammatory disease processes. Pharmacological modulation of
macrophage activities therefore represents an important strategy for the
prevention and treatment of inflammation-related diseases, such as
atherosclerosis. This review focuses on recent advances on the role of the
peroxisome proliferator-activated receptor transcription factor family in the
modulation of lipid homeostasis and the inflammatory response in macrophages and
the potential participation of these actions in the modulation of metabolic and
cardiovascular disease.
PMID- 18042222
TI - Clinical long-term evaluation of MTA as a direct pulp capping material in primary
teeth.
AB - AIM: To evaluate the effectiveness of mineral trioxide aggregate (MTA) when used
as a pulp capping material in primary teeth. METHODOLOGY: Clinical follow-up was
performed on 25 symmetrical pairs of primary molars with deep occlusal caries, in
25 patients between the ages of 5 and 8 years. Pulps exposed during cavity
preparation were treated by direct pulp capping with MTA or calcium hydroxide,
based with resin-bonded zinc oxide eugenol cement and restored with amalgam.
Clinical and radiographic examinations were carried out at 1, 3, 6, 9, 12, 18 and
24 months. RESULTS: Twenty-four-month clinical and radiographic follow-ups were
carried out on 22 patients. One patient failed to return for evaluation after 1
month, one after 9 months and another after 12 months. During follow-up, none of
the MTA and calcium hydroxide groups exhibited clinical or radiographic failure.
CONCLUSIONS: Mineral trioxide aggregate was found to be as successful as calcium
hydroxide when used for direct pulp capping in primary teeth. Further
histological investigations are needed to support these findings.
PMID- 18042223
TI - Mineral trioxide aggregate in the treatment of external invasive resorption: a
case report.
AB - AIM: To describe the management of external invasive resorption using mineral
trioxide aggregate (MTA). SUMMARY: External invasive root resorption may occur as
a consequence of trauma, orthodontic treatment, intracoronal bleaching and
surgical procedures, and may lead to the progressive and destructive loss of
tooth structure. Depending on the extent of the resorptive process, different
treatment regimens have been proposed. A 19-year-old male patient presented with
tooth 11 (FDI) showing signs and symptoms of irreversible pulpitis, external
invasive resorption and periodontal pocket on the disto-palatal. After root canal
treatment, the defect was accessed coronally. The resorption area was chemo
mechanically debrided using ultrasonic tips and irrigant solution. MTA was used
to fill the resorptive defect, and the coronal access was temporarily sealed. The
definitive coronal restoration was performed after 3 days. Radiographs at 1, 2
and 4 years showed adequate repair of the resorption and endodontic success.
Clinically, the tooth was asymptomatic, and no periodontal pocket was found. KEY
LEARNING POINTS: *Mineral trioxide aggregate was successfully used to restore a
small area of external invasive resorption. *A coronal approach can sometimes be
successfully used in order to avoid surgery and periodontal complications.
PMID- 18042224
TI - Replantation of a vertically fractured maxillary central incisor after repair
with adhesive resin.
AB - AIM: To describe the replantation of a vertically fractured root-filled maxillary
central incisor after repair with adhesive resin. SUMMARY: Root-filled teeth with
vertical root fractures are usually extracted as they generally have a poor
prognosis. In this case, an 18-month follow-up of a replanted incisor with
vertical root fracture is presented with clinical and radiographic documentation.
KEY LEARNING POINTS: * Replantation of root-filled incisors with complete
vertical root fracture after resin bonding might be a realistic alternative to
extraction. * Practitioners should be aware of the possible disadvantages as well
as advantages associated with failure of a replanted incisor with complete
vertical root fracture.
PMID- 18042225
TI - Heat stress induces alkaline phosphatase activity and heat shock protein 25
expression in cultured pulp cells.
AB - AIM: To investigate the responses of cultured rat pulp cells to heat stress.
METHODOLOGY: Pulp cells were obtained from rat incisors and cultured at 37
degrees C. The cells were cultured at 42 degrees C for 30 min and then cultured
at 37 degrees C again. Morphology, alkaline phosphatase (ALP) activity and
expression of heat shock protein 25 (HSP25) were investigated at 0, 1, 3, 5, 7,
10 and 14 days following stimulation. As a control, the cells were maintained at
37 degrees C. RESULTS: Although there were few cells of apoptosis immediately
after heat stress, there were mitotic cells from day 1 after heat stress. ALP
activity in the heat stress group significantly increased at days 7 and 14
compared with the control group (about 1.7-fold, P < 0.01, Friedman test). HSP25
expression increased in both groups, with HSP25 in the heat stress group being
expressed earlier than in the control group, and nuclear localization of HSP25
was observed at days 0 and 1 in heat-stressed cells. CONCLUSION: These results
suggest that heat stress not only induces HSP25 but also enhances ALP activity in
pulp cells.
PMID- 18042226
TI - The effect of pH on surface hardness and microstructure of mineral trioxide
aggregate.
AB - AIM: To evaluate the surface microhardness of mineral trioxide aggregate (MTA)
specimens following exposure of their surface to a range of acidic environments
during hydration. In addition, the morphological microstructure features of
samples were studied by scanning electron microscopy (SEM). METHODOLOGY: White
ProRoot MTA (Dentsply Tulsa Dental, Johnson City, TN, USA) was mixed and packed
into cylindrical polycarbonate tubes. Four groups, each of 10 specimens, were
formed using a pressure of 3.22 MPa and exposed to pH 4.4, 5.4, 6.4 and 7.4,
respectively, for 4 days. Vickers microhardness of the surface of each specimen
was measured after exposure. Four groups of two specimens were prepared and
treated in the same way prior to qualitative examination by SEM. Data were
subjected to one-way anova and post hoc Tukey's test. RESULT: The greatest mean
surface hardness values (53.19 +/- 4.124) were observed following exposure to pH
7.4 with the values decreasing to 14.34 +/- 6.477 following exposure to pH 4.4.
The difference between these values at the 95% CI (33.39-44.30) was statistically
significant (P < 0.0001). There were no distinct morphological differences
between groups in terms of the internal microstructure. However, a trend was
observed that the more acidic the solution, the more extensive the porosity of
the specimens. CONCLUSION: Under the conditions of this study, surface hardness
of MTA was impaired in an acidic environment.
PMID- 18042227
TI - Biopsychosocial correlates of headache: what predicts pediatric headache
occurrence?
AB - OBJECTIVE: The study aims at identifying biopsychosocial risk factors for
headache in children and adolescents aged 9 to 14. METHODS: An epidemiological
survey was conducted in a randomly drawn population sample of families with
children in the above age group. Questionnaires were mailed to parents and
children (n=6400), on whose data this report is based. The objective of the study
was to establish a profile of risk factors regarding the occurrence of headache.
Headache, as the criterion variable, was ranked according to its frequency in the
last 6 months (no, rare, monthly, weekly). Independent variables came from 6
domains: health, socioeconomic, family, school, leisure/peers, and psychological
factors. Data analysis was conducted via multinomial regression analyses in a 4
step strategy: (1) analysis of age and sex as control variables; (2) analysis of
single variables from each of the 6 domains (controlled for age and sex); (3)
domain analyses; and (4) comprehensive analysis including all significant
variables from the domain analyses. RESULTS: Age and sex explained a small but
significant proportion of the variance in headache frequency (3.5%). All health
variables, several socioeconomic, and most family- and school-related as well as
the psychological variables demonstrated a significant association with the
criterion in the single variable models. However, only a few of the variables
related to leisure/peer activities reached significance. The domain model
comprising health variables explained 27% of the variance, achieving the best
model fit, followed by the psychological model with 13%. CONCLUSIONS: The
comprehensive model was able to explain one third of the total variance in
headache occurrence. Contrary to our hypothesis, the addition of psychosocial
variables to health-related predictors did not markedly improve model fit.
PMID- 18042228
TI - Patterns of hepatocellular carcinoma incidence in Egypt from a population-based
cancer registry.
AB - AIM: Hepatocellular carcinoma (HCC) is increasing worldwide, and is frequently
attributed to rising rates of hepatitis C virus infection and interactions
between viral and environmental risk factors. Because of Egypt's unique risk
factor profile, we analyzed data from the Gharbiah Population-Based Cancer
Registry for the period 1999-2003 to characterize demographic and geographic
patterns of cases in this province. METHODS: We calculated age- and sex-specific
and age- and sex-standardized HCC incidence rates for the eight districts in
Gharbiah. We also compared rates from Gharbiah with the USA (US Surveillance
Epidemiology and End RESULTS [SEER] database). RESULTS: The analysis revealed a
higher incidence in males than in females, significant geographic variations
among districts, and a higher incidence in Gharbiah than that reported by SEER.
CONCLUSION: The findings of this study document the heterogeneous distribution of
HCC at regional and international levels. This population-based registry offers
the opportunity for careful representative studies of various etiologies,
particularly infectious and/or environmental factors that may contribute to risk.
PMID- 18042229
TI - Botulinum Toxin Type A in the treatment of chronic migraine without medication
overuse.
AB - INTRODUCTION: Chronic migraine is a recent diagnostic term that has undergone
evolution from its original description. Clinically it has been believed that
medication overuse contributed to its development and would block attempts at
prevention. Previous studies with Botulinum Toxin Type A have demonstrated that
it is effective even in patients with medication overuse. This study undertakes
to examine the effects of Botulinum Toxin Type A in the absence of medication
overuse in patients with chronic migraine. STUDY DESIGN: Double-blind placebo
controlled randomized trial of Botulinum Toxin Type A 100 units administered in a
fixed dose and site paradigm. PATIENTS: In total, 86 patients were enrolled. A
total of 60 patients were randomized and 41 patients were treated with the study
medication or placebo. Five patients failed to complete the study, which lasted 4
months after the study medication was injected. RESULTS: Botulinum Toxin Type A
was statistically superior to placebo for the primary endpoint of reduction in
migraine headache episodes. Six patients on Botulinum Toxin Type A compared with
3 patients on Placebo had at least a 50% reduction in their migraine episodes.
Active treatment was superior to placebo for the secondary endpoints of total
headache days, headache index, and quality of life measures. It showed numerical
superiority to placebo for acute medication use and Migraine Disability
Assessment Scores. Adverse events were rare and similar in both treatment groups.
CONCLUSIONS: The use of Botulinum Toxin Type A may be an effective treatment for
chronic migraine when the patient does not have concomitant medication overuse.
It was well tolerated in this trial.
PMID- 18042230
TI - Quantification of class 1 integron abundance in natural environments using real
time quantitative PCR.
AB - Integrons are bacterial genetic elements capable of capturing and expressing
potentially adaptive genetic material. Class 1 integrons constitute the most
intensely studied group of these elements to date, mainly due to their well
established role in the acquisition and dissemination of antibiotic resistance
genes in clinical environments. However, virtually nothing is known about the
distribution or abundance of class 1 integrons outside of the clinical context.
Here we develop a SYBR Green-based real-time quantitative PCR assay capable of
quantifying the abundance of class 1 integrons in environmental samples. It was
shown that the abundance of the intI1 gene in creek sediment correlates with
ecological condition, implying that class 1 integrons provide selective
advantages relevant to environmental pressures other than the use of antibiotics.
By comparing the quantities of intI1 and 16S rRNA gene in each sample, it was
demonstrated that approximately 2.7% of cells potentially harbour a class 1
integron. These findings suggest that class 1 integrons are widespread in natural
environments removed from clinical settings and occur in a broader range of host
organisms than had previously been assumed on the basis of culture-dependent
estimates.
PMID- 18042231
TI - Characterization of glucose transport mutants of Saccharomyces cerevisiae during
a nutritional upshift reveals a correlation between metabolite levels and
glycolytic flux.
AB - Saccharomyces cerevisiae shows a marked preference for glucose and fructose,
revealed by the repression of genes whose products are involved in processing
other carbon sources. This response seems to be driven by sugar phosphorylation
in the first steps of glycolysis rather than by the external sugar concentration.
To gain a further insight into the role of the internal sugar signalling
mechanisms, were measured the levels of upper intracellular glycolytic
metabolites and adenine nucleotides in three mutant strains, HXT1, HXT7 and TM6*,
with progressively reduced uptake capacities in comparison with the wild type.
Reducing the rate of sugar consumption caused an accumulation of hexose
phosphates upstream of the phosphofructokinase (PFK) and a reduction of fructose
1,6-bisphosphate levels. Mathematical modelling showed that these effects may be
explained by changes in the kinetics of PFK and phosphoglucose isomerase.
Moreover, the model indicated a modified sensitivity of the pyruvate
dehydrogenase and the trichloroacetic acid cycle enzymes towards the NAD/NADH in
the TM6* strain. The activation of the SNF1 sugar signalling pathway, previously
observed in the TM6* strain, does not correlate with a reduction of the ATP : AMP
ratio as reported in mammals. The mechanisms that may control the glycolytic rate
at reduced sugar transport rates are discussed.
PMID- 18042232
TI - Defining the spectrum of international practice in pediatric epilepsy surgery
patients.
AB - PURPOSE: The Pediatric Epilepsy Surgery Sub-commission of the International
League Against Epilepsy conducted a survey to determine the frequency of epilepsy
procedures and etiologies. METHODS: Data were gathered from 20 programs in the
United States, Europe, and Australia on 543 patients (<18 years) for calendar
year 2004. RESULTS: Age at seizure onset was 1 year or less in 46% of patients.
Intracranial electrodes were used in 27% of patients. The most common final
operation was lobar and focal resections of the frontal and temporal lobes (41%),
followed by cerebral hemispherectomy (16%), vagus nerve stimulator (16%), and
multilobar resections (13%). Multiple-subpial transections were uncommon
procedures (0.6%). The most frequent etiologies were cortical dysplasia (42%),
tumors (19%), and atrophic lesions and strokes (10%). Less common were vascular
lesions (1.5%), Rasmussen encephalitis (3%), Sturge-Weber (3%), and operations
for infantile spasms (4%), and Landau-Kleffner/ESES (<2%). Children <4 years
generally presented with daily seizures from cortical dysplasia and underwent
multilobar or hemispherectomy. Previous surgeries before 2004 were reported in
22%, and occurred more commonly in patients with tumors (40%), hypothalamic
hamartomas (47%), and vascular lesions (67%). U.S. centers reported more vagus
nerve stimulator procedures, operated more often on children with no or subtle
MRI findings, and used intracranial electrodes and functional neuroimaging more
frequently than programs in Europe and Australia. CONCLUSIONS: The results of
this international survey show the feasibility of international collaborations in
determining epilepsy etiologies and procedures in children, and suggest
differences in clinical practice between regions of the world. These results can
guide the design of future studies in producing guidelines for therapy-resistant
pediatric epilepsy surgery patients.
PMID- 18042233
TI - Epstein-Barr virus reactivation and multiple sclerosis.
AB - Infection with Epstein-Barr virus (EBV) is considered one of the possible key
environmental factors in the aetiology of multiple sclerosis (MS). Whether EBV
plays an underlying role as an activator of MS remains, however, controversial.
Sixty-one patients with definite relapsing-remitting multiple sclerosis (RRMS)
according to the Poser criteria were followed for 1 year. Blood samples were
drawn at baseline, months 3, 6 and 12, and in case of any clinical exacerbation.
Twenty-three baseline-paired exacerbation samples in the same set were
quantitatively analysed to examine whether exacerbations in MS were associated
with a change in anti-diffuse component of the EBV-early antigen (EA-D) IgG
ratio. All the 61 patients (100%) were anti-viral capsid antigen (VCA) IgG
positive, one (2%) was anti-VCA IgM positive and 60 (98%) were anti-EBV nuclear
antigen IgG positive. Mean anti-EA-D IgG at baseline was 0.57 (range 0.12-2.70)
and at the time of exacerbations 0.61 (range 0.11-2.70). Wilcoxon signed rank
test revealed no differences between the 23 baseline and paired exacerbation
samples (P = 0.58). Our findings suggest that reactivation of latent EBV
infection does not play a significant role for exacerbations in RRMS.
PMID- 18042234
TI - Brainstem in Machado-Joseph disease: atrophy or small size?
AB - Machado-Joseph disease (MJD), one of the most common types of hereditary
spinocerebellar degeneration caused by abnormal expansion of the CAG repeat in
the MJD1 gene, presents atrophy of the infratentorial structures
neuropathologically and neuroradiologically. Although a significant positive
correlation has been reported between infratentorial atrophy and the number of
expanded CAG repeat units, the exact changing course of brainstem size in the
individual case remains to be resolved. We investigated seven cases of
genetically confirmed MJD longitudinally by magnetic resonance imaging with
observation periods of 4.5-10.6 years. Measurement of the midsagittal areas of
infratentorial structures disclosed progressive atrophy of the pontine base and
cerebellum, which correlated significantly with age, whilst midbrain and pontine
tegmentum showed atrophy with no significant progression, suggesting it was
better identified as 'small size' and might have mostly been completed before the
initial symptoms. Such differences between regions in atrophy progression must be
caused by a difference in the neuropathological course.
PMID- 18042235
TI - Neuronal nitric oxide synthase C276T polymorphism increases the risk for
frontotemporal lobar degeneration.
AB - The neuronal nitric oxide synthase (nNOS) is abundantly expressed in the brain
and its transcripts have been found in the frontal cerebral cortex. Eighty-nine
patients with different neurodegenerative tau-related disorders, including 71
patients with frontotemporal lobar degeneration (FTLD), 12 with progressive
supranuclear palsy (PSP) and 6 with corticobasal degeneration (CBD), were
genotyped for the C276T single nucleotide polymorphism (SNP) in exon 29 of the
nNOS gene and compared with 190 age-matched controls (CON). A significantly
increased allelic frequency of the T allele was observed in patients compared
with CON (40.4% vs. 29.7%, P = 0.014, OR: 1.94, CI: 1.15-3.27). Considering each
disorder separately, significance was reached for FTLD only (39.4%, P = 0.0248
versus controls, OR: 1.96, CI: 1.11-3.47). However, the frequency of the T allele
was elevated also in patients with PSP (45.8%) and CBD (41.7%). No differences
were observed stratifying according to gender or apolipoprotein E status. The
C276T SNP acts as risk factor for sporadic FTLD, possibly influencing NOS1
transcription. Studies in larger populations are needed to confirm its role in
PSP and CBD.
PMID- 18042236
TI - Prevalence and incidence of multiple sclerosis in Salerno (southern Italy) and
its province.
AB - Many multiple sclerosis (MS) prevalence studies in Italian northern and central
areas, since 1980, have put Italy in a high risk zone; none concerns southern
Italy. The MS registry of Salerno Center was reviewed, including the city and 16
towns at different distances (9-149 km) better connected to our Center.
Population screened: 259 681 persons (Salerno = 136 678; province = 123 003).
Prevalence day was December 31, 2005. Data on 186 patients were collected of
which 55 were males, 131 females; crude total prevalence = 71.6263 (62.03-82.303,
ranging from 50.1128 (Oliveto Citra) to 431.499 (Controne). Salerno prevalence
rate is 70.9697 (57.41-86.583); standardized = 72.02. Incidence rate ranges from
2.38585 (1.6-3.39) (1991-95) to 4.31997 (3.24-5.6) (2001-05). Our data can be
underestimated because some patients could have skipped the local center. This
emphasizes that the results, except for Sardinia, are comparable to Italian
literature data. They confirm that this territory is a high risk area for MS.
There is also an indirect indication against a latitude gradient for MS.
PMID- 18042237
TI - Paraneoplastic encephalitis associated with ovarian teratoma and N-methyl-D
aspartate receptor antibodies.
PMID- 18042238
TI - A potential catastrophic trap: an unusually presenting sellar lesion.
AB - A 63-year-old man was admitted to our emergency unit complaining headache, vomit
and vertigo. A MR of the brain showed an expanding lesion within the sellar
region. A subsequent angio-MR excluded any intracranial vascular malformations.
Surprisingly, a cerebral angiography performed later on the basis of worsening of
neurological signs and symptoms, demonstrated an aneurysm of the internal carotid
artery. At the best of our knowledge, this is the first case of a thrombosis of
an intracavernous carotid aneurysm mimicking a pituitary apoplexy documented by
MR and angio-MR. The treatment of a milder syndrome of pituitary apoplexy is
still controversial. This case would favour conservative treatment opposed to
surgery at least when an intracavernous extension or invasion of the adenoma
would limit the opportunity of a complete tumour removal.
PMID- 18042239
TI - An observational study of first-line valproate monotherapy in focal epilepsy.
AB - The objective of this multinational open-label, prospective study was to collect,
under naturalistic conditions, data on the effectiveness and tolerability of
first-line monotherapy with valproate in subjects newly or recently diagnosed
with focal onset epilepsy. Patients were treated with sustained release sodium
valproate. Seizure control and occurrence of adverse events were assessed after 6
months. Around 1192 adults and 792 children were included. The mean daily
valproate dose was 683 mg in children and 987 mg in adults. The retention rate at
6 months was 90.0%. At this time, 77% of subjects were seizure free (83.7% of
children and 72.7% of adults). Adverse events possibly related to treatment were
observed in 10.2% of subjects, leading to treatment modification for 1.7%. The
most common adverse events were weight gain, gastro-intestinal, neurological and
skin disorders. Sustained release sodium valproate is effective and shows
acceptable tolerability as first-line monotherapy in focal onset epilepsy.
PMID- 18042240
TI - (99m)Tc-ethyl cysteinate dimer brain SPECT findings in early stage of dementia
with Lewy bodies and Parkinson's disease patients: a correlation with
neuropsychological tests.
AB - We examined clinical presentations, neuropsychological findings, and perfusion
patterns of (99m)Tc-ethyl cysteinate dimer (ECD) single photon emission computed
tomography (SPECT) in patients with early stage dementia with Lewy bodies (DLB)
(n = 17) and Parkinson's disease (PD) (n = 16), with age-matched healthy controls
(n = 10). Seven paired regions of interest (ROIs) were drawn manually including
inferior frontal, temporal, parietal, occipital, parieto-occipital junction,
striatum and thalamus for semiquantitative measurement. Neuropsychological tests
were applied for clinical correlation. The SPECT results showed significant
hypoperfusion in DLB group in frontal, parietal, thalamus, temporal ROIs compared
with controls (P < 0.01) whilst signals in temporal areas was significantly
reduced compared with PD group (P < 0.05). Neuropsychological tests showed that
DLB patients had deficits in mental manipulation, short-term memory, abstract
thinking, drawing and semantic verbal fluencies (P < 0.05, compared with
control). In addition, DLB group had lower scores than those with PD in mental
manipulation, drawing and semantic verbal fluency (P < 0.05). Our study showed
that even in early stages of DLB, neuropsychological and perfusion patterns were
evident and may be different from PD group, despite they shared certain
similarities both in neuropsychological and image findings compared with age
matched controls.
PMID- 18042241
TI - The frequency and nature of sleep disorders in a community-based population of
patients with Parkinson's disease.
AB - Sleep disturbances in Parkinson's disease (PD) are a common problem. The aim of
this study was to detail the frequency and nature of sleep disorders in a
representative population of PD patients. A recently identified prevalent
population, consisting of 161 PD patients were used as a representative
population. Twenty-seven of 122 (22%) patients were identified as having marked
sleep disorders, with sleep fragmentation and nocturia being the most commonly
reported problems. Sleep scores worsened with higher Hoehn and Yahr stages. Sleep
disturbances are a relatively common complication of PD and worsen with
increasing Hoehn and Yahr stage.
PMID- 18042242
TI - Leukaemia inhibitory factor is over-expressed by ischaemic brain tissue
concomitant with reduced plasma expression following acute stroke.
AB - Leukaemia inhibitory factor (LIF) is a glycoprotein of the interleukin-6 family,
which has potent pro-inflammatory properties and is involved in regulation of
neuronal differentiation. We have previously identified its upregulation in gene
microarrays following acute ischaemic stroke in man. LIF expression and
localization was measured in human ischaemic stroke autopsy specimens, in a rat
model of middle cerebral artery occlusion (MCAO) and in human foetal neural cell
cultures following oxygen-glucose deprivation (OGD) by Western blotting and
immunohistochemistry. Circulating LIF was determined in the plasma of patients in
the hyper-acute stroke phase using a multiplex enzyme-linked-immunosorbent
serologic assay system. Patients demonstrated an increase in LIF expression in
peri-infarcted regions with localization in neurons and endothelial cells of
microvessels surrounding the infarcted core. The rat MCAO model showed similar
upregulation in neurons with a peak increase at 90 min. Circulating serum LIF
expression was significantly decreased in the hyper-acute phase of stroke. Brain
derived neurons and glia cultured in vitro demonstrated an increase in
gene/protein and protein expression respectively following exposure to OGD.
Increased LIF expression in peri-infarcted regions and sequestration from the
peripheral circulation in acute stroke patients are characteristic of the
pathobiological response to ischaemia and tissue damage.
PMID- 18042243
TI - Spontaneous intracranial hypotension after labor without spinal intervention.
AB - We present a 29-year-old woman admitted with severe postural headache after
spontaneous term labor. Lactation ceased for the duration of headache. Magnetic
resonance imaging (MRI) revealed dural thickening that is suggestive of
spontaneous intracranial hypotension. CT-cisternography disclosed cervicodorsal
dural leak. She was treated with a high-volume epidural blood patch (EBP) and her
symptoms were relieved. Lactation returned to normal after EBP. She had normal
findings on follow-up MRI examination at 6 months.
PMID- 18042244
TI - Cerebral activity modulation by extradural motor cortex stimulation in
Parkinson's disease: a perfusion SPECT study.
AB - Extradural motor cortex stimulation (EMCS) has been proposed as alternative to
deep brain stimulation (DBS) in the treatment of Parkinson's disease (PD). Its
mechanisms of action are still unclear. Neuroimaging evidenced motor cortical
dysfunction in PD that can be reversed by therapy. We performed left hemisphere
EMCS surgery in six advanced PD patients fulfilling CAPSIT criteria for DBS with
the exception of age >70 years. After 6 months, we measured regional cerebral
blood flow (rCBF) at rest with SPECT and Tc-99m cysteinate dimer bicisate off
medication with stimulator off and on. Clinical assessment included Unified
Parkinson's Disease Rating Scale part II and III, Abnormal Involuntary Movement
Scale and mean dopaminergic medication dosage. We used statistical parametric
mapping for imaging data analysis. Clinically we observed no mean changes in
motor scales, although blinded evaluation revealed some benefit in individual
patients. We found significant rCBF decrements in the pre-central gyrus, pre
motor cortex and caudate nucleus bilaterally, left prefrontal areas and right
thalamus. Perfusion increments were found in cerebellum bilaterally. EMCS
determined significant modulation of neuronal activity within the cortico-basal
ganglia-thalamo-cortical motor loop in our cohort of advanced PD patients.
However, these effects were paralleled by mild and variable clinical efficacy.
PMID- 18042245
TI - Constant dopaminergic stimulation by transdermal delivery of dopaminergic drugs:
a new treatment paradigm in Parkinson's disease.
AB - Current dopaminergic therapies for the treatment of Parkinson's disease are
associated with the development of long-term motor complications. Abnormal
pulsatile stimulation of dopamine receptors is thought to underlie the
development of motor complications. There is thus a need for therapies that mimic
the normal physiological state more closely by resulting in constant dopaminergic
stimulation (CDS). Several studies support the hypothesis that CDS can reverse
levodopa-induced motor complications. Other potential benefits of CDS include
alleviating nocturnal disturbances, minimizing daytime sleepiness, avoiding
priming for motor fluctuations and dyskinesia, preventing the development of
gastrointestinal dysfunction and reducing the risk of developing psychosis or
behavioural disturbances. Continuous infusion of dopaminergic therapies is
impractical for the routine treatment of large numbers of patients. Although
catechol-O-methyltransferase inhibitors or sustained-release preparations of
levodopa may be beneficial, they do not entirely eliminate pulsatile stimulation
of dopamine receptors. A new dopamine agonist (rotigotine), delivered over 24 h
by a once-daily transdermal patch, has been investigated in several clinical
trials. Continuous delivery of rotigotine has been shown to provide 'true' CDS in
animal models. The potential of true CDS therapy to prevent or reduce long-term
motor and non-motor complications requires investigation in appropriately
designed clinical trials.
PMID- 18042246
TI - A profile of the residues in the second extracellular loop that are critical for
ligand recognition of human prostacyclin receptor.
AB - The residues in the second extracellular loop (eLP2) of the prostanoid receptors,
which are important for specific ligand recognition, were previously predicted in
our earlier studies of the thromboxane A2 receptor (TP) using a combination of
NMR spectroscopy and recombinant protein approaches. To further test this
hypothesis, another prostanoid receptor, the prostacyclin receptor (IP), which
has opposite biological characteristics to that of TP, was used as a model for
these studies. A set of recombinant human IPs with site-directed mutations at the
nonconserved eLP2 residues were constructed using an Ala-scanning approach, and
then expressed in HEK293 and COS-7 cells. The expression levels of the
recombinant receptors were six-fold higher in HEK293 cells than in COS-7 cells.
The residues important for ligand recognition and binding within the N-terminal
segment (G159, Q162, and C165) and the C-terminal segment (L172, R173, M174, and
P179) of IP eLP2 were identified by mutagenesis analyses. The molecular
mechanisms for the specific ligand recognition of IP were further demonstrated by
specific site-directed mutagenesis using different amino acid residues with
unique chemical properties for the key residues Q162, L172, R173, and M174. A
comparison with the corresponding functional residues identified in TP eLP2
revealed that three (Q162, R173, and M174) of the four residues are nonconserved,
and these are proposed to be involved in specific ligand recognition. We discuss
the importance of G159 and P179 in ligand recognition through configuration of
the loop conformation is discussed. These studies have further indicated that
characterization of the residues in the eLP2 regions for all eight prostanoid
receptors could be an effective approach for uncovering the molecular mechanisms
of the ligand selectivities of the G-protein-coupled receptors.
PMID- 18042247
TI - Migration of mesenchymal cell fated to blastema is necessary for fish fin
regeneration.
AB - Urodeles and fish have higher regeneration ability in a variety of tissues and
organs than do other vertebrate species including mammals. Though many studies
have aimed at identifying the cellular and molecular basis for regeneration,
relatively little is known about the detailed cellular behaviors and involved
molecular basis. In the present study, a small molecule inhibitor was used to
analyzed the role of phosphoinositide 3-kinase (PI3K) signaling during
regeneration. We showed that the inhibitor disrupted the formation of blastema
including the expression of characteristic genes. The failure of blastema
formation was due to the impaired migration of mesenchymal cells to the distal
prospective blastema region, although it had a little affect on cell cycle
activation in mesenchymal cells. Moreover, we found that the epidermal remodeling
including cell proliferation, distal cell migration and Akt phosphorylation was
also affected by the inhibitor, implying a possible involvement of epidermis for
proper formation of blastema. From these data, we propose a model in which
distinct signals that direct the cell cycle activation, mesenchymal cell
migration and epidermal remodeling coordinate together to accomplish the correct
blastema formation and regeneration.
PMID- 18042248
TI - Never perform laser treatment of skin tumors with clinical "EFG" criteria.
AB - Laser treatment is a common procedure for the treatment of cosmetically troubling
skin lesions but has the limitation that histopathologic diagnosis is usually not
obtained prior to treatment. Laser treatment of melanomas with benign clinical
features may delay or make more difficult the correct diagnosis of such tumors. A
helpful tool to identify clinically innocent appearing melanomas is the "EFG"
rule, summarizing the common clinical features as "elevated, firm skin lesions
showing continuous growth". We report a 42-year-old woman who presented with a
recurrent and metastatic melanoma after laser treatment of a tumor which was
apparently clinically innocent and highlight the clinical features of such benign
looking melanomas.
PMID- 18042249
TI - Repigmentation of pretibial vitiligo with calcineurin inhibitors under occlusion.
AB - Treatment of vitiligo is a challenge, especially in children. Recently, topical
calcineurin inhibitors have been introduced in the management of vitiligo, but
significant repigmentation is not achieved except on the face. Large pretibial
lesions of a 15-year-old female with progressive vitiligo were treated twice
daily over six months with 0.1% tacrolimus ointment on the right and 1%
pimecrolimus cream on the left side without effect. Additional overnight
occlusion with polyurethane and hydrocolloid foils during the following 18 months
led to substantial repigmentation on both sides (tacrolimus-treated side, 88%
repigmented area; pimecrolimus-treated side, 73%). Tacrolimus serum levels
measured at four different time points did not exceed 1.8 ng/ml. This case report
on a direct comparison of topical tacrolimus and pimecrolimus in vitiligo shows
that on the shins considerable improvement could be induced with both agents only
by additional long-term occlusion and that tacrolimus was somewhat more effective
than pimecrolimus.
PMID- 18042250
TI - Outcome survey of insect venom allergic patients with venom immunotherapy in a
rural population.
AB - BACKGROUND: Hymenoptera venom anaphylaxis is a frightening event that affects
physical and psychical functioning. PATIENTS AND METHODS: Retrospective survey of
182 Hymenoptera venom allergic patients living in a rural area using a
questionnaire targeting on patients' satisfaction during therapy, fear of
anaphylactic recurrences and changes in lifestyle before and after venom
immunotherapy (VIT). Additionally, patients' self-assessment of quality of life,
daily outdoor time and re-sting rate were recorded. RESULTS: 146 patients
returned the questionnaire (58.9% male, 41.1% female, 25.3% honey bee allergic,
67.8% wasp allergic, 41.1% re-sting rate, mean follow-up time 6.5 years).
Measurement of the parameters fear, satisfaction and changes in lifestyle
revealed a significant improvement after VIT. This correlated with the
patients'self-assessment of quality of life,when 89.7% declared an improvement
after VIT. Although the improvement was higher in patients with re-stings, also
patients without re-stings clearly benefited from VIT. Interestingly, females
were significantly more affected by Hymenoptera venom allergy than males,whereas
both genders showed a similar improvement after VIT. CONCLUSIONS: Patients with
Hymenoptera venom sting allergy significantly benefit from VIT in regard to both
biological and psychological outcome. VIT should still be provided to all
Hymenoptera venom allergic patients as standard of care.
PMID- 18042251
TI - Rapid growth of malignant melanoma in pregnancy.
AB - Malignant melanoma during pregnancy is a difficult problem as a variety of risks
to both the mother and fetus must be weighed. We describe a rapidly progressive
malignant melanoma diagnosed during pregnancy. There are no standarized
guidelines for treatment; each case requires an individualized approach. We
review the literature and present an algorithm to aid in approaching such
patients.
PMID- 18042252
TI - Muramylpeptide shedding modulates cell sensing of Shigella flexneri.
AB - Bacterial infections trigger the activation of innate immunity through the
interaction of pathogen-associated molecular patterns (PAMPs) with pattern
recognition molecules (PRMs). The nucleotide-binding oligomerization domain (Nod)
proteins are intracellular PRMs that recognize muramylpeptides contained in
peptidoglycan (PGN) of bacteria. It is still unclear how Nod1 physically
interacts with PGN, a structure internal to the Gram-negative bacterial envelope.
To contribute to the understanding of this process, we demonstrate that, like
Escherichia coli, Bordetella pertussis and Neisseria gonorrheae, the Gram
negative pathogen Shigella spontaneously releases PGN fragments and that this
process can be increased by inactivating either ampG or mppA, genes involved in
PGN recycling. Both Shigella mutants, but especially the strain carrying the mppA
deletion, trigger Nod1-mediated NF-kappaB activation to a greater extent than the
wild-type strain. Likewise, muramylpeptides spontaneously shed by Shigella are
able per se to trigger a Nod1-mediated response consistent with the relative
amount. Finally, we found that qualitative changes in muramylpeptide shedding can
alter in vivo host responses to Shigella infection. Our findings support the idea
that muramylpeptides released by pathogens during infection could modulate the
immune response through Nod proteins and thereby influence the outcome of
disease.
PMID- 18042253
TI - Clathrin-dependent trafficking of subtilase cytotoxin, a novel AB5 toxin that
targets the endoplasmic reticulum chaperone BiP.
AB - Subtilase cytotoxin (SubAB) is the prototype of a new family of AB5 cytotoxins
produced by Shiga toxigenic Escherichia coli. Its cytotoxic activity is due to
its capacity to enter cells and specifically cleave the endoplasmic reticulum
(ER) chaperone BiP. However, its trafficking within target cells has not been
investigated previously. In Vero cells, fluorescence colocalization with
subcellular markers established that SubAB is trafficked from the cell surface to
the ER via a retrograde pathway similar, but not identical, to those of Shiga
toxin (Stx) and cholera toxin (Ctx), with their pathways converging at the Golgi.
The clathrin inhibitor phenylarsine oxide prevented SubAB entry and BiP cleavage
in SubAB-treated Vero, HeLa and N2A cells, while cholesterol depletion did not,
demonstrating that, unlike either Stx or Ctx, SubAB internalization is
exclusively clathrin-dependent.
PMID- 18042254
TI - Parvovirus interference with intracellular signalling: mechanism of PKCeta
activation in MVM-infected A9 fibroblasts.
AB - Autonomous parvoviruses are strongly dependent on the phosphorylation of the
major non-structural protein NS1 by members of the protein kinase C (PKC) family.
Besides being accompanied with changes in the overall phosphorylation pattern of
NS1 and acquiring new modifications at consensus PKC sites, ongoing minute virus
of mice (MVM) infections lead to the appearance of new phosphorylated cellular
protein species. This prompted us to investigate whether MVM actively interferes
with phosphoinositol-dependent kinase (PDK)/PKC signalling. The activity,
subcellular localization and phosphorylation status of the protein kinases PDK1,
PKCeta and PKClambda were measured in A9 cells in the presence or absence of MVM
infection. Parvovirus infection was found to result in activation of both PDK1
and PKCeta, as evidenced by changes in their subcellular distribution and overall
(auto)phosphorylation. We show evidence that activation of PKCeta by PDK1 is
driven by atypical PKClambda. By modifying the hydrophobic motif of PKCeta,
PKClambda appeared to control docking and consecutive phosphorylation of PKCeta's
activation-loop by PDK1, a process that was inhibited in vivo in the presence of
a dominant-negative PKClambda mutant.
PMID- 18042255
TI - Pseudomonas aeruginosa virulence genes identified in a Dictyostelium host model.
AB - The human pathogen Pseudomonas aeruginosa has been shown previously to use
similar virulence factors when infecting mammalian hosts or Dictyostelium
amoebae. Here we randomly mutagenized a clinical isolate of P. aeruginosa, and
identified mutants with attenuated virulence towards Dictyostelium. These mutant
strains also exhibited a strong decrease in virulence when infecting Drosophila
and mice, confirming that P. aeruginosa makes use of similar virulence traits to
confront these very different hosts. Further characterization of these bacterial
mutants showed that TrpD is important for the induction of the quorum-sensing
circuit, while PchH and PchI are involved in the induction of the type III
secretion system. These results demonstrate the usefulness and the relevance of
the Dictyostelium host model to identify and analyse new virulence genes in P.
aeruginosa.
PMID- 18042256
TI - Induction of lytic cycle replication of Kaposi's sarcoma-associated herpesvirus
by herpes simplex virus type 1: involvement of IL-10 and IL-4.
AB - Previously, we identified that both human herpesvirus 6 and human
immunodeficiency virus type 1 Tat were important cofactors that activated lytic
cycle replication of Kaposi's sarcoma-associated herpesvirus (KSHV). Here, we
further investigated the potential of herpes simplex virus type 1 (HSV-1) to
influence KSHV replication. We demonstrated that HSV-1 was a potentially
important factor in the pathogenesis of Kaposi's sarcoma, as determined by
production of lytic phase mRNA transcripts, viral proteins and infectious viral
particles in BCBL-1 cells. These results were further confirmed by an RNA
interference experiment using small interfering RNA targeting KSHV ORF50 and a
luciferase reporter assay testing ORF50 promoter-driven luciferase activity.
Finally, we discovered that production of human interleukin-10 (IL-10) and IL-4
partially contributed to HSV-1-induced KSHV replication. Our data present the
first direct evidence that HSV-1 can activate KSHV lytic replication and suggest
a role of HSV-1 in KSHV pathogenesis.
PMID- 18042257
TI - Iron and fungal pathogenesis: a case study with Cryptococcus neoformans.
AB - The acquisition of iron from mammalian hosts is an important aspect of infection
because microbes must compete with the host for this nutrient and iron perception
often regulates virulence factor expression. For example, iron levels are known
to influence the elaboration of two major virulence factors, the polysaccharide
capsule and melanin, in the pathogenic fungus Cryptococcus neoformans. This
pathogen, which causes meningoencephalitis in immunocompromised people, acquires
iron through the use of secreted reductants, cell surface reductases, a
permease/ferroxidase uptake system and siderophore transporters. In addition, a
master regulator, Cir1, integrates iron sensing with the expression of virulence
factors, with growth at 37 degrees C and with signalling pathways that also
influence virulence. The challenge ahead is to develop mechanistic views of the
iron acquisition functions and regulatory schemes that operate when C. neoformans
is in host tissue. Achieving these goals may contribute to an understanding of
the notable predilection of the fungus for the mammalian central nervous system.
PMID- 18042258
TI - Tick-borne encephalitis virus NS5 associates with membrane protein scribble and
impairs interferon-stimulated JAK-STAT signalling.
AB - Tick-borne encephalitis virus (TBEV) NS5 protein is a multifunctional RNA
dependent RNA polymerase that is indispensable for viral replication. TBEV is
considered to be highly neurovirulent and can cause lethal encephalitis. In this
study, we demonstrate a novel interaction between TBEV NS5 and the PDZ protein
scribble (hScrib) affecting interferon (IFN) type I and II mediated JAK-STAT
signalling. The sequence of TBEV NS5 interacting with hScrib was identified using
extensive site-directed mutagenesis analysis. Two consecutive mutations in the
methyltransferase (MTase) domain of NS5 were found to disrupt binding to hScrib.
Colocalization studies with hScrib demonstrated that TBEV NS5 was present at the
plasma membrane of mammalian cells. To address the role of viral interference
with the IFN response, NS5 proteins were expressed in IFN-stimulated cells. While
TBEV NS5 substantially blocked phosphorylation of STAT1, a mutated NS5 protein
defective in hScrib binding failed to inhibit JAK-STAT signalling correctly.
Furthermore, hScrib knock-down resulted in re-localization of NS5 to
intracellular locations and abrogated the impaired STAT1 phosphorylation. These
results define the TBEV NS5 protein in concert with hScrib as an antagonist of
the IFN response, by demonstrating a correlation between the association and JAK
STAT interference.
PMID- 18042259
TI - Baculovirus-mediated immediate-early gene expression and nuclear reorganization
in human cells.
AB - Baculovirus, Autographa californica multiple nucleopolyhedrovirus (AcMNPV), has
the ability to transduce mammalian cell lines without replication. The general
objective of this study was to detect the transcription and expression of viral
immediate-early genes in human cells and to examine the interactions between
viral components and subnuclear structures. Viral capsids were seen in large,
discrete foci in nuclei of both dividing and non-dividing human cells.
Concurrently, the transcription of viral immediate-early transregulator genes (ie
1, ie-2) and translation of IE-2 protein were detected. Quantitative microscopy
imaging and analysis showed that virus transduction altered the size of
promyelocytic leukaemia nuclear bodies, which are suggested to be involved in
replication and transcription of various viruses. Furthermore, altered
distribution of the chromatin marker Draq5 and histone core protein (H2B) in
transduced cells indicated that the virus was able to induce remodelling of the
host cell chromatin. To conclude, this study shows that the non-replicative
insect virus, baculovirus and its proteins can induce multiple changes in the
cellular machinery of human cells.
PMID- 18042260
TI - HIV-1 matrix protein p17 binds to monocytes and selectively stimulates MCP-1
secretion: role of transcriptional factor AP-1.
AB - HIV-1 matrix protein p17 activates a variety of cell responses which play a
critical role in viral replication and infection. Its activity depends on the
expression of p17 receptors (p17R) on the surface of target cells. Whether p17
also plays a role in stimulating human monocytes, a major HIV-1 reservoir, is not
known. Here we show that human monocytes constitutively express p17Rs and that
p17 selectively triggers these cells to produce MCP-1. The effect of p17 on MCP-1
expression was observed at the transcriptional level and was primarily dependent
on the activation of the transcription factor AP-1. p17 increased the binding
activity of AP-1 complexes in a time- and dose-dependent manner. Deletion of the
AP-1 binding sites in the MCP-1 promoter resulted in the lack of p17-induced MCP
1 transcription. In particular, the P3 binding site located between -69 and -63
position seems to be essential to MCP-1 mRNA induction in p17-treated monocytes.
An ever increasing amount of evidences shows a tight link between biologically
dysregulated monocytes, AP-1 activation, MCP-1 release and HIV-1 pathogenesis.
Overall our results suggest that p17 may play a critical role in the monocyte
mediated inflammatory processes, which are suspected to be major precipitating
events in AIDS-defining diseases.
PMID- 18042261
TI - Elevated activity and microglial expression of myeloperoxidase in demyelinated
cerebral cortex in multiple sclerosis.
AB - Recent studies have revealed extensive cortical demyelination in patients with
progressive multiple sclerosis (MS). Demyelination in gray matter lesions is
associated with activation of microglia. Macrophages and microglia are known to
express myeloperoxidase (MPO) and generate reactive oxygen species during myelin
phagocytosis in the white matter. In the present study we examined the extent of
microglial activation in the cerebral cortex and the relationship of microglial
activation and MPO activity to cortical demyelination. Twenty-one cases of
neuropathologically confirmed multiple sclerosis, with 34 cortical lesions, were
used to assess microglial activation. HLA-DR immunolabeling of activated
microglia was significantly higher in demyelinated MS cortex than control cortex
and, within the MS cohort, was significantly greater within cortical lesions than
in matched non-demyelinated areas of cortex. In homogenates of MS cortex,
cortical demyelination was associated with significantly elevated MPO activity.
Immunohistochemistry revealed MPO in CD68-positive microglia within cortical
plaques, particularly toward the edge of the plaques, but not in microglia in
adjacent non-demyelinated cortex. Cortical demyelination in MS is associated with
increased activity of MPO, which is expressed by a CD68-positive subset of
activated microglia, suggesting that microglial production of reactive oxygen
species is likely to be involved in cortical demyelination.
PMID- 18042262
TI - Mutation and phenotypic spectrum in patients with cardio-facio-cutaneous and
Costello syndrome.
AB - Cardio-facio-cutaneous (CFC) and Costello syndrome (CS) are congenital disorders
with a significant clinical overlap. The recent discovery of heterozygous
mutations in genes encoding components of the RAS-RAF-MAPK pathway in both CFC
and CS suggested a similar underlying pathogenesis of these two disorders. While
CFC is heterogeneous with mutations in BRAF, MAP2K1, MAP2K2 and KRAS, HRAS
alterations are almost exclusively associated with CS. We carried out a
comprehensive mutation analysis in 51 CFC-affected patients and 31 individuals
with CS. Twelve different BRAF alterations were found in twenty-four patients
with CFC (47.0%), two MAP2K1 mutations in five (9.8%) and two MAP2K2 sequence
variations in three CFC-affected individuals (5.9%), whereas three patients had a
KRAS alteration (5.9%). We identified four different missense mutations of HRAS
in twenty-eight cases with CS (90.3%), while KRAS mutations were detected in two
infants with a phenotype meeting criteria for CS (6.5%). In 14 informative
families, we traced the parental origin of HRAS alterations and demonstrated
inheritance of the mutated allele exclusively from the father, further confirming
a paternal bias in the parental origin of HRAS mutations in CS. Careful clinical
evaluation of patients with BRAF and MAP2K1/2 alterations revealed the presence
of slight phenotypic differences regarding craniofacial features in MAP2K1- and
MAP2K2-mutation positive individuals, suggesting possible genotype-phenotype
correlations.
PMID- 18042263
TI - Sotos syndrome caused by a paracentric inversion disrupting the NSD1 gene.
PMID- 18042264
TI - Australia and New Zealand survey of glaucoma practice patterns.
AB - BACKGROUND: To evaluate current glaucoma practices among consultant
ophthalmologists in Australia and New Zealand. METHODS: A postal questionnaire of
25 questions regarding current glaucoma practices and the use of antimetabolites
and steroids in trabeculectomy surgery was sent to all practising Australian and
New Zealand Fellows of the Royal Australian and New Zealand College of
Ophthalmologists (as of June 2005). This paper looked at glaucoma practice
patterns and consisted of questions on demographic data, the definition of
glaucoma, intraocular pressure measurements, central corneal thickness,
gonioscopy, optic disc assessment, preferred first-line glaucoma medications and
laser trabeculoplasty. RESULTS: The overall response rate was 78% (627 out of
808). Ninety-one per cent stated that they managed glaucoma of which 12%
considered themselves as a glaucoma subspecialist. Eighty-two per cent of
ophthalmologists defined glaucoma as an optic neuropathy and 66% measured the
intraocular pressure themselves. Pachymetry was routinely measured by 37%. When
performing gonioscopy, 56% did this (more than 50% of the time) but only 13%
repeated it. Fifty-eight per cent assessed the optic disc by objective means and
18% used this to follow glaucoma patients. Eighty-two per cent preferred a
prostaglandin analogue as first-line treatment of primary open angle glaucoma and
79% did less than 10 argon laser trabeculoplasties in 2004. CONCLUSIONS: This
survey shows a wide range of glaucoma practice patterns among Australian and New
Zealand ophthalmologists. Gonioscopy (initial and repeat) and objective
assessment of the optic disc were poorly done.
PMID- 18042265
TI - Daytime steady-state haemoglobin desaturation is a risk factor for overt stroke
in children with sickle cell anaemia.
AB - Haemoglobin (Hb) desaturation could increase the risk of stroke in sickle cell
anaemia (SS) by perturbing endothelial function and limiting oxygen delivery to
the brain. We performed a nested case-control study of the Dallas Newborn Cohort
to determine whether daytime steady-state Hb desaturation was associated with
overt stroke in children with SS. Cases had SS and overt ischaemic strokes.
Controls had comparable genotypes but no overt stroke. Cases had lower prestroke
steady-state pulse oximetry values (SpO(2)) than controls, and cases' SpO(2) fell
even lower as the time to impending stroke decreased. The odds ratio for stroke
was 1.32 for each 1% decrease in SpO(2). In conclusion, steady-state Hb
desaturation is a risk factor for overt ischaemic stroke in children with SS.
Decline in SpO(2) over time further increases this risk. Hb desaturation is
easily measured, potentially modifiable, and could be used to identify children
with SS at increased risk of stroke.
PMID- 18042266
TI - Thalidomide and bowel perforation: four cases in one hospital.
PMID- 18042267
TI - Association between folate-metabolizing pathway polymorphism and non-Hodgkin
lymphoma.
AB - Polymorphisms in the genes coding folate-metabolizing enzymes affect the risk of
some forms of cancer. We investigated the association between these polymorphisms
and non-Hodgkin lymphoma (NHL) risk in a population-based study (583 cases and
1700 controls). The MTHFR 677TT and CT genotypes were associated with reduced
risk for NHL [odds ratios (OR) = 0.79; 95% confidence intervals (CI) = 0.65-0.98
for 677CT and 0.61; 0.45-0.82 for 677TT] and diffuse large B-cell lymphoma
(DLBCL) (OR = 0.68; 0.51-0.88 for 677CT; OR = 0.56; 0.38-0.83 for 677TT). The
MTHFR 1298CC genotype was associated with increased risk for NHL (OR = 1.71; 1.07
2.75) and T-cell lymphoma (OR = 3.05; 1.53-6.11). The MTRR 66GG genotype was
associated with increased risk for DLBCL (OR = 1.56; 1.03-2.38) and the TYMS 2R2R
genotype was associated with increased risk for T-cell lymphoma (OR = 2.83; 1.33
6.01). Using subjects with 3RG3RG as a reference group, TYMS 2R2R was associated
with increased risk for T-cell lymphoma (OR = 2.46; 1.04-5.79). Interestingly, we
observed a reduced association between the TYMS 2R3RG genotype and DLBCL (OR =
0.61; 0.38-0.99). These results suggest that MTHFR, MTRR and TYMS polymorphisms
may play a significant role in the risk for NHL.
PMID- 18042268
TI - Images in haematology. Human babesiosis.
PMID- 18042269
TI - Distribution of etiologies in patients above and below age 45 with first-ever
ischemic stroke.
AB - BACKGROUND: There is limited information about distribution of etiologies of
ischemic stroke in different age groups. MATERIALS AND METHODS: In this study, we
applied the Trial of ORG 10172 in Acute Stroke Treatment (TOAST) classification
in 87 patients aged < or = 45, and in 347 patients aged 46-60 years with first
ever ischemic stroke in order to follow the distribution of stroke etiologies in
different age groups. RESULTS: Traditional risk factors, except smoking and
atrial fibrillation, were more frequent in older patients. The most frequent
etiologies in the younger stroke patients (aged < or = 45) were 'other' than
routine causes (26.4%), cardioembolism (22.4%) and 'idiopathic' strokes (20.7%),
when no cause was found. In older patients (aged 46-60), small vessel disease
(25.1%) and cardioembolism (22.2%) were the most frequent etiologies of stroke.
CONCLUSIONS: In stroke patients below the age of 45, the TOAST classification
should be expanded to better classify the wide diversity of stroke etiologies.
The relatively low frequency of routine stroke etiologies in patients aged < or =
45 can be explained by the significantly lower prevalence of traditional risk
factors in these patients. In patients 46-60 years old, the TOAST classification
is adequate in the characterization of ischemic stroke etiologies.
PMID- 18042270
TI - An extension of the regression of offspring on mid-parent to test for association
and estimate locus-specific heritability: the revised ROMP method.
AB - The Regression of Offspring on Mid-Parent (ROMP) method is a test of association
between a quantitative trait and a candidate locus. ROMP estimates the trait
heritability and the heritability attributable to a locus and requires genotyping
the offspring only. In this study, the theory underlying ROMP was revised
(ROMP(rev)) and extended. Computer simulations were used to determine the type I
error and power of the test of association, and the accuracy of the locus
specific heritability estimate. The ROMP(rev) test had good power at the 5%
significance level with properly controlled type I error. Locus-specific
heritability estimates were, on average, close to simulated values. For non-zero
locus-specific heritability, the proposed standard error was downwardly biased,
yielding reduced coverage of 95% confidence intervals. A bootstrap approach with
proper coverage is suggested as a second step for loci of interest. ROMP(rev) was
applied to a study of cardiovascular-related traits to illustrate its use. An
association between polymorphisms within the fibrinogen gene cluster and plasma
fibrinogen was detected (p < 0.005) that accounted for 29% of the estimated
fibrinogen heritability. The ROMP(rev) method provides a computationally fast and
simple way of testing for association and obtaining accurate estimates of locus
specific heritability while minimizing the genotyping required.
PMID- 18042271
TI - A clinically integrated curriculum in evidence-based medicine for just-in-time
learning through on-the-job training: the EU-EBM project.
AB - BACKGROUND: Over the last years key stake holders in the healthcare sector have
increasingly recognised evidence based medicine (EBM) as a means to improving the
quality of healthcare. However, there is considerable uncertainty about the best
way to disseminate basic knowledge of EBM. As a result, huge variation in EBM
educational provision, setting, duration, intensity, content, and teaching
methodology exists across Europe and worldwide. Most courses for health care
professionals are delivered outside the work context ('stand alone') and lack
adaptation to the specific needs for EBM at the learners' workplace. Courses with
modern 'adaptive' EBM teaching that employ principles of effective continuing
education might fill that gap. We aimed to develop a course for post-graduate
education which is clinically integrated and allows maximum flexibility for
teachers and learners. METHODS: A group of experienced EBM teachers, clinical
epidemiologists, clinicians and educationalists from institutions from eight
European countries participated. We used an established methodology of curriculum
development to design a clinically integrated EBM course with substantial
components of e-learning. An independent European steering committee provided
input into the process. RESULTS: We defined explicit learning objectives about
knowledge, skills, attitudes and behaviour for the five steps of EBM. A handbook
guides facilitator and learner through five modules with clinical and e-learning
components. Focussed activities and targeted assignments round off the learning
process, after which each module is formally assessed. CONCLUSION: The course is
learner-centred, problem-based, integrated with activities in the workplace and
flexible. When successfully implemented, the course is designed to provide just
in-time learning through on-the-job-training, with the potential for teaching and
learning to directly impact on practice.
PMID- 18042272
TI - Identification of DNA-binding proteins using support vector machines and
evolutionary profiles.
AB - BACKGROUND: Identification of DNA-binding proteins is one of the major challenges
in the field of genome annotation, as these proteins play a crucial role in gene
regulation. In this paper, we developed various SVM modules for predicting DNA
binding domains and proteins. All models were trained and tested on multiple
datasets of non-redundant proteins. RESULTS: SVM models have been developed on
DNAaset, which consists of 1153 DNA-binding and equal number of non DNA-binding
proteins, and achieved the maximum accuracy of 72.42% and 71.59% using amino acid
and dipeptide compositions, respectively. The performance of SVM model improved
from 72.42% to 74.22%, when evolutionary information in form of PSSM profiles was
used as input instead of amino acid composition. In addition, SVM models have
been developed on DNAset, which consists of 146 DNA-binding and 250 non-binding
chains/domains, and achieved the maximum accuracy of 79.80% and 86.62% using
amino acid composition and PSSM profiles. The SVM models developed in this study
perform better than existing methods on a blind dataset. CONCLUSION: A highly
accurate method has been developed for predicting DNA-binding proteins using SVM
and PSSM profiles. This is the first study in which evolutionary information in
form of PSSM profiles has been used successfully for predicting DNA-binding
proteins. A web-server DNAbinder has been developed for identifying DNA-binding
proteins and domains from query amino acid sequences
http://www.imtech.res.in/raghava/dnabinder/.
PMID- 18042273
TI - Evaluation and validation of candidate endogenous control genes for real-time
quantitative PCR studies of breast cancer.
AB - BACKGROUND: Real-time quantitative PCR (RQ-PCR) forms the basis of many breast
cancer biomarker studies and novel prognostic assays, paving the way towards
personalised cancer treatments. Normalisation of relative RQ-PCR data is required
to control for non-biological variation introduced during sample preparation.
Endogenous control (EC) genes, used in this context, should ideally be expressed
constitutively and uniformly across treatments in all test samples. Despite
widespread recognition that the accuracy of the normalised data is largely
dependent on the reliability of the EC, there are no reports of the systematic
validation of genes commonly used for this purpose in the analysis of gene
expression by RQ-PCR in primary breast cancer tissues. The aim of this study was
to identify the most suitable endogenous control genes for RQ-PCR analysis of
primary breast tissue from a panel of eleven candidates in current use. Oestrogen
receptor alpha (ESR1) was used a target gene to compare the effect of choice of
EC on the estimate of gene quantity. RESULTS: The expression and validity of
candidate ECs (GAPDH, TFRC, ABL, PPIA, HPRT1, RPLP0, B2M, GUSB, MRPL19, PUM1 and
PSMC4) was determined in 6 benign and 21 malignant primary breast cancer tissues.
Gene expression data was analysed using two different statistical models. MRPL19
and PPIA were identified as the most stable and reliable EC genes, while GUSB,
RPLP0 and ABL were least stable. There was a highly significant difference in
variance between ECs. ESR1 expression was appreciably higher in malignant
compared to benign tissues and there was a significant effect of EC on the
magnitude of the error associated with the relative quantity of ESR1. CONCLUSION:
We have validated two endogenous control genes, MRPL19 and PPIA, for RQ-PCR
analysis of gene expression in primary breast tissue. Of the genes in current use
in this field, the above combination offers increased accuracy and resolution in
the quantitation of gene expression data, facilitating the detection of smaller
changes in gene expression than otherwise possible. The combination identified
here is a good candidate for use as a two-gene endogenous control in a broad
spectrum of future research and diagnostic applications in breast cancer.
PMID- 18042274
TI - Age-related subproteomic analysis of mouse liver and kidney peroxisomes.
AB - BACKGROUND: Despite major recent advances in the understanding of peroxisomal
functions and how peroxisomes arise, only scant information is available
regarding this organelle in cellular aging. The aim of this study was to
characterize the changes in the protein expression profile of aged versus young
liver and kidney peroxisome-enriched fractions from mouse and to suggest possible
mechanisms underlying peroxisomal aging. Peroxisome-enriched fractions from 10
weeks, 18 months and 24 months C57bl/6J mice were analyzed by quantitative
proteomics. RESULTS: Peroxisomal proteins were enriched by differential and
density gradient centrifugation and proteins were separated by two-dimensional
electrophoresis (2-DE), quantified and identified by mass spectrometry (MS). In
total, sixty-five proteins were identified in both tissues. Among them, 14
proteins were differentially expressed in liver and 21 proteins in kidney. The
eight proteins differentially expressed in both tissues were involved in beta
oxidation, alpha-oxidation, isoprenoid biosynthesis, amino acid metabolism, and
stress response. Quantitative proteomics, clustering methods, and prediction of
transcription factors, all indicated that there is a decline in protein
expression at 18 months and a recovery at 24 months. CONCLUSION: These results
indicate that some peroxisomal proteins show a tissue-specific functional
response to aging. This response is probably dependent on their differential
regeneration capacity. The differentially expressed proteins could lead several
cellular effects: such as alteration of fatty acid metabolism that could alert
membrane protein functions, increase of the oxidative stress and contribute to
decline in bile salt synthesis. The ability to detect age-related variations in
the peroxisomal proteome can help in the search for reliable and valid aging
biomarkers.
PMID- 18042275
TI - Web GIS in practice V: 3-D interactive and real-time mapping in Second Life.
AB - This paper describes technologies from Daden Limited for geographically mapping
and accessing live news stories/feeds, as well as other real-time, real-world
data feeds (e.g., Google Earth KML feeds and GeoRSS feeds) in the 3-D virtual
world of Second Life, by plotting and updating the corresponding Earth location
points on a globe or some other suitable form (in-world), and further linking
those points to relevant information and resources. This approach enables users
to visualise, interact with, and even walk or fly through, the plotted data in 3
D. Users can also do the reverse: put pins on a map in the virtual world, and
then view the data points on the Web in Google Maps or Google Earth. The
technologies presented thus serve as a bridge between mirror worlds like Google
Earth and virtual worlds like Second Life. We explore the geo-data display
potential of virtual worlds and their likely convergence with mirror worlds in
the context of the future 3-D Internet or Metaverse, and reflect on the potential
of such technologies and their future possibilities, e.g. their use to develop
emergency/public health virtual situation rooms to effectively manage emergencies
and disasters in real time. The paper also covers some of the issues associated
with these technologies, namely user interface accessibility and individual
privacy.
PMID- 18042276
TI - Mortality associated with HIV-1, HIV-2, and HTLV-I single and dual infections in
a middle-aged and older population in Guinea-Bissau.
AB - BACKGROUND: In Guinea-Bissau HIV-1, HIV-2, and HTLV-I are prevalent in the
general population. The natural history of HIV/HTLV-I single and dual infections
has not been fully elucidated in this population. Previous studies have shown
that combinations of these infections are more common in older women than in men.
The present study compares mortality associated with HIV-1, HIV-2, and HTLV-I
single and dual infections in individuals over 35 years of age within an urban
community-based cohort in Guinea-Bissau. RESULTS: A total of 2,839 and 1,075
individuals were included in the HIV and HTLV-I mortality analyses respectively.
Compared with HIV-negative individuals, adjusted mortality rate ratios (MRRs)
were 4.9 (95% confidence interval (CI): 2.3, 10.4) for HIV-1, 1.8 (95%CI: 1.5,
2.3) for HIV-2, and 5.9 (2.4, 14.3) for HIV-1/HIV-2 dual infections. MRR for HTLV
I-positive compared with HTLV-I-negative individuals was 1.7 (1.1, 2.7).
Excluding all HIV-positive individuals from the analysis, the HTLV-I MRR was 2.3
(1.3, 3.8). The MRR of HTLV-I/HIV-2 dually infected individuals was 1.7 (0.7,
4.3), compared with HIV/HTLV-I-negative individuals. No statistically significant
differences were found in retrovirus-associated mortality between men and women.
CONCLUSION: HIV-1-associated excess mortality was low compared with community
studies from other parts of Africa, presumably because this population was older
and the introduction of HIV-1 into the community recent. HIV-2 and HTLV-I
associated mortality was 2-fold higher than the mortality in uninfected
individuals. We found no significant differences between the mortality risk for
HIV-2 and HTLV-I single infection, respectively, and HIV-2/HTLV-I dual infection.
The higher prevalence of retroviral dual infections in older women is not
explained by differential retrovirus-associated mortality for men and women.
PMID- 18042277
TI - Cross-sectional analysis of adverse outcomes in 1,029 pregnancies of Afro
Caribbean women in Trinidad with and without systemic lupus erythematosus.
AB - The objective of the study was to examine pregnancy outcomes in women with
systemic lupus erythematosus (SLE) and population controls in Trinidad. We
performed a cross-sectional analysis of adverse outcomes in pregnancies of Afro
Caribbean women with SLE and without SLE. One hundred and twenty-two female adult
cases of SLE and 203 neighbourhood age-matched women without SLE were interviewed
concerning details of their reproductive history, and the anticardiolipin
antibody (ACL) status was established for women with SLE. A total of 1,029
pregnancies were reported (356 by women with SLE, 673 by women without SLE). In
women with > or = 1 pregnancy the total number of pregnancies was similar in
women with a diagnosis of SLE and women without; however, a lower proportion of
women with SLE had ever been pregnant compared with women without SLE (80% versus
91%, P = 0.002). In multivariate logistic regression analyses adjusted for
maternal age, district of residence, pregnancy order and smoking, SLE pregnancies
were more than twice as likely to end in foetal death than non-SLE pregnancies
(odds ratio (OR), 2.4; 95% confidence interval (CI), 1.2-4.7). This effect was
driven by a large increase in the odds of stillbirth (OR, 8.5; 95% CI, 2.5-28.8).
The odds of early miscarriage (OR, 1.4; 95% CI, 0.6-3.1) and of mid-trimester
miscarriage (OR, 1.9; 95% CI, 0.4-9.5) were higher, but were not statistically
significantly different, in SLE pregnancies than in non-SLE pregnancies. The odds
of ectopic pregnancy (OR, 7.5; 95% CI, 0.9-62.5) and of preterm birth (OR, 3.4;
95% CI, 1.2-10.0) were higher in SLE pregnancies conceived after diagnosis than
in non-SLE pregnancies. There was no evidence of raised levels of IgG or IgM ACL
among the majority (93/97 women, 96%) of SLE cases who reported sporadic mid
trimester miscarriage or stillbirth, although there was evidence of high levels
of IgM and IgG ACL among women reporting three or more miscarriages and three
consecutive miscarriages, and of raised IgG ACL among those experiencing ectopic
pregnancy. In conclusion, we found evidence for a large increase in risk of
stillbirth in the pregnancies of Afro-Caribbean Trinidadian women with SLE (not
accounted for by high ACL status). There was some evidence of an increased risk
of preterm delivery and ectopic pregnancy in pregnancies conceived after a
diagnosis of maternal SLE.
PMID- 18042278
TI - Successful removal of a telephone cable, a foreign body through the urethra into
the bladder: a case report.
AB - The variety of foreign bodies inserted into or externally attached to the
genitourinary tract defies imagination and includes all types of objects. The
frequency of such cases renders these an important addition to the diseases of
the genitourinary organs. The most common motive associated with the insertion of
foreign bodies into the genitourinary tract is sexual or erotic in nature. In
adults this is commonly caused by the insertion of objects used for masturbation
and is frequently associated with mental health disorders. We report a case of
insertion of telephone cable wire into the urethra. Our case highlights the
importance of good history, clinical examination, relevant radiological
investigation and simple measures to solve the problem.
PMID- 18042279
TI - Comparative analysis of cyanobacterial superoxide dismutases to discriminate
canonical forms.
AB - BACKGROUND: Superoxide dismutases (SOD) are ubiquitous metalloenzymes that
catalyze the disproportion of superoxide to peroxide and molecular oxygen through
alternate oxidation and reduction of their metal ions. In general, SODs are
classified into four forms by their catalytic metals namely; FeSOD, MnSOD,
Cu/ZnSOD and NiSOD. In addition, a cambialistic form that uses Fe/Mn in its
active site also exists. Cyanobacteria, the oxygen evolving photosynthetic
prokaryotes, produce reactive oxygen species that can damage cellular components
leading to cell death. Thus, the co-evolution of an antioxidant system was
necessary for the survival of photosynthetic organisms with SOD as the initial
enzyme evolved to alleviate the toxic effect. Cyanobacteria represent the first
oxygenic photoautotrophs and their SOD sequences available in the databases lack
clear annotation. Hence, the present study focuses on structure and sequence
pattern of subsets of cyanobacterial superoxide dismutases. RESULT: The sequence
conservation and structural analysis of Fe (Thermosynechococcus elongatus BP1)
and MnSOD (Anabaena sp. PCC7120) reveal the sharing of N and C terminal domains.
At the C terminal domain, the metal binding motif in cyanoprokaryotes is DVWEHAYY
while it is D-X-[WF]-E-H-[STA]-[FY]-[FY] in other pro- and eukaryotes. The
cyanobacterial FeSOD differs from MnSOD at least in three ways viz. (i) FeSOD has
a metal specific signature F184X3A188Q189.......T280......F/Y303 while, in Mn it
is R184X3G188G189......G280......W303, (ii) aspartate ligand forms a hydrogen
bond from the active site with the outer sphere residue of W243 in Fe where as it
is Q262 in MnSOD; and (iii) two unique lysine residues at positions 201 and 255
with a photosynthetic role, found only in FeSOD. Further, most of the
cyanobacterial Mn metalloforms have a specific transmembrane hydrophobic pocket
that distinguishes FeSOD from Mn isoform. Cyanobacterial Cu/ZnSOD has a copper
domain and two different signatures G-F-H-[ILV]-H-x-[NGT]-[GPDA]-[SQK]-C and G
[GA]-G-G-[AEG]-R-[FIL]-[AG]-C-G, while Ni isoform has an nickel containing SOD
domain containing a Ni-hook HCDGPCVYDPA. CONCLUSION: The present analysis
unravels the ambiguity among cyanobacterial SOD isoforms. NiSOD is the only SOD
found in lower forms; whereas, Fe and Mn occupy the higher orders of
cyanobacteria. In conclusion, cyanobacteria harbor either Ni alone or a
combination of Fe and Ni or Fe and Mn as their catalytic active metal while Cu/Zn
is rare.
PMID- 18042280
TI - Clusters of orthologous genes for 41 archaeal genomes and implications for
evolutionary genomics of archaea.
AB - BACKGROUND: An evolutionary classification of genes from sequenced genomes that
distinguishes between orthologs and paralogs is indispensable for genome
annotation and evolutionary reconstruction. Shortly after multiple genome
sequences of bacteria, archaea, and unicellular eukaryotes became available, an
attempt on such a classification was implemented in Clusters of Orthologous
Groups of proteins (COGs). Rapid accumulation of genome sequences creates
opportunities for refining COGs but also represents a challenge because of error
amplification. One of the practical strategies involves construction of refined
COGs for phylogenetically compact subsets of genomes. RESULTS: New Archaeal
Clusters of Orthologous Genes (arCOGs) were constructed for 41 archaeal genomes
(13 Crenarchaeota, 27 Euryarchaeota and one Nanoarchaeon) using an improved
procedure that employs a similarity tree between smaller, group-specific
clusters, semi-automatically partitions orthology domains in multidomain
proteins, and uses profile searches for identification of remote orthologs. The
annotation of arCOGs is a consensus between three assignments based on the COGs,
the CDD database, and the annotations of homologs in the NR database. The 7538
arCOGs, on average, cover approximately 88% of the genes in a genome compared to
a approximately 76% coverage in COGs. The finer granularity of ortholog
identification in the arCOGs is apparent from the fact that 4538 arCOGs
correspond to 2362 COGs; approximately 40% of the arCOGs are new. The archaeal
gene core (protein-coding genes found in all 41 genome) consists of 166 arCOGs.
The arCOGs were used to reconstruct gene loss and gene gain events during
archaeal evolution and gene sets of ancestral forms. The Last Archaeal Common
Ancestor (LACA) is conservatively estimated to possess 996 genes compared to 1245
and 1335 genes for the last common ancestors of Crenarchaeota and Euryarchaeota,
respectively. It is inferred that LACA was a chemoautotrophic hyperthermophile
that, in addition to the core archaeal functions, encoded more idiosyncratic
systems, e.g., the CASS systems of antivirus defense and some toxin-antitoxin
systems. CONCLUSION: The arCOGs provide a convenient, flexible framework for
functional annotation of archaeal genomes, comparative genomics and evolutionary
reconstructions. Genomic reconstructions suggest that the last common ancestor of
archaea might have been (nearly) as advanced as the modern archaeal
hyperthermophiles. ArCOGs and related information are available at:
ftp://ftp.ncbi.nih.gov/pub/koonin/arCOGs/.
PMID- 18042281
TI - Effect of spatial resolution on cluster detection: a simulation study.
AB - BACKGROUND: Aggregation of spatial data is intended to protect privacy, but some
effects of aggregation on spatial methods have not yet been quantified. METHODS:
We generated 3,000 spatial data sets and evaluated power of detection at 12
different levels of aggregation using the spatial scan statistic implemented in
SaTScan v6.0. RESULTS: Power to detect clusters decreased from nearly 100% when
using exact locations to roughly 40% at the coarsest level of spatial resolution.
CONCLUSION: Aggregation has the potential for obfuscation.
PMID- 18042282
TI - Characterization of the innate immune response to chronic aspiration in a novel
rodent model.
AB - BACKGROUND: Although chronic aspiration has been associated with several
pulmonary diseases, the inflammatory response has not been characterized. A novel
rodent model of chronic aspiration was therefore developed in order to
investigate the resulting innate immune response in the lung. METHODS: Gastric
fluid or normal saline was instilled into the left lung of rats (n = 48) weekly
for 4, 8, 12, or 16 weeks (n = 6 each group). Thereafter, bronchoalveolar lavage
specimens were collected and cellular phenotypes and cytokine concentrations of
IL-1alpha, IL-1beta, IL-2, IL-4, IL-6, IL-10, GM-CSF, IFN-gamma, TNF-alpha, and
TGF-beta were determined. RESULTS: Following the administration of gastric fluid
but not normal saline, histologic specimens exhibited prominent evidence of giant
cells, fibrosis, lymphocytic bronchiolitis, and obliterative bronchiolitis.
Bronchoalveolar lavage specimens from the left (treated) lungs exhibited
consistently higher macrophages and T cells with an increased CD4:CD8 T cell
ratio after treatment with gastric fluid compared to normal saline. The
concentrations of IL-1alpha, IL-1beta, IL-2, TNF-alpha and TGF-beta were
increased in bronchoalveolar lavage specimens following gastric fluid aspiration
compared to normal saline. CONCLUSION: This represents the first description of
the pulmonary inflammatory response that results from chronic aspiration.
Repetitive aspiration events can initiate an inflammatory response consisting of
macrophages and T cells that is associated with increased TGF-beta, TNF-alpha, IL
1alpha, IL-1beta, IL-2 and fibrosis in the lung. Combined with the observation of
gastric fluid-induced lymphocyitic bronchiolitis and obliterative bronchiolitis,
these findings further support an association between chronic aspiration and
pulmonary diseases, such as obliterative bronchiolitis, pulmonary fibrosis, and
asthma.
PMID- 18042283
TI - Systematic analysis of mRNA 5' coding sequence incompleteness in Danio rerio: an
automated EST-based approach.
AB - BACKGROUND: All standard methods for cDNA cloning are affected by a potential
inability to effectively clone the 5' region of mRNA. The aim of this work was to
estimate mRNA open reading frame (ORF) 5' region sequence completeness in the
model organism Danio rerio (zebrafish). RESULTS: We implemented a novel automated
approach (5'_ORF_Extender) that systematically compares available expressed
sequence tags (ESTs) with all the zebrafish experimentally determined mRNA
sequences, identifies additional sequence stretches at 5' region and scans for
the presence of all conditions needed to define a new, extended putative ORF. Our
software was able to identify 285 (3.3%) mRNAs with putatively incomplete ORFs at
5' region and, in three example cases selected (selt1a, unc119.2, nppa), the
extended coding region at 5' end was cloned by reverse transcription-polymerase
chain reaction (RT-PCR). CONCLUSION: The implemented method, which could also be
useful for the analysis of other genomes, allowed us to describe the relevance of
the "5' end mRNA artifact" problem for genomic annotation and functional genomic
experiment design in zebrafish.
PMID- 18042284
TI - Determinants of sexual activity and its relation to cervical cancer risk among
South African women.
AB - BACKGROUND: Invasive cervical cancer is the commonest cause of cancer morbidity
and mortality in South African women. This study provides information on adult
women's sexual activity and cervical cancer risk in South Africa. METHODS: The
data were derived from a case-control study of hormonal contraceptives and
cervical cancer risk. Information on age of sexual debut and number of lifetime
sexual partners was collected from 524 incident cases and 1541 hospital controls.
Prevalence ratios and adjusted prevalence ratios were utilised to estimate risk
in exposures considered common. Crude and adjusted relative risks were estimated
where the outcome was uncommon, using multiple logistic regression analysis.
RESULTS: The median age of sexual debut and number of sexual partners was 17
years and 2 respectively. Early sexual debut was associated with lower education,
increased number of life time partners and alcohol use. Having a greater number
of sexual partners was associated with younger sexual debut, being black, single,
higher educational levels and alcohol use. The adjusted odds ratio for sexual
debut < 16 years and >/= 4 life-time sexual partners and cervical cancer risk
were 1.6 (95% CI 1.2 - 2.2) and 1.7 (95% CI 1.2 - 2.2), respectively. CONCLUSION:
Lower socio-economic status, alcohol intake, and being single or black, appear to
be determinants of increased sexual activity in South African women. Education
had an ambiguous effect. As expected, cervical cancer risk is associated with
increased sexual activity. Initiatives to encourage later commencement of sex,
and limiting the number of sexual partners would have a favourable impact on risk
of cancer of the cervix and other sexually transmitted infections.
PMID- 18042285
TI - Production of single chain Fab (scFab) fragments in Bacillus megaterium.
AB - BACKGROUND: The demand on antigen binding reagents in research, diagnostics and
therapy raises questions for novel antibody formats as well as appropriate
production systems. Recently, the novel single chain Fab (scFab) antibody format
combining properties of single chain Fv (scFv) and Fab fragments was produced in
the Gram-negative bacterium Escherichia coli. In this study we evaluated the Gram
positive bacterium Bacillus megaterium for the recombinant production of scFab
and scFvs in comparison to E. coli. RESULTS: The lysozyme specific D1.3 scFab was
produced in B. megaterium and E. coli. The total yield of the scFab after
purification obtained from the periplasmic fraction and culture supernatant of E.
coli was slightly higher than that obtained from culture supernatant of B.
megaterium. However, the yield of functional scFab determined by analyzing the
antigen binding activity was equally in both production systems. Furthermore, a
scFv fragment with specificity for the human C reactive protein was produced in
B. megaterium. The total yield of the anti-CRP scFv produced in B. megaterium was
slightly lower compared to E. coli, whereas the specific activity of the purified
scFvs produced in B. megaterium was higher compared to E. coli. CONCLUSION: B.
megaterium allows the secretory production of antibody fragments including the
novel scFab antibody format. The yield and quality of functional antibody
fragment is comparable to the periplasmic production in E. coli.
PMID- 18042286
TI - Using protein complexes to predict phenotypic effects of gene mutation.
AB - BACKGROUND: Predicting the phenotypic effects of mutations is a central goal of
genetics research; it has important applications in elucidating how genotype
determines phenotype and in identifying human disease genes. RESULTS: Using a
wide range of functional genomic data from the yeast Saccharomyces cerevisiae, we
show that the best predictor of a protein's knockout phenotype is the knockout
phenotype of other proteins that are present in a protein complex with it. Even
the addition of multiple datasets does not improve upon the predictions made from
protein complex membership. Similarly, we find that a proxy for protein complexes
is a powerful predictor of disease phenotypes in humans. CONCLUSION: We propose
that identifying human protein complexes containing known disease genes will be
an efficient method for large-scale disease gene discovery, and that yeast may
prove to be an informative model system for investigating, and even predicting,
the genetic basis of both Mendelian and complex disease phenotypes.
PMID- 18042287
TI - Health inequities: lower socio-economic conditions and higher incidences of
intestinal parasites.
AB - BACKGROUND: Intestinal parasitic infections affect child health and development
and slow down growth, while reducing adults' productivity and work capacity. The
aim of the present study was to determine and compare the incidences of
intestinal parasitic infections and the socio-economic status of two near primary
school children in Manisa, a western city of Turkey. METHODS: A total of 352
children were involved a questionnaire study from a private school (Ulkem Primary
School - UPS, 116 children) and a community-based school (Sehzadeler Primary
School - SPS, 236 children). Of these, stool samples could be obtained from a
total of 294 students; 97 (83.6%) from UPS, and 197 (83.5%) from SPS. The wet
mount preparations of the stool samples were examined; samples were also fixed in
polyvinyl alcohol and examined with modified formalin ethyl acetate sedimentation
and trichrome staining techniques. Data were analyzed using SPSS for Windows
version 10.0. The chi-squared test was used for the analytic assessment. RESULTS:
The percentages of the students found to be infected with intestinal parasites,
were 78 (39.6%) and 13 (13.4%) in SPS and UPS, respectively. Totally 91 (31.0%)
of the students from both schools were found to be infected with at least one
intestinal parasite. Giardia lamblia was found to be the most common pathogenic
intestinal parasite and Blastocystis hominis was prevalent independently from the
hygienic conditions. The factors which significantly (p < 0.05) increase the
incidence of intestinal parasites were uneducated and unemployed mother, lower
social status of father, living in crowded houses with insufficient indoor
spaces, using the tap water as drinking water, and living at shanty areas.
CONCLUSION: Intestinal parasitic infections in school children were found to be a
public health problem that increased due to lower socio-economic conditions. We
conclude that organization of education seminars including the topics such as
prevention of the infectious diseases, improving general hygienic conditions, and
application of supportive programs for the parents may be suggested not only to
reduce intestinal parasitic infections, but also to elevate the socio-cultural
levels.
PMID- 18042288
TI - Effect of the angiotensin receptor blocker irbesartan on metabolic parameters in
clinical practice: the DO-IT prospective observational study.
AB - AIMS: A number of intervention studies have shown that therapy with angiotensin
receptor blockers, such as irbesartan, can improve metabolic parameters and
reduce the incidence of diabetes mellitus. It is unknown whether this observation
also holds true in routine clinical settings. METHODS: We evaluated the effect of
irbesartan (150 mg or 300 mg/d) together with or without hydrochlorothiazide
(12.5 mg/d) in 3259 German patients. A total of 750 primary care physicians
evaluated up to 5 subsequent patients with metabolic syndrome (58.9% diabetic),
in whom irbesartan therapy was newly initiated (87%) or continued (13%). RESULTS:
Six months of irbesartan therapy decreased systolic blood pressure by 14% (157.4
+/- 14.7 vs. 135.0 +/- 10.7 mmHg) and diastolic blood pressure by 13% (92.9 +/-
9.2 vs. 80.8 +/- 6.8 mmHg). This was associated with a decrease in body weight (
2.3%), fasting glucose (-9.5%), HbA1c (-4.6%), LDL-cholesterol (-11%),
triglycerides (-16%) and gamma-GT (-12%) and an increase in HDL-cholesterol
(+5%). These changes were somewhat more pronounced in male than in female
patients and in obese than in lean patients. Changes in glucose concentration and
HbA1c were much more prominent in diabetic patients. CONCLUSION: Irbesartan
therapy improves metabolic parameters in routine clinical settings. Thus, our
study confirms previously published results from large intervention trials and
extends the findings to routine clinical practice.
PMID- 18042289
TI - Corticomuscular synchronization with small and large dynamic force output.
AB - BACKGROUND: Over the last few years much research has been devoted to
investigating the synchronization between cortical motor and muscular activity as
measured by EEG/MEG-EMG coherence. The main focus so far has been on
corticomuscular coherence (CMC) during static force condition, for which
coherence in beta-range has been described. In contrast, we showed in a recent
study 1 that dynamic force condition is accompanied by gamma-range CMC. The
modulation of the CMC by various dynamic force amplitudes, however, remained
uninvestigated. The present study addresses this question. We examined eight
healthy human subjects. EEG and surface EMG were recorded simultaneously. The
visuomotor task consisted in isometric compensation for 3 forces (static, small
and large dynamic) generated by a manipulandum. The CMC, the cortical EEG
spectral power (SP), the EMG SP and the errors in motor performance (as the
difference between target and exerted force) were analyzed. RESULTS: For the
static force condition we found the well-documented, significant beta-range CMC
(15-30 Hz) over the contralateral sensorimotor cortex. Gamma-band CMC (30-45 Hz)
occurred in both small and large dynamic force conditions without any significant
difference between both conditions. Although in some subjects beta-range CMC was
observed during both dynamic force conditions no significant difference between
conditions could be detected. With respect to the motor performance, the lowest
errors were obtained in the static force condition and the highest ones in the
dynamic condition with large amplitude. However, when we normalized the magnitude
of the errors to the amplitude of the applied force (relative errors) no
significant difference between both dynamic conditions was observed. CONCLUSION:
These findings confirm that during dynamic force output the corticomuscular
network oscillates at gamma frequencies. Moreover, we show that amplitude
modulation of dynamic force has no effect on the gamma CMC in the low force range
investigated. We suggest that gamma CMC is rather associated with the internal
state of the sensorimotor system as supported by the unchanged relative error
between both dynamic conditions.
PMID- 18042291
TI - Acute hepatitis associated with Q fever in a man in Greece: a case report.
AB - Coxiella burnetii is the causative agent of Q fever. Q fever is a worldwide
zoonosis that is responsible for various clinical manifestations. However, in
Greece hepatitis due to Coxiella is rarely encountered. A case of Q fever
associated with hepatitis is reported here. Diagnosis was made by specific
serological investigation (enzyme-linked immunosorbent and indirect
immunofluorescene assays) for Coxiella burnetii.
PMID- 18042290
TI - A function blocking anti-mouse integrin alpha5beta1 antibody inhibits
angiogenesis and impedes tumor growth in vivo.
AB - BACKGROUND: Integrins are important adhesion molecules that regulate tumor and
endothelial cell survival, proliferation and migration. The integrin alpha5beta1
has been shown to play a critical role during angiogenesis. An inhibitor of this
integrin, volociximab (M200), inhibits endothelial cell growth and movement in
vitro, independent of the growth factor milieu, and inhibits tumor growth in vivo
in the rabbit VX2 carcinoma model. Although volociximab has already been tested
in open label, pilot phase II clinical trials in melanoma, pancreatic and renal
cell cancer, evaluation of the mechanism of action of volociximab has been
limited because this antibody does not cross-react with murine alpha5beta1,
precluding its use in standard mouse xenograft models. METHODS: We generated a
panel of rat-anti-mouse alpha5beta1 antibodies, with the intent of identifying an
antibody that recapitulated the properties of volociximab. Hybridoma clones were
screened for analogous function to volociximab, including specificity for
alpha5beta1 heterodimer and blocking of integrin binding to fibronectin. A subset
of antibodies that met these criteria were further characterized for their
capacities to bind to mouse endothelial cells, inhibit cell migration and block
angiogenesis in vitro. One antibody that encompassed all of these attributes,
339.1, was selected from this panel and tested in xenograft models. RESULTS: A
panel of antibodies was characterized for specificity and potency. The affinity
of antibody 339.1 for mouse integrin alpha5beta1 was determined to be 0.59 nM, as
measured by BIAcore. This antibody does not significantly cross-react with human
integrin, however 339.1 inhibits murine endothelial cell migration and tube
formation and elicits cell death in these cells (EC50 = 5.3 nM). In multiple
xenograft models, 339.1 inhibited the growth of established tumors by 40-60% (p <
0.05) and this inhibition correlates with a concomitant decrease in vessel
density. CONCLUSION: The results herein demonstrate that 339.1, like volociximab,
exhibits potent anti-alpha5beta1 activity and confirms that inhibition of
integrin alpha5beta1 impedes angiogenesis and slows tumor growth in vivo.
PMID- 18042292
TI - Acromioclavicular joint dislocation: a comparative biomechanical study of the
palmaris-longus tendon graft reconstruction with other augmentative methods in
cadaveric models.
AB - BACKGROUND: Acromioclavicular injuries are common in sports medicine. Surgical
intervention is generally advocated for chronic instability of Rockwood grade III
and more severe injuries. Various methods of coracoclavicular ligament
reconstruction and augmentation have been described. The objective of this study
is to compare the biomechanical properties of a novel palmaris-longus tendon
reconstruction with those of the native AC+CC ligaments, the modified Weaver-Dunn
reconstruction, the ACJ capsuloligamentous complex repair, screw and clavicle
hook plate augmentation. HYPOTHESIS: There is no difference, biomechanically,
amongst the various reconstruction and augmentative methods. STUDY DESIGN:
Controlled laboratory cadaveric study. METHODS: 54 cadaveric native
(acromioclavicular and coracoclavicular) ligaments were tested using the Instron
machine. Superior loading was performed in the 6 groups: 1) in the intact states,
2) after modified Weaver-Dunn reconstruction (WD), 3) after modified Weaver-Dunn
reconstruction with acromioclavicular joint capsuloligamentous repair (WD.ACJ),
4) after modified Weaver-Dunn reconstruction with clavicular hook plate
augmentation (WD.CP) or 5) after modified Weaver-Dunn reconstruction with
coracoclavicular screw augmentation (WD.BS) and 6) after modified Weaver-Dunn
reconstruction with mersilene tape-palmaris-longus tendon graft reconstruction
(WD. PLmt). Posterior-anterior (horizontal) loading was similarly performed in
all groups, except groups 4 and 5. The respective failure loads, stiffnesses,
displacements at failure and modes of failure were recorded. Data analysis was
carried out using a one-way ANOVA, with Student's unpaired t-test for unpaired
data (S-PLUS statistical package 2005). RESULTS: Native ligaments were the
strongest and stiffest when compared to other modes of reconstruction and
augmentation except coracoclavicular screw, in both posterior-anterior and
superior directions (p < 0.005).WD.ACJ provided additional posterior-anterior (P
= 0. 039) but not superior (p = 0.250) stability when compared to WD
alone.WD+PLmt, in loads and stiffness at failure superiorly, was similar to WD+CP
(p = 0.066). WD+PLmt, in loads and stiffness at failure postero-anteriorly, was
similar to WD+ACJ (p = 0.084).Superiorly, WD+CP had similar strength as WD+BS (p
= 0.057), but it was less stiff (p < 0.005). CONCLUSIONS AND CLINICAL RELEVANCE:
Modified Weaver-Dunn procedure must always be supplemented with acromioclavicular
capsuloligamentous repair to increase posterior-anterior stability. Palmaris
Longus tendon graft provides both additional superior and posterior-anterior
stability when used for acromioclavicular capsuloligamentous reconstruction. It
is a good alternative to clavicle hook plate in acromioclavicular dislocation.
PMID- 18042293
TI - The association of complex liver disorders with HBV genotypes prevalent in
Pakistan.
AB - BACKGROUND: Genotyping of HBV is generally used for determining the
epidemiological relationship between various virus strains and origin of
infection mostly in research studies. The utility of genotyping for clinical
applications is only beginning to gain importance. Whether HBV genotyping will
constitute part of the clinical evaluation of Hepatitis B patients depends
largely on the availability of the relevance of the evidence based information.
Since Pakistan has a HBV genotype distribution which has been considered less
virulent as investigated by earlier studies from south East Asian countries, a
study on correlation between HBV genotypes and risk of progression to further
complex hepatic infection was much needed METHODS: A total of 295 patients with
HBsAg positive were selected from the Pakistan Medical Research Council's (PMRC)
out patient clinics. Two hundred and twenty six (77%) were males, sixty nine
(23%) were females (M to F ratio 3.3:1). RESULTS: Out of 295 patients, 156
(53.2%) had Acute(CAH), 71 (24.2%) were HBV Carriers, 54 (18.4%) had Chronic
liver disease (CLD) Hepatitis. 14 (4.7%) were Cirrhosis and HCC patients.
Genotype D was the most prevalent genotype in all categories of HBV patients,
Acute (108), Chronic (39), and Carrier (53).Cirrhosis/HCC (7) were HBV/D
positive. Genotype A was the second most prevalent with 28 (13%) in acute cases,
12 (22.2%) in chronics, 14 (19.7%) in carriers and 5 (41.7) in Cirrhosis/HCC
patients. Mixed genotype (A/D) was found in 20 (12.8%) of Acute patients, 3
(5.6%) of Chronic and 4 (5.6%) of carriers, none in case of severe liver
conditions. CONCLUSION: Mixed HBV genotypes A, D and A/D combination were present
in all categories of patients except that no A/D combination was detected in
severe conditions. Genotype D was the dominant genotype. However, genotype A was
found to be more strongly associated with severe liver disease. Mixed genotype
(A/D) did not significantly appear to influence the clinical outcome.
PMID- 18042294
TI - Fabrication and in vitro deployment of a laser-activated shape memory polymer
vascular stent.
AB - BACKGROUND: Vascular stents are small tubular scaffolds used in the treatment of
arterial stenosis (narrowing of the vessel). Most vascular stents are metallic
and are deployed either by balloon expansion or by self-expansion. A shape memory
polymer (SMP) stent may enhance flexibility, compliance, and drug elution
compared to its current metallic counterparts. The purpose of this study was to
describe the fabrication of a laser-activated SMP stent and demonstrate
photothermal expansion of the stent in an in vitro artery model. METHODS: A novel
SMP stent was fabricated from thermoplastic polyurethane. A solid SMP tube formed
by dip coating a stainless steel pin was laser-etched to create the mesh pattern
of the finished stent. The stent was crimped over a fiber-optic cylindrical light
diffuser coupled to an infrared diode laser. Photothermal actuation of the stent
was performed in a water-filled mock artery. RESULTS: At a physiological flow
rate, the stent did not fully expand at the maximum laser power (8.6 W) due to
convective cooling. However, under zero flow, simulating the technique of
endovascular flow occlusion, complete laser actuation was achieved in the mock
artery at a laser power of ~8 W. CONCLUSION: We have shown the design and
fabrication of an SMP stent and a means of light delivery for photothermal
actuation. Though further studies are required to optimize the device and assess
thermal tissue damage, photothermal actuation of the SMP stent was demonstrated.
PMID- 18042295
TI - The effects of a cyclooxygenase-2 (COX-2) expression and inhibition on human
uveal melanoma cell proliferation and macrophage nitric oxide production.
AB - BACKGROUND: Cyclooxygenase-2 (COX-2) expression has previously been identified in
uveal melanoma although the biological role of COX-2 in this intraocular
malignancy has not been elucidated. This study aimed to investigate the effect of
a COX-2 inhibitor on the proliferation rate of human uveal melanoma cells, as
well as its effect on the cytotoxic response of macrophages. METHODS: Human uveal
melanoma cell lines were transfected to constitutively express COX-2 and the
proliferative rate of these cells using two different methods, with and without
the addition of Amfenac, was measured. Nitric oxide production by macrophages was
measured after exposure to melanoma-conditioned medium from both groups of cells
as well as with and without Amfenac, the active metabolite of Nepafenac. RESULTS:
Cells transfected to express COX-2 had a higher proliferation rate than those
that did not. The addition of Amfenac significantly decreased the proliferation
rate of all cell lines. Nitric oxide production by macrophages was inhibited by
the addition of melanoma conditioned medium, the addition of Amfenac partially
overcame this inhibition. CONCLUSION: Amfenac affected both COX-2 transfected and
non-transfected uveal melanoma cells in terms of their proliferation rates as
well as their suppressive effects on macrophage cytotoxic activity.
PMID- 18042297
TI - Vitamin C-induced hyperoxaluria causing reversible tubulointerstitial nephritis
and chronic renal failure: a case report.
AB - Vitamin C is a precursor of oxalate and promoter of its absorption, potentially
causing hyperoxaluria. Malabsorption causes Calcium (Ca) chelation with fatty
acids, producing enteric hyperoxaluria. CASE: A 73-year-old man with both risk
factors was hospitalized with serum creatinine of 8.4 mg/dL (versus 1.2 mg/dL
four months earlier) (normal 0.6-1.3 mg/dL). Given his oxalate-rich diet, chronic
diarrhea, and daily 680 mg vitamin C and furosemide, we postulated Ca oxalate
induced nephropathy, a diagnosis confirmed by documenting hyperoxaluria, and
finding of diffuse intraluminal crystals and extensive interstitial fibrosis on
biopsy. He was hemodialysed 6 times to remove excess oxalate. Two weeks off
vitamin C, his creatinine spontaneously fell to 3.1 mg/dL. Three months later, on
low oxalate diet and 100 mg vitamin B6, urine oxalate to creatinine ratio
decreased from 0.084 to 0.02 (normal < 0.035), while creatinine fell and stayed
at 1.8 mg/dL. CONCLUSION: 1) High-dose vitamin C can induce hyperoxaluric
nephropathy and progressive renal failure, especially if aggravated by diarrhea,
oxalate-rich diet, metabolic acidosis, and dehydration. 2) The diagnosis should
be suspected in unexplained renal insufficiency when associated with these risk
factors. 3) Since prompt treatment could avert end-stage renal disease, we
recommend monitoring urinary oxalate in patients on high-dose vitamin C and renal
biopsy if necessary.
PMID- 18042296
TI - Inhibition of the RAGE products increases survival in experimental models of
severe sepsis and systemic infection.
AB - INTRODUCTION: The receptor for advanced glycation end products (RAGE), a multi
ligand member of the immunoglobulin superfamily, contributes to acute and chronic
disease processes, including sepsis. METHODS: We studied the possible therapeutic
role of RAGE inhibition in the cecal ligation and puncture (CLP) model of
polymicrobial sepsis and a model of systemic listeriosis using mice genetically
deficient in RAGE expression or mice injected with a rat anti-murine RAGE
monoclonal antibody. RESULTS: The 7-day survival rates after CLP were 80% for
RAGE-/- mice (n = 15) (P < 0.01 versus wild-type), 69% for RAGE+/- mice (n = 23),
and 37% for wild-type mice (n = 27). Survival benefits were evident in BALB/c
mice given anti-RAGE antibody (n = 15 per group) over serum-treated control
animals (P < 0.05). Moreover, delayed treatment with anti-RAGE antibody up to 24
hours after CLP resulted in a significant survival benefit compared with control
mice. There was no significant increase in tissue colony counts from enteric Gram
negative or Gram-positive bacteria in animals treated with anti-RAGE antibody.
RAGE-/-, RAGE+/-, and anti-RAGE antibody-treated animals were resistant to
lethality from Listeria monocytogenes by almost two orders of magnitude compared
with wild-type mice. CONCLUSION: Further studies are warranted to determine the
clinical utility of anti-RAGE antibody as a novel treatment for sepsis.
PMID- 18042298
TI - Using participatory design to develop (public) health decision support systems
through GIS.
AB - BACKGROUND: Organizations that collect substantial data for decision-making
purposes are often characterized as being 'data rich' but 'information poor'.
Maps and mapping tools can be very useful for research transfer in converting
locally collected data into information. Challenges involved in incorporating GIS
applications into the decision-making process within the non-profit (public)
health sector include a lack of financial resources for software acquisition and
training for non-specialists to use such tools. This on-going project has two
primary phases. This paper critically reflects on Phase 1: the participatory
design (PD) process of developing a collaborative web-based GIS tool. METHODS: A
case study design is being used whereby the case is defined as the data analyst
and manager dyad (a two person team) in selected Ontario Early Year Centres
(OEYCs). Multiple cases are used to support the reliability of findings. With
nine producer/user pair participants, the goal in Phase 1 was to identify
barriers to map production, and through the participatory design process, develop
a web-based GIS tool suited for data analysts and their managers. This study has
been guided by the Ottawa Model of Research Use (OMRU) conceptual framework.
RESULTS: Due to wide variations in OEYC structures, only some data analysts used
mapping software and there was no consistency or standardization in the software
being used. Consequently, very little sharing of maps and data occurred among
data analysts. Using PD, this project developed a web-based mapping tool (EYEMAP)
that was easy to use, protected proprietary data, and permit limited and
controlled sharing between participants. By providing data analysts with training
on its use, the project also ensured that data analysts would not break
cartographic conventions (e.g. using a chloropleth map for count data).
Interoperability was built into the web-based solution; that is, EYEMAP can read
many different standard mapping file formats (e.g. ESRI, MapInfo, CSV).
DISCUSSION: Based on the evaluation of Phase 1, the PD process has served both as
a facilitator and a barrier. In terms of successes, the PD process identified two
key components that are important to users: increased data/map sharing
functionality and interoperability. Some of the challenges affected developers
and users; both individually and as a collective. From a development perspective,
this project experienced difficulties in obtaining personnel skilled in web
application development and GIS. For users, some data sharing barriers are beyond
what a technological tool can address (e.g. third party data). Lastly, the PD
process occurs in real time; both a strength and a limitation. Programmatic
changes at the provincial level and staff turnover at the organizational level
made it difficult to maintain buy-in as participants changed over time. The
impacts of these successes and challenges will be evaluated more concretely at
the end of Phase 2. CONCLUSION: PD approaches, by their very nature, encourage
buy-in to the development process, better addresses user-needs, and creates a
sense of user-investment and ownership.
PMID- 18042299
TI - Recombination rate and protein evolution in yeast.
AB - BACKGROUND: Theory and artificial selection experiments show that recombination
can promote adaptation by enhancing the efficacy of natural selection, but the
extent to which recombination affects levels of adaptation across the genome is
still an open question. Because patterns of molecular evolution reflect long-term
processes of mutation and selection in nature, interactions between recombination
rate and genetic differentiation between species can be used to test the benefits
of recombination. However, this approach faces a major difficulty: different
evolutionary processes (i.e. negative versus positive selection) produce opposing
relationships between recombination rate and genetic divergence, and obscure
patterns predicted by individual benefits of recombination. RESULTS: We use a
combination of polymorphism and genomic data from the yeast Saccharomyces
cerevisiae to infer the relative importance of nearly-neutral (i.e. slightly
deleterious) evolution in different gene categories. For genes with high
opportunities for slightly deleterious substitution, recombination substantially
reduces the rate of molecular evolution, whereas divergence in genes with little
opportunity for slightly deleterious substitution is not strongly affected by
recombination. CONCLUSION: These patterns indicate that adaptation throughout the
genome can be strongly influenced by each gene's recombinational environment, and
suggest substantial long-term fitness benefits of enhanced purifying selection
associated with sexual recombination.
PMID- 18042300
TI - The Warwick-Edinburgh Mental Well-being Scale (WEMWBS): development and UK
validation.
AB - BACKGROUND: There is increasing international interest in the concept of mental
well-being and its contribution to all aspects of human life. Demand for
instruments to monitor mental well-being at a population level and evaluate
mental health promotion initiatives is growing. This article describes the
development and validation of a new scale, comprised only of positively worded
items relating to different aspects of positive mental health: the Warwick
Edinburgh Mental Well-Being Scale (WEMWBS). METHODS: WEMWBS was developed by an
expert panel drawing on current academic literature, qualitative research with
focus groups, and psychometric testing of an existing scale. It was validated on
a student and representative population sample. Content validity was assessed by
reviewing the frequency of complete responses and the distribution of responses
to each item. Confirmatory factor analysis was used to test the hypothesis that
the scale measured a single construct. Internal consistency was assessed using
Cronbach's alpha. Criterion validity was explored in terms of correlations
between WEMWBS and other scales and by testing whether the scale discriminated
between population groups in line with pre-specified hypotheses. Test-retest
reliability was assessed at one week using intra-class correlation coefficients.
Susceptibility to bias was measured using the Balanced Inventory of Desired
Responding. RESULTS: WEMWBS showed good content validity. Confirmatory factor
analysis supported the single factor hypothesis. A Cronbach's alpha score of 0.89
(student sample) and 0.91 (population sample) suggests some item redundancy in
the scale. WEMWBS showed high correlations with other mental health and well
being scales and lower correlations with scales measuring overall health. Its
distribution was near normal and the scale did not show ceiling effects in a
population sample. It discriminated between population groups in a way that is
largely consistent with the results of other population surveys. Test-retest
reliability at one week was high (0.83). Social desirability bias was lower or
similar to that of other comparable scales. CONCLUSION: WEMWBS is a measure of
mental well-being focusing entirely on positive aspects of mental health. As a
short and psychometrically robust scale, with no ceiling effects in a population
sample, it offers promise as a tool for monitoring mental well-being at a
population level. Whilst WEMWBS should appeal to those evaluating mental health
promotion initiatives, it is important that the scale's sensitivity to change is
established before it is recommended in this context.
PMID- 18042301
TI - Recommended level of physical activity and health-related quality of life among
Japanese adults.
AB - BACKGROUND: The benefits of a recommended level of physical activity on
physiological health indicators such as morbidity and mortality are well
accepted, but less research has addressed whether or not the association between
the recommended level of physical activity and a health-related quality of life
(HRQOL) exists in the Japanese population. Thus, the present study examined
whether the recommended physical activity would be associated with HRQOL in the
general Japanese middle-aged population. METHODS: Data were obtained from 1211
male and female respondents (39.4 +/- 10.9 year, mean +/- SD) from an Internet
based survey of registrants of an Internet research service. Physical activity
level was estimated from the short form of the International Physical Activity
Questionnaire. HRQOL was assessed with the Medical Outcomes Survey Short Form-8
questionnaire (SF-8). Based on the current national guidelines for exercise in
Japan, respondents were divided into a recommended group, an insufficient group,
and an inactive group according to their estimated weekly physical activity
level. Multivariate analyses of covariance were utilized. RESULTS: Across both
genders, the recommended group had significantly higher physical functioning (PF)
scores than the inactive group (p < .05). Additionally, across both genders, the
recommended group had significantly higher general health perception scores than
the insufficient and inactive groups (p < .05). The recommended group had
significantly higher vitality scores than the insufficient and inactive groups in
males, and higher than only the inactive group in females (p < .05). The
insufficient group had significantly higher PF scores than the inactive group
across both genders (p < .05). The recommended group had significantly higher
physical component scores than the inactive group (p = .001). CONCLUSION:
Individuals who attained the recommended level of physical activity had better
scores on some dimensions of HRQOL than those who did not, suggesting that the
recommended level of physical activity may be applicable not only to the
physiological objective outcomes but also to some dimensions in both the physical
and mental aspects of HRQOL.
PMID- 18042302
TI - The effect of priority setting decisions for new cancer drugs on medical
oncologists' practice in Ontario: a qualitative study.
AB - BACKGROUND: Health care policies, including drug-funding policies, influence
physician practice. Funding policies are especially important in the area of
cancer care since cancer is a leading cause of death that is responsible for a
significant level of health care expenditures. Recognizing the rising cost of
cancer therapies, Cancer Care Ontario (CCO) established a funding process to
provide access to new, effective agents through a "New Drug Funding Program"
(NDFP). The purpose of this study is to describe oncologists' perceptions of the
impact of NDFP priority setting decisions on their practice. METHODS: This is a
qualitative study involving semi-structured, in-depth interviews with 46 medical
oncologists in Ontario. Oncologists were asked to describe the impact of CCO's
NDFP drug funding decisions on their practice. Analysis of interview transcripts
commenced with data collection. RESULTS: Our key finding is that many of the
medical oncologists who participated in this study did not accept limits when
policy decisions limit access to cancer drugs they feel would benefit their
patients. Moreover, overcoming those limits had a significant impact on
oncologists' practice in terms of how they spend their time and energy and their
relationship with patients. CONCLUSION: When priority setting decisions limit
access to cancer medications, many oncologists' efforts to overcome those limits
have a significant impact on their practice. Policy makers need to seriously
consider the implications of their decisions on physicians, who may go to
considerable effort to circumvent their policies in the name of patient advocacy.
PMID- 18042303
TI - Characterization of Smoc-1 uncovers two transcript variants showing differential
tissue and age specific expression in Bubalus bubalis.
AB - BACKGROUND: Secreted modular calcium binding protein-1 (Smoc-1) belongs to the BM
40 family which has been implicated with tissue remodeling, angiogenesis and bone
mineralization. Besides its anticipated role in embryogenesis, Smoc-1 has been
characterized only in a few mammalian species. We made use of the consensus
sequence (5' CACCTCTCCACCTGCC 3') of 33.15 repeat loci to explore the buffalo
transcriptome and uncovered the Smoc-1 transcript tagged with this repeat. The
main objective of this study was to gain an insight into its structural and
functional organization, and expressional status of Smoc-1 in water buffalo,
Bubalus bubalis. RESULTS: We cloned and characterized the buffalo Smoc-1,
including its copy number status, in-vitro protein expression, tissue & age
specific transcription/translation, chromosomal mapping and localization to the
basement membrane zone. Buffalo Smoc-1 was found to encode a secreted
matricellular glycoprotein containing two EF-hand calcium binding motifs
homologous to that of BM-40/SPARC family. In buffalo, this single copy gene
consisted of 12 exons and was mapped onto the acrocentric chromosome 11. Though
this gene was found to be evolutionarily conserved, the buffalo Smoc-1 showed
conspicuous nucleotide/amino acid changes altering its secondary structure
compared to that in other mammals. In silico analysis of the Smoc-1 proposed its
glycoprotein nature with a calcium dependent conformation. Further, we unveiled
two transcript variants of this gene, varying in their 3'UTR lengths but both
coding for identical protein(s). Smoc-1 evinced highest expression of both the
variants in liver and modest to negligible in other tissues. The relative
expression of variant-02 was markedly higher compared to that of variant-01 in
all the tissues examined. Moreover, expression of Smoc-1, though modest during
the early ages, was conspicuously enhanced after 1 year and remained consistently
higher during the entire life span of buffalo with gradual increment in
expression of variant-02. Immunohistochemically, Smoc-1 was localized in the
basement membrane zones and extracellular matrices of various tissues.
CONCLUSION: These data added to our understandings about the tissue, age and
species specific functions of the Smoc-1. It also enabled us to demonstrate
varying expression of the two transcript variants of Smoc-1 amongst different
somatic tissues/gonads and ages, in spite of their identical coding frames.
Pursuance of these variants for their roles in various disease phenotypes such as
hepatocellular carcinoma and angiogenesis is envisaged to establish broader
biological significance of this gene.
PMID- 18042304
TI - Prospective memory or prospective attention: physiological and pharmacological
support for an attentional model.
AB - Previous studies have reported that nicotine, a cholinergic agonist, could
improve prospective memory (PM) - memory for a delayed intention - in healthy
young adults. In the present study, we asked whether nicotine effects on PM
performance were attributable to a drug-induced non-specific increase in arousal.
Therefore, a double-blind, placebo-controlled study compared the effect of
nicotine to the effect of an arousal manipulation on PM performance. All
participants were non-smokers; half received 1 mg nicotine via a nasal spray and
half received a matched placebo. Within these groups, half of the volunteers were
exposed to hard anagrams and exhibited heightened tense arousal, while half of
the volunteers were given easy anagrams and showed no change in arousal. These
manipulations resulted in four conditions, placebo/low-arousal (n=12),
placebo/high-arousal (n=10), nicotine/low-arousal (n=12), nicotine/high-arousal
(n=13). All participants completed an ongoing lexical decision task while
maintaining a PM intention (to make a separate, non-focal, response to certain
items embedded within the ongoing task). When introduced separately, both
nicotine and high tense arousal improved PM performance, but when combined, this
improvement was eliminated. It is argued that both nicotine and high tense
arousal increase attentional resources, specifically improving monitoring of the
PM targets, but when combined they no longer produce beneficial effects.
Additionally, given that nicotine exerted no effect on physiological or
subjective measures of arousal, we conclude that the observed effects of nicotine
and of arousal on PM performance are driven by different pharmacological
mechanisms.
PMID- 18042305
TI - Urine pH is an indicator of dietary acid-base load, fruit and vegetables and meat
intakes: results from the European Prospective Investigation into Cancer and
Nutrition (EPIC)-Norfolk population study.
AB - Evidence exists that a more acidic diet is detrimental to bone health. Although
more precise methods exist for measurement of acid-base balance, urine pH
reflects acid-base balance and is readily measurable but has not been related to
habitual dietary intake in general populations. The present study investigated
the relationship between urine pH and dietary acid-base load (potential renal
acid load; PRAL) and its contributory food groups (fruit and vegetables, meats,
cereal and dairy foods). There were 22,034 men and women aged 39-78 years living
in Norfolk (UK) with casual urine samples and dietary intakes from the European
Prospective Investigation into Cancer and Nutrition (EPIC)-Norfolk FFQ. A sub
study (n 363) compared pH in casual samples and 24 h urine and intakes from a 7 d
diary and the FFQ. A more alkaline diet (low PRAL), high fruit and vegetable
intake and lower consumption of meat was significantly associated with a more
alkaline urine pH before and after adjustment for age, BMI, physical activity and
smoking habit and also after excluding for urinary protein, glucose, ketones,
diagnosed high blood pressure and diuretic medication. In the sub-study the
strongest relationship was found between the 24 h urine and the 7 d diary. In
conclusion, a more alkaline diet, higher fruit and vegetable and lower meat
intake were related to more alkaline urine with a magnitude similar to
intervention studies. As urine pH relates to dietary acid-base load its use to
monitor change in consumption of fruit and vegetables, in individuals, warrants
further investigation.
PMID- 18042306
TI - Weight-loss maintenance 1, 2 and 5 years after successful completion of a weight
loss programme.
AB - The objective was to assess weight-loss maintenance in individuals who
successfully completed a commercial weight-loss programme at multiple sites in
the United States. A total of 699 lifetime members of Weight Watchers represented
the national sample and 217 additional lifetime members served as an oversample.
Lifetime members were asked to self-report their current weight 1, 2, and 5 years
after they had successfully completed the programme. Additional lifetime members
served as an oversample whose weights were measured. The discrepancy between
reported and measured weight in the oversample was used to adjust the self
reported weights of the national sample. Seventy-one percent of participants were
middle-aged or older and 95.3 % were female; their mean starting BMI was 27.6 (sd
3.6) kg/m2. The percentage of Weight Watchers lifetime members who maintained at
least 5 % of their weight loss 1, 2 and 5 years after successful completion of
the programme was 79.8, 71.0, and 50.0, respectively. The percentage of
participants who remained below their goal weight 1, 2 and 5 years after
completion of the programme was 26.5, 20.5, and 16.2, respectively. Results
obtained with this group of successful Weight Watchers members are not directly
comparable to those obtained with clinical samples of obese dieters because the
current sample comprises only the most successful Weight Watchers participants.
However, these results provide further evidence that maintenance of weight loss
in those who successfully lose weight in one commercial weight-loss programme is
more feasible than data from clinical populations have suggested.
PMID- 18042307
TI - The development and evaluation of a novel computer program to assess previous-day
dietary and physical activity behaviours in school children: the Synchronised
Nutrition and Activity Program (SNAP).
AB - Self-report recall questionnaires used to measure physical activity and dietary
intake in children can be labour intensive and monotonous and tend to focus on
either dietary intake or physical activity. The web-based software, Synchronised
Nutrition and Activity Program (SNAP), was developed to produce a novel, simple,
quick and engaging method of assessing energy balance-related behaviours at a
population level, combining principles from new and existing 24 h recall
methodologies, set within a user-friendly interface. Dietary intake was measured
using counts for twenty-one food groups and physical activity levels were
measured in min of moderate to vigorous physical activity (MVPA). A combination
of the mean difference between methods, type II regression and non-parametric
limits of agreement techniques were used to examine the accuracy and precision of
SNAP. Method comparison analyses demonstrated a good agreement for both dietary
intake and physical activity behaviours. For dietary variables, accuracy of SNAP
(mean difference) was within +/- 1 count for the majority of food groups. The
proportion of the sample with between-method agreement within +/- 1 count ranged
from 0.40 to 0.99. For min of MVPA, there was no substantial fixed or
proportional bias, and a mean difference between methods (SNAP - accelerometry)
of -9 min. SNAP provides a quick, accurate, low-burden, cost-effective and
engaging method of assessing energy balance behaviours at a population level.
Tools such as SNAP, which exploit the popularity, privacy and engagement of the
computer interface, and linkages with other datasets, could make a substantial
contribution to future public health monitoring and research.
PMID- 18042308
TI - Addition of oat hulls to an extruded rice-based diet for weaner pigs ameliorates
the incidence of diarrhoea and reduces indices of protein fermentation in the
gastrointestinal tract.
AB - An experiment was conducted to determine whether adding oat hulls to weaner pig
diets based on extruded rice or unprocessed wheat influenced post-weaning
diarrhoea (PWD) and protein fermentation in the large intestine. Ninety-six male
piglets (5.16 (SEM 0.08) kg) were allocated to (i) extruded rice plus animal
proteins (RAP); (ii) RAP with added oat hulls (20 g/kg); (iii) wheat plus animal
proteins (WAP); (iv) WAP with added oat hulls (20 g/kg). Blood and faecal samples
were collected on days 7 and 14 after weaning at about age 21 d. Pigs fed RAP had
more PWD than pigs fed WAP (P < 0.05). Oat hull supplementation to diet RAP
decreased the incidence of PWD (P < 0.05). The total-tract digestibility of DM,
starch and energy was higher in rice-based diets than in wheat-based diets (P <
0.001); however, oat hulls decreased digestibility of DM and gross energy (P <
0.001). Pigs fed RAP had higher plasma creatinine concentrations (P < 0.01),
which were positively correlated to cumulative beta-haemolytic Escherichia coli
scores after weaning (R2 0.928; P = 0.015). Addition of oat hulls decreased
plasma urea concentrations only in pigs fed RAP (interaction; P < 0.05). Pigs fed
RAP had lower faecal total biogenic amine concentrations than pigs fed WAP (P <
0.001). Oat hull supplementation tended to decrease total biogenic amine
concentrations (P = 0.103). These data indirectly suggest that a mostly insoluble
dietary fibre source such as oat hulls can decrease PWD in dietary situations
where there may be a misbalance of carbohydrate to protein entering the hindgut.
PMID- 18042309
TI - The mucosal cellular response to infection with Ancylostoma ceylanicum.
AB - Although hookworms are known to stimulate inflammatory responses in the
intestinal mucosa of their hosts, there is little quantitative data on this
aspect of infection. Here we report the results of experiments conducted in
hamsters infected with Ancylostoma ceylanicum. Infection resulted in a marked
increase in goblet cells in the intestinal mucosa, which was dependent on the
number of adult worms present and was sustained as long as worms persisted (over
63 days) but returned to baseline levels within 7 days of the removal of worms by
treatment with ivermectin. Increased mast cell responses were also recorded.
Levels were again dependent on the intensity of worm burdens and lasted as long
as 63 days after infection. When worms were eliminated, mast cell numbers took
over 2 weeks to return to normal. Paneth cell numbers fell soon after infection,
the degree of reduction being dependent on the worm burden. After clearance of
worms, Paneth cell numbers returned to normal within a week, but then rebounded
and numbers rose to higher levels than those in control naive animals. The time
course of the response was similar whether animals experienced a chronic low
intensity infection without loss of worms or a higher intensity infection during
the course of which worm burdens were gradually reduced. Clearly, A. ceylanicum
was able to induce a marked inflammatory response in its host's intestine which
was sustained for over 9 weeks after infection, and which hamsters appeared able
to tolerate well. Our data draw attention to the resilience of hookworms which,
unlike many other nematodes, are able to survive for many weeks in a highly
inflamed intestinal tract.
PMID- 18042310
TI - The relativity of bad decisions: social comparison as a means to alleviate
regret.
AB - In two studies, we examined the role of social comparisons in regret management.
In the first study, participants received a (relatively) negative outcome after
which they were presented with base-rate information about the performance of
other participants in the experiment. Results showed that experienced regret
decreased as a result of base-rate information showing that most others made even
worse decisions than oneself. In the second study, we investigated whether people
actively seek this kind of consensual information to validate their decision.
After inducing regret by means of a manipulated outcome in a trivia quiz,
participants could obtain information about the outcomes of previous participants
or about the type of items used in the quiz. Participants' preference was
determined by whether or not they believed that they would be given a second
chance. In case of a negative and final outcome, participants preferred
information about the prevalence of others who had an even worse outcome. When
the outcome was non-final, participants preferred information about the type of
items used in the test. Both strategies were accompanied with a decrease in
regret. Implications for research on regret and decision making are discussed.
PMID- 18042311
TI - Once-monthly oral ibandronate compared with weekly oral alendronate in
postmenopausal osteoporosis: results from the head-to-head MOTION study.
AB - OBJECTIVE: Oral ibandronate 150 mg is the first bisphosphonate approved for once
monthly treatment of postmenopausal osteoporosis. To investigate whether once
monthly ibandronate 150 mg increases lumbar spine and total hip bone mineral
density (BMD) to the same degree as weekly alendronate 70 mg. RESEARCH DESIGN AND
METHODS: This was a 12-month, randomised, multinational, multicentre, double
blind, double-dummy, parallel-group, non-inferiority trial, conducted in 65
centres in North America, Latin America, Europe and South Africa. The study
included postmenopausal women, mean lumbar spine (L2-L4) BMD T-score < -2.5 and >
or = -5.0. Patients received either ibandronate 150 mg once monthly or
alendronate 70 mg once weekly. MAIN OUTCOME MEASURES: Co-primary efficacy
endpoints were 12-month change (%) from baseline in mean lumbar spine and total
hip BMD. Changes (%) from baseline in trochanter and femoral neck BMD were also
evaluated. Adverse events were monitored throughout. Once-monthly ibandronate was
considered non-inferior to weekly alendronate if the lower boundary of the one
sided 97.5% confidence interval (CI) (or two-sided 95% CI) was > or = -1.41% for
lumbar spine and > or = -0.87% for total hip. RESULTS: Mean relative 12-month
changes were 5.1% and 5.8% (95% CI for difference, -1.13, -0.23) in lumbar spine
and 2.9% and 3.0% (95% CI for difference, -0.38, 0.18) in total hip BMD with once
monthly ibandronate and weekly alendronate, respectively; meeting the non
inferiority criteria at both sites. Gains in trochanter and femoral neck BMD were
similar with both treatments. Both regimens were well tolerated. TRIAL
REGISTRATION: The MOTION study is registered with the International Federation of
Pharmaceutical Manufacturers and Associations trial portal, under the ID number
MM17385. CONCLUSIONS: Once-monthly ibandronate was shown to be clinically
comparable to weekly alendronate at increasing BMD after 12 months in both the
lumbar spine and total hip.
PMID- 18042312
TI - [Multiplex minisequencing applied in detection of human functional CYP21 gene
mutations].
AB - We analyzed seven most common mutations within the CYP21B gene, responsible for
congenital adrenal hyperplasia (CAH), using the minisequencing method. Functional
CYP21B gene sequences were amplified with the pair of specific primers that
pevented amplification of pseudogene CYP21P or pseudogene CYP21P/active CYP21
hybrids. Multiplex minisequencing (SNaPShot PCR) assay was performed with
fluorescent dideoxynucleotides ([F]ddNTPs) and originally designed primers,
claiming seven most common mutation sites responsible for the CAH symptoms. Using
the method we detected five novel substitutions of unknown effect on the CAH
course in five out of seven analyzed mutation sites. Compared to classic SNPs
analyzing methods, especially single SNP detection, multiplex minisequencing is
the same highly specific and sensitive but much faster one. The method is
recommended for any population screened for known mutations.
PMID- 18042313
TI - [Insulin glargine improves fasting blood glucose levels in prepubertal children
with unsatisfactorily controlled type 1 diabetes].
AB - The aim of this prospective cross-over study was to compare glycemic control on
NPH insulin (NPH) and on glargine in unsatisfactorily controlled type 1 diabetic
prepubertal children. MATERIAL AND METHODS: 14 patients, aged 6-12 years, on
multiple insulin injections regimen were included. The study protocol: (i) a 6
month therapy with NPH as basal insulin and (ii) a 6-month therapy with glargine
as basal insulin, in a random order. RESULTS: After 4 and 6 months mean blood
glucose levels were similar on glargine and on NPH. After 6 months on NPH fasting
blood glucose levels were similar to baseline (9.3+/-1.5 and 9.8+/-1.6 mmol/l
respectively), while on glargine they were significantly lower compared to
baseline (8.0+/-1.4 vs. 9.8+/-1.6 mmol/l, p=0.04) and markedly lower than after 6
months on NPH (8.0+/-1.4 vs. 9.3+/-1.5 mmol/l, p=0.077). HbA1c was lower on
glargine compared to NPH, but only after 4 months the difference was
statistically significant (7.1+/-0.16 vs. 7.74+/-0.25%, p=0.007). No severe
hypoglycemia or ketoacidosis occurred. CONCLUSION: In preadolescent children with
unsatisfactorily controlled type 1 diabetes glargine constitutes a useful and
safe alternative to NPH, providing better early morning and good total glycemic
control, not increasing the risk of severe hypoglycemia; taking it into account
the health care systems should participate in its costs for those who can not
afford or tolerate an insulin pump.
PMID- 18042314
TI - [Leptin concentration and insulin sensitivity in type 1 diabetic children and
adolescents].
AB - THE AIM OF THE STUDY: was to estimate the relationship between serum leptin
levels and insulin sensitivity and components of metabolic syndrome in type 1
diabetic children and adolescents. MATERIALS AND METHODS: 158 patients with type
1 diabetes mellitus (70 girls and 88 boys) aged from 8.2 to 18.4 years (mean:
14.1+/-3.1 years) were included into the study. The diabetes duration ranged from
1.6 to 14.7 years (mean: 3.8+/-2.5 years). The height, weight, waist
circumference (WC), skin folds and blood pressure were measured. Body mass index
(BMI-SDS), body fat (BF) according to Slaughter formula, and daily dose of
insulin were calculated. HbA1c (HPLC method) and serum lipids (enzymatic method)
concentrations were examined. Serum leptin concentration was measured by ELISA
method. Euglycemic-hyperinsulinemic clamp was performed to estimate insulin
resistance. Glucose disposal rate (M index) determined during the last 30 min of
the test was calculated as a surrogate of insulin resistance. RESULTS: Serum
leptin levels ranged from 1.47 to 57.39 ng/ml (median [quartiles]: 4.60 [3.18
10.2]). M index was 2.10-15.19 mg/kg/min. (median [quartiles]: 7.04 [5.57-8.69].
Leptin was positively correlated with BMI-SDS, WC, skin folds and BF. During
puberty leptin levels increased in girls, but in boys the highest levels were
observed at Tanner stage 3. Leptin concentrations, normalized for BMI-SDS or BF,
were significantly higher in females than in males at Tanner stages 4 (pc=0.047)
and 5 (pc<0.001). Leptin was negatively correlated with M index (r=-0.26; p=0.001
adjusted for sex and puberty), but after adjusting for BF or BMI-SDS there were
no significant correlations. Leptin was not significantly associated with HDL
cholesterol, SBP and DBP. Using the multivariate linear regression models, we
found that plasma leptin remained significantly associated with TG. CONCLUSION:
It is likely that the observed relationship between leptin concentration and
insulin resistance in young patients with type 1 diabetes is due to body fat
composition rather than represents an independent association in this group.
PMID- 18042315
TI - [Health school readiness of short-statured rural and urban children against of
peers' background].
AB - THE AIM OF THIS WORK: is to estimate the frequency of short-stature phenomena,s
among 6-7 y.o. rural and urban children and to assess the physical fitness and
body posture of short-statured girls and boys against of peers, background.
MATERIAL AND METHODS: The researches were conducted on 436 children: 232 boys and
204 girls from village and city. The used methods were: anthropometric
measurements (body height), simple physical fitness tests, the examination of
body posture, poll technique and basic statistical methods. RESULTS: The analysis
show that there are differences in the frequency of short-stature phenomena,s
between girls and boys and between rural and urban children. Taking into
consideration developmental age, the level of physical fitness of short-statured
children is in "narrow norm" (between 25% and 75% of population) most often. Body
posture of short-statured 6-7 y.o. children is characterized by typical features
in this ontogenesis period: crooked knees, flat-crooked feet, protruding shoulder
blades, emphasized stomach, asymmetrical shoulders and shoulder blades,
staggering. CONCLUSIONS: There is a need of systematical monitoring of the
biological development of short-statured children, particularly taking into
consideration girls and boys whose physical fitness level is weak and body
posture is incorrect, and also that kind of children, who grow in families with a
low social-economic and educational status.
PMID- 18042316
TI - [Functional and dynamic asymmetry of simple reaction time in female fencers].
AB - THE AIM OF THE STUDY: was to assess the functional and dynamic components of
asymmetry in the simple reaction time in female fencers, holders of the master,
first or second sport class. MATERIAL AND METHODS: A group of 45 female fencers,
classified into 3 groups according to the sport class were studied. Dynamic
asymmetry was assessed with the use of the Viennese Test System. The time of
reaction and of simple movement to visual and acoustic stimuli was determined.
RESULTS: Master class fencers exhibited a high (40%) left-side domination while
those from the other two groups had right-side asymmetry (80%), the difference in
the median reaction time between those groups being significant (p<0.05).
CONCLUSIONS: The pronounced dynamic asymmetry observed in master class fencers
was due to a highly specific, one-sided training. It should be emphasised that
asymmetrical exercises combined with very high training loads applied in the
contemporary sport may lead to overloads of extremities, spine deformations and
injuries.
PMID- 18042317
TI - [Dental and skeletal age in children with growth hormone deficiency treated with
growth hormone--preliminary report].
AB - INTRODUCTION: Somatotrophic hypofunction (growth hormone deficiency--GHD) is one
of the basic indications for treatment with growth hormone. One of
characteristics of this disease is a delay of bone age in comparison to calendar
one. AIM OF THE STUDY: The authors refer to the difference between chronological
age and dental and skeletal age in children with growth hormone deficiency
depending on the duration of treatment with growth hormone. MATERIALS AND METHOD:
Twenty five patients with somatotrophic pituitary gland deficiency treated with
growth hormone constituted research material. The patients were divided into two
groups depending on substitution therapy period length. Group I consisted of 6
children with a treatment time shorter than 1 year, while group II--19
individuals--included children with a therapy duration of over 1 year. All
children were subject to clinical orthodontic examination--the kind of dental
occlusion defect was evaluated--and radiological diagnostics was conducted-
orthopantomogram and cephalogram. Matiegka and Lukasova clinical method was used
for evaluation of dental age, while for radiological dental age evaluation the
Demirjian's method. Radiological evaluation, conducted basing on X-rays of palm
and wrist and radiological atlas of Greulich-Pyle, was used for determination of
skeletal age. Schwarz and Steiner's analyses were used for cephalogram
evaluation, considering the following angle parameters: SNA, SNB, ANB and G
mandible angle. RESULTS: In group I malocclusions represented 66,6%, where of
most common were class II malocclusion--33.3%, then increased overbite and open
bites 16.7% of cases. In this group in all subjects dental abnormalities were
diagnosed. In the group of children treated with growth hormone longer than 1
year (group II) malocclusion represented 86.6%, class II malocclusion 46.7%,
scissor-bite and increased overbite 13.3%, furthermore in 66.7% open bite and
class III malocclusion were diagnosed. In 33.3% teeth discrepancies were found.
In both examined groups dominated skeletal class II . Furthermore in both
examined groups an increase of G angle on about 0.3% was stated. CONCLUSIONS: A
longer substitution therapy period, and thus generally longer hormone influence
period, intensifies its influence on craniofacial complex. This influence is
advantageous and leads to a decrease of the disproportion in jaw dimensions, thus
preventing the occurrence of gnathic and malocclusion.
PMID- 18042318
TI - [Metabolic control and insulin administration in a girl with Turner syndrome and
type 1 diabetes during long-term growth hormone therapy].
AB - Many surveys have indicated that short stature affects at least 95% of all
patients with Turner syndrome (TS). It is also clear that growth hormone (GH)
therapy can accelerate the physical development in girls with TS. According to
some clinical experience diabetes type 1 may be considered as a contraindication
for GH therapy leading to low efficacy and high risk of late complications due to
hyperglycaemia and elevated IGF-1 level. We present the results of growth hormone
therapy on the metabolic control in a girl with TS and type 1 diabetes treated
with continuous subcutaneous insulin infusion. The parameters of metabolic
control and insulin doses were compared before and after introducing GH therapy.
The correct diurnal glycemia profile was obtained after 4-fold increase of basal
insulin and 2-fold increase of the total daily dose. The acceleration of growth
was observed during 3.5-year therapy and average linear growth velocity was 7
cm/year. Growth hormone administration in children with Turner syndrome and type
1 diabetes can be efficacious and safe.
PMID- 18042319
TI - Delay of cystectomy: for whom does it really matter?
PMID- 18042320
TI - Management of a patient with a mass in an ectopic kidney.
PMID- 18042321
TI - Overactive bladder syndrome presentations at the 31st International
Urogynecological Association Annual Meeting.
AB - There were 586 abstracts presented at the 31st Annual Meeting of the
International Urogynecological Association in Athens, Greece, in September 2006.
Many of these abstracts focused on the common condition of overactive bladder
syndrome. The results of large multicenter industry-sponsored trials such as
MATRIX, STAR, and SUNRISE were presented. Smaller trials of new treatments,
including pudendal nerve stimulation and botulinum toxin A injection, were
presented. A pair of studies addressed the importance of anatomic correction of
pelvic organ prolapse in the treatment of overactive bladder syndrome. Nineteen
abstracts are reviewed here.
PMID- 18042322
TI - Antimuscarinics for the treatment of overactive bladder: a review of central
nervous system effects.
AB - Antimuscarinic drugs commonly used to treat overactive bladder are often
associated with central nervous system (CNS) side effects including cognitive
dysfunction, memory impairment, dizziness, fatigue, and headache. New agents show
reduced CNS penetrance and better selectivity for the M3 muscarinic receptor.
However, changes associated with aging may lead to alterations in blood-brain
barrier permeability. Therefore, use of antimuscarinics in the elderly or in
patients with Alzheimer's disease presents a significant challenge. This review
highlights muscarinic receptor distribution and function in the CNS, provides a
description and incidence of CNS side effects with therapy, offers information
specific to currently available agents, and describes the use of antimuscarinics
in special populations including children, the elderly, and patients with
Alzheimer's disease.
PMID- 18042323
TI - Current and future techniques of neuromodulation for bladder dysfunction.
AB - Recent increase in the use of neuromodulation for the treatment of urinary
urgency and frequency, urge incontinence, and nonobstructive urinary retention
has coincided with improved knowledge of micturition physiology and concurrent
technologic advances in nerve stimulation. Currently, the most common technology
for bladder neuromodulation involves stimulation through the S3 foramen, or
sacral neuromodulation, although other techniques of neuromodulation continue to
be explored. Despite many advances in neuromodulation, the exact mechanism of
action remains uncertain. Additionally, which patients will respond to
neuromodulation remains unclear, and although there is a standard method for
testing, this procedure is invasive and expensive. As we continue to improve
patient selection criteria and better understand the mechanism of action, the
efficacy and patient satisfaction should continue to increase. Currently, most
patients considered for implantation with a neuromodulator are those unresponsive
to other conservative treatments for bladder dysfunction.
PMID- 18042324
TI - Neuromodulation techniques: a comparison of available and new therapies.
AB - Neuromodulation has become ever more common in the fields of urology and voiding
dysfunction. Many therapeutic alternatives have emerged to increase effectiveness
of these therapies and reduce morbidity and invasiveness. Each new modality needs
to prove its efficacy in common indications for voiding dysfunction such as
refractory urgency-frequency, urge incontinence, and perhaps urinary retention,
as well as in select patient populations and subgroups. We need more information
to broaden the applicability of neuromodulation to larger numbers of patients and
conditions.
PMID- 18042325
TI - The importance of risk factor reduction in erectile dysfunction.
AB - Erectile dysfunction (ED) is associated with modifiable risk factors. Obesity,
physical inactivity, and the metabolic syndrome increase the incidence of ED and
markers of low-grade inflammation, which in turn are associated with endothelial
dysfunction. Intensive intervention with lifestyle advice focusing on a healthy
diet, weight loss, and increased physical activity benefits men with ED, reducing
the markers of inflammation and improving endothelial function. Though
phosphodiesterase type 5 inhibitors are highly effective in treating ED,
lifestyle advice and aggressive risk reduction remain fundamental to the overall
vascular good health of the individual.
PMID- 18042326
TI - Testosterone, diabetes mellitus, and the metabolic syndrome.
AB - Metabolic syndrome is characterized by insulin insensitivity, central obesity
dyslipidemia, and hypertension. It is recognized as a risk factor for
cardiovascular disease in men; by the time metabolic syndrome is diagnosed,
however, most men already have entrenched cardiovascular disease. A reliable
early warning sign is needed to alert physicians to those at risk for metabolic
syndrome and cardiovascular disease. Low serum testosterone level has emerged as
a reliable prognosticator of metabolic syndrome in men whose testosterone
deficiency is genetic (Klinefelter syndrome), iatrogenic following surgery for
testicular cancer, pharmacologically induced by gonadotropin-releasing hormone
during prostate cancer treatment, or a natural consequence of aging. One third of
men with type 2 diabetes mellitus are now recognized as testosterone deficient.
Emerging evidence suggests that testosterone therapy may be able to reverse some
aspects of metabolic syndrome.
PMID- 18042327
TI - Central nervous system-acting agents and the treatment of erectile and sexual
dysfunction.
AB - Recent animal studies have resulted in newer central nervous system (CNS)-acting
agents for the treatment of sexual dysfunction in men and women. CNS stimulation
and control of sexual function primarily originates in the hypothalamus, medial
preoptic area, and paraventricular nucleus. Neurotransmitters responsible for
sexual function, such as serotonin, dopamine, and oxytocin, can be manipulated
pharmacologically. Early clinical trials and use of apomorphine have shown
limited success and acceptance among patients, especially after the introduction
of agents with improved efficacy and tolerability such as phosphodiesterase type
5 inhibitors. Newer CNS-acting agents such as bremelanotide show significant
promise in bringing to clinical practice a group of centrally acting agents to
supplement the treatment of erectile dysfunction. CNS-acting agents also show
promise in treating female sexual dysfunction. Further, development of selective
dopamine receptor agonists, melatonin agonists, and other CNS stimulatory or
inhibitory agents may lead to improved treatment of sexual dysfunction in men and
women.
PMID- 18042328
TI - Dual implantation of penile and sphincter implants in the post-prostatectomy
patient.
AB - Despite major improvement in surgical techniques for radical pelvic surgery,
including radical prostatectomy, major quality-of-life issues persist, such as
postoperative erectile dysfunction and urinary incontinence. These two conditions
have many common etiologies and often occur in the same patient. Patients with
either of these conditions fail initial conservative or minimally invasive
therapy and become candidates for prosthetic implants, such as artificial urinary
sphincter and penile prosthesis. In the past three decades, there have been
significant improvements in the mechanical properties of these devices, their
functional capabilities, and surgical implantation techniques. Studies once
suggested that implantation of both devices in one patient could lead to failure,
but recent literature proves that patients benefit from simultaneous dual
implantation. Specific benefits relate to shorter surgery time, with potentially
lower infection rates. In this manuscript, we review the indications, techniques,
merits, and safety of simultaneous dual implantation of the artificial urinary
sphincter and penile prosthesis in the post-radical prostatectomy patient.
PMID- 18042329
TI - Phosphodiesterase type 5 inhibitors, visual changes, and nonarteritic anterior
ischemic optic neuropathy: is there a link?
AB - Nonarteritic anterior ischemic optic neuropathy (NAION) is the most common acute
optic neuropathy and one of the most common causes of sudden vision loss in the
elderly. Recently, NAION has been associated with the use of phosphodiesterase
type 5 inhibitors (PDE5i) in men with erectile dysfunction (ED). A causal
relationship could not be established given the large number of men safely using
PDE5i and the limited number of NAION cases reported in these men. ED and NAION
share common risk factors; therefore, some men with ED should be expected to
develop NAION. However, sudden vision loss or decreased vision in one or both
eyes demands immediate cessation of PDE5i use and urgent patient assessment. No
causal relationship between PDE5i and the development of NAION exists for the
moment, yet physicians should be aware of a possible adverse reaction and inform
patients accordingly.
PMID- 18042330
TI - Bicycle riding, perineal trauma, and erectile dysfunction: data and solutions.
AB - Significant clinical and basic science advances in the field of sexual medicine
have facilitated investigation of the link between endothelial dysfunction and
erectile dysfunction. Most sexual medicine practitioners accept the premise that
in aging men with risk factors such as increased waist circumference, diabetes,
hypertension, hypogonadism, hypercholesterolemia, and insulin insensitivity, a
higher prevalence of erectile dysfunction reflects systemic vasculopathy that
often first presents as abnormal erectile function. Endothelial dysfunction in
the pudendal, common penile, and cavernosal arterial bed can occur secondary to
pelvic, perineal, or penile trauma in young men without traditional systemic
vascular risk factors. Because some younger men with erectile dysfunction may
have underlying vasculogenic erectile dysfunction, sexual medicine practitioners
should perform sophisticated testing procedures to evaluate erectile function
that can be reestablished with penile revascularization surgery.
PMID- 18042331
TI - Efficacy of imiquimod as an adjunct to cryotherapy for actinic keratoses.
AB - BACKGROUND: Cryotherapy is the standard of care for clinically apparent (target)
actinic keratoses (AKs). Topical imiquimod may reduce initially inapparent or
subclinical AKs. OBJECTIVE: We evaluated the potential of topical imiquimod to
decrease subclinical AKs after cryotherapy of target AKs. METHODS: A randomized
trial of imiquimod or vehicle twice weekly for 8 weeks following 3- to 5-second
cryotherapy of target AKs within a 50 cm(2) field at the face or scalp was
conducted. Efficacy outcomes included clearance of target, subclinical, and total
AKs and proportions clear of AKs. Subjects with residual AKs were offered
cryotherapy and open-label imiquimod twice weekly for 8 weeks. RESULTS: Sixty
three subjects completed the randomized phase. At 12 weeks, target AK clearance
was similar for imiquimod and vehicle (79% vs 76%), but fewer total AKs were
noted for imiquimod (78 vs 116). This was due to a progressive reduction in
subclinical AKs with imiquimod compared with a progressive increase with vehicle.
More subjects treated with imiquimod achieved clearance of subclinical (58% vs
34%; p = .06) and total (23% vs 9%; p = .21) AKs. CONCLUSION: Imiquimod
postcryotherapy may increase clearance of subclinical and total AKs and
proportions of subjects clear at 3 months. These findings require confirmation in
larger controlled trials powered for statistical significance.
PMID- 18042332
TI - Alefacept is safe and efficacious in the treatment of palmar plantar pustulosis.
AB - BACKGROUND: Alefacept blocks T-cell activation and induces apoptosis of memory T
cells. It improves psoriasis vulgaris and may induce prolonged remissions.
Experience with alefacept in palmar plantar pustulosis (PPP) is limited.
OBJECTIVE: The objective of the study was to observe the effectiveness and safety
of alefacept in the treatment of PPP. METHODS: Alefacept was administered weekly
for 16 weeks by intramuscular (IM) injection of 15 mg to 15 patients with
moderate to very severe PPP. Patients were followed for an additional 12 weeks.
RESULTS: Four weeks after 16 weeks of treatment, there was a 49.6% reduction in
the Palmoplantar Pustulosis Psoriasis Area and Severity Index (PPPASI) and a
38.6% and a 64.5% reduction in total and fresh pustules, respectively. Eight
weeks after dosing, 53.3% achieved PPPASI 50, 26.7% achieved PPPASI 75, and one
patient was clear. The mean percent reduction in total pustules and fresh
pustules was 46.1% and 61.2%, respectively; 73% had no pain, 53% had no itching,
and 80% had no functional impairment. The palms responded better than the soles.
CONCLUSIONS: This pilot showed that 16 weeks of once-weekly alefacept 15 mg IM
was safe, led to improvement in PPP in all 15 treated patients, and induced a
remission in one patient. Larger double-blind studies are warranted.
PMID- 18042333
TI - Association between proliferative scars and in-stent restenosis.
AB - BACKGROUND: Keloid and hypertrophic scars are two types of proliferative scars at
sites of cutaneous injury that form as a result of an abnormal wound-healing
process. Proliferative scar formation after skin injury and restenosis after
coronary stenting have common features. The aim of this study was to investigate
the association of proliferative scars with coronary stent restenosis. METHODS:
Patients with previous open heart surgery with median sternotomy who had coronary
stenting after the surgery and were admitted for control angiography were
included in the study. The patients were divided into two groups according to the
presence or absence of proliferative scars. The primary end point was the
incidence of angiographic restenosis in patient groups. RESULTS: The study group
consisted of 80 patients (64 men; mean age 64 + or - 9 years). Twenty-three
patients (29%) have a proliferative scar. In general, two groups were comparable
with regard to baseline lipid profiles, demographics, and cardiovascular risk
factors. Restenosis was significantly more prevalent in patients with
proliferative scars than with controls (p = .04). By multivariate logistic
regression analysis, stent length (odds ratio [OR] 1.12, p = .005), diabetes (OR
3.3, p = .03), and proliferative scar (OR 4.2, p = .02) independently predicted
in-stent restenosis. CONCLUSION: The findings of this study suggest that patients
with proliferative scars may have a higher risk of in-stent restenosis.
PMID- 18042335
TI - Observations on the procedural aspects and health effects of scarification in sub
Saharan Africa.
AB - BACKGROUND: Scarification involves cutting or making an incision into the skin
and then allowing the wound to heal, leaving a permanent scar. The purpose of
this article is to examine the origins of scarification and its social and
medical significance in sub-Saharan Africa. METHODS: We conducted a computerized
search in the MEDLINE electronic database with combinations of the following
terms: scarification, tribal marks, keloid, hypertrophic scar, Africa, and sub
Saharan Africa. Inclusion criteria were studies published in English involving
human participants. We reviewed the bibliography of each article that met our
inclusion criteria for additional relevant studies. We abstracted data on the
historical, social, and medical aspects of scarification from eligible studies.
RESULTS: This review of scarification in sub-Saharan Africa highlights the
complex interplay that exists between biology and society. Photographs, artwork,
and literary descriptions reveal that scarification results in hypertrophic or
atrophic scars, although these types of scars are often mistakenly referred to as
keloids. In terms of the procedural aspects of scarification, specific tools and
substances were consistently used by various ethnic groups. Although much is
known about the history of scarification as a form of identification in Africa,
it appears that the practice also had medical applications. Scarification was
used to treat conditions such as epilepsy, although it was also known to
exacerbate conditions such as sarcoidosis, lichen planus, and psoriasis. Evolving
cultural beliefs, in addition to the association of scarification with an
increased risk of contracting hepatitis B and human immunodeficiency virus (HIV),
are contemporary threats to this long-standing practice. CONCLUSIONS: Given the
remarkably consistent appearance of scars that are described in the literature
and depicted in images, scarification does not appear to be a random or
accidental occurrence. Instead, it is a deliberate attempt to reproduce a custom
that has been perfected after many years of practice in sub-Saharan Africa.
PMID- 18042334
TI - Development and validation of a comprehensive acne severity scale.
AB - BACKGROUND: Although more than 25 acne grading systems exist, only 2 are
inclusive of truncal acne. There is neither a gold standard nor a consistently
used standardized system. PURPOSE: Our purpose was to develop and validate an
acne grading system incorporating severity at the face, chest, and back. METHODS:
We developed a comprehensive acne severity scale (CASS) by modifying a
preexisting facial acne scale, the Investigator Global Assessment, to include
truncal acne. The validity and responsiveness of CASS grades were correlated with
Leeds scores at baseline and after 6 months of standard acne treatment. RESULTS:
Spearman correlations were significant between Leeds and CASS grades for the face
(0.823), chest (0.854), and back (0.872), respectively (p < .001). After 6 months
of therapy, changes in these scores were also significantly correlated (p < .001)
at all three sites. CONCLUSION: Concurrent validity of CASS is demonstrated by a
very strong correlation with Leeds grading. CASS is simpler to use than the Leeds
system and more appropriate for translation of research trial results to clinical
practice.
PMID- 18042336
TI - Topical tacrolimus in the treatment of erosive pustular dermatosis of the scalp.
AB - BACKGROUND: Erosive pustular dermatosis of the scalp (EPDS) is a rare condition
characterized by chronic, sterile, pustular erosions leading to scarring
alopecia. Although the etiology is unknown, it appears to be associated with
ultraviolet light exposure and trauma. Histologic findings include nonspecific
atrophy of the epidermis and chronic inflammation. CASE HISTORY: A 71-year-old
female presented with a 1-year history of a boggy, erythematous, friable plaque
on the vertex of her scalp. A diagnosis of EPDS was made based on presentation,
negative cultures, and histologic findings. Initial therapy with intralesional
and topical steroids and oral antibiotics resolved the inflamed plaques; however,
steroid-induced atrophy became prominent after 5 months of use. The treatment was
discontinued, resulting in recurrence of disease. Topical tacrolimus 0.1%
ointment was initiated, which has been successfully controlling the lesions with
reversal of skin atrophy and clinical evidence of hair growth. CONCLUSION: This
is the fourth reported case of the successful treatment of EPDS with topical
tacrolimus for the resolution of atrophy and the prevention of relapse of
inflammation. Although its long-term use warrants close follow-up for side
effects, tacrolimus may constitute a novel therapeutic option for the treatment
of EPDS.
PMID- 18042338
TI - [Mechanism and prevention of the coronary complications for noncardiac surgery].
PMID- 18042339
TI - [Innovations in emergency management of chest pain with CT angiography].
AB - Recent technological innovations modify the diagnosis opportunities of multislice
CT angiography. Emergency chest pain management is therefore optimised and still
oriented by clinical presentation. Aortic CT angiography allows the diagnosis and
classification of aortic dissection or intramural haematoma. It also shows the
extension to aortic thoracoabdominal branches and visceral involvement. Pulmonary
embolism diagnosis will be completed by scanographic evaluation of its
seriousness. Chest pain caused by pulmonary or digestive diseases will also be
documented. A late phase imaging seems useful to diagnose acute myocardial
pathology.
PMID- 18042340
TI - [Allergy and refractory asthma: new etiological and treatment pathways].
AB - Severe asthma is often refractory to standard treatments and presents real
problems of management. It necessitates rigorous clinical procedures to identify
the aggravating factors. Allergic factors probably play an important role but are
often ignored; atopy is more often associated with mild or moderate asthma. The
prevalence of severe refractory asthma is not known with any precision, in view
of the lack of a consensus definition. Few studies have examined the role of
allergic factors. From a pathophysiologic perspective, genetic factors are
probably responsible for greater severity, and allergic response plays an
important role in some severe asthma phenotypes. From an environmental
perspective, some airborne allergens are associated with the refractory character
of the disease. Molds such as Alternaria are, for example, implicated in the
onset of asthma attacks, as are some occupational exposure. Better knowledge of
the pathophysiology of refractory asthma and its allergic dimensions have made it
possible to use new treatment agents, such as omalizumab. New treatment targets
are being discovered and evaluated in this domain.
PMID- 18042341
TI - [Current data on the treatment of chronic hepatitis B].
AB - Despite the development of new therapeutic options, treatment of chronic
hepatitis B remains a clinical challenge because of the need for long-term
treatment in most patients. Treatment with pegylated interferon is the only
option that allows a defined duration of treatment. Nonetheless, approximately
70% of the patients treated do not have a prolonged treatment response. A variety
of nucleoside analog viral polymerase (reverse transcriptase) inhibitors have
been developed (lamivudine, adefovir dipivoxil, entecavir, telbivudine); they can
be administered orally and are well tolerated. These antiviral agents effectively
induce viral suppression, which is accompanied by an improvement in transaminases
and hepatic histology. Nonetheless, the rates of HBe and HBs seroconversion
remain low with nucleoside analogs, and the absence of these immunologic events
necessitates prolonged antiretroviral treatment. Treatment with nucleoside
analogs leads to selection of resistant mutant viruses. They therefore require
close clinical and virologic follow-up to enable early screening for resistance
and adaptation of treatment before the liver disease worsens. The development of
these different treatment options has made possible very significant improvements
in the management of patients with chronic hepatitis B, by preventing aggravation
of liver disease in most of them.
PMID- 18042342
TI - Inhibition of message for FcepsilonRI alpha chain blocks mast cell IL-4
production induced by co-culture with Mycoplasma pneumoniae.
AB - We have previously described the activation of RBL-2H3 mast cells for IL-4
production by Mycoplasma pneumoniae but the mechanism remains unclear. M.
pneumoniae binds eukaryotic cells primarily through sialoglycoproteins on the
target cell surface. This study was undertaken to determine whether the sialated
FcepsilonRI alpha chain on RBL cells is important for M. pneumoniae-induced IL-4
production. We found that IgE-mediated IL-4 release by a series of RBL sublines
correlated with the release induced by M. pneumoniae. Further, aggregation of
FcgammaRII (CD32) in RBL cells using a monoclonal antibody inhibited both IgE
mediated and mycoplasma-induced IL-4 production, providing further evidence for
an Fc receptor-mediated mechanism of activation. To examine the role of
FcepsilonRI in mycoplasma-induced IL-4 release, we created stably transfected RBL
sublines using a vector expressing a short hairpin sequence designed to inhibit
message for the FcepsilonRI alpha chain. IgE-induced IL-4 production by the
transfected sublines was reduced in similar proportion to the degree of message
suppression. M. pneumoniae-induced IL-4 production in the four transfected
sublines was completely blocked in contrast to results with the controls or
parent RBL cells. We conclude that the heavily glycosylated FcepsilonRI alpha
chain is required for activation of mast cells for IL-4 production by M.
pneumoniae.
PMID- 18042343
TI - Transgenerational epigenetic programming of the embryonic testis transcriptome.
AB - Embryonic exposure to the endocrine disruptor vinclozolin during gonadal sex
determination appears to promote an epigenetic reprogramming of the male germ
line that is associated with transgenerational adult-onset disease states.
Transgenerational effects on the embryonic day 16 (E16) testis demonstrated
reproducible changes in the testis transcriptome for multiple generations (F1
F3). The expression of 196 genes was found to be influenced, with the majority of
gene expression being decreased or silenced. Dramatic changes in the gene
expression of methyltransferases during gonadal sex determination were observed
in the F1 and F2 vinclozolin generation (E16) embryonic testis, but the majority
returned to control-generation levels by the F3 generation. The most dramatic
effects were on the germ-line-associated Dnmt3A and Dnmt3L isoforms. Observations
demonstrate that an embryonic exposure to vinclozolin appears to promote an
epigenetic reprogramming of the male germ line that correlates with
transgenerational alterations in the testis transcriptome in subsequent
generations.
PMID- 18042344
TI - The risky business of ageing.
AB - This commentary reflects on the work by Chen and colleagues which compares the
effect of an immune challenge in hippocampus and hippocampal-dependent function
young and aged mice.
PMID- 18042345
TI - PCR-based identification of short deletion/insertions and single nucleotide
substitutions in genotyping of splotch (Pax3sp) and truncate (Nototc) mouse
mutants.
AB - Splotch (Pax3(sp)) and truncate (Noto(tc)) are spontaneously arisen mouse mutants
with disturbed embryo development. Splotch carries a Pax3 mutation and it is
characterized by the neural tube defect. Corresponding mutation in human causes
Waardenburg syndrome. Truncate is Noto mutant with disturbed development of the
caudal notochord. In order to establish easy genotyping procedure of these
mutations, it was tested whether simple PCRs with single primer pairs could be
used for this purpose. As it was necessary to differentiate sequence variants on
the scale of one to several nucleotides, the approach referred to as "3' variable
primer ends" was applied. The method was based on the presence of discriminating
nucleotides at the 3' end of the primer sequence. This approach was successfully
applied in genotyping adult mice and embryos of splotch with a 6 bp
deletion/insertion and truncate with a single nucleotide substitution. Described
genotyping approach facilitates recognizing of these mutations and it could be in
general used for detection of sequence differences in one to several nucleotides.
PMID- 18042347
TI - GABAA/Benzodiazepine receptor binding in patients with schizophrenia using
[11C]Ro15-4513, a radioligand with relatively high affinity for alpha5 subunit.
AB - Dysfunction of the GABA system is considered to play a role in the pathology of
schizophrenia. Individual subunits of GABA(A)/Benzodiazepine (BZ) receptor
complex have been revealed to have different functional properties. alpha5
subunit was reported to be related to learning and memory. Changes of alpha5
subunit in schizophrenia were reported in postmortem studies, but the results
were inconsistent. In this study, we examined GABA(A)/BZ receptor using
[(11)C]Ro15-4513, which has relatively high affinity for alpha5 subunit, and its
relation to clinical symptoms in patients with schizophrenia. [(11)C]Ro15-4513
bindings of 11 patients with schizophrenia (6 drug-naive and 5 drug-free) were
compared with those of 12 age-matched healthy control subjects using positron
emission tomography. Symptoms were assessed using the Positive and Negative
Syndrome Scale. [(11)C]Ro15-4513 binding was quantified by binding potential (BP)
obtained by the reference tissue model. [(11)C]Ro15-4513 binding in the
prefrontal cortex and hippocampus was negatively correlated with negative symptom
scores in patients with schizophrenia, although there was no significant
difference in BP between patients and controls. GABA(A)/BZ receptor including
alpha5 subunit in the prefrontal cortex and hippocampus might be involved in the
pathophysiology of negative symptoms of schizophrenia.
PMID- 18042346
TI - The RGK family of GTP-binding proteins: regulators of voltage-dependent calcium
channels and cytoskeleton remodeling.
AB - RGK proteins constitute a novel subfamily of small Ras-related proteins that
function as potent inhibitors of voltage-dependent (VDCC) Ca(2+) channels and
regulators of actin cytoskeletal dynamics. Within the larger Ras superfamily, RGK
proteins have distinct regulatory and structural characteristics, including
nonconservative amino acid substitutions within regions known to participate in
nucleotide binding and hydrolysis and a C-terminal extension that contains
conserved regulatory sites which control both subcellular localization and
function. RGK GTPases interact with the VDCC beta-subunit (Ca(V)beta) and inhibit
Rho/Rho kinase signaling to regulate VDCC activity and the cytoskeleton
respectively. Binding of both calmodulin and 14-3-3 to RGK proteins, and
regulation by phosphorylation controls cellular trafficking and the downstream
signaling of RGK proteins, suggesting that a complex interplay between
interacting protein factors and trafficking contribute to their regulation.
PMID- 18042348
TI - Increased superior temporal activation associated with external misattributions
of self-generated speech in schizophrenia.
PMID- 18042349
TI - Meta-regression analysis using latitude as moderator of paternal age related
schizophrenia risk: high ambient temperature induced de novo mutations or is it
related to the cold?
AB - While the season of birth, latitude and first admission effects suggest higher
risk of schizophrenia with cold climate, the high ambient temperature induced de
novo mutation hypothesis suggests the opposite. We conducted a systematic review
and meta-analysis (4 case-control studies and 5 cohort studies). We used annual
mean daily temperature and latitude of study sites as direct and indirect
measures of ambient temperature respectively. Using case-control studies
conducted in the Northern hemisphere for meta-regression, high latitude and low
ambient temperature were found to increase paternal age related schizophrenia
risk significantly. More research is needed to support the de novo mutation
hypothesis.
PMID- 18042350
TI - Relationships between white matter metabolite abnormalities, cognitive and social
functioning in elderly schizophrenic subjects.
PMID- 18042351
TI - Olfactory identification performance in individuals with psychometrically-defined
schizotypy.
AB - While deficits in olfaction have been well documented in individuals with
schizophrenia, less research has focused on olfactory identification performance
in psychometrically-defined schizotypy. The Abbreviated Schizotypal Personality
Questionnaire was used to define two groups of 26 individuals (62% female)
reporting high and average levels of schizotypy. Overall group differences on the
Brief Smell Identification Test did not approach statistical significance, and
this finding did not differ within either sex. The findings may reflect either
the abbreviated nature of the measures used, or a lack of reliable olfactory
performance differences in schizotypy.
PMID- 18042352
TI - pannier encodes two structurally related isoforms that are differentially
expressed during Drosophila development and display distinct functions during
thorax patterning.
AB - Previous studies have shown that the pannier (pnr) gene of Drosophila encodes a
GATA transcription factor which is involved in various biological processes,
including heart development, dorsal closure during embryogenesis as well as
neurogenesis and regulation of wingless (wg) expression during imaginal
development. We demonstrate here that pnr encodes two highly related isoforms
that share functional domains but are differentially expressed during
development. Moreover, we describe two genomic regions of the pnr locus that
drive expression of a reporter in transgenic flies in patterns that recapitulate
essential features of the expression of the isoforms, suggesting that these
regions encompass crucial regulatory elements. These elements contain, in
particular, sequences mediating regulation of expression by Decapentaplegic (Dpp)
signaling, during both embryogenesis and imaginal development. Analysis of pnr
alleles reveals that the isoforms differentially regulate expression of both wg
and proneural achaete/scute (as/sc) targets during imaginal development. Pnr
function has been demonstrated to be necessary both for activation of wg and,
together with U-shaped (Ush), for its repression in the dorsal-most region of the
presumptive notum. Expression of the isoforms define distinct longitudinal
domains and, in this regard, we importantly show that the dual function of pnr
during regulation of wg is achieved by one isoform repressing expression of the
morphogen in the dorsal-most region of the disc while the other laterally
promotes activation of the notal wg expression. Our study provides novel insights
into pnr function during Drosophila development and extends our knowledge of the
roles of prepattern factors during thorax patterning.
PMID- 18042353
TI - Activin signaling limits the competence for retinal regeneration from the
pigmented epithelium.
AB - Regeneration of the retina in amphibians is initiated by the transdifferentiation
of the retinal pigmented epithelium (RPE) into neural progenitors. A similar
process occurs in the early embryonic chick, but the RPE soon loses this ability.
The factors that limit the competence of RPE cells to regenerate neural retina
are not understood; however, factors normally involved in the development of the
eye (i.e. FGF and Pax6) have also been implicated in transdifferentiation.
Therefore, we tested whether activin, a TGFbeta family signaling protein shown to
be important in RPE development, contributes to the loss in competence of the RPE
to regenerate retina. We have found that addition of activin blocks regeneration
from the RPE, even during stages when the cells are competent. Conversely, a
small molecule inhibitor of the activin/TGFbeta/nodal receptors can delay, and
even reverse, the developmental restriction in FGF-stimulated neural retinal
regeneration.
PMID- 18042354
TI - New insights offered by a computational model of deep brain stimulation.
AB - Deep brain stimulation (DBS) is a standard neurosurgical procedure used to treat
motor symptoms in about 5% of patients with Parkinson's disease (PD). Despite the
indisputable success of this procedure, the biological mechanisms underlying the
clinical benefits of DBS have not yet been fully elucidated. The paper starts
with a brief review on the use of DBS to treat PD symptoms. The second section
introduces a computational model based on the population density approach and the
Izhikevich neuron model. We explain why this model is appropriate for
investigating macroscopic network effects and exploring the physiological
mechanisms which respond to this treatment strategy (i.e., DBS). Finally, we
present new insights into the ways this computational model may help to elucidate
the dynamic network effects produced in a cerebral structure when DBS is applied.
PMID- 18042355
TI - Coding processes involved in the cortical representation of complex tactile
stimuli.
AB - To understand how information is coded in the primary somatosensory cortex (S1)
we need to decipher the relationship between neural activity and tactile stimuli.
Such a relationship can be formally measured by mutual information. The present
study was designed to determine how S1 neuronal populations code for the
multidimensional kinetic features (i.e. random, time-varying patterns of force)
of complex tactile stimuli, applied at different locations of the rat forepaw.
More precisely, the stimulus localization and feature extraction were analyzed as
two independent processes, using both rate coding and temporal coding strategies.
To model the process of stimulus kinetic feature extraction, multidimensional
stimuli were projected onto lower dimensional subspace and then clustered
according to their similarity. Different combinations of stimuli clustering were
applied to differentiate each stimulus identification process. Information
analyses show that both processes are synergistic, this synergy is enhanced
within the temporal coding framework. The stimulus localization process is faster
than the stimulus feature extraction process. The latter provides more
information quantity with rate coding strategy, whereas the localization process
maximizes the mutual information within the temporal coding framework. Therefore,
combining mutual information analysis with robust clustering of complex stimuli
provides a framework to study neural coding mechanisms related to complex stimuli
discrimination.
PMID- 18042356
TI - From physiological principles to computational models of the cortex.
AB - Understanding the brain goes through the assimilation of an increasing amount of
biological data going from single cell recording to brain imaging studies and
behavioral analysis. The description of cognition at these three levels provides
us with a grid of analysis that can be exploited for the design of computational
models. Beyond data related to specific tasks to be emulated by models, each of
these levels also lays emphasis on principles of computation that must be obeyed
to really implement biologically inspired computations. Similarly, the advantages
of such a joint approach are twofold: computational models are a powerful tool to
experiment brain theories and assess them on the implementation of realistic
tasks, such as visual search tasks. They are also a way to explore and exploit an
original formalism of asynchronous, distributed and adaptive computations with
such precious properties as self-organization, emergence, robustness and more
generally abilities to cope with an intelligent interaction with the world. In
this article, we first discuss three levels at which a cortical circuit might be
observed to provide a modeler with sufficient information to design a
computational model and illustrate this principle with an application to the
control of visual attention.
PMID- 18042357
TI - Effects of Hebbian learning on the dynamics and structure of random networks with
inhibitory and excitatory neurons.
AB - The aim of the present paper is to study the effects of Hebbian learning in
random recurrent neural networks with biological connectivity, i.e. sparse
connections and separate populations of excitatory and inhibitory neurons. We
furthermore consider that the neuron dynamics may occur at a (shorter) time scale
than synaptic plasticity and consider the possibility of learning rules with
passive forgetting. We show that the application of such Hebbian learning leads
to drastic changes in the network dynamics and structure. In particular, the
learning rule contracts the norm of the weight matrix and yields a rapid decay of
the dynamics complexity and entropy. In other words, the network is rewired by
Hebbian learning into a new synaptic structure that emerges with learning on the
basis of the correlations that progressively build up between neurons. We also
observe that, within this emerging structure, the strongest synapses organize as
a small-world network. The second effect of the decay of the weight matrix
spectral radius consists in a rapid contraction of the spectral radius of the
Jacobian matrix. This drives the system through the "edge of chaos" where
sensitivity to the input pattern is maximal. Taken together, this scenario is
remarkably predicted by theoretical arguments derived from dynamical systems and
graph theory.
PMID- 18042358
TI - Modeling spatial integration in the ocular following response using a
probabilistic framework.
AB - The machinery behind the visual perception of motion and the subsequent sensori
motor transformation, such as in ocular following response (OFR), is confronted
to uncertainties which are efficiently resolved in the primate's visual system.
We may understand this response as an ideal observer in a probabilistic framework
by using Bayesian theory [Weiss, Y., Simoncelli, E.P., Adelson, E.H., 2002.
Motion illusions as optimal percepts. Nature Neuroscience, 5(6), 598-604,
doi:10.1038/nn858] which we previously proved to be successfully adapted to model
the OFR for different levels of noise with full field gratings. More recent
experiments of OFR have used disk gratings and bipartite stimuli which are
optimized to study the dynamics of center-surround integration. We quantified two
main characteristics of the spatial integration of motion: (i) a finite optimal
stimulus size for driving OFR, surrounded by an antagonistic modulation and (ii)
a direction selective suppressive effect of the surround on the contrast gain
control of the central stimuli [Barthelemy, F.V., Vanzetta, I., Masson, G.S.,
2006. Behavioral receptive field for ocular following in humans: dynamics of
spatial summation and center-surround interactions. Journal of Neurophysiology,
(95), 3712-3726, doi:10.1152/jn.00112.2006]. Herein, we extended the ideal
observer model to simulate the spatial integration of the different local motion
cues within a probabilistic representation. We present analytical results which
show that the hypothesis of independence of local measures can describe the
spatial integration of the motion signal. Within this framework, we successfully
accounted for the contrast gain control mechanisms observed in the behavioral
data for center-surround stimuli. However, another inhibitory mechanism had to be
added to account for suppressive effects of the surround.
PMID- 18042359
TI - Fatty acid profile of the erythrocyte membrane preceding development of Type 2
diabetes mellitus.
AB - BACKGROUND AND AIMS: The respective roles of dietary fatty acids in the
pathogenesis of diabetes are as yet unclear. Erythrocyte membrane fatty acid
(EMFA) composition may provide an estimate of dietary fatty acid intake. This
study investigates the relation between EMFA composition and development of Type
2 diabetes mellitus. METHODS AND RESULTS: In a nested case-referent design we
studied 159 individuals tested as non-diabetic at baseline who after a mean
observation time of 5.4+/-2.6years were diagnosed with Type 2 diabetes mellitus
and 291 sex- and age-matched referents. Higher proportions of pentadecanoic acid
(15:0) and heptadecanoic acid (17:0) were associated with a lower risk of
diabetes. In accordance with earlier findings, higher proportions of palmitoleic
(16:1 n-7), dihomo-gamma-linolenic (20:3 n-6) and adrenic (22:4 n-6) acids were
associated with increased risk, whereas linoleic (18:2 n-6) and clupanodonic
(22:5 n-3) acids were inversely associated with diabetes. After adjustment for
BMI, HbA1c, alcohol intake, smoking and physical activity the only significant
predictors were 15:0 and 17:0 as protective factors and 22:4 n6 as risk factor.
CONCLUSION: In accordance with previous studies, our results indicate that EMFA
patterns predict development of Type 2 diabetes mellitus. The inverse association
with two saturated fatty acids, previously shown to reflect consumption of dairy
products, is a new finding.
PMID- 18042360
TI - Hospital admissions and length of stay for coronary disease in an Aboriginal
cohort.
AB - BACKGROUND AND AIMS: Coronary disease (CHD)-related hospital admission is more
common among indigenous than non-indigenous Australians. We aimed to identify
predictors of hospital admission potentially useful in planning prevention
programs. METHODS AND RESULTS: Length of stay (LOS), interval between, and number
of recurrent admissions were modelled with proportional hazards or negative
binomial models using lifestyle data recorded in 1988-1989 among Aborigines (256
women, 258 men, aged 15-88years) linked to hospital records to 2002. Among 106
Aborigines with CHD, hypertension (hazard ratio (HR) 1.69, 95% CI 1.05-2.73);
smoking (HR 1.90, 95% CI 1.02-3.53); consuming processed meat >4 times/month (HR
1.81, 95% CI 1.01-3.24); >6 eggs/week (HR 1.73, 95% CI 1.03-2.94); and lower
intake of alcohol (HR 0.54, 95% CI 0.35-0.83) predicted LOS. Eating eggs (HR
1.05, 95% CI 1.01-1.09) and bush meats > or =7 times/month (HR 0.46, 95% CI 0.23
0.92) predicted interval between recurrent admissions. Hypertension (IRR 4.07;
95% CI 1.32-12.52), being an ex-drinker (IRR 6.60, 95% CI 2.30-19.00), eating red
meat >6 times/week (IRR 0.98, 95% CI 0.97-0.99), bush meats >7 times/month (IRR
0.26, 95% CI 0.10-0.67), and adding salt to meals (IRR 3.16, 95% CI 1.12-8.92)
predicted number of admissions. CONCLUSION: Hypertension, alcohol drinking,
smoking, and diet influence hospital admissions for CHD in Aboriginal
Australians.
PMID- 18042361
TI - Relationship between von Willebrand factor, cholesterol and triglycerides in non
diabetic subjects.
PMID- 18042362
TI - Methoxy poly(ethylene glycol)--low molecular weight linear polyethylenimine
derived copolymers enable polyplex shielding.
AB - Targeted gene delivery relies on the development of materials that allow for the
formation of small neutrally charged particles of sufficient colloidal stability
preventing non-specific interactions with cells. In order to identify a copolymer
composition that combines adequate plasmid DNA (pDNA) compaction with an
efficient charge-shielding effect, we synthesized a series of copolymers by
covalent linkage of activated 5 or 20 kDa linear methoxy poly(ethylene glycol)
(mPEG) or 10 kDa two-arm-mPEG to non-toxic low molecular weight (2.6 and 4.6 kDa)
linear polyethylenimine (lPEI) at different molar ratios (mPEG-lPEI copolymers).
All of the copolymers condensed pEGFP-N1 pDNA to form nanoparticles with
hydrodynamic diameters between 150 and 420 nm - sizes that were maintained for
the entire duration of measurement. PEGylated complexes exhibited a reduced
particle stability in comparison to the unmodified lPEI-pDNA polyplexes,
determined by gel retardation assays and DNase I experiments. Copolymer-pDNA
complexes exhibited a zeta potential between -4 and 6 mV, strongly depending on
the dispersion medium applied (0.15M NaCl or 5% glucose supplemented with serum
free cell culture medium). The transfection efficacy, determined in CHO-K1
(between 0.28+/-0.08% and 1.92+/-0.46%) and HeLa (between 1.02+/-0.19% and 3.53+/
0.30%) cells, was significantly reduced compared to lPEI-pDNA particles (between
3.2+/-1.3% and 38.8+/-5.5%). The architecture of the copolymer, the molecular
weight of the lPEI residue, and the supplementation of endosomolytic agents
(saccharose, chloroquine) all failed to impact the efficacy of gene transfer.
Uptake studies, based on Confocal Laser Scanning Microscopy (CLSM) imaging and
flow cytometry analysis, suggest that the use of mPEG5/3-lPEI2.6, mPEG10/2
lPEI2.6, and mPEG20-lPEI4.6 lowers unspecific internalization of the
corresponding transfection complexes. This provides an ideal basis for the
development of transfection vehicles for targeted gene transfer.
PMID- 18042363
TI - The anion exchanger Ae2 is required for enamel maturation in mouse teeth.
AB - One of the mechanisms by which epithelial cells regulate intracellular pH is
exchanging bicarbonate for Cl(-). We tested the hypothesis that in ameloblasts
the anion exchanger-2 (Ae2) is involved in pH regulation during maturation stage
amelogenesis. Quantitative X-ray microprobe mineral content analysis, scanning
electron microscopy, histology, micro-computed tomography and Ae2 immuno
localisation analyses were applied to Ae2-deficient and wild-type mouse
mandibles. Immuno-localisation of Ae2 in wild-type mouse incisors showed a very
strong expression of Ae2 in the basolateral membranes of the maturation stage
ameloblasts. Strikingly, zones of contiguous ameloblasts were found within the
maturation stage in which Ae2 expression was extremely low as opposed to
neighbouring cells. Maturation stage ameloblasts of the Ae2(a,b)(-/-) mice failed
to stain for Ae2 and showed progressive disorganisation as enamel development
advanced. Maturation stage enamel of the Ae2(a,b)(-/-) mice contained
substantially less mineral and more protein than wild-type enamel as determined
by quantitative X-ray microanalysis. Incisor enamel was more severely affected
than molar enamel. Scanning electron microscopy revealed that the rod-inter-rod
structures of the Ae2(a,b)(-/-) mice incisor enamel were absent. Mineral content
of dentine and bone of Ae2(a,b)(-/-) mice was not significantly different from
wild-type mice. The enamel from knockout mouse teeth wore down much faster than
that from wild-type litter mates. Basolateral bicarbonate secretion via the
anionic exchanger Ae2 is essential for mineral growth in the maturation stage
enamel. The observed zonal expression of Ae2 in the maturation stage ameloblasts
is in line with a model for cyclic proton secretion during maturation stage
amelogenesis.
PMID- 18042364
TI - TSG-6 binds via its CUB_C domain to the cell-binding domain of fibronectin and
increases fibronectin matrix assembly.
AB - Human plasma fibronectin binds with high affinity to the inflammation-induced
secreted protein TSG-6. Fibronectin binds to the CUB_C domain of TSG-6 but not to
its Link module. TSG-6 can thus act as a bridging molecule to facilitate
fibronectin association with the TSG-6 Link module ligand thrombospondin-1.
Fibronectin binding to TSG-6 is divalent cation-independent and is conserved in
cellular fibronectins. Based on competition binding studies using recombinant and
proteolytic fragments of fibronectin, TSG-6 binding localizes to type III repeats
9-14 of fibronectin. This region of fibronectin contains the Arg-Gly-Asp sequence
recognized by alpha5beta1 integrin, but deletion of that sequence does not
prevent TSG-6 binding, and TSG-6 does not inhibit cell adhesion on fibronectin
substrates mediated by this integrin. This region of fibronectin is also involved
in fibronectin matrix assembly, and addition of TSG-6 enhances exogenous and
endogenous fibronectin matrix assembly by human fibroblasts. Therefore, TSG-6 is
a high affinity ligand that can mediate fibronectin interactions with other
matrix components and modulate some interactions of fibronectin with cells.
PMID- 18042365
TI - Fat-1 transgenic mice: a new model for omega-3 research.
AB - An appropriate animal model that can eliminate confounding factors of diet would
be very helpful for evaluation of the health effects of nutrients such as n-3
fatty acids. We recently generated a fat-1 transgenic mouse expressing the
Caenorhabditis elegans fat-1 gene encoding an n-3 fatty acid desaturase that
converts n-6 to n-3 fatty acids (which is absent in mammals). The fat-1
transgenic mice are capable of producing n-3 fatty acids from the n-6 type,
leading to abundant n-3 fatty acids with reduced levels of n-6 fatty acids in
their organs and tissues, without the need of a dietary n-3 supply. Feeding an
identical diet (high in n-6) to the transgenic and wild-type littermates can
produce different fatty acid profiles in these animals. Thus, this model allows
well-controlled studies to be performed, without the interference of the
potential confounding factors of diet. The transgenic mice are now being used
widely and are emerging as a new tool for studying the benefits of n-3 fatty
acids and the molecular mechanisms of their action.
PMID- 18042366
TI - Antimanic therapies target brain arachidonic acid signaling: lessons learned
about the regulation of brain fatty acid metabolism.
AB - Bipolar disorder is a major medical, social and economic burden worldwide.
However, the biochemical basis of the disorder and the mechanisms of action of
effective antibipolar disorder drugs remain elusive. In this paper, we review how
combining a kinetic approach to studying the turnover of fatty acids within brain
phospholipids of unanesthetized rats along with chronic administration of
antimanic drugs (lithium, valproate and carbamazepine) at therapeutically
relevant doses, shows that the brain arachidonic acid cascade is a common target
of these drugs. The overlapping effects of the three drugs are decreased turnover
of arachidonic acid but not of docosahexaenoic acid in rat brain phospholipids,
and decreased brain cyclooxygenase-2 and prostaglandin E(2). Whereas lithium and
carbamazepine target the transcription of the arachidonic acid-selective calcium
dependent cytosolic phospholipase A(2), valproate is a non-competitive inhibitor
of an arachidonic acid-selective acyl-CoA synthetase. Two potential models of
bipolar disorder, chronic N-methyl-d-aspartate and n-3 polyunsaturated fatty acid
deprivation, opposite to the antimanic drugs, increase the turnover and markers
of the arachidonic acid cascade in rat brain. These observations support the
hypothesis proposed by Rapoport and colleagues that the arachidonic acid cascade
is a common target of mood stabilizers and that by targeting substrate-specific
enzymes the turnover of individual fatty acids can be regulated within the brain.
PMID- 18042367
TI - Modulation of T-cell signalling by non-esterified fatty acids.
AB - Polyunsaturated fatty acids (PUFAs) have been shown to be immunosuppressive. In
particular, they can decrease important T-cell functions that may have a profound
impact on the acquired immune response. Several mechanisms may explain the
immunosuppressive properties of PUFAs. Here we review the mechanisms by which
they interfere with T-cell activation. PUFAs affect lipid rafts composition and
function that play an essential role in T-cell signalling. The possible
physiological and pathological significances of this immunomodulation by PUFAs
are discussed. Further mechanistic studies and randomized controlled clinical
trials are needed to assess more accurately their effects in healthy and
pathological states.
PMID- 18042369
TI - Multi-objective optimization of solid waste flows: environmentally sustainable
strategies for municipalities.
AB - An approach to sustainable municipal solid waste (MSW) management is presented,
with the aim of supporting the decision on the optimal flows of solid waste sent
to landfill, recycling and different types of treatment plants, whose sizes are
also decision variables. This problem is modeled with a non-linear, multi
objective formulation. Specifically, four objectives to be minimized have been
taken into account, which are related to economic costs, unrecycled waste,
sanitary landfill disposal and environmental impact (incinerator emissions). An
interactive reference point procedure has been developed to support decision
making; these methods are considered appropriate for multi-objective decision
problems in environmental applications. In addition, interactive methods are
generally preferred by decision makers as they can be directly involved in the
various steps of the decision process. Some results deriving from the application
of the proposed procedure are presented. The application of the procedure is
exemplified by considering the interaction with two different decision makers who
are assumed to be in charge of planning the MSW system in the municipality of
Genova (Italy).
PMID- 18042368
TI - n-3 Fatty acids modulate brain glucose transport in endothelial cells of the
blood-brain barrier.
AB - We have previously shown that glucose utilization and glucose transport were
impaired in the brain of rats made deficient in n-3 polyunsaturated fatty acids
(PUFA). The present study examines whether n-3 PUFA affect the expression of
glucose transporter GLUT1 and glucose transport activity in the endothelial cells
of the blood-brain barrier. GLUT1 expression in the cerebral cortex microvessels
of rats fed different amounts of n-3 PUFA (low vs. adequate vs. high) was
studied. In parallel, the glucose uptake was measured in primary cultures of rat
brain endothelial cells (RBEC) exposed to supplemental long chain n-3 PUFA,
docosahexaenoic (DHA) and eicosapentaenoic (EPA) acids, or to arachidonic acid
(AA). Western immunoblotting analysis showed that endothelial GLUT1 significantly
decreased (-23%) in the n-3 PUFA-deficient microvessels compared to control ones,
whereas it increased (+35%) in the microvessels of rats fed the high n-3 PUFA
diet. In addition, binding of cytochalasin B indicated that the maximum binding
to GLUT1 (Bmax) was reduced in deficient rats. Incubation of RBEC with 15 microM
DHA induced the membrane DHA to increase at a level approaching that of cerebral
microvessels isolated from rats fed the high n-3 diet. Supplementation of RBEC
with DHA or EPA increased the [(3)H]-3-O-methylglucose uptake (reflecting the
basal glucose transport) by 35% and 50%, respectively, while AA had no effect. In
conclusion, we suggest that n-3 PUFA can modulate the brain glucose transport in
endothelial cells of the blood-brain barrier, possibly via changes in GLUT1
protein expression and activity.
PMID- 18042370
TI - Electronic scraps--recovering of valuable materials from parallel wire cables.
AB - Every year, the number of discarded electro-electronic products is increasing.
For this reason recycling is needed, to avoid wasting non-renewable natural
resources. The objective of this work is to study the recycling of materials from
parallel wire cable through unit operations of mineral processing. Parallel wire
cables are basically composed of polymer and copper. The following unit
operations were tested: grinding, size classification, dense medium separation,
electrostatic separation, scrubbing, panning, and elutriation. It was observed
that the operations used obtained copper and PVC concentrates with a low degree
of cross contamination. It was concluded that total liberation of the materials
was accomplished after grinding to less than 3 mm, using a cage mill. Separation
using panning and elutriation presented the best results in terms of recovery and
cross contamination.
PMID- 18042371
TI - EU strategies and policies on soil and waste management to offset greenhouse gas
emissions.
AB - Climate change has become an important political priority in the environmental
field, and beyond. To revert the increase in the Earth's temperature, developed
country parties to the Kyoto Protocol committed to limit their greenhouse gas
emissions. The 15 Member States that made up the European Community in 1997 have
a combined reduction target of 8% in CO2-equivalent emissions in the period 2008
2012 compared to 1990. The role of soil, both as a source and a sink for carbon,
is particularly important. How can soil organic matter be maintained or
increased? There is no single answer, and a broad range of options need to be
explored. Among the different measures proposed, the promotion of organic input
on arable land (crop residues, cover crops, farm yard manure, compost, sewage
sludge) has been mentioned. The challenge is to ensure that organic wastes of
good quality are used to increase soil organic matter in carbon depleted soils
and that appropriate monitoring is established. On the waste management front,
the European Commission intends to produce guidance for Member States on the
management of biowaste that will take into account all related environmental
issues, including soil aspects. As for monitoring, the European Commission has
put forward legislation according to which Member States would have to identify
the areas at risk of soil organic matter decline in their national territory.
Such legislation should be regarded as a major step forward for Europe, as it
would ensure a high level of soil protection across the Community. This
development will have the potential to enable the kind of estimation, measurement
or modelling of crop or grazing land management needed for accounting under
Article 3.4 of the Kyoto Protocol.
PMID- 18042372
TI - Assessment of chemical and biochemical stabilization of organic C in soils from
the long-term experiments at Rothamsted (UK).
AB - Biological and chemical stabilization of organic C was assessed in soils sampled
from the long-term experiments at Rothamsted (UK), representing a wide range of
carbon inputs and managements by extracting labile, non-humified organic matter
(NH) and humic substances (HS). Four sequentially extracted humic substances
fractions of soil organic matter (SOM) were extracted and characterized before
and after a 215-day laboratory incubation at 25 degrees C from two arable soils,
a woodland soil and an occasionally stubbed soil. The fractions corresponded to
biochemically stabilised SOM extracted in 0.5M NaOH (free fulvic acids (FA) and
humic acids (HA)) and chemically plus biochemically stabilised SOM extracted from
the residue with 0.1M Na4P2O7 plus 0.1M NaOH (bound FA and HA). Our aim was to
investigate the effects of chemical and biochemical stabilization on carbon
sequestration. The non-humic to humic (NH/H) C ratio separated the soils into two
distinct groups: arable soils (unless fertilised with farmyard manure) had an
NH/H C ratio between 1.05 and 0.71, about twice that of the other soils (0.51
0.26). During incubation a slow, but detectable, decrease in the NH/H C ratio
occurred in soils of C input equivalent or lower to 4Mgha(-1)y(-1), whereas the
ratio remained practically constant in the other soils. Before incubation the
free to bound humic C ratio increased linearly (R2=0.91) with C inputs in the
soils from the Broadbalk experiment and decreased during incubation, showing that
biochemical stabilization is less effective than chemical stabilization in
preserving humic C. Changes in delta13C and delta15N after incubation were
confined to the free FA fractions. The delta13C of free FA increased by 1.48 and
0.80 per thousand, respectively, in the stubbed and woodland soils, indicating a
progressive biological transformation. On the contrary, a decrease was observed
for the bound FA of both soils. Concomitantly, a Deltadelta15N of up to +3.52 per
thousand was measured after incubation in the free FA fraction and a -2.58
Deltadelta15N in the bound FA. These changes, which occurred during soil
incubation in the absence of C inputs, indicate that free FA fractions were
utilised by soil microorganisms, and bound FA were decomposed and replaced, in
part, by newly synthesized FA. The 13CPMAS-TOSS NMR spectra of free HA extracted
before and after 215 days of incubation were mostly unchanged. In contrast,
changes were evident in bound HA and showed an increase in aromatic C after
incubation.
PMID- 18042373
TI - Effects of municipal solid waste compost and sewage sludge on chemical and
spectroscopic properties of humic acids from a sandy Haplic Podzol and a clay
loam Calcic Vertisol in Portugal.
AB - The effects of amendment with municipal solid waste compost (MSWC) and
anaerobically digested sewage sludge (SS) on the compositional and structural
features of soil humic acids (HAs) were investigated. For this purpose, HAs were
isolated from MSWC, SS, and two different Portuguese soils, a sandy Haplic Podzol
and a clay loam Calcic Vertisol, which were either unamended or amended with MSWC
or SS at a rate of 60 t ha(-1). The isolated HAs were analyzed for elemental and
acidic functional group composition, and by ultraviolet/visible, Fourier
transform infrared (FT IR), and fluorescence spectroscopies. The application of
MSWC and especially SS to soils determined an increase of C, N, H, and S contents
and E4/E6 ratios (i.e., ratios of absorbances at 465 and 665 nm), and a decrease
of O, COOH, and phenolic OH contents and C/N, C/H, and O/C ratios of soil HAs.
The FT IR and fluorescence results showed that the organic amendments, especially
SS, caused an increase of the aliphatic character and a decrease of the degrees
of aromatic polycondensation, polymerization, and humification of amended soil
HAs. Both MSWC and SS affected more markedly the clayey soil HAs than the sandy
soil HAs, possibly due to less extended mineralization processes and the
protective action of clay minerals on amended soil HAs.
PMID- 18042374
TI - Development of a temperature sensor array chip and a chip-based real-time PCR
machine for DNA amplification efficiency-based quantification.
AB - A temperature sensor array chip was developed to monitor the thermal cycling
profiles of a polymerase chain reaction (PCR). DNA amplification efficiency of
each cycle was estimated through temperature data to fit the stochastic model. A
fluorescence detector system was constructed to detect the PCR amplifications of
latter cycles, at which the fluorescence intensity passed the optical detection
threshold. Through monitoring of both temperature and fluorescence, DNA
amplification efficiency curve was completed for quantification. The Forster
resonance energy transfer (FRET) was employed to detect the measurements of the
PCR product amount at the reaction endpoint. The chip-based, real-time PCR
machine was constructed to perform the amplification efficiency curve-based
quantification method. This novel method achieved the absolute quantification of
the Hepatitis B virus (HBV) DNA using a single sample without the construction of
the standard curve. The coefficient of variation (CV) of the 15 replicates inter
assay experiments was less than 5.87%. Compared with the CV values obtained from
the commercial machine in the range of 4.33-14.56%, it is noted that CV values of
the prototype with respect to the samples of different initial concentration
ranging from 10(7) to 10(3)copies/ml are almost equable.
PMID- 18042375
TI - The alpha1-adrenergic receptor antagonist doxazosin inhibits EGFR and NF-kappaB
signalling to induce breast cancer cell apoptosis.
AB - The selective alpha(1)-adrenergic receptor antagonist doxazosin (dox) has been
reported to inhibit prostate cancer proliferation. We now demonstrate that dox
treatment inhibits proliferation and induces apoptosis in breast cancer cells in
vitro by mechanisms that do not wholly involve the alpha1-adrenergic receptor.
Intriguingly, dox-treatment reduced phosphorylated EGFR expression, decreased
pERK1/2 levels and decreased NF-kappaB, AP-1, SRE, E2F and CRE-mediated
transcriptional activity. EGF- and TNFalpha treatment alone failed to block dox
mediated breast cancer apoptotic effects, but combination of EGF and TNFalpha
treatments completely abrogated dox-induced breast cancer cell apoptosis,
indicating doxazosin inhibits both EGFR and NF-kappaB signalling pathways to
induce breast cancer cell apoptosis. Doxazosin is proposed as a possible novel
medical therapy for breast cancer.
PMID- 18042376
TI - Atopic dysfunction and risk of central nervous system tumours in children.
AB - Risk factors for central nervous system (CNS) tumours in children remain largely
unknown. Evidence of an inverse relationship between atopy and tumour development
exists in adults but little is known about childhood tumours. This study aims to
examine the risk of childhood CNS tumours given a history of eczema and asthma.
Cases of children diagnosed with CNS tumours (n=575) and controls (n=6292) from
the UK Childhood Cancer Study (UKCCS) were analysed using conditional logistic
regression comparing reported histories of allergic disease. Asthma was
statistically significantly and negatively associated with all CNS tumours (odds
ratios, OR 0.75, confidence of interval, CI(95%): 0.58-0.97), though this was not
observed for eczema (OR 0.94, CI(95%): 0.74-1.18). Individuals who had suffered
both asthma and eczema showed the most significant reduction in risk (OR 0.48,
CI(95%): 0.28-0.81). Analysis by tumour subtype showed the strongest effect for
the medulloblastoma/PNET group. These results may have a biological explanation
with raised immunosurveillance in atopic individuals protecting against the
development of brain tumours. Alternative explanations might include bias,
reverse causality or confounding.
PMID- 18042377
TI - Surgical treatment of rectal cancer after neoadjuvant chemoradiation. Where are
we going?
AB - Anterior resection of the rectum and abdominoperineal resection with total
mesorectal excision represent the standard surgical approach after preoperative
chemoradiation in rectal cancer. Many clinical trials seem to validate
laparoscopic approach, even if long-term outcome has not been still reported.
Some authors recently focused their research on organ-saving surgery, either
local excision or non-operative treatment. In this paper we present a brief
revision of what rectal cancer treatment is reaching.
PMID- 18042378
TI - Laparoscopic total mesorectal excision after neoadjuvant chemoradiotherapy.
AB - BACKGROUND: Although several authors have demonstrated that laparoscopic total
mesorectal excision (TME) is feasible, safe, and has short-term benefits over
open surgery, evidence about oncological outcome is lacking. Preoperative
chemoradiation has been shown to improve local control in locally advanced rectal
cancer. Therefore, neoadjuvant treatment followed by laparoscopic TME has become
widely used. We reviewed our series of laparoscopic TME focusing on comparison
between preoperative chemoradiation therapy and primary surgery. METHODS: Out of
59 patients who underwent laparoscopic TME, 20 were submitted to neoadjuvant
chemoradiation and represent study population. Twenty-six patients with non
metastatic rectal cancer >T1 on pathologic TNM staging who underwent primary
laparoscopic surgery were considered for comparison. RESULTS: No significant
differences were found in operative time, in conversions to open surgery, in
intra- and postoperative complications, and in anastomotic leakage rate between
the two groups. No isolated local recurrence nor port-site metastases were
detected in either group. Cumulative 3-year and 5-year survivals are also
similar. CONCLUSION: Neoadjuvant treatment does not seem to jeopardize
perioperative results of laparoscopic TME. The low incidence of local recurrence
reported in both groups may be attributed to a more precise dissection allowed by
the endoscopic view. Laparoscopic TME and preoperative chemoradiotherapy may
significantly improve oncologic results and quality of life in patients with mid
and low rectal cancer. Results should be validated by randomized trials with
adequate follow-up.
PMID- 18042379
TI - Selecting the optimum treatment for colorectal liver metastases.
AB - There has been much improvement in the management of patients with colorectal
liver metastases over the last 20 years. Appropriate selection of both treatment
and patients can result in enhanced outcome. The main modalities of treatment are
surgery, ablation, and combinations of chemotherapy drugs. Frequently, a
combination of the above are required. This article summarises the most
appropriate treatments designed to enhance outcome in these patients.
PMID- 18042380
TI - New polyphenols active on beta-amyloid aggregation.
AB - New polyphenol classes have been tested against amyloid-beta peptide aggregation.
We have identified four novel polyphenols which could be efficient fibril
inhibitors in Alzheimer's disease: malvidin and its glucoside and curculigosides
B and D. We suggest that molecules with the particular C(6)-linkers-C(6)
structure could be potent inhibitors. From the results reported for the flavan-3
ol family, their anti-amyloidogenic effects against whole peptides (1-40 and 1
42) could involve several binding sites.
PMID- 18042381
TI - Amino acid derivatives as histone deacetylase inhibitors.
AB - Ongoing clinical studies indicate that inhibitors of Class I and Class II histone
deacetylase (HDAC) enzymes show great promise for the treatment of cancer.
Zolinza (SAHA, Zolinza) was recently approved by the FDA for the treatment of the
cutaneous manifestations of cutaneous T-cell lymphoma. As a part of an ongoing
effort to identify novel small molecules to target these important enzymes, we
have prepared several classes of amino acid-derived HDAC1 inhibitors. The design
rationale and in vitro activity against the HDAC1 enzyme and HCT116 cell line are
described in this letter.
PMID- 18042382
TI - A very simple synthesis of GlcNAc-alpha-pyrophosphoryl-decanol: a substrate for
the assay of a bacterial galactosyltransferase.
AB - Lipid-linked sugar pyrophosphates, such as GlcNAc-pyrophosphoryl undecaprenol,
are important intermediates in the biosynthesis of cell-surface bacterial
polysaccharides. It was recently demonstrated that much simpler lipids could
substitute for undecaprenol while retaining biological activity, thus making
efficient synthetic access to this class of compounds highly desirable. In order
to facilitate the synthesis of pure substrates for bacterial
glycosyltransferases, we have developed a simple 'two-pot' synthesis which we
demonstrate here for GlcNAc-alpha-pyrophosphoryl-decanol (4). GlcNAc
pyrophosphate, produced by mild periodate oxidation/beta-elimination of
commercial UDP-GlcNAc, is alkylated using 1-iododecane to yield the target
compound 4 in 39% yield. Compound 4 is shown to be an efficient acceptor for a
bacterial galactosyltransferase.
PMID- 18042383
TI - Design and synthesis of a fluorescent muscarinic antagonist.
AB - The design and concise synthesis of a fluorescent tolterodine-BODIPY (boron
dipyrromethene) conjugate is described which possesses potent antimuscarinic
activity. This derivative illustrates proof-of-concept for the preparation of
other useful fluorophoric antimuscarinic agents which have potential utility in
receptor occupancy studies and high throughput screens.
PMID- 18042384
TI - Carbonic anhydrase inhibitors: copper(II) complexes of polyamino
polycarboxylamido aromatic/heterocyclic sulfonamides are very potent inhibitors
of the tumor-associated isoforms IX and XII.
AB - Reaction of EDTA/DTPA dianhydride with aromatic/heterocyclic sulfonamides
afforded a series of derivatives incorporating polyaminopolycarboxylate tails and
benzenesulfonamide or 1,3,4-thiadiazole-2-sulfonamide heads. These compounds have
been used as ligands to prepare Cu(II) complexes. Both parent sulfonamides as
well as their copper complexes behaved as potent inhibitors of four carbonic
anhydrase (CA, EC 4.2.1.1) isoforms, the cytosolic CA I and II, and transmembrane
CA IX and XII. Some Cu(II) complexes showed subnanomolar affinities and some
selectivity for the inhibition of the tumor-associated isoforms IX and XII and
might be used as PET hypoxia markers of tumors.
PMID- 18042385
TI - Spermicidal bacteriocins: lacticin 3147 and subtilosin A.
AB - Spermicidal compounds that also exhibit antimicrobial properties would be
extremely attractive agents as they could be used to not only prevent unwanted
pregnancy but also to combat the growing prevalence of sexually transmitted
infections (STI). One class of compounds that are potential candidates for
development of dual-acting contraceptive products are antimicrobial peptides
(AMPs). Herein, we report preliminary studies carried out to investigate the
spermicidal activity of two bacteriocins, lacticin 3147 and subtilosin A, on
bovine, horse/pony, boar and rat sperm.
PMID- 18042386
TI - Time-to-progression in breast cancer: a stratification model for clinical trials.
AB - The development of new anti-tumour drugs without clear cytoreductive activity has
necessitated changes in the design of clinical trials. Defining the "time"
parameter has become the essential objective of the majority of these trials.
However, in breast cancer, this parameter is highly variable and, as such,
difficult to quantify. We developed a useful tool that takes into account the
inter-relatedness of all the variables known to have the capacity to predict the
time-to-progression (TTP) in advanced breast cancer. From the Alamo database
(GEICAM), we selected 1798 patients diagnosed as having metastatic breast cancer.
Univariate analysis was performed using the method of Kaplan-Meier. Multivariate
analysis was with the Cox regression method. The variables that were shown to
have independent predictive value for the TTP were: non-visceral metastatic
disease, single metastases, hormonal receptor positive N/T ratio<2 and disease
free interval (DFI) > or = 24 months. Taking into account the variables that had
reached an independent predictive value, we constructed a model of scoring in
which the patients were grouped according to the TTP. Using our new scoring
model, it is possible to group patients with metastatic breast cancer according
to the predicted TTP. This can be a useful tool at the time of selecting and
stratifying patients on entry into new randomised clinical trials.
PMID- 18042387
TI - Cystic giant prolactinoma in childhood.
AB - In childhood and adolescence, pituitary adenomas are rare and half are
prolactinomas. However, cystic giant prolactinoma in prepuberty is extremely
rare. In this report, we present a 10-year-old boy with a cystic giant
prolactinoma who was treated with two-stage surgery as the tumor was dumbbell
shaped. To our knowledge, this is the second reported case of a cystic giant
prolactinoma in a prepubertal child.
PMID- 18042388
TI - New 7,8-benzoflavanones as potent aromatase inhibitors: synthesis and biological
evaluation.
AB - Some natural compounds such as flavonoids are known to possess a moderate
inhibitory activity against aromatase, this enzyme being an interesting target
for hormone-dependent breast cancer treatment. It has been demonstrated that the
modulation of flavonoid skeleton could increase anti-aromatase effect. Therefore,
new 7,8-benzoflavanones were synthesized and tested for their activity toward
aromatase inhibition. It was observed that the introduction of a benzo ring at
position C-7 and C-8 on flavanone skeleton led to new potent aromatase
inhibitors, the resulting 7,8-benzoflavanones being until nine times more potent
than aminogluthetimide (the first aromatase inhibitor used clinically).
PMID- 18042389
TI - N6-substituted C5'-modified adenosines as A1 adenosine receptor agonists.
AB - Adenosines bearing 5'-modification in conjunction with an N6-substituent have
previously been shown to act as partial agonists at the A1 adenosine receptor.
Our current work investigates the effect of modifying the 5'-position in
conjunction with efficacious bicyclic and tricyclic N6-substituents. Several
highly potent agonists for the A1 adenosine receptor were identified; however,
all of these compounds behaved as full agonists. In keeping with previous
reports, 5'-halogen and 5'-sulfide derivatives of N6-(endo-norborn-2-yl)adenosine
were, in general, low nanomolar agonists of the A1 adenosine receptor. The known
partial agonist, N6-cyclopentyl-5'-deoxy-5'-ethylthioadenosine (2), also behaved
as a full agonist in our assay.
PMID- 18042390
TI - Comparison of the electronic structure of a thermoelectric skutterudite before
and after adding rattlers: an electron energy loss study.
AB - Skutterudites, with rattler atoms introduced in voids in the crystal unit cell,
are promising thermoelectric materials. We modify the binary skutterudite with
atomic content Co(8)P(24) in the cubic crystal unit cell by adding La as rattlers
in all available voids and replacing Co by Fe to maintain charge balance,
resulting in La(2)Fe(8)P(24). The intention is to leave the electronic structure
unaltered while decreasing the thermal conductivity due to the presence of the
rattlers. We compare the electronic structure of these two compounds by studying
the L-edges of P and of the transition elements Co and Fe using electron energy
loss spectroscopy (EELS). Our studies of the transition metal white lines show
that the 3d electron count is similar for Co and Fe in these compounds. As
elemental Fe has one electron less than Co, this supports the notion that each La
atom donates three electrons. The L-edges of P in these two skutterudites are
quite similar, signalling only minor differences in electronic structure. This is
in reasonable agreement with density functional theory (DFT) calculations, and
with our multiple scattering FEFF calculations of the near edge structure.
However, our experimental plasmon energies and dielectric functions deviate
considerably from predictions based on DFT calculations.
PMID- 18042391
TI - Description and interpretation of the bracts epidermis of Gramineae (Poaceae)
with rotated image with maximum average power spectrum (RIMAPS) technique.
AB - During the last few years, RIMAPS technique has been used to characterize the
micro-relief of metallic surfaces and recently also applied to biological
surfaces. RIMAPS is an image analysis technique which uses the rotation of an
image and calculates its average power spectrum. Here, it is presented as a tool
for describing the morphology of the trichodium net found in some grasses, which
is developed on the epidermal cells of the lemma. Three different species of
grasses (herbarium samples) are analyzed: Podagrostis aequivalvis (Trin.) Scribn.
& Merr., Bromidium hygrometricum (Nees) Nees & Meyen and Bromidium ramboi
(Parodi) Rugolo. Simple schemes representing the real microstructure of the lemma
are proposed and studied. RIMAPS spectra of both the schemes and the real
microstructures are compared. These results allow inferring how similar the
proposed geometrical schemes are to the real microstructures. Each geometrical
pattern could be used as a reference for classifying other species. Finally, this
kind of analysis is used to determine the morphology of the trichodium net of
Agrostis breviculmis Hitchc. As the dried sample had shrunk and the
microstructure was not clear, two kinds of morphology are proposed for the
trichodium net of Agrostis L., one elliptical and the other rectilinear, the
former being the most suitable.
PMID- 18042392
TI - Nicotinate riboside salvage in plants: presence of nicotinate riboside kinase in
mungbean seedlings.
AB - Salvage of nicotinate riboside for NAD synthesis was investigated in mungbean
seedlings. Nicotinate riboside kinase activity was detected in extracts from
cotyledons. Exogenously supplied [carboxyl-(14)C]nicotinate riboside was readily
converted into pyridine nucleotides in cotyledons of mungbean seedlings. This
conversion was also found in embryonic axes, but the rate was lower than in
cotyledons. These results suggest that, in addition to the seven-component
pyridine nucleotide cycle (PNC VII), an eight-component cycle (PNC VIII)
involving nicotinate riboside kinase operates in plants.
PMID- 18042393
TI - Transpiration, CO2 assimilation, WUE, and stomatal aperture in leaves of Viscum
album (L.): Effect of abscisic acid (ABA) in the xylem sap of its host (Populus x
euamericana).
AB - Leaves of the mistletoe Viscum album (L.) show a high rate of transpiration, even
when the host is under severe drought stress. The hypothesis that a strong
control of ABA influx from the xylem sap of the host into the mistletoe prevents
stomatal closure in mistletoe leaves was tested under the following conditions:
sections of poplar twigs carrying a mistletoe were perfused with artificial xylem
sap that contained different ABA concentrations and both transpiration and ABA
levels were analysed in mistletoe leaves. Despite variation by a factor of 10(4),
the ABA content of the host xylem did not affect ABA levels, leaf transpiration,
CO(2) assimilation, WUE, or the degree of stomatal aperture in mistletoe leaves.
These observations support the hypothesis of a strong control of ABA influx from
the host of the xylem into the mistletoe, although degradation of ABA before it
enters the mistletoe leaves cannot be excluded. This mechanism may ensure a water
and nutritional status favourable for the mistletoe, even if the water status of
the host is impaired. Despite the lack of short-term sensitivity of ABA levels in
mistletoe leaves to even strong changes of ABA levels in the xylem sap of the
host, ABA levels in mistletoe leaves were relatively high compared to ABA levels
in the leaves of several tree species including poplar. Since significant
transpiration of the mistletoe leaves was observed despite high ABA levels, a
diminished sensitivity of the stomata of mistletoe leaves to ABA has to be
concluded. The stomatal density of adaxial Viscum leaves of 89+/-23 stomata per
mm is lower than those reported in a study performed at the end of the 19th
century.
PMID- 18042395
TI - Adenosine instead of supranormal potassium in cardioplegic solution preserves
endothelium-derived hyperpolarization factor-dependent vasodilation.
AB - OBJECTIVE: We have recently shown that adenosine instead of supranormal potassium
in cold crystalloid cardioplegia improves cardioprotection. Studies indicate that
hyperkalemia has unfavorable effects on vascular endothelial function. Three
pathways have been identified as major vasodilatory pathways: the nitric oxide
(NO) pathway, the cyclooxygenase (COX) pathway, and the endothelium-derived
hyperpolarization (EDHF) pathway, where the EDHF pathway, in particular, seems
susceptible to hyperkalemia. We hypothesized that adenosine cardioplegia improves
postcardioplegic endothelial function. METHODS: Sixteen pigs were randomized to
receive either cold (6 degrees C) hyperkalemic cardioplegia (n=8) or cardioplegia
where hyperkalemia was substituted with 1.2 mM adenosine (n=8). After 1h of cold
ischemic arrest, coronary blood flow was monitored for the following 2h. The LAD
artery was then explanted, and cylindrical rings were mounted for isometric
tension recordings in organ chambers. Vessels were preconstricted with U46610
(Thromboxane A(2) analog) and then bradykinin-mediated relaxation was
investigated. To differentiate between the vasodilatory pathways the relaxation
was assessed in the absence and presence of inhibitors of the COX (indomethacin),
NO (L-NAME+carboxy-PTIO), and EDHF (apamin+charybdotoxin) pathways. RESULTS:
Invivo: The adenosine group had, as distinct from the hyperkalemic group, a
significantly increased coronary blood flow index 1h after cross-clamp release
(from (ml/min/100 g, mean+/-SD) 50.9+/-13.9 to 72.8+/-21.9, p=0.010). The
difference was, however, not statistically significant between groups. Invitro:
Maximal relaxation without blockers was 27.4+/-10.1% of maximal tension in the
adenosine group and 22.2+/-7.5% in the hyperkalemic group. To investigate EDHF
dependent vasodilation the vessel rings were simultaneously treated with
indomethacin, L-NAME, and carboxy-PTIO. Maximal relaxation in the hyperkalemic
group was then reduced to 47.4+/-17.4% of maximal tension, which was a
significant reduction compared to the adenosine group with a maximal relaxation
of 20.6+/-8.7% (p=0.028). CONCLUSION: Adenosine instead of supranormal potassium
in cold crystalloid cardioplegia increases postcardioplegic myocardial blood flow
and preserves EDHF-dependent vasodilation.
PMID- 18042394
TI - The drought response of Theobroma cacao (cacao) and the regulation of genes
involved in polyamine biosynthesis by drought and other stresses.
AB - Drought can negatively impact pod production despite the fact that cacao
production usually occurs in tropical areas having high rainfall. Polyamines
(PAs) have been associated with the response of plants to drought in addition to
their roles in responses to many other stresses. The constitutive and drought
inducible expression patterns of genes encoding enzymes involved in PA
biosynthesis were determined: an ornithine decarboxylase (TcODC), an arginine
decarboxylase (TcADC), an S-adenosylmethionine decarboxylase (TcSAMDC), a
spermidine synthase (TcSPDS), and a spermine synthase (TcSPMS). Expression
analysis using quantitative real-time reverse transcription-PCR (QPCR) results
showed that the PA biosynthesis genes were expressed in all plant tissues
examined. Constitutive expression of PA biosynthesis genes was generally highest
in mature leaves and open flowers. Expression of TcODC, TcADC, and TcSAMDC was
induced with the onset of drought and correlated with changes in stomatal
conductance, photosynthesis, photosystem II efficiency, leaf water potential and
altered emission of blue-green fluorescence from cacao leaves. Induction of
TcSAMDC in leaves was most closely correlated with changes in water potential.
The earliest measured responses to drought were enhanced expression of TcADC and
TcSAMDC in roots along with decreases in stomatal conductance, photosynthesis,
and photosystem II efficiency. Elevated levels of putrescine, spermidine, and
spermine were detected in cacao leaves 13days after the onset of drought.
Expression of all five PA associated transcripts was enhanced (1.5-3-fold) in
response to treatment with abscisic acid. TcODC and TcADC, were also responsive
to mechanical wounding, infection by Phytophthora megakarya (a causal agent of
black pod disease in cacao), the necrosis- and ethylene-inducing protein (Nep1)
of Fusarium oxysporum, and flower abscission. TcSAMDC expression was responsive
to all stresses except flower abscission. TcODC, although constitutively
expressed at much lower levels than TcADC, TcSAMDC, TcSPDS, and TcSPMS, was
highly inducible by the fungal protein Nep1 (135-fold) and the cacao pathogen
Phytophthora megakarya (671-fold). The full length cDNA for ODC was cloned and
characterized. Among the genes studied, TcODC, TcADC, and TcSAMDC were most
sensitive to induction by drought in addition to other abiotic and biotic
stresses. TcODC, TcADC, and TcSAMDC may share signal transduction pathways and/or
the stress induced signal induction pathways may converge at these three genes
leading to similar although not identical patterns of expression. It is possible
altering PA levels in cacao will result in enhanced tolerance to multiple
stresses including drought and disease as has been demonstrated in other crops.
PMID- 18042396
TI - Large thrombus on a cardiac defibrillator lead.
PMID- 18042397
TI - Labrafil--a new adjuvant for peptide-specific oral tolerance in rat experimental
autoimmune uveitis.
AB - Application of soluble antigen via the oral route results in systemic antigen
specific tolerance, a therapeutic approach that has already been used for uveitis
patients. In the Lewis rat experimental autoimmune uveitis (EAU) can be induced
by active immunisation with retinal antigens such as retinal soluble antigen (S
Ag) or interphotoreceptor retinoid-binding protein (IRBP) and peptides thereof.
These normally pathogenic antigens can also be used to induce oral tolerance. In
order to optimize oral tolerance induction we analysed the effect of Labrafil M
2125 CS, an orally administrable composition for pharmaceutical use, consisting
of fatty acid esters and glycerides and capable of forming micro emulsions.
Feeding peptide emulsified in Labrafil M 2125 CS/PBS prior to immunisation
significantly improved oral tolerance compared to feeding peptide in PBS only. We
observed a delayed onset of disease, reduced intraocular inflammation and less
retinal destruction. Application of Labrafil M 2125 CS without tolerogen had no
effect. Combined feeding of peptide with Labrafil M 2125 CS even allowed 10-fold
reduction of the tolerogenic peptide dose. Furthermore, the effect of Labrafil M
2125 CS upon oral tolerance was dose-dependent, a peptide emulsion containing 0.5
2% Labrafil M 2125 CS achieved a maximal enhancement of oral tolerance induction,
suggesting that Labrafil M 2125 CS might be a useful adjuvant to enhance
therapeutic use of oral tolerance.
PMID- 18042398
TI - Plasminogen activator induction facilitates recovery of respiratory function
following spinal cord injury.
AB - The possibility that plasminogen activator (PA) plays a role in synaptic
plasticity was explored in the spinal cord during the crossed phrenic phenomenon
(CPP), where respiratory functional plasticity develops following spinal cord
injury. Synaptic remodeling on phrenic motorneurons occurs during the
characteristic delay period following spinal cord injury before CPP recovery of
respiratory function. The molecular mechanisms underlying this plasticity are not
well-defined. During the critical 1-2 h delay period required for this synaptic
plasticity following a C2 hemisection in mice, uPA and tPA mRNAs are rapidly
induced in C4-5 ventral spinal cord neurons in the ipsilateral phrenic motor
nucleus (PMN), as are uPA and tPA protein levels. A role for uPA in CPP spinal
cord plasticity is confirmed by the impaired ability of uPA knockout mice to
acquire a good CPP response by 6 h post-hemisection and their lack of structural
remodeling of PMN synapses that underlies development of the CPP response.
PMID- 18042399
TI - Rapid and sensitive anthrone-sulfuric acid assay in microplate format to quantify
carbohydrate in biopharmaceutical products: method development and validation.
AB - The need for an accurate, fast and reliable analysis of carbohydrate test is
crucial for numerous biological processes. In that sense, anthrone-sulfuric acid
assay is one of the most efficient quantification techniques successfully applied
to carbohydrate determination. In this paper, a sensitive and accurate anthrone
sulfuric acid microplate assay was developed and validated for the quantitative
estimation of yeast carbohydrates in the production of hepatitis B virus surface
antigen, and the main component of the recombinant vaccine HEBERBIOVAC HB. A
response surface methodology was applied to design and optimize the assay in
order to maximize the differences on the expected effect and to minimize the
number of experiments. The proposed method was linear over the concentration
range from 10 to 120 microg/mL for glucose, with values for the coefficient of
determination >0.99. Intra- and inter-assay variation coefficient ranged between
0.45-4.79% and 2.48-8.94%, respectively. The Student t-test used in the
interference study, revealed good parallelism among curves (T(obs)< or =T(0.05)),
which indicates the lack of interference in the working range. Yields obtained in
accuracy test for two concentration levels varied between 90 and 105%, confirming
the assay's reliability. In conclusion, the validated method, which has
successfully been used for the process control monitoring of several samples
generated from the production of hepatitis B vaccine, allows the quality and
purity of the final product.
PMID- 18042400
TI - Regulated expression of active biotinylated G-protein coupled receptors in
mammalian cells.
AB - We have developed a mammalian expression system suitable for the production of
enzymatically biotinylated integral membrane proteins. The key feature of this
system is the doxycycline (dox)-regulated co-expression of a secreted variant of
Escherichia coli biotin ligase (BirA) and a target protein with a 13-residue
biotin acceptor peptide (BioTag) appended to its extracellular domain. Here we
describe the expression and functional analysis of three G-protein coupled
receptors (GPCRs): protease-activated receptors (PARs) 1 and 2, and the platelet
ADP receptor, P2Y(12). Clonal Chinese hamster ovary (CHO) Tet-On cell lines that
express biotinylated GPCRs were rapidly isolated by fluorescence-activated cell
sorting following streptavidin-FITC staining, thereby circumventing the need for
manual colony picking. Analysis by Western blotting with streptavidin-HRP
following endoglycosidase treatment revealed that all three GPCRs undergo N
linked glycosylation. The expression of biotinylated GPCRs on the cell surface
was regulated by the concentration of dox in the medium, reaching a maximum at
approximately 1 microg/mL dox. Similarly, the extent of GPCR biotinylation was
dependent on biotin concentration, with maximum and complete biotinylation
achieved upon supplementation with 50 microM biotin. Biotinylated PAR1 and PAR2
were readily and specifically cleaved on the surface of intact cells by their
cognate proteases, and were capable of transducing extracellular stimuli,
resulting in the downstream phosphorylation of extracellular signal-regulated
kinase (ERK) 1/2. Notably, P2Y(12) mediated agonist-induced ERK phosphorylation
only when it was expressed at low levels on the cell surface, highlighting the
utility of regulated expression for the production of functionally active GPCRs
in mammalian cells.
PMID- 18042401
TI - Neurobiological regret and rejoice functions for aversive outcomes.
AB - A decision maker may experience regret when a choice he makes results in a more
adverse outcome than a different choice would have yielded. Analogously, he may
experience rejoice when his choice resulted in better outcomes. We used fMRI to
investigate the neural correlates of regret and rejoice where payoffs are in
terms of a non-monetary medium. Incentives were created using painful outcomes in
the form of mild electrical shocks to the foot and the possibility of avoiding
them. We hypothesized that the neural response to a painful outcome resulting
from an individual's choice would also reflect the degree of regret as measured
by the likelihood that alternative choices would have yielded the same adverse
outcome. Similarly, when an individual avoids a potential shock, he would
experience a degree of rejoice that correlates with the probability he had of
receiving the shock. For example, winning a bet when winning was unlikely, even
if the outcome is the same, evokes more rejoice than winning when it was highly
probable. Our results suggest that activation of a cortical network, consisting
of the medial orbitofrontal cortex, left superior frontal cortex, right angular
gyrus, and left thalamus, correlates with the degree of regret. A different
network, including the rostral anterior cingulate, left hippocampus, left ventral
striatum, and brain stem/midbrain correlated with rejoice. The right inferior
orbitofrontal cortex, pre-supplementary motor area, anterior cingulate, and
posterior cingulate showed similar patterns of activation with both regret and
rejoice, suggesting that these regions may be associated with surprise from the
realization of relatively unlikely events. Our results suggest that distinct, but
overlapping networks are involved in the experiences of regret and rejoice.
PMID- 18042402
TI - Effect of anatomical variability, reconstruction algorithms and scattered photons
on the SPM output of brain PET studies.
AB - Statistical parametric mapping (SPM) has become the standard technique to
statistically evaluate differences between functional images. The aim of this
paper was to assess the effect of anatomical variability of skull, the
reconstruction algorithm and the scattering of photons in the brain on the output
of an SPM analysis of brain PET studies. To this end, Monte Carlo simulation was
used to generate suitable PET sinograms and bootstrap techniques were employed to
increase the reliability of the conclusions. Activity distribution maps were
obtained by segmenting thirty nine T1-weighted magnetic resonance images. Foci
were placed on the posterior cingulate cortex (PCC) and the superior temporal
cortex (STC) and activation factors ranging between -25% and +25% were simulated.
Preprocessing of the reconstructed images and statistical analysis were performed
using SPM2. Our findings show that intersubject anatomical differences can cause
the minimum sample size to increase between 10 and 42% for posterior cingulate
Cortex and between 40 and 80% for superior temporal cortex. Ideal scatter
correction (ISC) allowed us to diminish the sample size up to 18% and fully 3D
reconstruction reduced the minimum sample size between 8 and 33%. Detection
sensitivity was higher for hypo-activation than for hyper-activation situations
and higher for superior temporal cortex than for posterior cingulate cortex.
PMID- 18042403
TI - Evolutionary relationships within the Neotropical, eusporangiate fern genus
Danaea (Marattiaceae).
AB - Genera within the eusporangiate fern family Marattiaceae have long been neglected
in taxonomic and systematic studies. Here we present the first phylogenetic
hypothesis of relationships within the exclusively Neotropical genus Danaea based
on a sampling of 60 specimens representing 31 species from various Neotropical
sites. We used DNA sequence data from three plastid regions (atpB, rbcL, and trnL
F), morphological characters from both herbarium specimens and live plants
observed in the field, and geographical and ecological information to examine
evolutionary patterns. Eleven representatives of five other marattioid genera
(Angiopteris, Archangiopteris, Christensenia, Macroglossum, and Marattia) were
used to root the topology. We identified three well-supported clades within
Danaea that are consistent with morphological characters: the "leprieurii" clade
(containing species traditionally associated with the name D. elliptica), the
"nodosa" clade (containing all species traditionally associated with the name D.
nodosa), and the "alata" clade (containing all other species). All three clades
are geographically and ecologically widely distributed, but subclades within them
show various distribution patterns. Our phylogenetic hypothesis provides a robust
framework within which broad questions related to the morphology, taxonomy,
biogeography, evolution, and ecology of these ferns can be addressed.
PMID- 18042404
TI - The complete mitochondrial genomes of needle corals, Seriatopora spp.
(Scleractinia: Pocilloporidae): an idiosyncratic atp8, duplicated trnW gene, and
hypervariable regions used to determine species phylogenies and recently diverged
populations.
AB - Complete DNA sequences were determined for the mitochondrial (mt) genomes of the
needle corals, Seriatopora caliendrum (17,011bp) and S. hystrix (17,060bp). Gene
arrangement of the Seriatopora mt genomes is similar to the 14 currently
published scleractinian mitogenomes with three unusual features, including an
idiosyncratic atp8, a duplicated trnW (tRNA(TRP)), and a putative control region
located between atp6 and nad4. Atp8, located between duplicate trnW genes, showed
relatively low amino acid similarity (25.6-34.6%) with those of published
scleractinian corals. A reverse-transcription polymerase chain reaction confirmed
the transcription of this novel atp8 gene in Seriatopora. A duplicated trnW was
detected in the region close to the cox1 gene and shares the highly conserved
primary and secondary structure of its original counterpart. The intergenic
spacer between atp6 and nad4, which contains several distinct repeated elements,
is being designated as the putative control region in the Seriatopora mt genomes.
Evaluation of the molecular evolution of several protein-coding genes and
intergenic spacers showed 3- to 4-fold higher divergence rates among populations
or between species than those published for scleractinian mt genomes. This study
not only successfully revealed the phylogenies of S. hystrix and S. caliendrum
from the West Pacific Ocean by mtDNA, but also highlighted the potential
utilities of mt hypervariable regions in phylogenetic construction below the
species level for Seriatopora.
PMID- 18042405
TI - Higher level phylogeny and evolutionary trends in Campanulaceae subfam.
Lobelioideae: molecular signal overshadows morphology.
AB - Relationships within the subfamily Lobelioideae in Campanulaceae are inferred
from DNA sequence variation in the rbcL and ndhF genes, and the trnL-F region
including the trnL intron and the trnL-F intergenic spacer. Results derived from
Bayesian and parsimony analyses provide evidence for the long-suspected paraphyly
of the genus Lobelia, comprising over 400 species as presently circumscribed. The
perennial dwarf herbs belonging to the Andean genus Lysipomia are sister to a
group comprising the Neotropical shrubs Burmeistera, Centropogon, and
Siphocampylus. Giant lobelioids from the Hawaiian Islands, Brazil, Africa, and
Sri Lanka form a strongly supported group. Character optimizations on the
phylogenetic tree reveal that shifts in fruit types and lignification have
occurred much more commonly than generally assumed. The main clades in the
subfamily are outlined, which largely contradict previous classifications based
on morphology.
PMID- 18042406
TI - Phylogenetic relationships of tyrant-flycatchers (Aves: Tyrannidae), with an
emphasis on the elaeniine assemblage.
AB - The tyrant-flycatchers (Tyrannidae) are arguably the largest avian family in the
Western Hemisphere with approximately 100 genera and 430 species. Although the
composition of the family is largely settled, intergeneric relationships are
poorly understood. Morphological and behavior-based classifications are in
disagreement with DNA-DNA hybridization data, and both have recently been
contradicted by DNA-sequence studies. However, previous DNA-sequence sampling has
mostly focused on two out of the six traditional tribes. In this study, we have
sampled mitochondrial and nuclear sequences of additional tyrannid genera from
across the Tyrannidae, with particularly dense coverage of a third tribe
(Elaeniini). Our data corroborate previous DNA-sequence studies that demonstrate
a basal division of Tyrannidae into a pipromorphine group (recruited from two
morphological tribes) and the core Tyrannidae. Furthermore, we identify a new
assemblage that includes Platyrinchus and the enigmatic Neopipo, although the
position of this lineage within the Tyrannidae remains incertae sedis. Within the
core Tyrannidae, we find strong support for a monophyletic elaeniine assemblage,
and discuss a number of strongly supported sub-clades and species-level
arrangements that display varying levels of agreement with previous
classifications. The elaeniine assemblage may be the sister group to all other
core Tyrannidae, and it is in virtually complete congruence with a previous
classificatory scheme based on syringeal morphology.
PMID- 18042407
TI - The phylogenetic problem of Huia (Amphibia: Ranidae).
AB - A taxonomic consensus for the diverse and pan-global frog family Ranidae is
lacking. A recently proposed classification of living amphibians [Frost, D.R.,
Grant, T., Faivovich, J., Bain, R. H., Haas, A., Haddad, C.F.B., de Sa, R.O.,
Channing, A., Wilkinson, M., Donnellan, S.C., Raxworthy, C.J., Campbell, J.A.,
Blotto, B.L., Moler, P., Drewes, R.C., Nussbaum, R.A., Lynch, J.D., Green, D.M.,
Wheeler, W.C., 2006. The amphibian tree of life. B. Am. Mus. Nat. Hist. 297, 1
370] included expansion of the Southeast Asian ranid frog genus Huia from seven
to 47 species, but without having studied the type species of Huia. This study
tested the monophyly of this concept of Huia by sampling the type species and
putative members of Huia. Molecular phylogenetic analyses consistently recovered
the type species H. cavitympanum as the sister taxon to other Bornean-endemic
species in the genus Meristogenys, rendering all previously published concepts of
Huia as polyphyletic. Members of Huia sensu [Frost, D.R., Grant, T., Faivovich,
J., Bain, R. H., Haas, A., Haddad, C.F.B., de Sa, R.O., Channing, A., Wilkinson,
M., Donnellan, S.C., Raxworthy, C.J., Campbell, J.A., Blotto, B.L., Moler, P.,
Drewes, R.C., Nussbaum, R.A., Lynch, J.D., Green, D.M., Wheeler, W.C., 2006. The
amphibian tree of life. B. Am. Mus. Nat. Hist. 297, 1-370.] appear in four places
within the family Ranidae. A clade containing the type species of Odorrana is
phylogenetically unrelated to the type species of Huia, and Odorrana is removed
from synonymy with Huia. These findings underscore the need to include relevant
type species in phylogenetic studies before proposing sweeping taxonomic changes.
The molecular phylogenetic analyses revealed a high degree of homoplasy in larval
and adult morphology of Asian ranid frogs. Detailed studies are needed to
identify morphological synapomorphies that unite members in these major clades of
ranid frogs.
PMID- 18042408
TI - Divergence dates of libelluloid dragonflies (Odonata: Anisoptera) estimated from
rRNA using paired-site substitution models.
PMID- 18042409
TI - Evaluation of autologous chondrocyte transplantation via a collagen membrane in
equine articular defects: results at 12 and 18 months.
AB - OBJECTIVE: To evaluate a technique of autologous chondrocyte implantation (ACI)
similar to the other techniques using cell-seeded resorbable collagen membranes
in large articular defects. METHODS: Autologous cartilage was harvested
arthroscopically from the lateral trochlear ridge of the femur in fifteen 3-year
old horses. After culture and expansion of chondrocytes the newly created ACI
construct (autologous chondrocytes cultured expanded, seeded on a collagen
membrane, porcine small intestine submucosa) was implanted into 15mm defects on
the medial trochlear ridge of the femur in the opposite femoropatellar joint.
Using two defects in each horse, the ACI technique was compared to collagen
membrane alone (CMA) and empty cartilage defects (ECDs). RESULTS: Arthroscopic
evaluations at 4, 8, 12 and 18 months demonstrated that CMA was significantly
worse compared to ACI or ECD treatments, with ACI having the best overall
subjective grade. Overall raw histological scores demonstrated a significant
improvement with ACI compared to either CMA or ECD treated defects and ACI
defects had significantly more immunohistochemical staining for aggrecan than CMA
or ECD treated defects (with significantly more type II collagen in ACI and ECD
compared to CMA defects) at 12 and 18 months. CONCLUSIONS: Histologic and
immunohistochemistry results from this long-term randomized study are
particularly encouraging and demonstrate superiority with the ACI technique.
Although there is no comparable study published with the traditional ACI
technique in the horse (or with such a large defect size in another animal
model), the use of a solid autologous cell-seeded-constructed implant would
appear to offer considerable clinical advantages.
PMID- 18042410
TI - Symptomatic efficacy of avocado-soybean unsaponifiables (ASU) in osteoarthritis
(OA) patients: a meta-analysis of randomized controlled trials.
AB - OBJECTIVE: To evaluate the efficacy of preparations with avocado-soybean
unsaponifiables (ASUs) in osteoarthritis (OA) patients using meta-analysis on
randomized controlled trials (RCTs). METHOD: RCTs from systematic searches were
included if they explicitly stated that hip and/or knee OA patients were
randomized to either ASU or placebo. The co-primary outcome was reduction in pain
and Lequesne index, leading to effect size (ES), calculated as the standardized
mean difference. As secondary analysis, the number of responders to therapy was
analyzed as odds ratios (ORs). Restricted maximum likelihood methods were applied
for the meta-analyses, using mixed effects models. RESULTS: Four trials--all
supported by the manufacturer--were included, with 664 OA patients with either
hip (41.4%) or knee (58.6%) OA allocated to either 300 mg ASU (336) or placebo
(328). Average trial duration was 6 months (range: 3-12 months). Though based on
heterogeneous results, the combined pain reduction favored ASU (I(2) = 83.5%, ES
= 0.39 [95% confidence intervals: 0.01-0.76], P=0.04). Applying the Lequesne
index also favored ASU (I(2) = 61.0%, ES = 0.45 [0.21-0.70], P = 0.0003).
Secondarily, the number of responders following ASU compared to placebo (OR =
2.19, P = 0.007) corresponded to a number needed to treat of six (4-21) patients.
CONCLUSIONS: Based on the available evidence, patients may be recommended to give
ASU a chance for e.g., 3 months. Meta-analysis data support better chances of
success in patients with knee OA than in those with hip OA.
PMID- 18042411
TI - Prebiotic effectiveness of inulin extracted from edible burdock.
AB - To investigate the prebiotic potential of burdock inulin (B-INU), the in vitro
and in vivo effects of B-INU on bacterial growth were studied. B-INU
significantly stimulated the growth of bifidobacteria in Man-Rogosa-Sharp (MRS)
medium, anaerobically. Compared with chicory inulin (C-INU), long-chain inulin (L
INU) and fructooligosaccharides (FOS), 1% (w/v) B-INU promoted the specific
growth rate of beneficial bacteria. The decreases of media pH with B-INU were
almost the same as that with C-INU and FOS. In vivo, B-INU significantly
increased the number of lactobacilli and bifidobacteria (P<0.05) in cecal
content. Mice fed with B-INU, C-INU and FOS for 14 days had greater number of
cecal beneficial bacteria population than those fed with L-INU for 14 days. In
addition, all fructans did not cause any side effects, such as eructation and
bloating. Results indicated that inulin extracted from edible burdock showed
prebiotic properties that could promote health.
PMID- 18042412
TI - A novel (Leu183Pro-)mutation in the HFE-gene co-inherited with the Cys282Tyr
mutation in two unrelated Dutch hemochromatosis patients.
AB - We describe a novel heterozygous mutation in exon 3 of the HFE-gene that was co
inherited with Cys282Tyr in two unrelated Dutch men both presenting a classical
form of hereditary hemochromatosis. Heterozygosity for this mutation was also
found in one out of 100 healthy controls of Dutch descent. This c.548T>C mutation
converts a leucine to a proline residue at position 183 in the alpha2-helix of
the HFE-protein (Leu183Pro). Standard bioinformatics analysis shows that the
mutation is likely to disturb the HFE interaction with TfR1. This disrupting role
of the mutation in the iron regulatory pathway is further corroborated by the
familial co-occurrence of the observed compound heterozygosity with increased
serum iron parameters. Haplotype analysis strongly suggests that this novel
mutation arose from a common ancestor in the distant past. These findings may
have implications for HFE-testing of iron overloaded heterozygous Cys282Tyr
patients of Northern European origin and their relatives.
PMID- 18042413
TI - Millimetre wave therapy for pain relief after total knee arthroplasty: a
randomised controlled trial.
AB - Millimetre wave therapy (MWT) is a promising complementary method for pain
relief, however rigorous investigations of its effectiveness are needed. The
purpose of this study was to examine if MWT can reduce opioid requirement
compared to sham procedure applied for relief of acute pain in patients after
total knee arthroplasty (TKA). Eighty patients undergoing TKA were randomly
assigned to receive MWT or sham procedure. Patients and evaluators were blinded
to the group allocation. MWT consisted of six sessions, each session of 30 min
duration. During each session the knee wound was exposed to electromagnetic waves
with frequency 50-75 GHz and power density 4.2 mW/cm(2). Postoperative analgesia
with piritramide, a weak opioid with 0.7 potency of morphine delivered via
patient-controlled analgesia pump, was directed to achieve pain intensity of less
than 40 on a 100 mm visual analogue scale (VAS). The primary outcome measure was
postoperative piritramide requirement for three days after surgery. Secondary
outcome measures were: total ibuprofen requirement from the fourth postoperative
day to discharge; success of patients' blinding; patients' satisfaction with pain
relief; incidence of analgesia-related side effects; heart rate and blood
pressure. Piritramide requirement was similar in both groups whereby all patients
reported adequate pain relief measured on a VAS. Secondary outcome measures were
also comparable in both groups. The majority of patients in both groups believed
they had received true MWT and wanted to repeat it in future. Millimetre waves
applied to surfaces of surgical wounds did not reduce opioid requirement compared
to the sham procedure after TKA.
PMID- 18042415
TI - Polarity dependence of EPR parameters for TOAC and MTSSL spin labels: correlation
with DOXYL spin labels for membrane studies.
AB - TOAC (2,2,6,6-tetramethylpiperidine-1-oxyl-4-amino-4-carboxylic acid) is a
nitroxyl amino acid that can be incorporated in the backbone of peptides. DOXYL
(4,4-dimethyl-oxazolidine-1-oxyl) is a nitroxyl ring that can be attached rigidly
at specific C-atom positions in the acyl chains of phospholipids. Spin-labelled
phosphatidylcholines of the DOXYL type have been used previously to establish the
transmembrane polarity profile in biological lipid bilayers [D. Marsh, Polarity
and permeation profiles in lipid membranes, Proc. Natl. Acad. Sci. USA 87 (2001)
7777-7782]. Here, we determine the polarity dependence of the isotropic (14)N
hyperfine couplings, a(o)(N), and g-values, g(o), in a wide range of protic and
aprotic media, for a TOAC-containing dipeptide (Fmoc-TOAC-Aib-OMe) and for a
DOXYL-containing fatty acid (12-DOXYL-stearic acid). The correlation between
datasets for TOAC and DOXYL nitroxides in the various solvents is used to
establish the polarity profile for isotropic hyperfine couplings of TOAC in a
transmembrane peptide. This calibration can be used to determine the location of
TOAC at selected residue positions in a transmembrane or surface-active peptide.
A similar calibration procedure is also applied to a(o)(N) and g(o) for the
pyrroline methanethiosulphonate nitroxide (MTSSL) that is used in site-directed
spin-labelling studies of membrane proteins.
PMID- 18042414
TI - Evaluation of sub-microsecond recovery resonators for in vivo electron
paramagnetic resonance imaging.
AB - Time-domain (TD) electron paramagnetic resonance (EPR) imaging at 300MHz for in
vivo applications requires resonators with recovery times less than 1 micros
after pulsed excitation to reliably capture the rapidly decaying free induction
decay (FID). In this study, we tested the suitability of the Litz foil coil
resonator (LCR), commonly used in MRI, for in vivo EPR/EPRI applications in the
TD mode and compared with parallel coil resonator (PCR). In TD mode, the
sensitivity of LCR was lower than that of the PCR. However, in continuous wave
(CW) mode, the LCR showed better sensitivity. The RF homogeneity was similar in
both the resonators. The axis of the RF magnetic field is transverse to the
cylindrical axis of the LCR, making the resonator and the magnet co-axial.
Therefore, the loading of animals, and placing of the anesthesia nose cone and
temperature monitors was more convenient in the LCR compared to the PCR whose
axis is perpendicular to the magnet axis.
PMID- 18042416
TI - Feline heartworm disease: a clinical review.
AB - Feline heartworm disease is caused by the filarial nematode Dirofilaria immitis,
and is transmitted by mosquitoes in heartworm-endemic areas worldwide. While dogs
are the definitive hosts for this parasite, cats can also be infected, and the
overall prevalence in cats is between 5% and 10% of that in dogs in any given
area. The spectrum of feline presentations varies from asymptomatic infections to
chronic respiratory signs, sometimes accompanied by chronic vomiting to acute
death with no premonitory signs. Ante-mortem diagnosis can be challenging and
relies on a combination of tests, including antigen and antibody serology,
thoracic radiography and echocardiography. As treatment with heartworm
adulticidal drugs can be life-threatening and heartworm infection in cats is
often self-limiting, infected cats are frequently managed with supportive
treatment (corticosteroids, bronchodilators, and anti-emetics). Surgical removal
of filariae using extraction devices may be considered in some acute cases where
immediate curative treatment is necessary, but filarial breakage during the
procedure may result in an acute fatal shock-like reaction. Necropsy findings are
mainly pulmonary and include muscular hypertrophy of the pulmonary arteries and
arterioles on histopathology. A number of safe and effective macrocytic lactone
drugs are available for prophylaxis in cats. These drugs can kill a range of
larval and adult life-cycle stage heartworms, which may be advantageous in cases
of owner compliance failure or when heartworm infection status is undetermined at
the time prophylaxis is commenced. An index of suspicion for feline heartworm
disease is warranted in unprotected cats with respiratory signs, and perhaps
chronic vomiting, in areas where canine heartworm disease is endemic. Many cats,
once diagnosed and with appropriate supportive care and monitoring, will resolve
their infection and be free of clinical signs.
PMID- 18042418
TI - [Recurrence of stress urinary incontinence after tension-free vaginal tape and
childbirth].
AB - Retropubic or transobturator insertions of suburethral tapes are the new gold
standard surgical procedure for female stress urinary incontinence. Some women of
childbearing age can be treated by a suburethral tape. There are at present no
sufficient data to recommend a mode of delivery rather than another in these
patients. We report the case of a young woman, cured of her urinary incontinence
by a suburethral tape. A recurrence occurred after childbirth by vaginal route. A
second suburethral tape made it possible to restore the continence. The patient
became again pregnant. A preventive caesarean section was quite as ineffective to
preserve the continence.
PMID- 18042419
TI - Characterization of an alginate-based drug delivery system for neurological
applications.
AB - This paper presents a drug delivery system based on alginate gels. The
biocompatibility, the flexibility in size and shape, and the ability to entrap
biomolecules make alginate-based systems ideal for in vivo drug delivery.
Specifically, by considering the target application of neural regeneration and
neuroprotection, the issue of biocompatibility as well as morphologic
compatibility (e.g. shape and size of an implant) have to be addressed. The
authors describe various types of alginate gels; fibers of cylindrical shape
resulted the best choice in terms of simplicity of realization, insertion and
release effectiveness, as shown by preliminary in vivo assays. Consequently,
fibers release is tested in vitro and theoretically modelled, in order to obtain
mathematical correlations between the release kinetics and key parameters
affecting the realization procedure.
PMID- 18042420
TI - Rodent electroretinography: methods for extraction and interpretation of rod and
cone responses.
AB - The flash electroretinogram (ERG) represents a serial ensemble of neural
responses that can be used to objectively evaluate retinal function on a layer-by
layer basis. In this review, the seminal concepts of Granit are developed within
the modern context to demonstrate how the ERG waveform can be decomposed to
isolate the activity of individual neural populations and their circuitry. The
contribution of rods and cones to the ERG waveform can be precisely defined with
simple methods that yield the veridical cone response, which allows
identification of rod-isolated components. This knowledge will afford an enhanced
capacity to understand retinal development and ageing as well as to interpret the
effects of insult, genetic manipulation and disease processes on photoreceptor
and neuron-specific components. This review integrates conclusions drawn from a
large body of past work and presents new data that enables the provision of
detailed methodology for ERG assessment in rodents. Emphasis is placed on
protocols that allow efficient acquisition of useful information for the major
ERG components with minimal complexity. In particular, specific guidelines for
the isolation of rod and cone contributions from the full-field ERG in rodents
are provided. This is complemented with detailed and novel methodology for
determining parameters that describe individual neuronal generators of rod and
cone responses. The effect of stimulus energy on the kinetics of ERG response
recovery and photopigment bleaching and regeneration are also discussed. The
guidelines presented here are applicable to a wide range of investigations of
retinal disease in rodent models.
PMID- 18042421
TI - Nonmotor symptoms of Parkinson's disease: prevalence and awareness of patients
and families.
AB - The aim of this study was to explore the prevalence of nonmotor symptoms in
Parkinson's disease (PD) and the patients' and family members' awareness of these
symptoms. We evaluated 74 parkinsonian patients and 54 family members. Seventy
three patients had more than one symptom (12.4+/-5.5 out of 30 symptoms on
average). Nocturia was the most common in men and feeling sad in women. The
average number of symptoms which patients knew to be related to PD was 5.2+/-6.8
and to family members 7.7+/-6.5. Twenty-eight patients and five family members
were unaware of the relationship between any of these symptoms and PD. For PD to
be properly managed, nonmotor symptoms should be comprehensively assessed and
patients and families informed that these are associated with PD.
PMID- 18042422
TI - Paroxysmal dyskinesia with interictal myoclonus and dystonia: a report of two
cases.
AB - Idiopathic paroxysmal dyskinesias (PxD) are characterized by attacks of
hyperkinetic movement, with no inter-ictal symptoms. We report two cases, one
with paroxysmal kinesigenic dyskinesia and another with paroxysmal exercise
induced dystonia, both of whom had myoclonus and dystonia between attacks. This
previously unreported association highlights the heterogeneity of paroxysmal
movement disorders.
PMID- 18042423
TI - Decision making, impulsivity and time perception.
AB - Time is an important dimension when individuals make decisions. Specifically, the
time until a beneficial outcome can be received is viewed as a cost and is
weighed against the benefits of the outcome. We propose that impulsive
individuals experience time differently, that is with a higher cost. Impulsive
subjects, therefore, overestimate the duration of time intervals and, as a
consequence, discount the value of delayed rewards more strongly than do self
controlled individuals. The literature on time perception and impulsivity,
however, is not clear cut and needs a better theoretical foundation. Here, we
develop the theoretical background on concepts of time perception, which could
lead to an empirically based notion of the association between an altered sense
of time and impulsivity.
PMID- 18042424
TI - Diagnostic yield of sequential routine EEG and extended outpatient video-EEG
monitoring.
AB - OBJECTIVE: To investigate the diagnostic yield of outpatient video-EEG monitoring
(OVEM) in patients with suspected but unconfirmed epilepsy. METHODS: OVEM data,
comprised of 20-min video-EEG (REEG) followed by 4h of video-EEG monitoring
(EXM), from 179 consecutive patients were retrospectively analyzed. Three
diagnostic categories were defined: localization-related epilepsy (LRE),
generalized epilepsy (GE), and nonepileptic seizures (NES). Outcome measures
were: frequency of events; diagnostic yield of entire OVEM; relative yields of
REEG alone and EXM after nondiagnostic REEG; EXM diagnostic rate (yield of EXM
after nondiagnostic REEG/yield of entire OVEM). RESULTS: Habitual events occurred
in 14 (8%) and 25 (15%) patients during REEG and EXM, respectively. Overall, OVEM
was diagnostic in 90/179 patients (50%): LRE 21%; GE 15%; NES 15%. REEG alone was
diagnostic in 49/179 patients (27%): LRE 7%; GE 13%; NES 7%. After nondiagnostic
REEG, the subsequent EXM was diagnostic in 41/130 patients (32%): LRE (n=24); GE
(n=2); NES (n=15). The EXM diagnostic rate (95% confidence interval) was 0.65
(0.47-0.80) for LRE, 0.08 (0.01-0.25) for GE, and 0.56 (0.35-0.75) for NES.
CONCLUSIONS: OVEM is useful in establishing and classifying epilepsy. Compared to
REEG, EXM is relatively more beneficial in the diagnosis of LRE and NES rather
than GE. SIGNIFICANCE: This study outlines the benefits of extended outpatient
video-EEG monitoring after nondiagnostic routine EEG.
PMID- 18042425
TI - Long-term event-related potential changes following organophosphorus insecticide
poisoning.
AB - OBJECTIVE: To determine prolonged effects of organophosphorus (OP) insecticide
poisoning on cognitive event-related potentials (ERPs). METHODS: ERPs of a group
of 32 patients recovered from cholinergic phase of OP insecticide poisoning were
compared with those of two matched control groups: 32 healthy volunteers and nine
patients hospitalised with paracetamol overdose. A follow-up assessment was done
in 21 patients (66% of the initial sample) 6 months after OP intoxication and the
findings were compared with their initial ERP data. RESULTS: Patients showed
highly significant prolongation of P300 latency, compared to healthy controls
(p=0.003) and the controls with paracetamol overdose (p=0.016). Follow-up ERP
findings of the patients revealed that this impairment remained unchanged even 6
months after OP poisoning (p=0.790). There was no significant difference in N100,
P200 and N200 latencies or P300 amplitude either among the groups or between the
two assessments of the patients with OP poisoning. CONCLUSIONS: Our results
suggest that acute OP poisoning causes a delay in cognitive processes involved in
stimulus classification, lasting at least for 6 months. SIGNIFICANCE: These
findings highlight the possibility of development of long-lasting cognitive
deficits following OP insecticide poisoning, and warrant longer-term prospective
studies to determine whether this impairment is permanent.
PMID- 18042426
TI - Investigation of paroxysmal dystonia in a patient with multiple sclerosis: a
transcranial magnetic stimulation study.
AB - OBJECTIVE: To study the pathogenesis of paroxysmal dystonia affecting the right
body side in a patient with a demyelinating lesion in the descending motor
pathways, also involving the basal ganglia. METHODS: Single-pulse transcranial
magnetic stimulation (TMS) was applied to study motor evoked potentials (MEPs)
and the following silent periods (SPs) in the first dorsal interosseous muscle
(FDI) of both sides and in the right extensor carpi radialis muscle (ECR) during
voluntary contractions performed outside the dystonic attacks. During the
dystonic paroxysms, single-pulse TMS was used to investigate the time course of
MEPs and SPs in both FDI and ECR of the right side. Furthermore, paired-pulse TMS
was applied at rest to investigate short-interval intracortical inhibition (SICI)
and intracortical facilitation (ICF) in both FDI muscles. RESULTS: At rest SICI
and ICF were normal in both motor cortices. During voluntary contraction the MEP
was smaller and the SP was longer in the affected FDI than in the contralateral.
During the paroxysms, the MEPs and SPs were suppressed in comparison with the
responses elicited during voluntary contraction. CONCLUSIONS: These results fit
well with the theory of ephaptic excitement of corticospinal axons for the
pathogenesis of paroxysmal dystonia due to a demyelinating lesion. SIGNIFICANCE:
Identification of the mechanisms underlying paroxysmal dystonia in demyelinating
disorders extends our knowledge on the pathophysiology of dystonia.
PMID- 18042427
TI - Persistent mirror movements for over sixty years: the underlying mechanisms in a
cerebral palsy patient.
AB - OBJECTIVE: To determine the mechanisms underlying the mirroring of distal
movements in both upper and lower limbs present in one individual from birth.
METHODS: Transcranial magnetic stimulation (TMS), magnetic resonance imaging
(MRI), functional magnetic resonance imaging (fMRI), voluntary and reflexly
evoked electromyograms (EMG) and force measurements were used to obtain
information about the motor pathways responsible for the mirror movements.
RESULTS: MRI showed a significant loss of brain tissue from one hemisphere and
fMRI indicated a significant functional reorganization had taken place. An
obligatory mirroring of voluntary movement on the sound side occurs on the
affected side, but some independent movement can be produced on the affected
side, if enabled by weak contractions on the sound side. TMS mapping revealed
bilateral projections from one hemisphere and virtually absent projections from
the primary motor cortex of the other hemisphere. Spinal reflexes were restricted
to the stimulated side. Transcortical reflexes were evoked bilaterally from the
sound side, but not from the affected side. CONCLUSIONS: The physiological and
imaging data are consistent with a mirroring from the intact motor cortex via the
supplementary motor area. SIGNIFICANCE: Mirror movements in this individual
represent a major cortical reorganization and a partial solution to the neonatal
loss of substantial amounts of brain tissue.
PMID- 18042428
TI - A patient with a "Normal" sleep study.
PMID- 18042429
TI - Global diversity and biogeography of Skeletonema species (bacillariophyta).
AB - Recent studies have shown that the cosmopolitan diatom Skeletonema costatum sensu
lato is composed of several morphologically and genetically distinct species. To
assess whether the separate species have a cosmopolitan distribution, we analysed
184 strains from marine and estuarine sites worldwide. We identified the strains
using light and electron microscopy, and we sequenced the hyper-variable region
of nuclear LSU rDNA. All recently described species were genetically distinct,
and all but two were morphologically distinct. Variability was found for the only
ultrastructural character used to distinguish Skeletonema dohrnii and S. marinoi,
which cannot be identified based on morphology alone. Furthermore, multiple
genetically distinct taxa, which may represent cryptic species, were found within
the S. menzelii and S. tropicum clades. We found that all currently recognized
species of Skeletonema are widespread, however, gaps seem to occur in their
geographical ranges. For example, some species are found in both the northern and
southern temperate latitudes whereas other species appear to have only
subtropical to tropical ranges. Skeletonema pseudocostatum and S. grethae seem to
have more restricted geographical ranges because the former was not found along
American coasts and the latter was encountered only in US waters. A taxonomic
update is provided for Skeletonema strains currently available in several culture
collections, which could aid reinterpretation of results obtained in comparative
studies using these strains.
PMID- 18042430
TI - Use of 70-gene signature to predict prognosis of patients with node-negative
breast cancer: a prospective community-based feasibility study (RASTER).
AB - BACKGROUND: A microarray-based 70-gene prognosis signature might improve the
selection of patients with node-negative breast cancer for adjuvant systemic
treatment. The main aims of this MicroarRAy PrognoSTics in Breast CancER (RASTER)
study were to assess prospectively the feasibility of implementation of the 70
gene prognosis signature in community-based settings and its effect on adjuvant
systemic treatment decisions when considered with treatment advice formulated
from the Dutch Institute for Healthcare Improvement (CBO) and other guidelines.
METHODS: Between January, 2004 and December, 2006, 812 women aged under 61 years
with primary breast carcinoma (clinical T1-4N0M0) were enrolled. Fresh tumour
samples were collected in 16 hospitals in the Netherlands within 1 h after
surgery. Clinicopathological factors were collected and microarray analysis was
done with a custom-designed array chip that assessed the mRNA expression index of
the 70 genes previously identified for the prognostic signature. Patients with a
"good" signature were deemed to have a good prognosis and, therefore, could be
spared adjuvant systemic treatment with its associated adverse effects, whereas
patients with a "poor" signature were judged to have a poor prognosis and should
be considered for adjuvant systemic treatment. Concordance between risk predicted
by the prognosis signature and risk predicted by commonly used
clinicopathological guidelines (ie, St Gallen guidelines, Nottingham Prognostic
Index, and Adjuvant! Online) was assessed. FINDINGS: Of 585 eligible patients,
158 patients were excluded because of sampling failure (n=128) and incorrect
procedure (n=30). Prognosis signatures were assessed in 427 patients. The 70-gene
prognosis signature identified 219 (51%) patients with good prognosis and 208
(49%) patients with poor prognosis. The Dutch CBO guidelines identified 184
patients (43%) with poor prognosis, which was discordant with those findings
obtained with the prognosis signature in 128 (30%) patients. Oncologists
recommended adjuvant treatment in 203 (48%) patients based on Dutch CBO
guidelines, in 265 (62%) patients if the guidelines were used with the prognosis
signature, and in 259 (61%) patients if Dutch CBO guidelines, prognosis
signature, and patients' preferences for treatment were all taken into account.
Adjuvant! Online guidelines identified more patients with poor prognosis than did
the signature alone (294 [69%]), and discordance with the signature occurred in
160 (37%) patients. St Gallen guidelines identified 353 (83%) patients with poor
prognosis with the signature and discordance in 168 (39%) patients. Nottingham
Prognostic Index recorded 179 (42%) patients with poor prognosis with the
signature and discordance in 117 (27%) patients. INTERPRETATION: Use of the
prognosis signature is feasible in Dutch community hospitals. Adjuvant systemic
treatment was advised less often when the more restrictive Dutch CBO guidelines
were used compared with that finally given after use of the prognosis signature.
For the other guidelines assessed, less adjuvant chemotherapy would be given when
the data based on prognosis signature alone are used, which might spare patients
from adverse effects and confirms previous findings. Future studies should assess
whether use of the prognosis signature could improve survival or equal survival
while avoiding unnecessary adjuvant systemic treatment without affecting
patients' survival, and further assess the factors that physicians use to
recommend adjuvant systemic treatment.
PMID- 18042432
TI - A network to monitor antimalarial drug resistance: a plan for moving forward.
AB - The spread of resistance to antimalarial drugs has required changes in the
recommended first-line treatment for falciparum malaria in almost all regions.
Most drugs recommended currently are combinations of a long-acting antimalarial
and an artemisinin derivative. This article presents the rationale for
establishing a web-based, open-access database of antimalarial drug resistance
and efficacy: the World Antimalarial Resistance Network (WARN). The goal of this
network is to assemble the tools and information that will enable the malaria
community to collate, analyze and share contemporary information on antimalarial
drug efficacy in all endemic regions so that decisions on antimalarial-drug use
are based on solid evidence.
PMID- 18042431
TI - How does HTLV-I persist despite a strong cell-mediated immune response?
AB - Human T-lymphotropic virus type 1 (HTLV-1) is a pathogenic retrovirus that
infects human CD4(+) T lymphocytes. Despite its presence in T cells, HTLV-1
causes little overt immunosuppression. This host-virus relationship has therefore
been exploited as an excellent model system for studying the dynamic interaction
between a persistent retrovirus and the normal human immune system. We use a
combination of mathematical and experimental techniques to identify key factors
on both sides of the in vivo host-virus interaction that significantly determine
HTLV-I proviral load and disease risk. We develop a model to describe how these
factors interact to enable viral persistence.
PMID- 18042433
TI - Nurses' attitudes, beliefs and confidence levels regarding care for those who
abuse alcohol: impact of educational intervention.
AB - Alcohol abuse is a worldwide public health concern. Nurses, representing the
largest body of health care providers, are a potential resource to provide
screening and brief intervention for patients with alcohol problems. This study
evaluates the effect of an educational intervention on the attitudes, beliefs,
and confidence levels of nurses regarding screening and brief intervention for
alcohol problems. One hundred eighty-one students at Vanderbilt University School
of Nursing participated in a four-hour educational intervention to train
providers in brief negotiated intervention (BNI) for screening, early detection
and brief treatment of alcohol problems. Participants completed questionnaires
before and after this training. Analysis of the data using paired t-test and one
way analysis of variance showed statistically significant positive change in the
nurses' attitudes, beliefs, and confidence levels regarding alcohol abuse and its
treatment after the educational intervention. For example, the percentage of
nurses who reported always having confidence in assessing patients' readiness to
change their behavior increased from 8.3% to 23.5% after training. In conclusion,
the BNI educational intervention can be effective in promoting positive changes
among nurses in attitudes, beliefs, and confidence levels regarding alcohol abuse
and its treatment.
PMID- 18042434
TI - Lecturers' experiences and perspectives of using an objective structured clinical
examination.
AB - The purpose of this paper is to present the findings that emerged from a
qualitative study which explored nurse educators' experiences and perspectives of
assessing students' clinical competence using an objective structured clinical
examination (OSCE), and to address the challenges pertaining to the assessment.
OSCEs have been researched internationally; however, exploration within an Irish
context is limited. The current study is timely as the findings are relevant in
light of the ongoing debate about OSCEs. The data for this study was collected
using two focus groups in one institution in the Republic of Ireland. Two main
themes emerged: OSCE preparation and assessment process. It is envisaged that the
outcome of this study will enable nurse educators to recognise both the potential
and the contribution of OSCEs to the curriculum and motivate nurse educators to
increase students' exposure to this assessment strategy. The need to adapt and
become cognisant of the major changes in the 'real' healthcare world will
continue to challenge nurse educators.
PMID- 18042436
TI - Periodic erythroexchange is an effective strategy for high risk paediatric
patients with sickle-cell disease.
AB - We performed an 11 year retrospective study on 34 sickle-cell paediatric
patients, focusing on efficacy, safety and costs of an exchange transfusion
program in 13 high risk patients. A good clinical control with improvement in
patients' quality of life, no disease related complications, no significant iron
overload and no procedure related side effects were observed during periodic
erythroexchange. Costs of periodic erythroexchange versus chronic transfusion
regimen were comparable. Periodic erythroexchange appeared a good alternative to
chronic transfusion regimen for controlling the most severe forms of disease,
particularly in patients who do not tolerate or do not respond to hydroxyurea.
PMID- 18042438
TI - An overview of unresolved inherent problems associated with red cell transfusion
and potential use of artificial oxygen carriers and ECO-RBC: current
status/future trends.
AB - This manuscript deals with why we need alternatives to liquid stored RBC
highlighting some of the unresolved inherent problems related to red cell storage
lesion and their potential impacts on the clinical outcomes and transfusion
complications. The promise of several potential alternatives to red cell
transfusions such as: Perfluorocarbon; Modified Hb-based oxygen carriers and
newer design of Hb-based oxygen carriers are reviewed. It is noteworthy to say
that since the first introduction of these oxygen carriers, almost five decades
ago, the only successful drive has been to prepare safer and more convenient
oxygen carriers, for enhancing the quality of life of recipients and their usage,
either as substitutes to red cell transfusion or even as the bridge, remains
patchy. Moreover, as new products with better characteristics become available
the older products from the competitors are withdrawn. Finally, the current
progress on universal RBC, known as ECO-cells is highlighted and, in the future
perspectives, some of the current efforts in making the red cells transfusion
safer and more efficacious are briefly addressed.
PMID- 18042439
TI - LDL apheresis in Italy.
PMID- 18042440
TI - Dystrobrevin and dystrophin family gene expression in zebrafish.
AB - Dystrophin/dystrobrevin superfamily proteins play structural and signalling roles
at the plasma membrane of many cell types. Defects in them or the associated
multiprotein complex cause a range of neuromuscular disorders. Members of the
dystrophin branch of the family form heterodimers with members of the
dystrobrevin branch, mediated by their coiled-coil domains. To determine which
combinations of these proteins might interact during embryonic development, we
set out to characterise the gene expression pattern of dystrophin and
dystrobrevin family members in zebrafish. gamma-dystrobrevin (dtng), a novel
dystrobrevin recently identified in fish, is the predominant form of dystrobrevin
in embryonic development. Dtng and dmd (dystrophin) have similar spatial and
temporal expression patterns in muscle, where transcripts are localized to the
ends of differentiated fibres at the somite borders. Dtng is expressed in the
notochord while dmd is expressed in the chordo-neural hinge and then in floor
plate and hypochord. In addition, dtng is dynamically expressed in rhombomeres 2
and 4-6 of the hindbrain and in the ventral midbrain. alpha-dystrobrevin (dtna)
is expressed widely in the brain with particularly strong expression in the
hypothalamus and the telencephalon; drp2 is also expressed widely in the brain.
Utrophin expression is found in early pronephros and lateral line development and
utrophin and dystrophin are both expressed later in the gut. beta-dystrobrevin
(dtnb) is expressed in the pronephric duct and widely at low levels. In summary,
we find clear instances of co-expression of dystrophin and dystrobrevin family
members in muscle, brain and pronephric duct development and many examples of
strong and specific expression of members of one family but not the other, an
intriguing finding given the presumed heterodimeric state of these molecules.
PMID- 18042441
TI - A single centre experience of liver disease in adults with cystic fibrosis 1995
2006.
AB - BACKGROUND: Liver disease is an important cause of death in adults with cystic
fibrosis (CF). Ursodeoxycholic acid (UDCA) may slow progression. Managing varices
and timely evaluation for liver transplantation are important. METHODS: Adults
with CF underwent annual review. Abnormalities of liver function tests or
ultrasound prompted referral to the CF/liver clinic where UDCA was commenced.
Endoscopic surveillance for varices was undertaken if ultrasound suggested portal
hypertension. RESULTS: 154 patients were followed for a median 5 years. 43 had
significant liver disease, 29 had cirrhosis with portal hypertension and 14 had
ultrasound evidence of cirrhosis without portal hypertension. All started UDCA.
Only one patient developed chronic liver failure and none required liver
transplantation. 27 underwent endoscopy; 1 required variceal banding, the others
had insignificant varices. Ultrasound was normal in 97 patients while five had
steatosis; nine further patients had splenomegaly but no other evidence of portal
hypertension. Neither spleen size nor platelet count correlated with portal
hypertension. CONCLUSIONS: Liver disease was common in adults with CF but disease
progression was rare. Thus liver disease detected and closely monitored in adults
appeared to have a milder course than childhood CF. Splenomegaly, unrelated to
portal hypertension may be a consequence of CF.
PMID- 18042442
TI - Comparison of ESI-MS interfaces for the analysis of UV-crosslinked peptide
nucleic acid complexes.
AB - In this report, the effectiveness of high performance liquid chromatography
(HPLC) in conjunction with electrospray ionization mass spectrometry (ESI-MS) is
examined as a tool for identifying the sites of crosslinking in a protein that
has been photoreacted with a non-photolabeled oligonucleotide. ESI-MS and MALDI
MS analyses preceded by off-line microflow and nanoflow HPLC, on-line microflow
HPLC/ESI, and on-line nanoflow HPLC/ESI interfaces were performed in order to
determine their relative effectiveness in separating mixtures of nucleopeptides
and identifying sites of crosslinking on the individual components. The
characteristics of these four techniques as well as possibilities for improving
the analysis of nucleopeptides by ESI-MS are compared and discussed.
PMID- 18042443
TI - Chiral bioanalysis of torcetrapib enantiomers in hamster plasma by normal-phase
liquid chromatography and detection by atmospheric pressure chemical ionization
tandem mass spectrometry.
AB - A highly sensitive and enantioselective assay has been developed and validated
for the estimation of torcetrapib (TTB) enantiomers [(+)-TTB and (-)-TTB] in
hamster plasma with chiral liquid chromatography coupled to tandem mass
spectrometry with an atmospheric pressure chemical ionization interface in the
negative-ion mode. The assay procedure involves liquid-liquid extraction of TTB
enantiomers and IS (DRL-16126) from 100 microL hamster plasma with acetonitrile.
TTB enantiomers were separated using n-hexane:propanol (80:20, v/v) at a flow
rate of 0.7 mL/min on a Chiralpak AD column. The MS/MS ion transitions monitored
were 599.2-->340.2 for TTB and 623.2-->298.1 for IS. Absolute recovery was found
to be between 64 and 68% for TTB enantiomers and >100% for IS. The standard
curves for TTB enantiomers were linear (r(2)>0.995) in the concentration range 5
2500 ng/mL for each enantiomer with an LLOQ of 5 ng/mL for each enantiomer. The
inter- and intra-day precisions were in the range of 10.5-12.4 and 9.15-11.5% and
3.75-12.9 and 5.16-12.5% for (+)-TTB and (-)-TTB, respectively. Accuracy in the
measurement of quality control (QC) samples was in the range 91.3-105 and 88.6
111% for (+)-TTB and (-)-TTB, respectively. This novel method has been applied to
the study of stereoselective oral pharmacokinetics of (-)-TTB.
PMID- 18042444
TI - The versatility of the free lateral arm flap in head and neck soft tissue
reconstruction: clinical experience of 210 cases.
AB - A study of the authors' experience with 210 free lateral arm flaps used to repair
head and neck oncological defects over an 8-year period. Patients' ages ranged
from 4 to 83 years (average: 49.7 years). One hundred and forty-one were male and
66 female. Three patients received two consecutive flaps each. They were used to
reconstruct: the tongue, 53 cases; retromolar trigone, 42 cases; soft/hard
palate, 34 cases; skin/facial contour, 19 cases; hypopharynx, 17 cases; buccal
mucosa, 12 cases; lips, five cases. Flap cutaneous dimensions ranged from 4 x 2
cm to 17 x 8 cm. Flap was composed of: skin and fascia, 18 cases; sensate
(neurovascular) skin, six cases; subcutaneous fat tissue, five cases; skin and
vascularised nerve graft, three cases, skin and partial triceps muscle, three
cases. Nerve coaptations were performed for all lip reconstructions. All flaps
survived except for nine (success rate: 95.2%). Severe postoperative clinical
complications preceded flap failure and death in two cases. All but six donor
sites were closed primarily. Complications related to the donor site were:
paresthesia of the forearm, 210 cases; dog ear, 16 cases; hypertropic scar, 14
cases; weakness, nine cases; haematoma, five cases; seroma, three cases;
dehiscence, one case. Radial nerve injury was not observed in this series. The
lateral arm flap can be considered safe and versatile for most soft tissue head
and neck microsurgical reconstructions. The possibility of sensory recovery
through neural anastomoses and low donor site morbidity enhances its efficiency.
PMID- 18042445
TI - Giant phyllodes tumour of the breast.
AB - Phyllodes tumours are fibroepithelial lesions and count for 0.4% of breast
tumours. Telling the difference between phyllodes tumours and fibroadenomas is
sometimes difficult but of importance because wide resection is the mainstay of
treatment for phyllodes tumours. We present a female patient, 55 years old with a
giant phyllodes tumour (38 x 31 x 23 cm) of the breast. The breast reconstruction
was done using a pedicled transverse rectus abdominis myocutaneous (TRAM) flap.
PMID- 18042446
TI - A quorum on bacterial programmed cell death.
AB - A recent article in Science (Kolodkin-Gal et al., 2007) reported a novel
programmed cell death mechanism for Escherichia coli that occurs during cellular
overcrowding via the release of a fratricidal pentapeptide derived from the
metabolic enzyme glucose-6-phosphate dehydrogenase.
PMID- 18042447
TI - Breaking the cycle of translation.
AB - In a recent issue of Molecular Cell, Trobro and Aqvist (2007) reported
mechanistic insight into release factor-induced peptide hydrolysis. Now, in this
issue, the Green research group establishes unexpected complexity in decoding
translation stop codons (Youngman et al., 2007).
PMID- 18042448
TI - To kill or to arrest: that is the new question for Apaf-1.
AB - In this issue of Molecular Cell, Zermati et al. (2007) report that Apaf-1 is
essential for the DNA damage-induced intra-S phase checkpoint response. This new
role for Apaf-1 is unrelated to its proapoptotic function but is evolutionarily
conserved in Ced-4.
PMID- 18042449
TI - Send in the clamps: control of DNA translesion synthesis in eukaryotes.
AB - The replication of damaged DNA templates by translesion synthesis (TLS) is
associated with mutagenesis and carcinogenesis. This perspective discusses the
different levels at which TLS may be controlled and proposes a model for TLS of
severely helix-distorting DNA lesions that includes a decisive role for the Rad9
Hus1-Rad1 DNA-damage-signaling clamp. The dual involvement of this clamp in both
DNA-damage signaling and TLS may have profound implications in determining
cellular responses to DNA damage.
PMID- 18042450
TI - Stop codon recognition by release factors induces structural rearrangement of the
ribosomal decoding center that is productive for peptide release.
AB - Peptide release on the ribosome is catalyzed in the large subunit peptidyl
transferase center by release factors on recognition of stop codons in the small
subunit decoding center. Here we examine the role of the decoding center in this
process. Mutation of decoding center nucleotides or removal of 2'OH groups from
the codon--deleterious in the related process of tRNA selection--has only mild
effects on peptide release. The miscoding antibiotic paromomycin, which binds the
decoding center and promotes the critical steps of tRNA selection, instead
dramatically inhibits peptide release. Differences in the kinetic mechanism of
paromomycin inhibition on stop and sense codons, paired with correlated
structural changes monitored by chemical footprinting, suggest that recognition
of stop codons by release factors induces specific structural rearrangements in
the small subunit decoding center. We propose that, like other steps in
translation, the specificity of peptide release is achieved through an induced
fit mechanism.
PMID- 18042451
TI - Characterization of an ERAD pathway for nonglycosylated BiP substrates, which
require Herp.
AB - To investigate the disposal of nonglycosylated BiP substrates, we used a
nonsecreted kappa LC, which exists in partially (ox1) and completely (ox2)
oxidized states. The ox2 form is partially reduced in order to be degraded, and
only the ox1 form is ubiquitinated and associates with both Herp and Derlin-1.
Herp is in a complex with ubiquitinated proteins and with the 26S proteasome,
suggesting that it plays a role in linking substrates with the proteasome.
Overexpressed Herp also interacts with two other BiP substrates, but not with two
calnexin substrates. Either expression of p97 or Hrd1 mutants, which are in a
complex with Herp and Derlin-1, or reduction of Herp levels inhibited the
degradation of the BiP substrates, whereas the latter had no effect on the
degradation of the calnexin substrates. This result suggests that there is some
distinction in the pathways used to dispose of these two types of ERAD
substrates.
PMID- 18042452
TI - Analysis of the function of Spire in actin assembly and its synergy with formin
and profilin.
AB - The Spire protein, together with the formin Cappuccino and profilin, plays an
important role in actin-based processes that establish oocyte polarity. Spire
contains a cluster of four actin-binding WH2 domains. It has been shown to
nucleate actin filaments and was proposed to remain bound to their pointed ends.
Here we show that the multifunctional character of the WH2 domains allows Spire
to sequester four G-actin subunits binding cooperatively in a tight SA(4) complex
and to nucleate, sever, and cap filaments at their barbed ends. Binding of Spire
to barbed ends does not affect the thermodynamics of actin assembly at barbed
ends but blocks barbed end growth from profilin-actin. The resulting Spire
induced increase in profilin-actin concentration enhances processive filament
assembly by formin. The synergy between Spire and formin is reconstituted in an
in vitro motility assay, which provides a functional basis for the genetic
interplay between Spire, formin, and profilin in oogenesis.
PMID- 18042453
TI - Structural basis and mechanism of autoregulation in 3-phosphoinositide-dependent
Grp1 family Arf GTPase exchange factors.
AB - Arf GTPases regulate membrane trafficking and actin dynamics. Grp1, ARNO, and
Cytohesin-1 comprise a family of phosphoinositide-dependent Arf GTPase exchange
factors with a Sec7-pleckstrin homology (PH) domain tandem. Here, we report that
the exchange activity of the Sec7 domain is potently autoinhibited by conserved
elements proximal to the PH domain. The crystal structure of the Grp1 Sec7-PH
tandem reveals a pseudosubstrate mechanism of autoinhibition in which the linker
region between domains and a C-terminal amphipathic helix physically block the
docking sites for the switch regions of Arf GTPases. Mutations within either
element result in partial or complete activation. Critical determinants of
autoinhibition also contribute to insulin-stimulated plasma membrane recruitment.
Autoinhibition can be largely reversed by binding of active Arf6 to Grp1 and by
phosphorylation of tandem PKC sites in Cytohesin-1. These observations suggest
that Grp1 family GEFs are autoregulated by mechanisms that depend on plasma
membrane recruitment for activation.
PMID- 18042454
TI - GSK-3-mediated phosphorylation enhances Maf-transforming activity.
AB - The Maf oncoproteins are b-Zip transcription factors of the AP-1 superfamily.
They are involved in developmental, metabolic, and tumorigenic processes. Maf
proteins are overexpressed in about 50% of human multiple myelomas. Here, we show
that Maf-transforming activity is controlled by GSK-3-dependent phosphorylation
and that phosphorylation by GSK-3 can increase the oncogenic activity of a
protein. Using microarray analysis, we identify a gene-expression subprogram
regulated by GSK-3-mediated Maf phosphorylation involved in extracellular matrix
remodeling and relevant to cancer progression. We also demonstrate that GSK-3
triggers MafA sequential phosphorylation on residues S61, T57, T53, and S49,
inducing its ubiquitination and degradation. Paradoxically, this phosphorylation
increases MafA-transcriptional activity through the recruitment of the
coactivator P/CAF. We further demonstrate that P/CAF protects MafA from
ubiquitination and degradation, suggesting that, upon the release of the
coactivator complex, MafA becomes polyubiquitinated and degraded to allow the
response to terminate.
PMID- 18042455
TI - Systematic identification of C. elegans miRISC proteins, miRNAs, and mRNA targets
by their interactions with GW182 proteins AIN-1 and AIN-2.
AB - MicroRNAs (miRNAs) regulate gene expression for diverse functions, but only a
limited number of mRNA targets have been experimentally identified. We show that
GW182 family proteins AIN-1 and AIN-2 act redundantly to regulate the expression
of miRNA targets, but not miRNA biogenesis. Immunoprecipitation (IP) and mass
spectrometry indicate that AIN-1 and AIN-2 interact only with miRNA-specific
Argonaute proteins ALG-1 and ALG-2 and with components of the core translational
initiation complex. Known miRNA targets are enriched in AIN-2 complexes,
correlating with the expression of corresponding miRNAs. Combining IP with
pyrosequencing and microarray analysis of RNAs associated with AIN-1/AIN-2, we
identified 106 previously annotated miRNAs plus nine new candidate miRNAs, but
nearly no siRNAs, and more than 3500 potential miRNA targets, including nearly
all known ones. Our results demonstrate an effective biochemical approach to
systematically identify miRNA targets and provide valuable insights regarding the
properties of miRNA effector complexes.
PMID- 18042456
TI - Structure of the Pho85-Pho80 CDK-cyclin complex of the phosphate-responsive
signal transduction pathway.
AB - The ability to sense and respond appropriately to environmental changes is a
primary requirement of all living organisms. In response to phosphate limitation,
Saccharomyces cerevisiae induces transcription of a set of genes involved in the
regulation of phosphate acquisition from the ambient environment. A signal
transduction pathway (the PHO pathway) mediates this response, with Pho85-Pho80
playing a vital role. Here we report the X-ray structure of Pho85-Pho80, a
prototypic structure of a CDK-cyclin complex functioning in transcriptional
regulation in response to environmental changes. The structure revealed a
specific salt link between a Pho85 arginine and a Pho80 aspartate that makes
phosphorylation of the Pho85 activation loop dispensable and that maintains a
Pho80 loop conformation for possible substrate recognition. It further showed two
sites on the Pho80 cyclin for high-affinity binding of the transcription factor
substrate (Pho4) and the CDK inhibitor (Pho81) that are markedly distant to each
other and the active site.
PMID- 18042457
TI - Nonapoptotic role for Apaf-1 in the DNA damage checkpoint.
AB - Apaf-1 is an essential factor for cytochrome c-driven caspase activation during
mitochondrial apoptosis but has also an apoptosis-unrelated function. Knockdown
of Apaf-1 in human cells, knockout of apaf-1 in mice, and loss-of-function
mutations in the Caenorhabditis elegans apaf-1 homolog ced-4 reveal the
implication of Apaf-1/CED-4 in DNA damage-induced cell-cycle arrest. Apaf-1 loss
compromised the DNA damage checkpoints elicited by ionizing irradiation or
chemotherapy. Apaf-1 depletion reduced the activation of the checkpoint kinase
Chk1 provoked by DNA damage, and knockdown of Chk1 abrogated the Apaf-1-mediated
cell-cycle arrest. Nuclear translocation of Apaf-1, induced in vitro by exogenous
DNA-damaging agents, correlated in non-small cell lung cancer (NSCLC) with the
endogenous activation of Chk-1, suggesting that this pathway is clinically
relevant. Hence, Apaf-1 exerts two distinct, phylogenetically conserved roles in
response to mitochondrial membrane permeabilization and DNA damage. These data
point to a role for Apaf-1 as a bona fide tumor suppressor.
PMID- 18042458
TI - Sae2 is an endonuclease that processes hairpin DNA cooperatively with the
Mre11/Rad50/Xrs2 complex.
AB - Mre11/Rad50 complexes in all organisms function in the repair of DNA double
strand breaks. In budding yeast, genetic evidence suggests that the Sae2 protein
is essential for the processing of hairpin DNA intermediates and meiotic double
strand breaks by Mre11/Rad50 complexes, but the biochemical basis of this
functional relationship is not known. Here we demonstrate that recombinant Sae2
binds DNA and exhibits endonuclease activity on single-stranded DNA independently
of Mre11/Rad50 complexes, but hairpin DNA structures are cleaved cooperatively in
the presence of Mre11/Rad50 or Mre11/Rad50/Xrs2. Hairpin structures are not
processed at the tip by Sae2 but rather at single-stranded DNA regions adjacent
to the hairpin. Truncation and missense mutants of Sae2 inactivate this
endonuclease activity in vitro and fail to complement Deltasae2 strains in vivo
for meiosis and recombination involving hairpin intermediates, suggesting that
the catalytic activities of Sae2 are important for its biological functions.
PMID- 18042459
TI - Structural mechanism of organic hydroperoxide induction of the transcription
regulator OhrR.
AB - The Xanthomonas campestris transcription regulator OhrR contains a reactive
cysteine residue (C22) that upon oxidation by organic hydroperoxides (OHPs) forms
an intersubunit disulphide bond with residue C127'. Such modification induces the
expression of a peroxidase that reduces OHPs to their less toxic alcohols. Here,
we describe the structures of reduced and OHP-oxidized OhrR, visualizing the
structural mechanism of OHP induction. Reduced OhrR takes a canonical MarR family
fold with C22 and C127' separated by 15.5 A. OHP oxidation results in the
disruption of the Y36'-C22-Y47' interaction network and dissection of helix
alpha5, which then allows the 135 degrees rotation and 8.2 A translation of
C127', formation of the C22-C127' disulphide bond, and alpha6-alpha6' helix
swapped reconfiguration of the dimer interface. These changes result in the 28
degrees rigid body rotations of each winged helix-turn-helix motif and DNA
dissociation. Similar effector-induced rigid body rotations are expected for most
MarR family members.
PMID- 18042460
TI - Recognition of trimethylated histone H3 lysine 4 facilitates the recruitment of
transcription postinitiation factors and pre-mRNA splicing.
AB - Trimethylation of histone H3 on lysine 4 (H3K4me3) localizes near the 5' region
of genes and is tightly associated with active loci. Several proteins, such as
CHD1, BPTF, JMJD2A, and the ING tumor suppressor family, directly recognize this
lysine methyl mark. However, how H3K4me3 recognition participates in active
transcription remains poorly characterized. Here we identify specific CHD1
interacting proteins via H3K4me3 affinity purification, including numerous
factors mediating postinitiation events. Conventional biochemical purification
revealed a stable complex between CHD1 and components of the spliceosome.
Depletion of CHD1 in extracts dramatically reduced splicing efficiency in vitro,
indicating a functional link between CHD1 and the spliceosome. Knockdown of CHD1
and H3K4me3 levels by siRNA reduced association of U2 snRNP components with
chromatin and, more importantly, altered the efficiency of pre-mRNA splicing on
active genes in vivo. These findings suggest that methylated H3K4 serves to
facilitate the competency of pre-mRNA maturation through the bridging of
spliceosomal components to H3K4me3 via CHD1.
PMID- 18042461
TI - Structural basis for lower lysine methylation state-specific readout by MBT
repeats of L3MBTL1 and an engineered PHD finger.
AB - Human L3MBTL1, which contains three malignant brain tumor (MBT) repeats, binds
monomethylated and dimethylated lysines, but not trimethylated lysines, in
several histone sequence contexts. In crystal structures of L3MBTL1 complexes,
the monomethyl- and dimethyllysines insert into a narrow and deep cavity of
aromatic residue-lined pocket 2, while a proline ring inserts into shallower
pocket 1. We have also engineered a single Y to E substitution within the
aromatic cage of the BPTF PHD finger, resulting in a reversal of binding
preference from trimethyl- to dimethyllysine in an H3K4 sequence context. In both
the "cavity insertion" (L3MBTL1) and "surface groove" (PHD finger) modes of
methyllysine recognition, a carboxylate group both hydrogen bonds and ion pairs
to the methylammonium proton. Our structural and binding studies of these two
modules provide insights into the molecular principles governing the decoding of
lysine methylation states, thereby highlighting a methylation state-specific
layer of histone mark readout impacting on epigenetic regulation.
PMID- 18042462
TI - A genome-wide RNA interference screen reveals that variant histones are necessary
for replication-dependent histone pre-mRNA processing.
AB - Metazoan replication-dependent histone mRNAs are not polyadenylated and instead
end in a conserved stem loop that is the cis element responsible for coordinate
posttranscriptional regulation of these mRNAs. Using biochemical approaches, only
a limited number of factors required for cleavage of histone pre-mRNA have been
identified. We therefore performed a genome-wide RNA interference screen in
Drosophila cells using a GFP reporter that is expressed only when histone pre
mRNA processing is disrupted. Four of the 24 genes identified encode proteins
also necessary for cleavage/polyadenylation, indicating mechanistic conservation
in formation of different mRNA 3' ends. We also unexpectedly identified the
histone variants H2Av and H3.3A/B. In H2Av mutant cells, U7 snRNP remains active
but fails to accumulate at the histone locus, suggesting there is a regulatory
pathway that coordinates the production of variant and canonical histones that
acts via localization of essential histone pre-mRNA processing factors.
PMID- 18042463
TI - Biochemical characterization of genetic mutations of GPR56 in patients with
bilateral frontoparietal polymicrogyria (BFPP).
AB - Bilateral frontoparietal polymicrogyria (BFPP) is a rare genetic disease
characterized by cortical malformation associated with GPR56 mutations of
frameshift, splicing, and point mutations (Science 303:2033). All the missense
point mutations are located in the regions predicted to be exposed at the cell
surface, e.g. the N-terminal extracellular domain (ECD), the proteolytic site
(GPS), and the extracellular loops of transmembrane domain (TM), implying
functionally important interaction among these domains. Wild type GPR56 protein
is cleaved at the GPCR protein cleavage site (GPS) and gives rise to two subunits
(ECD and TM), which are transported to cell surface. We have shown that GPR56 GPS
mutant protein is defective in cleavage and surface localization, while non-GPS
mutant proteins are cleaved normally but still defective in surface localization.
Furthermore, all the mutant proteins demonstrated different glycosylation pattern
from that of wild-type protein. PNGase F and Endo H sensitivity assays suggests
that the mutant proteins are trapped in endoplasmic reticulum (ER), preventing
them from trafficking to Golgi where further glycosylation modification usually
occurs before destination to cell surface. Therefore, the loss-of-function of all
these missense mutations is primarily caused by their failure to localize to cell
surface.
PMID- 18042464
TI - Vesicle-associated membrane protein 7 (VAMP-7) is essential for target cell
killing in a natural killer cell line.
AB - Natural killer cells recognize and induce apoptosis in foreign, transformed or
virus-infected cells through the release of perforin and granzymes from secretory
lysosomes. Clinically, NK-cell mediated killing is a major limitation to
successful allo- and xenotransplantation. The molecular mechanisms that regulate
the fusion of granzyme B-containing secretory lysosomes to the plasma membrane in
activated NK cells, prior to target cell killing, are not fully understood. Using
the NK cell line YT-Indy as a model, we have investigated the expression of SNAP
REceptors (SNAREs), both target (t-) and vesicular (v-) SNAREs, and their
function in granzyme B-mediated target cell killing. Our data showed that YT-Indy
cells express VAMP-7 and SNAP-23, but not VAMP-2. VAMP-7 was associated with
granzyme B-containing lysosomal granules. Using VAMP-7 small interfering RNA
(siRNA), we successfully knocked down the expression of VAMP-7 protein in YT-Indy
to less than 10% of untreated cells in 24h. VAMP7-deficient YT-Indy cells
activated via co-culture with Jurkat cells released <1ng/mL of granzyme B,
compared to 1.5-2.5 microg/mL from controls. Using Jurkat cells as targets, we
showed a 7-fold reduction in NK cell-mediated killing by VAMP-7 deficient YT-Indy
cells. Our results show that VAMP-7 is a crucial component of granzyme B release
and target cell killing in the NK cell line YT-Indy. Thus, targeting VAMP-7
expression specifically with siRNA, following transplantation, may be a viable
strategy for preventing NK cell-mediated transplant rejection, in vivo.
PMID- 18042465
TI - Concurrent expression of heme oxygenase-1 and p53 in human retinal pigment
epithelial cell line.
AB - Heme oxygenase-1 (HO-1) is a stress-responsive protein that is known to regulate
cellular functions such as cell proliferation, inflammation, and apoptosis. Here,
we investigated the effects of HO activity on the expression of p53 in the human
retinal pigment epithelium (RPE) cell line ARPE-19. Cobalt protoporphyrin (CoPP)
induced the expression of both HO-1 and p53 without significant toxicity to the
cells. In addition, the blockage of HO activity with the iron chelator DFO or
with HO-1 siRNA inhibited the CoPP-induced expression of p53. Similarly, zinc
protoporphyrin (ZnPP), an inhibitor of HO, suppressed p53 expression in ARPE-19
cells, although ZnPP increased the level of HO-1 protein while inhibiting HO
activity. Also, CoPP-induced p53 expression was not affected by the formation of
reactive oxygen species (ROS). Based on these results, we conclude that HO
activity is involved in the regulation of p53 expression in a ROS-independent
mechanism, and also suggest that the expression of p53 in ARPE-19 cells is
associated with heme metabolites such as biliverdin/bilirubin, carbon monoxide,
and iron produced by the activity of HO.
PMID- 18042466
TI - A redox-silent analogue of tocotrienol inhibits hypoxic adaptation of lung cancer
cells.
AB - We have previously reported that a redox-silent analogue of alpha-tocotrienol
(T3), 6-O-carboxypropyl-alpha-tocotrienol (T3E) shows more potential anti
carcinogenic property than T3 in a lung cancer cell (A549 cell). However, the
mechanisms by which T3E exerts its potential anti-carcinogenic effect is still
unclear. As tumor malignancy is associated with hypoxia adaptation, in this
study, we examined whether T3E could suppress survival and invasion in A549 cells
under hypoxia. Hypoxia treatment drastically-induced activation of the protein
tyrosine kinase, Src, and its regulated signaling required for hypoxia adaptation
of A549 tumor cells. The survival and invasion capacity of the tumor cells under
hypoxia was suppressed by T3E via the inactivation of Src. More specifically, T3E
dependent inhibition of Src-induced Akt activation contributed to suppression of
cell survival under hypoxia, and the reduction of fibrinolytic factors such as
plasminogen activator-1(PAI-1) via the decrease of hypoxia-inducible factor
2alpha by T3E led to inhibition of hypoxic invasion. Overall these results
suggest that T3E suppresses hypoxia adaptation of A549 cells by the inhibition in
hypoxia-induced activation of Src signaling.
PMID- 18042467
TI - Membrane trafficking of AQP5 and cAMP dependent phosphorylation in bronchial
epithelium.
AB - Phosphorylation pathway has been identified as an important step in membrane
trafficking for AQP5. We generated stably transfected BEAS-2B human bronchial
epithelial cells with various over-expression constructs on permeable support. In
stable cells with wild-type AQP5 and S156A (AQP5 mutant targeting PKA consensus
sequence), AQP5 expression was predominantly polarized to the apical membrane,
whereas stable cells with N185D (AQP5 mutant targeting second NPA motif), mainly
localized to the cytoplasm. Treatment with H89 and/or chlorophenylthio-cAMP (cpt
cAMP) did not affect membrane expression of AQP5 in any of three stable cells. In
cells with wild-type AQP5 and N185D, AQP5s were phosphorylated by PKA, while
phosphorylation of AQP5 was not detected in cells with S156A. These results
indicate that, in AQP5, serine156 may be phosphorylated by PKA, but membrane
expression of AQP5 may not be regulated by PKA phosphorylation. We conclude that
AQP5 membrane targeting can include more than one mechanism besides cAMP
dependent phosphorylation.
PMID- 18042468
TI - Molecular characterization reveals that YMR278w encoded protein is environmental
stress response homologue of Saccharomyces cerevisiae PGM2.
AB - The uncharacterized ORF YMR278w of Saccharomyces cerevisiae is a member of D
phosphohexomutase super family, annotated as phosphoribomutase. In order to
evaluate its functional role, we cloned, over-expressed and purified YMR278w
protein. The protein product of YMR278w exhibits phosphoglucomutase activity.
S158T mutant derivative of YMR278w protein lost phosphoglucomutase activity.
Purified YMR278w protein has higher K(m) for glucose-1-phosphate compared to
other known phosphoglucomutases. Trehalose content was reduced in YMR278w
disruptant as compared to the wild type strain. Based on the above results we
suggest that YMR278w encodes phosphoglucomutase and not phosphoribomutase.
PMID- 18042469
TI - The multi-functional role of sphingosylphosphorylcholine.
AB - The sphingomyelin metabolite, sphingosylphosphorylcholine (SPC) has been the
subject of much recent interest and controversy. Studies have indicated that SPC
naturally occurs in plasma and a constituent of lipoproteins. Synthesis is also
increased in some pathological conditions. Research has demonstrated that SPC is
a potentially important lipid mediator of cell type specific functions in major
tissues, such as heart, blood vessels, skin, brain and immune system. These
effects are regulated via a number of different intracellular signalling
cascades, also dependent upon cell type. Initial reports identifying high
affinity SPC receptors at first appeared to reinforce the physiological relevance
of this sphingolipid. However, these studies have now been retracted. Some SPC
effects have been shown be occur via plasma membrane receptors for the related
sphingolipid, sphingosine 1-phosphate (S1P). Despite a lack of well-defined
receptor signal transduction mechanisms and sparse pharmacological data, several
key characteristics of SPC are now emerging. SPC can act as a mitogen in several
different cell types and in certain circumstances, may also be a pro-inflammatory
mediator. In this review, these actions of SPC are discussed with a view to
understanding the potential physiological relevance of this sphingolipid.
PMID- 18042470
TI - Optimizing maintenance therapy for chronic obstructive pulmonary disease:
strategies for improving patient-centered outcomes.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is associated with
substantial morbidity and mortality. Published practice guidelines and treatment
algorithms for COPD are designed to increase awareness of the problem and improve
patient care; however, <40% of subjects diagnosed with COPD are receiving
appropriate maintenance therapy. OBJECTIVE: This paper reviews the use of
maintenance therapy in COPD and examines the optimal timing for initiating such
therapy based on the available literature. METHODS: Relevant publications were
identified through a search of MEDLINE (1995-May 2007) using the terms COPD,
guidelines, treatment, maintenance therapy, bronchodilator, ipratropium,
tiotropium, beta-agonist, salmeterol, and inhaled corticosteroid. English
language publications discussing pharmacologic maintenance therapy for COPD,
including practice statements/guidelines, randomized controlled clinical trials,
systematic reviews, and meta-analyses, with a focus on agents currently approved
for use in the United States, were selected for inclusion. RESULTS: Although
guidelines and algorithms agree on the importance of regularly scheduled
maintenance therapy to reduce symptoms of COPD, minimize activity limitations,
and improve health status, the timing of the initiation of such therapy is
debatable. In most instances, maintenance medications, which include long-acting
beta(2)-agonists, long-acting anticholinergics, and combination products, are
prescribed late in the disease process and mainly for patients with severe
disease. However, there is increasing evidence that the use of maintenance
therapy early in the disease process may be associated with improvements in such
outcomes as lung function, symptoms, exercise tolerance, exacerbations of COPD,
and quality of life. CONCLUSION: The high burden associated with COPD highlights
the need to initiate maintenance therapy before a substantial decline in lung
function has occurred.
PMID- 18042471
TI - Evidence-based pharmacologic management of pulmonary arterial hypertension.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) is a debilitating chronic
disorder of the pulmonary vasculature characterized by elevated mean pulmonary
arterial pressure, right-sided heart failure, and early mortality. OBJECTIVES:
This paper reviews the available information on PAH, including its
pathophysiology, classification of its severity, current treatment options, drug
interactions, pharmacokinetics, and cost considerations. The results of clinical
trials of the available treatments are summarized, and a suggested treatment
algorithm is provided as a guide to the medical management of PAH. METHODS:
Pertinent articles were identified by a search of MEDLINE through May 2007 using
the terms primary pulmonary hypertension, pulmonary arterial hypertension,
prostacyclin, pulmonary vasodilators, endothelin-receptor antagonists, and
phosphodiesterase inhibitors. Trials with prospective, randomized designs were
given precedence, and prospective studies having nonrandomized, open-label
designs or using historical controls were included if they contributed useful
knowledge. Retrospective studies were not included. RESULTS: In two 12-week,
randomized, open-label trials in patients with moderate to severe PAH (N = 81 and
N = 111), exercise capacity, measured on the 6-minute walk test (6-MWT), was
significantly improved with intravenous epoprostenol compared with conventional
therapy (+31 vs -29 m, respectively, in one study [P = 0.002]; +46 vs -48 m in
the other [P < 0.001]). In one of these trials, intravenous epoprostenol also was
associated with a significant survival benefit (P < 0.003). In a 12-week,
randomized, doubleblind, placebo-controlled trial in 470 patients with moderate
to severe PAH, subcutaneous treprostinil plus conventional therapy was associated
with a significant improvement on the 6-MWT compared with conventional therapy
alone (+10 vs 0 m, respectively; P = 0.006). In a 16-week, randomized, double
blind, placebo-controlled trial in 213 patients with mild to moderate symptoms,
the oral endothelin-receptor antagonist bosentan was associated with a
significant improvement on the 6-MWT compared with placebo (+36 vs -8 m,
respectively; P = 0.001) and significantly less clinical worsening at 28 weeks
(9/144 vs 14/69; P = 0.002). In a 12-week, prospective, randomized, double-blind,
placebo-controlled trial in 277 patients with PAH, sildenafil 20, 40, and 80 mg
TID were associated with significant improvements on the 6-MWT compared with
placebo (all, P < 0.001). In a prospective trial in 76 patients with idiopathic
PAH, the inhaled prostacyclin iloprost was associated with overall survival rates
of 93%, 79%, 70%, 59%, 59%, and 49% at 3 months and 1, 2, 3, 4, and 5 years,
respectively. In an early trial in 64 patients receiving highdose calcium channel
blockers, those who had responded to initial drug challenge (defined as a > 20%
decrease in pulmonary arterial pressure and pulmonary vascular resistance
immediately after challenge) had a survival rate of 94% at 1, 3, and 5 years.
CONCLUSIONS: Patients who respond to an acute trial of a vasodilator may be
treated with an oral calcium channel blocker, whereas oral therapies such as
sildenafil and bosentan have been effective in patients with mild to moderate
symptoms. Infusions of the prostacyclin analogues epoprostenol and treprostinil
appear to be the treatment of choice for moderate to severe PAH, and agents with
alternate routes of delivery such as inhaled iloprost may be advantageous in
adjunctive roles. Future trials that focus on the long-term effects of currently
available agents, as well as on combination therapy, are needed.
PMID- 18042472
TI - Deferasirox for transfusion-related iron overload: a clinical review.
AB - BACKGROUND: Iron is an essential element involved in energy production,
mitochondrial respiration, and DNA synthesis in the body. Excess iron forms
insoluble complexes that are deposited in, and cause damage to, internal organs.
Diseases such as beta-thalassemia and myelodysplastic syndrome that require
frequent blood transfusions can result in excess iron in the body. The
traditional therapy for iron overload is overnight infusion of deferoxamine
multiple nights per week. Deferasirox is a new once-daily oral agent for iron
overload that was approved by the US Food and Drug Administration in November
2005. OBJECTIVE: The objective of this article was to review available data on
deferasirox in the treatment of iron overload, including its mechanism of action,
pharmacokinetics, clinical efficacy, and tolerability. METHODS: MEDLINE, Iowa
Drug Information Service, and International Pharmaceutical Abstracts were
searched for English-language articles published before February 2007. Terms used
in the search included deferasirox, Exjade, ICL 670, beta-thalassemia, and iron
overload. Human clinical trials were included in the review; meeting abstracts
were excluded from the review of clinical studies. RESULTS: The literature search
identified 5 Phase I/II studies and 1 Phase III study of deferasirox in pediatric
and adult populations. In the Phase I/II trials, which focused primarily on
pharmacokinetics and the safety profile, deferasirox was relatively well
tolerated. Adverse events were primarily gastrointestinal disruptions and skin
rash (8%), which usually resolved with continued therapy. The Phase III study was
a multinational, randomized, open-label noninferiority comparison of the effect
of deferasirox 5 to 30 mg/kg PO once daily and deferoxamine 20 to 60 mg/kg SC per
day, 5 days per week, on reducing liver iron concentrations over 1 year in 586
patients with beta-thalassemia and transfusion-related iron overload. The 2
agents had similar efficacy, although deferasirox was associated with a higher
incidence of adverse effects. CONCLUSIONS: Deferasirox is the first oral agent
for the treatment of iron overload in the United States. It appears to be
effective and well tolerated. However, its long-term efficacy and safety remain
to be established.
PMID- 18042473
TI - Cardiac safety profile of nebulized formoterol in adults with COPD: a 12-week,
multicenter, randomized, double- blind, double-dummy, placebo- and active
controlled trial.
AB - BACKGROUND: Recently, there have been concerns about the tolerability of long
acting (2)-agonists, including possible adverse cardiovascular effects-a
particular concern in patients with chronic obstructive pulmonary disease (COPD),
who are at elevated risk for cardiovascular disease. OBJECTIVE: The aim of this
study was to assess the cardiac safety profile of nebulized formoterol fumarate
inhalation solution. METHODS: Cardiac safety was assessed as part of a 12-week,
randomized, double-blind, double-dummy, placebo- and active-controlled trial that
was conducted at 38 centers across the United States. Male and female patients
aged >/=40 years with COPD and without other significant disease were enrolled.
After a 4- to 14-day, single-blind placebo run-in period, patients with COPD were
randomly assigned to receive formoterol fumarate inhalation solution 20 microg
BID via nebulizer (FFIS group), formoterol fumarate 12 microg BID via dry powder
inhaler (FA group), or placebo. Cardiac effects-measured by changes in heart rate
(HR) and ventricular premature beats; incidence of proarrhythmic events; change
in corrected QT (QTc) interval; and incidence of maximum mean change in QTc >/=60
ms-were assessed using 24-hour Holter monitoring at baseline and 12 weeks; 12
lead electrocardiography at screening and weeks 4, 8, and 12; and patient diary
cards. RESULTS: A total of 351 patients with COPD were randomized (mean age, 62.8
years; 56.1% male; mean postbronchodilator forced expiratory volume in 1 second,
1.5 L). Holter monitoring found no clinically meaningful effects of FFIS or FA
treatment on mean or maximum HR, ventricular premature beats, or inci dence of
arrhythmic events compared with placebo. At week 12, mean (SD) changes from
baseline in mean HR were -0.6 (10.9), +0.1 (11.6), and -1.4 (9.4) bpm in the
FFIS, FA, and placebo groups, respectively. The incidence of mean maximum changes
in QTc >/=60 ms at any time during the 12-week treatment period were 1.6%, 1.8%,
and 1.8% with FFIS, FA, and placebo, respectively. Treatment-emergent cardiac
adverse events (AEs) occurred in 4.1%, 3.5%, and 4.4% of patients in the FFIS,
FA, and placebo groups; withdrawals due to possible cardiac AEs occurred in 1
patient per treatment group. No deaths or serious cardiac AEs occurred during the
treatment period. CONCLUSION: In this COPD population, no clinically significant
cardiac effects were found with twicedaily treatment with nebulized formoterol
fumarate inhalation solution.
PMID- 18042474
TI - Buprenorphine transdermal delivery system in adults with persistent noncancer
related pain syndromes who require opioid therapy: a multicenter, 5-week run-in
and randomized, double-blind maintenance-of-analgesia study.
AB - OBJECTIVE: This study compared the efficacy and safety profile of buprenorphine
transdermal delivery system (BTDS) and placebo in subjects with persistent
noncancer-related pain who required opioid analgesics. METHODS: This was a
multicenter, double-blind, parallel-group study in adult subjects (age >/=18
years) with at least a 2-month history of noncancer-related pain for which they
received oral opioid combination agents. The study employed a maintenance-of
analgesia, or randomized-withdrawal, design. During a 7- to 21-day open-label run
in phase, all subjects received BTDS, titrated as needed. Subjects who achieved
stable pain control and were able to tolerate BTDS in the run-in phase were
randomly assigned to continue BTDS at the dose achieved during the run-in phase
or to receive placebo for up to 14 days. Acetaminophen 500-mg tablets were
provided as escape (rescue) medication. Subjects completed the study on day 14 or
when they met predefined criteria for ineffective treatment: requiring >1 g of
acetaminophen as escape medication on any day of the double-blind evaluation
phase, requiring a change in study drug dose, having difficulty keeping the patch
affixed, or discontinuing because of ineffective treatment without meeting any of
the first 3 criteria. The primary efficacy variable was the proportion of
subjects with ineffective treatment. Secondary efficacy variables were the time
to ineffective treatment; the proportion of subjects who reached ineffective
treatment or discontinued for any reason other than ineffective treatment; and
the amount of escape medication used. Assessment of the safety profile was based
on adverse events and changes in vital signs and physical and laboratory
findings. RESULTS: Five hundred eighty-eight subjects entered the open-label run
in phase, and 267 (129 BTDS, 138 placebo) were subsequently randomized to
doubleblind treatment. Demographic characteristics were similar between the
double-blind BTDS and placebo groups (61.2% and 63.8% female, respectively; 99.2%
and 98.6% white; mean [SD] age, 56.2 [13.3] and 59.2 [11.5] years). In the
primary efficacy analysis, the proportion of subjects with ineffective treatment
was lower with BTDS than with placebo (51.2% vs 65.0%; 95% CI, 1.09-2.95); the
odds of ineffective treatment were 1.79 times greater for placebo relative to
BTDS (P = 0.022). In the secondary efficacy analyses, the median time from the
first dose of double-blind study drug to ineffective treatment was significantly
longer with BTDS than with placebo (median, 10 vs 3 days; P = 0.011). The
proportion of subjects who reached ineffective treatment or discontinued for
reasons other than ineffective treatment was lower in the BTDS group compared
with the placebo group (55.0% vs 67.9%); the odds of ineffective treatment or
discontinuation for a reason other than ineffective treatment was 1.76 times
greater with placebo compared with BTDS (P = 0.028). The mean amount of escape
medication used was significantly lower in the BTDS group than in the placebo
group (1.7 vs 2.2 acetaminophen tablets per day; P = 0.015). The most common
adverse events in the open-label run-in or double-blind phase occurring at a
higher incidence with BTDS than with placebo were pruritus at the patch
application site (9.3% vs 5.1%, respectively), headache (3.9% vs 2.2%), and
somnolence (2.3% vs 0.7%). CONCLUSION: In this population of adult subjects with
persistent noncancer-related pain who required opioid therapy, BTDS use was
associated with analgesic efficacy and was generally well tolerated. Results of
this study were presented in part at the annual meeting of the American Pain
Society, March 30-April 2, 2005, Boston, Massachusetts.
PMID- 18042475
TI - Pharmacokinetic properties of rosuvastatin after single-dose, oral administration
in Chinese volunteers: a randomized, open-label, three-way crossover study.
AB - BACKGROUND: Rosuvastatin, a 3-hydroxy-3-methyl glutaryl coenzyme A reductase
inhibitor ("statin"), has been marketed for the treatment of patients with
dyslipidemia. OBJECTIVES: The objective of this study was to assess the dose
proportionality and pharmacokinetic (PK) properties of rosuvastatin after single
dose administration in Chinese volunteers. The effects of food and sex on the PK
properties of rosuvastatin in these volunteers were also assessed. METHODS: This
single-dose, randomized, open-label, 3-way crossover trial was conducted at West
China Second University Hospital, Sichuan University, Chengdu, People's Republic
of China. Healthy, male and female, adult (aged 20-24 years), Han Chinese
volunteers were enrolled. Volunteers were allocated to receive, in randomized
order according to a computer-generated randomization schedule, single doses of
rosuvastatin (5, 10, and 20 mg) administered in separate trial periods, with a 1
week washout between periods. PK properties (C(max), T(max), AUC(0-t), apparent
elimination t(1/2)) and tolerability were assessed immediately before (0 hour)
and at 0.5, 1, 1.5, 2, 3, 4, 5, 6, 8, 12, 15, 24, 36, 48, and 72 hours after
study drug administration. The Student-Newman-Keuls test was used to test for
linearity. The effects of food intake and sex on the PK properties of
rosuvastatin were also investigated in subanalyses using standardized meals and
the t test of logarithm-transformed (lg) values to detect differences in C(max)
and AUC(0-t) between sexes and between the fed (test) and fasted (reference)
states. All of the results were corrected for dose by weight (mg/kg). RESULTS:
The study enrolled 12 healthy Chinese volunteers (6 men: mean [SD] age, 22.3
[1.5] years; mean [SD] weight, 61.8 [2.4] kg [range, 59-64 kg]; 6 women: mean
[SD] age, 21.6 [1.4] years; mean [SD] weight, 56.4 [6.4] kg [range, 50-64 kg]).
Geometric mean (SD) C(max) values of 10.22 (8.05), 25.86 (18.77), and 44.99
(17.99) ng/mL were achieved at a median T(max) of 2.5 hours after administration
of single doses of 5, 10, and 20 mg of rosuvastatin, respectively; the
corresponding geometric mean (SD) values of AUC(0-t) were 73.67 (48.78), 210.21
(178.70), and 303.81 (193.29) ng/mL . h(-1), and the mean (SD) apparent
elimination t(1/2) values were 13.01 (8.68), 13.33 (5.21), and 15.40 (5.43) hours
after administration. The Student-Newman-Keuls test results found that C(max) and
AUC(0-t) were both linearly related to dose. In men, the mean (SD) C(max) values
were 7.57 (6.49), 20.43 (14.10), and 36.80 (15.64) ng/mL, and the mean (SD) AUC(0
t) values were 51.74 (33.92), 136.35 (97.18), and 232.25 (101.66) ng/mL x h(-1),
with the 5-, 10-, and 20-mg doses of rosuvastatin, respectively. In women, the
corresponding C(max) values were 13.40 (9.27), 32.44 (23.10), and 54.82 (16.78)
ng/mL, and AUC(0-t) values were 99.99 (54.07), 298.85 (223.66), and 430.21
(194.61) ng/mL x h-1. Results of the t tests of (lg)C(max) and (lg)AUC(0-t) found
no significant differences between the male and female groups. However, C(max)
and AUC(0-t) values of 0.82 ng/mL and 6.87 ng/mL x h-1, respectively, after oral
administration of 10 mg of rosuvastatin in the fed state were significantly
different from the corresponding values under fasting conditions (both, P <
0.05). Two adverse events (pharyngitis and nausea) were reported in 3 subjects (2
women, 1 man) at the 20-mg fasting state. Two cases of elevated laboratory values
(bilirubin, from 16.1 micromol/L at baseline to 31.4 micromol/L; phosphocreatine,
from 141 U/L at baseline to 307 U/L) were found at the poststudy follow-up
immediately after study completion in 2 volunteers (1 man, 1 woman) at the 10-mg
fed state; both values had returned to normal 5 days later. CONCLUSIONS: The PK
properties of rosuvastatin are based on first-order kinetics in the dose range
tested. In this small, selected group of healthy Chinese volunteers, no
clinically significant differences in PK properties between doses or sexes were
found. The absorption of rosuvastatin was significantly decreased in the fed
state compared with the fasting state, which suggests that rosuvastatin should be
administered on an empty stomach. All rosuvastatin doses tested were well
tolerated.
PMID- 18042476
TI - Efficacy of multivitamin supplementation containing vitamins B6 and B12 and folic
acid as adjunctive treatment with a cholinesterase inhibitor in Alzheimer's
disease: a 26-week, randomized, double-blind, placebo-controlled study in
Taiwanese patients.
AB - BACKGROUND: Elevated serum homocysteine levels have been associated with the
development of Alzheimer's dementia (AD). The combined use of a mecobalamin
capsule preparation, which contains vitamin B12 0.5 mg with an active methyl
base, and an over-the-counter nutritional supplement that contains folic acid 1
mg and pyridoxine hyperchloride 5 mg may be effective as a homocysteine-lowering
vitamin regimen. OBJECTIVE: The aim of this study was to determine whether oral
multivitamin supplementation containing vitamins B6 and B12 and folic acid would
improve cognitive function and reduce serum homocysteine levels in patients with
mild to moderate AD. METHODS: This randomized, double-blind, placebocontrolled
trial was conducted at En Chu Kong Hospital, Taipei, Taiwan. Male and female
patients aged >50 years with mild to moderate AD and normal folic acid and
vitamin B12 concentrations were enrolled. All patients received treatment with an
acetylcholinesterase inhibitor and were randomized to receive add-on mecobalamin
(B12) 500 mg + multivitamin supplement, or placebos, PO QD for 26 weeks. The
multivitamin contained pyridoxine (B6) 5 mg, folic acid 1 mg, and other vitamins
and iron. Serum homocysteine level was measured and cognitive tests were
conducted at baseline and after 26 weeks. The primary efficacy outcome was change
in cognition, measured as the change in score from baseline to week 26 on the
Alzheimer's Disease Assessment Scale 11-item Cognition subscale. Secondary
efficacy outcomes included changes in function in performance of activities of
daily living (ADLs) and concentrations of homocysteine, B12, and folic acid.
Tolerability was assessed by comparing the 2 study groups with respect to
physical examination findings, including changes in vital signs, laboratory test
abnormalities, concomitant medication use, and compliance of study medication was
assessed using an interview with the patient's caregiver, as well as the
monitoring of adverse events (AEs) throughout the study. RESULTS: Eighty-nine
patients (45 men, 44 women; all Taiwanese; mean [SD] age, 75 [7.3] years) were
enrolled and randomized. Overall, there were no significant differences in
cognition or ADL function scores between the 2 groups. At week 26, the mean (SD)
between-group difference in serum homocysteine concentration versus placebo was
2.25 (2.85) micromol/L (P = 0.008), and the mean serum concentrations of vitamin
B12 and folic acid were significantly higher (but within normal range) in the
multivitamin group compared with placebo (., +536.9 [694.4] pg/mL [P < 0.001] and
+13.84 ng/mL [11.17] [P = 0.012] at 26 weeks, respectively). The 2 most common
AEs were muscle pain (11.1% and 6.8%) and insomnia (8.9% and 9.1%) in the
multivitamin and placebo groups, respectively. CONCLUSIONS: In this population of
patients with mild to moderate AD in Taiwan, a multivitamin supplement containing
vitamins B(6) and B(12) and folic acid for 26 weeks decreased homocysteine
concentrations. No statistically significant beneficial effects on cognition or
ADL function were found between multivitamin and placebo at 26 weeks.
PMID- 18042477
TI - Identification and pretherapy susceptibility of pathogens in patients with
complicated urinary tract infection or acute pyelonephritis enrolled in a
clinical study in the United States from November 2004 through April 2006.
AB - OBJECTIVE: The purpose of this study was to assess the pretherapy microbiology
and fluoroquinolone susceptibility of pathogens from 650 patients with
complicated urinary tract infection (cUTI) or acute pyelonephritis (AP) as part
of a multicenter, randomized, controlled clinical trial. METHODS: In this post
hoc analysis of a multicenter, randomized, double-blind study, adults with a
clinical diagnosis of cUTI or AP were recruited from 130 community-based and
institution-based study centers in the United States from November 2004 through
April 2006. Urine and blood culture specimens were identified and tested for
susceptibility according to Clinical and Laboratory Standards Institute methods.
Presence of a pathogen in the urine culture was confirmed by a colony count of
=105 colony-forming units per milliliter. Susceptibility to nonstudy drugs
(trimethoprim/sulfamethoxazole [TMP/SMX] and ampicillin) and to study drugs
(levofloxacin and ciprofloxacin) was categorized as susceptible, intermediate, or
resistant. RESULTS: Six hundred fifty patients (417 women, 233 men; age range, 18
94 years) with a diagnosis of cUTI or AP were recruited. A total of 68.2%
patients (224 men, 219 women) were diagnosed with cUTI, and 31.8% (198 women, 9
men), with AP. Most (646/650 [99.4%]) infections were community acquired. The
most common pathogen was Escherichia coli (65.6%), although 12.2% of patients had
gram-positive pathogens. Testing for susceptibility to ampicillin and TMP/SMX
found that 50.1% and 22.1% of gramnegative pathogens were fully resistant to
ampicillin and TMP/SMX, respectively. However, 91.9% of isolates were susceptible
to levofloxacin and ciprofloxacin, with 6.5% of isolates resistant or
intermediately resistant to levofloxacin, and 9.7% of isolates resistant or
intermediately resistant to ciprofloxacin at study entry (P < 0.001 [Stuart
Maxwell test]). All isolates resistant to levofloxacin were also resistant to
ciprofloxacin, whereas 6 isolates that were fully susceptible to levofloxacin
were fully resistant to ciprofloxacin. CONCLUSION: In this study, the level of
fluoroquinolone susceptibility of urinary pathogens was high (90.6% in cUTI;
98.1% in AP).
PMID- 18042478
TI - Abuse potential of carisoprodol: a retrospective review of Idaho Medicaid
pharmacy and medical claims data.
AB - BACKGROUND: Carisoprodol is a muscle relaxant indicated as adjunctive therapy in
acute, painful musculoskeletal conditions. Case reports of drug-seeking behavior
and utilization of carisoprodol in combination with opioids have suggested abuse
potential. OBJECTIVES: We undertook a retrospective review of claims data to
identify and characterize potential indicators of abuse in long-term users of
carisoprodol and to determine any continued use of the drug by former long-term
users following prior authorization implementation. METHODS: The Idaho Medicaid
pharmacy and medical claims database was queried from January 1 to December 31,
2005, to identify long-term users of muscle relaxants. Use of concomitant opioids
and coded diagnoses relating to past drug abuse were analyzed and compared
between patients who used carisoprodol and patients who used other muscle
relaxants. Data from 11 of 30 surveys mailed to pharmacies filling prescriptions
for long-term users of carisoprodol were also collected to determine the
frequency of self-pay-continued use after Medicaid coverage of the drug was
discontinued. RESULTS: Long-term users of carisoprodol (n = 340) and other
skeletal muscle relaxants (SMRs) (n = 453) were identified from among 130,000
individuals in the Idaho Medicaid pharmacy and medical claims database in
calendar year 2005. Patients in both groups were similar in terms of mean age
(~47 years) and sex (71.5% female). Patients using carisoprodol used concomitant
opioids more frequently (81.5% vs 59.8%; P < 0.01), more commonly had past
diagnoses indicating other drug abuse (34.1% vs 21.4%; P < 0.01), and in 80% of
reported cases, continued to pay out of pocket for carisoprodol when third-party
coverage was discontinued. Taken together, these findings are consistent with
published case reports suggesting the abuse potential of carisoprodol.
CONCLUSIONS: The results from this review suggest that, compared with long-term
users of other SMRs, carisoprodol patients utilized concomitant opioids more
frequently and concomitant NSAIDs less frequently, more commonly had past
diagnoses indicating other drug dependence or abuse, and continued to pay out of
pocket for carisoprodol when third-party coverage was discontinued. While none of
these issues alone may be direct indicators of abuse, collectively they suggest
that patients who used carisoprodol long term displayed abuse potential
characteristics more frequently than long-term users of other agents.
PMID- 18042479
TI - Prospective evaluation of serum amiodarone concentrations when administered via a
nasogastric tube into the stomach conduit after transthoracic esophagectomy.
AB - BACKGROUND: Atrial fibrillation occurs in up to 46% of patients following
esophagectomy; amiodarone may be used for prophylaxis or treatment in these
patients. There are few data regarding drug absorption following esophagectomy.
OBJECTIVE: The aim of this study was to determine serum amiodarone concentrations
when the drug is administered into the stomach conduit following esophagectomy.
METHODS: Patients who underwent noncardiac thoracic surgery were enrolled in this
prospective, controlled study. One group of patients underwent esophagectomy, and
a second group of patients comprised a control group who underwent pulmonary
resection (PR). A continuous IV amiodarone infusion (0.73 mg/min) was initiated
at anesthesia induction and continued for 24 hours (total IV dose 1050 mg),
followed by 400 mg via a nasogastric tube (in the esophagectomy group) or orally
(in the PR group) every 12 hours for 6 days. Blood samples for determination of
serum amiodarone concentrations were obtained at completion of the infusion
(postoperative day [POD] 1), and before the third (POD 2) and seventh (POD 4)
enteral doses. RESULTS: A total of 27 patients were enrolled (esophagectomy
group, 13 patients; PR group, 14 patients). Patients in the 2 groups had
statistically similar ages (mean [SD], 60 [10] vs 53 [10] years; P = 0.07) and
proportions of men (12/13 [92%] vs 8/14 [57%]; P = 0.08). Patients in the 2
groups were statistically similar with respect to race (white, 13/13 [100%] vs
13/14 [93%]) and preoperative weight (mean [SD], 83.3 [11.5] vs 77.7 [18.6] kg).
On POD 1, age-adjusted and sex-adjusted serum amiodarone concentrations were not
significantly different in the esophagectomy group versus the PR group (mean [SD]
0.65 [0.22] vs 0.84 [0.20] microg/mL). Mean (SD) serum amiodarone concentrations
were significantly lower in the esophagectomy group on POD 2 (0.35 [0.27] vs 0.60
[0.18] microg/mL; P = 0.02) and on POD 4 (0.30 [0.34] vs 0.87 [0.16] microg/mL; P
< 0.001). Serum amiodarone concentrations were undetectable in 33% and 50% of
patients in the esophagectomy group on PODs 2 and 4, respectively, compared with
0% in the PR group (both, P = 0.03). CONCLUSIONS: Serum amiodarone concentrations
were significantly lower (and in some cases undetectable) when the drug was
administered via a nasogastric tube into the stomach conduit in patients after
esophagectomy compared with those concentrations after oral administration in a
PR population. Nasogastric administration of amiodarone should probably be
avoided for prophylaxis or treatment of postesophagectomy tachyarrhythmias.
PMID- 18042480
TI - The effects of combination treatment with a long-acting beta2-agonist and a
corticosteroid on salivary flow rate, secretory immunoglobulin A, and oral health
in children and adolescents with moderate asthma: a 1-month, single-blind
clinical study.
AB - BACKGROUND: Asthma is a common chronic disorder of childhood, and it is
frequently accompanied by dental and other oral abnormalities. As such, oral and
dental effects of asthma medications have been investigated in several studies.
However, the effect of combination therapy with a long-acting beta(2)-agonist and
a corticosteroid on oral health in children and adolescents has not been reported
in the literature. OBJECTIVE: The aim of this study was to examine whether
combination treatment with a long-acting beta(2)-agonist (salmeterol) and a
corticosteroid (fluticasone propionate) administered by dry powder inhaler (DPI)
affects oral health in children and adolescents with moderate asthma. METHODS:
This 1-month, single-blind clinical study was conducted at the Department of
Periodontology, Ondokuz Mayis University Faculty of Dentistry, Samsun, Turkey.
Male and female children and adolescents aged 7 to 17 years with moderate
persistent asthma, as classified by the Global Initiative for Asthma guidelines,
were studied before and after 1 month of treatment with combination salmeterol 50
microg and fluticasone propionate 100 mug administered by DPI BID. Salivary flow
rate and secretory immunoglobulin A (sIgA) level were measured, and periodontal
health was assessed by gingival and dental plaque indices for buccal surfaces and
periodontal pocket depth. RESULTS: The study enrolled 15 children and adolescents
(8 girls, 7 boys; mean [SD] age, 11 years [45 months]; median age, 13 years;
[range, 7-17 years]). At 1 month, mean sIgA, gingival index, buccal surface
index, gingival index, dental plaque index, and periodontal pocket depth were not
changed significantly from baseline, whereas mean (SD) salivary flow rate was
significantly decreased (from 153.21 [39.29] to 113.16 [46.99] microL/5 s; P =
0.015) and dental plaque index on the buccal surface was significantly increased
(from 1.43 [0.63] to 1.61 [0.67]; P = 0.01). CONCLUSIONS: In this small study,
combination treatment with salmeterol 50 microg and fluticasone propionate 100
microg inhaled twice daily was associated with changes in oral health among these
children and adolescents with moderate asthma. Regular follow-up of oral health
status may be warranted in children and adolescents during long-term use of a
long-acting beta2-agonist and a corticosteroid.
PMID- 18042481
TI - The prescribing continuum: between prescription and over-the-counter drugs.
PMID- 18042482
TI - Oseltamivir and the risk of influenza-related complications and hospitalizations
in patients with diabetes.
AB - OBJECTIVE: This subgroup analysis of a retrospective cohort study examined, from
a managed care perspective, the risk of influenza-related complications and
hospitalizations in patients with diabetes who were prescribed oseltamivir for
the treatment of influenza and those who were not prescribed antiviral treatment.
METHODS: Health insurance claims data from the Thomson Healthcare MarketScan
Research Database for 6 influenza seasons (October 1-March 31) between 2000 and
2006 were used to identify patients aged >/=18 years with influenza and diabetes.
Patients who received a prescription for oseltamivir within 1 day of a diagnosis
of influenza were compared with those who received no antiviral treatment.
Outcomes included the frequency of pneumonia, respiratory diagnoses, and otitis
media and its complications, and rates of hospitalization within 14 days of the
diagnosis of influenza. Cox proportional hazards regression was used to determine
the relative risk (RR) of influenza-related complications and hospitalizations.
RESULTS: A total of 9090 patients with diabetes and a diagnosis of influenza were
identified who met all study criteria. Of these, 2919 (32%) received a
prescription for oseltamivir and 6171 (68%) received no antiviral treatment.
Patients receiving oseltamivir had a significant 17% reduction in the risk of
respiratory illnesses (RR = 0.83; 95% CI, 0.73-0.93) and a 30% reduction in the
risk of hospitalization for any reason (RR = 0.70; 95% CI, 0.52-0.94). There were
no significant differences between the oseltamivir and control groups in terms of
the risks for pneumonia (RR = 0.87; 95% CI, 0.64-1.18), otitis media and its
complications (RR = 0.96; 95% CI, 0.48-1.91), or hospitalization for pneumonia
(RR = 0.81; 95% CI, 0.41-1.58). CONCLUSION: In this retrospective study, the risk
of influenza-associated respiratory illnesses and the number of hospitalizations
for any reason were reduced in patients with diabetes who were prescribed
oseltamivir compared with an unmatched group that was not prescribed antiviral
therapy.
PMID- 18042483
TI - Cost-effectiveness analysis of bevacizumab combined with chemotherapy for the
treatment of metastatic colorectal cancer in Japan.
AB - BACKGROUND: Rapid progress has been made in the treatment of metastatic
colorectal cancer (mCRC). New treatment regimens for mCRC include not only
cytotoxic chemotherapy but also targeted monoclonal antibodies, including
bevacizumab. However, bevacizumab is an expensive medication, which costs from
300,000 yen to 400,000 yen (US $2500-$3300) per month. OBJECTIVE: The purpose of
this cost-effectiveness analysis was to examine the economic efficiency of
treating mCRC with bevacizumab plus chemotherapy versus chemotherapy alone in
Japan. METHODS: We searched an electronic database (MEDLINE, UpToDate, and
American Society of Clinical Oncology [ASCO] Virtual Meeting; key terms:
bevacizumab limited to randomized controlled trial; years: 2000 to present [June
29, 2007]) to detect randomized controlled trials (RCTs) that compared
chemotherapy alone with chemotherapy plus bevacizumab. To analyze the cost
effectiveness of bevacizumab, we used the Weibull regression model and determined
an expected treatment duration at each state using reported survival curves of
RCTs. We included only the direct medical costs (2006) of these medications to
estimate the expected values of incremental costs; thus, the analysis was
conducted from the perspective of the health care payer. The incremental cost
effectiveness ratios (ICERs) were calculated from these expected values of
incremental life-years and incremental costs. RESULTS: We identified 5 articles
using MEDLINE and 1 trial found on UpToDate and ASCO Virtual Meeting; these data
composed the final analysis group. First-line chemotherapy regimens included in
this analysis were bevacizumab + 5-fluorouracil/leucovorin (FU/LV),
irinotecan/FU/LV (IFL), infusional FU/LV/ oxaliplatin (FOLFOX6), bolus
FU/LV/oxaliplatin (bFOL), and capecitabine/oxaliplatin (CAPOX). The only second
line chemotherapy regimen included was FOLFOX4. The ICERs of additional
bevacizumab when combined with FU/LV,IFL,FOLFOX6, bFOL, and CAPOX were 17.4
million yen (US $145,000), 11.9 million yen ($99,000), 13.5 million yen
($113,000), 16.9 million yen ($141,000), and 8.5 million yen ($71,000),
respectively, per life-year gained; the ICER was 14.1 million yen ($118,000) with
second-line FOLFOX4. CONCLUSIONS: In this cost-effectiveness analysis in Japan,
the ICERs of bevacizumab + FU/LV combination treatment, IFL, and second-line
FOLFOX4 were high compared with other chemotherapies for mCRC. It remains
difficult to assess first-line therapies comprising bevacizumab with oxaliplatin
based regimens, especially CAPOX. Further information is needed to assess cost
effectiveness.
PMID- 18042484
TI - An audit of the NICE self-harm guidelines at a local Accident and Emergency
department in North Wales.
AB - This paper reports the findings of a self-harm audit based on data collected at
an A&E department in North Wales. The National Institute for Clinical Excellence
(NICE) guidelines on the short term physical and psychological management of self
harm were published in 2004 and the audit was based on technical criteria
recommended in the guideline booklet, including standards of psychosocial
assessment, staff training and patient satisfaction information. The data in this
study related to fifty consecutive self-harm attendances at the A&E department
Ysbyty Glan Clwyd in the Spring of 2007. The hospital serves a mixed rural/urban
population of approximately 250,000. Patient satisfaction questionnaires were
made available to the group subsequently, whilst the staff training audit was
distributed more widely to include emergency, medicine and mental health
divisions of the Conwy & Denbighshire NHS Trust. The results demonstrated
generally high standards of care on psychosocial assessment, though information
relating to initial ambulance involvement in treatment was often unclear. The
response to the staff-training questionnaire was an encouraging 44% and indicated
wide variations between staff groups and areas of work. The patient satisfaction
returns demonstrated favourable responses, with several comments added to expand
on tick box replies. Service developments, as a result of the audit, include the
proposal to provide mental health and self-harm training to all those staff
likely to encounter the behaviour--not just to those who work in mental health.
Patients, from the questionnaire, who express a willingness to become part of a
mental health planning group are now provided details of the patient
participation involvement (PPI) group, where their experiences can often inform
service improvement. Meanwhile the case note audit has reinforced the need for a
practical self-harm pathway which will ensure consistency.
PMID- 18042485
TI - Role of health insurance coverage in women's access to prescription medicines.
AB - OBJECTIVE: To examine the effects of health insurance coverage and other factors
on access to prescription medicines for non-elderly women ages 18-64. METHODS:
Based on a nationally representative telephone survey of adult women in the
United States, this study uses multiple logistic regression to determine the
factors significantly associated with cost barriers among non-elderly women. The
sample for the study includes 1,177 women ages 18-64 who use >or=1 prescription
drug on a regular basis. Cost barriers are defined as not filling a prescription
or skipping or splitting doses owing to cost. A composite variable of income and
health insurance was created to examine the role of insurance in mitigating
barriers for women of different income levels. Descriptive analyses report the
share of subgroups of women who have faced any of these cost barriers, and
logistic regression analyses were used to examine the role of health insurance,
income, and other factors in predicting financial access to prescribed
medications. KEY FINDINGS: Over half (54%) of non-elderly women reported that
they were taking a prescription medicine on a regular basis, and nearly one third
(32%) of these women reported experiencing >or=1 affordability barrier in the
prior year and had to either forgo or delay a prescription and/or reduce dosages
to make medicines last longer because of costs. Uninsured women had the highest
odds of facing a cost barrier, regardless of income level. Low-income, uninsured
women were nearly 7 times as likely to face a cost barrier to prescription drugs,
compared with higher income women with insurance. Even uninsured women with
incomes >or=200% of the federal poverty level had 5 times the odds of facing a
prescription medicine cost barrier, and low-income, insured women experienced 2
times the odds of a prescription medicine cost barrier, compared with their
higher income, insured counterparts. CONCLUSION: Lack of health insurance
coverage was significantly associated with experiencing cost barriers, regardless
of income level, underscoring the critical role that insurance coverage plays in
protecting women from out-of-pocket costs and for accessing prescription
medicines. Limiting out-of-pocket spending is also important for low-income women
who have insurance, because even minimal costs can act as barriers for this
group.
PMID- 18042486
TI - Where do women veterans get their inpatient care?
AB - PURPOSE: In this study we explore women veterans' use of Veterans Administration
(VA) and private sector inpatient services. METHODS: Using a comprehensive
dataset of VA and private hospital admissions, we identified 1,409 female
patients who were enrolled in the VA system and had an inpatient admission
between 1998 and 2000 in either the VA or the private sector. For Major
Diagnostic Categories (MDCs) with >20 admits in each sector, we compared care
provided in the private sector with care provided in the VA with respect to
patient characteristics and resource utilization. In addition, we determined
payment sources for women who used the private sector for inpatient care.
FINDINGS: Women who used the VA were younger (mean, 54 vs. 60 years; p < .001)
and more likely to be service connected (39% vs. 24%; p < .001), African American
(25% vs. 13%; p < .001), and urban dwelling (81% vs. 75%; p < .01). Women
veterans were significantly more reliant on the VA system for mental diseases,
alcohol and drug use, and skin/subcutaneous/breast diseases. For every MDC
examined, VA hospitals had longer mean lengths of stay. Among VA eligible women
<65 years old using the private sector, 56% used private insurance, 15% used
Medicare, 14% used Medicaid, and 9% did not have insurance. CONCLUSIONS: In New
York, female veterans admitted to VA hospitals differed from women admitted to
private hospitals by patient characteristics, admission reason, and admission
resource consumption. Many younger women who used the private sector were reliant
on other government agencies (Medicaid or Medicare) or out-of-pocket payments for
their inpatient care.
PMID- 18042487
TI - Re: Case studies of power and control related to tobacco use during pregnancy.
PMID- 18042489
TI - Modulation of cardiac troponin C function by the cardiac-specific N-terminus of
troponin I: influence of PKA phosphorylation and involvement in cardiomyopathies.
AB - The cardiac-specific N-terminus of cardiac troponin I (cTnI) is known to modulate
the activity of troponin upon phosphorylation with protein kinase A (PKA) by
decreasing its Ca(2+) affinity and increasing the relaxation rate of the thin
filament. The molecular details of this modulation have not been elaborated to
date. We have established that the N-terminus and the switch region of cTnI bind
to cNTnC [the N-domain of cardiac troponin C (cTnC)] simultaneously and that the
PKA signal is transferred via the cTnI N-terminus modulating the cNTnC affinity
toward cTnI(147-163) but not toward Ca(2+). The K(d) of cNTnC for cTnI(147-163)
was found to be 600 microM in the presence of cTnI(1-29) and 370 microM in the
presence of cTn1(1-29)PP, which can explain the difference in muscle relaxation
rates upon the phosphorylation with PKA in experiments with cardiac fibers. In
the light of newly found mutations in cNTnC that are associated with
cardiomyopathies, the important role played by the cTnI N-terminus in the
development of heart disorders emerges. The mutants studied, L29Q (the N-domain
of cTnC containing mutation L29Q) and E59D/D75Y (the N-domain of cTnC containing
mutation E59D/D75Y), demonstrated unchanged Ca(2+) affinity per se and in complex
with the cTnI N-terminus (cTnI(1-29) and cTnI(1-29)PP). The affinity of L29Q and
E59D/D75Y toward cTnI(147-163) was significantly perturbed, both alone and in
complex with cTnI(1-29) and cTnI(1-29)PP, which is likely to be responsible for
the development of malfunctions.
PMID- 18042491
TI - Panniculectomy concurrent with anterior pelvic exenteration for recurrent
cervical cancer.
AB - BACKGROUND: Panniculectomy concurrent with gynecologic cancer surgery is safe and
facilitates pelvic exposure in the morbidly obese patient. CASE: A 41-year-old
morbidly obese female is diagnosed with recurrent adenocarcinoma of the cervix
and has previously been treated with teletherapy and brachytherapy. She undergoes
an anterior pelvic exenteration for curative intent. CONCLUSION: Panniculectomy
at the time of pelvic exenteration is feasible. Morbidly obese patients with
recurrent cervical cancer after treatment with pelvic radiation should be
considered candidates for curative surgery.
PMID- 18042490
TI - Novel inhibitor for prolyl tripeptidyl aminopeptidase from Porphyromonas
gingivalis and details of substrate-recognition mechanism.
AB - A new inhibitor, H-Ala-Ile-pyrrolidin-2-yl boronic acid, was developed as an
inhibitor against prolyl tripeptidyl aminopeptidase with a K(i) value of 88.1 nM.
The structure of the prolyl tripeptidyl aminopeptidase complexed with the
inhibitor (enzyme-inhibitor complex) was determined at 2.2 A resolution. The
inhibitor was bound to the active site through a covalent bond between Ser603 and
the boron atom of the inhibitor. This structure should closely mimic the
structure of the reaction intermediate between the enzyme and substrate. We
previously proposed that two glutamate residues, Glu205 and Glu636, are involved
in the recognition of substrates. In order to clarify the function of these
glutamate residues in substrate recognition, three mutant enzymes, E205A, E205Q,
and E636A were generated by site-directed mutagenesis. The E205A mutant was
expressed as an inclusion body. The E205Q mutant was expressed in soluble form,
but no activity was detected. Here, the structures of the E636A mutant and its
complex with the inhibitor were determined. The inhibitor was located at almost
the same position as in the wild-type enzyme-inhibitor complex. The amino group
of the inhibitor interacted with Glu205 and the main-chain carbonyl group of
Gln203. In addition, a water molecule in the place of Glu636 of the wild-type
enzyme interacted with the amino group of the inhibitor. This water molecule was
located near the position of Glu636 in the wild-type and formed a hydrogen bond
with Gln203. The k(cat)/K(M) values of the E636A mutant toward the two substrates
used were smaller than those of the wild-type by two orders of magnitude. The
K(i) value of our inhibitor for the E636A mutant was 48.8 microM, which was 554
fold higher than that against the wild-type enzyme. Consequently, it was
concluded that Glu205 and Glu636 are significant residues for the N-terminal
recognition of a substrate.
PMID- 18042492
TI - Glutamate in dopamine neurons: synaptic versus diffuse transmission.
AB - There is solid electron microscopic data demonstrating the existence of dopamine
(DA) axon terminals (varicosities) with or without synaptic membrane
specializations (junctional complexes) in many parts of the CNS, and notably in
neostriatum and nucleus accumbens. The dual morphological character of these DA
innervations has led to the suggestion that the meso-telencephalic DA system
operates by diffuse (or volume) as well as by classical synaptic transmission. In
the last decade, electrophysiological and neurochemical evidence has also
accumulated indicating that monoamine neurons in various parts of the CNS, and
particularly the mesencephalic DA neurons, might release glutamate as a co
transmitter. Following the identification of the vesicular transporters for
glutamate (VGluT), in situ hybridization and RT-PCR studies carried out on
isolated neurons or standard tissue cultures, and more recently in vivo, have
shown that VGluT2 mRNA may be expressed in a significant proportion of
mesencephalic DA neurons, at least in the ventral tegmental area. A current study
also suggests that the co-expression of tyrosine hydroxylase (TH) and VGluT2 by
these neurons is regulated during embryonic development, and may be derepressed
or reactivated postnatally following their partial destruction by neonatal
administration of 6-hydroxydopamine (6-OHDA). In both 15 day-old and adult rats
subjected or not to the neonatal 6-OHDA lesion, concurrent electron microscopic
examination of the nucleus accumbens after dual immunocytochemical labeling for
TH and VGluT2 reveals the co-existence of the two proteins in a significant
proportion of these axon terminals. Moreover, all TH varicosities which co
localize VGluT2 are synaptic, as if there was a link between the potential of DA
axon terminals to release glutamate and their establishment of synaptic
junctions. Together with the RT-PCR and in situ hybridization data demonstrating
the co-localization of TH and VGluT2 mRNA in mesencephalic neurons of the VTA,
these observations raise a number of fundamental questions regarding the
functioning of the meso-telencephalic DA system in healthy or diseased brain.
PMID- 18042493
TI - Plasticity of interneuronal networks of the functionally isolated human spinal
cord.
AB - The loss of walking after human spinal cord injury has been attributed to the
dominance of supraspinal over spinal mechanisms. The evidence for central pattern
generation in humans is limited due to the inability to conclusively isolate the
circuitry from descending and afferent input. However, studying individuals
following spinal cord injury with no detectable influence on spinal networks from
supraspinal centers can provide insight to their interaction with afferent input.
The focus of this article is on the interaction of sensory input with human
spinal networks in the generation of locomotor patterns. The functionally
isolated human spinal cord has the capacity to generate locomotor patterns with
appropriate afferent input. Locomotor Training is a rehabilitative strategy that
has evolved from animal and humans studies focused on the neural plasticity of
the spinal cord and has been successful for many people with acute and chronic
incomplete spinal cord injury. However, even those individuals with clinically
complete spinal cord injury that generate appropriate locomotor patterns during
stepping with assistance on a treadmill with body weight support cannot sustain
overground walking. This suggests that although a significant control of
locomotion can occur at the level of spinal interneuronal networks the level of
sustainable excitability of these circuits is still compromised. Future studies
should focus on approaches to increase the central state of excitability and may
include neural repair strategies, pharmacological interventions or epidural
stimulation in combination with Locomotor Training.
PMID- 18042494
TI - Partial volume corrected image derived input functions for dynamic PET brain
studies: methodology and validation for [11C]flumazenil.
AB - Extraction of arterial input functions from dynamic brain scans may obviate the
need for arterial sampling and would increase the clinical applicability of
quantitative PET studies. The aim of the present study was to evaluate
applicability and accuracy of image derived input functions (IDIFs) following
reconstruction based partial volume correction (PVC). Settings for the PVC
ordered subset expectation maximization (PVC-OSEM) reconstruction algorithm were
varied. In addition, different methods for defining arterial regions of interest
(ROI) in order to extract IDIFs were evaluated. [(11)C]flumazenil data of 10
subjects were used in the present study. Results obtained with IDIFs were
compared with those using standard on-line measured arterial input functions.
These included areas under the curve (AUC) for peak (1-2 min) and tail (2-60
min), volume of distribution (V(T)) obtained using Logan analysis, and V(T) and
K(1) obtained with a basis function implementation of a single tissue compartment
model. Best results were obtained with PVC-OSEM using 4 iterations and 16
subsets. Based on (11)C point source measurements, a 4.5 mm FWHM (full width at
half maximum) resolution kernel was used to correct for partial volume effects. A
ROI consisting of the four hottest pixels per plane (over the carotid arteries)
was the best method to extract IDIFs. Excellent peak AUC ratios (0.99+/-0.09)
between IDIF and blood sampler input function (BSIF) were found. Furthermore,
extracted IDIFs provided V(T) and K(1) values that were very similar to those
obtained using BSIFs. The proposed method appears to be suitable for analysing
[(11)C]flumazenil data without the need for online arterial sampling.
PMID- 18042495
TI - Automatic independent component labeling for artifact removal in fMRI.
AB - Blood oxygenation level dependent (BOLD) signals in functional magnetic resonance
imaging (fMRI) are often small compared to the level of noise in the data. The
sources of noise are numerous including different kinds of motion artifacts and
physiological noise with complex patterns. This complicates the statistical
analysis of the fMRI data. In this study, we propose an automatic method to
reduce fMRI artifacts based on independent component analysis (ICA). We trained a
supervised classifier to distinguish between independent components relating to a
potentially task-related signal and independent components clearly relating to
structured noise. After the components had been classified as either signal or
noise, a denoised fMR time-series was reconstructed based only on the independent
components classified as potentially task-related. The classifier was a novel
global (fixed structure) decision tree trained in a Neyman-Pearson (NP)
framework, which allowed the shape of the decision regions to be controlled
effectively. Additionally, the conservativeness of the classifier could be tuned
by modifying the NP threshold. The classifier was tested against the component
classifications by an expert with the data from a category learning task. The
test set as well as the expert were different from the data used for classifier
training and the expert labeling the training set. The misclassification rate was
between 0.2 and 0.3 for both the event-related and blocked designs and it was
consistent among variety of different NP thresholds. The effects of denoising on
the group-level statistical analyses were as expected: The denoising generally
decreased Z-scores in the white matter, where extreme Z-values can be expected to
reflect artifacts. A similar but weaker decrease in Z-scores was observed in the
gray matter on average. These two observations suggest that denoising was likely
to reduce artifacts from gray matter and could be useful to improve the detection
of activations. We conclude that automatic ICA-based denoising offers a
potentially useful approach to improve the quality of fMRI data and consequently
increase the accuracy of the statistical analysis of these data.
PMID- 18042496
TI - Composite voxel-based analysis of volume and T2 relaxometry in temporal lobe
epilepsy.
AB - Voxel-based analyses of tissue characteristics such as volume and T2 are usually
carried out in isolation. However, as the images are analysed in a common voxel
based framework, it is possible to directly assess the spatial relationships of
abnormalities detected by each technique. We utilize this approach in well
characterized patients with unilateral temporal lobe epilepsy (TLE) with
hippocampal sclerosis (HS). TLE is associated with potentially widespread volume
and T2 signal abnormalities in MRI images but the relationship between these two
aspects of tissue abnormality is not well understood. Here we use a novel
approach of combined univariate and multivariate voxel-wise analysis to
investigate the spatial relationship of these abnormalities. We studied 19 TLE
patients and compared them to 115 control subjects. Grey matter (GM) and white
matter (WM) volume changes were assessed with voxel-based morphometry (VBM), and
changes in T2 relaxation times were evaluated with voxel-based relaxometry (VBR).
The volume and T2 changes obtained using the combined univariate approach were
found in an extensive area, prominently in the ipsilateral hippocampus and
amygdala (overlap of GM-VBM and VBR), and in the remaining temporal lobe (overlap
of WM-VBR and VBR). Other cortical and subcortical areas showed isolated volume
or T2 changes. The multivariate analysis based on the Hotelling T(2) statistic,
indicated a similar pattern of distributed changes across the brain but with a
greater degree of statistical significance in certain areas. The composite
analyses appear to identify a network of affected areas not as easily appreciated
by the individual analysis of volume or T2 changes.
PMID- 18042497
TI - Radical surgery for hilar cholangiocarcinoma.
AB - In the therapy of hilar cholangiocarcinoma, the most favorable survival rates
over the long-term are achieved by a surgical concept involving a no-touch
technique, en-bloc-resection and wide tumor-free margins. Currently, these goals
can be best achieved by our strategy to combine extended right hepatic resections
and principle portal vein resection. In spite of extending resectability to
patients with locally advanced tumors, formally curative resections could be
performed in 80% of the patients. The 5-year survival rate in these patients is
61%. Liver transplantation had been abandoned by most centers in the 1980s due to
poor overall results. Recently, a neoadjuvant strategy involving
radiochemotherapy has been reported to result in excellent survival figures at
least in a subset of patients suffering from cholangiocellular carcinoma arising
in a primary sclerosing cholangitis (PSC). This protocol has been mainly proposed
by the Mayo Clinic group and reached 5-year survival rates of 80% in those
patients in whom it had been applicable. A substantial drop out rate from this
neoadjuvant regimen due to tumor progression or treatment related complications
is still a problem.
PMID- 18042498
TI - Clear cell sarcoma (melanoma of soft parts): The Royal Marsden Hospital
experience.
AB - INTRODUCTION: Clear cell sarcoma (CCS) is a rare tumour with a propensity for
local recurrence and nodal metastasis. About 300 cases have been reported, thus
further clarification regarding the course and outcome of the disease is
required. METHODS: Patients with a histopathologic diagnosis of CCS were
identified from prospective histopathology and sarcoma databases and supplemented
with a retrospective analysis of the patients' hospital records. RESULTS: Between
1990 and 2005, a total of 72 patients with a diagnosis of CCS were identified, 35
having been referred for management and 37 having been referred for
histopathologic opinion. The median age was 39 years (range 5-90 years). Of the
35 patients referred to the Royal Marsden Hospital for management, 23% developed
local recurrence or in-transit metastases at a median of 9 months (2-79 months)
after resection of the primary, and nodal or distant metastatic disease was seen
in 63% after 14 months (range 0-177 months). Five- and 10-year survival were 52%
and 25%, respectively. CONCLUSIONS: CCS has a number of similarities with
melanoma, particularly in its peripheral distribution and propensity for nodal
disease. Wide excision with clear margins offers the best chance of cure. Local
recurrence and regional metastases are common, and are almost always followed by
distant metastases and death.
PMID- 18042499
TI - Image-guided craniotomy for frontal sinus preservation during meningioma surgery.
AB - OBJECTIVE: Preservation of the frontal sinus (FS) during the frontolateral
approach to the skull base reduces morbidity, enhances patient comfort, and
speeds up the surgical procedure. Due to its irregular outline, mental
reconstruction of the borders of FS from two-dimensional images is challenging
during surgery. This study was designed to evaluate the impact of neuronavigation
on identification and preservation of the FS during frontolateral craniotomies.
METHODS: Forty-five patients with pathologies located in the anterior skull base
and in the parasellar region were included. A standard computed tomography (CT)
sequence was obtained from each patient and uploaded onto an image-guidance
system for volumetric rendering of 3D images. The outline of the FS was
visualized and the distance between its lateral border and the mid-pupillary line
(MPL) was measured. The results were used for navigated craniotomies and compared
to the intra-operative findings. RESULTS: The FS was located medial, on and
lateral to the MPL in 32, 4 and 9 cases, respectively. The individual outline of
the FS could be identified with a mean target registration error of 1.4mm (+/-0.7
mm). The craniotomy could be custom-tailored for each patient according to the
individualized landmarks while visualizing the lesion and the surgical landmarks
simultaneously. Unintended opening of the frontal sinus or orbit did not occur in
any of these cases. CONCLUSION: Image-guided craniotomies based on 3D volumetric
image rendering allow for fast and reliable demarcation of complex anatomical
structures hidden from direct view in frontolateral approaches. The outline of
the frontal sinus and the orbit can be appraised at a glance providing additional
safety and precision during craniotomy.
PMID- 18042500
TI - Radiofrequency hepatic ablation with internally cooled electrodes and hybrid
applicators with distant saline infusion using an in vivo porcine model.
AB - AIMS: Radiofrequency ablation (RFA) of tumors by means of internally cooled (ICE)
or multitined expandable electrodes combined with infusion of saline into the
tissue may improve results. Our aim was to determine the efficacy of a previously
optimized hybrid ICE system (ICE combined with infusion of saline into the tissue
at a distance of 2mm) in comparison with a conventional ICE cluster electrode in
porcine liver in vivo. METHODS: A total of 32 RFA were performed on a total of 10
farm pigs using two RFA systems: Group A (n=16): Cluster electrode. Group B
(n=16): Hybrid system (with continuous infusion of 100ml/h of 20% NaCl at 2mm
distance from the electrode shaft by an independent isolated needle). Livers were
removed for macroscopic and histological assessment after the procedure.
Coagulation volume, coagulation diameters, coefficient of variability (CV) of
coagulation volume, sphericity ratio (SR), deposited power (DP), deposited energy
(DE), deposited energy per coagulation volume (DEV) and rise of animal
temperature during the procedure were compared and correlated among groups.
Additionally, linear regression analysis was modeled to study the relationship
between deposited energy and either coagulation volume and rise of animal
temperature during the procedure in both groups. RESULTS: Both coagulation volume
and short diameter of coagulation were significantly greater (p<0.05) in group B
compared to group A (22.7+/-11.0 cm(3) and 3.1+/-0.7 cm vs. 13.5+/-7.7 cm(3) and
2.5+/-0.5 cm, respectively). A similar CV and SR was observed among groups (57.1%
and 1.4+/-0.3 vs. 48.6% and 1.3+/-0.2 for groups B and A, respectively). In group
B, DE and DP were more than double group A, but DEV was nearly twice as high
(9782 J/cm(3) vs. 5342 J/cm(3), for groups B and A, respectively). No significant
relationship between DE and coagulation volume was encountered. CONCLUSION:
Efficacy of a single ICE may be improved with continuous infusion of saline at
around 2 mm from the electrode shaft. Coagulation volume obtained with this
improved system may be even greater than that obtained with a cluster electrode.
PMID- 18042501
TI - The Incidence of HIV drug resistance and its impact on progression of HIV disease
among antiretroviral-naive participants started on three different antiretroviral
therapy strategies.
AB - BACKGROUND: Treatment-naive participants were randomized to three antiretroviral
strategies (all with nucleoside reverse transcriptase inhibitor [NRTI]
background): protease inhibitor (PI), non-nucleoside reverse transcriptase
inhibitor (NNRTI), or PI+NNRTI. The strategies were compared for drug resistance
at first virologic failure (VF; HIV RNA >1000 copies/mL). The impact of
resistance on AIDS or death was determined. METHOD: Drug resistance was
determined by genotype. Cox models were used to compare the strategies for VF
with resistance and to determine the impact of resistance on AIDS or death.
RESULTS: Of 1,360 participants, 866 experienced VF; 226 experienced AIDS or death
(median follow-up 5 years). Rates (per 100 personyears) for VF with resistance
were 14.9 (PI), 10.8 (NNRTI), and 11.5 (PI+NNRTI); hazard ratio (HR) was 0.78
(95% CI 0.61-0.99) for NNRTI versus PI. Compared to those with no VF, there was a
significantly increased risk of AIDS or death for participants with solitary
NNRTI resistance (HR 2.31, 95% CI 1.46-3.66) and for those failing with no known
resistance (HR 1.78, 95% CI 1.18-2.68). Participants failing with solitary NNRTI
resistance and with no resistance had the lowest percent of time on
antiretroviral treatment (ART) and the lowest cumulative mean adherence scores.
CONCLUSION: For treatment-naive participants, the risk of AIDS or death is
increased for those who failed virologically with solitary NNRTI resistance and
those who failed with no known drug resistance compared to those with no
virologic failure. Both the lack of ART exposure in nonadherent participants and
the development of NNRTI resistance among those who take and fail their ART
regimen predict poor clinical outcomes.
PMID- 18042502
TI - Evaluation of sex differences of fosamprenavir (with and without ritonavir) in
HIV-infected men and women.
AB - PURPOSE: Recent studies focusing on HIV-1-infected women have suggested the
existence of sex-related differences in natural history, antiretroviral
pharmacokinetics, efficacy, and tolerability. This article analyzes three pivotal
trials of the protease inhibitor (PI) fosamprenavir (FPV) with a view to
providing a better understanding of potential sex differences in efficacy and
safety. METHOD: A post hoc, descriptive analysis was performed on data from 700
subjects (26% women) in three trials of FPV to evaluate sex differences with
regard to efficacy, rates of discontinuation, and treatment-related adverse
events. RESULTS: No major sex differences were found. Men and women had similarly
good antiviral responses, with greater than 60% of treatment-naive subjects
achieving virologic suppression (<400 copies/mL) at 48 weeks. PI-experienced
women in CONTEXT receiving once-daily FPV/r experienced the highest rates of
discontinuations due to virologic failure (29% in women vs. 8% in men). Women
generally had slightly lower rates of liver enzyme elevations and fewer
abnormalities of total cholesterol and triglycerides. CONCLUSION: The absence of
major sex differences provides reassurance, but the small number of women in
these trials limited the ability to draw conclusions. Future trials should be
specifically powered to detect sex differences in safety and efficacy.
PMID- 18042503
TI - The safety and efficacy of switching stavudine to tenofovir df in combination
with lamivudine and efavirenz in hiv-1-infected patients: three-year follow-up
after switching therapy.
AB - BACKGROUND: Study 903 is a phase 3 trial with a completed 144-week, double-blind
phase comparing tenofovir DF (TDF) with stavudine (d4T), in combination with
lamivudine (3TC) and efavirenz (EFV), and an ongoing 336-week open-label
extension phase. METHOD: Patients in 3 countries completing the d4T treatment
phase were allowed to switch d4T to TDF and receive once-daily TDF+3TC+EFV in the
extension phase. RESULTS: At the time of switch, 100% and 99% of patients (n =
85; 60% male, 64% White; mean age 37 years; mean CD4 = 650 cells/mm3) had HIV RNA
<400 and <50 copies/mL. At 144 weeks after the switch, 89% (missing = failure)
had HIV RNA <400 copies/mL and 87% had HIV RNA <50 copies/mL. Mean CD4 cell count
increased 155 cells/mm3. No patient had virologic failure. Significant decreases
from switch to week 144 in mean fasting total cholesterol (-22 mg/dL, p < .0001)
and triglycerides (-78 mg/dL, p < .0001) were observed. Mean limb fat increased
significantly from 4.5 kg to 5.8 kg, 144 weeks after switch (p < .0001).
CONCLUSION: In virologically suppressed patients, switching d4T to TDF as part of
a once-daily regimen with 3TC and EFV resulted in maintenance of virologic
suppression and continued CD4 cell increases through 144 weeks, with significant
improvements in metabolic parameters.
PMID- 18042504
TI - Case-control exploration of relationships between early rash or liver toxicity
and plasma concentrations of nevirapine and primary metabolites.
AB - OBJECTIVE: This investigation measured trough nevirapine and five oxidative
metabolite concentrations in plasma specimens collected from patients who
exhibited a rash or liver function abnormality during the first 6 weeks of
treatment. METHOD: Patient selection came from three clinical trials, totaling
1,357 patients, from which frozen specimens had been stored and were available
for assay. The control patients were matched according to trial, steroid use, CD4
cell count, gender, race, and hepatitis B/C status. Observed plasma metabolite
concentrations were compared using signed rank tests. RESULTS: A total of 49 case
control pairs were studied. Women had significantly greater exposure than men to
nevirapine and four of the five metabolites at week 2, but the plasma
concentrations were comparable by week 4. Steroid (prednisone) co-medication
produced significantly different plasma nevirapine and metabolite concentrations
for the majority of case-control comparisons at week 3, a week after cessation of
steroid treatment, but only occasionally produced a measurable difference at
other weeks. CONCLUSION: During the first 6 weeks of nevirapine therapy, the
rashes and liver enzyme elevations that occurred appear to be idiosyncratic.
There were no strong relationships observed between the plasma concentrations of
nevirapine or any of its five metabolites to a casedefining event. The systemic
exposure of the metabolite 12-hydroxynevirapine and its successor 4
carboxynevirapine, hypothesized in the skin rash female Brown Norway rat model as
reactive intermediates for idiosyncratic immune-mediated adverse reactions, were
comparable between case and control samples and were comparable in proportion to
the precursor nevirapine exposure.
PMID- 18042505
TI - Effectiveness and tolerability of oral administration of low-dose salmon oil to
HIV patients with HAART-associated dyslipidemia.
AB - PURPOSE: To assess the effectiveness of low-dose salmon oil for the treatment of
highly active antiretroviral therapy (HAART)-induced dyslipidemia in HIV-infected
patients. METHOD: Randomized, open-label, parallel and crossover, multicenter
study. Patients received 1 g salmon oil tid for 24 weeks (SO-24) or no additional
treatment for 12 weeks and salmon oil for weeks 12 to 24 (CT-SO). The primary
outcome measure was the change in triglyceride (TG) levels. RESULTS: Fifty-eight
patients completed the study (26 in SO-24; 32 in CT-SO). After 12 weeks, the SO
24 group experienced a mean TG reduction of 1.1 mmol/L, compared to an increase
of 0.3 mmol/L for the CT-SO group (p = .040). When CT-SO patients were crossed
over to salmon oil treatment, mean TG decreased by 0.7 mmol/L (p = .052).
Concomitant use of fibrates, statins, or both were reported by 16 (27.6%), 10
(17.2%), and 8 (13.8%), respectively. Multivariate analysis showed that salmon
oil produced a significant decrease in TG levels independent of other lipid
lowering medications (p = .022). There were 26 predominately mild treatment
emergent (antiretroviral or salmon oil) nonserious adverse events reported by 22
(33.3%) patients. CONCLUSION: Low-dose salmon oil (3 g/day) is effective and well
tolerated in reducing TG levels in HIV-infected patients receiving HAART.
PMID- 18042506
TI - Prospective study of topical testosterone gel (AndroGel) versus intramuscular
testosterone in testosterone-deficient HIV-infected men.
AB - PURPOSE: Testosterone replacement therapy via deep intramuscular injections
causes extraphysiologic variations in serum testosterone concentrations. A
topical transdermal testosterone gel formulation (AndroGel(R)) provides sustained
physiologic concentrations of serum testosterone. The objective of this open
label switch study was to compare pharmacokinetics, safety, tolerability, and
efficacy of delivery of daily testosterone gel versus intramuscular testosterone
injection every 1 or 2 weeks in hypogonadal human immunodeficiency virus (HIV)
infected men. METHOD: Patients received intramuscular testosterone (100-200
mg/wk) for 8 weeks, then switched to daily topical testosterone gel (5-10 g
gel/day) for 8 weeks. Study endpoints included free serum testosterone
concentrations and quality-of-life scores. RESULTS: Thirty patients (average age,
45 years) were recruited; 24 completed the study. Mean peak free testosterone
concentrations with intramuscular testosterone and testosterone gel were 42 pg/mL
and 23 pg/mL, respectively, and mean peaktrough fluctuations in free testosterone
were 26.7 +/- 12.8 pg/mL and 2.7 +/- 10.7 pg/mL, respectively (p < .001). Quality
of-life scores indicated more improved physical and emotional well-being with gel
versus intramuscular testosterone. No significant changes in laboratory
parameters or lean body mass were noted. CONCLUSION: Daily testosterone gel
produced stable testosterone concentrations and improved quality of life compared
with intermittent intramuscular testosterone injections.
PMID- 18042507
TI - Evaluation of Stool frequency and stool form as measures of HIV-related diarrhea.
AB - PURPOSE: In the highly active antiretroviral therapy (HAART) era, HIV-related
diarrhea remains common. Our aim was to evaluate stool frequency and form as
measures of HIV-related diarrhea. METHOD: Forty-eight HIV-infected persons with
self-reported diarrhea were studied. In Analysis 1, self-reported retrospective
and 7-day prospective measurement of stool frequency and form were compared using
Spearman's correlation coefficient. In Analysis 2, diarrhea was measured during
two 8-hour study periods in a subgroup (n = 20) using stool weight (Wt), diarrhea
symptom score (Sx Score), stool frequency (SP-freq), and stool form using the
Bristol Stool Form Scale (SP-BSFS). SP-freq and SP-BSFS were modeled alone and in
combination to predict Wt and Sx Score. RESULTS: In Analysis 1, correlation
between measures of stool frequency was rs = 0.62 (p < .0001) but was rs = 0.16
(p = .26) between measures of stool form. In Analysis 2, the two-predictor model
best predicted Wt, whereas the model using SP-freq only performed as well as the
two-predictor model to predict Sx Score. CONCLUSION: Prospective measurement of
stool frequency performed well; in some situations, it may be used alone to
measure severity of HIV-related diarrhea. Our findings may be used to design more
rigorous clinical trials in HIV.
PMID- 18042508
TI - Effect of antiretroviral therapy and hepatitis c co-infection on changes in lipid
levels in HIV-Infected patients 48 weeks after initiation of therapy.
AB - Hepatitis C virus (HCV) commonly co-infects HIV-infected individuals.
Antiretroviral therapy (ART) is associated with elevated serum lipid levels, and
HCV infection is associated with low serum lipid levels. Fasting lipid levels
were investigated in 1,434 ART-naive HIV-infected people participating in the
AIDS Clinical Trials Group (ACTG) Longitudinal Linked Randomized Trials (ALLRT)
protocol who prospectively initiated ART with 3 agents. Subjects with elevated
liver-associated enzymes (>5 x ULN) were excluded. Demographics, body mass index,
HCV status, CD4 cell count, HIV RNA, liver enzymes, lipid levels, and glucose
were assessed before and following 48 weeks of ART. HCV-positive subjects (n =
160; 11%) were older, more likely to be Black, have a history of intravenous drug
use (IDU), have higher baseline liver-associated enzyme levels than the HCV
negative group (p < .001 for each), and to have diabetes at baseline (5% vs. 2%,
p = .07). Lipid levels rose in both groups following ART, and the differences
were not significant except that HDL levels increased significantly more in the
HCV-positive group (p = .006). In summary, HCV infection did not appear to
provide significant protection against ART-induced hyperlipidemia in this cohort
of HIV-infected subjects prospectively enrolled in ART trials, although HDL
levels rose to a greater degree.
PMID- 18042509
TI - Selegiline transdermal system (STS) for HIV-associated cognitive impairment: open
label report of ACTG 5090.
AB - OBJECTIVE: To assess the long-term safety (primary aim) and efficacy (secondary
aim) of the MAO-B inhibitor Selegiline Transdermal System (STS) for the treatment
of HIV-associated cognitive impairment. BACKGROUND: HIV infection is associated
with increased oxidative stress. In vitro and animal studies have shown that
selegiline can reduce oxidative stress levels while enhancing the synthesis of
neurotrophic factors. We conducted and reported a 24-week, double-blind, placebo
controlled study with STS in HIV-infected individuals with cognitive impairment
(ACTG 5090). We now report the results of the 24-week open-label follow-up.
METHOD: Subjects received either 3 mg/24 h or 6 mg/24 h STS daily. The primary
efficacy endpoint was changes in the mean of z scores of six neuropsychological
tests (NPZ-6). Additional outcomes included NPZ-8 and NPZ scores by cognitive
domain. RESULTS: 86 subjects were enrolled. There were few severe adverse
experiences (n = 13). There was no significant change in NPZ-6 score, whereas
significant changes were observed in NPZ-8 score and several cognitive domains.
CONCLUSION: Long-term use of selegiline was safe and well tolerated in this HIV
cohort of HIV with cognitive impairment. Cognitive improvement may be delayed in
neuroprotective trials, suggesting that trials longer than 6 months may be
necessary to assess the efficacy of putative neuroprotective agents.
PMID- 18042510
TI - Temperature-related birth sex ratio bias in historical Sami: warm years bring
more sons.
AB - The birth sex ratio of vertebrates with chromosomal sex determination has been
shown to respond to environmental variability, such as temperature. However, in
humans the few previous studies on environmental temperature and birth sex ratios
have produced mixed results. We examined whether reconstructed annual mean
temperatures were associated with annual offspring sex ratio at birth in the
eighteenth to nineteenth century Sami from northern Finland. We found that warm
years correlated with a male-biased sex ratio, whereas a warm previous year
skewed sex ratio towards females. The net effect of one degree Celsius increase
in mean temperature during these 2 years corresponded to approximately 1% more
sons born annually. Although the physiological and ecological mechanisms
mediating these effects and their evolutionary consequences on parental fitness
remain unknown, our results show that environmental temperature may affect human
birth sex ratio.
PMID- 18042511
TI - Aggressive and non-aggressive personalities differ in oxidative status in
selected lines of mice (Mus musculus).
AB - Mice selected for aggression and coping (long attack latency (LAL), reactive
coping strategy; short attack latency (SAL), pro-active coping strategy) are a
useful model for studying the physiological background of animal personalities.
These mice also show a differential stress responsiveness, especially in terms of
hypothalamic-pituitary-adrenal axis reactivity, to various challenges. Since the
stress response can increase the production of reactive oxygen species, we
predicted that the basic oxidative status of the lines could differ. We found
that LAL showed higher serum antioxidant capacity (OXY) than SAL, while no
differences emerged for reactive oxygen metabolites (ROMs) or the balance between
ROMs and OXY, reflecting oxidative stress. Moreover, the lines showed inverse
relationships between ROMs or OXY and body mass corrected for age. The results
indicate that variation in oxidative status is heritable and linked to
personality. This suggests that different animal personalities may be accompanied
by differences in oxidative status, which may predict differences in longevity.
PMID- 18042512
TI - Islands in the sea: extreme female natal site fidelity in the Australian sea
lion, Neophoca cinerea.
AB - Pinnipeds (seals, fur seals, sea lions and walrus) form large breeding
aggregations with females often remaining faithful to a natal site or area. In
these cases, females are philopatric to regional areas on broad geographical
scales of hundreds to thousands of kilometers. An investigation of variation in a
control region sequence of mtDNA in the Australian sea lion (Neophoca cinerea)
has shown a case of extreme female natal site fidelity that has resulted in
almost fixed population differentiation across its range (PhiST=0.93). This high
level of population subdivision over short geographical distances (approx. 60 km)
is unparalleled in any social marine mammal and reflects the unique life-history
traits of this rare species. The high level of population subdivision and
exclusive female natal site fidelity has important ramifications for conservation
management, and poses many interesting questions of both academic and applied
interest.
PMID- 18042513
TI - Is Chernobyl radiation really causing negative individual and population-level
effects on barn swallows?
PMID- 18042515
TI - X-ray colour imaging.
AB - A prototype X-ray colour imaging system has been assembled using the principle of
tomographic energy-dispersive diffraction imaging (TEDDI). The new system has
been tested using samples of nylon-6, aluminium powder and deer antler bone. Non
destructive three-dimensional images of the test objects have been reconstructed
on a 300 microm scale with an associated diffraction pattern at each voxel. In
addition, the lattice parameters of the polycrystalline material present in the
sampled voxels have been determined using full pattern refinement methods. The
use of multiple diffracted parallel colour X-ray beams has allowed simultaneous
spatially resolved data collection across a plane of the sample. This has
simplified the sample scan motion and has improved data collection times by a
factor scaling with the number of detector pixels. The TEDDI method is currently
limited to thin samples (approx. 1-2mm) with light atoms owing to the very low
detection efficiency of the silicon detector at X-ray energies above 25 keV. We
describe how these difficulties can be removed by using semiconductor detectors
made from heavier atomic material.
PMID- 18042516
TI - A review of the evidence: nuts and body weight.
AB - There is currently no single dietary or lifestyle intervention that is effective
in long-term weight loss. Traditional weight loss diets tend to be low in total
fat and therefore often restrict nut consumption. However, nuts are an important
source of many vitamins, minerals, monounsaturated and polyunsaturated fatty
acids. This paper reviewed all the available evidence from the literature in
relation to nut consumption and body weight. The findings show that the role of
nut consumption in body weight management is varied. Nuts, when included as part
of an energy-controlled diet, were found in some instances to assist with weight
loss. However, when nuts were added to an existing diet without controlling for
energy intake, body weight increased, although to a lesser extent than
theoretically predicted. There is limited evidence on the effect nut consumption
has on type 2 diabetes, although available evidence indicates that nuts as part
of a healthy diet do not cause weight gain and can have a positive influence on
the fatty acid profile of a person with diabetes. This review shows there is a
lack of evidence to support the restriction of nut consumption in weight
management, indicating that further research is needed to assess the role of nuts
in weight management.
PMID- 18042517
TI - The lactase gene -13910T allele can not predict the lactase-persistence phenotype
in north China.
AB - The frequency of lactase persistence varies widely in human populations. Study
showed that the T allele of a C/T transition 13910bp upstream from exon 1 of
lactase gene (LCT) was completely associated with lactase persistence in a
Finnish population. To evaluate if the frequency of -13910T allele was in
concordance with the lactase persistence in northern Chinese populations, in this
study, we used Polymerase Chain Reaction-Restriction Fragment Length Polymorphism
(PCR-RFLP) to detect the lactase -13910T allelic frequency in 5 northern Chinese
populations for the first time. Results showed that the T allele frequency was
low in these populations and that it did not match the lactase persistence
phenotype in these populations. Therefore the -13910T allelic frequency can not
serve as a predictor of the lactase persistence in these populations and this
suggests the existence of other possible mechanisms of lactose tolerance in
Chinese populations.
PMID- 18042518
TI - Alterations of atherogenic low-density lipoproteins and serum fatty acids after
12 week moderate exercise training in sedentary Thai women.
AB - The potential benefit of aerobic exercise upon cardiovascular disease (CVD)
through an increasing high-density lipoproteins (HDLs) is acknowledged. However,
its effects on low-density lipoproteins (LDLs) and their subpopulations, are
unknown in Thailand. Twenty sedentary Thai women undertook a 12-week exercise
training program (60% heart rate reserve) comprising 25-minute cycling followed
by 10-minute warm-up/cool-down 3 times a week with a group of 20 matched
sedentary subjects as control. Triacylglycerols (TGs) and cholesterol (C) of
plasma lipoproteins including triacylglycerol-rich lipoproteins (TRLs), large,
buoyant LDL (lb-LDL), small, dense LDL (sd-LDL) and HDLs were analyzed while
serum fatty acid profiles were also assessed. It was found that plasma TGs, TRL
TGs, sd-LDL-C and sd-LDL-C/lb-LDL-C (S/L) ratio decreased significantly after 12
weeks of exercise to -9%, -8%, -17% and -19% respectively from baseline (p <
0.05). Serum fatty acid profiles remained unchanged. No alteration of any
parameters was found in the control group without exercise. These findings
suggest that moderate exercise training, even without a change of HDLs, impedes
the shift of lb-LDL to more atherogenic sd-LDL, thus possibly preventing
cardiovascular disease in healthy, sedentary Thai women.
PMID- 18042519
TI - Effect of purple sweet potato leaves consumption on the modulation of the immune
response in basketball players during the training period.
AB - The aim of this study was to evaluate the effect of the consumption of purple
sweet potato leaves (PSPLs) on the immune response and the modulation of that
response in 15 basketball players during a training period. They completed the 7
week study consisted of a run-in period (week 1), a PSPLs diet (200 g PSPLs/d;
weeks 2, 3), a washout period (weeks 4, 5), and a control diet (low polyphenols
content and carotenoid content adjusted to the same level as that of PSPLs diet;
weeks 6 and 7). Blood, urine, and saliva samples were collected for biochemical
analysis. The results showed that the plasma polyphenols concentration increased
significantly in the PSPLs period. Compared with the control period, the PSPLs
consumption produced a significant increase in the proliferation responsiveness
of peripheral blood mononuclear cells (PBMC), cytotoxic activity of nature killer
(NK) cells, and secretion of interferon (IFN)-gamma. However, no significant
increase in the secretion of salivary immunoglobulin A (sIgA), interleukin (IL)
2, or interleukin-4 was observed after PSPLs consumption. In conclusion,
consumption of a PSPLs diet for 2 weeks can modulate the immune response of
basketball players during a training period.
PMID- 18042520
TI - Fruits and stir-fried vegetables increase plasma carotenoids in young adults.
AB - We examined the plasma levels of carotenoids in young adults after a dietary
intervention composed of increased intakes of fruits and stir-fried vegetables
from a Taiwanese mixed diet. Thirty-four apparently healthy, non-smoking subjects
who normally ingested less than two and a half servings of fruits and vegetables
daily were selected for the study. Meals changed were lunch and dinner on
weekdays for a period of 4 weeks. The test meal consisted of three servings of
stir-fried vegetables and two servings of fresh fruits. Plasma carotenoid levels
in subjects significantly increased from 19%-32% for beta-carotene, 15%-47% for
lycopene and 59%-88% for beta-crytoxanthin (p < 0.05) from week 1 to 4. However,
these concentrations of beta-carotene and lycopene significantly decreased after
stopping the consumption of the test meals. This study reveals the importance of
a continuous consumption of carotenoid rich foods in order to maintain high
levels of plasma carotenoids for the potential prevention of chronic diseases in
individuals.
PMID- 18042521
TI - Dietary plant sterols supplementation does not alter lipoprotein kinetics in men
with the metabolic syndrome.
AB - Dietary plant sterols supplementation has been demonstrated in some studies to
lower plasma total and LDL cholesterol in hypercholesterolemic subjects. The
cholesterol lowering action of plant sterols remains to be investigated in
subjects with the metabolic syndrome. In a randomized, crossover study of 2 x 4
week therapeutic periods with oral supplementation of plant sterols (2 g/day) or
placebo, and two weeks placebo wash-out between therapeutic periods, we
investigated the effects of dietary plant sterols on lipoprotein metabolism in
nine men with the metabolic syndrome. Lipoprotein kinetics were measured using
[D3]-leucine, gas chromatography-mass spectrometry and compartmental modeling. In
men with the metabolic syndrome, dietary plant sterols did not have a significant
effect on plasma concentrations of total cholesterol, triglycerides, LDL
cholesterol, HDL cholesterol, apolipoprotein (apo) B, apoA-I or apoA-II. There
were no significant changes to VLDL-, IDL-, LDL-apoB or apoA-I fractional
catabolic rates and production rates between therapeutic phases. Relative to
placebo, plasma campesterol, a marker of cholesterol absorption was significantly
increased (2.53 +/- 0.35 vs. 4.64 +/- 0.59 mug/ml, p < 0.05), but there was no
change in plasma lathosterol, a marker of endogenous cholesterol synthesis. In
conclusion, supplementation with plant sterols did not appreciably influence
plasma lipid or lipoprotein metabolism in men with the metabolic syndrome. Future
studies with larger sample size, stratification to low and high cholesterol
absorbers and cholesterol balance studies are warranted.
PMID- 18042522
TI - Desirable intakes of polyunsaturated fatty acids in Indonesian adults.
AB - A Indonesian Advisory Group on Fatty Acid Nutrition and Health was established in
2004 to consider the increasing incidence of nutritionally-related cardiovascular
disease in Indonesia. Emerging international recommendations, often focused on
dietary fat and may not have been relevant to the national situation. Traditional
dietary patterns were apparently protective against ischaemic heart disease often
with fat derived dominantly from a particular source like coconut, soy, peanut or
fish. These fats were used in ways which promoted the use of potentially
cardioprotective foods like legumes, vegetables, fruits and aquatic food. Optimal
intakes of polyunsaturated fatty acids in Indonesia are likely to reflect both
absolute intakes and the relationships between n-3 and n-6 fatty acids of longer
chain lengths. This leaves some issues for active and continuing review, like the
intakes of trans fatty acids, and the regulatory and food labelling implications.
Some studies underway and others which need implementation will enable the
Advisory Group to prepare a second report with more basis in Indonesian evidence.
In the meantime, the Advisory Group has recommended that the AHA (American Heart
Association) and ISSFAL (International Society for the Study of Fatty Acids and
Lipids) recommendations obtain until the end of 2007.
PMID- 18042523
TI - Comparison of body compositional indices assessed by underwater weighing,
bioelectrical impedance and anthropometry in Indonesian adolescent girls.
AB - OBJECTIVE: To investigate the accuracy of bioelectric impedance analysis and
anthropometry to assess percentage body fat (BF %) against underwater weighing
(UW). DESIGN AND METHODS: A cross sectional study, 102 girls, aged 11-15, were
recruited from two Junior High Schools in Jakarta. MEASUREMENTS: Measurements of
percent-age body fat (BF%) using underwater weighing (UW), bioelectrical
impedance analysis (BIA), Tanita BIA, body mass index (BMI) and skinfold
equations. RESULTS: Correlation between different methods was significant (p <
0.001). The mean difference of BF % from BIA, Tanita, BMI and skinfold compared
to UW were 1.87 +/- 3.14, -3.46 +/- 3.28, 1.57 +/- 2.90 and -0.360 +/- 3.09,
respectively. Assessments between UW and other methods were significantly
different (p < 0.0001) except for skinfolds (p = 0.3031). CONCLUSION: The results
between UW and other methods was significantly different, except for skinfolds.
There was overestimation and underestimation of BF%. The agreement between
skinfold measurement and underwater weighing was also influenced by menarche
status.
PMID- 18042524
TI - Percent body fat cutoff values for classifying overweight and obesity recommended
by the International Obesity Task Force (IOTF) in Korean children.
AB - OBJECTIVE: To predict the percent body fat (%BF) cutoff values corresponding to
overweight and obesity recommended by the International Obesity Task Force (IOTF)
in Korean children and to compare those values with the published cutoff values
in Caucasian children. RESEARCH METHODS AND PROCEDURES: The sample consisted of
1083 Korean children and adolescents (555 boys and 528 girls) aged 7-18 years
from 3 schools. Body mass index (BMI) and %BF using a bioelectrical impedance
analyzer were measured. The classification of overweight and obesity was based on
the age- and sex-specific BMI cutoff values of the IOTF guidelines. RESULTS: The
predicted %BF cutoff values for overweight and obesity varied by age and sex:
overweight, 17-22% in boys and 24-37% in girls; obesity, 24-30% in boys and 30
53% in girls. Those %BF cutoff values in older Korean boys tended to be lower
than the published %BF cutoff values in Caucasian boys. While %BF cutoff values
for overweight in Korean girls were similar to the values in Caucasian girls, %BF
cutoff values for obesity in Korean girls aged 13-18 years were higher compared
to cutoff values in Caucasian girls. CONCLUSION: The %BF values associated with
the IOTF-recommended BMI cutoff values for overweight and obesity may require age
and sex-specific cutoff values in Korean children aged 7-18 years.
PMID- 18042525
TI - Population-specific anthropometric cut-points improve the functionality of the
Mini Nutritional Assessment (MNA) in elderly Taiwanese.
AB - The objective of this study was to determine the population-specific cut-points
of body mass index (BMI), mid-arm circumference (MAC) and calf circumference (CC)
for identifying subnormal nutritional status in elderly Taiwanese, and to
evaluate the possibility of improving the functionality of the Mini Nutritional
Assessment (MNA) by adopting these cut-points. This study analyzed data from 1583
men and 1307 women, 65 years or older, of a national survey. The survey involved
in-home, face-to-face, interviews and anthropometric measurements. Results showed
that based on the cumulative percentile curves, the fifth percentile values were:
BMI, 17 kg/m2 for both men and women; MAC, 22.5 cm for men and 21 cm for women;
and CC, 28 cm for men and 25 cm for women. Substitution of these population
specific cut-points for respective values in the MNA screen resulted in lowered
proportions of elderly classified malnourished or at risk of malnutrition. The
prevalence of malnutrition was reduced from 1.7% to 1.4% in men and from 2.4% to
1.5% in women. The proportions classified at risk of malnutrition were reduced
from 10.1% to 8.9% for men and 16.8% to 12.8% for women. In conclusion, results
suggest that the MNA is a valuable tool for geriatric nutritional risk
assessment. However, in populations where significant differences exist in
anthropometric measurements from the Caucasian populations, population-specific
cut-points should be used.
PMID- 18042526
TI - Body fatness, physical activity, and nutritional behaviours in Asian Indian
immigrants to New Zealand.
AB - Body fatness, physical activity, and nutritional behaviours were assessed in 112
(50 male, 62 female) Asian Indians living in New Zealand. Participants were aged
44-91 years (mean 67.5 +/- 7.6) and had lived in New Zealand on average 51
months. Height, weight, and waist circumference were measured to determine body
mass index (BMI) and central adiposity. Bioelectrical impedance was used to
derive fat free mass, fat mass, and percentage body fat. Pedometers were worn to
record daily steps taken over each of seven consecutive days. A lifestyle and
health questionnaire was administered to collect information on nutrition
behaviours. Average BMI for the sample was 27.2 +/- 4.7 kg/m2 with females (28.0
+/- 5.4 kg/m2) significantly higher than males (25.6 +/- 5.4 kg/m2). Using Asian
Indian specific cut-offs 69% of the sample was obese (BMI>=25 kg/m2) and a
further 13.7% overweight (23>=BMI<25 kg/m2). Average percentage body fat for the
sample was 41.1 +/- 9.1 with females significantly higher than males. The
majority (74%) reported some form of chronic condition, with 35% diagnosed with
diabetes. Physical activity levels for the sample were low (5,977 +/- 3,560
steps/day) and significantly different between males (6,982 +/- 4,426) and
females (5,159 +/- 2,401). Higher pedometer steps were associated with lower
waist circumference. After adjustment for age, physical activity was lower, but
nutritional habits better for those who had spent a longer time in New Zealand.
In summary, Asian Indian immigrants to New Zealand have low physical activity
levels and high levels of overweight/obesity and lifestyle disease.
PMID- 18042527
TI - Reduction of abdominal fat and chronic disease factors by lifestyle change in
migrant Asian Indians older than 50 years.
AB - The objective of this study was to assess the impact of a group diet and physical
activity intervention on body composition, blood lipid profile and insulin
resistance in migrant Asian Indian volunteers. Total body and abdominal fat,
waist girth, serum glucose, insulin and lipids were measured one month before and
immediately prior to an intervention designed to encourage increased physical
activity and improved diet. Measurements were repeated after a 5-month period of
altered exercise and dietary habits. Over this period monthly group education
sessions were held on diet and physical activity and the importance of lifestyle
changes to reduce risk factors for chronic disease. Forty one (21M, 20F)
volunteers (aged > 50 y) were recruited from Asian Indian community groups in
urban Auckland, New Zealand. Body weight, total and percentage body fat, waist
girth and abdominal fat decreased in men following the intervention (p < 0.006)
while these changes were not statistically significant in women. In both men and
women high density lipoprotein (HDL) levels increased and LDL and total
cholesterol/HDL ratio decreased (p < 0.002) without changes in serum glucose,
insulin and triglycerides. Reduction in systolic and diastolic blood pressure was
associated with an increase in HDL cholesterol in women (r = 0.63, p = 0.003, r =
0.48, p = 0.03) but not in men (r = 0.09, p = 0.69, r = 0.04, p = 0.86). Over a
five month period, an Asian Indian community group diet and physical activity
intervention resulted in significant reductions in total and abdominal body fat
and blood lipid risk factors but not in insulin sensitivity or resistance.
PMID- 18042528
TI - Obesity and changes in body weight related to 10-year diabetes incidence in women
in Taiwan.
AB - This study aimed to investigate the relation of obesity and changes in body
weight through adulthood with risks of type 2 diabetes. This study of 954 middle
aged women free of diabetes (mean age, 37.1 +/- 9.6 years) was conducted in a
hospital. The baseline and biannual health check-ups were performed from 1993 to
2003. The cumulative incidence rate of type 2 diabetes was 3.64 per 1000 person
years during the mean follow-up period of 10 years. 73.3% of subjects who
developed type 2 diabetes were overweight (16.6%) or obese (56.7%). Obese
subjects (body mass index more than 25 kg/m2) had a relative risk of type 2
diabetes of 10.4 (95% confidence interval 2.95-36.9) compared with subjects with
an optimal body mass index (18-22.9 kg/m2). Long-term weight gain was strongly
related to the risk of type 2 diabetes. Each 1 kg of weight gain was associated
with a 16% increase in risk of developing diabetes. This study indicated that
obesity at young adult and weight gain appreciably increase risk for type 2
diabetes. Maintaining a lean weight throughout adulthood seems to be beneficial
in the primary prevention of type 2 diabetes.
PMID- 18042529
TI - Body weight and weight loss: are health messages reaching their target?
AB - OBJECTIVE: To investigate lay peoples' knowledge of health risks of overweight,
accuracy of self-perception of body weight and perceived benefits of weight loss.
METHOD: A nine item questionnaire was administered to a cross sectional survey of
adults in metropolitan shopping centres, height and weight were measured.
RESULTS: Two hundred and nine (57% female) adults completed the survey. Thirty
eight percent had a healthy BMI (18.5-24.9), 38% were overweight (BMI 25-29.9)
and a further 22% were obese (BMI > 30). However only 46% perceived themselves
'overweight', 50% considered themselves 'just about right' and 4% considered
themselves 'underweight'. Of those with a BMI of 25 or greater 28% considered
their weight 'just about right'. Over 80% thought 'being overweight' was 'likely'
or 'very likely' to be a risk factor for cardiovascular disease, hypertension,
diabetes and stroke; however 20% of overweight or obese individuals did not think
their health would improve if they lost weight. CONCLUSION: A significant
proportion of overweight or obese individuals do not accurately perceive their
body weight and do not recognise the health advantages of weight loss despite
recognising excess body weight as a risk factor for chronic diseases.
IMPLICATIONS: Increasing the awareness of an individual's BMI and promoting the
benefits of modest weight loss maybe two underutilized strategies for population
level weight control.
PMID- 18042530
TI - Assessment of risks of "lifestyle" diseases including cardiovascular disease and
type 2 diabetes by anthropometry in remote Australian Aborigines.
AB - OBJECTIVE: To evaluate waist-to-height ratio (WTHR), waist girth and body mass
index (BMI) as predictors of cardiovascular risk factors in Australian
Aborigines. DESIGN: Indices were examined as predictors of mean blood pressures
(BP), blood lipids, glucose, insulin and as predictors of hypertension, decreased
HDL-cholesterol, elevated glucose and type 2 diabetes. SETTING: Aboriginal
communities in remote north-west Australia. PARTICIPANTS: Four hundred and one
adults. RESULTS: More than 80% of participants had WTHR > or = 0.5 or BMI > 22
kg/m2 and 78% had increased waist girth (> 90 cm men; > 80 cm women). Adverse BP,
blood lipids, glucose and insulin were associated with classification above the
cutpoint for each index. For fasting glucose > or = 5.6 mmol/L, sensitivity was
91% with WTHR, 87% with waist girth and 88% with BMI; respective specificities
were 29%, 29% and 44%. Area under receiver operating characteristic (AUROC)
curves gave only "fair" accuracy for any discriminatory variable. With diabetes
AUROC for BMI (0.59, 95% CI 0.53, 0.65) was significantly lower than with other
indices (WTHR 0.74, 95% CI 0.69, 0.79; girth 0.72, 95% CI 0.67, 0.78) but did not
differ significantly for fasting glucose, HDL-cholesterol or hypertension. AUROC
did not differ significantly between men and women for any outcomes. CONCLUSIONS:
The indices did not discriminate well for diabetes or cardiovascular risk factors
in these Aborigines, but waist girth or WTHR appeared more useful than BMI.
Appropriate cutpoints are needed. WTHR is simple, does not need sex-specific
cutpoints and could be useful in developing public health strategies.
PMID- 18042531
TI - Determinants of plasma homocysteine levels and carotid intima-media thickness in
Japanese.
AB - Although hyperhomocysteinemia is considered to be a key risk factor for
atherosclerosis, especially in Western countries, its role in the Asian
population is still controversial. In this study, we evaluated the determinants
of homocysteine and carotid intima-media thickness, a clinical marker for the
detection of atherosclerosis, in Japanese. In 289 Japanese adults (age 37-86
yrs), we screened plasma total homocysteine by high performance liquid
chromatography and evaluated maximum carotid intima-media thickness by
ultrasound. Other blood chemistry values were also measured. Total homocysteine
levels were higher in men than in women and increased with age. In multiple
regression analysis, adjusted for age and sex, serum creatinine was a powerful
determinant of homocysteine (beta = 3.3, p < 0.01). Maximum carotid intima-media
thickness was higher in men than in women and increased with age. When adjusted
for age and sex, systolic blood pressure was independently correlated with
maximum carotid intima-media thickness (beta = 0.001, p < 0.01). Our current
results support previous findings that in addition to age and sex, serum
creatinine and systolic blood pressure are independent determinants of
homocysteine and carotid intima-media thickness, respectively.
PMID- 18042532
TI - The relationship between maternal physical activity during pregnancy and birth
weight.
AB - INTRODUCTION: Earlier studies in India have demonstrated an inverse relationship
between physical activity and birth weight in rural women who had high levels of
physical activity related to agricultural and domestic activities. There are no
data on urban Indian women from a wide range of socio-economic backgrounds with
varying levels of physical activity. This study assessed the role of different
domains of physical activity during pregnancy and its relation to birth weight.
METHODS: Data on maternal anthropometry and maternal physical activity level were
collected at the 1st trimester (baseline), the 2nd trimester and the 3rd
trimester of pregnancy. Birth weight for 546 live born babies was measured
immediately after delivery. RESULTS: The time spent in sedentary activities
(median "cut-off" of 165 min/d) was significantly associated with maternal body
weight in the first trimester of pregnancy (51.2 kg vs. 54.1 kg, p < 0.001).
Women in the highest tertile of physical activity level in the 1st trimester were
1.58 times (95% CI: 1.02-2.44) more likely of having a baby in the lowest tertile
of birth weight with reference to the first tertile. This significant association
continued after adjustment for maternal weight and energy intake. CONCLUSION: The
present study shows that physical activity in the first trimester is associated
with low birth weight in Indian babies.
PMID- 18042533
TI - Comparisons of a chicken-based formula with soy-based formula in infants with cow
milk allergy.
AB - OBJECTIVE: To determine whether chicken-based formula can replace soy-based
formula in infants with cow milk allergy. SUBJECTS AND METHODS: Thirty-eight
infants with cow's milk allergy, aged between 2-24 months of age were randomized
to receive either chicken-based formula or soy-based formula for 14 days.
RESULTS: In the group of soy-based formula, 12 out of 18 infants had evidence of
intolerance and could not continue with the formula. However, only 4 out of 20
infants in the chicken-based formula group had evidence of clinical intolerance.
All other 16 infants were fed the chicken-based formula with success. The number
of infants who were intolerant to chicken formula was significantly lower than
the number of those fed soy-based formula (p = 0.009). CONCLUSION: Chicken-based
formula can be used more effectively than soy-based formula in infants with cow
milk allergy.
PMID- 18042534
TI - Anemia and intestinal parasite infection in school children in rural Vietnam.
AB - OBJECTIVES: This study hypothesized that besides iron deficiency, intestinal
parasites infection is also a determinant of anemia in schoolchildren in rural
Vietnam. METHODS: 400 primary schoolchildren from 20 primary schools in Tam Nong
district, a poor rural area in Vietnam, were randomly selected from enrollment
lists. Venous blood (5ml) was collected in a cross sectional study and analyzed
for hemoglobin (Hb), serum ferritin (SF), serum transferrin receptor (TfR), serum
C-reactive protein (CRP) and total immunoglobulin E (IgE). Stools samples were
examined for hookworm, Trichuris, and Ascaris infection. Logistic regression was
used to assess the effect of intestinal parasites on anemia. RESULTS: The
prevalence of anemia (Hb < 115g/l) was 25%. Iron deficiency (TfR > 8.5mg/L)
occurred in 2% of the children. The prevalence of intestinal parasites was 92%
with the highest prevalence for Trichuris (76%) and Ascaris (71%). More than 30%
and 80% of the children showed an elevated CRP (> or = 8 mg/L) and IgE (> 90
IU/ml) concentration. Anemia status was borderline significantly associated with
SF and not associated with TfR and CRP. The prevalence odds ratio for Trichuris
infection was 1.96 (95% CI 1.07-3.59) and 2.00 (95% CI 1.08-3.65) with iron
deficiency reflected by TfR and SF, respectively. CONCLUSION: Anemia is highly
prevalent among schoolchildren in Vietnam but may not be associated with iron
deficiency. Trichuris infection is associated with a doubled risk of anemia, not
mediated through iron deficiency. Chronic infection may play a role in anemia,
but needs further investigation.
PMID- 18042535
TI - Serum iron status in Orang Asli children living in endemic areas of soil
transmitted helminths.
AB - We conducted a cross sectional study to examine the association of intestinal
parasitic infections and protein energy malnutrition (PEM) with iron-status
indicators and anaemia among Orang Asli children in Selangor, Malaysia. A total
of 281 children aged 2 - 15 years were studied. The data were collected using
structured questionnaires, anthropometric measurements and laboratory analysis
for blood and faecal samples. All children were infected either by A.
lumbricoides, T. trichiura or hookworm and almost 19%, 26% and 3% of the children
had severe infection of ascariasis, trichuriasis and hookworm infection
respectively. The prevalence of giardiasis among them was 24.9%. Overall, 41.5%
of the children were anaemic (haemoglobin < 11.0 g/dL). Of these 61.0% of the
children had iron deficiency and 36.5% had iron deficiency anaemia (IDA), which
accounted for 88.0% of anaemia in this population. Severe trichuriasis had the
most significant correlation with anaemia and iron deficiency in this population.
It contributed to low concentrations of haemoglobin, serum iron and serum
ferritin and high total iron binding capacity (TIBC). Significant underweight and
stunting were associated with low concentrations of haemoglobin and serum iron
while significant wasting was significantly associated with low concentration of
serum ferritin. Logistic regression analysis confirmed that severe trichuriasis
was a strong predictor of IDA. It also confirmed that children who were
significantly underweight and whose mother was working were independent
predictors of IDA in this population.
PMID- 18042536
TI - Investigation of intelligence quotient and psychomotor development in
schoolchildren in areas with different degrees of iodine deficiency.
AB - This investigation aims to observe the intelligence and psychomotor development
of the schoolchildren in iodine deficiency (ID) areas after the adoption of
Universal Salt Iodization (USI), and evaluate the effect of the adoption of USI
on their intelligence and psychomotor development. 564 schoolchildren (306 males
and 258 females, age range from 8 to 13 yrs) from areas with severe, moderate,
and mild ID were investigated. Intelligence quotient (IQ) was measured by
Combined Raven's test, second edition. Psychomotor development was examined by
Jinyi Psychomotor Test Battery (JPB). We found that the IQ scores of all subjects
in the severe and moderate ID areas were 102 +/- 15.6 and 99.5 +/-16.6
respectively, lower than those in the mild ID areas (108 +/- 12.4, p < 0.01). The
IQ scores correlated negatively with age (partial r = -0.17; beta = -1.95; p <
0.0001). The total T scores of JPB of all subjects in the severe and moderate ID
areas were 316 +/- 42.3 and 330 +/- 47.7 respectively, lower than those in the
mild ID areas (342 +/- 48.1, p < 0.05). The total T scores of JPB correlated
negatively with age (partial r = -0.15; beta = -4.94; p = 0.0006). We may
conclude that after the adoption of USI in the ID areas investigated, USI has
probably made a contribution to the partial recovery of intelligence and
psychomotor development injured by ID in schoolchildren, and should be
strengthened.
PMID- 18042537
TI - Food intake patterns among Australian adolescents.
AB - OBJECTIVES: This study aimed to evaluate the food intake patterns of adolescents
with respect to the Australian Guide to Healthy Eating, and to examine variations
in food intake patterns by age, gender and region of residence. DESIGN: Cross
sectional online food survey administered through schools. PARTICIPANTS AND
SETTING: In 2004-2005, 3841 secondary students in years seven (12-13 years) and
nine (14-15 years) drawn from 37 secondary schools in Victoria, Australia
completed an online food intake patterns survey. OUTCOME MEASURES: Food intake
was measured by a Food Frequency Questionnaire (FFQ), and categorized according
to the five basic food groups (fruit, vegetables, meat, dairy, cereal) and the
'extra' food group as defined by the Australian Guide to Healthy Eating (AGHE).
The foods groups were examined in the study population and compared across age,
gender and region. RESULTS: Many adolescents in this sample reported food intakes
that deviated substantially from recommendations of the AGHE. For example, two
thirds of participants failed to consume foods from the five recommended food
groups daily; over a third reported eating fruit 'rarely or never'; and 22%
reported eating fast foods every day. Food intakes were generally more in line
with dietary guidelines among girls than boys. Regional differences were less
consistent, and there were few differences by age. CONCLUSION: A significant
proportion of adolescents have food intakes that fall short of the
recommendations outlined in the Australian Guide to Healthy Eating. This
highlights the need for public health initiatives to promote healthier food
intake patterns among adolescents.
PMID- 18042538
TI - Adolescent home food environments and socioeconomic position.
AB - Many adolescents have diets that are less than optimal, particularly adolescents
of low socioeconomic position (SEP). The determinants of SEP differences in
adolescent dietary intake are poorly understood. This study examined the home
food environments of adolescents and specifically investigated whether low SEP
adolescents have less supportive home meal environments, fewer eating rules and
poorer home availability of fruit and vegetables than adolescents of high SEP. A
cross-sectional, self-reported survey was administered to 3,264 adolescents in
years 7 and 9, from 37 secondary schools in Victoria, Australia. Adolescent
perceptions of the home meal environment, eating rules and home food availability
were described and compared across SEP, which was measured using maternal
education. Maternal education was linked to various aspects of the home meal
environment, as well as home food availability, but not to eating rules. Low SEP
adolescents were more likely to report that they were always allowed to watch
television during meal times, and that unhealthy foods were always or usually
available at home. In contrast, high SEP adolescents were more likely to report
that vegetables were always served at dinner, that the evening meal was never an
unpleasant time and always or usually a time for family connectedness, and that
fruit was always or usually available at home. This study highlights aspects of
the home food environment that might explain SEP variation in adolescent diets.
Feasible ways of increasing home availability of healthy foods, and encouraging
home meal environments to be supportive of healthy eating should be explored,
particularly in households of low SEP adolescents.
PMID- 18042539
TI - Associations between dietary habits and risk factors for cardiovascular diseases
in a Hong Kong Chinese working population--the "Better Health for Better Hong
Kong" (BHBHK) health promotion campaign.
AB - Diet and nutritional status have been shown to play pivotal roles in the
occurrence of many chronic diseases. In this study, we examined the patterns of
dietary habits and their relationships with risk factors for cardiovascular and
chronic diseases in Hong Kong working populations. In April 2000, a 5-year
territory-wide health promotion campaign supported by the Li Ka Shing Foundation
was launched in Hong Kong by the Health InfoWorld of Hospital Authority. Between
July 2000 and March 2002, 4841 Chinese subjects [2375 (49.1%) men and 2466
(50.9%) women, mean age: 42.4 +/- 8.9 years (median: 43.0 years, range: 17-83
years)] from the general working class were recruited. Subjects were randomly
selected using computer generated codes according to the distribution of
occupational groups. A dietary questionnaire was used to assess 6 core dietary
habits: daily fruit intake, vegetable intake, fluid intake, sugary drinks,
regularity of daily meals and number of dining out each day. Overall, men had a
worse cardiovascular risk profile and less desirable dietary habits than women.
Those who had more unhealthy dietary habits were more likely to be obese and
current smokers. Using logistic regression analysis with the dietary habits as
independent variables, we found that obesity, smoking and constipation were
independently associated with various unhealthy dietary patterns. In conclusion,
there were close associations between dietary habits and risk factors for
cardiovascular diseases in Hong Kong. More effective community education about
healthy lifestyle is required in Hong Kong.
PMID- 18042540
TI - Meal distribution, relative validity and reproducibility of a meal-based food
frequency questionnaire in Taiwan.
AB - Food frequency questionnaire is an important assessment tool for public health
nutrition research. We describe the development history and conducted the
validity and reproducibility studies for a meal-based Chinese food frequency
questionnaire (Chinese FFQ) by five meal sequences. A total of 51 subjects were
recruited to collect dietary information twice (6 months apart) with one 24-hr
recall, 7-day food records and the Chinese FFQ. Combining data from both time
sets, Chinese FFQ showed strong correlations of macro and micronutrients with 7
day records (n = 60, r = 0.29-0.50, p < 0.05), but not with 24-hr recalls (n =
60, r = 0.01-0.23, p > 0.05). The reproducibility of this Chinese FFQ (n = 22)
was consistently high for most nutrients, with Spearman correction coefficients
between 0.42 for vitamin A to 0.79 for vitamin B12. From a larger sample of 231
subjects who completed the Chinese FFQ and one 24-hr recall, we found the energy
distributions of breakfast, lunch, dinner, afternoon and evening snacks combined
from Chinese FFQ were 20%, 37%, 37% and 6%, and from 24-hour recalls were 19%,
36%, 44% and 1%, respectively. These results showed acceptable reproducibility
and relative validity of this meal-based Chinese FFQ.
PMID- 18042541
TI - Regulation of phosphorylation of Thr-308 of Akt, cell proliferation, and survival
by the B55alpha regulatory subunit targeting of the protein phosphatase 2A
holoenzyme to Akt.
AB - Akt is a protein serine/threonine kinase that is involved in the regulation of
diverse cellular processes. Phosphorylation of Akt at regulatory residues Thr-308
and Ser-473 leads to its full activation. The protein phosphatase 2A (PP2A) has
long been known to negatively regulate Akt activity. The PP2A holoenzyme consists
of the structural subunit (A), catalytic subunit (C), and a variable regulatory
subunit (B). Here we report the identification of the specific B regulatory
subunit that targets the PP2A holoenzyme to Akt. We found endogenous association
of PP2A AB55C holoenzymes with Akt by co-immunoprecipitation analyses in pro
lymphoid FL5.12 cells. Akt was shown to associate with ectopically expressed
B55alpha subunit in NIH3T3 cells. The direct interaction between B55alpha subunit
and Akt was confirmed using in vitro pulldown analyses. Intriguingly, we found
that overexpression of B55alpha subunit significantly impaired phosphorylation at
Thr-308, but to a lesser extent at Ser-473 of Akt in both FL5.12 and NIH3T3
cells. Concomitantly, phosphorylation of a subset of Akt substrates, including
FoxO3a, was substantially decreased by B55alpha overexpression in these cells.
Silencing of B55alpha expression markedly increased phosphorylation at Thr-308
but not at Ser-473 in both FL5.12 cells and NIH3T3 cells. Consistently, PP2A
AB55alphaC holoenzymes preferentially dephosphorylated phospho-Thr-308 rather
than phospho-Ser-473 in in vitro dephosphorylation assays. Furthermore, B55alpha
overexpression retarded proliferation of NIH3T3 cells, and knockdown of B55alpha
expression increased survival of FL5.12 cells upon interleukin-3 deprivation.
Together, our data demonstrate that B55alpha-dependent targeting of the PP2A
holoenzyme to Akt selectively regulates Akt phosphorylation at Thr-308 to
regulate cell proliferation and survival.
PMID- 18042542
TI - Induction of cell membrane protrusions by the N-terminal glutaredoxin domain of a
rare splice variant of human thioredoxin reductase 1.
AB - The human thioredoxin system has a wide range of functions in cells including
regulation of cell proliferation and differentiation, immune system modulation,
antioxidant defense, redox control of transcription factor activity, and
promotion of cancer development. A key component of this enzymatic system is the
selenoprotein thioredoxin reductase 1 (TrxR1), encoded by the TXNRD1 gene.
Transcription of TXNRD1 involves alternative splicing, leading to a number of
transcripts also encoding isoforms of TrxR1 that differ from each other at their
N-terminal domains. Here we have studied the TXNRD1_v3 isoform containing an
atypical N-terminal glutaredoxin (Grx) domain. Expression of the transcript of
this isoform was found predominantly in testis but was also detected in ovary,
spleen, heart, liver, kidney, and pancreas. By immunohistochemical analysis in
human testis with antibodies specific for the Grx domain of TXNRD1_v3, the
protein was found to be predominantly expressed in the Leydig cells. Expression
of the TXNRD1_v3 transcript was also found in several cancer cell lines (HCC1937,
H23, A549, U1810, or H157), and in HeLa cells, it was induced by estradiol or
testosterone treatments. Surprisingly, green fluorescent protein fusions with the
complete TXNRD1_v3 protein or with only its Grx domain localized to distinct
cellular sites in proximity to actin, and furthermore, had a potent capacity to
rapidly induce cell membrane protrusions. Analyses of these structures suggested
that the Grx domain of TXNRD1_v3 localizes first in the emerging protrusion and
is then followed into the protrusions by actin and subsequently by tubulin. The
results presented thus reveal that TXNRD1_v3 has a unique and distinct expression
pattern in human cells and suggest that the protein can guide actin
polymerization in relation to cell membrane restructuring.
PMID- 18042543
TI - The regulatory element in the 3'-untranslated region of human papillomavirus 16
inhibits expression by binding CUG-binding protein 1.
AB - The 3'-untranslated regions (UTRs) of human papillomavirus 16 (HPV16) and bovine
papillomavirus 1 (BPV1) contain a negative regulatory element (NRE) that inhibits
viral late gene expression. The BPV1 NRE consists of a single 9-nucleotide (nt)
U1 small nuclear ribonucleoprotein (snRNP) base pairing site (herein called a U1
binding site) that via U1 snRNP binding leads to inhibition of the late poly(A)
site. The 79-nt HPV16 NRE is far more complicated, consisting of 4 overlapping
very weak U1 binding sites followed by a poorly understood GU-rich element (GRE).
We undertook a molecular dissection of the HPV16 GRE and identify via UV cross
linking, RNA affinity chromatography, and mass spectrometry that is bound by the
CUG-binding protein 1 (CUGBP1). Reporter assays coupled with knocking down CUGBP1
levels by small interfering RNA and Dox-regulated shRNA, demonstrate CUGBP1 is
inhibitory in vivo. CUGBP1 is the first GRE-binding protein to have RNA
interfering knockdown evidence in support of its role in vivo. Several fine-scale
GRE mutations that inactivate GRE activity in vivo and GRE binding to CUGBP1 in
vitro are identified. The CUGBP1.GRE complex has no activity on its own but
specifically synergizes with weak U1 binding sites to inhibit expression in vivo.
No synergy is seen if the U1 binding sites are made weaker by a 1-nt down
mutation or made stronger by a 1-nt up-mutation, underscoring that the GRE
operates only on weak sites. Interestingly, inhibition occurs at multiple levels,
in particular at the level of poly(A) site activity, nuclear-cytoplasmic export,
and translation of the mRNA. Implications for understanding the HPV16 life cycle
are discussed.
PMID- 18042544
TI - The key residue for substrate transport (Glu14) in the EmrE dimer is asymmetric.
AB - Transport proteins exhibiting broad substrate specificities are major
determinants for the phenomenon of multidrug resistance. The Escherichia coli
multidrug transporter EmrE, a 4-transmembrane, helical 12-kDa membrane protein,
forms a functional dimer to transport a diverse array of aromatic, positively
charged substrates in a proton/drug antiport fashion. Here, we report (13)C
chemical shifts of the essential residue Glu(14) within the binding pocket. To
ensure a native environment, EmrE was reconstituted into E. coli lipids.
Experiments were carried out using one- and two-dimensional double quantum
filtered (13)C solid state NMR. For an unambiguous assignment of Glu(14), an E25A
mutation was introduced to create a single glutamate mutant. Glu(14) was (13)C
labeled using cell-free expression. Purity, labeling, homogeneity, and
functionality were probed by mass spectrometry, NMR spectroscopy, freeze fracture
electron microscopy, and transport assays. For Glu(14), two distinct sets of
chemical shifts were observed that indicates structural asymmetry in the binding
pocket of homodimeric EmrE. Upon addition of ethidium bromide, chemical shift
changes and altered line shapes were observed, demonstrating substrate
coordination by both Glu(14) in the dimer.
PMID- 18042545
TI - p38alpha stabilizes interleukin-6 mRNA via multiple AU-rich elements.
AB - AU-rich elements (AREs) in the 3'-untranslated region (UTR) of unstable mRNA
dictate their degradation or mediate translational repression. Cell signaling
through p38alpha MAPK is necessary for post-transcriptional regulation of many
pro-inflammatory cytokines. Here, the cis-acting elements of interleukin-6 (IL-6)
3'-UTR mRNA that required p38alpha signaling for mRNA stability and translation
were identified. Using mouse embryonic fibroblasts (MEFs) derived from
p38alpha(+/+) and p38alpha(-/-) mice, we observed that p38alpha is obligatory for
the IL-1-induced IL-6 biosynthesis. IL-6 mRNA stability is promoted by p38alpha
via 3'-UTR. To understand the mechanism of cis-elements regulated by p38alpha at
post-transcriptional level, truncation of 3'-UTR and the full-length 3'-UTR with
individual AUUUA motif mutation placed in gene reporter system was employed.
Mutation-based screen performed in p38alpha(+/+) and p38alpha(-/-) mouse
embryonic fibroblast cells revealed that ARE1, ARE2, and ARE5 in IL-6 3'-UTR were
targeted by p38alpha, and truncation-based screen showed that IL-6 3'-UTR-(56
173) was targeted by p38alpha to stable mRNA. RNA secondary structure analysis
indicated that modulated reporter gene expression was consistent with predicted
secondary structure changes.
PMID- 18042546
TI - Characterization of zfs1 as an mRNA-binding and -destabilizing protein in
Schizosaccharomyces pombe.
AB - Tristetraprolin is a vertebrate CCCH tandem zinc finger protein that can bind to
and destabilize certain mRNAs containing AU-rich element binding sites. zfs1 is
the single gene in the fission yeast, Schizosaccharomyces pombe, that encodes a
protein containing the critical features of the tristetraprolin zinc finger
domain. zfs1 has been linked to pheromone signal transduction control and to the
coordination of mitosis, but no biological function has been ascribed to the zfs1
protein. Through a functional genomics approach we compared transcript levels in
wild-type and zfs1-deficient S. pombe strains; those elevated in the zfs1
deficient strain were examined for the presence of potential tristetraprolin-like
binding sites. One such potential target transcript was encoded by arz1, a gene
encoding a protein of unknown function that contains armadillo repeats. arz1 mRNA
decay was inhibited in the zfs1-deficient strain when it was expressed under the
control of a thiamine-repressible promoter. Mutations within one AU-rich element
present in the arz1 3'-untranslated region protected this transcript from zfs1
promoted decay, whereas mutating another potential binding site had no effect.
Binding assays confirmed a direct interaction between zfs1 and arz1 mRNA-based
probes; this interaction was eliminated when key residues were mutated in either
zfs1 zinc finger. zfs1 and its targets in S. pombe represent a useful model
system for studies of zinc finger protein/AU-rich element interactions that
result in mRNA decay.
PMID- 18042548
TI - Deconvoluting the Cu2+ binding modes of full-length prion protein.
AB - The prion protein (PrP) is a cell-surface Cu(2+)-binding glycoprotein that when
misfolded is responsible for a number of transmissible spongiform
encephalopathies. Full-length PrP-(23-231) and constructs in which the octarepeat
region has been removed, or His(95) and His(110) is replaced by alanine residues,
have been used to elucidate the order and mode of Cu(2+) coordination to PrP-(23
231). We have built on our understanding of the appearance of visible CD spectra
and EPR for various PrP fragments to characterize Cu(2+) coordination to full
length PrP. At physiological pH, Cu(2+) initially binds to full-length PrP in the
amyloidogenic region between the octarepeats and the structured domain at His(95)
and His(110). Only subsequent Cu(2+) ions bind to single histidine residues
within the octarepeat region. Ni(2+) ions are used to further probe metal binding
and, like Cu(2+), Ni(2+) will bind individually to His(95) and His(110),
involving preceding main chain amides. Competitive chelators are used to
determine the affinity of the first mole equivalent of Cu(2+) bound to full
length PrP; this approach places the affinity in the nanomolar range. The
affinity and number of Cu(2+) binding sites support the suggestion that PrP could
act as a sacrificial quencher of free radicals generated by copper redox cycling.
PMID- 18042547
TI - Carbohydrate-response element-binding protein deletion alters substrate
utilization producing an energy-deficient liver.
AB - Livers from mice lacking the carbohydrate-responsive element-binding protein
(ChREBP) were compared with wild type (WT) mice to determine the effect of this
transcription factor on hepatic energy metabolism. The pyruvate dehydrogenase
complex was considerably more active in ChREBP(-/-) mice because of diminished
pyruvate dehydrogenase kinase activity. Greater pyruvate dehydrogenase complex
activity caused a stimulation of lactate and pyruvate oxidation, and it
significantly impaired fatty acid oxidation in perfused livers from ChREBP(-/-)
mice. This shift in mitochondrial substrate utilization led to a 3-fold reduction
of the free cytosolic [NAD(+)]/[NADH] ratio, a 1.7-fold increase in the free
mitochondrial [NAD(+)]/[NADH] ratio, and a 2-fold decrease in the free cytosolic
[ATP]/[ADP][P(i)] ratio in the ChREBP(-/-) liver compared with control. Hepatic
pyruvate carboxylase flux was impaired with ChREBP deletion secondary to
decreased fatty acid oxidation, increased pyruvate oxidation, and limited
pyruvate availability because of reduced activity of liver pyruvate kinase and
malic enzyme, which replenish pyruvate via glycolysis and pyruvate cycling.
Overall, the shift from fat utilization to pyruvate and lactate utilization
resulted in a decrease in the energy of ATP hydrolysis and a hypo-energetic state
in the livers of ChREBP(-/-) mice.
PMID- 18042549
TI - Prostaglandin E2 regulates angiogenesis via activation of fibroblast growth
factor receptor-1.
AB - Prostaglandin E(2) (PGE(2)) behaves as a mitogen in epithelial tumor cells as
well as in many other cell types. We investigated the actions of PGE(2) on
microvascular endothelial cells (capillary venular endothelial cells) with the
purpose of delineating the signaling pathway leading to the acquisition of the
angiogenic phenotype and to new vessel formation. PGE(2) (100 nM) produced
activation of the fibroblast growth factor receptor 1 (FGFR-1), as measured by
its phosphorylation, but not of vascular endothelial growth factor receptor 2.
PGE(2) stimulated the EP3 subtype receptor, as deduced by abrogation of EP3
Galpha(i) subunit activity through pertussis toxin. Consistent with this result,
in human umbilical venular endothelial cells missing the EP3 receptor, PGE(2) did
not phosphorylate FGFR-1. Upon binding to its receptor, PGE(2) initiated an
autocrine/paracrine signaling cascade involving the intracellular activation of c
Src, activation of matrix metalloproteinase (predominantly MMP2), which in turn
caused the mobilization of membrane-anchored fibroblast growth factor-2 (FGF-2).
In fact, in cells unable to release FGF-2 the transfection with both FGFR-1 and
EP3 did not result in FGFR-1 phosphorylation in response to PGE(2). Relevance for
the FGF2-FGFR-1 system was highlighted by confocal analysis, showing receptor
internalization after cell exposure to the prostanoid. ERK1/2 appeared to be the
distal signal involved, its phosphorylation being sensitive to either cSrc
inhibitor or FGFR-1 blocker. Finally, PGE(2) stimulated cell migration and
capillary formation in aortic rings, which were severely reduced by inhibitors of
signaling molecules or by receptor antagonist. In conclusion, this study provides
evidence for the involvement of FGFR-1 through FGF2 in eliciting PGE(2)
angiogenic responses. This signaling pattern is similar to the autocrine
paracrine mechanism which operates in endothelial cells to support neovascular
growth.
PMID- 18042550
TI - DJ-1 decreases Bax expression through repressing p53 transcriptional activity.
AB - DJ-1, originally identified as an oncogene product, is a protein with various
functions in cellular transformation, oxidative stress response, and
transcriptional regulation. Although previous studies suggest that DJ-1 is
cytoprotective, the mechanism by which DJ-1 exerts its survival functions remains
largely unknown. Here we show that DJ-1 exerts its cytoprotection through
inhibiting p53-Bax-caspase pathway. DJ-1 interacts with p53 in vitro and in vivo.
Overexpression of DJ-1 decreases the expression of Bax and inhibits caspase
activation, whereas knockdown of DJ-1 increases Bax protein levels and
accelerates caspase-3 activation and cell death induced by UV exposure. Our data
provide evidence that the protective effects of DJ-1 on apoptosis are associated
with its ability of decreasing Bax level through inhibiting p53 transcriptional
activity.
PMID- 18042551
TI - Bone morphogenetic protein (BMP) type II receptor is required for BMP-mediated
growth arrest and differentiation in pulmonary artery smooth muscle cells.
AB - Bone morphogenetic protein (BMP) signals regulate the growth and differentiation
of diverse lineages. The association of mutations in the BMP type II receptor
(BMPRII) with idiopathic pulmonary arterial hypertension suggests an important
role of this receptor in vascular remodeling. Pulmonary artery smooth muscle
cells lacking BMPRII can transduce BMP signals using ActRIIa (Activin type II
receptor). We investigated whether or not BMP signaling via the two receptors
leads to differential effects on vascular smooth muscle cells. BMP4, but not
BMP7, inhibited platelet-derived growth factor-activated proliferation in wild
type pulmonary artery smooth muscle cells, whereas neither ligand inhibited the
growth of BMPRII-deficient cells. Adenoviral gene transfer of BMPRII enabled
BMP4, as well as BMP7, to inhibit proliferation in BMPRII-deficient cells. BMP
mediated growth inhibition was also reconstituted by the BMPRII short isoform,
lacking the C-terminal domain present in the long form. BMP4, but not BMP7,
induced the expression of osteoblast markers in wild-type cells, whereas neither
ligand induced these markers in BMPRII-deficient cells. Overexpression of short
or long forms of BMPRII in BMPRII-deficient cells enabled BMP4 and BMP7 to induce
osteogenic differentiation. Although signaling via BMPRII or ActRIIa transiently
activated SMAD1/5/8, only BMPRII signaling led to persistent SMAD1/5/8 activation
and sustained increases in Id1 mRNA and protein expression. Pharmacologic
blockade of BMP type I receptor function within 24 h after BMP stimulation
abrogated differentiation. These data suggest that sustained BMP pathway
activation, such as that mediated by BMPRII, is necessary for growth and
differentiation control in vascular smooth muscle.
PMID- 18042552
TI - Hepatic CTP:phosphocholine cytidylyltransferase-alpha is a critical predictor of
plasma high density lipoprotein and very low density lipoprotein.
AB - CTP:phosphocholine cytidylyltransferase (CT) is the key regulatory enzyme in the
CDP-choline pathway for the biosynthesis of phosphatidylcholine (PC). We
previously generated a mouse in which the hepatic CTalpha gene was specifically
inactivated by the cre/loxP procedure. In CTalpha knock-out mice, plasma high
density lipoprotein (HDL) and very low density lipoprotein (VLDL) levels were
markedly lower than in wild type mice (Jacobs, R. L., Devlin, C., Tabas, I., and
Vance, D. E. (2004) J. Biol. Chem. 279, 47402-47410.) To investigate the
mechanism(s) responsible for the decrease in plasma lipoprotein levels, we
isolated primary hepatocytes from knock-out and wild type mice. ABCA1 expression
was reduced in knock-out hepatocytes and apoAI-dependent cholesterol, and PC
efflux was impaired. When knock-out hepatocytes were infected with an adenovirus
expressing CTalpha, apoAI-dependent PC efflux returned partially, whereas
cholesterol efflux and ABCA1 levels were not restored to normal levels.
Adenoviral expression of CTalpha did not increase VLDL secretion in knock-out
hepatocytes, even though cellular PC levels returned to normal. However, in vivo
adenoviral delivery of CTalpha normalized plasma HDL and VLDL levels in knock-out
mice. The observations demonstrate that hepatic PC biosynthesis is a key player
in maintaining plasma VLDL and HDL, and further underscores the importance of the
liver in HDL formation.
PMID- 18042553
TI - Identification of differentially expressed gene categories in microarray studies
using nonparametric multivariate analysis.
AB - MOTIVATION: The field of microarray data analysis is shifting emphasis from
methods for identifying differentially expressed genes to methods for identifying
differentially expressed gene categories. The latter approaches utilize a priori
information about genes to group genes into categories and enhance the
interpretation of experiments aimed at identifying expression differences across
treatments. While almost all of the existing approaches for identifying
differentially expressed gene categories are practically useful, they suffer from
a variety of drawbacks. Perhaps most notably, many popular tools are based
exclusively on gene-specific statistics that cannot detect many types of
multivariate expression change. RESULTS: We have developed a nonparametric
multivariate method for identifying gene categories whose multivariate expression
distribution differs across two or more conditions. We illustrate our approach
and compare its performance to several existing procedures via the analysis of a
real data set and a unique data-based simulation study designed to capture the
challenges and complexities of practical data analysis. We show that our method
has good power for differentiating between differentially expressed and non
differentially expressed gene categories, and we utilize a resampling based
strategy for controlling the false discovery rate when testing multiple
categories. AVAILABILITY: R code (www.r-project.org) for implementing our
approach is available from the first author by request.
PMID- 18042554
TI - An assessment of the uses of homologous interactions.
AB - MOTIVATION: Protein-protein interactions have proved to be a valuable starting
point for understanding the inner workings of the cell. Computational
methodologies have been built which both predict interactions and use interaction
datasets in order to predict other protein features. Such methods require gold
standard positive (GSP) and negative (GSN) interaction sets. Here we examine and
demonstrate the usefulness of homologous interactions in predicting good quality
positive and negative interaction datasets. RESULTS: We generate GSP interaction
sets as subsets from experimental data using only interaction and sequence
information. We can therefore produce sets for several species (many of which at
present have no identified GSPs). Comprehensive error rate testing demonstrates
the power of the method. We also show how the use of our datasets significantly
improves the predictive power of algorithms for interaction prediction and
function prediction. Furthermore, we generate GSN interaction sets for yeast and
examine the use of homology along with other protein properties such as
localization, expression and function. Using a novel method to assess the
accuracy of a negative interaction set, we find that the best single selector for
negative interactions is a lack of co-function. However, an integrated method
using all the characteristics shows significant improvement over any current
method for identifying GSN interactions. The nature of homologous interactions is
also examined and we demonstrate that interologs are found more commonly within
species than across species. CONCLUSION: GSP sets built using our homologous
verification method are demonstrably better than standard sets in terms of
predictive ability. We can build such GSP sets for several species. When
generating GSNs we show a combination of protein features and lack of homologous
interactions gives the highest quality interaction sets. AVAILABILITY: GSP and
GSN datasets for all the studied species can be downloaded from
http://www.stats.ox.ac.uk/~deane/HPIV.
PMID- 18042555
TI - Choosing BLAST options for better detection of orthologs as reciprocal best hits.
AB - MOTIVATION: The analyses of the increasing number of genome sequences requires
shortcuts for the detection of orthologs, such as Reciprocal Best Hits (RBH),
where orthologs are assumed if two genes each in a different genome find each
other as the best hit in the other genome. Two BLAST options seem to affect
alignment scores the most, and thus the choice of a best hit: the filtering of
low information sequence segments and the algorithm used to produce the final
alignment. Thus, we decided to test whether such options would help better detect
orthologs. RESULTS: Using Escherichia coli K12 as an example, we compared the
number and quality of orthologs detected as RBH. We tested four different
conditions derived from two options: filtering of low-information segments, hard
(default) versus soft; and alignment algorithm, default (based on matching words)
versus Smith-Waterman. All options resulted in significant differences in the
number of orthologs detected, with the highest numbers obtained with the
combination of soft filtering with Smith-Waterman alignments. We compared these
results with those of Reciprocal Shortest Distances (RSD), supposed to be
superior to RBH because it uses an evolutionary measure of distance, rather than
BLAST statistics, to rank homologs and thus detect orthologs. RSD barely
increased the number of orthologs detected over those found with RBH. Error
estimates, based on analyses of conservation of gene order, found small
differences in the quality of orthologs detected using RBH. However, RSD showed
the highest error rates. Thus, RSD have no advantages over RBH. AVAILABILITY:
Orthologs detected as Reciprocal Best Hits using soft masking and Smith-Waterman
alignments can be downloaded from http://popolvuh.wlu.ca/Orthologs.
PMID- 18042556
TI - Reconstructing protein networks of epithelial differentiation from histological
sections.
AB - MOTIVATION: For systems biology of complex stratified epithelia like human
epidermis, it will be of particular importance to reconstruct the spatiotemporal
gene and protein networks regulating keratinocyte differentiation and
homeostasis. RESULTS: Inside the epidermis, the differentiation state of
individual keratinocytes is correlated with their respective distance from the
connective tissue. We here present a novel method to profile this correlation for
multiple epithelial protein biomarkers in the form of quantitative spatial
profiles. Profiles were computed by applying image processing algorithms to
histological sections stained with tri-color indirect immunofluorescence. From
the quantitative spatial profiles, reflecting the spatiotemporal changes of
protein expression during cellular differentiation, graphs of protein networks
were reconstructed. CONCLUSION: Spatiotemporal networks can be used as a means
for comparing and interpreting quantitative spatial protein expression profiles
obtained from different tissue samples. In combination with automated
microscopes, our new method supports the large-scale systems biological analysis
of stratified epithelial tissues.
PMID- 18042557
TI - Estimating parameters and hidden variables in non-linear state-space models based
on ODEs for biological networks inference.
AB - MOTIVATION: Statistical inference of biological networks such as gene regulatory
networks, signaling pathways and metabolic networks can contribute to build a
picture of complex interactions that take place in the cell. However, biological
systems considered as dynamical, non-linear and generally partially observed
processes may be difficult to estimate even if the structure of interactions is
given. RESULTS: Using the same approach as Sitz et al. proposed in another
context, we derive non-linear state-space models from ODEs describing biological
networks. In this framework, we apply Unscented Kalman Filtering (UKF) to the
estimation of both parameters and hidden variables of non-linear state-space
models. We instantiate the method on a transcriptional regulatory model based on
Hill kinetics and a signaling pathway model based on mass action kinetics. We
successfully use synthetic data and experimental data to test our approach.
CONCLUSION: This approach covers a large set of biological networks models and
gives rise to simple and fast estimation algorithms. Moreover, the Bayesian tool
used here directly provides uncertainty estimates on parameters and hidden
states. Let us also emphasize that it can be coupled with structure inference
methods used in Graphical Probabilistic Models. AVAILABILITY: Matlab code
available on demand.
PMID- 18042558
TI - Epidural anaesthetic effect of the 8% emulsified isoflurane: a study in rabbits.
AB - BACKGROUND: Studies have shown that local use of volatile anaesthetics produce
local anaesthetic effects such as local infiltration anaesthesia (in rats and
humans) and spinal anaesthesia (in dogs). However, there is still no report on
the epidural anaesthetic effect of volatile anaesthetics. The aim of the present
study was to evaluate the epidural anaesthetic effect of the 8% emulsified
isoflurane in rabbits. METHODS: Forty rabbits chronically instrumented with an
epidural catheter were randomly divided into four groups of 10 rabbits each.
According to group assignment, rabbits received epidural administration of 8%
emulsified isoflurane (v/v) 1 ml in the E-isoflurane group, 1% lidocaine 1 ml in
the Lidocaine group, 30% lipid emulsion 1 ml in the Itralipid group, or normal
saline 1 ml in the NS group. The sensory and motor functions and the state of
consciousness were assessed at baseline and at predetermined regular intervals.
Then, the rabbits were continuously observed for 2 weeks to examine the possible
long-term neurological complications. RESULTS: The sensory blockade onset time,
motor blockade onset time, and motor blockade duration in the E-isoflurane group
[1.4 (0.7), 1.6 (0.7), and 34 (10) min, respectively] were similar to those in
the Lidocaine group [1.3 (0.5), 1.7 (0.8), and 38 (8), min, respectively]. The
sensory blockade duration in the E-isoflurane group was longer than that in the
Lidocaine group [68 (13) vs 49 (13) min, P<0.01]. No epidural anaesthetic effects
occurred in the NS group and the Intralipid group. None of the rabbits showed an
abnormal consciousness after the epidural drug administration. None of the
rabbits showed any long-term neurological deficits during a 2 week observation.
CONCLUSIONS: The present study demonstrates that epidural administration of the
8% emulsified isoflurane produces completely a reversible epidural anaesthetic
effect that does not affect the level of consciousness in rabbits.
PMID- 18042559
TI - Pre-incisional epidural ropivacaine, sufentanil, clonidine, and (S)+-ketamine
does not provide pre-emptive analgesia in patients undergoing major pancreatic
surgery.
AB - BACKGROUND: The concept of pre-emptive analgesia remains controversial. This
prospective, randomized, and double-blind study compared epidural administration
of ropivacaine 2 mg ml(-1), sufentanil 0.5 microg ml(-1), clonidine 3 microg ml(
1), and S(+)-ketamine 0.25 mg ml(-1) (study solution) given before incision with
the same combination started at the end of the operation. METHODS: After testing
the stability of the solution using high performance liquid chromatography (HPLC)
and examining 12 patients for possible side-effects in comparison with the
epidural infusion of ropivacaine 2 mg ml(-1) and sufentanil 0.5 microg ml(-1), 30
patients undergoing major pancreatic surgery were recruited into the study.
Before induction of anaesthesia, an epidural catheter was inserted (TH6-8).
Patients in Group 1 received a bolus of 8 ml followed by a continuous infusion (8
ml h(-1)) of the study solution before induction of anaesthesia. In Group 2,
patients received the same volume of saline before operation, the study solution
was started at the end of surgery. After operation, the infusion was maintained
for at least 96 h using a patient-controlled epidural analgesia (PCEA) pump in
both groups. Patients were evaluated up to the seventh postoperative day for pain
and side-effects. RESULTS: Visual analogue scale (VAS) values at rest were as
follows: G1 vs G2: 24 h, 19 (sd 23) vs 6 (13); 48 h, 4 (10) vs 11 (21); and 72 h,
12 (22) vs 13 (21). VAS values during coughing and mobilization were also
comparable. Total volume of epidural infusion was 904 (114) ml in G1 vs 892 (154)
ml in G2. The incidence of side-effects (nausea, vomiting, and motor block) was
low and not different between the groups. CONCLUSIONS: Pre-incisional epidural
analgesic infusion did not provide pre-emptive analgesia compared with
administration started at the end of surgery, but both groups had low pain
scores.
PMID- 18042561
TI - Promoting medical innovation while developing sound social and business policy: a
conversation with Thomas G. Roberts. Interview by Barbara J. Culliton.
AB - The development of "targeted biologics" as cancer therapy has made the field ripe
for investment from the private sector and is changing the face of cancer
medicine, while also raising important policy concerns about price, profit, and
continued innovation. In this interview Barbara Culliton talks with Thomas
Roberts, who sees this world from a unique perspective. Roberts, an oncologist,
has practiced at the Massachusetts General Hospital and is currently thinking
about innovation as a hedge fund manager.
PMID- 18042562
TI - Insurers and 'targeted biologics' for cancer: a conversation with Lee N.
Newcomer. Interview by Barbara J. Culliton.
AB - New drugs for cancer, targeted at the specific genetic profile of various tumors,
are revolutionizing cancer therapy, but at a very high cost. Most carry a price
tag of $50,000 or more per patient per year. Lee Newcomer examines the medical
and policy issues from his perspective at United Healthcare and from his
experience as an oncologist. In this interview, Newcomer suggests that all
patients receiving the targeted biologics be required to participate in a
clinical trial or an insurance company registry so that data can be collected to
assure that the right drugs are prescribed for the right patients.
PMID- 18042563
TI - The intersection of basic science and health policy: a conversation with Victor
Velculescu. Interview by Barbara J. Culliton.
AB - Basic scientists should probably focus their attention on medical innovation,
according to Victor Velculescu, who is part of a group at Johns Hopkins that
recently discovered 200 new genes related to cancer. Velculescu believes that
most research scientists probably do not know enough about health policy to play
a major role in its development. However, as he notes in this interview,
scientists have an important part to play--indeed, an obligation to explain their
work and its relevance to legislators and to the general public--because it is
scientists who can best alert policymakers to what is on the health care horizon.
PMID- 18042564
TI - Unusual complication after aortic valve replacement.
AB - We present a report of a postoperative left ventricular-right atrial (LV-RA)
communication after aortic valve replacement. Such intracardiac defects are rare
but encountered occasionally after valve surgery. The diagnosis was made by use
of transesophageal echocardiography with echo-Doppler and color-flow imaging.
Complications of LV-RA shunts and differential diagnosis are discussed.
PMID- 18042565
TI - Unusual late presentation of asymptomatic diaphragmatic hernia following
ventricular assist device explantation.
AB - The role of left ventricular assist device (LVAD) in treatment options of
congestive heart failure is becoming more important and the widespread
application is imminent. There are, however, some serious complications
associated with LVAD, which make patient management more challenging. We report a
rare surgical case of asymptomatic diaphragmatic hernia, which was diagnosed 8.5
years after heart transplantation and LVAD explantation. A left mini (7 cm),
muscle- and nerve-sparing thoracotomy was performed, and we found the splenic
flexure of the colon herniated into the left pleural space through a small,
circumferential defect of the diaphragm ( approximately 4 cm in diameter) created
for the inflow cannula of LVAD. The hernia was reduced and the defect was
repaired.
PMID- 18042566
TI - Necrotizing pneumonitis caused by postoperative pulmonary torsion.
AB - Pulmonary torsion is an adverse event with a reported incidence of 0.089-0.4%. It
may occur spontaneously, after trauma but most often as a rare complication after
pulmonary surgery. We describe a case of lobar torsion of the left upper lobe
after lobectomy of the left lower lobe, which resulted in a necrotizing
pneumonitis with fever, hemoptysis and weight loss. A completion pneumonectomy
was performed after which the patient recovered well. A review of the literature
shows that a delay in diagnosis and treatment of this rare complication can have
catastrophic consequences. Surgery is the treatment of choice since sparing of
the lobe is hardly ever possible due to the irreversible ischemic changes.
Detorsion, instead of resection, may lead to fatal complications. Although
infrequent, one should be aware of lobar torsion and the necessity for immediate
re-intervention.
PMID- 18042567
TI - Methotrexate pneumonitis precipitated by switching from oral to parenteral
administration.
PMID- 18042568
TI - Information-theory based surrogate marker evaluation from several randomized
clinical trials with continuous true and binary surrogate endpoints.
AB - BACKGROUND: Surrogate endpoints potentially reduce the duration and/or increase
the amount of information available in a study, thereby diminishing patient
burden and cost. They may also increase the effectiveness and reliability of
research, through beneficial impact on noncompliance and missingness. PURPOSE: In
this article, we review the meta-analytic approach of Buyse et al. (2000) and its
extension to mixed continuous and binary endpoints by Molenberghs Geys, and Buyse
(2001). METHODS: An information-theoretic alternative, based on Alonso and
Molenberghs (2007a) is proposed. The method is evaluated using simulations and
application to data from an ophthalmologic trial, with lines of vision lost at 6
months as candidate surrogate endpoints for lines of vision lost at 12 months.
The method is implemented as an R function. RESULTS: The information-theoretic
approach is based on solid theory, easy to apply, and enjoys elegant properties.
While the information-theoretic approach appears to be somewhat biased downwards,
this is due to fact that it operates at explicitly observed outcomes, without the
need for unobserved, latent scales. This is a desirable property. LIMITATIONS:
While easy-to-use and implement, the theoretical foundation of the information
theory approach is more mathematical. It produces some bias for small to moderate
trial/center sizes, and hence is recommended primarily for sufficiently large
trials. CONCLUSIONS: Since the meta-analytic framework can be computationally
extremely expensive, the information-theoretic approach of Alonso and Molenberghs
(2007a) is a viable alternative. For the ophthalmologic case study, the
conclusion is that the lines of vision lost at sixth month do have some, but not
overwhelming promise as a surrogate endpoint.
PMID- 18042569
TI - Monitoring rare serious adverse events from a new treatment and testing for a
difference from historical controls.
AB - BACKGROUND: We detail the design of a study to monitor the safety of including
albendazole to an existing treatment regimen to eliminate lymphatic filariasis.
We wish to show that this new regimen does not increase the rate of a rare
serious adverse event (SAE) compared to the old regimen. Controlled but small
clinical trials have not detected any increase in the SAE using albendazole, and
it is known to have added benefits; therefore, it is unethical to randomize
patients to the old regimen. PURPOSE: A sample size for the new regimen is needed
to test that the new rate of SAE is noninferior to the historic rate. If the new
regimen does have an inferior rate of SAE then we wish to stop the study early.
This setup is different from traditional early stopping for efficacy and
futility. In that traditional case, the two stopping decisions are relative to
the same null hypothesis of equality, while in our setup, we have two different
null hypotheses: the noninferiority null and the equality null. When testing the
former, we need not stop early if the new regimen appears better because no
subjects are receiving the old regimen anymore anyway. When testing the equality
of SAE rates, however, we want to stop early if the new regimen has a
significantly higher rate of SAE. METHODS: We create a design that uses an exact
difference in proportions test for testing noninferiority, but calculates maximal
sample size based on conditional power which treats the historical rates as true
rates. The design allows for early stopping if the new treatment appears inferior
with respect to SAE rate but makes no corrections for multiple testing. We
explore the properties of this naive design without assuming the historical rates
are known. RESULTS: For our example, we show that our naive design strategy
bounds the type I error of the noninferiority hypothesis in all cases and bounds
it for the equality hypothesis at 0.05, as long as the true SAE rate is <0.00015.
The same design has unconditional power for the noninferiority hypothesis greater
than the nominal 80% as long as the true SAE rate for both regimens are <0.00025.
LIMITATIONS: The type I and power results above hold only for our historical
sample size of 17,877. We expect similar type I and power properties to hold with
studies with SAE rates similar or less (i.e., < 0.00015) and historical sample
sizes similar or smaller. CONCLUSIONS: Our design for comparing very rare
historical SAE rates to SAE rates of a new treatment has large power to conclude
noninferiority of the new treatment SAE rate when both rates are equal, but
allows early stopping if the new SAE rates are worse.
PMID- 18042570
TI - Using causal models to show the effect of untestable assumptions on effect
estimates in randomized controlled trials.
AB - BACKGROUND: The methods by which randomized controlled trials (RCTs) are analyzed
rest on several assumptions, most of which are untestable. PURPOSE: To show how
estimates of the net effect of treatment on survival can be obtained requiring
only the assumption that randomization produced equivalent groups. METHODS: The
assumptions underlying ratio measures of effect, based on disease occurrence
times (DOT) obtained from survival curves, are identified and cumulatively
removed. RESULTS: The four assumptions usually made are that (1) the ratio of
disease incidence rates under treatment and under reference exposure is constant
over time, (2) the groups being compared are exchangeable (equivalent), (3) a
subject's DOT under treatment is independent of what his DOT would have been
under the reference exposure, and (4) the treatment effect, if any, is in the
same direction in all subjects. Removing Assumption 4 leads to an estimator of
effect resembling the etiologic fraction, but able to accommodate both causative
and preventive effects. Removing all assumptions but that of exchangeability
still permits the estimation, directly from the survival curves, of a range of
effect magnitudes, causative or preventive, compatible with the observed DOTs.
The exchangeability assumption is the easiest to meet, by randomizing enough
subjects. LIMITATIONS: The statistical uncertainty that affects the estimates of
survival probabilities has been ignored. Taking uncertainty into account further
widens the range of effects compatible with the observations. CONCLUSIONS:
Retaining only the exchangeability assumption allows for a range of possible
treatment effects to be estimated, although it may be wide. Readers of RCT
reports should understand that the determination of a point estimate of effect
within this range is entirely a function of unverifiable analytic assumptions.
PMID- 18042571
TI - A comparison of methods for fixed effects meta-analysis of individual patient
data with time to event outcomes.
AB - BACKGROUND: Alternative methods for individual patient data (IPD) meta-analysis
of time-to-event outcomes have been established and utilized in practice. The
most common approach is a stratified log-rank analysis. The IPD approach is
considered to be the gold standard approach for meta-analysis and is becoming
increasingly more popular but the performance of different methods has not been
studied previously. PURPOSE: To compare commonly used methods for fixed effects
meta-analysis of individual patient time-to-event data. METHODS: The stratified
log-rank analysis, an inverse variance weighted average of Cox model estimates,
and the stratified Cox regression model are compared. First, a theoretical
comparison of approaches is undertaken. Second, the bias and coverage are
assessed for the pooled hazard ratio using simulated data under commonly
encountered meta-analysis conditions. Finally, a comparison is presented using
empirical data from four separate systematic reviews of anti-epileptic drug
trials where IPD are available for two time-to-event outcomes. RESULTS: For
hazard ratio close to 1 with minimal heterogeneity between trials, theoretical
results suggest similar results should be expected from all the three methods.
Results for empirical and simulated data are in keeping with the theoretical
results and show all the three methods perform well under these conditions. When
there is no heterogeneity and the proportional hazards assumption holds, the
stratified Cox model and inverse variance weighted average produce similar
estimates of the pooled treatment effect and are to be preferred to the
stratified log-rank analysis when the underlying treatment effect is large.
Coverage values diminish for all the three methods and are below 95% for low or
moderate heterogeneity. The low coverage values highlight the need for models
that appropriately account for or explore the between trial variation.
LIMITATIONS: Until larger simulations can be undertaken, conclusions based on the
simulated and empirical data should only be applied to small meta-analyses of
four or five trials. CONCLUSIONS: These investigations suggest that under normal
conditions all three methods provide similar results. For moderate heterogeneity
coverage for all the three fixed effects models depreciates.
PMID- 18042572
TI - Finding family for prospective consent in emergency research.
AB - BACKGROUND: Innovative approaches are needed to allow for research in the
emergency setting while not compromising either the rights or the interests of
the subjects enrolled in such research. The emergency consent exception was
developed to meet this need. PURPOSE: The goal was to describe the timing of
initial contact with relatives and the timing of obtaining informed consent for a
research study in patients with severe traumatic brain injury. METHODS: The study
was designed as a prospective, observational study of 129 patients enrolled in an
emergency study of traumatic brain injury conducted under the emergency consent
exception. Detailed descriptive information was collected both about the
availability of relatives of patients enrolled in a study of traumatic brain
injury to give prospective consent within the time period required for entering
the study and about the extent to which they did give prospective consent during
that time period. RESULTS: The number of patients with relatives who could be
contacted by research staff increased with time after injury, with 3% (95% CI=0
to 6%), 25% (95% CI=18 to 32%), and 43% (95% CI=35 to 52%) having family at 1, 3,
and 6 h, respectively postinjury. An additional 15% were available within the
next 6 h. The median time after injury to the initial family contact by the
research staff was 2.0 h for patients who had relatives already present at the
hospital and 5.7 h for patients whose family had already been contacted by the
hospital. The percentage of family members actually giving prospective research
consent was much smaller; only 18% actually gave prospective consent within 6 h
postinjury. The proportion of critically ill patients with family available to
give prospective consent for enrollment in emergency research studies depends
primarily on the time period allowed for enrollment in the individual study and
the length of the transition from initial contact to completed prospective
consent. LIMITATIONS: The study was performed in a specific patient population
and may not be generalizable to other settings. CONCLUSIONS: Careful attention
should be paid by investigators and IRBs as to whether the emergency consent
exception is really required for a particular study, or whether the study could
proceed using only prospective consent with a longer recruitment period, more
research sites, and a higher yield of available family members giving prospective
consent. Measures that could shorten the time between initial contact and
obtaining informed consent (for example, allowing consent over the phone rather
than requiring written consent) might decrease the need for the emergency consent
exception.
PMID- 18042573
TI - Quality assurance questionnaire for professionals fails to improve the quality of
informed consent.
AB - BACKGROUND: The informed consent process for research warrants improvement but
approaches designed to enhance informed consent need testing in the context of
actual clinical research. PURPOSE: Test the cumulative effect of a retrospective
quality assurance questionnaire intended to enhance awareness in the person
obtaining informed consent on the quality of the informed consent in clinical
trials. METHODS: In the Veterans Affairs Cooperative Study 'Enhancing the Quality
of Informed Consent- Self Monitoring', 30 study sites are randomly assigned from
five clinical trials to either use a new quality assurance questionnaire after
each informed consent encounter or the standard process of obtaining informed
consent. The quality of informed consent is evaluated using independent telephone
interviews of 836 subjects who had given consent to participate in the clinical
trials and the authors' study. The main outcome measures are two previously
validated scores derived from an independent telephone interview, measuring the
overall quality of consent as well as the degree of 'therapeutic
misapprehension'. Patients and assessors are blind to the study arm assignment.
RESULTS: Subjects report complete (93%) or some (6%) satisfaction with the
consent process of the 'parent' clinical trial, and 91% recognize no consequences
to non-participation. Concerning the 'primary purpose' of the parent trial, 67%
indicate understanding of the research purpose, 41% that the research is to
benefit others, while 14% think the research is directed to their own benefit;
60% report no risk to participation and 65% report at least one expects direct
benefit. Interviewers assess 77% of subjects as showing full appreciation of the
'voluntariness' of participation. The quality assurance questionnaire do not
provide an appreciable effect on the quality of informed consent. Using mixed
model methods to account for the group randomization, near zero, non-significant
effects have been found for the overall assessment score (-0.034 on a 0-10 point
scale, standard error 0.099, P = 0.73) and for the score measuring 'therapeutic
misconception' (-0.005 on a 0-5 point scale, standard error 0.137, P = 0.97).
Permutation methods yield similar results. Confidence intervals are narrow enough
to exclude any clinically important effect. LIMITATIONS: The intervention may
work in a more homogeneous patient population, or one that is not sampled. The
outcome measurement relies on a short, anonymous, telephone interview (to
minimize burden and eliminate bias), but a longer, face-to-face interview may be
more sensitive to differences. A 'checklist' tied directly to the outcome
measures may show an effect. CONCLUSIONS: Despite prior beliefs, a standardized
quality assurance tool do not enhance informed consent in actual clinical trials.
Future research is needed to rigorously evaluate proposed methods to enhance
informed consent prior to widespread introduction.
PMID- 18042574
TI - The Veterans Affairs Low Vision Intervention Trial (LOVIT): design and
methodology.
AB - BACKGROUND: Visual impairment is a major public health problem. Vision
rehabilitation programs have the potential to restore independence and improve
quality of life for persons with permanent vision loss, and few have been
evaluated in randomized controlled trials. PURPOSE: The Veterans Affairs (VA) Low
Vision Intervention Trial is a multicenter randomized clinical trial to evaluate
the effectiveness of a new outpatient low vision rehabilitation program. METHODS:
126 patients with moderate and severe vision loss due to macular diseases are
randomized to low vision treatment in an outpatient setting or a usual care
control group at two VA facilities in Hines, Illinois, and Salisbury, North
Carolina. The primary outcome is the change in visual reading ability from
baseline to four months measured with the Veterans Affairs Low Vision Visual
Functioning Questionnaire-48 (VA LV VFQ-48). Secondary outcomes compare the mean
change in visual ability measured with the VA LV VFQ-48 (overall ability,
mobility, visual information processing, visual motor skills) for the treatment
and control groups. Costs and cost effectiveness of outpatient treatment are
evaluated. RESULTS: The low vision rehabilitation setting, use of a waiting list
control group to address ethical issues, development of the treatment protocol,
development of a vision function questionnaire for patients to self-report the
difficulty they experience performing daily activities, and the use of Rasch
analysis to develop and estimate this outcome measure are described. LIMITATIONS:
If the new low vision rehabilitation program is proven effective, studies will be
needed to determine which of the multiple aspects of the intervention are
necessary and sufficient. CONCLUSIONS: The challenges of conducting clinical
trials in a rehabilitation setting and use of a waiting list (deferred treatment)
control group extend beyond LOVIT. The design and methods of LOVIT may be
applicable to other trials of rehabilitation services and to outcomes for which
reliable and valid measurement tools must be developed.
PMID- 18042578
TI - Precautionary principle for toxic chemicals - no alternative to safeguard
societal benefits.
PMID- 18042575
TI - Design of the PEDS-C trial: pegylated interferon +/- ribavirin for children with
chronic hepatitis C viral infection.
AB - BACKGROUND: PEDS-C is the first multicenter placebo-controlled trial for the
treatment of chronic hepatitis C (HCV) in childhood that has ever been conducted
in the United States (USA). Establishment of the research team, protocol,
administrative infrastructure, and ancillary contributors for the pediatric trial
took years of planning. PURPOSE: To study the safety and efficacy of pegylated
interferon alpha (PEG-2a) plus ribavirin (RV) with PEG-2a monotherapy in children
aged 5 years through 18 years. To assess the health-related quality of life and
growth and body composition in children with chronic hepatitis C infection,
before, during, and after treatment. METHODS: Eleven centers of pediatric
hepatobiliary clinical research were united in a National Institutes of Diabetes
and Digestive and Kidney Diseases (NIDDK) funded grant with financial support
from the Food and Drug Administration (FDA) and a corporate sponsor to conduct
the treatment trial. LIMITATIONS: The most important initial limitation in the
design of this complex study was securing the financial support and
infrastructural organization, a process that took several years. Challenges faced
by the study group included identifying the optimal study design given the
limited study population, and determining what ancillary studies could be
incorporated into the treatment trial. CONCLUSIONS: In this article the process
taken to design the study and administrative infrastructure, the lessons learned,
and the controversial issues deliberated during the planning process are
discussed. The evolution of the study and the considerations taken in the
development of the protocol are valuable tools which can be applied to pediatric
clinical trials in general.
PMID- 18042579
TI - Precaution, institutions, incentives, heuristics, regulation and hormesis:
comments on 'Hormesis in precautionary regulatory culture: models preferences and
the advancement of science'.
PMID- 18042580
TI - Hormesis in precautionary regulatory culture: models preferences and the
advancement of science.
AB - The article focuses on flaws in the actual approaches of exposure to a chemical
of recipient organisms. It demonstrates the excessive use of arguments based on
adverse effects and underlines the necessity to take adaptive effects seriously.
Regulators are invited to rethink their inclination to the 'When in doubt, keep
it out.' precautionary approach, with results in counter-productive and costly
regulations. The authors are clear about the necessity to include hormesis, in
the form of a toxicological insignificant exposure (TIE) level, related to the
concentration, as a regulatory translation of adaptive effects. This inclusion
might well be the 'brake' for the looming 'collision' with reality of the actual
linear toxicological models. This analysis includes the advice to EPA, not to
follow the 'witch hunt of synthetic chemicals' as embodied in the EU REACH
program.
PMID- 18042582
TI - Hormesis and precaution: the twain shall meet.
AB - Regulatory focus on quantifying risk of disease or death from exposure to
hazardous substances via monotonic dose-response models has downplayed or even
rejected potential benefits to human health from exposures to low (sub-threshold)
doses, and thus represented by either U-shaped or J-shaped models. On the other
hand, most environmental health policy hypothesizes, without firm evidence, that
cancer risk is proportional to exposure at low doses of current ambient
exposures. An acceptable exposure is determined by either setting a somewhat
arbitrary ;acceptable' level of risk, such as one in a million excess individual
lifetime cancer risk or, in the case of several types of animal toxicological
test results, applying multiplicative safety factors to a specific concentration,
generally derived from a benchmark dose or NOAEL. This seemingly precautionary
approach is questionable in light of much experimental evidence indicating
protective effects of exposure at low doses - U-shaped or J-shaped models. We
demonstrate that incorporating the possibility of hormesis into regulatory
decision-making is precautionary, while use of default results in policy
conflicts with precaution.
PMID- 18042583
TI - Lack of a role for creatine phosphate kinase in sulphur mustard-induced
cytotoxicity.
AB - Several compounds involved in the creatine phosphate kinase (CPK) pathway were
evaluated for their protective effects against the chemical warfare (CW) agent
sulphur mustard (HD), in primary chick embryo neuron and first passage human skin
keratinocyte cultures. High concentrations of both creatine and creatine
phosphate were found to be protective under all culture conditions and increased
the LC(50) of HD in both culture systems up to approximately 250%. Little
difference was observed in the protective activity of these compounds in
undifferentiated versus differentiated neuronal culture, or in proliferating
versus differentiating cultures of keratinocytes. The protective effect of these
compounds was found to be strictly prophylactic in nature. Although a modest
decline in HD half-life was measured in buffer containing creatine phosphate,
this did not account for the protective effects of this compound. In contrast to
historical literature reporting 90-100% HD-induced CPK inhibition of purified
enzyme, less than 30% of CPK activity was found to be inhibited by HD in both
human keratinocytes and in swine blood plasma. Incubation of keratinocyte
cultures with creatine or creatine phosphate prior to HD exposure did not alter
CPK activity, compared with HD-only treated cultures. Although high mM
concentrations of both creatine and creatine phosphate exert significant
protective effects against HD, these results do not support a role for CPK in its
toxicity or in the development of medical countermeasures against this CW agent.
PMID- 18042584
TI - Exploring DNA damage responses in human cells with recombinant adenoviral
vectors.
AB - Recombinant adenoviral vectors provide efficient means for gene transduction in
mammalian cells in vitro and in vivo. We are currently using these vectors to
transduce DNA repair genes into repair deficient cells, derived from xeroderma
pigmentosum (XP) patients. XP is an autosomal syndrome characterized by a high
frequency of skin tumors, especially in areas exposed to sunlight, and,
occasionally, developmental and neurological abnormalities. XP cells are
deficient in nucleotide excision repair (affecting one of the seven known XP
genes, xpa to xpg) or in DNA replication of DNA lesions (affecting DNA polymerase
eta, xpv). The adenovirus approach allows the investigation of different
consequences of DNA lesions in cell genomes. Adenoviral vectors carrying several
xp and photolyases genes have been constructed and successfully tested in cell
culture systems and in vivo directly in the skin of knockout model mice. This
review summarizes these recent data and proposes the use of recombinant
adenoviruses as tools to investigate the mechanisms that provide protection
against DNA damage in human cells, as well as to better understand the higher
predisposition of XP patients to cancer.
PMID- 18042585
TI - Atherosclerosis and lupus: the SLICC Study.
PMID- 18042586
TI - Distinguished effects of antiphospholipid antibodies and anti-oxidized LDL
antibodies on oxidized LDL uptake by macrophages.
AB - Several interpretations have been made regarding the specificity of
antiphospholipid antibodies and antibodies against oxidized low-density
lipoprotein (oxLDL), but these are still controversial. In the present study, we
delineated specificity of these two types of antibodies and analyzed their
regulatory effect on oxLDL and/or beta( 2)-glycoprotein I (beta(2)GPI) binding to
macrophages. Scavenger receptor-mediated binding of oxLDL (or its beta(2)GPI
complexes) to macrophages was observed and the binding was partly prevented by
beta( 2)GPI. The IgG monoclonal anti-beta(2)GPI antibody (WB-CAL-1), which was
derived from NZW x BXSB F1 mouse (a model of antiphospholipid syndrome),
significantly increased the oxLDL/beta(2)GPI binding to macrophages. In contrast,
IgM anti-oxLDL natural antibody, EO6 (derived from apoe( -/-) mouse), prevented
the binding. Different antigenic specificity of these antibodies to oxLDL and its
beta(2)GPI complexes was also confirmed in TLC-ligand blot and ELISA. Thus, IgG
anti-beta(2) GPI autoantibodies contribute to lipid metabolism (housekeeping of
oxLDL by macrophages) whereas IgM natural anti-oxLDL antibodies may protect
against atherogenesis. In addition, in vitro data suggest that relatively high
dose of intravenous immunoglobulin preparations (mainly contain IgG anti-oxLDL
antibodies) might also prevent atherogenesis by inhibiting the oxLDL binding to
macrophages.
PMID- 18042587
TI - Microarray analysis of microRNA expression in peripheral blood cells of systemic
lupus erythematosus patients.
AB - MicroRNAs (miRNAs) are noncoding RNA molecules of 21-24 nt that regulate the
expression of target genes in a post-transcriptional manner. Evidence indicates
that miRNAs play essential roles in embryogenesis, cell differentiation and
pathogenesis of human diseases. This study describes a comparison between the
miRNA profile of the systemic lupus erythematosus (SLE) patients and the controls
to develop further understanding of the pathogenesis of SLE. Peripheral blood
mononuclear cells were isolated from blood samples of 23 SLE patients, 10
idiopathic thrombocytopenic purpura patients and 10 healthy controls. The miRNA
microarray chip analysis identified 16 miRNAs differentially expressed in SLE.
The chip results were confirmed by northern blot analysis. This work indicates
that miRNAs are potential diagnosis biomarkers and probable factors involved in
the pathogenesis of SLE.
PMID- 18042588
TI - Role of distinct immune components in the radiation-induced abrogation of
systemic lupus erythematosus development in mice.
AB - The New Zealand Black x New Zealand White F1 [(NZB/NZW) F1] mouse develops an
autoimmune condition resembling aspects of human systemic lupus erythematosus
(SLE). We investigated the effects of a novel prophylactic thoraco-abdominal
gamma irradiation protocol on the onset and evolution of lupus in these animals.
Survival of irradiated mice was higher when compared with nonirradiated mice.
Kidney lesions were milder and autoantibody levels were lower in irradiated mice.
To identify possible mechanisms involved in the radiation-induced improvement of
disease, distinct components of humoral and cellular immune responses were
evaluated. Because B-1 cells are known to be involved in various autoimmune
diseases, we investigated the participation of these cells in SLE progression.
Unexpectedly, B-1 cells were not depleted in (NZB/NZW) F1, even after several
rounds of irradiation. No alterations were found in viability and physiology of B
1 cells in SLE animals with the exception of constitutive overexpression of the
anti-apoptotic molecule Bcl-2, which may account for the observed
radioresistance. Thus, a role for B-1 cells in murine SLE cannot be excluded,
since the irradiation protocol did not effectively eliminate these cells.
Additionally, we demonstrate a marked delay in the ability of splenocytes to
repopulate the spleen after irradiation in (NZB/NZW) F1, in contrast to
leucocytes in other cellular compartments. The implications of these findings for
the fate of SLE in this model are discussed.
PMID- 18042589
TI - Telomere length analysis in monocytes and lymphocytes from patients with systemic
lupus erythematosus using multi-color flow-FISH.
AB - In order to analyse telomere length in subsets of human peripheral blood
lymphocytes and monocytes, we modified a recently developed multicolor flow-
fluorescent in situ hybridization (FISH) methodology that combines flow-FISH and
antibody staining for cell surface antigens. We analysed telomere length of
peripheral blood mononuclear cells in a group of 22 patients with systemic lupus
erythematosus (SLE) and 20 age-matched healthy donors. We found that neither
CD4+, CD8+, CD19+ cells nor CD14+ monocytes have significantly shorter telomeres
compared with their healthy counterparts. On the basis of these findings, we then
used monocyte telomere length as internal reference in order to control for intra
individual variability in telomere length. By using this approach, we could
demonstrate significant telomere shortening in all three lymphocyte subsets (in
all cases P < 0.05) compared with monocytes. However, these differences did not
vary significantly between SLE patients and controls. In summary, telomere
lengths in subpopulations of hematopoietic cells can be monitored in patients
with SLE using multicolor flow-FISH. While confirming data by other groups on
telomere length in lymphocyte subpopulations, our data argue against an increased
proliferation rate of peripheral blood monocytes reflected by accelerated
telomere shortening in patients with SLE.
PMID- 18042590
TI - Neurometabolic changes in normal white matter may predict appearance of
hyperintense lesions in systemic lupus erythematosus.
AB - To determine if neurometabolic changes in the white matter (WM) of systemic lupus
erythematosus (SLE) patients may predict the appearance of small hyperintense
lesions on T2-weighted magnetic resonance imaging (MRI) inside the magnetic
resonance spectroscopy (MRS) region of interest (ROI). We included 30 SLE
patients and 23 controls. We performed single voxel proton MRS over the superior
posterior region of the corpus callosum. We measured signals from N-acetyl
compounds (NAA), choline (Cho) and creatine-phosphocreatin (Cr) and determined
NAA/Cr and Cho/Cr ratios. After a minimum of 12 months, MRI and MRS were repeated
in all patients and nine volunteers. Twenty patients had normal MRI and 10
patients had MRI hyperintense lesions in the MRS ROI at baseline. All patients
had hyperintense lesions in the MRS ROI in follow-up MRIs. All SLE patients had
increased Cho/Cr values at both MRS when compared with normal controls (P =
0.001). In addition, there was an increase in Cho/Cr values when patients'
baseline and follow-up MRS were compared (P = 0.001). We observed a correlation
between Cho/Cr ratios and number of WM lesions (r = 0.69; P = 0.001). Increased
Cho/Cr in normal appearing WM may be indicative of future appearance of
hyperintense T2-weighted MRI lesions in SLE patients.
PMID- 18042591
TI - Mycophenolate mofetil as induction and maintenance therapy for lupus nephritis:
rationale and protocol for the randomized, controlled Aspreva Lupus Management
Study (ALMS).
AB - The Phase III Aspreva Lupus Management Study (ALMS) will investigate
mycophenolate mofetil (MMF) therapy for lupus nephritis (LN). Eligibility
criteria include: 12-75 years of age; diagnosis of systemic lupus erythematosus
according to revised American College of Rheumatology criteria; and biopsy
demonstrated LN (Class III-V). Randomized patients will receive open-label
induction therapy with MMF or cyclophosphamide in combination with
corticosteroids for 24 weeks. The primary efficacy endpoint is treatment response
[decreased proteinuria and stabilized (within 25% of baseline) or improved serum
creatinine level]. Patients achieving response or complete remission
(normalization of all parameters) will be rerandomized to double-blind, placebo
controlled maintenance treatment with MMF or azathioprine, both plus
corticosteroids. The maintenance phase primary endpoint is time to treatment
failure. To detect a 15% rate improvement in the MMF group compared with
cyclophosphamide, and to provide 90% power, a total of 358 patients will be
required for the induction phase. On the basis of a projected 278 rerandomized
patients, the maintenance phase will have 90% power to detect a difference
between treatment groups assuming azathioprine and MMF three-year failure rates
of 59.5% and 40.7%, respectively. Aspreva Lupus Management Study may provide
invaluable comparative data on the efficacy and safety of MMF as LN induction and
maintenance therapy.
PMID- 18042592
TI - Aspirin therapy and thromboxane biosynthesis in systemic lupus erythematosus.
AB - Incomplete suppression of thromboxane biosynthesis during aspirin therapy is
associated with increased cardiovascular risk. Since systemic lupus erythematosus
(SLE) is associated with platelet activation and increased cardiovascular
mortality, we compared thromboxane and prostacyclin biosynthesis in patients with
SLE and control subjects, and measured inhibition of thromboxane excretion in
aspirin-treated subjects. We measured the urinary excretion of 11-dehydro
thromboxane B( 2) (TXB(2)) and 2,3-dinor 6-ketoPGF(1alpha) (PGI-M), the stable
metabolites of thromboxane A(2) and prostacyclin, respectively, in 74 patients
with SLE and 70 controls. In subjects who were not receiving aspirin, TXB(2)
excretion was higher in patients with SLE [0.40 ng/mg creatinine (0.26-0.64),
median (interquartile range)] than controls [0.31 ng/mg creatinine (0.23-0.44)]
(P = 0.04), and in these patients, TXB(2) excretion correlated with disease
activity (rho = 0.28, P = 0.03) and tumor necrosis factor alpha (rho = 0.48, P <
0.001). Aspirin therapy resulted in significantly lower TXB(2) excretion in
controls (P = 0.01), but not in patients with SLE (P = 0.10), compared with
subjects not receiving aspirin. Prostacyclin biosynthesis did not differ among
patients and controls, and was not affected by aspirin (P all >0.35). Thromboxane
biosynthesis is increased in SLE and is associated with disease activity.
Additionally, response to aspirin may be attenuated in some patients with SLE.
PMID- 18042593
TI - Sensorineural dysacusis in patients with systemic lupus erythematosus.
AB - The objective of this study was to establish the frequency of involvement of the
auditory apparatus in 45 female patients with systemic lupus erythematosus (SLE)
submitted to general clinical and laboratory assessments, and tone and vocal
audiometry accompanied by a questionnaire evaluating auditory symptoms. The
control group consisted of 45 healthy women, matched by age. Auditory symptoms
were present in 25 (55.5%) patients, with a diagnosis of sensorineural hearing
loss in seven (15.6%) patients. A significant correlation with hypoacusis (P <
0.001), ear fullness (P = 0.012) and tinnitus (P = 0.017) was observed in
patients with hearing loss. None of the clinical or laboratory parameters showed
an association with sensorineural hearing loss. In the control group, three women
(6.7%) presented audiometric alterations, including two with altered tympanometry
results and one with mild sensorineural hearing loss. In conclusion, an adequate
investigation of auditory symptoms is important during the follow-up of patients
with SLE, since manifestations of the auditory apparatus and sensorineural
hearing loss can affect a significant proportion of patients.
PMID- 18042594
TI - Rare association of multiple sclerosis and systemic lupus erythematosus.
AB - Although both multiple sclerosis (MS) and systemic lupus erythematosus (SLE) are
relatively common autoimmune disorders, especially in young women and often
coexist in families, they are only rarely reported to coexist in a single
patient. We here present a case of a young woman with a history of MS from many
years who diagnosed as suffering as well from SLE.
PMID- 18042595
TI - Cutaneous alternariosis in a patient with systemic lupus erythematosus.
AB - Alternaria species are common saprophytic fungi that naturally subsist on
decaying plant materials, and occasionally may cause diseases in human beings and
domestic animals. They can be a potential opportunistic pathogen in
immunocompromized hosts or those with significant underlying disease. However,
rarely they are also pathogen in otherwise healthy hosts. We report here the
first case of cutaneous alternariosis in a 30-year-old woman who was on systemic
steroid therapy for active systemic lupus erythematosus. The patient was referred
to our department with purple papules and ulcerated nodules on the dorsum of the
hands, wrists and ankles. Skin biopsy showed granulomatous reaction with fungal
elements that were subsequently identified as Alternaria species. Individual
lesions were successfully treated with oral itracanozole 200mg daily for six
weeks. Besides the patient's own disease, the use of systemic steroid use might
be a possible predisposing factor for the development of cutaneous alternariosis.
PMID- 18042596
TI - Disease activity, damage and survival in Mexican patients with acute severe
systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is a clinical syndrome of varying severity.
Although the survival and prognosis of SLE have steadily improved, there is a
group of patients who present an acute fatal outcome despite aggressive therapy.
We designed this study to evaluate the factors associated with mortality in
patients with acute severe SLE. During 2004-06, 41 Mexican SLE patients that
could not be managed in the out-patient clinic and with acute severe major organ
system involvement [nephritis, severe thrombocytopenia (platelet count below 20
000 per microL) acute neuropsychiatric pulmonary, gastrointestinal or cardiac
disease and generalized vasculitis] were studied. During the first admission,
disease activity (SLE Disease Activity Index (SLEDAI), SLE Activity Measured),
damage [SLE International Collaborating Clinics (SLICC)], and therapy were
assessed. Survival using Kaplan-Meier curves, odd ratios with 95% confidence
interval and logistic regression analysis were used to determine risk factors for
mortality. Ninety percent were female with a mean age of 29 +/- 19 years and mean
disease duration of 21 +/- 9 months. The principal causes of first admission were
renal (27%), SNC (22%) and cardiopulmonary (15%). After a mean follow-up of 9.7
+/- 6 months, 16 (39%) patients died. Deceased patients had significantly higher
SLEDAI (P = 0.004), and SLICC (P = 0.03) scores. The manifestations associated
with mortality were renal disease activity (odds ratio, OR 4.6, confidence
interval, CI 95% 1.0-20.6), infections (OR 3.2 CI 95% 2.0-5.3) and
thrombocytopenia (OR 4.0, CI 95% 1.0-15.9). The survival at 9.7 months was 72, 62
and 50% in patients with an SLEDAI score of 3-10, 11-20 and > or =21,
respectively. The SLEDAI score, the presence of damage and infection were
associated with death in patients with acute severe SLE.
PMID- 18042597
TI - Aortic dissection in a case of systemic lupus erythematosus.
PMID- 18042598
TI - Thrombotic microangiopathy in an adult patient with clinically amyopathic
dermatomyositis complicated with interstitial lung disease.
PMID- 18042599
TI - Childhood hermolytic uremic syndrome associated with systemic lupus
erythematosus.
PMID- 18042600
TI - Late onset systemic lupus erythematosus: a new approach.
PMID- 18042601
TI - Challenging assumptions about rehabilitation.
PMID- 18042602
TI - Tilted seat position for non-ambulant individuals with neurological and
neuromuscular impairment: a systematic review.
AB - OBJECTIVE: To determine the effects of tilt-in-space seating on outcomes for
people with neurological or neuromuscular impairment who cannot walk. DATA
SOURCES: Search through electronic databases (MEDLINE, Embase, CINAHL, AMED).
Discussions with researchers who are active in field. REVIEW METHODS: Selection
criteria included interventional studies that investigated the effects of seat
tilt on outcome or observational studies that identified outcomes for those who
had used tilt-in-space seating in populations with neurological or neuromuscular
impairments. Two reviewers independently selected trials for inclusion, assessed
quality and extracted data. RESULTS: Nineteen studies were identified which
fulfilled the selection criteria. Seventeen of these were essentially before
after studies investigating the immediate effects of tilting the seating. All
studies looked at populations with neurological impairment, and most were on
children with cerebral palsy (n=8) or adults with spinal cord injury (n=8).
REVIEWER'S CONCLUSION: Posterior tilt can reduce pressures at the interface under
the pelvis.
PMID- 18042603
TI - Effects of modified constraint-induced movement therapy on reach-to-grasp
movements and functional performance after chronic stroke: a randomized
controlled study.
AB - OBJECTIVE: To evaluate changes in (1) motor control characteristics of the
hemiparetic hand during the performance of a functional reach-to-grasp task and
(2) functional performance of daily activities in patients with stroke treated
with modified constraint-induced movement therapy. DESIGN: Two-group randomized
controlled trial with pretreatment and posttreatment measures. SETTING:
Rehabilitation clinics. SUBJECTS: Thirty-two chronic stroke patients (21 men, 11
women; mean age=57.9 years, range=43-81 years) 13-26 months (mean 16.3 months)
after onset of a first-ever cerebrovascular accident. INTERVENTION: Thirty-two
patients were randomized to receive modified constraint-induced movement therapy
(restraint of the unaffected limb combined with intensive training of the
affected limb) or traditional rehabilitation for three weeks. MAIN MEASURES:
Kinematic analysis was used to assess motor control characteristics as patients
reached to grasp a beverage can. Functional outcomes were evaluated using the
Motor Activity Log and Functional Independence Measure. RESULTS: There were
moderate and significant effects of modified constraint-induced movement therapy
on some aspects of motor control of reach-to-grasp and on functional ability. The
modified constraint-induced movement therapy group preplanned reaching and
grasping (P=0.018) more efficiently and depended more on the feedforward control
of reaching (P=0.046) than did the traditional rehabilitation group. The modified
constraint-induced movement therapy group also showed significantly improved
functional performance on the Motor Activity Log (P<0.0001) and the Functional
Independence Measure (P=0.016). CONCLUSIONS: In addition to improving functional
use of the affected arm and daily functioning, modified constraint-induced
movement therapy improved motor control strategy during goal-directed reaching, a
possible mechanism for the improved movement performance of stroke patients
undergoing this therapy.
PMID- 18042604
TI - Patterns of postural deformity in non-ambulant people with cerebral palsy: what
is the relationship between the direction of scoliosis, direction of pelvic
obliquity, direction of windswept hip deformity and side of hip dislocation?
AB - OBJECTIVE: To investigate: (a) associations between the direction of scoliosis,
direction of pelvic obliquity, direction of windswept deformity and side of hip
subluxation/ dislocation in non-ambulant people with cerebral palsy; and (b) the
lateral distribution of these postural asymmetries. DESIGN: Cross-sectional
observational study. SETTING: Posture management services in three centres in the
UK. SUBJECTS: Non-ambulant people at level five on the gross motor function
classification system for cerebral palsy. MAIN MEASURES: Direction of pelvic
obliquity and lateral spinal curvature determined from physical examination,
direction of windswept hip deformity derived from range of hip
abduction/adduction, and presence/side of unilateral hip subluxation defined by
hip migration percentage. RESULTS: A total of 747 participants were included in
the study, aged 6-80 years (median 18 years 10 months). Associations between the
direction of scoliosis and direction of pelvic obliquity, and between the
direction of windswept hip deformity and side hip subluxation/dislocation were
confirmed. A significant association was also seen between the direction of
scoliosis and the direction of the windswept hip deformity (P<0.001) such that
the convexity of the lateral spinal curve was more likely to be opposite to the
direction of windsweeping. Furthermore, significantly more windswept deformities
to the right (P=0.007), hips subluxed on the left (P=0.002) and lateral
lumbar/lower thoracic spinal curves convex to the left (P=0.03) were observed.
CONCLUSIONS: The individual asymmetrical postural deformities are not unrelated
in terms of direction and not equally distributed to the left/right. A pattern of
postural deformity was observed.
PMID- 18042605
TI - Including children in family-focused acquired brain injury rehabilitation: a
national survey of rehabilitation staff practice.
AB - OBJECTIVE: To establish whether rehabilitation staff work regularly with child
relatives of adults with acquired brain injury to involve them in their family
member's rehabilitation. To explore factors influencing rehabilitation staff's
decisions about involving child relatives. DESIGN: A cross-sectional postal
survey design was used for the main study. Questionnaire packs were sent to
rehabilitation staff, followed by a reminder letter one week later. Non
responders received a follow-up pack after three weeks.A prospective design was
used with a subsample of participants who re-completed the questionnaire one
month later. SUBJECTS: Participants were 393 multidisciplinary staff working in
rehabilitation services for adults with acquired brain injury throughout the UK.
MAIN MEASURES: A questionnaire based on the theory of planned behaviour was
designed specially for the purposes of the study. RESULTS: A response rate of 67%
(n=263) was obtained. Few respondents (19%, n=50) reported carrying out work with
child relatives. Perceived behavioural control (e.g. access to training,
resources and support) significantly predicted staff's intention to work with
child relatives, and whether they carried this out. Staff's attitudes towards
work with child relatives also significantly predicted intentions. Other
influential factors were anxiety, training, work setting and perceptions of
colleagues' attitudes. CONCLUSIONS: Little work is carried out with child
relatives by acquired brain injury rehabilitation staff, influenced by several
factors. A priority in addressing this is to provide staff training.
PMID- 18042606
TI - Relationship between aerobic fitness and quality of life in female fibromyalgia
patients.
AB - OBJECTIVE: To determine whether there is a direct link between quality of life
and aerobic capacity among female fibromyalgia patients. DESIGN: Cross-section
study. SETTING: University of Leon. SUBJECTS: Twenty-nine women belonging to the
Leon Fibromyalgia and Chronic Fatigue Association. MAIN MEASUREMENTS: Aerobic
capacity and quality of life were measured by means of the Six-Minute Walk Test
and the Fibromyalgia Impact Questionnaire. Outcome measures included heart rate
and rate of perceived fatigue and dypsnoea. RESULTS: The average distance walked
was 432.8 (61.2) m and the total average Fibromyalgia Impact Questionnaire score
was 47.5 (18.9). Only item 1 of the Fibromyalgia Impact Questionnaire, physical
function, showed any statistically significant link with the distance walked,
which had no statistically significant relationship with any of the variables
studied. CONCLUSION: The physical fitness of women with fibromyalgia, as
determined by the Six-Minute Walk Test and the Fibromyalgia Impact Questionnaire,
has no direct relation with quality of life as the patients perceive it.
PMID- 18042607
TI - Usefulness of the Elderly Mobility Scale for classifying residential placements.
AB - BACKGROUND AND PURPOSE: To investigate the factor structure and cut-off scores of
the Elderly Mobility Scale for making placement decisions in extended care
settings. SUBJECTS: Ninety elderly residents of mean ages ranging from 79.0 to
86.4 years were recruited from homes for the aged (OAH group), and care and
attention homes with or without supplemental infirmary care (IS and non-IS
groups, respectively). METHODS: The Elderly Mobility Scale was administered to
all the residents by five trained practising physiotherapists. RESULTS: Factor
analysis revealed a two-factor structure, with two items into a bed mobility
subscale and the other five items into a functional mobility subscale.
Significant differences were found in the residents' Elderly Mobility Scale
scores among the OAH, non-IS and IS groups. The accuracy of the three cut-off
scores based on the five functional mobility items reached 90% for classifying
the residents into different placements. DISCUSSION AND CONCLUSION: The findings
suggested that Elderly Mobility Scale scores can be better interpreted with the
'bed mobility' and 'functional mobility' subscales. The high accuracy of
classification based on the cut-off scores reflects a good item and construct
match of the Elderly Mobility Scale. Our findings support the usefulness of the
Elderly Mobility Scale for residential placement of elderly people, which can be
generalized to residential settings and post-discharge plans similar to those
used in this study.
PMID- 18042608
TI - Rehabilitation of decreased motor performance in patients with chronic fatigue
syndrome: should we treat low effort capacity or reduced effort tolerance?
AB - AIM: The aetiology, pathophysiology, diagnostic delineation and treatment of
chronic fatigue syndrome (CFS) remain a matter of debate. Here some aspects of
the debate are elucidated, with a particular focus on the patients' decreased
motor performance. HYPOTHESIS: The pathophysiological basis of decreased motor
performance in CFS may, theoretically, involve three components: (1) a peripheral
energetic deficit (impaired oxidative metabolism and/or physical deconditioning);
(2) a central perceptual disturbance (higher effort sense or increased
'interoception'); and (3) a fundamental failure of the neurobiological stress
system, leading to an abnormal 'sickness response'. It is proposed that the first
two components may lead to low effort capacity, while the third component may
lead to reduced effort tolerance. Although there is evidence for low effort
capacity influencing symptoms and functional limitations in CFS, it is assumed
that reduced effort tolerance might be the primary disturbance in CFS. DIAGNOSTIC
IMPLICATIONS: Distinguishing low effort capacity and reduced effort tolerance may
contribute to a refinement of current diagnostic criteria of CFS and the
identification of subgroups. THERAPEUTIC IMPLICATIONS: The above-mentioned
distinction may make it possible to formulate a rationale for an effective
implementation and adequate outcome evaluation of rehabilitation strategies in
CFS. RESEARCH IMPLICATIONS: This new heuristic framework may inform future
research aimed at disentangling the complex determination of impaired motor
performance in CFS, as well as studies aimed at customizing treatment to
different subtypes of patients.
PMID- 18042609
TI - Study to establish the usefulness of the one question 'Are you depressed?' in
screening people with multiple sclerosis for depression.
PMID- 18042612
TI - Dramatic atherosclerotic vascular burden in a patient with familial lecithin
cholesterol acyltransferase (LCAT) deficiency.
PMID- 18042613
TI - Peritoneal thickening is not inevitable in long-term peritoneal dialysis and is
associated with peritoneal transport characteristics: a two-centre sonographic
study.
AB - BACKGROUND: The peritoneum is subject to alterations in the life-long course of
peritoneal dialysis (PD). Studies of the parietal peritoneum by non-invasive
ultrasonography in PD patients are limited. We hypothesize that a prolonged PD
duration is associated with a thicker peritoneum on ultrasonography and
alterations in Doppler indexes of mesenteric vessels. METHODS: We recruited two
groups of patients, 18 who had >7 years of PD and 18 who had <12 months of PD. We
excluded patients with active peritonitis, history of major abdominal surgery,
cirrhosis or malignancy. We measured the sonographic thickness of the parietal
peritoneum and Doppler indexes of mesenteric vessels by trans-abdominal
ultrasonography at two PD units in Taiwan. RESULTS: We found no significant
difference between two groups of PD patients in peritoneal thickness and in
Doppler indexes. However, our univariate and multivariate analysis indicated that
peritoneal thickness is associated with peritoneal transport characteristics
(dialysate/plasma creatinine) but not with age, duration of dialysis, body
height, body weight or Doppler index. The peritoneum is significantly thicker in
rapid transporters than in slow transporters (RUQ: 0.59 +/- 0.40 mm versus 0.27
+/- 0.29 mm, P = 0.01; LUQ: 0.60 +/- 0.40 mm versus 0.27 +/- 0.32 mm, P = 0.016;
LQ: 1.07 +/- 0.85 mm versus 0.48 +/- 0.53 mm, P = 0.026). In addition, rapid
transporters have a marginally lower Doppler resistive index of the superior
mesenteric artery (0.87 +/- 0.08 versus 0.90 +/- 0.10, P = 0.028). CONCLUSIONS:
Our data showed that peritoneal thickening is not inevitable in long-term PD
patients. Sonographic thickness in the parietal peritoneum is associated with
transport characteristics. Rapid transporters have a significantly thicker
peritoneum. The Doppler index of mesenteric vessels had no association with PD
duration or transport characteristics. Trans-abdominal ultrasonography is non
invasive and useful in evaluating peritoneal characteristics of PD patients.
PMID- 18042614
TI - Pegylated interferon alfa-2a (40 kD) and ribavirin in haemodialysis patients with
chronic hepatitis C.
AB - BACKGROUND: Chronic hepatitis C virus (HCV) infection is associated with liver
dysfunction and hepatocellular carcinoma. In patients with normal kidney
function, treatment with pegylated interferon (PEG-IFN) and ribavirin (RBV)
frequently leads to eradication of HCV. Treatment in dialysis patients has long
been controversial and until recently, the use of RBV was considered to be contra
indicated. We used plasma trough levels of RBV to promote tolerance, safety and
efficacy. PEG-IFN alfa-2a (40 kD) was chosen because it is cleared predominantly
via hepatic metabolism. METHODS: Seven haemodialysis patients with chronic HCV
infection were eligible and started with 135 microg PEG-IFN alfa-2a (40 kD)
weekly and 200 mg RBV every other day. Dose adaptations were allowed following
study guidelines. Genotypes 1 and 4 (five patients) were treated for 48 weeks and
genotypes 2 and 3 (two patients) for 24 weeks. HCV-RNA was determined after 12,
24 and 48 weeks (and at 72 weeks for genotypes 1 and 4). RBV trough plasma levels
were monitored regularly by HPLC-technique. RESULTS: All patients completed the
treatment. In two patients, the PEG-IFN dose had to be reduced to 90 microg/week
because of adverse events. To achieve the target range (1.5-2.5 microg/ml) of the
plasma trough level, the mean RBV dose was increased to a dose between 133 and
200 mg each day in five patients. Despite an increase of the weekly
erythropoietin (Epo) dose, two to a max of four red cell transfusions were given
to four patients. A sustained viral response (SVR) was reached in five patients
(3/5 with genotype 1/4 and 2/2 with genotype 2/3). CONCLUSION: In our series of
seven patients, we were able to use RBV monitoring drug levels in combination
with PEG-IFN alfa-2a (40 kD) and achieve high sustained response rates. However,
Epo and transfusion requirements may increase. In two patients adverse events
were observed, but manageable with dose reduction of PEG-IFN.
PMID- 18042615
TI - Sirolimus ameliorates the enhanced expression of metalloproteinases in a rat
model of autosomal dominant polycystic kidney disease.
AB - BACKGROUND: Remodelling of matrix and tubular basement membranes (TBM) is a
characteristic of polycystic kidney disease. We hypothesized that matrix and TBM
degradation by metalloproteinases (MMPs) could promote cyst formation. We
therefore investigated the renal expression of MMPs in the Han:SPRD rat model of
autosomal dominant polycystic kidney disease (ADPKD) and examined the effect of
sirolimus treatment on MMPs. METHODS: 5-week-old male heterozygous (Cy/+) and
wild-type normal (+/+) rats were treated with sirolimus (2 mg/kg/day) through
drinking water for 3 months. RESULTS: The mRNA and protein levels of MMP-2 and
MMP-14 were markedly increased in the kidneys of heterozygous Cy/+ animals
compared to wild-type +/+ as shown by RT-PCR and Western blot analyses for MMP-2
and MMP-14, and by zymography for MMP-2. Strong MMP-2 expression was detected by
immunoperoxidase staining in cystic epithelial cells that also displayed an
altered, thickened TBM. Tissue inhibitor of metalloproteinases-2 (TIMP-2)
expression was not changed in Cy/+ kidneys. Sirolimus treatment leads to
decreased protein expression of MMP-2 and MMP-14 in Cy/+, whereas MMP-2 and MMP
14 mRNA levels and TIMP-2 protein levels were not affected by sirolimus.
CONCLUSION: In summary, in kidneys of the Han:SPRD rat model of ADPKD, there is a
marked upregulation of MMP-2 and MMP-14. Sirolimus treatment was associated with
a marked improvement of MMP-2 and MMP-14 overexpression, and this correlated also
with less matrix and TBM alterations and milder cystic disease.
PMID- 18042617
TI - What goes in must come out--the small intestine modulates renal phosphate
excretion.
PMID- 18042618
TI - Studying atrial fibrillation: what can we learn from the AFTherapy study?
PMID- 18042619
TI - Conventional and dedicated atrial overdrive pacing for the prevention of
paroxysmal atrial fibrillation: the AFTherapy study.
AB - AIMS: This investigation was conducted to determine the effectiveness of several
conventional overdrive pacing modalities (single rate and rate responsive pacing
at various lower rates) and of four dedicated preventive pacing algorithms in the
suppression of paroxysmal atrial fibrillation (AF). METHOD AND RESULTS: In this
multi-centre, randomized trial, 372 patients with drug-refractory paroxysmal AF
were enrolled. Patients received a dual-chamber pacing device capable of
delivering conventional pacing therapy as well as dedicated AF prevention pacing
therapies and to record detailed AF-related diagnostics. The primary endpoint was
AF burden, whereas secondary endpoints were time to first AF episode and averaged
sinus rhythm duration. During a conventional pacing phase, patients were
randomized to single rate or rate-responsive pacing with lower rates of either 70
or 85 min(-1) or to a control group with single rate pacing at 40 min(-1). In the
subsequent preventive pacing phase, patients underwent pacing at a lower rate of
70 min(-1) with or without concomitant application of four preventive pacing
algorithms. A substantial amount of data was excluded from the analysis because
of atrial-sensing artefacts, identified in the device-captured diagnostics. In
the conventional pacing phase, no significant differences were found between
various lower rates and the control group receiving single rate pacing at 40 min(
1) or between single rate and rate-responsive pacing. Patients receiving
preventive pacing with all four therapies enabled had a similar AF burden
compared with patients treated with conventional pacing at 70 min(-1) (P = 0.47).
CONCLUSIONS: The results do not demonstrate a significant effect of conventional
atrial overdrive pacing or preventive pacing therapies. However, the observations
provided important information for further consideration with respect to the
design and conduct of future studies on the effect of atrial pacing therapies for
the reduction of AF.
PMID- 18042620
TI - CLASP localizes in two discrete patterns on cortical microtubules and is required
for cell morphogenesis and cell division in Arabidopsis.
AB - In animals and yeast, CLASP proteins are microtubule plus-end tracking proteins
(+TIPS) involved in the regulation of microtubule plus-end dynamics and
stabilization. Here we show that mutations in the Arabidopsis CLASP homolog
result in various plant growth reductions, cell form defects and reduced mitotic
activity. Analysis of Arabidopsis plants that carry a YFP:AtCLASP fusion
construct regulated by the AtCLASP native promoter showed similarities to the
described localization of the animal CLASP proteins, but also prominent
differences including punctate and preferential localization along cortical
microtubules. Colocalization studies of YFP:AtCLASP and CFP:EB1b also showed that
AtCLASP is enriched at the plus ends of microtubules where it localizes behind
the AtEB1b protein. Moreover, AtCLASP overexpression causes abnormal cortical
microtubule bundling and array organization. Cortical microtubule arrays have
evolved to be prominent in plants, and our findings suggest that plant CLASP
proteins may have adopted specific functions in regulating cortical microtubule
properties and cell growth.
PMID- 18042621
TI - Cep68 and Cep215 (Cdk5rap2) are required for centrosome cohesion.
AB - The centrosome duplicates during the cell cycle but functions as a single
microtubule-organising centre until shortly before mitosis. This raises the
question of how centrosome cohesion is maintained throughout interphase. One
dynamic model proposes that parental centrioles are held together through
centriole-associated, entangling filaments. Central to this model are C-Nap1, a
putative centriolar docking protein and rootletin, a fibrous component. Here we
identify two novel proteins, Cep68 and Cep215, as required for centrosome
cohesion. Similar to rootletin, Cep68 decorates fibres emanating from the
proximal ends of centrioles and dissociates from centrosomes during mitosis.
Furthermore, Cep68 and rootletin depend both on each other and on C-Nap1 for
centriole association. Unlike rootletin, overexpression of Cep68 does not induce
extensive fibre formation, but Cep68 is readily recruited to ectopic rootletin
fibres. These data suggest that Cep68 cooperates with rootletin and C-Nap1 in
centrosome cohesion. By contrast, Cep215 associates with centrosomes throughout
the cell cycle and does not appear to interact with Cep68, rootletin or C-Nap1.
Instead, our data suggest that Cep215 functionally interacts with pericentrin,
suggesting that both proteins influence centrosome cohesion through an indirect
mechanism related to cytoskeletal dynamics.
PMID- 18042622
TI - Cdk5 regulates differentiation of oligodendrocyte precursor cells through the
direct phosphorylation of paxillin.
AB - Oligodendrocyte precursor cells (OPCs) differentiate into oligodendrocytes (OLs)
in order to form myelin, which is required for the rapid propagation of action
potentials in the vertebrate nervous system. In spite of the considerable
clinical importance of myelination, little is known about the basic molecular
mechanisms underlying OL differentiation and myelination. Here, we show that
cyclin-dependent kinase (Cdk) 5 is activated following the induction of
differentiation, and that the Cdk5 inhibitor roscovitine inhibits OL
differentiation. The complexity of the OL processes is also diminished after
knocking down endogenous Cdk5 using RNAi. We also show that the focal adhesion
protein paxillin is directly phosphorylated at Ser244 by Cdk5. Transfection of a
paxillin construct harboring a Ser244 to Ala mutation dramatically inhibits its
morphological effects. Importantly, phosphorylation of paxillin at Ser244 reduces
its interaction with focal adhesion kinase (FAK). Taken together, these results
suggest that phosphorylation of paxillin by Cdk5 is a key mechanism in OL
differentiation and may ultimately regulate myelination.
PMID- 18042623
TI - Direct interaction of tyrosinase with Tyrp1 to form heterodimeric complexes in
vivo.
AB - Mutations of the critical and rate-limiting melanogenic enzyme tyrosinase (Tyr)
result in hypopigmentation of the hair, skin and eyes. Two other related enzymes,
Tyrp1 and Dct, catalyze distinct post-Tyr reactions in melanin biosynthesis. Tyr,
Tyrp1 and Dct have been proposed to interact with and stabilize each other in
multi-enzyme complexes, and in vitro, Tyr activity is more stable in the presence
of Tyrp1 and/or Dct. We recently reported that Tyr is degraded more quickly in
mutant Tyrp1 mouse melanocytes than in wild-type Tyrp1 melanocytes, and that
decreased stability of Tyr can be partly rescued by infection with wild-type
Tyrp1. Although interactions between Tyr and Tyrp1 have been demonstrated in
vitro, there is no direct evidence for Tyr interaction with Tyrp1 in vivo. In
this study, we use in vivo chemical crosslinking to stabilize the association of
Tyr with other cellular proteins. Western blot analysis revealed that Tyrp1, but
not Dct, associates with Tyr in murine melanocytes in vivo, and more
specifically, in melanosomes. Two-dimensional SDS-PAGE analysis detected
heterodimeric species of Tyr and Tyrp1. Taken together, these data demonstrate
that Tyrp1 interacts directly with Tyr in vivo, which may regulate the stability
and trafficking of melanogenic enzymes and thus pigment synthesis.
PMID- 18042624
TI - ADF/cofilin family proteins control formation of oriented actin-filament bundles
in the cell body to trigger fibroblast polarization.
AB - How formation of the front and rear of a cell are coordinated during cell
polarization in migrating cells is not well understood. Time-lapse microscopy of
live primary chick embryo heart fibroblasts expressing GFP-actin show that, prior
to cell polarization, polymerized actin in the cell body reorganizes to form
oriented actin-filament bundles spanning the entire cell body. Within an average
of 5 minutes of oriented actin bundles forming, localized cell-edge retraction
initiates at either the side or at one end of the newly formed bundles and then
elaborates around the nearest end of the bundles to form the cell rear, the first
visual break in cell symmetry. Localized net protrusion occurs at the opposing
end of the bundles to form the cell front and lags formation of the rear of the
cell. Consequently, cells acquire full polarity and start to migrate in the
direction of the long axis of the bundles, as previously documented for already
migrating cells. When ADF/cofilin family protein activity or actin-filament
disassembly is specifically blocked during cell polarization, reorganization of
polymerized actin to form oriented actin-filament bundles in the cell body fails,
and formation of the cell rear and front is inhibited. We conclude that formation
of oriented actin-filament bundles in the cell body requires ADF/cofilin family
proteins, and is an early event needed to coordinate the spatial location of the
cell rear and front during fibroblast polarization.
PMID- 18042625
TI - The Ste20-like kinase SvkA of Dictyostelium discoideum is essential for late
stages of cytokinesis.
AB - The genome of the social amoeba Dictyostelium discoideum encodes approximately
285 kinases, which represents approximately 2.6% of the total genome and suggests
a signaling complexity similar to that of yeasts and humans. The behavior of D.
discoideum as an amoeba and during development relies heavily on fast
rearrangements of the actin cytoskeleton. Here, we describe the knockout
phenotype of the svkA gene encoding severin kinase, a homolog of the human MST3,
MST4 and YSK1 kinases. SvkA-knockout cells show drastic defects in cytokinesis,
development and directed slug movement. The defect in cytokinesis is most
prominent, leading to multinucleated cells sometimes with >30 nuclei. The defect
arises from the frequent inability of svkA-knockout cells to maintain symmetry
during formation of the cleavage furrow and to sever the last cytosolic
connection. We demonstrate that GFP-SvkA is enriched at the centrosome and
localizes to the midzone during the final stage of cell division. This
distribution is mediated by the C-terminal half of the kinase, whereas a rescue
of the phenotypic changes requires the active N-terminal kinase domain as well.
The data suggest that SvkA is part of a regulatory pathway from the centrosome to
the midzone, thus regulating the completion of cell division.
PMID- 18042626
TI - Distinguishing between retention signals and degrons acting in ERAD.
AB - Endoplasmic reticulum-associated degradation (ERAD) eliminates aberrant proteins
from the secretory pathway. Such proteins are retained in the endoplasmic
reticulum and targeted for degradation by the ubiquitin-proteasome system. Cis
acting motifs can function in ERAD as retention signals, preventing vesicular
export from the endoplasmic reticulum, or as degrons, targeting proteins for
degradation. Here, we show that microstp, the C-terminal 20-residue tailpiece of
the secretory IgM mus heavy chain, functions both as a portable retention signal
and as an ERAD degron. Retention of microstp fusions of secreted versions of
thyroid peroxidase and yellow fluorescent protein in the endoplasmic reticulum
requires the presence of the penultimate cysteine of microstp. In its role as a
portable degron, the microstp targets the retained proteins for ERAD but does not
serve as an obligatory ubiquitin-conjugation site. Abolishing microstp
glycosylation accelerates the degradation of both microstpCys-fused substrates,
yet absence of the N-glycan eliminates the requirement for the penultimate
cysteine in the retention and degradation of the unglycosylated yellow
fluorescent protein. Hence, the dual role played by the microstpCys motif as a
retention signal and as a degron can be attributed to distinct elements within
this sequence.
PMID- 18042627
TI - Neural cell adhesion molecule regulates the cellular response to fibroblast
growth factor.
AB - Neural cell adhesion molecule (NCAM) mediates cell-cell adhesion and signaling in
the nervous system, yet NCAM is also expressed in non-neural tissues, in which
its function has in most parts remained elusive. We have previously reported that
NCAM stimulates cell-matrix adhesion and neurite outgrowth by activating
fibroblast growth factor receptor (FGFR) signaling. Here, we investigated whether
the interplay between NCAM and FGFR has any impact on the response of FGFR to its
classical ligands, FGFs. To this end, we employed two fibroblast cell lines, NCAM
negative L cells and NCAM-positive NIH-3T3 cells, in which the expression of NCAM
was manipulated by means of transfection or RNAi technologies, respectively. The
results demonstrate that NCAM expression reduces FGF-stimulated ERK1/2
activation, cell proliferation and cell-matrix adhesion, in both L and NIH-3T3
cells. Furthermore, our data show that NCAM inhibits the binding of FGF to its
high-affinity receptor in a competitive manner, providing the mechanisms for the
NCAM-mediated suppression of FGF function. In this context, a small peptide that
mimics the binding of NCAM to FGFR was sufficient to block FGF-dependent cell
proliferation. These findings point to NCAM as being a major regulator of FGF
FGFR interaction, thus introducing a novel type of control mechanism for FGFR
activity and opening new therapeutic perspectives for those diseases
characterized by aberrant FGFR function.
PMID- 18042628
TI - Microbiological effects of prior vancomycin use in patients with methicillin
resistant Staphylococcus aureus bacteraemia.
AB - BACKGROUND: We sought to determine whether prior vancomycin use (within 30 days)
in patients who develop methicillin-resistant Staphylococcus aureus (MRSA)
bacteraemia is associated with isolates of reduced vancomycin susceptibility and
killing in vitro. METHODS: Thirty-eight MRSA from previously vancomycin-treated
patients and 43 MRSA from vancomycin-naive patients were evaluated by vancomycin
and daptomycin CLSI broth microdilution and killing assays. PCR was used to
determine accessory gene regulator (agr) type and staphylococcal cassette
chromosome mec (SCCmec) type, and nucleotide sequencing was used to determine spa
type. RESULTS: Vancomycin MICs were 0.5, 1.0 and 2.0 mg/L for 19, 55 and 7
isolates, respectively. Daptomycin MICs were 0.25, 0.5, 1.0 and 2.0 mg/L for 4,
50, 26 and 1 isolate, respectively. The agr-type distribution was agr group II
(59%), group I (25%) and group III (16%); 90% harboured SCCmec II. The genetic
background extrapolated by spa-typing showed that 58% of the isolates were of
clonal complex 5. MRSA bloodstream isolates from patients who had received
vancomycin within the preceding 30 days had a significantly decreased vancomycin
killing at 24 h in vitro (median log(10) decrease, 3.1 versus 2.2 cfu/mL; P =
0.021) and a significantly higher vancomycin MIC than isolates obtained from
patients without that history (P = 0.002). CONCLUSIONS: MRSA bloodstream isolates
from patients recently treated with vancomycin may demonstrate reduced
susceptibility and increased tolerance to vancomycin in vitro. Given that such
microbiological phenotypes have been associated with reduced vancomycin efficacy,
consideration may be given to alternative Gram-positive antimicrobial therapy in
patients who have recently been treated with vancomycin.
PMID- 18042629
TI - Serine proteases and serine protease inhibitors in testicular physiology: the
plasminogen activation system.
AB - The testis is an organ in which a series of radical remodeling events occurs
during development and in adult life. These events likely rely on a sophisticated
network of proteases and complementary inhibitors, including the plasminogen
activation system. This review summarizes our current knowledge on the testicular
occurrence and expression pattern of members of the plasminogen activation
system. The various predicted functions for these molecules in the establishment
and maintenance of the testicular architecture and in the process of
spermatogenesis are presented.
PMID- 18042630
TI - Spindle assembly in the absence of chromosomes in mouse oocytes.
AB - This study was carried out to investigate the contributions of chromosomes to
spindle assembly in mouse oocytes. We generated two groups of cytoplasts (holo-
and hemi-cytoplasts) by enucleation of germinal vesicle (GV), metaphase I (MI),
and metaphase II (MII) oocytes using micromanipulation technology. After in vitro
culture for 18 h, spindles with different shapes (bi-, mono-, or multipolar)
formed in most of these cytoplasts except in hemi-GV cytoplasts. Two or more
spindles were observed in most of holo-GV, holo-MI, and holo-MII cytoplasts
(76.1, 77.0, and 83.7% respectively). However, the proportions of hemi-MI and
hemi-MII cytoplasts with multiple sets of spindles decreased to 17.6 and 20.7%
respectively. A single bipolar spindle was observed in each sham-operated oocyte
generated by removing different volumes of cytoplasm from the oocytes and keeping
nuclei intact. Localization of gamma-tubulin showed that microtubule organizing
centers (MTOCs) were dispersed at each pole of the multiple sets of spindles
formed in holo-cytoplasts. However, most of the MTOCs aggregated at the two poles
of the bipolar spindle in sham-operated oocytes. Our results demonstrate that
chromosomes are not essential for initiating spindle assembly but for directing
distinct MTOCs to aggregate to form a bipolar spindle. Some factors of
undetermined nature may pre-exist in an inactive form in GV-stage ooplasm,
serving as initiators of spindle assembly upon their activation. Moreover, GV
materials released into the cytoplasm may facilitate spindle assembly in normal
meiotic maturation.
PMID- 18042631
TI - Rapid sperm acrosome reaction in the absence of acrosomal CD46 expression in
promiscuous field mice (Apodemus).
AB - There is pronounced promiscuity and sperm competition in long-tailed field mice
(Apodemus sylvaticus). These mice have evolved unusual sperm behaviour favouring
rapid fertilisation, including dynamic formation of sperm trains and their
subsequent dissociation. The cell surface complement regulatory (CReg) protein
CD46 is broadly expressed in eutherian mammals other than rodents, in which it is
expressed solely on the spermatozoal acrosomal membrane. Ablation of the CD46
gene has been associated with a faster acrosome reaction (AR) rate in inbred
laboratory mice. Here, we demonstrate that wild-caught field mice of three
species, A. sylvaticus, A. flavicollis and A. microps, exhibit a more rapid AR
than wild-caught house mice Mus musculus or inbred laboratory BALB/c mice. We
also demonstrate that wild-caught field mice of these three species, unlike house
mice, produce alternatively spliced transcripts of testicular CD46 mRNA lacking
exons 5-7 or 6-7, together with an extended 3' - and often truncated 5'-utr,
leading to failure to express any sperm CD46 protein in both the testis and
epididymis. Male field mice may therefore have traded expression of this CReg
protein for acrosomal instability, providing a novel genus-specific strategy to
favour rapid fertilisation and competitive advantage in the promiscuous
reproductive behaviour of wild field mice.
PMID- 18042632
TI - Germ cell-less like-2 protein is a new component of outer dense fibers in rat
sperm flagella.
AB - We have analyzed the expression profiles of ten genes in terms of testis
development and organ specificity in rat, which were selected from 215 round
spermatid-specific transcripts listed in a database. Out of the ten genes, we
directed our attention to one gene, a germ cell-less like-2 gene (gcl-2), a
homolog of Drosophila gcl gene (gcl), which is a component of the germ plasma and
required for primordial germ cell formation. Rat genome contains duplicate rat
gcl-2 (rgcl-2) genes, rgcl-2A and rgcl-2B, both of which are located at Xq13. RT
PCR analysis showed that the expression of the two genes was up-regulated during
testis development and that they were predominantly expressed in the testis. Both
rgcl-2A and rgcl-2B encode a protein of 498 amino acid residues, showing 90.56%
identity at the amino acid level. Confocal laser scanning microscopy revealed
that rgcl-2 protein was synthesized in the cytoplasm of elongating spermatids and
at least a part of it was integrated into the middle piece of spermatozoa during
spermiogenesis. Immunogold electron microscopy uncovered that rgcl-2 was
localized at the abaxial (convex) surface of outer dense fibers (ODF) of rat
sperm flagella. Therefore, we concluded that rgcl-2 is a new component of ODF in
sperm flagella.
PMID- 18042633
TI - Stem cell factor affects fate determination of human gonocytes in vitro.
AB - The stem cell factor (SCF), binding its tyrosine kinase receptor c-Kit, has been
shown to play essential roles in the proliferation, differentiation, and survival
of germline cells. However, few reports are available about the effect of SCF on
the development of human gonocytes within the fetal testis. The objective of this
study was to investigate whether SCF affects the biological behaviors of human
gonocytes before or after they enter the mitotic arrest stage. Employing an organ
culture system, we observed that addition of exogenous SCF could influence the
morphology of human gonocytes in vitro. Moreover, SCF was able to trigger the
colony formation of round gonocytes, which were characterized positive for
alkaline phosphatase activity, Oct-4, SSEA-4, and c-Kit as well. We found that
SCF exerted actions in a dose- and age-dependent manner, although the stimulatory
effect lasted no more than 14 days. We also showed that SCF played a role in
suppressing the apoptosis of human gonocytes. Blocking of SCF signaling with
either phosphatidylinositol 3-kinase or mitogen-activated protein kinase
inhibitor resulted in similar apoptotic features as well as the SCF-withdrawal
cultures. Taken together, we report that SCF acts as a potent regulator in the
fate determination of human gonocytes. Our studies should form the basis for in
vitro studies and facilitate investigation of the molecular mechanisms underlying
this unique stage.
PMID- 18042634
TI - Decontamination of leukemic cells and enrichment of germ cells from testicular
samples from rats with Roser's T-cell leukemia by flow cytometric sorting.
AB - Testicular germ cell transplantation is a novel strategy for preservation of
fertility in prepubertal cancer patients, but the risk of reseeding tumor cells
into cured patients presently limits clinical application of this approach. To
date, no systematic evaluation of the limitations of surface marker-based
decontamination of testicular samples with acute lymphoblastic leukemia has been
performed. Here, surface markers for leukemic (CD4 and major histocompatibility
complex class I) and germ cells (epithelia cell adhesion molecule) in testicular
samples infiltrated with Roser's T-cell leukemia were identified. These markers
were then used to delete leukemic cells and/or select for germ cells by flow
cytometry (FACS). The resulting cell populations were analyzed by FACS,
immunocytochemistry, or evaluation of leukemia transmission in syngeneic piebald
variegated rats. Simple positive selection of germ cells or deletion of leukemic
cells using specific surface markers was unable to effectively decontaminate
testicular samples. The poor specificity of spermatogonial surface markers and
aggregation of germ and leukemic cells limited the positive selection of germ
cells, while immunophenotypic variation among lymphoblastic leukemia cells
prevented adequate deletion of leukemic cells. Enzymatic treatment to disperse
the testicular cells and feature of the intratesticular environment contributed
to this immunophenotypic variation. Only germ cell selection in combination with
leukemic cell deletion prevented leukemia transmission in association with
intratesticular injection of the sorted cells. However, with such combined
sorting, only 0.23% of the original testicular cells were recovered. With
presently available techniques, flow cytometric purification of germ cells from a
leukemic donor is not sufficiently effective or safe for clinical use.
PMID- 18042635
TI - Epigenetic alteration of the donor cells does not recapitulate the reprogramming
of DNA methylation in cloned embryos.
AB - Epigenetic reprogramming is a prerequisite process during mammalian development
that is aberrant in cloned embryos. However, mechanisms that evolve abnormal
epigenetic reprogramming during preimplantation development are unclear. To trace
the molecular event of an epigenetic mark such as DNA methylation, bovine
fibroblasts were epigeneticallyaltered by treatment with trichostatin A (TSA) and
then individually transferred into enucleated bovine oocytes. In the TSA-treated
cells, expression levels of histone deacetylases and DNA methyltransferases were
reduced, but the expression level of histone acetyltransferases such as Tip60 and
histone acetyltransferase 1 (HAT1) did not change compared with normal cells. DNA
methylation levels of non-treated (normal) and TSA-treated cells were 64.0 and
48.9% in the satellite I sequence (P < 0.05) respectively, and 71.6 and 61.9% in
the alpha-satellite sequence respectively. DNA methylation levels of nuclear
transfer (NT) and TSA-NT blastocysts in the satellite I sequence were 67.2 and
42.2% (P < 0.05) respectively, which was approximately similar to those of normal
and TSA-treated cells. In the alpha-satellite sequence, NT and TSA-NT embryos
were substantially demethylated at the blastocyst stage as IVF-derived embryos
were demethylated. The in vitro developmental rate (46.6%) of TSA-NT embryos that
were individually transferred with TSA-treated cells was higher than that (31.7%)
of NT embryos with non-treated cells (P < 0.05). Our findings suggest that the
chromatin of a donor cell is unyielding to the reprogramming of DNA methylation
during preimplantation development, and that alteration of the epigenetic state
of donor cells may improve in vitro developmental competence of cloned embryos.
PMID- 18042636
TI - The block to apoptosis in bovine two-cell embryos involves inhibition of caspase
9 activation and caspase-mediated DNA damage.
AB - The capacity of the preimplantation embryo to undergo apoptosis in response to
external stimuli is developmentally regulated. Acquisition of apoptosis does not
occur in the cow embryo until between the 8- and 16-cell stages. The purpose of
the present experiments was to determine the mechanism by which apoptosis is
blocked in the bovine two-cell embryo. Heat shock (41 degrees C for 15 h) did not
increase activity of caspase-9 or group II caspases (caspase-2, -3, and -7) in
two-cell embryos but did in day 5 embryos. Exposure of embryos to carbonyl
cyanide 3-chlorophenylhydrazone (CCCP) to depolarize mitochondria resulted in
activation of caspase-9 and group II caspases at both stages of development. For
day 5 embryos, CCCP also increased the proportion of blastomeres that underwent
DNA fragmentation as determined by the TUNEL assay. In contrast, CCCP did not
increase TUNEL labeling when applied at the two-cell stage. In conclusion,
failure of heat shock to increase caspase-9 and group II caspase activity in the
two-cell embryo indicates that the signaling pathway leading to mitochondrial
depolarization and caspase activation is inhibited at this stage of development.
The fact that CCCP treatment of two-cell embryos induced caspase-9 and group II
caspase activity indicates that caspase activation is possible following
mitochondrial depolarization. However, since CCCP did not increase TUNEL labeling
of two-cell embryos, actions of group II-caspases to activate DNases is
inhibited.
PMID- 18042637
TI - Evaluation of triploid<-->diploid and trisomy-3<-->diploid mouse chimeras as
models for investigating how lineage restriction occurs in confined placental
mosaicism.
AB - Human confined placental mosaicism (CPM), where the placental trophoblast is
mosaic for a chromosome abnormality but the fetus is chromosomally normal, can
cause problems for prenatal diagnosis, but its causes are poorly understood.
Tetraploid<-->diploid chimeras provide a model for the development of one type of
CPM, but animal models for other types of restricted mosaicism are needed. The
objective of the present study was to evaluate triploid<-->diploid and trisomy-3<
->diploid chimeric mouse conceptuses as new models for investigating the
development of restricted mosaicism. Novel stocks of mice were generated to
produce triploid and trisomy-3 embryos that could be identified by DNA in situ
hybridisation to a chromosome 3 transgenic marker. Triploid<-->diploid and
trisomy-3<-->diploid mouse chimeras were produced by embryo aggregation, and the
contribution of triploid or trisomy-3 cells was analysed in the fetus and
extraembryonic tissues. Only two trisomy-3<-->diploid chimeras were analysed but
trisomy-3 cells contributed well to all lineages, so these chimeras did not show
restricted mosaicism. In contrast, triploid cells usually contributed poorly to
all lineages in the ten 3n<-->2n chimeras analysed. They contributed more to the
primitive endoderm derivatives than other lineages and were present in the
primitive endoderm derivatives of all ten chimeras, but excluded from fetuses and
trophectoderm derivatives in some cases. This pattern of restricted mosaicism
differs from that reported for tetraploid cells in tetraploid<-->diploid
chimeras, and triploid<-->diploid chimeras may provide a useful model for the
development of some types of restricted mosaicism in human conceptuses.
PMID- 18042638
TI - Identification of interleukin-1beta regulated genes in uterine smooth muscle
cells.
AB - We analyzed the response of uterine smooth muscle cells to interleukin-1beta (IL
1beta). We first showed that PHM1-31 myometrial cells, our cellular model, are
contractile. To determine the molecular mechanisms of uterine smooth muscle cell
activation by proinflammatory cytokines, we performed genechip expression array
profiling studies of PHM1-31 cells in the absence and the presence of IL-1beta.
In total, we identified 198 known genes whose mRNA levels are significantly
modulated (> 2.0-fold change) following IL-1beta exposure. We confirmed the
expression changes for selected genes by independent mRNA and protein analysis.
The group of genes induced by IL-1beta includes transcription factors and
inflammatory response genes such as nuclear factor of kappa light polypeptide
gene enhancer in B-cells (NFkappaB), pentraxin-related gene (PTX3), and tumor
necrosis factor alpha-induced protein 3/A20 (TNFAIP3/A20). We also found up
regulation of chemokines like C-X-C motif ligand 3 (CXCL3) and extracellular
matrix remodeling signaling molecules like tenascin C (TNC). Our data suggest
that IL-1beta elicits the rapid activation of a cellular network of genes
particularly implicated in inflammatory response that may create a cellular
environment favorable for myometrial cell contraction. Our results provide novel
insights into the mechanisms of uterine smooth muscle cell regulation and
possibly infection-induced preterm labor.
PMID- 18042639
TI - Ontogeny and nutritional programming of mitochondrial proteins in the ovine
kidney, liver and lung.
AB - This study investigated the developmental and nutritional programming of two
important mitochondrial proteins, namely voltage-dependent anion channel (VDAC)
and cytochrome c, in the sheep kidney, liver and lung. The effect of maternal
nutrient restriction between early and mid-gestation (i.e. 28- to 80-day
gestation, the period of maximal placental growth) on the abundance of these
proteins was also examined in fetal and juvenile offspring. Fetuses were sampled
at 80 and 140 days of gestation (term approximately 147 days), and postnatal
animals at 1 and 30 days and 6 months of age. The abundance of VDAC peaked at 140
days of gestation in the lung, compared with 1 day after birth in the kidney and
liver, whereas cytochrome c abundance was greatest at 140 days of gestation in
the liver, 1 day after birth in the kidney and 6 months of age in lungs. This
differential ontogeny in mitochondrial protein abundance between tissues was
accompanied with very different tissue-specific responses to changes in maternal
food intake. In the liver, maternal nutrient restriction only increased
mitochondrial protein abundance at 80 days of gestation, compared with no effect
in the kidney. In contrast, in the lung mitochondrial protein, abundance was
raised near to term, whereas VDAC abundance was decreased by 6 months of age.
These findings demonstrate the tissue-specific nature of mitochondrial protein
development that reflects differences in functional adaptation after birth. The
divergence in mitochondrial response between tissues to maternal nutrient
restriction early in pregnancy further reflects these differential ontogenies.
PMID- 18042640
TI - Treatment with an inhibitor of catechol-O-methyltransferase activity reduces
preterm birth and impedes cervical resistance to stretch in pregnant rats.
AB - Catechol-O-methyltransferase (COMT) enzyme catalyzes the methylation of the 2- or
4-hydroxyestrogens to 2- or 4-methoxyestrogens. Both the hydroxyestrogens and
methoxyestrogens have been shown to block or enhance the effects of estrogen
respectively. Our objective was to investigate the potential role of COMT in
parturition and cervical ripening using a rat model. Immunohistochemistry was
conducted to detect and localize the COMT protein in rat uterine tissues during
pregnancy. We measured the longitudinal changes in urinary 2-hydroxyestrogen
before, during, and after pregnancy in rats. Animal studies were conducted to
determine the effect of treatment with a selective COMT inhibitor on (1)
mifepristone-induced preterm birth and (2) cervical resistance to stretch in
pregnant rats. The intensity of staining for the COMT protein differed within the
luminal epithelium, uterine gland epithelium, endometrium, and myometrium during
pregnancy. Levels of staining for the COMT protein in rat myometrium were highest
on day 1 and lowest on days 8 and 13, but high levels returned by days 16 and 19
of pregnancy. The levels of urinary 2-hydroxyestrogen gradually increased in the
first 2 weeks of pregnancy, peaked from days 16 to 18 of pregnancy, and then
gradually returned to pre-pregnancy levels after delivery. The percentage of pups
retained in the uterus of pregnant rats treated with both mifepristone and COMT
inhibitor (48 +/- 15%) was significantly higher (P < 0.05) when compared with the
value of pregnant rats treated with mifepristone alone (12 +/- 4%). The
resistance to stretch was significantly higher (P < 0.05) in cervical tissues
from the pregnant rats treated with COMT inhibitor (0.28) when compared with
cervical tissues taken from rats treated with vehicle control (0.18). Modulation
of COMT activity may play a role in the regulation of myometrial contractility
and cervical ripening during pregnancy.
PMID- 18042641
TI - Early and long-lasting protection from arthritis in tumour necrosis factor alpha
(TNFalpha) transgenic mice vaccinated against TNFalpha.
AB - OBJECTIVE: To evaluate the effect in mice with arthritis of active anti-tumour
necrosis factor (TNF)alpha immunotherapy based on a keyhole limpet haemocyanin
human TNFalpha heterocomplex (hTNFalpha kinoid or TNFK) adjuvanted in incomplete
Freund adjuvant. Immunotherapy was evaluated also with methotrexate. METHODS:
Human TNFalpha-transgenic mice received TNFK with or without methotrexate. Follow
up ranged from 6 weeks (short term) to 17 weeks (long term). Arthritis was
evaluated clinically and histologically. Monitoring included titration of anti
hTNFalpha antibodies by ELISA and neutralisation assay. RESULTS: Vaccination with
TNFK was associated with rapid-onset, long-lasting protection. Long-term results
showed significantly milder arthritis in vaccinated animals than in control
animals at the peak of the disease. Vaccination was followed by resolution of the
clinical evidence of arthritis, contrasting with severe progressive arthritis in
the control group. Histological improvements with decreased inflammation and
destruction were noted in all immunised groups, even after the shortest follow-up
(6 weeks). High titres of neutralising anti-hTNFalpha antibodies were detected as
early as the fifth week post immunisation and persisted over time. Methotrexate
given concomitantly with the vaccine did not influence either the effect on
arthritis or the anti-hTNFalpha antibody titres. CONCLUSION: Anti-cytokine
induction of autoimmune protection against chronic hTNFalpha overproduction is an
efficient alternative to TNFalpha blockade in experimental arthritis and can be
achieved using a TNFK vaccine.
PMID- 18042642
TI - Responsiveness to anti-tumour necrosis factor alpha therapy is related to pre
treatment tissue inflammation levels in rheumatoid arthritis patients.
AB - OBJECTIVE: The response of rheumatoid arthritis (RA) patients to treatment with
neutralising antibodies to tumour necrosis factor alpha (TNFalpha) is highly
variable. The underlying mechanism for therapy responsiveness is currently
unknown. We therefore evaluated the relationship between baseline molecular
profiles of synovial tissues from RA patients and the clinical response to
treatment with infliximab. METHODS: Synovial biopsies were obtained by
arthroscopy from 18 RA patients with active disease (28 joint count Disease
Activity Score (DAS28) > or = 3.2) before initiation of treatment with
infliximab. All patients were on stable methotrexate treatment. Clinical response
at 16 weeks was defined as a reduction in DAS28 of > or = 1.2, non-response as
reduction in DAS28 < 1.2. Large-scale gene expression profiling using microarrays
was performed on synovial tissue samples. To identify biological processes in
synovial biopsies that could discriminate between responders and non-responders,
we performed pathway analysis on the expression profiles. RESULTS: A total of 12
patients responded to therapy, while 6 patients failed to fulfil the response
criteria. We identified several biological processes, related to inflammation,
which were up-regulated in patients who responded to therapy, compared to those
who did not show clinical improvement. CONCLUSION: These results indicate that
patients with a high level of tissue inflammation are more likely to benefit from
anti-TNFalpha treatment.
PMID- 18042643
TI - Flexible, capacity-limited activity of posterior parietal cortex in perceptual as
well as visual short-term memory tasks.
AB - It has recently been shown, using functional magnetic resonance imaging with a
change detection paradigm, that activity in posterior parietal cortex (PPC)
correlates with the limited number of objects held in visual short-term memory
(VSTM). We replicate this finding and extend it to tasks that use similar
stimuli, but without explicit memory requirements. As well as a perceptual task
used previously (detecting an item at fixation), 2 additional tasks were designed
to increase attentional demands across space (searching for a red item anywhere
in the array) and across both space and time (detecting a staggered offset after
prolonged viewing of the array). During the VSTM task, a capacity-limited set
size effect was seen in PPC as well as occipital and frontal regions. However,
the PPC showed similar activity during 2 of the tasks not requiring VSTM. These
findings cannot easily be explained by behavioral performance measures or memory
demands alone, suggesting a role of the PPC in processing a limited number of
discrete object representations, whether in the current perceptual scene or
working memory. The differential influence of item load across perceptual tasks
is consistent with task requirements affecting the form of these representations.
PMID- 18042644
TI - Identification of novel modulators of mitochondrial function by a genome-wide
RNAi screen in Drosophila melanogaster.
AB - Mitochondrial dysfunction is associated with many human diseases. There has not
been a systematic genetic approach for identifying regulators of basal
mitochondrial biogenesis and function in higher eukaryotes. We performed a genome
wide RNA interference (RNAi) screen in Drosophila cells using mitochondrial
Citrate synthase (CS) activity as the primary readout. We screened 13,071 dsRNAs
and identified 152 genes that modulate CS activity. These modulators are involved
in a wide range of biological processes and pathways including mitochondrial
related functions, transcriptional and translational regulation, and signaling
pathways. Selected hits among the 152 genes were further analyzed for their
effect on mitochondrial CS activity in transgenic flies or fly mutants. We
confirmed a number of gene hits including HDAC6, Rpd3(HDAC1), CG3249, vimar,
Src42A, klumpfuss, barren, and smt3 which exert effects on mitochondrial CS
activities in vivo, demonstrating the value of Drosophila genome-wide RNAi
screens for identifying genes and pathways that modulate mitochondrial function.
PMID- 18042646
TI - Biallelic inactivation of the dual oxidase maturation factor 2 (DUOXA2) gene as a
novel cause of congenital hypothyroidism.
AB - CONTEXT: Dual oxidase 2 (DUOX2) is the catalytic core of the H(2)O(2) generator
crucial for the iodination of thyroglobulin in thyroid hormone synthesis. DUOX2
deficiency produces congenital hypothyroidism (CH) in humans and mice. We
recently cloned a novel gene, the product of which (dual oxidase maturation
factor 2; DUOXA2) is required to express DUOX2 enzymatic activity. OBJECTIVE: Our
objective was to identify DUOXA2 mutations as a novel cause of CH due to
dyshormonogenesis. PATIENTS: Subjects included 11 CH patients with partial iodine
organification defect but negative for other known genetic causes of partial
iodine organification defect. RESULTS: One Chinese patient born to
nonconsanguineous parents was homozygous for a nonsense mutation (p.Y246X),
producing a truncated DUOXA2 protein lacking transmembrane helix 5 and the C
terminal cytoplasmic domain. The mutant protein was inactive in reconstituting
DUOX2 in vitro. Pedigree analysis demonstrated recessive inheritance, because
heterozygous carriers had normal thyroid function including negative results in
neonatal TSH screening. One heterozygous carrier of Y246X was identified in
unrelated Chinese controls (n = 92) but not in Caucasian or Japanese controls,
indicating that homozygosity for Y246X could be a frequent cause of CH in
Chinese. Functional studies suggest that the DUOXA2 paralog (DUOXA1) can
partially compensate DUOXA2 deficiency, consistent with the proband having a
milder CH phenotype than patients with biallelic DUOX2 nonsense mutations.
CONCLUSIONS: We report the first mutation in DUOXA2, identified in a patient with
CH and dyshormonogenic goiter. Results of our studies provide evidence for the
critical role of DUOXA2 in thyroid hormonogenesis. Biallelic DUOXA2 mutations are
a novel genetic event in permanent CH.
PMID- 18042645
TI - Genome-wide mapping and analysis of active promoters in mouse embryonic stem
cells and adult organs.
AB - By integrating genome-wide maps of RNA polymerase II (Polr2a) binding with gene
expression data and H3ac and H3K4me3 profiles, we characterized promoters with
enriched activity in mouse embryonic stem cells (mES) as well as adult brain,
heart, kidney, and liver. We identified approximately 24,000 promoters across
these samples, including 16,976 annotated mRNA 5' ends and 5153 additional sites
validating cap-analysis of gene expression (CAGE) 5' end data. We showed that
promoters with CpG islands are typically non-tissue specific, with the majority
associated with Polr2a and the active chromatin modifications in nearly all the
tissues examined. By contrast, the promoters without CpG islands are generally
associated with Polr2a and the active chromatin marks in a tissue-dependent way.
We defined 4396 tissue-specific promoters by adapting a quantitative index of
tissue-specificity based on Polr2a occupancy. While there is a general
correspondence between Polr2a occupancy and active chromatin modifications at the
tissue-specific promoters, a subset of them appear to be persistently marked by
active chromatin modifications in the absence of detectable Polr2a binding,
highlighting the complexity of the functional relationship between chromatin
modification and gene expression. Our results provide a resource for exploring
promoter Polr2a binding and epigenetic states across pluripotent and
differentiated cell types in mammals.
PMID- 18042647
TI - Association of a nicotinic receptor mutation with reduced height and blunted
physostigmine-stimulated growth hormone release.
AB - BACKGROUND: Pulsatile GH secretion from the anterior pituitary is a key mediator
of human growth regulation and is affected by a number of genetic and
environmental factors. Activation of neuronal nicotinic acetylcholine (nACh)
receptors promotes GH release, but the role of these receptors in growth
regulation is unknown. AIM: Our aim was to assess the effect of a mutation in the
alpha4 subunit of the nACh receptor on cholinergic-mediated GH release. METHODS:
Forty-one healthy volunteers (24 male, age 36.2 +/- 12.2 yr, mean +/- sd) and 13
subjects with the alpha4-Ser248Phe mutation (four male, age 43.2 +/- 16.8 yr)
were studied. Serum levels of GH, LH, FSH, prolactin, TSH, free T(4), and
cortisol were measured at baseline and at regular intervals after infusion of
physostigmine. Height and weight were recorded in all participants as well as
from additional family members with (n = 11, four male) and without (n = 16,
seven male) the mutation. RESULTS: Subjects with the mutation were shorter (1.62
+/- 0.08 vs. 1.72 +/- 0.09 m, P < 0.05) and had a greater body mass index (31 +/-
6 vs. 24 +/- 3 kg/m(2), P < 0.05) than healthy volunteers and unaffected members
of the pedigree. In controls, physostigmine markedly increased the serum levels
of GH (mean increase, +732%). In contrast, the response to physostigmine was
markedly blunted in subjects with the mutation (+104%, P > 0.2 vs. control).
CONCLUSIONS: These findings suggest a role of the nACh receptor in human growth
regulation.
PMID- 18042648
TI - L-thyroxine requirement in patients with autoimmune hypothyroidism and parietal
cell antibodies.
AB - BACKGROUND: Hypothyroid patients on l-T(4) therapy may require replacement doses
exceeding the theoretical needs to normalize serum TSH due to low patient
compliance, drugs interference, and malabsorption. OBJECTIVE: We examined whether
autoimmune gastritis might cause increased l-T(4) requirement in patients with
autoimmune thyroiditis receiving l-T(4) replacement. PATIENTS: We studied 391
patients with clinical or subclinical hypothyroidism from autoimmune thyroiditis
who had achieved normal serum TSH concentration (0.3-3.0 microU/ml) under l-T(4)
for at least 6 months. Patients were screened for serum parietal cell antibodies
(PCA) as a marker of autoimmune gastritis, and the PCA status was correlated with
the l-T(4) dose. We also studied a group of 60 patients receiving l-T(4)
replacement after total thyroidectomy. RESULTS: PCA-positive (155 of 391) and PCA
negative (236 of 391) patients did not differ for pretherapy serum TSH levels and
thyroid volume. The l-T(4) requirement was significantly (P = 0.002) higher in
PCA-positive (1.24 +/- 0.40 microg/kg x d) than in PCA-negative patients (1.06 +/
0.36 microg/kg x d), and a significant positive correlation was found between l
T(4) requirement and serum PCA levels. Among PCA-positive patients, l-T(4)
requirement was even higher in those with proven gastritis (1.52 +/- 0.40
microg/kg x d) compared with those without gastric damage (1.15 +/- 0.33
microg/kg x d) (P < 0.0001). The increased l-T(4) requirement was confirmed also
in PCA-positive thyroidectomized patients (1.81 +/- 0.27 microg/kg x d) compared
with PCA-negative thyroidectomized patients (1.52 +/- 0.24 microg/kg x d).
Independent variables affecting l-T(4) requirement were PCA and serum TSH at
diagnosis. CONCLUSIONS: Autoimmune gastritis is an additional factor affecting l
T(4) requirement in patients with autoimmune thyroiditis. Serum PCA measurement
should be considered in patients with an unexplained high requirement of l-T(4).
PMID- 18042649
TI - Fat mass accumulation during childhood determines insulin sensitivity in early
adulthood.
AB - BACKGROUND/OBJECTIVES: Low birth weight and postnatal catch-up growth have been
associated with an increased risk for diabetes mellitus type II (DMII). We
evaluated the contribution of birth and adult size, body composition, and waist
to-hip ratio to DMII risk factors in young adulthood. METHODS: In a group of 136
young adults, aged 18-24 yr, insulin sensitivity and disposition index were
determined by frequent sampling iv glucose tolerance test. The association of
clinical parameters with these variables was analyzed with multiple regression
modeling. In addition, differences in insulin sensitivity and disposition index,
a measure for beta-cell function, were analyzed in four subgroups, young adults
either born small for gestational age SGA with short stature (n = 25) or SGA with
catch-up growth (n = 23) or born appropriate for gestational age with idiopathic
short stature (n = 23) or with normal stature (controls) (n = 26). RESULTS: Fat
mass was the only significant predictor of insulin sensitivity, whereas birth
length and birth weight were not significant. After correction for age, gender,
and adult body size, insulin sensitivity was significantly lower in subjects born
SGA with catch-up growth compared with controls. None of the variables had a
significant influence on disposition index, and there was no significant
difference in disposition index between the subgroups. CONCLUSIONS: Our data show
that a higher body fat mass at 21 yr is associated with reduced insulin
sensitivity, independent of birth size. These findings have important
implications for public health practice.
PMID- 18042650
TI - Measurements of islet function and glucose metabolism with the dipeptidyl
peptidase 4 inhibitor vildagliptin in patients with type 2 diabetes.
AB - OBJECTIVE: Pharmacological inhibition with the dipeptidyl peptidase 4 (DPP-4)
inhibitor vildagliptin prolongs the action of endogenously secreted incretin
hormones leading to improved glycemic control in patients with type 2 diabetes
mellitus (T2DM). We undertook a double-blinded, randomized-order, crossover study
to examine the vildagliptin mechanisms of action on islet function and glucose
utilization. RESEARCH DESIGN AND METHODS: Participants with T2DM (n = 16) who had
a baseline hemoglobin A(1c) of 7.1 +/- 0.2% completed a crossover study with 6 wk
of treatment with vildagliptin and 6 wk with placebo. At the completion of each
arm, participants had a study of postprandial metabolism and a two-step glucose
clamp performed at 20 and 80 mU/min x m(2) insulin infusions. RESULTS:
Vildagliptin increased postprandial glucagon-like peptide-1 and glucose-dependent
insulinotropic polypeptide by 3- and 2-fold, respectively, reduced fasting plasma
glucose and postprandial plasma glucose by 1.3 +/- 0.3 mmol/liter and 1.6 +/- 0.3
mmol/liter (both P <0.01), and improved glucose responsiveness of insulin
secretion by 50% (P < 0.01). Vildagliptin lowered postprandial glucagon by 16% (P
<0.01). Examined by glucose clamp, insulin sensitivity and glucose clearance
improved after vildagliptin (P < 0.01). CONCLUSIONS: Vildagliptin improves islet
function in T2DM and improves glucose metabolism in peripheral tissues.
PMID- 18042651
TI - Acute effects of ghrelin administration on glucose and lipid metabolism.
AB - CONTEXT: Ghrelin infusion increases plasma glucose and nonesterified fatty acids,
but it is uncertain whether this is secondary to the concomitant release of GH.
OBJECTIVE: Our objective was to study direct effects of ghrelin on substrate
metabolism. DESIGN: This was a randomized, single-blind, placebo-controlled two
period crossover study. SETTING: The study was performed in a university clinical
research laboratory. PARTICIPANTS: Eight healthy men aged 27.2 +/- 0.9 yr with a
body mass index of 23.4 +/- 0.5 kg/m(2) were included in the study. INTERVENTION:
Subjects received infusion of ghrelin (5 pmol x kg(-1) x min(-1)) or placebo for
5 h together with a pancreatic clamp (somatostatin 330 microg x h(-1), insulin
0.1 mU x kg(-1) x min(-1), GH 2 ng x kg(-1) x min(-1), and glucagon 0.5 ng.kg(-1)
x min(-1)). A hyperinsulinemic (0.6 mU x kg(-1) x min(-1)) euglycemic clamp was
performed during the final 2 h of each infusion. RESULTS: Basal and insulin
stimulated glucose disposal decreased with ghrelin [basal: 1.9 +/- 0.1 (ghrelin)
vs. 2.3 +/- 0.1 mg x kg(-1) x min(-1), P = 0.03; clamp: 3.9 +/- 0.6 (ghrelin) vs.
6.1 +/- 0.5 mg x kg(-1) x min(-1), P = 0.02], whereas endogenous glucose
production was similar. Glucose infusion rate during the clamp was reduced by
ghrelin [4.0 +/- 0.7 (ghrelin) vs. 6.9 +/- 0.9 mg.kg(-1) x min(-1); P = 0.007],
whereas nonesterified fatty acid flux increased [131 +/- 26 (ghrelin) vs. 69 +/-
5 micromol/min; P = 0.048] in the basal period. Regional lipolysis (skeletal
muscle, sc fat) increased insignificantly with ghrelin infusion. Energy
expenditure during the clamp decreased after ghrelin infusion [1539 +/- 28
(ghrelin) vs. 1608 +/- 32 kcal/24 h; P = 0.048], but the respiratory quotient did
not differ. Minor but significant elevations in serum levels of GH and cortisol
were observed after ghrelin infusion. CONCLUSIONS: Administration of exogenous
ghrelin causes insulin resistance in muscle and stimulates lipolysis; these
effects are likely to be direct, although a small contribution of GH and cortisol
cannot be excluded.
PMID- 18042652
TI - Disturbances of calcium homeostasis consistent with mild primary
hyperparathyroidism in premenopausal women and associated morbidity.
AB - CONTEXT: Primary hyperparathyroidism (PHPT) and associated morbidity are
comprehensively assessed in elderly females; however, less is known of the
disease in younger women. OBJECTIVES: Our objectives were to estimate the
prevalence of mild disturbances in calcium homeostasis, which could be analogous
with early PHPT, in a premenopausal population, and determine the potential
presence of associated morbidity. DESIGN: Initial results from this longitudinal
study are from 2002-2004. SETTING: We conducted a population-based screening of
serum (s)-calcium in conjunction with routine mammography. PARTICIPANTS:
Participants included premenopausal women, 40-50 yr of age (n = 1900). Cases
fulfilling previously evaluated biochemical criteria for PHPT (n=214) were
matched to controls (n = 214). MAIN OUTCOME MEASUREMENTS: All participants
underwent investigation, including screening of parameters of calcium
homeostasis, dual x-ray absorptiometry, and body mass index assessment, and
filled out extensive health and quality of life (SF-36) questionnaires.
Participants were divided into four groups depending on the relation between s
calcium/intact PTH. Statistical comparisons between cases and controls as well as
among the four groups were performed to evaluate morbidity. RESULTS: The
prevalence of assumed mild PHPT, i.e. inappropriate intact PTH value in relation
to total s-calcium, was estimated to be 5.1% (n = 96). Women with mild
disturbances in calcium homeostasis had statistically significant lower bone
mineral density in the proximal femur and femoral neck, higher body mass index,
and lower scores for vitality and general health in the analysis of SF-36.
CONCLUSIONS: Mild disturbances in calcium homeostasis in premenopausal women were
more prevalent than previously thought and were associated with obesity, lower
bone mineral density, and decreased quality of life.
PMID- 18042654
TI - Using routine data to measure ethnic differentials in access to coronary
revascularization.
AB - BACKGROUND: Ethnic inequalities in access to health services are difficult to
monitor and address because of limited data. Within the health service, ethnicity
data have been poor quality, partly because they are not seen as useful. METHODS:
The analysis related age- and sex-standardized coronary revascularization
procedures to defined measures of need, using proportional ratios derived from
Hospital Episode Statistics records for London residents admitted to any hospital
nationally in 2002-03 or 2003-04. RESULTS: Although 2001 Ethnicity Categories
were mandatory for the NHS from April 2001, by 2003-04 >20% of coronary heart
disease (CHD) records still had no ethnic category coded. Hospital admission for
CHD and revascularization by ethnicity varied widely, following known patterns of
CHD incidence and mortality. There is much less variation between ethnic groups
when comparing revascularization rate relative with CHD admission rates (whether
all or emergencies). However, Bangladeshi patients had only two-thirds
[proportional ratio 66.8, 95% confidence interval (CI) 60.7-73.3] and Black
Caribbean and Black African patients four-fifths (proportional ratios 80.5, 72.0
89.9 and 80.7, 68.0-95.2, respectively) the revascularization rate in comparison
with apparent need as the general population. CONCLUSION: Even with imperfect
data, the analysis of routine data can identify inequalities that warrant further
investigation.
PMID- 18042655
TI - Influence of feedback schedule in motor performance and learning of a lumbar
multifidus muscle task using rehabilitative ultrasound imaging: a randomized
clinical trial.
AB - BACKGROUND AND PURPOSE: Low back pain (LBP) may be associated with inadequate
multifidus muscle function. Varying the frequency and timing of feedback may
enhance acquisition and retention of multifidus muscle recruitment during
exercise. SUBJECTS: Subjects without LBP (n=30) were randomly assigned to a
constant (CON) or variable (VAR) feedback group. Twenty-eight subjects (mean
age=28 years, SD=8.0; mean body mass index=24 kg/m(2), SD=0.70) completed
training, and 23 completed retention testing. METHODS: Eight training sessions
over 4 weeks included multifidus muscle exercise with rehabilitative ultrasound
imaging (RUSI) feedback. Retention was assessed at 1 week and >or=1 month.
RESULTS: At the start, both groups had similar performances of multifidus muscle
recruitment (Fisher exact test, P=.26). Early in training, the CON group had good
success (mean=80%) that was maintained at session 8 (mean=84%), with no
difference between sessions 1 and 8 (Wilcoxon signed rank test, P=.19, 95%
confidence interval [CI]=-9%, 42%). The VAR group gradually increased success
(Wilcoxon signed rank test, P=.002, 95% CI=17%, 59%) between sessions 1 and 8.
Both groups sustained their session 8 success when tested for short-term
retention at 1 week (CON group: Wilcoxon signed rank test, P=.79; VAR group:
Wilcoxon signed rank test, P=.36). At the long-term retention test, the VAR group
outperformed the CON group (Wilcoxon score test, P=.04), indicating superior
motor learning. DISCUSSION AND CONCLUSION: Variable feedback provided by RUSI
resulted in greater success in lumbar multifidus muscle recruitment up to 3 to 4
months after training.
PMID- 18042653
TI - Increased melatonin and delayed offset in menopausal depression: role of years
past menopause, follicle-stimulating hormone, sleep end time, and body mass
index.
AB - CONTEXT: The constellation of endocrine patterns accompanying menopausal
depression remains incompletely characterized. OBJECTIVE: Our objective was to
test the hypothesis that the amplitude or phase (timing) of melatonin circadian
rhythms differs in menopausal depressed patients (DP) vs. normal controls women
(NC). DESIGN: We measured plasma melatonin every 30 min from 1800-1000 h in dim
light (<30 lux) or dark, serum gonadotropins and steroids (1800 and 0600 h), and
mood (Hamilton and Beck depression ratings). SETTING: The study was conducted at
a university hospital. PARTICIPANTS AND SETTING: Twenty-nine (18 NC, 11 DP) peri-
or postmenopausal women participated. MAIN OUTCOME MEASURES: We measured plasma
melatonin (onset, offset, synthesis offset, duration, peak concentration, and
area under the curve) and mood. RESULTS: Multi- and univariate analyses of
covariance showed that melatonin offset time was delayed (P = 0.045) and plasma
melatonin was elevated in DP compared with NC (P = 0.044) across time intervals.
Multiple regression analyses showed that years past menopause predicted melatonin
duration and that melatonin duration, body mass index, years past menopause, FSH
level, and sleep end time were significant predictors of baseline Hamilton (P =
0.0003) and Beck (P = 0.00004) depression scores. CONCLUSIONS: Increased
melatonin secretion that is phase delayed into the morning characterized
menopausal DP vs. NC. Years past menopause, FSH, sleep end time, and body mass
index may modulate effects of altered melatonin secretion in menopausal
depression.
PMID- 18042656
TI - Implementing an integrated electronic outcomes and electronic health record
process to create a foundation for clinical practice improvement.
AB - BACKGROUND AND PURPOSE: Improving clinical outcomes requires continuous
measurement and interpretation in conjunction with treatment process and patient
characteristics. The purposes of this study were: (1) to describe implementation
and integration of electronic functional status outcomes into an electronic
health record (EHR) for the promotion of clinical practice improvement processes
and (2) to examine the effect of ongoing outcomes data collection in a large
physical therapy service in relation to patient and clinic burden. SUBJECTS: Data
were examined from 21,523 adult patients (mean age=50.6 years, SD=16.3, range=18
99; 58.9% women, 41.1% men) referred for physical therapist management of
neuromusculoskeletal disorders. METHODS: Process and patient characteristic data
were entered into the EHR. OUTCOMES: data collected using computerized adaptive
testing technology in 11 outpatient clinics were integrated into the EHR. The
effect of data collection was assessed by measuring the participation rate,
completion rate, and data entry time. Qualitative assessment of the
implementation process was conducted. RESULTS: After 1 year, the average
participation rate per clinic was 79.8% (range=52.7%-100%), the average
completion rate per clinic was 45.1% (range=19.3%-64.7%), and the average data
entry time per patient (minutes:seconds) was 03:37 (SD=02:19). Maximum estimate
of average administrative time per patient was 9.6% of overall episode time.
Barriers to and facilitators of the implementation process were identified.
DISCUSSION AND CONCLUSION: The results indicate that routine collection of
outcome data is realistic in a large public physical therapy service and can be
successfully integrated with EHR data to produce a valuable clinical practice
improvement platform for service evaluation and outcomes research. Participation
and completion rate goals of 90% and 65%, respectively, appear to be feasible.
PMID- 18042657
TI - Effect of focus of attention and age on motor acquisition, retention, and
transfer: a randomized trial.
AB - BACKGROUND AND PURPOSE: Adult participants benefit more from external focus than
internal focus when learning a new motor skill. Because learners from different
age groups use different learning strategies, the purpose of this study was to
investigate whether the effect of attention focus varies among children and
adults. SUBJECTS AND METHODS: Thirty-four children and 32 adults were randomly
assigned to internal or external focus-of-attention practice groups. Throwing
darts toward a static target, participants performed 50 acquisition trials, 20
retention trials, and 20 transfer trials. RESULTS: The results indicate that
focus of attention varies between children and adults in accuracy and variability
in the acquisition phase and in accuracy in the transfer phase. No interactions
were found in the retention phase. DISCUSSION AND CONCLUSION: The findings
suggest that external focus is more effective than internal focus in adults;
therefore, physical therapists should instruct adult clients to focus their
attention externally to facilitate motor learning. Physical therapists working
with children should perhaps direct the client's attention internally; however,
further study is needed.
PMID- 18042658
TI - Chemical basis of glycine riboswitch cooperativity.
AB - The glycine binding riboswitch forms a unique tandem aptamer structure that binds
glycine cooperatively. We employed nucleotide analog interference mapping (NAIM)
and mutagenesis to explore the chemical basis of glycine riboswitch
cooperativity. Based on the interference pattern, at least two sites appear to
facilitate cooperative tertiary interactions, namely, the minor groove of the P1
helix from aptamer 1 and the major groove of the P3a helix from both aptamers.
Mutation of these residues altered both the cooperativity and binding affinity of
the riboswitch. The data support a model in which the P1 helix of the first
aptamer participates in a tertiary interaction important for cooperativity, while
nucleotides in the P1 helix of the second aptamer interface with the expression
platform. These data have direct analogy to well-characterized mutations in
hemoglobin, which provides a framework for considering cooperativity in this RNA
based system.
PMID- 18042659
TI - The demise of anti IL-5 for asthma, or not.
PMID- 18042660
TI - Classification of diffuse lung disease in infants: the reality of groups.
PMID- 18042661
TI - Lung cancer chemoprevention with inhaled corticosteroids?
PMID- 18042662
TI - KATP channel-deficient pancreatic beta-cells are streptozotocin resistant because
of lower GLUT2 activity.
AB - In wild-type mice, a single injection of streptozotocin (STZ, 200 mg/kg body wt)
caused within 4 days severe hyperglycemia, hypoinsulinemia, significant glucose
intolerance, loss of body weight, and the disappearance of pancreatic beta-cells.
However, in ATP-sensitive K(+) channel (K(ATP) channel)-deficient mice (Kir6.2(-/
) mice), STZ had none of these effects. Exposing isolated pancreatic islets to
STZ caused severe damage in wild-type but not in Kir6.2(-/-) islets. Following a
single injection, plasma STZ levels were slightly less in Kir6.2(-/-) mice than
in wild-type mice. Despite the difference in plasma STZ, wild-type and Kir6.2(-/
) liver accumulated the same amount of STZ, whereas Kir6.2(-/-) pancreas
accumulated 4.1-fold less STZ than wild-type pancreas. Kir6.2(-/-) isolated
pancreatic islets also transported less glucose than wild-type ones.
Quantification of glucose transporter 2 (GLUT2) protein content by Western blot
using an antibody with an epitope in the extracellular loop showed no significant
difference in GLUT2 content between wild-type and Kir6.2(-/-) pancreatic islets.
However, visualization by immunofluorescence with the same antibody gave rise to
32% less fluorescence in Kir6.2(-/-) pancreatic islets. The fluorescence
intensity using another antibody, with an epitope in the COOH terminus, was 5.6
times less in Kir6.2(-/-) than in wild-type pancreatic islets. We conclude that
1) Kir6.2(-/-) mice are STZ resistant because of a decrease in STZ transport by
GLUT2 in pancreatic beta-cells and 2) the decreased transport is due to a
downregulation of GLUT2 activity involving an effect at the COOH terminus.
PMID- 18042663
TI - Cannabinoids stimulate prostaglandin production by human gestational tissues
through a tissue- and CB1-receptor-specific mechanism.
AB - Endocannabinoids have been implicated in the mechanisms of implantation,
maintenance of pregnancy, and parturition in women. Intrauterine prostaglandin
production and actions are also critical in each of these mechanisms. Hence, we
have evaluated the effects of cannabinoids on prostaglandin biosynthesis by human
gestational membranes. Explants of term amnion and choriodecidua were established
and treated with the endogenous endocannabinoids 2-arachidonoyl glycerol and
anandamide, as well as the synthetic cannabinoid CP55,940, to determine their
ability to modulate PGE(2) production. The explants were also treated with
CP55,940 in the presence of either SR141716A (a potent and selective antagonist
of the cannabinoid receptor CB1) or NS398 [a cyclooxygenase (COX)-2 inhibitor] to
determine whether any observed stimulation of PGE(2) production was mediated
through the CB1-receptor and/or COX-2 activity. All three cannabinoids caused a
significant increase in PGE(2) production in the amnion but not in the
choriodecidua. However, separated fetal (chorion) explants responded to
cannabinoid treatment in a similar manner to amnion, whereas maternal (decidual)
explants did not. The enhanced PGE(2) production caused by CP55,940 was abrogated
by cotreatment with either SR141716A or NS398, illustrating that the cannabinoid
action on prostaglandin production in fetal membranes is mediated by CB1 agonism
and COX-2. Data from Western blotting show that cannabinoid treatment results in
the upregulation of COX-2 expression. This study demonstrates a potential role
for endocannabinoids in the modulation of prostaglandin production in late human
pregnancy, with potentially important implications for the timing and progression
of term and preterm labor and membrane rupture.
PMID- 18042664
TI - Loss of stearoyl-CoA desaturase 1 inhibits fatty acid oxidation and increases
glucose utilization in the heart.
AB - Stearoyl-CoA desaturase (SCD) is a lipogenic enzyme that catalyzes the synthesis
of monounsaturated fatty acids (FA). SCD1 deficiency activates metabolic pathways
that promote FA beta-oxidation and decrease lipogenesis in liver. In the present
study, we show that FA transport and oxidation are decreased, whereas glucose
uptake and oxidation are increased in the heart of SCD1(-/-) mice. Protein levels
of FA transport proteins such as FA translocase/CD36 and FA transport protein as
well as activity of carnitine palmitoyltransferase 1, the rate-limiting enzyme
for mitochondrial fat oxidation, were significantly lower in the heart of SCD1(-/
) mice compared with SCD1(+/+) mice. Consequently, the rate of palmitoyl-CoA
oxidation was decreased significantly in the heart of SCD1(-/-) mice. mRNA levels
of peroxisome proliferator-activated receptor-alpha, a key transcription factor
controlling genes of FA oxidation, were significantly reduced in SCD1(-/-) mice.
Phosphorylation of insulin receptor substrate-1 (IRS-1) and the association of
alphap85 subunit of phosphatidylinositol 3-kinase with IRS-1 were significantly
higher under both basal and insulin-stimulated conditions in SCD1(-/-) hearts.
This increased insulin sensitivity translated to a 1.8-fold greater 2
deoxyglucose uptake and 2-fold higher rate of glucose oxidation in the myocardium
compared with SCD1(+/+) counterparts. The results suggest that SCD1 deficiency
causes a shift in cardiac substrate utilization from FA to glucose by
upregulating insulin signaling, decreasing FA availability, and reducing
expression of FA oxidation genes in the heart. This increase in cardiac insulin
sensitivity and glucose utilization due to SCD1 deficiency could prove
therapeutic in pathological conditions such as obesity that are characterized by
skewed cardiac substrate utilization.
PMID- 18042665
TI - Effect of sex differences on human MEF2 regulation during endurance exercise.
AB - Women exhibit an enhanced capability for lipid metabolism during endurance
exercise compared with men. The underlying regulatory mechanisms behind this sex
related difference are not well understood but may comprise signaling through a
myocyte enhancer factor 2 (MEF2) regulatory pathway. The primary purpose of this
study, therefore, was to investigate the protein signaling of MEF2 regulatory
pathway components at rest and during 90 min of bicycling exercise at 60%
Vo(2peak) in healthy, moderately trained men (n = 8) and women (n = 9) to
elucidate the potential role of these proteins in substrate utilization during
exercise. A secondary purpose was to screen for mRNA expression of MEF2 isoforms
and myogenic regulatory factor (MRF) family members of transcription factors at
rest and during exercise. Muscle biopsies were obtained before and immediately
after exercise. Nuclear AMP-activated protein kinase-alpha (alphaAMPK) Thr(172)
(P < 0.001), histone deacetylase 5 (HDAC5) Ser(498) (P < 0.001), and MEF2 Thr (P
< 0.01) phosphorylation increased with exercise. No significant sex differences
were observed at rest or during exercise. At rest, no significant sex differences
were observed in mRNA expression of the measured transcription factors. mRNA for
transcription factors MyoD, myogenin, MRF4, MEF2A, MEF2C, MEF2D, and peroxisome
proliferator-activated receptor-gamma coactivator 1alpha (PGC1alpha) were
significantly upregulated by exercise. Of these, MEF2A mRNA increased 25%
specifically in women (P < 0.05), whereas MEF2D mRNA tended to increase in men (P
= 0.11). Although minor sex differences in mRNA expression were observed, the
main finding of the present study was the implication of a joint signaling action
of AMPK, HDAC5, and PGC1alpha on MEF2 in the immediate regulatory response to
endurance exercise. This signaling response was independent of sex.
PMID- 18042666
TI - Connexin-32 acts as a downregulator of growth of thyroid gland.
AB - Thyroid epithelial cells communicate through gap junctions formed from connexin
(Cx)32, Cx43, and Cx26. We previously reported that reexpression of Cx32 in "gap
junction-deficient" FRTL-5 and FRT thyroid cell lines induces a reduction of cell
proliferation rate and an activation of expression of cell differentiation. The
present study aimed at determining whether Cx32 could exert similar regulatory
functions in vivo. We investigated morphological and functional characteristics
of thyroid gland of Cx32-deficient mice (Cx32-KO), mice overexpressing Cx32
selectively in the thyroid (Cx32-T+), and Cx32-KO mice with a thyroid-selective
Cx32 complementation obtained by crossing Cx32-KO and Cx32-T+ mice. In basal
conditions, Cx32-KO mice did not present any detectable thyroid alteration,
whereas Cx32-T+ mice showed a thyroid hypoplasia (20% reduction) associated with
a slight increase in thyroid functional activity. Under thyrotropin stimulation
(following sodium perchlorate treatment), Cx32-KO mice developed a larger goiter
(< or =65% increase) than wild-type littermates, whereas Cx32-T+ mice exhibited
the same thyroid hyperplasia as wild-type mice. Restoration of Cx32 expression in
the thyroid of Cx32-KO mice abrogated the thyroid growth increase related to Cx32
deficiency. All together, these data show that Cx32 acts as a downregulator of
growth of thyroid gland; an excess of Cx32 limits growth of thyroid cells in the
basal state, whereas a lack of Cx32 confers an additional growth potential to TSH
stimulated thyroid cells.
PMID- 18042667
TI - Kei on GSK: a contribution by the 2007 recipient of the Young Scientist Award.
PMID- 18042668
TI - In vivo regulation of phenylalanine hydroxylation to tyrosine, studied using
enrichment in apoB-100.
AB - Phenylalanine hydroxylation is necessary for the conversion of phenylalanine to
tyrosine and disposal of excess phenylalanine. Studies of in vivo regulation of
phenylalanine hydroxylation suffer from the lack of a method to determine
intrahepatocyte enrichment of phenylalanine and tyrosine. apoB-100, a hepatic
export protein, is synthesized from intrahepatocyte amino acids. We designed an
in vivo multi-isotope study, [(15)N]phenylalanine and [2H2]tyrosine to determine
rates of phenylalanine hydroxylation from plasma enrichments in free amino acids
and apoB-100. For independent verification of apoB-100 as a reflection of
enrichment in the intrahepatocyte pool, [1-(13)C]lysine was used as an indicator
amino acid (IAA) to measure in vivo changes in protein synthesis in response to
tyrosine supplementation. Adult men (n = 6) were fed an amino acid-based diet
with low phenylalanine (9 mg.kg(-1).day(-1), 4.54 mumol.kg(-1).,h(-1)) and seven
graded intakes of tyrosine from 2.5 (deficient) to 12.5 (excess) mg.kg(-1).day(
1). Gas chromatography-quadrupole mass spectrometry did not detect any tracer in
apoB-100 tyrosine. A new and more sensitive method to measure label enrichment in
proteins using isotope ratio mass spectrometry demonstrated that phenylalanine
hydroxylation measured in apoB-100 decreased linearly in response to increasing
tyrosine intake and reached a break point at 6.8 mg.kg(-1).day(-1). IAA oxidation
decreased with increased tyrosine intake and reached a break point at 6.0 mg.kg(
1).day(-1). We conclude: apoB-100 is an accurate and useful measure of changes in
phenylalanine hydroxylation; the synthesis of tyrosine via phenylalanine
hydroxylation is regulated to meet the needs for protein synthesis; and that
plasma phenylalanine does not reflect changes in protein synthesis.
PMID- 18042669
TI - Efficiency of autoregulatory homeostatic responses to imposed caloric excess in
lean men.
AB - Obesity implies a failure of autoregulatory homeostatic responses to caloric
excess. We studied the mechanisms, effectiveness, and limits of such responses in
six lean (21.9 +/- 1.3 kg/m(2)), healthy men based in a metabolic suite for 17 wk
of progressive intermittent overfeeding (OF) (3 wk, baseline; 3 wk, 20% OF; 1 wk,
ad libitum; 3 wk, 40% OF; 1 wk, ad libitum; 3 wk, 60% OF; 3 wk, ad libitum). Body
composition was assessed by a four-compartment model using dual X-ray
absorptiometry, deuterium dilution, and plethysmography. Magnetic resonance
imaging assessed subcutaneous/visceral fat at abdominal level at baseline and at
the end of 60% OF. Energy intake was assessed throughout, energy expenditure (EE)
and substrate oxidation rates were measured repeatedly by whole body calorimetry
(calEE), and free-living EE (TEE) was measured by doubly labeled water at
baseline and after 60% OF. At the end of 60% OF, calEE and TEE had increased by
just 11.4% (P = 0.001) and 16.2% (P = 0.001), respectively. Weight and body fat
(fat mass) had increased by 5.98 kg (8.8%, P = 0.001) and 3.31 kg (22.6%, P =
0.01), respectively. The relative increase in visceral fat (32.6%, P = 0.02)
exceeded that of subcutaneous fat (13.3%, P = 0.002) in the abdominal region. The
computed energy cost of tissue accretion differed from the excess ingested by
only 13.1% (using calEE) and 11.6% (using TEE), indicating an absence of
effective dissipative mechanisms. We conclude that elevations in EE provide very
limited autoregulatory capacity in body weight regulation, and that regulation
must be dominated by hypothalamic modulation of energy intake. This result
supports present conclusions from genetic studies in which all known causes of
human obesity are related to defects in the regulation of appetite.
PMID- 18042670
TI - The effect of exercise and insulin on AS160 phosphorylation and 14-3-3 binding
capacity in human skeletal muscle.
AB - AS160 is an Akt substrate of 160 kDa implicated in the regulation of both insulin
and contraction-mediated GLUT4 translocation and glucose uptake. The effects of
aerobic exercise and subsequent insulin stimulation on AS160 phosphorylation and
the binding capacity of 14-3-3, a novel protein involved in the dissociation of
AS160 from GLUT4 vesicles, in human skeletal muscle are unknown. Hyperinsulinemic
euglycemic clamps were performed on seven men at rest and immediately and 3 h
after a single bout of cycling exercise. Skeletal muscle biopsies were taken
before and after the clamps. The insulin sensitivity index calculated during the
final 30 min of the clamp was 8.0 +/- 0.8, 9.1 +/- 0.5, and 9.2 +/- 0.8 for the
rest, postexercise, and 3-h postexercise trials, respectively. AS160
phosphorylation increased immediately after exercise and remained elevated 3 h
after exercise. In contrast, the 14-3-3 binding capacity of AS160 and
phosphorylation of Akt and AMP-activated protein kinase were only increased
immediately after exercise. Insulin increased AS160 phosphorylation and 14-3-3
binding capacity and insulin receptor substrate-1 and Akt phosphorylation, but
the response to insulin was not enhanced by prior exercise. In conclusion, the 14
3-3 binding capacity of AS160 is increased immediately after acute exercise in
human skeletal muscle, but this is not maintained 3 h after exercise completion
despite sustained AS160 phosphorylation. Insulin increases AS160 phosphorylation
and 14-3-3 binding capacity, but prior exercise does not appear to enhance the
response to insulin.
PMID- 18042671
TI - Familial aggregation of hypospadias: a cohort study.
AB - Hypospadias is one of the most common birth defects. However, its etiology
remains largely unknown. The authors investigated the contribution of genetic and
environmental factors to familial aggregation of hypospadias. Using Danish health
registers, they identified 5,380 boys diagnosed with hypospadias in a cohort of
1,201,790 boys born in 1973-2005. Using binomial log-linear regression, they
estimated recurrence risk ratios of hypospadias for male twin pairs and first-,
second-, and third-degree relatives of a hypospadias case, which were 50.8 (95%
confidence interval [CI]: 34.2, 75.5), 11.6 (95% CI: 9.75, 13.7), 3.27 (95% CI:
2.47, 4.34), and 1.33 (95% CI: 0.94, 1.88), respectively. Recurrence risk ratios
did not differ for family members of a hypospadias case related to the same
degree. In addition, the authors found no difference in the recurrence risk ratio
for maternal compared with paternal second- and third-degree relatives of a
hypospadias case. In conclusion, hypospadias was found to have a strong familial
component and also to aggregate within more-distant relatives. Importantly,
hypospadias was equally transmitted through the paternal and maternal sides of a
family, and recurrence risk ratios for brothers and sons of a hypospadias case
were similar. These findings indicate that genetic rather than intrauterine
environmental factors have a principal role in causing familial hypospadias.
PMID- 18042672
TI - Gestational age, birth weight, intrauterine growth, and the risk of epilepsy.
AB - The authors evaluated the association between gestational age, birth weight,
intrauterine growth, and epilepsy in a population-based cohort of 1.4 million
singletons born in Denmark (1979-2002). A total of 14,334 inpatients (1979-2002)
and outpatients (1995-2002) with epilepsy were registered in the Danish National
Hospital Register. Children who were potentially growth restricted were
identified through two methods: 1) sex-, birth-order-, and gestational-age
specific z score of birth weight; and 2) deviation from the expected birth weight
estimated based on the birth weight of an older sibling. The incidence rates of
epilepsy increased consistently with decreasing gestational age and birth weight.
The incidence rate ratios of epilepsy in the first year of life were more than
fivefold among children born at 22-32 weeks compared with 39-41 weeks and among
children whose birth weight was <2,000 g compared with 3,000-3,999 g. The
association was modified by age but remained into early adulthood. Incidence rate
ratios of epilepsy were increased among children identified as growth restricted
according to either of the two methods. In conclusion, short gestational age, low
birth weight, and intrauterine growth restriction are associated with an
increased risk of epilepsy.
PMID- 18042673
TI - Crystal structures of the two major aggrecan degrading enzymes, ADAMTS4 and
ADAMTS5.
AB - Aggrecanases are now believed to be the principal proteinases responsible for
aggrecan degradation in osteoarthritis. Given their potential as a drug target,
we solved crystal structures of the two most active human aggrecanase isoforms,
ADAMTS4 and ADAMTS5, each in complex with bound inhibitor and one wherein the
enzyme is in apo form. These structures show that the unliganded and inhibitor
bound enzymes exhibit two essentially different catalytic-site configurations: an
autoinhibited, nonbinding, closed form and an open, binding form. On this basis,
we propose that mature aggrecanases exist as an ensemble of at least two isomers,
only one of which is proteolytically active.
PMID- 18042674
TI - Energetic rationale for an unexpected and abrupt reversal of guanidinium chloride
induced unfolding of peptide deformylase.
AB - Peptide deformylase (PDF) catalyzes the removal of formyl group from the N
terminal methionine residues of nascent proteins in prokaryotes, and this enzyme
is a high priority target for antibiotic design. In pursuit of delineating the
structural-functional features of Escherichia coli PDF (EcPDF), we investigated
the mechanistic pathway for the guanidinium chloride (GdmCl)-induced unfolding of
the enzyme by monitoring the secondary structural changes via CD spectroscopy.
The experimental data revealed that EcPDF is a highly stable enzyme, and it
undergoes slow denaturation in the presence of varying concentrations of GdmCl.
The most interesting aspect of these studies has been the abrupt reversal of the
unfolding pathway at low to moderate concentrations of the denaturant, but not at
high concentration. An energetic rationale for such an unprecedented feature in
protein chemistry is offered.
PMID- 18042675
TI - Crystal structure of Mycobacterium tuberculosis LrpA, a leucine-responsive global
regulator associated with starvation response.
AB - The bacterial leucine-responsive regulatory protein (Lrp) is a global
transcriptional regulator that controls the expression of many genes during
starvation and the transition to stationary phase. The Mycobacterium tuberculosis
gene Rv3291c encodes a 150-amino acid protein (designated here as Mtb LrpA) with
homology with Escherichia coli Lrp. The crystal structure of the native form of
Mtb LrpA was solved at 2.1 A. The Mtb LrpA structure shows an N-terminal DNA
binding domain with a helix-turn-helix (HTH) motif, and a C-terminal regulatory
domain. In comparison to the complex of E. coli AsnC with asparagine, the
effector-binding pocket (including loop 100-106) in LrpA appears to be largely
preserved, with hydrophobic substitutions consistent with its specificity for
leucine. The effector-binding pocket is formed at the interface between adjacent
dimers, with an opening to the core of the octamer as in AsnC, and an additional
substrate-access channel opening to the outer surface of the octamer. Using
electrophoretic mobility shift assays, purified Mtb LrpA protein was shown to
form a protein-DNA complex with the lat promoter, demonstrating that the lat
operon is a direct target of LrpA. Using computational analysis, a putative motif
is identified in this region that is also present upstream of other operons
differentially regulated under starvation. This study provides insights into the
potential role of LrpA as a global regulator in the transition of M. tuberculosis
to a persistent state.
PMID- 18042676
TI - The hypothetical protein Atu4866 from Agrobacterium tumefaciens adopts a
streptavidin-like fold.
AB - Atu4866 is a 79-residue conserved hypothetical protein of unknown function from
Agrobacterium tumefaciens. Protein sequence alignments show that it shares > or
=60% sequence identity with 20 other hypothetical proteins of bacterial origin.
However, the structures and functions of these proteins remain unknown so far. To
gain insight into the function of this family of proteins, we have determined the
structure of Atu4866 as a target of a structural genomics project using solution
NMR spectroscopy. Our results reveal that Atu4866 adopts a streptavidin-like fold
featuring a beta-barrel/sandwich formed by eight antiparallel beta-strands.
Further structural analysis identified a continuous patch of conserved residues
on the surface of Atu4866 that may constitute a potential ligand-binding site.
PMID- 18042677
TI - The yeast Ski complex is a hetero-tetramer.
AB - The yeast Ski complex assists the exosome in the degradation of mRNA. The Ski
complex consists of three components; Ski2, Ski3, and Ski8, believed to be
present in a 1:1:1 stoichiometry. Measuring the mass of intact isolated
endogenously expressed Ski complexes by native mass spectrometry we unambiguously
demonstrate that the Ski complex has a hetero-tetrameric stoichiometry consisting
of one copy of Ski2 and Ski3 and two copies of Ski8. To validate the
stoichiometry of the Ski complex, we performed tandem mass spectrometry. In these
experiments one Ski8 subunit was ejected concomitant with the formation of a
Ski2/Ski3/Ski8 fragment, confirming the proposed stoichiometry. To probe the
topology of the Ski complex we disrupted the complex and mass analyzed the thus
formed subcomplexes, detecting Ski8-Ski8, Ski2-Ski3, Ski8-Ski2, and Ski8-Ski8
Ski2. Combining all data we construct an improved structural model of the Ski
complex.
PMID- 18042678
TI - Robust recognition of zinc binding sites in proteins.
AB - Metals play a variety of roles in biological processes, and hence their presence
in a protein structure can yield vital functional information. Because the
residues that coordinate a metal often undergo conformational changes upon
binding, detection of binding sites based on simple geometric criteria in
proteins without bound metal is difficult. However, aspects of the
physicochemical environment around a metal binding site are often conserved even
when this structural rearrangement occurs. We have developed a Bayesian
classifier using known zinc binding sites as positive training examples and
nonmetal binding regions that nonetheless contain residues frequently observed in
zinc sites as negative training examples. In order to allow variation in the
exact positions of atoms, we average a variety of biochemical and biophysical
properties in six concentric spherical shells around the site of interest. At a
specificity of 99.8%, this method achieves 75.5% sensitivity in unbound proteins
at a positive predictive value of 73.6%. We also test its accuracy on predicted
protein structures obtained by homology modeling using templates with 30%-50%
sequence identity to the target sequences. At a specificity of 99.8%, we
correctly identify at least one zinc binding site in 65.5% of modeled proteins.
Thus, in many cases, our model is accurate enough to identify metal binding sites
in proteins of unknown structure for which no high sequence identity homologs of
known structure exist. Both the source code and a Web interface are available to
the public at http://feature.stanford.edu/metals.
PMID- 18042679
TI - Characterization of an exosite binding inhibitor of matrix metalloproteinase 13.
AB - Matrix metalloproteinase 13 (MMP13) is a key enzyme implicated in the degradation
of the extracellular matrix in osteoarthritis. Clinical administration of broad
spectrum MMP inhibitors such as marimastat has been implicated in severe musculo
skeletal side effects. Consequently, research has been focused on designing
inhibitors that selectively inhibit MMP13, thereby circumventing musculo-skeletal
toxicities. A series of pyrimidine dicarboxamides were recently shown to be
highly selective inhibitors of MMP13 with a novel binding mode. We have applied a
molecular ruler to this exosite by dual inhibition studies involving a potent
dicarboxamide in the presence of two metal chelators of different sizes. A larger
hydroxamate mimic overlaps and antagonizes binding of the dicarboxamide to the
exosite whereas the much smaller acetohydroxamate synergizes with the
dicarboxamide. These studies elucidate the steric requirement for compounds that
fit exclusively into the active site, a mandate for generating highly selective
MMP13 inhibitors.
PMID- 18042680
TI - Amyloid-a state in many guises: survival of the fittest fibril fold.
AB - Under appropriate conditions, essentially all proteins are able to aggregate to
form long, well-ordered and beta-sheet-rich arrays known as amyloid-like fibrils.
These fibrils consist of varying numbers of intertwined protofibrils and can for
any given protein exhibit a wealth of different forms at the ultrastructural
level. Traditionally, this structural variability or polymorphism has been
attributed to differences in the assembly of a common protofibril structure.
However, recent work on glucagon, insulin, and the Abeta peptide suggests that
this polymorphism can occur at the level of secondary structure. Simple
variations in either solvent conditions such as temperature, protein
concentration, and ionic strength or external mechanical influences such as
agitation can lead to formation of fibrils with markedly different
characteristics. In some cases, these characteristics can be passed on to new
fibrils in a strain-specific manner, similar to what is known for prions. The
preferred structure of fibrils formed can be explained in terms of selective
pressure and survival of the fittest; the most populated types of fibrils we
observe at the end of an experiment are those that had the fastest overall growth
rate under the given conditions. Fibrillar polymorphism is probably a consequence
of the lack of structural restraints on a nonfunctional conformational state.
PMID- 18042681
TI - Chemical synthesis and 1H-NMR 3D structure determination of AgTx2-MTX chimera, a
new potential blocker for Kv1.2 channel, derived from MTX and AgTx2 scorpion
toxins.
AB - Agitoxin 2 (AgTx2) is a 38-residue scorpion toxin, cross-linked by three
disulfide bridges, which acts on voltage-gated K(+) (Kv) channels. Maurotoxin
(MTX) is a 34-residue scorpion toxin with an uncommon four-disulfide bridge
reticulation, acting on both Ca(2+)-activated and Kv channels. A 39-mer chimeric
peptide, named AgTx2-MTX, was designed from the sequence of the two toxins and
chemically synthesized. It encompasses residues 1-5 of AgTx2, followed by the
complete sequence of MTX. As established by enzyme cleavage, the new AgTx2-MTX
molecule displays half-cystine pairings of the type C1-C5, C2-C6, C3-C7, and C4
C8, which is different from that of MTX. The 3D structure of AgTx2-MTX solved by
(1)H-NMR, revealed both alpha-helical and beta-sheet structures, consistent with
a common alpha/beta scaffold of scorpion toxins. Pharmacological assays of AgTx2
MTX revealed that this new molecule is more potent than both original toxins in
blocking rat Kv1.2 channel. Docking simulations, performed with the 3D structure
of AgTx2-MTX, confirmed this result and demonstrated the participation of the N
terminal domain of AgTx2 in its increased affinity for Kv1.2 through additional
molecular contacts. Altogether, the data indicated that replacement of the N
terminal domain of MTX by the one of AgTx2 in the AgTx2-MTX chimera results in a
reorganization of the disulfide bridge arrangement and an increase of affinity to
the Kv1.2 channel.
PMID- 18042682
TI - Crystal structure of an archaeal Ski2p-like protein from Pyrococcus horikoshii
OT3.
AB - The Ski complex composed of Ski2p, Ski3p, and Ski8p plays an essential role in
the 3' to 5' cytoplasmic mRNA degradation pathway in yeast. Ski2p is a putative
RNA helicase, belonging in the DExD/H-box protein families and conserved in
eukarya as well as in archaea. The gene product (Ph1280p) from the
hyperthermophilic archaeon Pyrococcus horikoshii OT3 shows sequence homology with
Ski2p, sharing 22.6% identical amino acids with a central region of Ski2p. In
order to gain structural information about the Ski2p-like RNA helicase, we
overproduced Ph1280p in Escherichia coli cells, and purified it to apparent
homogeneity. Ph1280p exhibits DNA/RNA-dependent ATPase activity with an optimal
temperature at approximately 90 degrees C. The crystal structure of Ph1280p has
been solved at a resolution of 3.5 A using single-wavelength anomalous dispersion
(SAD) and selenomethionyl (Se-Met)-substituted protein. Ph1280p comprises four
subdomains; the two N-terminal subdomains (N1 and N2) fold into an RecA-like
architecture with the conserved helicase motifs, while the two C-terminal
subdomains (C1 and C2) fold into alpha-helical structures containing a winged
helix (WH)-fold and helix-hairpin-helix (HhH)-fold, respectively. Although the
structure of each of the Ph1280p subdomains can be individually superimposed on
the corresponding domains in other helicases, such as the Escherichia coli DNA
helicase RecQ, the relative orientation of the helicase and C-terminal subdomains
in Ph1280p is significantly different from that of other helicases. This
structural feature is implicated in substrate specificity for the Ski2-like
helicase and would play a critical role in the 3' to 5' cytoplasmic mRNA
degradation in the Ski complex.
PMID- 18042683
TI - Solution NMR studies of apo-mSin3A and -mSin3B reveal that the PAH1 and PAH2
domains are structurally independent.
AB - The evolutionarily conserved mammalian Sin3 (mSin3) transcriptional corepressor
interacts with a diverse array of transcription factors mainly through two PAH
(paired amphipathic helix) domains located near the N terminus. Previous studies
suggested the possibility of interdomain interactions involving the PAH domains.
Here, we show that the domains are structurally independent and the properties of
the individual domains, such as the conformational heterogeneity and the ability
of mSin3A PAH2 to homodimerize, are preserved in constructs that span both PAH
domains. Our results thus suggest that the N-terminal segments of the Sin3
proteins are broadly available for interactions with other proteins and that the
PAH domains are organized into structurally independent modules. Our data also
rule out any heterotypic association between the paralogous mSin3A and mSin3B
proteins via interactions involving the mSin3A PAH2 domain.
PMID- 18042684
TI - Modeling of protein binary complexes using structural mass spectrometry data.
AB - In this article, we describe a general approach to modeling the structure of
binary protein complexes using structural mass spectrometry data combined with
molecular docking. In the first step, hydroxyl radical mediated oxidative protein
footprinting is used to identify residues that experience conformational
reorganization due to binding or participate in the binding interface. In the
second step, a three-dimensional atomic structure of the complex is derived by
computational modeling. Homology modeling approaches are used to define the
structures of the individual proteins if footprinting detects significant
conformational reorganization as a function of complex formation. A three
dimensional model of the complex is constructed from these binary partners using
the ClusPro program, which is composed of docking, energy filtering, and
clustering steps. Footprinting data are used to incorporate constraints-positive
and/or negative-in the docking step and are also used to decide the type of
energy filter-electrostatics or desolvation-in the successive energy-filtering
step. By using this approach, we examine the structure of a number of binary
complexes of monomeric actin and compare the results to crystallographic data.
Based on docking alone, a number of competing models with widely varying
structures are observed, one of which is likely to agree with crystallographic
data. When the docking steps are guided by footprinting data, accurate models
emerge as top scoring. We demonstrate this method with the actin/gelsolin segment
1 complex. We also provide a structural model for the actin/cofilin complex using
this approach which does not have a crystal or NMR structure.
PMID- 18042685
TI - Ixolaris binding to factor X reveals a precursor state of factor Xa heparin
binding exosite.
AB - Ixolaris is a two-Kunitz tick salivary gland tissue factor pathway inhibitor
(TFPI). In contrast to human TFPI, Ixolaris specifically binds to factor Xa (FXa)
heparin-binding exosite (HBE). In addition, Ixolaris interacts with zymogen FX.
In the present work we characterized the interaction of Ixolaris with human FX
quantitatively, and identified a precursor state of the heparin-binding exosite
(proexosite, HBPE) as the Ixolaris-binding site on the zymogen. Gel-filtration
chromatography demonstrated 1:1 complex formation between fluorescein-labeled
Ixolaris and FX. Isothermal titration calorimetry confirmed that the binding of
Ixolaris to FX occurs at stoichiometric concentrations in a reaction which is
characteristically exothermic, with a favorable enthalpy (DeltaH) of -10.78
kcal/mol. ELISA and plasmon resonance experiments also indicate that Ixolaris
binds to plasma FX and FXa, or to recombinant Gla domain-containing FX/FXa with
comparable affinities ( approximately 1 nM). Using a series of mutants on the
HBPE, we identified the most important amino acids involved in zymogen/Ixolaris
interaction-Arg-93 >>> Arg-165 > or = Lys-169 > Lys-236 > Arg-125-which was
identical to that observed for FXa/Ixolaris interaction. Remarkably, Ixolaris
strongly inhibited FX activation by factor IXa in the presence but not in the
absence of factor VIIIa, suggesting a specific interference in the cofactor
activity. Further, solid phase assays demonstrated that Ixolaris inhibits FX
interaction with immobilized FVIIIa. Altogether, Ixolaris is the first inhibitor
characterized to date that specifically binds to FX HBPE. Ixolaris may be a
useful tool to study the physiological role of the FX HBPE and to evaluate this
domain as a target for anticoagulant drugs.
PMID- 18042686
TI - Functional flexibility of human cyclin-dependent kinase-2 and its evolutionary
conservation.
AB - Cyclin-dependent kinase 2 (CDK2) is the most thoroughly studied of the cyclin
dependent kinases that regulate essential cellular processes, including the cell
cycle, and it has become a model for studies of regulatory mechanisms at the
molecular level. This contribution identifies flexible and rigid regions of CDK2
based on temperature B-factors acquired from both X-ray data and molecular
dynamics simulations. In addition, the biological relevance of the identified
flexible regions and their motions is explored using information from the
essential dynamics analysis related to conformational changes of CDK2 and
knowledge of its biological function(s). The conserved regions of CMGC protein
kinases' primary sequences are located in the most rigid regions identified in
our analyses, with the sole exception of the absolutely conserved G13 in the tip
of the glycine-rich loop. The conserved rigid regions are important for
nucleotide binding, catalysis, and substrate recognition. In contrast, the most
flexible regions correlate with those where large conformational changes occur
during CDK2 regulation processes. The rigid regions flank and form a rigid
skeleton for the flexible regions, which appear to provide the plasticity
required for CDK2 regulation. Unlike the rigid regions (which as mentioned are
highly conserved) no evidence of evolutionary conservation was found for the
flexible regions.
PMID- 18042687
TI - Non-operative breast pathology.
PMID- 18042688
TI - Non-operative breast pathology: apocrine lesions.
AB - Apocrine metaplasia is a very common finding in the female breast after the age
of 25. It is so common that many people regard it as a normal component of the
breast. This, however, is only really the case in apocrine sweat glands of the
axilla and in the peri-areolar apocrine glands. The apocrine cell does, however,
contribute to a number of different breast lesions, some of which are very taxing
diagnostically; apocrine variants of both in-situ and invasive cancer are
encountered. This review considers the common apocrine metaplastic lesions seen
in fibrocystic change as well as apocrine adenoma, apocrine change within
sclerosing adenosis, atypical apocrine lesions and apocrine malignancies.
PMID- 18042689
TI - The histological diagnosis of metastases to the breast from extramammary
malignancies.
AB - This study aims to review histological and immunohistochemical features that are
useful in the diagnosis of metastases to the breast. Histological features were
compared between non-haematological metastases to the breast and 100 consecutive
core biopsy specimens of primary invasive carcinomas of the breast. 18 non
haematological metastases to the breast were diagnosed over a 10-year period
(0.3% of malignant mammary tumours). Elastosis and carcinoma in situ were seen
only in primary mammary cancers. Two-thirds of tumours had features raising the
possibility of metastasis, such as clear cell carcinoma suggestive of renal
origin and small cell carcinoma suggestive of pulmonary origin. The features
observed in haematological metastases are also described. Immunohistochemical
panels to distinguish mammary carcinoma (oestrogen receptor, gross cystic fluid
protein-15) from common metastases to the breast, including carcinoma of the lung
(thyroid transcription factor-1), malignant melanoma (S100, HMB45, melan-A) and
ovarian serous papillary carcinoma (Wilms' tumour 1), are discussed. The
pathologist has a key role in considering the diagnosis of metastasis to the
breast if the histological features are unusual for a primary mammary tumour. The
clinical history is vital in some cases. Immunohistochemistry plays a useful
supplementary role.
PMID- 18042690
TI - Contribution of the Epstein Barr virus to the molecular pathogenesis of Hodgkin
lymphoma.
AB - Although the morphology of the pathognomonic Reed-Sternberg cells of Hodgkin
lymphoma (HL) was described over a century ago, it was not until recently that
their origin from B lymphocytes was recognised. The demonstration that a
proportion of cases of HL harbour the Epstein-Barr virus (EBV) and that its
genome is monoclonal in these tumours suggests that the virus contributes to the
development of HL in some cases. This review summarises current knowledge of the
pathogenesis of HL with particular emphasis on the association with EBV.
PMID- 18042691
TI - Kaposi sarcoma-associated herpesvirus/human herpesvirus 8 and lymphoproliferative
disorders.
AB - Kaposi sarcoma-associated herpesvirus (KSHV), also known as human herpesvirus 8
(HHV8), is a recent addition to the list of human viruses that are directly
associated with lymphoproliferative disorders. KSHV was first shown to be
involved in multicentric Castleman disease and primary effusion lymphoma (PEL).
Subsequently, the virus was identified in solid lymphomas, often of extranodal
sites, with morphological and immunophenotypic characteristics similar to those
of PEL, and in other lymphoproliferative disorders with heterogeneous
clinicopathological presentations. The recent advances in our understanding of
the histology, immunophenotype and pathogenesis of these KSHV-associated
lymphoproliferative disorders are reviewed.
PMID- 18042692
TI - HIV infection and lymphoma.
AB - The incidence of lymphoma in patients with HIV infection greatly exceeds that of
the general population. The increased risk for lymphoma appears related to
multiple factors, including the transforming properties of the retrovirus itself,
the immunosuppression and cytokine dysregulation that results from the disease,
and, most importantly, opportunistic infections with other lymphotrophic herpes
viruses such as Epstein-Barr virus and human herpesvirus 8. Histologically
lymphomas fall into three groups: (1) those also occurring in immunocompetent
patients; (2) those occurring more specifically in HIV-positive patients; and (3)
those also occurring in patients with other forms of immunosuppression.
Aggressive lymphomas account for the vast majority cases. They frequently present
with advanced stage, bulky disease with high tumour burden and, typically,
involve extranodal sites. Clinical outcome appears to be worse than in similar
aggressive lymphomas in the general population. However, following the
introduction of highly active antiretroviral therapy, the risk for developing
lymphoma in the context of HIV infection has decreased and the clinical outcome
has improved.
PMID- 18042693
TI - Adult T-cell leukaemia/lymphoma.
AB - Adult T-cell leukaemia/lymphoma (ATLL) is a mature T-cell neoplasm of post-thymic
lymphocytes aetiologically linked to the human T-cell lymphotropic virus, HTLV-I,
and with a distinct geographical distribution. The disease manifests with
leukaemia in greater than two thirds of patients, while the remaining patients
have a lymphomatous form. According to the disease manifestations, various forms
which differ in clinical course and prognosis have been recognised: acute,
chronic, smouldering and lymphoma. Organomegaly, skin involvement, circulating
atypical lymphocytes ("flower" cells) with a CD4+ CD25+ phenotype and
hypercalcaemia are the most common disease features. The diagnosis should be
based on a constellation of clinical features and laboratory investigations. The
latter comprise: lymphocyte morphology, immunophenotype, histology of the tissues
affected in the pure lymphoma forms and serology or DNA analysis for HTLV-I. The
differential diagnosis of ATLL includes other mature T-cell neoplasms such as T
cell prolymphocytic leukaemia (T-PLL), Sezary syndrome (SS), peripheral T-cell
lymphomas and occasionally healthy carriers of the virus or Hodgkin disease. The
clinical course is aggressive with a median survival of less than 12 months in
the acute and lymphoma forms. Despite major advances in understanding the
pathogenesis of the disease, management of these patients remains a challenge for
clinicians as they do not respond or achieve only transient responses to
therapies used in high-grade lymphomas. The use of antiretroviral agents such as
zidovudine in combination with interferon-alpha, with or without concomitant
chemotherapy, has shown activity in this disease with improvement in survival and
response rate. Consolidation with high dose therapy and autologous or allogeneic
stem-cell transplantation should be considered in young patients.
PMID- 18042694
TI - Hepatitis C virus and lymphoma.
AB - Hepatitis C virus (HCV) is well known for its aetiological role in chronic non-A,
non-B viral hepatitis, liver cirrhosis and hepatocellular carcinoma; in addition,
the virus has also been implicated in a number of extra-hepatic "autoimmune"
disease manifestations. A causative association between HCV and non-Hodgkin
lymphoma (NHL) was postulated relatively recently and has been the subject of
intense investigation, as well as some debate. On the strength of epidemiological
data, emerging biological investigations and clinical observations, HCV appears
to be involved in the pathogenesis of at least a proportion of patients with NHL.
Morphologically, HCV-associated lymphomas represent a variety of histological
subtypes including marginal zone lymphoma (splenic, nodal and extranodal), small
lymphocytic lymphoma/chronic lymphocytic leukaemia, lymphoplasmacytic lymphoma
and diffuse large B-cell lymphoma. Remarkably, some HCV-associated NHL appears to
be highly responsive to antiviral therapy, providing some clinical evidence for
this relationship, as well as the prospect for novel therapeutic intervention.
PMID- 18042695
TI - Molecular aspects of HTLV-I infection and adult T-cell leukaemia/lymphoma.
AB - Human T-cell lymphotropic virus-I (HTLV-I) is the cause of adult T-cell
leukaemia/lymphoma. Various viral proteins, especially, but not exclusively, Tax
have been implicated in oncogenesis, mostly through in vitro studies. Tax
transactivates a large and apparently ever expanding list of human genes through
transcriptional factors. Elucidating not only the pathways but also the timing of
action of HTLV proteins is important for understanding the pathogenesis and
development of new treatments.
PMID- 18042697
TI - Endocrine-related resources from the National Institutes of Health.
PMID- 18042696
TI - Epstein-Barr virus and Burkitt lymphoma.
AB - Burkitt lymphoma (BL) is an aggressive B-cell malignancy with endemic, sporadic
and immunodeficiency-associated variants. It has been known for many years that
the fundamental transforming event in BL is the translocation of the MYC gene,
and the events that bring about this translocation and those that allow cells to
survive with the constitutive expression of MYC have been the subject of intense
investigation. Epstein-Barr virus (EBV) infection, malaria, immunodeficiency and
spontaneous, somatic mutation can all contribute to the origin and maintenance of
this cancer and their mechanisms are the subject of this review.
PMID- 18042698
TI - Blocking LIF action in the uterus by using a PEGylated antagonist prevents
implantation: a nonhormonal contraceptive strategy.
AB - Blastocyst implantation is a critical stage in the establishment of pregnancy.
Leukemia inhibitory factor (LIF) is essential for mouse blastocyst implantation
and also plays a role in human pregnancy. We examined the effect of a potent LIF
antagonist (LA) on mouse implantation. In mice, LIF expression peaks on day 3.5
of pregnancy (D3.5) (D0.5 = day of mating plug detection) in the uterine
glandular epithelium. LA (7 mg/kg per day) administered from D2.5 to D4.5 via
four hourly i.p. injections plus continuous administration via miniosmotic pump
resulted in complete implantation failure. To improve its pharmacokinetic
properties, we conjugated LA to polyethylene glycol (PEG), achieving a
significant increase in serum levels. PEGylated LA (PEGLA) (37.5 mg/kg per day)
administered via three i.p. injections between D2.5 and D3.5 also resulted in
complete implantation failure. PEGLA immunolocalized to the uterine luminal
epithelium at the time of blastocyst implantation. Both LA and PEGLA reduced
phosphorylation of the downstream signaling molecule STAT3 in luminal epithelial
cells on D3.5. The effects of PEGLA were found to be endometrial, with no embryo
lethal effects observed. These data demonstrate that administration of a
PEGylated LIF antagonist is an effective method of targeting LIF signaling in the
endometrium and a promising novel approach in the development of nonhormonal
contraceptives for women.
PMID- 18042699
TI - Evolutionary plasticity of developmental gene regulatory network architecture.
AB - Sea stars and sea urchins evolved from a last common ancestor that lived at the
end of the Cambrian, approximately half a billion years ago. In a previous
comparative study of the gene regulatory networks (GRNs) that embody the genomic
program for embryogenesis in these animals, we discovered an almost perfectly
conserved five-gene network subcircuit required for endoderm specification. We
show here that the GRN structure upstream and downstream of the conserved network
kernel has, by contrast, diverged extensively. Mesoderm specification is
accomplished quite differently; the Delta-Notch signaling system is used in
radically distinct ways; and various regulatory genes have been coopted to
different functions. The conservation of the conserved kernel is thus the more
remarkable. The results indicate types of network linkage subject to evolutionary
change. An emergent theme is that subcircuit design may be preserved even while
the identity of genes performing given roles changes because of alteration in
their cis-regulatory control systems.
PMID- 18042700
TI - A biochemical approach to identifying microRNA targets.
AB - Identifying the downstream targets of microRNAs (miRNAs) is essential to
understanding cellular regulatory networks. We devised a direct biochemical
method for miRNA target discovery that combined RNA-induced silencing complex
(RISC) purification with microarray analysis of bound mRNAs. Because targets of
miR-124a have been analyzed, we chose it as our model. We honed our approach both
by examining the determinants of stable binding between RISC and synthetic target
RNAs in vitro and by determining the dependency of both repression and RISC
coimmunoprecipitation on miR-124a seed sites in two of its well characterized
targets in vivo. Examining the complete spectrum of miR-124 targets in 293 cells
yielded both a set that were down-regulated at the mRNA level, as previously
observed, and a set whose mRNA levels were unaffected by miR-124a. Reporter
assays validated both classes, extending the spectrum of mRNA targets that can be
experimentally linked to the miRNA pathway.
PMID- 18042701
TI - Cryo-EM study of the spinach chloroplast ribosome reveals the structural and
functional roles of plastid-specific ribosomal proteins.
AB - Protein synthesis in the chloroplast is carried out by chloroplast ribosomes
(chloro-ribosome) and regulated in a light-dependent manner. Chloroplast or
plastid ribosomal proteins (PRPs) generally are larger than their bacterial
counterparts, and chloro-ribosomes contain additional plastid-specific ribosomal
proteins (PSRPs); however, it is unclear to what extent these proteins play
structural or regulatory roles during translation. We have obtained a three
dimensional cryo-EM map of the spinach 70S chloro-ribosome, revealing the overall
structural organization to be similar to bacterial ribosomes. Fitting of the
conserved portions of the x-ray crystallographic structure of the bacterial 70S
ribosome into our cryo-EM map of the chloro-ribosome reveals the positions of PRP
extensions and the locations of the PSRPs. Surprisingly, PSRP1 binds in the
decoding region of the small (30S) ribosomal subunit, in a manner that would
preclude the binding of messenger and transfer RNAs to the ribosome, suggesting
that PSRP1 is a translation factor rather than a ribosomal protein. PSRP2 and
PSRP3 appear to structurally compensate for missing segments of the 16S rRNA
within the 30S subunit, whereas PSRP4 occupies a position buried within the head
of the 30S subunit. One of the two PSRPs in the large (50S) ribosomal subunit
lies near the tRNA exit site. Furthermore, we find a mass of density
corresponding to chloro-ribosome recycling factor; domain II of this factor
appears to interact with the flexible C-terminal domain of PSRP1. Our study
provides evolutionary insights into the structural and functional roles that the
PSRPs play during protein synthesis in chloroplasts.
PMID- 18042702
TI - Specific subgroups of FruM neurons control sexually dimorphic patterns of
aggression in Drosophila melanogaster.
AB - A great challenge facing neuroscience is to understand how genes, molecules,
cells, circuits, and systems interact to generate social behavior. Fruit flies
(Drosophila melanogaster) offer a powerful model system to address questions of
this magnitude. These animals display genetically specified, sexually dimorphic
patterns of fighting behavior via sex-specific splicing of the fruitless gene.
Here, we show that sexually dimorphic behavioral patterns displayed during
aggression are controlled by specific subgroups of neurons expressing male forms
of fruitless proteins (Fru(M)). Using the GAL4/UAS system to manipulate
transformer expression, we feminized or masculinized different populations of
neurons in fly nervous systems. With a panneuronal elav-GAL4 driver, male
patterns of fighting behavior were transferred into females and female patterns
into males. We screened 60 Gal4 lines that express the yeast transcription factor
in different patterns in fly central nervous systems and found five that showed
abnormal same-sex courtship behavior. The sexually dimorphic fighting patterns,
however, were completely switched only in one and partially switched in a second
of these lines. In the other three lines, female patterns of aggression were seen
despite a switch in courtship preference. A tight correspondence was seen between
Fru(M) expression and how flies fight in several subgroups of neurons usually
expressing these proteins: Expression is absent when flies fight like females and
present when flies fight like males, thereby beginning a separation between
courtship and aggression among these neurons.
PMID- 18042704
TI - Extensive simple sequence repeat genotyping of potato landraces supports a major
reevaluation of their gene pool structure and classification.
AB - Contrasting taxonomic treatments of potato landraces have continued over the last
century, with the recognition of anywhere from 1 to 21 distinct Linnean species,
or of Cultivar Groups within the single species Solanum tuberosum. We provide one
of the largest molecular marker studies of any crop landraces to date, to include
an extensive study of 742 landraces of all cultivated species (or Cultivar
Groups) and 8 closely related wild species progenitors, with 50 nuclear simple
sequence repeat (SSR) (also known as microsatellite) primer pairs and a plastid
DNA deletion marker that distinguishes most lowland Chilean from upland Andean
landraces. Neighbor-joining results highlight a tendency to separate three
groups: (i) putative diploids, (ii) putative tetraploids, and (iii) the hybrid
cultivated species S. ajanhuiri (diploid), S. juzepczukii (triploid), and S.
curtilobum (pentaploid). However, there are many exceptions to grouping by
ploidy. Strong statistical support occurs only for S. ajanhuiri, S. juzepczukii,
and S. curtilobum. In combination with recent morphological analyses and an
examination of the identification history of these collections, we support the
reclassification of the cultivated potatoes into four species: (i) S. tuberosum,
with two Cultivar Groups (Andigenum Group of upland Andean genotypes containing
diploids, triploids, and tetraploids, and the Chilotanum Group of lowland
tetraploid Chilean landraces); (ii) S. ajanhuiri (diploid); (iii) S. juzepczukii
(triploid); and (iv) S. curtilobum (pentaploid). For other classifications,
consistent and stable identifications are impossible, and their classification as
species is artificial and only maintains the confusion of users of the gene banks
and literature.
PMID- 18042703
TI - B7-H3 and B7x are highly expressed in human prostate cancer and associated with
disease spread and poor outcome.
AB - B7-H3 and B7x are recently discovered members of the B7-CD28 family thought to
dampen peripheral immune responses via negative costimulation. We evaluated their
potential expression in human prostate cancer using a large cohort of patients
with 7 years of follow-up. We identified 823 patients with tissue available
treated with radical prostatectomy between 1985 and 2003. Immunohistochemistry
was performed on tissue microarray sections using anti-B7-H3 and -B7x. The
percentage and intensity of immunoreactivity by tumor cells were blindly
evaluated by two urological pathologists, and outcome analyses were conducted.
Both B7-H3 and B7x were highly expressed; 93% and 99% of tumors had aberrant
expression, respectively. The median percentage of tumor cells staining positive
was 80% for each molecule. Strong intensity for B7-H3 and B7x was noted in 212
(26%) and 120 (15%) patients, respectively. Patients with strong intensity for B7
H3 and B7x were significantly more likely to have disease spread at time of
surgery (P < 0.001 and P = 0.005, respectively). Additionally, patients with
strong intensity for B7-H3 and B7x were at significantly increased risk of
clinical cancer recurrence (P < 0.001 and P = 0.005) and cancer-specific death (P
= 0.004 and P = 0.04, respectively). To our knowledge, we present the largest
investigation of B7 family molecules in a human malignancy and a previously
undescribed evaluation of B7x in prostate cancer. B7-H3 and B7x are abundantly
expressed in prostate cancer and associated with disease spread and poor outcome.
Given the proposed immune-inhibitory mechanisms of B7-H3 and B7x, these molecules
represent attractive targets for therapeutic manipulation in prostate cancer.
PMID- 18042705
TI - Disulfide bond influence on protein structural dynamics probed with 2D-IR
vibrational echo spectroscopy.
AB - Intramolecular disulfide bonds are understood to play a role in regulating
protein stability and activity. Because disulfide bonds covalently link different
components of a protein, they influence protein structure. However, the effects
of disulfide bonds on fast (subpicosecond to approximately 100 ps) protein
equilibrium structural fluctuations have not been characterized experimentally.
Here, ultrafast 2D-IR vibrational echo spectroscopy is used to examine the
constraints an intramolecular disulfide bond places on the structural
fluctuations of the protein neuroglobin (Ngb). Ngb is a globin family protein
found in vertebrate brains that binds oxygen reversibly. Like myoglobin (Mb), Ngb
has the classical globin fold and key residues around the heme are conserved.
Furthermore, the heme-ligated CO vibrational spectra of Mb (Mb-CO) and Ngb (Ngb
CO) are virtually identical. However, in contrast to Mb, human Ngb has an
intramolecular disulfide bond that affects its oxygen affinity and protein
stability. By using 2D-IR vibrational echo spectroscopy, we investigated the
equilibrium protein dynamics of Ngb-CO by observing the CO spectral diffusion
(time dependence of the 2D-IR line shapes) with and without the disulfide bond.
Despite the similarity of the linear FTIR spectra of Ngb-CO with and without the
disulfide bond, 2D-IR measurements reveal that the equilibrium sampling of
different protein configurations is accelerated by disruption of the disulfide
bond. The observations indicate that the intramolecular disulfide bond in Ngb
acts as an inhibitor of fast protein dynamics even though eliminating it does not
produce significant conformational change in the protein's structure.
PMID- 18042707
TI - The discovery of kelp forests in deep-water habitats of tropical regions.
PMID- 18042706
TI - Structural differentiation of skeletal muscle fibers in the absence of
innervation in humans.
AB - The relative importance of muscle activity versus neurotrophic factors in the
maintenance of muscle differentiation has been greatly debated. Muscle biopsies
from spinal cord injury patients, who were trained with an innovative protocol of
functional electrical stimulation (FES) for prolonged periods (2.4-9.3 years),
offered the unique opportunity of studying the structural recovery of denervated
fibers from severe atrophy under the sole influence of muscle activity. FES
stimulation induced surprising recovery of muscle structure, mass, and force even
in patients whose muscles had been denervated for prolonged periods before the
beginning of FES training (up to 2 years) and had almost completely lost muscle
specific internal organization. Ninety percent (or more) of the fibers analyzed
by electron microscopy showed a striking recovery of the ultrastructural
organization of myofibrils and Ca(2+)-handling membrane systems. This
functional/structural restoration follows a pattern that mimics some aspects of
normal muscle differentiation. Most importantly, the recovery occurs in the
complete absence of motor and sensory innervation and of nerve-derived trophic
factors, that is, solely under the influence of muscle activity induced by
electrical stimulation.
PMID- 18042708
TI - The P2 capsid protein of the nonenveloped rice dwarf phytoreovirus induces
membrane fusion in insect host cells.
AB - Insect transmission is an essential process of infection for numerous plant and
animal viruses. How an insect-transmissible plant virus enters an insect cell to
initiate the infection cycle is poorly understood, especially for nonenveloped
plant and animal viruses. The capsid protein P2 of rice dwarf virus (RDV), which
is nonenveloped, is necessary for insect transmission. Here, we present evidence
that P2 shares structural features with membrane-fusogenic proteins encoded by
enveloped animal viruses. When RDV P2 was ectopically expressed and displayed on
the surface of insect Spodoptera frugiperda cells, it induced membrane fusion
characterized by syncytium formation at low pH. Mutational analyses identified
the N-terminal and a heptad repeat as being critical for the membrane fusion
inducing activity. These results are corroborated with results from RDV-infected
cells of the insect vector leafhopper. We propose that the RDV P2-induced
membrane fusion plays a critical role in viral entry into insect cells. Our
report that a plant viral protein can induce membrane fusion has broad
significance in studying the mechanisms of virus entry into insect cells and
insect transmission of nonenveloped plant and animal viruses.
PMID- 18042709
TI - Yeast gain-of-function mutations reveal structure-function relationships
conserved among different subfamilies of transient receptor potential channels.
AB - Transient receptor potential (TRP) channels found in animals, protists, and fungi
are primary chemo-, thermo-, or mechanosensors. Current research emphasizes the
characteristics of individual channels in each animal TRP subfamily but not the
mechanisms common across subfamilies. A forward genetic screen of the TrpY1, the
yeast TRP channel, recovered gain-of-function (GOF) mutations with phenotype in
vivo and in vitro. Single-channel patch-clamp analyses of these GOF-mutant
channels show prominent aberrations in open probability and channel kinetics.
These mutations revealed functionally important aromatic amino acid residues in
four locations: at the intracellular end of the fifth transmembrane helix (TM5),
at both ends of TM6, and at the immediate extension of TM6. These aromatics have
counterparts in most TRP subfamilies. The one in TM5 (F380L) aligns precisely
with an exceptional Drosophila mutant allele (F550I) that causes constitutive
activity in the canonical TRP channel, resulting in rapid and severe retinal
degeneration beyond mere loss of phototaxis. Thus, this phenylalanine maintains
the balance of various functional states (conformations) of a channel for insect
phototransduction as well as one for fungal mechanotransduction. This residue is
among a small cluster of phenylalanines found in all known subfamilies of TRP
channels. This unique case illustrates that GOF mutations can reveal structure
function principles that can be generalized across different TRP subfamilies. It
appears that the conserved aromatics in the four locations have conserved
functions in most TRP channels. The possible mechanistic roles of these aromatics
and the further use of yeast genetics to dissect TRP channels are discussed.
PMID- 18042710
TI - In vivo multiphoton microscopy of NADH and FAD redox states, fluorescence
lifetimes, and cellular morphology in precancerous epithelia.
AB - Metabolic imaging of the relative amounts of reduced NADH and FAD and the
microenvironment of these metabolic electron carriers can be used to
noninvasively monitor changes in metabolism, which is one of the hallmarks of
carcinogenesis. This study combines cellular redox ratio, NADH and FAD lifetime,
and subcellular morphology imaging in three dimensions to identify intrinsic
sources of metabolic and structural contrast in vivo at the earliest stages of
cancer development. There was a significant (P < 0.05) increase in the nuclear to
cytoplasmic ratio (NCR) with depth within the epithelium in normal tissues;
however, there was no significant change in NCR with depth in precancerous
tissues. The redox ratio significantly decreased in the less differentiated basal
epithelial cells compared with the more mature cells in the superficial layer of
the normal stratified squamous epithelium, indicating an increase in metabolic
activity in cells with increased NCR. However, the redox ratio was not
significantly different between the superficial and basal cells in precancerous
tissues. A significant decrease was observed in the contribution and lifetime of
protein-bound NADH (averaged over the entire epithelium) in both low- and high
grade epithelial precancers compared with normal epithelial tissues. In addition,
a significant increase in the protein-bound FAD lifetime and a decrease in the
contribution of protein-bound FAD are observed in high-grade precancers only.
Increased intracellular variability in the redox ratio, NADH, and FAD
fluorescence lifetimes were observed in precancerous cells compared with normal
cells.
PMID- 18042711
TI - Tumor cell-selective regulation of NOXA by c-MYC in response to proteasome
inhibition.
AB - The proteasome controls a plethora of survival factors in all mammalian cells
analyzed to date. Therefore, it is puzzling that proteasome inhibitors such as
bortezomib can display a preferential toxicity toward malignant cells. In fact,
proteasome inhibitors have the salient feature of promoting a dramatic induction
of the proapoptotic protein NOXA in a tumor cell-restricted manner. However, the
molecular determinants that control this specific regulation of NOXA are unknown.
Here, we show that the induction of NOXA by bortezomib is directly dependent on
the oncogene c-MYC. This requirement for c-MYC was found in a variety of tumor
cell types, in marked contrast with dispensable roles of p53, HIF-1alpha, and E2F
1 (classical proteasomal targets that can regulate NOXA mRNA under stress).
Conserved MYC-binding sites identified at the NOXA promoter were validated by
ChIP and reporter assays. Down-regulation of the endogenous levels of c-MYC
abrogated the induction of NOXA in proteasome-defective tumor cells. Conversely,
forced expression of c-MYC enabled normal cells to accumulate NOXA and
subsequently activate cell death programs in response to proteasome blockage. c
MYC is itself a proteasomal target whose levels or function are invariably up
regulated during tumor progression. Our data provide an unexpected function of c
MYC in the control of the apoptotic machinery, and reveal a long sought-after
oncogenic event conferring sensitivity to proteasome inhibition.
PMID- 18042712
TI - Genetic variation in the cysteine biosynthesis pathway causes sensitivity to
pharmacological compounds.
AB - Complex traits are the product of multiple genes with effects that depend on both
the genetic and environmental background. Although this complexity makes a
comprehensive genetic analysis difficult, identification of even a single gene
provides insight into the biochemical and/or signaling pathway underlying a
trait. However, it is unknown whether multiple pathways, and consequently
multiple genes, must be identified to adequately understand a trait's molecular
basis. Using crosses between three natural isolates of Saccharomyces cerevisiae,
we mapped sensitivity to a number of pharmacologically active compounds to a
single nonsynonymous polymorphism in cystathione-beta-synthase (CYS4), which is
required for the first committed step in the cysteine biosynthesis pathway. Drug
sensitivity is mediated by a deficiency in cysteine and consequently glutathione
production, because drug sensitivity is abrogated by cysteine or glutathione
supplementation. Within a diverse panel of 60 natural yeast isolates, the drug
sensitive CYS4 allele is rare, and glutathione supplementation failed to
alleviate drug-dependent growth defects in two other drug-sensitive strains.
These results implicate the cysteine/glutathione biosynthesis pathway as a
significant, but not the sole contributor to pharmacological variation in yeast.
PMID- 18042713
TI - A dual function for a bacterial small RNA: SgrS performs base pairing-dependent
regulation and encodes a functional polypeptide.
AB - SgrS is a 227-nt small RNA that is expressed in Escherichia coli during glucose
phosphate stress, a condition associated with intracellular accumulation of
glucose-6-phosphate caused by disruption of glycolytic flux. Under stress
conditions, SgrS negatively regulates translation and stability of the ptsG mRNA,
encoding the major glucose transporter, by means of a base pairing-dependent
mechanism requiring the RNA chaperone Hfq. SgrS activity mitigates the effects of
glucose-phosphate stress, and the present study has elucidated a function of SgrS
that is proposed to contribute to the stress response. The 5' end of SgrS,
upstream of the nucleotides involved in base pairing with the ptsG mRNA, contains
a 43-aa ORF, sgrT, that is conserved in most species that contain SgrS-like small
RNAs. The sgrT gene is translated in E. coli under conditions of glucose
phosphate stress. Analysis of alleles that separate the base pairing function of
SgrS from the sgrT coding sequence revealed that either of these functions alone
are sufficient for previously characterized SgrS phenotypes. SgrS-dependent down
regulation of ptsG mRNA stability does not require SgrT and SgrT by itself has no
effect on ptsG mRNA stability. Cells expressing sgrT alone had a defect in
glucose uptake even though they had nearly wild-type levels of PtsG (IICB(Glc)).
Together, these data suggest that SgrS represents a previously unrecognized
paradigm for small RNA (sRNA) regulators as a bifunctional RNA that encodes
physiologically redundant but mechanistically distinct functions contributing to
the same stress response.
PMID- 18042714
TI - HDAC inhibitor PCI-24781 decreases RAD51 expression and inhibits homologous
recombination.
AB - Histone deacetylase (HDAC) inhibitors such as the phenyl hydroxamic acid PCI
24781 have emerged recently as a class of therapeutic agents for the treatment of
cancer. Recent data showing synergy of HDAC inhibitors with ionizing radiation
and other DNA-damaging agents have suggested that HDAC inhibitors may act, in
part, by inhibiting DNA repair. Here we present evidence that HDAC enzymes are
important for homologous recombinational repair of DNA double-strand breaks.
Combination studies of PCI-24781 with the poly(ADP-ribose) polymerase inhibitor
PJ34, an agent thought to produce lesions repaired by homologous recombination
(HR), resulted in a synergistic effect on apoptosis. Immunofluorescence analysis
demonstrated that HDAC inhibition caused a complete inhibition of subnuclear
repair foci in response to ionizing radiation. Mechanistic investigations
revealed that inhibition of HDAC enzymes by PCI-24781 led to a significant
reduction in the transcription of genes specifically associated with HR,
including RAD51. RAD51 protein levels were significantly decreased after 24 h of
drug exposure both in vitro and in vivo. Consistent with inhibition of HR,
treatment with PCI-24781 resulted in a decreased ability to perform homology
directed repair of I-SceI-induced chromosome breaks in transfected CHO cells. In
addition, an enhancement of cell killing was observed in Ku mutant cells lacking
functional nonhomologous end joining compared with WT cells. Together these
results demonstrate that HDAC enzymes are critically important to enable
functional HR by controlling the expression of HR-related genes and promoting the
proper assembly of HR-directed subnuclear foci.
PMID- 18042715
TI - Integrated epigenomic analyses of neuronal MeCP2 reveal a role for long-range
interaction with active genes.
AB - Mutations in MECP2 cause the autism-spectrum disorder Rett syndrome. MeCP2 is
predicted to bind to methylated promoters and silence transcription. However, the
first large-scale mapping of neuronal MeCP2-binding sites on 26.3 Mb of imprinted
and nonimprinted loci revealed that 59% of MeCP2-binding sites are outside of
genes and that only 6% are in CpG islands. Integrated genome-wide promoter
analysis of MeCP2 binding, CpG methylation, and gene expression revealed that 63%
of MeCP2-bound promoters are actively expressed and that only 6% are highly
methylated. These results indicate that the primary function of MeCP2 is not the
silencing of methylated promoters.
PMID- 18042716
TI - The blinking spotlight of attention.
AB - Increasing evidence suggests that attention can concurrently select multiple
locations; yet it is not clear whether this ability relies on continuous
allocation of attention to the different targets (a "parallel" strategy) or
whether attention switches rapidly between the targets (a periodic "sampling"
strategy). Here, we propose a method to distinguish between these two
alternatives. The human psychometric function for detection of a single target as
a function of its duration can be used to predict the corresponding function for
two or more attended targets. Importantly, the predicted curves differ, depending
on whether a parallel or sampling strategy is assumed. For a challenging
detection task, we found that human performance was best reflected by a sampling
model, indicating that multiple items of interest were processed in series at a
rate of approximately seven items per second. Surprisingly, the data suggested
that attention operated in this periodic regime, even when it was focused on a
single target. That is, attention might rely on an intrinsically periodic
process.
PMID- 18042717
TI - DNA methylation, insulin resistance, and blood pressure in offspring determined
by maternal periconceptional B vitamin and methionine status.
AB - A complex combination of adult health-related disorders can originate from
developmental events that occur in utero. The periconceptional period may also be
programmable. We report on the effects of restricting the supply of specific B
vitamins (i.e., B(12) and folate) and methionine, within normal physiological
ranges, from the periconceptional diet of mature female sheep. We hypothesized
this would lead to epigenetic modifications to DNA methylation in the
preovulatory oocyte and/or preimplantation embryo, with long-term health
implications for offspring. DNA methylation is a key epigenetic contributor to
maintenance of gene silencing that relies on a dietary supply of methyl groups.
We observed no effects on pregnancy establishment or birth weight, but this
modest early dietary intervention led to adult offspring that were both heavier
and fatter, elicited altered immune responses to antigenic challenge, were
insulin-resistant, and had elevated blood pressure-effects that were most obvious
in males. The altered methylation status of 4% of 1,400 CpG islands examined by
restriction landmark genome scanning in the fetal liver revealed compelling
evidence of a widespread epigenetic mechanism associated with this nutritionally
programmed effect. Intriguingly, more than half of the affected loci were
specific to males. The data provide the first evidence that clinically relevant
reductions in specific dietary inputs to the methionine/folate cycles during the
periconceptional period can lead to widespread epigenetic alterations to DNA
methylation in offspring, and modify adult health-related phenotypes.
PMID- 18042718
TI - Protein protein interaction inhibition (2P2I) combining high throughput and
virtual screening: Application to the HIV-1 Nef protein.
AB - Protein-protein recognition is the cornerstone of multiple cellular and
pathological functions. Therefore, protein-protein interaction inhibition (2P2I)
is endowed with great therapeutic potential despite the initial belief that 2P2I
was refractory to small-molecule intervention. Improved knowledge of complex
molecular binding surfaces has recently stimulated renewed interest for 2P2I,
especially after identification of "hot spots" and first inhibitory compounds.
However, the combination of target complexity and lack of starting compound has
thwarted experimental results and created intellectual barriers. Here we combined
virtual and experimental screening when no previously known inhibitors can be
used as starting point in a structure-based research program that targets an SH3
binding surface of the HIV type I Nef protein. High-throughput docking and
application of a pharmacophoric filter on one hand and search for analogy on the
other hand identified drug-like compounds that were further confirmed to bind Nef
in the micromolar range (isothermal titration calorimetry), to target the Nef SH3
binding surface (NMR experiments), and to efficiently compete for Nef-SH3
interactions (cell-based assay, GST pull-down). Initial identification of these
compounds by virtual screening was validated by screening of the very same
library of compounds in the cell-based assay, demonstrating that a significant
enrichment factor was attained by the in silico screening. To our knowledge, our
results identify the first set of drug-like compounds that functionally target
the HIV-1 Nef SH3 binding surface and provide the basis for a powerful discovery
process that should help to speed up 2P2I strategies and open avenues for new
class of antiviral molecules.
PMID- 18042719
TI - CD4+CD25+Foxp3+ regulatory T cells induce alternative activation of human
monocytes/macrophages.
AB - CD4(+)CD25(+)Foxp3(+) regulatory T cells (Tregs) are potent suppressors of the
adaptive immune system, but their effects on innate immune cells are less well
known. Here we demonstrate a previously uncharacterized function of Tregs, namely
their ability to steer monocyte differentiation toward alternatively activated
macrophages (AAM). AAM are cells with strong antiinflammatory potential involved
in immune regulation, tissue remodeling, parasite killing, and tumor promotion.
We show that, after coculture with Tregs, monocytes/macrophages display typical
features of AAM, including up-regulated expression of CD206 (macrophage mannose
receptor) and CD163 (hemoglobin scavenger receptor), an increased production of
CCL18, and an enhanced phagocytic capacity. In addition, the
monocytes/macrophages have reduced expression of HLA-DR and a strongly reduced
capacity to respond to LPS in terms of proinflammatory mediator production (IL
1beta, IL-6, IL-8, MIP-1alpha, TNF-alpha), NFkappaB activation, and tyrosine
phosphorylation. Mechanistic studies reveal that CD4(+)CD25(+)CD127(low)Foxp3(+)
Tregs produce IL-10, IL-4, and IL-13 and that these cytokines are the critical
factors involved in the suppression of the proinflammatory cytokine response. In
contrast, the Treg-mediated induction of CD206 is entirely cytokine-independent,
whereas the up-regulation of CD163, CCL18, and phagocytosis are (partly)
dependent on IL-10 but not on IL-4/IL-13. Together these data demonstrate a
previously unrecognized function of CD4(+)CD25(+)Foxp3(+) Tregs, namely their
ability to induce alternative activation of monocytes/macrophages. Moreover, the
data suggest that the Treg-mediated induction of AAM partly involves a novel,
cytokine-independent pathway.
PMID- 18042720
TI - Silencing of OB-RGRP in mouse hypothalamic arcuate nucleus increases leptin
receptor signaling and prevents diet-induced obesity.
AB - Obesity is a major public health problem and is often associated with type 2
diabetes mellitus, cardiovascular disease, and metabolic syndrome. Leptin is the
crucial adipostatic hormone that controls food intake and body weight through the
activation of specific leptin receptors (OB-R) in the hypothalamic arcuate
nucleus (ARC). However, in most obese patients, high circulating levels of leptin
fail to bring about weight loss. The prevention of this "leptin resistance" is a
major goal for obesity research. We report here a successful prevention of diet
induced obesity (DIO) by silencing a negative regulator of OB-R function, the OB
R gene-related protein (OB-RGRP), whose transcript is genetically linked to the
OB-R transcript. We provide in vitro evidence that OB-RGRP controls OB-R function
by negatively regulating its cell surface expression. In the DIO mouse model,
obesity was prevented by silencing OB-RGRP through stereotactic injection of a
lentiviral vector encoding a shRNA directed against OB-RGRP in the ARC. This work
demonstrates that OB-RGRP is a potential target for obesity treatment. Indeed,
regulators of the receptor could be more appropriate targets than the receptor
itself. This finding could serve as the basis for an approach to identifying
potential new therapeutic targets for a variety of diseases, including obesity.
PMID- 18042721
TI - Modulation of metabolic brain networks after subthalamic gene therapy for
Parkinson's disease.
AB - Parkinson's disease (PD) is characterized by elevated expression of an abnormal
metabolic brain network that is reduced by clinically effective treatment. We
used fluorodeoxyglucose (FDG) positron emission tomography (PET) to determine the
basis for motor improvement in 12 PD patients receiving unilateral subthalamic
nucleus (STN) infusion of an adenoassociated virus vector expressing glutamic
acid decarboxylase (AAV-GAD). After gene therapy, we observed significant
reductions in thalamic metabolism on the operated side as well as concurrent
metabolic increases in ipsilateral motor and premotor cortical regions. Abnormal
elevations in the activity of metabolic networks associated with motor and
cognitive functioning in PD patients were evident at baseline. The activity of
the motor-related network declined after surgery and persisted at 1 year. These
network changes correlated with improved clinical disability ratings. By
contrast, the activity of the cognition-related network did not change after gene
transfer. This suggests that modulation of abnormal network activity underlies
the clinical outcome observed after unilateral STN AAV-GAD gene therapy. Network
biomarkers may be used as physiological assays in early-phase trials of
experimental therapies for PD and other neurodegenerative disease.
PMID- 18042722
TI - Matrix metalloproteinase 7 controls pancreatic acinar cell transdifferentiation
by activating the Notch signaling pathway.
AB - Acinar-to-ductal metaplasia in the pancreas is associated with an increased risk
for tumorigenesis. Molecular dissection of this process in vitro has shown that
primary acinar cells, in response to EGF receptor ligands, can transdifferentiate
into duct-like epithelia, passing through a nestin-positive intermediate, in a
Notch pathway-dependent manner. Here, we show that in vitro acinar
transdifferentiation depends on matrix metalloproteinase 7 (MMP-7), a proteinase
expressed in most metaplastic epithelia in vivo. MMP-7 was found to be required
for Notch activation, which leads to dedifferentiation of acinar cells to the
nestin-positive transitional cell. Besides being necessary for acinar
transdifferentiation, it was found that MMP-7 activity was sufficient to induce
the process, indicating that molecular signals capable of initiating MMP-7
expression also have the potential to induce formation of metaplastic epithelia
in the pancreas.
PMID- 18042723
TI - Subnetwork analysis reveals dynamic features of complex (bio)chemical networks.
AB - In analyzing and mathematical modeling of complex (bio)chemical reaction
networks, formal methods that connect network structure and dynamic behavior are
needed because often, quantitative knowledge of the networks is very limited.
This applies to many important processes in cell biology. Chemical reaction
network theory allows for the classification of the potential network behavior
for instance, with respect to the existence of multiple steady states-but is
computationally limited to small systems. Here, we show that by analyzing
subnetworks termed elementary flux modes, the applicability of the theory can be
extended to more complex networks. For an example network inspired by cell cycle
control in budding yeast, the approach allows for model discrimination,
identification of key mechanisms for multistationarity, and robustness analysis.
The presented methods will be helpful in modeling and analyzing other complex
reaction networks.
PMID- 18042724
TI - CERK1, a LysM receptor kinase, is essential for chitin elicitor signaling in
Arabidopsis.
AB - Chitin is a major component of fungal cell walls and serves as a microbe
associated molecular pattern (MAMP) for the detection of various potential
pathogens in innate immune systems of both plants and animals. We recently showed
that chitin elicitor-binding protein (CEBiP), plasma membrane glycoprotein with
LysM motifs, functions as a cell surface receptor for chitin elicitor in rice.
The predicted structure of CEBiP does not contain any intracellular domains,
suggesting that an additional component(s) is required for signaling through the
plasma membrane into the cytoplasm. Here, we identified a receptor-like kinase,
designated CERK1, which is essential for chitin elicitor signaling in
Arabidopsis. The KO mutants for CERK1 completely lost the ability to respond to
the chitin elicitor, including MAPK activation, reactive oxygen species
generation, and gene expression. Disease resistance of the KO mutant against an
incompatible fungus, Alternaria brassicicola, was partly impaired.
Complementation with the WT CERK1 gene showed cerk1 mutations were responsible
for the mutant phenotypes. CERK1 is a plasma membrane protein containing three
LysM motifs in the extracellular domain and an intracellular Ser/Thr kinase
domain with autophosphorylation/myelin basic protein kinase activity, suggesting
that CERK1 plays a critical role in fungal MAMP perception in plants.
PMID- 18042725
TI - Histamine is stored in mast cells of most evolutionarily advanced fish and
regulates the fish inflammatory response.
AB - Mast cells are important as initiators and effectors of innate immunity and
regulate the adaptive immune responses. They have been described in all classes
of vertebrates and seem to be morphologically and functionally similar. However,
early studies had shown that fish and amphibian mast cells were devoid of
histamine. In this study, we take a fresh look at the evolution of histamine and
find that the mast cells of fish belonging to the Perciformes order, the largest
and most evolutionarily advanced order of teleosts, are armed with histamine.
More importantly, histamine is biologically active in these fish where it is able
to regulate the inflammatory response by acting on professional phagocytes. In
addition, the actions of histamine in these immune cells seem to be mediated
through the engagement of H(1) and H(2) receptors, which, together with the H(3)
receptor, are well conserved in bony fish. We propose that the storage of
histamine in vertebrate mast cells and its use as an inflammatory messenger was
established in primitive reptiles (Lepidosauria) approximately 276 million years
ago. This same feature seems to have developed independently in Perciform fish
much more recently in the Lower Eocene, between 55 and 45 million years ago, a
short period during which the great majority of Percomorph families appeared.
PMID- 18042726
TI - Task-specific change of unconscious neural priming in the cerebral language
network.
AB - We explored the impact of task context on subliminal neural priming using
functional magnetic resonance imaging. The repetition of words during semantic
categorization produced activation reduction in the left middle temporal gyrus
previously associated with semantic-level representation and dorsal premotor
cortex. By contrast, reading aloud produced repetition enhancement in the left
inferior parietal lobe associated with print-to-sound conversion and ventral
premotor cortex. Analyses of effective connectivity revealed that the task set
for reading generated reciprocal excitatory connections between the left inferior
parietal and superior temporal regions, reflecting the audiovisual integration
required for vocalization, whereas categorization did not produce such backward
projection to posterior regions. Thus, masked repetition priming involves two
distinct components in the task-specific neural streams, one in the
parietotemporal cortex for task-specific word processing and the other in the
premotor cortex for behavioral response preparation. The top-down influence of
task sets further changes the directions of the unconscious priming in the entire
cerebral circuitry for reading.
PMID- 18042727
TI - Allograft rejection mediated by memory T cells is resistant to regulation.
AB - Alloreactive memory T cells may be refractory to many of the tolerance-inducing
strategies that are effective against naive T cells and thus present a
significant barrier to long-term allograft survival. Because CD4(+)CD25(+)
regulatory T cells (Tregs) are critical elements of many approaches to successful
induction/maintenance of transplantation tolerance, we used MHC class I and II
alloreactive TCR-transgenic models to explore the ability of antigen-specific
Tregs to control antigen-specific memory T cell responses. Upon coadoptive
transfer into RAG-1(-/-) mice, we found that Tregs effectively suppressed the
ability of naive T cells to reject skin grafts, but neither antigen-unprimed nor
antigen-primed Tregs suppressed rejection by memory T cells. Interestingly,
different mechanisms appeared to be active in the ability of Tregs to control
naive T cell-mediated graft rejection in the class II versus class I alloreactive
models. In the former case, we observed decreased early expansion of effector
cells in lymphoid tissue. In contrast, in the class I model, an effect of Tregs
on early proliferation and expansion was not observed. However, at a late time
point, significant differences in cell numbers were seen, suggesting effects on
responding T cell survival. Overall, these data indicate that the relative
resistance of both CD4(+) and CD8(+) alloreactive memory T cells to regulation
may mediate resistance to tolerance induction seen in hosts with preexisting
alloantigen-specific immunity and further indicate the multiplicity of mechanisms
by which Tregs may control alloimmune responses in vivo.
PMID- 18042728
TI - Cytoskeletal "jellyfish" structure of Mycoplasma mobile.
AB - Mycoplasma mobile, a parasitic bacterium lacking a peptidoglycan layer, glides on
solid surfaces in the direction of a membrane protrusion at a cell pole by a
unique mechanism. Recently, we proposed a working model in which cells are
propelled by leg proteins clustering at the protrusion's base. The legs
repeatedly catch and release sialic acids on the solid surface, a motion that is
driven by the force generated by ATP hydrolysis. Here, to clarify the subcellular
structure supporting the gliding force and the cell shape, we stripped the
membrane by Triton X-100 and identified a unique structure, designated the
"jellyfish" structure. In this structure, an oval solid "bell" approximately 235
wide and 155 nm long is filled with a 12-nm hexagonal lattice and connected to
this structure are dozens of flexible "tentacles" that are covered with particles
of 20-nm diameter at intervals of approximately 30 nm. The particles appear to
have 180 degrees rotational symmetry and a dimple at the center. The relation of
this structure to the gliding mechanism was suggested by its cellular
localization and by analyses of mutants lacking proteins essential for gliding.
We identified 10 proteins as the components by mass spectrometry and found that
these do not show sequence similarities with other proteins of bacterial
cytoskeletons or the gliding proteins previously identified. Immunofluorescence
and immunoelectron microscopy revealed that two components are localized at the
bell and another that has the structure similar to the F(1)-ATPase beta subunit
is localized at the tentacles.
PMID- 18042729
TI - Epidermal growth factor receptor juxtamembrane region regulates allosteric
tyrosine kinase activation.
AB - Structural studies of the extracellular and tyrosine kinase domains of the
epidermal growth factor receptor (ErbB-1) provide considerable insight into
facets of the receptor activation mechanism, but the contributions of other
regions of ErbB-1 have not been ascertained. This study demonstrates that the
intracellular juxtamembrane (JM) region plays a vital role in the kinase
activation mechanism. In the experiments described herein, the entire ErbB-1
intracellular domain (ICD) has been expressed in mammalian cells to explore the
significance of the JM region in kinase activity. Deletion of the JM region
(DeltaJM) results in a severe loss of ICD tyrosine phosphorylation, indicating
that this region is required for maximal activity of the tyrosine kinase domain.
Coexpression of DeltaJM and dimerization-deficient kinase domain ICD mutants
revealed that the JM region is indispensable for allosteric kinase activation and
productive monomer interactions within a dimer. Studies with the intact receptor
confirmed the role of the JM region in kinase activation. Within the JM region,
Thr-654 is a known protein kinase C (PKC) phosphorylation site that modulates
kinase activity in the context of the intact ErbB-1 receptor; yet, the mechanism
is not known. Whereas a T654A mutation promotes increased ICD tyrosine
phosphorylation, the phosphomimetic T654D mutant generates a 50% reduction in ICD
tyrosine phosphorylation. Similar to the DeltaJM mutants, the T654D mutant ICD
failed to interact with a wild-type monomer. This study reveals an integral role
for the intracellular JM region of ErbB-1 in allosteric kinase activation.
PMID- 18042731
TI - Potent inhibition of human apurinic/apyrimidinic endonuclease 1 by arylstibonic
acids.
AB - Human apurinic/apyrimidinic endonuclease (Ape1) plays an important role by
processing the >10,000 highly toxic abasic sites generated in the genome of each
cell every day. Ape1 has recently emerged as a target for inhibition, in that its
overexpression in tumors has been linked with poor response to both radiation and
chemotherapy and lower overall patient survival. Inhibition of Ape1 using siRNA
or the expression of a dominant-negative form of the protein has been shown to
sensitize cells to DNA-damaging agents, including various chemotherapeutic
agents. However, potent small-molecule inhibitors of Ape1 remain to be found. To
this end, we screened Ape1 against the NCI Diversity Set of small molecules and
discovered aromatic nitroso, carboxylate, sulfonamide, and arylstibonic acid
compounds with micromolar affinities for the protein. A further screen of a 37
compound arylstibonic acid sublibrary identified ligands with IC(50) values in
the range of 4 to 300 nM. The negatively charged stibonic acids act by a partial
mixed mode and probably serve as DNA phosphate mimics. These compounds provide a
useful scaffold for development of chemotherapeutic agents against Ape1.
PMID- 18042730
TI - Directed selection of a conformational antibody domain that prevents mature
amyloid fibril formation by stabilizing Abeta protofibrils.
AB - The formation of amyloid fibrils is a common biochemical characteristic that
occurs in Alzheimer's disease and several other amyloidoses. The unifying
structural feature of amyloid fibrils is their specific type of beta-sheet
conformation that differentiates these fibrils from the products of normal
protein folding reactions. Here we describe the generation of an antibody domain,
termed B10, that recognizes an amyloid-specific and conformationally defined
epitope. This antibody domain was selected by phage-display from a recombinant
library of camelid antibody domains. Surface plasmon resonance, immunoblots, and
immunohistochemistry show that this antibody domain distinguishes Abeta amyloid
fibrils from disaggregated Abeta peptide as well as from specific Abeta
oligomers. The antibody domain possesses functional activity in preventing the
formation of mature amyloid fibrils by stabilizing Abeta protofibrils. These data
suggest possible applications of B10 in the detection of amyloid fibrils or in
the modulation of their formation.
PMID- 18042732
TI - 2-[2-(3,4-dichloro-phenyl)-2,3-dihydro-1H-isoindol-5-ylamino]-nicotinic acid (PD
307243) causes instantaneous current through human ether-a-go-go-related gene
potassium channels.
AB - Long and short QT syndromes associated with loss and gain of human ether-a-go-go
related gene (hERG) channel activity, respectively, can cause life-threatening
arrhythmias. As such, modulation of hERG channel activity is an important
consideration in the development of all new therapeutic agents. In the present
study, we investigated the mechanisms of action of 2-[2-(3,4-dichloro-phenyl)-2,3
dihydro-1H-isoindol-5-ylamino]-nicotinic acid (PD-307243), a known hERG channel
activator, on hERG channels stably expressed in Chinese hamster ovary (CHO) cells
using the patch-clamp technique. In the whole-cell recordings, the extracellular
application of PD-307243 concentration-dependently increased the hERG current and
markedly slowed hERG channel deactivation and inactivation. PD-307243 had no
effect on the selectivity filter of hERG channels. The activity of PD-307243 was
use-dependent. PD-307243 (3 and 10 muM) induced instantaneous hERG current with
little decay at membrane potentials from -120 to -40 mV. At more positive
voltages, PD-307243 induced an I(to)-like upstroke of hERG current. The actions
of PD-307243 on the rapid component of delayed rectifier K(+) current (I(Kr)) in
rabbit ventricular myocytes were similar to those observed in hERG channel
transfected CHO cells. Inside-out patch experiments revealed that PD-307243
increased hERG tail currents by 2.1 +/- 0.6 (n = 7) and 3.4 +/- 0.3-fold (n = 4)
at 3 and 10 muM, respectively, by slowing the channel deactivation but had no
effect on channel activation. During a voltage-clamp protocol using a prerecorded
cardiac action potential, 3 muM PD-307243 increased the total potassium ions
passed through hERG channels by 8.8 +/- 1.0-fold (n = 5). Docking studies suggest
that PD-307243 interacts with residues in the S5-P region of the channel.
PMID- 18042733
TI - Progesterone receptor (PR) isoforms PRA and PRB differentially regulate
expression of the breast cancer resistance protein in human placental
choriocarcinoma BeWo cells.
AB - Breast cancer resistance protein (BCRP) plays a significant role in drug
disposition and in conferring multidrug resistance in cancer cells. Previous
studies have shown that steroid hormones such as 17beta-estradiol and
progesterone can affect BCRP expression in cancer cells. In this study, we
investigated the molecular mechanism by which BCRP expression in human placental
choriocarcinoma BeWo cells is regulated by progesterone. Transfection of the
progesterone receptor (PR) isoforms PRA and PRB resulted in a similarly increased
expression of PRA and PRB, respectively. However, progesterone significantly
increased BCRP expression and activity only in PRB-transfected cells. This
stimulatory effect of progesterone was abrogated by the PR antagonist
mifepristone (RU-486). Consistently, transcriptional activity of the BCRP
promoter was induced 2- to 6-fold by 10(-8) to 10(-5) M progesterone in PRB
transfected cells. Progesterone had little effect on BCRP expression and activity
and transcriptional activity of the BCRP promoter in PRA-transfected cells;
however, cotransfection of PRA and PRB significantly decreased the progesterone
response compared with that in cells transfected with only PRB. Mutations in a
novel progesterone response element (PRE) identified between -243 to -115 bp of
the BCRP promoter region significantly attenuated the progesterone-response in
PRB-transfected cells, and deletion of the PRE nearly completely abrogated the
progesterone effect. Specific binding of both PRA and PRB to the BCRP promoter
through the identified PRE was confirmed using the electrophoretic mobility shift
assay. Collectively, progesterone induces BCRP expression in BeWo cells via PRB
but not PRA. PRA represses the PRB activity. Thus, PRA and PRB differentially
regulate BCRP expression in BeWo cells.
PMID- 18042734
TI - Identification and characterization of two amino acids critical for the substrate
inhibition of human dehydroepiandrosterone sulfotransferase (SULT2A1).
AB - Substrate inhibition is a characteristic feature of many cytosolic
sulfotransferases. The differences between the complex structures of SULT2A1/DHEA
and SULT2A1/PAP or SULT2A1/ADT (Protein Data Bank codes are 1J99, 1EFH, and 1OV4,
respectively) have enabled us to elucidate the specific amino acids responsible
for substrate inhibition. Based on the structural analyses, substitution of the
smaller residue alanine for Tyr-238 (Y238A) significantly increases the K(i)
value for dehydroepiandrosterone (DHEA) and totally eliminates substrate
inhibition for androsterone (ADT). In addition, Met-137 was proposed to regulate
the binding orientations of DHEA and ADT in SULT2A1. Complete elimination or
regeneration of substrate inhibition for SULT2A1 with DHEA or ADT as substrate,
respectively, was demonstrated with the mutations of Met-137 on Y238A mutant.
Analysis of the Met-137 mutants and Met-137/Tyr-238 double mutants uncovered the
relationship between substrate binding orientations and inhibition in SULT2A1.
Our data indicate that, in the substrate inhibition mode, Tyr-238 regulates the
release of bound substrate, and Met-137 controls substrate binding orientation of
DHEA and ADT in SULT2A1. The proposed substrate inhibition mechanism is further
confirmed by the crystal structures of SULT2A1 mutants at Met-137. We propose
that both substrate binding orientations exhibited substrate inhibition. In
addition, a corresponding residue in other cytosolic sulfotransferases was shown
to have a function similar to that of Tyr-238 in SULT2A1.
PMID- 18042735
TI - Activation of the dual-leucine-zipper-bearing kinase and induction of beta-cell
apoptosis by the immunosuppressive drug cyclosporin A.
AB - Post-transplant diabetes is an untoward effect often observed under
immunosuppressive therapy with cyclosporin A. Besides the development of
peripheral insulin resistance and a decrease in insulin gene transcription, a
beta-cell toxic effect has been described. However, its molecular mechanism
remains unknown. In the present study, the effect of cyclosporin A and the dual
leucine-zipper-bearing kinase (DLK) on beta-cell survival was investigated.
Cyclosporin A decreased the viability of the insulin-producing pancreatic islet
cell line HIT in a time- and concentration-dependent manner. Upon exposure to the
immunosuppressant fragmentation of DNA, the activation of the effector caspase-3
and a decrease of full-length caspase-3 and Bcl(XL) were observed in HIT cells
and in primary mature murine islets, respectively. Cyclosporin A and tacrolimus,
both potent inhibitors of the calcium/calmodulin-dependent phosphatase
calcineurin, stimulated the enzymatic activity of cellular DLK in an in vitro
kinase assay. Immunocytochemistry revealed that the overexpression of DLK but not
its kinase-dead mutant induced apoptosis and enhanced cyclosporin A-induced
apoptosis to a higher extent than the drug alone. Moreover, in the presence of
DLK, the effective concentration for cyclosporin A-caused apoptosis was similar
to its known IC(50) value for the inhibition of calcineurin activity in beta
cells. These data suggest that cyclosporin A through inhibition of calcineurin
activates DLK, thereby leading to beta-cell apoptosis. This action may thus be a
novel mechanism through which cyclosporin A precipitates post-transplant
diabetes.
PMID- 18042736
TI - An intracellular allosteric site for a specific class of antagonists of the CC
chemokine G protein-coupled receptors CCR4 and CCR5.
AB - A novel mechanism for antagonism of the human chemokine receptors CCR4 and CCR5
has been discovered with a series of small-molecule compounds that seems to
interact with an allosteric, intracellular site on the receptor. The existence of
this site is supported by a series of observations: 1) intracellular access of
these antagonists is required for their activity; 2) specific, saturable binding
of a radiolabeled antagonist requires the presence of CCR4; and 3) through
engineering receptor chimeras by reciprocal transfer of C-terminal domains
between CCR4 and CCR5, compound binding and the selective structure-activity
relationships for antagonism of these receptors seem to be associated with the
integrity of that intracellular region. Published antagonists from other chemical
series do not seem to bind to the novel site, and their interaction with either
CCR4 or CCR5 is not affected by alteration of the C-terminal domain. The precise
location of the proposed binding site remains to be determined, but the known
close association of the C-terminal domain, including helix 8, as a proposed
intracellular region that interacts with transduction proteins (e.g., G proteins
and beta-arrestin) suggests that this could be a generic allosteric site for
chemokine receptors and perhaps more broadly for class A G protein-coupled
receptors. The existence of such a site that can be targeted for drug discovery
has implications for screening assays for receptor antagonists, which would need,
therefore, to consider compound properties for access to this intracellular site.
PMID- 18042737
TI - Effects of maternal diabetes on visual evoked potentials and early psychomotor
development of the offspring.
PMID- 18042738
TI - Metformin in heart failure.
PMID- 18042739
TI - Islet autotransplantation restores normal glucose tolerance in a patient with
chronic pancreatitis.
PMID- 18042740
TI - Possible relevance of HLA-DRB1*0403 haplotype in insulin autoimmune syndrome
induced by alpha-lipoic acid, used as a dietary supplement.
PMID- 18042741
TI - The role of iron in diabetes and its complications: response to Swaminathan et
al.
PMID- 18042742
TI - On real-time estimates of blood glucose levels: response to Trevino.
PMID- 18042744
TI - Vitamin D, parathyroid hormone levels, and the prevalence of metabolic syndrome
in community-dwelling older adults: response to Reis et al.
PMID- 18042746
TI - Strong association between time watching television and blood glucose control in
children and adolescents with type 1 diabetes: response to Margeirsdottir et al.
PMID- 18042747
TI - Effect of periodontitis on overt nephropathy and end-stage renal disease in type
2 diabetes: response to Shultis et al.
PMID- 18042749
TI - Metabolic syndrome in hypertensive patients: correlation between anthropometric
data and laboratory findings: response to Bulhoes and Araujo.
PMID- 18042750
TI - Consensus statement on the Worldwide Standardization of the Hemoglobin A1C
Measurement: the American Diabetes Association, European Association for the
Study of Diabetes, International Federation of Clinical Chemistry and Laboratory
Medicine, and the International Diabetes Federation: response to the Consensus
Committee.
PMID- 18042751
TI - Corneal sensitivity is reduced and relates to the severity of neuropathy in
patients with diabetes: response to Tavakoli et al.
PMID- 18042753
TI - Lessons from the Avandia controversy: a new paradigm for the development of drugs
to treat type 2 diabetes.
PMID- 18042754
TI - Diabetes and obesity: part 1.
PMID- 18042755
TI - Comment on: Cani et al. (2007) Metabolic endotoxemia initiates obesity and
insulin resistance: Diabetes 56:1761-1772.
PMID- 18042757
TI - Comment on: Chang et al. (2007) Association study of the genetic polymorphisms of
the transcription factor 7-like 2 (TCF7L2) gene and type 2 diabetes in the
Chinese population: Diabetes 56:2631-2637.
PMID- 18042759
TI - Lost in translation: facing up to translational research.
PMID- 18042760
TI - Genetic factors in type 2 diabetes: all in the (lipin) family.
PMID- 18042761
TI - Genome-wide association: which do you want first: the good news, the bad news, or
the good news?
PMID- 18042762
TI - Acute fatal post-CABG low dose amiodarone lung toxicity.
AB - Amiodarone is one of the commonly used anti-arrhythmic agents with well
recognized chronic pulmonary toxicity. We present our experience of a patient
with a fatal outcome after coronary artery bypass grafting and a short course of
amiodarone treatment with a low total cumulative dose for the treatment of
postoperative atrial fibrillation. Necropsy revealed diffuse pulmonary damage due
to acute amiodarone lung toxicity.
PMID- 18042763
TI - Off-pump pericardiectomy using an ultrasonic scalpel and a heart positioner.
AB - The surgical treatment of constrictive pericarditis often requires extensive
pericardial dissection under cardiopulmonary bypass. We performed a
pericardiectomy in a patient with constrictive pericarditis without
cardiopulmonary bypass, with assistance of a sternal retractor and a suction
heart positioner. The severely calcified pericardium, which adhered tightly to
the epicardium, was dissected with an ultrasonic scalpel. The operation was
completed without blood transfusion. There was no malignant arrhythmia.
PMID- 18042764
TI - Papillary fibroelastoma of the left ventricle: report of two cases.
AB - Papillary fibroelastoma is a relatively rare cardiac tumor. We report two cases
of papillary fibroelastoma. The first case involved a 45-year-old woman who
presented with rheumatic valves and three tumors developing from the papillary
muscle and left ventricle. The second case involved a 68-year-old man who was
asymptomatic and whose tumor was detected incidentally on echocardiogram. Both
cases were treated surgically. An additional 71 cases of papillary fibroelastoma
reported in the medical literature in Japan are reviewed.
PMID- 18042766
TI - The choice of valve prosthesis: are the guidelines for everyone?
PMID- 18042765
TI - Combined coronary artery re-operation and pulmonary resection for hemoptysis.
AB - We present a 59-year-old woman who underwent combined pulmonary resection for
bronchiectasis with massive, recurrent hemoptysis and redo coronary artery
bypass. She had previously been hospitalized four times for massive hemoptysis.
She had also undergone coronary artery bypass and had symptomatic severe graft
disease. We performed simultaneous right middle lobectomy and redo triple bypass.
At surgery, lobectomy was performed before heparinization, then redo bypass was
performed using on-pump cardiopulmonary bypass. The postoperative course was
uneventful.
PMID- 18042767
TI - Left ventricular hypertrophy and remodeling after aortic valve replacement.
AB - Left ventricular geometric remodeling and regression of hypertrophy were assessed
after aortic valve replacement with a mechanical prosthesis in 37 patients with
aortic stenosis and 39 with aortic insufficiency, aged 54.2 +/- 14.3 and 52.6 +/-
16.6 years, respectively. The follow-up period was 2 years. In patients with
aortic insufficiency, ejection fraction increased from 54.4 +/- 3.5
preoperatively to 59.6 +/- 3.4 after 6 months and 61.7 +/- 2.7 after 2 years. In
patients with aortic stenosis, ejection fraction increased from 56.6 +/- 5.1
preoperatively to 63.9 +/- 4.4 after 6 months and 71.7 +/- 4.1 after 2 years.
Geometric remodeling, regression of hypertrophy, and increased ejection fraction
of the left ventricle were similar in both groups at 6 months after surgery, but
after 2 years of follow-up, greater improvement was found in patients who had
undergone valve replacement for aortic stenosis.
PMID- 18042768
TI - Glutamine improves myocardial function following ischemia-reperfusion injury.
AB - Myocardial ischemia-reperfusion injury is common during cardiac procedures.
Glutamine may protect the myocardium by preserving metabolic substrates.
Glutamine (0.52 g x kg(-1)) or Ringer's lactate solution (control group) was
administered intraperitoneally to 63 Sprague-Dawley rats at 4 or 18 hours prior
to experimental ischemia and reperfusion. The hearts were excised and perfused on
an isolated working heart model, exposed to global ischemia for 15 min and
reperfusion for 1 hour. Left atrial pressure, mean aortic pressure, cardiac flow,
coronary flow, and aortic output were measured 15 min before ischemia and every
15 min during reperfusion. There was significantly better cardiac output in the
glutamine pretreated groups. Pretreatment at 4 hours before the experiment was
superior to pretreatment at 18 hours, with better maintenance of cardiac output
and coronary flow. The enhanced protective effect of pretreatment at 4 hours
highlights the importance of timing, and suggests a potential clinical benefit.
PMID- 18042769
TI - Minimally invasive right posterior minithoracotomy for open-heart procedures.
AB - A right posterior minithoracotomy was evaluated in 123 selected patients between
November 2002 and August 2006. Their ages ranged from 1.5 to 32 years (mean, 7.8
years) and weights ranged from 12.3 to 61.6 kg (mean, 23.3 kg). Pathology
included atrial septal defect in 81 (66%), ventricular septal defect in 16 (13%),
and 24 other (mainly valve) defects. All patients had a strictly posterior right
minithoracotomy through the 4(th) or 5(th) right intercostal space, with a 7-9-cm
skin incision. There was no mortality or procedure-related morbidity. The mean
cardiopulmonary bypass time was 68 min, ischemic time was 47 min, and 47 (38%)
patients were extubated on the operating table. The mean hospital stay was 4.3
days and it was < 5 days in 108 (88%) patients. A cosmetically fine scar was
achieved in all patients. The right posterior minithoracotomy is a safe,
cosmetically superior, and cost-effective approach for selected open-heart
procedures.
PMID- 18042770
TI - Minimal vs median sternotomy for aortic valve replacement.
AB - The aim of this study was to compare postoperative outcomes in patients
undergoing aortic valve replacement through a ministernotomy or conventional
sternotomy. Sixty patients were randomized into 2 groups of 30 each: group 1 had
a full sternotomy and group 2 had a ministernotomy. Pain was evaluated on a daily
basis, pulmonary function tests were performed perioperatively. The skin incision
was shorter in group 2 (7.17 vs 24.50 cm in group 1). There was significantly
less mediastinal drainage in group 2 (233 vs 590 mL in 24 hours in group 1).
Group 1 patients had more blood transfusions and longer ventilation time. In
group 1, 96.7% experienced severe pain, whereas 93.3% in group 2 reported minimal
pain. Hospital stay was 17.7 days in group 1 and 8.0 days in group 2. The
ministernotomy had a cosmetic advantage, less blood loss and transfusion
requirement, greater sternal stability, better respiratory function, and earlier
extubation and hospital discharge.
PMID- 18042771
TI - Prosthetic valve replacement in adolescents with rheumatic heart disease.
AB - To assess long-term survival and anticoagulant-related complications after
mechanical valve replacement in adolescents with rheumatic heart disease, 88
patients aged 5 mg. Heparin is a less
effective anticoagulant resulting in more maternal complications, but it is more
protective of the fetus.
PMID- 18042775
TI - Anticoagulation in pregnancy with mechanical heart valves: 10-year experience.
AB - Anticoagulation in pregnancy was evaluated in 33 women with a mechanical heart
valve prosthesis who had 53 pregnancies between 1994 and 2006. Their mean age at
valve operation was 24.4 +/- 5.4 years, and 22 (67%) had isolated mitral valve
disease. Of these patients, 22 had a single pregnancy, 5 had 2 pregnancies, 3 had
3, and 3 had 4. In 43 pregnancies, the patients took warfarin throughout; in the
other 10, heparin was used in the first trimester followed by warfarin until the
last 15 days. Mean international normalized ratio and warfarin levels before,
during, and after pregnancy were similar. Complications occurred in 3 (6%) women
who had thrombosed valves: 2 (20%) in the heparin group and 1 (2%) who had
warfarin only. Live births resulted from 37 (70%) pregnancies. There were
significantly more abortions in the heparin group (6; 60%) than the warfarin
group (8; 19%). Hemorrhage requiring transfusion occurred in 2 (5%) patients in
the warfarin group. All live births resulted in healthy babies. It was concluded
that anticoagulation with warfarin is safe during pregnancy in women with
mechanical heart valves.
PMID- 18042776
TI - Cardiac troponin I concentrations during on-pump coronary artery surgery.
AB - Perioperative myocardial infarction remains a frequent complication after
coronary artery bypass grafting, and is associated with a poor prognosis. This
retrospective study compared cardiac troponin I concentrations after on-pump
bypass grafting in 2 groups of patients: 100 operated on using a single-clamp
technique to perform anastomoses, and 80 operated on using a double-clamp
technique. Postoperative cardiac troponin I levels were not significantly
different between groups. It was concluded that the double-clamp technique did
not reduce the incidence of myocardial infarction after elective on-pump coronary
artery bypass grafting, and use of a single clamp is safe with no adverse effect
on postoperative outcome.
PMID- 18042777
TI - Learning curve of arch-first technique analyzed by cumulative sum.
AB - This study was undertaken to verify efficacy of the arch-first technique in the
light of its learning curve. From April 2002 to September 2005, 10 consecutive
elective cases of total arch replacement were retrospectively examined. The
learning curve of the arch-first technique was constructed using cumulative sum
analysis. There were no operative deaths. The mean deep hypothermic circulatory
arrest time was 28.4 +/- 13.7 min, the lower body ischemic time was 91.3 +/- 35.1
min, aortic cross clamp time was 133.2 +/- 18.1 min, cardiopulmonary bypass time
was 198.8 +/- 21.5 min, and operation time was 383 +/- 24 min. The durations of
deep hypothermic circulatory arrest, bypass, and operation were under the 90%
lower alarm line in all 10 cases. The lower body ischemic time and cardiac arrest
time were between the 80% upper and lower alert lines. Cumulative sum analysis of
total arch replacement using the arch-first technique showed satisfactory rates
of improvement in reconstruction of the 3 arch vessels, cardiopulmonary bypass
time, and overall mortality.
PMID- 18042778
TI - Novel method of thoracoscopic surgery for giant bulla without residual cavity.
AB - A giant bulla is generally resected by thoracoscopic surgery. Resection using an
automated stapling device is popular, however, a number of cartridges may be
consumed and a cavity is sometimes left remaining, especially when resecting wide
based lesions. To establish a thoracoscopic surgical procedure that results in no
residual cavity, we developed a method in which the roof of the bulla is resected
first, followed by resection of the pulmonary parenchyma, including the base of
the bulla, using a stapling device. Exposure of the base by first removing the
roof facilitates determination of the resection line. Between 2003 and 2005, the
procedure was attempted in 6 patients, which included one bilateral case.
Conversion to a minithoracotomy was required in one patient because of bulla
thickening. The operating time ranged from 80 to 150 min (median, 135 min) in the
other 6 cases. Postoperative chest drainage ranged from 2 to 13 days (median, 3
days), and postoperative hospital stay was 5 to 18 days (median, 6 days). No
adverse events occurred. We found this procedure to be simple and useful for
complete resection of giant bullae.
PMID- 18042779
TI - Perforation of a substernal interposed ileocolon caused by right thoracic
herniation.
AB - Perforation of a retrosternal interposed ileocolon is rare. We present a case of
perforation of the interposed colon on the ninth postoperative day, which
subsequently herniated to the right pleural space. We suggest that the dilated
haustral trapping and the associated "waterfall" effect may have resulted in
incarceration of the colon. Careful dissection of the pleura in order to create a
retrosternal tunnel, and the use of a suitable length of an esophageal substitute
could prevent this complication.
PMID- 18042780
TI - A rare complication of pneumonectomy: hiatal hernia associated with gastric
volvulus.
AB - A rare case of intrathoracic gastric herniation resulting in intermittent gastric
volvulus was observed in a 69-year-old female patient five months after left
pneumonectomy for lung cancer. The mechanism of post-pneumonectomy intermittent
gastric volvulus and the techniques of surgical repair are discussed.
PMID- 18042781
TI - Aortic root replacement in Behcet disease.
AB - The patient presented with a history of recurrent aphthous stomatitis, genital
ulceration, and a family history of positive for collagen disease.
Echocardiography and retrograde aortography revealed aneurysm formation of the
sinus of Valsalva, and dilatation of the aortic valve annulus with severe aortic
regurgitation. On diagnosis of an aneurysm of the sinus of Valsalva and aortic
regurgitation associated with Behcet's disease, aortic root replacement with the
modified Bentall technique was successfully performed.
PMID- 18042782
TI - Tracheomalacia in a patient with unilateral pulmonary and renal agenesis.
AB - We report the case of an eight-month-old boy with tracheomalacia secondary to
impingement by the right pulmonary artery, together with congenital agenesis of
the left lung and the left kidney. Aortopexy and right pulmonary arterypexy were
successful in improving lung function by more than 100%, and the patient remained
free of complications in the long term.
PMID- 18042783
TI - Aortic and mitral valve replacement in a patient with hemophilia B.
AB - A 25-year-old man with factor IX deficiency had an aortic and mitral valve
replacement using a 2M Starr Edwards valve in the mitral position and a 22
Medtronic valve in the aortic position under cover of factor IX concentrate. The
surgical procedure and the immediate postoperative period were uneventful except
for a pericardial effusion which required a pericardiostomy. He was
anticoagulated with heparin in the immediate postoperative period while the
factor IX concentrate was being administered. Oral anticoagulation with
acenocoumarol (Acitrom) was started, maintaining the international normalized
ratio between 1.5 and 2. He was doing well at follow-up 9 months later.
PMID- 18042784
TI - Safe innominate artery cannulation for cardiopulmonary bypass in neonates.
AB - To reduce the neurological complications of deep hypothermic circulatory arrest,
continuous cerebral perfusion was introduced, either by direct innominate artery
cannulation or by a tubular prosthesis. This second option, used in our clinical
experience, has been extended to applications other than aortic arch
reconstruction, to facilitate cardiopulmonary bypass in small neonates (< 3.0 kg)
with complex congenital heart defects, and to facilitate postoperative
extracorporeal membrane oxygenation.
PMID- 18042785
TI - Chordal replacement with temporary Alfieri stitch for anterior leaflet prolapse.
AB - In mitral valve regurgitation due to anterior leaflet prolapse and other
complicated lesions, chordal replacement with expanded polytetrafluoroethylene is
widely practiced. The most troublesome aspects of this procedure are the
determination of the necessary length of the artificial chorda and the tying of
the knot. We describe a simple technique for artificial chordal replacement using
an Alfieri stitch, that has been successfully applied to 10 patients with
anterior leaflet prolapse.
PMID- 18042786
TI - Importance of perioperative blood glucose management in cardiac surgical
patients.
AB - Tight blood glucose control has become a therapeutic goal for anesthetic
management of patients undergoing cardiovascular surgery. We discuss the evidence
for a link between blood glucose levels and rates of morbidity and mortality in
cardiac surgical patients in the intensive care unit. Hyperglycemia per se has
been associated with higher rates of deep wound infection, neurologic, renal, and
cardiac complications following surgery, as well as longer intensive care unit
stay. We review the specifics of glucose management in patients undergoing
cardiac surgery and hypothermic cardiopulmonary bypass, including the role that
insulin may play in regulating blood glucose levels intraoperatively and the
relationship between insulin and outcome.
PMID- 18042787
TI - Emergency closed mitral valvotomy with transesophageal echocardiographic
guidance.
PMID- 18042788
TI - An iatrogenic bronchial foreign body.
PMID- 18042789
TI - Feeding artery of giant left atrial myxoma visualized on computed tomography.
PMID- 18042790
TI - Papaverine hydrodissection of internal thoracic artery.
PMID- 18042791
TI - Toward extending the educational interpreter performance assessment to cued
speech.
AB - The Educational Interpreter Performance Assessment (EIPA) is as an important
research tool for examining the quality of interpreters who use American Sign
Language or a sign system in classroom settings, but it is not currently
applicable to educational interpreters who use Cued Speech (CS). In order to
determine the feasibility of extending the EIPA to include CS, a pilot EIPA test
was developed and administered to 24 educational CS interpreters. Fifteen of the
interpreters' performances were evaluated two to three times in order to assess
reliability. Results show that the instrument has good construct validity and
test-retest reliability. Although more interrater reliability data are needed,
intrarater reliability was quite high (0.9), suggesting that the pilot test can
be rated as reliably as signing versions of the EIPA. Notably, only 48% of
interpreters who formally participated in pilot testing performed at a level that
could be considered minimally acceptable. In light of similar performance levels
previously reported for interpreters who sign (e.g., Schick, Williams, &
Kupermintz, 2006), these results suggest that interpreting services for deaf and
hard-of hearing students, regardless of the communication option used, are often
inadequate and could seriously hinder access to the classroom environment.
PMID- 18042792
TI - Hyperlink format, categorization abilities and memory span as contributors to
deaf users hypertext access.
AB - Sixty deaf and hearing students were asked to search for goods in a Hypertext
Supermarket with either graphical or textual links of high typicality, frequency,
and familiarity. Additionally, they performed a picture and word categorization
task and two working memory span tasks (spatial and verbal). Results showed that
deaf students were faster in graphical than in verbal hypertext when the number
of visited pages per search trial was blocked. Regardless of stimuli format,
accuracy differences between groups did not appear, although deaf students were
slower than hearing students in both Web search and categorization tasks
(graphical or verbal). No relation between the two tasks was found. Correlation
analyses showed that deaf students with higher spatial span were faster in
graphical Web search, but no correlations emerged between verbal span and verbal
Web search. A hypothesis of different strategies used by the two groups for
searching information in hypertext is formulated. It is suggested that deaf users
use a visual-matching strategy more than a semantic approach to make navigation
decisions.
PMID- 18042793
TI - Eradication of disseminated leukemia in a syngeneic murine leukemia model using
pretargeted anti-CD45 radioimmunotherapy.
AB - We describe the use of pretargeted radioimmunotherapy (PRIT) using an anti-murine
CD45 antibody-streptavidin (SA) conjugate followed by radiobiotin to deliver
radiation selectively to murine hematolymphoid tissues, which may potentially
augment the efficacy and decrease the toxicity of radioimmunotherapy for
disseminated murine leukemia. Biodistribution and therapeutic results
demonstrated high target organ to nontarget organ ratios of radioactivity and
significant long-term survival in leukemic mice using PRIT. These data suggest
that anti-CD45 PRIT using an anti-CD45-SA conjugate in a syngeneic murine model
of disseminated leukemia may be more effective and less toxic than directly
labeled monoclonal antibodies.
PMID- 18042795
TI - Splenic red pulp lymphoma with numerous basophilic villous lymphocytes: a
distinct clinicopathologic and molecular entity?
AB - The presence of circulating villous lymphocytes (VLs) in lymphoma patients
usually points to splenic marginal zone B-cell lymphoma (SMZL), even if the VLs
can be found occasionally in other small B-cell lymphomas. However, those cells
are variably described, and detailed cytologic characterization is often lacking.
We identified lymphoma cases with numerous basophilic VLs among the large group
of splenic lymphoma with VLs, and for further delineation, 37 cases with this
particular cytology were analyzed. Patients, predominantly older men, presented
with moderate lymphocytosis and splenomegaly without pancytopenia. The monoclonal
B cells expressed IgM + D, IgM + G, IgM or IgG, as well as CD76 and CD11c,
frequently CD103, and rarely CD123. Spleen sections were peculiar, with atrophic
white pulp and a monomorphic diffuse lymphoma infiltration in a congested red
pulp. Bone marrow infiltration was interstitial and intrasinusoidal without
extensive fibrosis. Cytogenetic analysis showed a frequent absence of clonal
aberrations (68%). Most cases (79%) were IgH mutated, with an overrepresentation
of V(H)3 and V(H)4 gene families. These results, as well as the clinical
evolution, show that those lymphoma cases represent a homogeneous group distinct
from SMZL and reminiscent of hairy cell leukemia variant, perhaps corresponding
to a separate lymphoma entity.
PMID- 18042794
TI - Distinct characteristics of signal transduction events by histamine-releasing
factor/translationally controlled tumor protein (HRF/TCTP)-induced priming and
activation of human basophils.
AB - We previously identified a negative correlation between histamine release to
histamine releasing factor/translationally controlled tumor protein (HRF/TCTP)
and protein levels of the Src homology 2 domain-containing inositol 5'
phosphatase (SHIP) in basophils. We have also demonstrated that HRF/TCTP primes
basophils to release mediators. The purpose of this study was to begin
characterization of signal transduction events directly induced by HRF/TCTP and
to investigate these events when HRF/TCTP is used as a priming agent for human
basophil histamine release. Highly purified human basophils were examined for
surface expression of bound HRF/TCTP, changes in calcium, and phosphorylation of
Akt, mitogen-activated protein kinase kinase (MEK), extracellular signal
regulated kinase (ERK), Syk, and FcepsilonRIgamma. Results showed that basophils
from all donors bound HRF/TCTP. There was a biphasic calcium response to
HRF/TCTP, which corresponded to the magnitude of histamine release. Furthermore,
those donors who have direct histamine release when exposed to HRF/TCTP (HRF/TCTP
responder [HRF/TCTP-R] donors) have phosphorylation of Syk, Akt, MEK, and ERK.
Remarkably, basophils from HRF/TCTP-nonresponder (HRF/TCTP-NR) donors do not show
phosphorylation of these molecules. This finding is different from IL-3, which
also primes basophils for histamine release, but does show phosphorylation of
these events. We conclude that priming induced by HRF/TCTP is distinct from that
induced by IL-3.
PMID- 18042796
TI - Long-term imatinib therapy promotes bone formation in CML patients.
AB - Imatinib inhibits tyrosine kinases important in osteoclast (c-Fms) and osteoblast
(platelet-derived growth factor receptor [PDGF-R], c-Abl) function, suggesting
that long-term therapy may alter bone homeostasis. To investigate this question,
we measured the trabecular bone volume (TBV) in iliac crest bone biopsies taken
from chronic myeloid leukemia (CML) patients at diagnosis and again after 2 to 4
years of imatinib therapy. Half the patients (8 of 17) showed a substantive
increase in TBV (> 2-fold), after imatinib therapy, with the TBV in the
posttreatment biopsy typically surpassing the normal upper limit for the
patient's age group. Imatinib-treated patients exhibited reduced serum calcium
and phosphate levels with hypophosphatemia evident in 53% (9 of 17) of patients.
In vitro, imatinib suppressed osteoblast proliferation and stimulated osteogenic
gene expression and mineralized-matrix production by inhibiting PDGF receptor
function. In PDGF-stimulated cultures, imatinib dose-dependently inhibited
activation of Akt and Crk-L. Using pharmacologic inhibitors, inhibition of PI3
kinase/Akt activation promoted mineral formation, suggesting a possible molecular
mechanism for the imatinib-mediated increase in TBV in vivo. Further
investigation is required to determine whether the increase in TBV associated
with imatinib therapy may represent a novel therapeutic avenue for the treatment
of diseases that are characterized by generalized bone loss.
PMID- 18042797
TI - Hematopoietic origin of hepatic stellate cells in the adult liver.
AB - Hepatic stellate cells are believed to play a key role in the development of
liver fibrosis. Several studies have reported that bone marrow cells can give
rise to hepatic stellate cells. We hypothesized that hepatic stellate cells are
derived from hematopoietic stem cells. To test this hypothesis, we generated
chimeric mice by transplantation of clonal populations of cells derived from
single enhanced green fluorescent protein (EGFP)-marked Lin(-)Sca-1(+)c
kit(+)CD34(-) cells and examined the histology of liver tissues obtained from the
chimeric mice with carbon tetrachloride (CCl(4))-induced injury. After 12 weeks
of CCl(4) treatment, we detected EGFP(+) cells in the liver, and some cells
contained intracytoplasmic lipid droplets. Immunofluorescence analysis
demonstrated that 50% to 60% of the EGFP(+) cells were negative for CD45 and
positive for vimentin, glial fibrillary acidic protein, ADAMTS13, and alpha
smooth muscle actin. Moreover, EGFP(+) cells isolated from the liver synthesized
collagen I in culture. These phenotypes were consistent with those of hepatic
stellate cells. The hematopoietic stem cell-derived hepatic stellate cells seen
in male-to-male transplants revealed only one Y chromosome. Our findings suggest
that hematopoietic stem cells contribute to the generation of hepatic stellate
cells after liver injury and that the process does not involve cell fusion.
PMID- 18042798
TI - Etanercept plus methylprednisolone as initial therapy for acute graft-versus-host
disease.
AB - Graft-versus-host disease (GVHD) is a principal cause of morbidity following
allogeneic hematopoietic cell transplantation (HCT). Standard therapy for GVHD,
high-dose steroids, results in complete responses (CRs) in 35% of patients.
Because tumor necrosis factor-alpha (TNFalpha) is an important effector of
experimental GVHD, we treated patients with new-onset GVHD with steroids plus the
TNFalpha inhibitor etanercept on a previously reported pilot trial (n = 20) and a
phase 2 trial (n = 41). We compared their outcomes with those of contemporaneous
patients with GVHD (n = 99) whose initial therapy was steroids alone. Groups were
similar with respect to age, conditioning, donor, degree of HLA match, and
severity of GVHD at onset. Patients treated with etanercept were more likely to
achieve CR than were patients treated with steroids alone (69% vs 33%; P < .001).
This difference was observed in HCT recipients of both related donors (79% vs
39%; P = .001) and unrelated donors (53% vs 26%; P < .001). Plasma TNFR1 levels,
a biomarker for GVHD activity, were elevated at GVHD onset and decreased
significantly only in patients with CR. We conclude that etanercept plus steroids
as initial therapy for acute GVHD results in a substantial majority of CRs. This
trial was referenced at www.clinicaltrials.gov as NCT00141713.
PMID- 18042799
TI - The LMP1 oncogene of EBV activates PERK and the unfolded protein response to
drive its own synthesis.
AB - The oncogene latent membrane protein 1 (LMP1) of Epstein-Barr virus (EBV) without
a ligand drives proliferation of EBV-infected B cells. Its levels vary in cells
of clonal populations by more than 100-fold, which leads to multiple distinct
activities of the oncogene. At intermediate levels it drives proliferation, and
at high levels it inhibits general protein synthesis by inducing phosphorylation
of eukaryotic initiation factor 2alpha (eIF2alpha). We have found that LMP1
activates PERK to induce phosphorylation of eIF2alpha, which upregulates
activating transcription factor 4 (ATF4) expression. ATF4, in turn,
transactivates LMP1's own promoter. LMP1 activates not only PERK but also
inositol requiring kinase 1 (IRE1) and ATF6, 3 pathways of the unfolded protein
response (UPR). Increasing expression levels of LMP1 induced a dose-dependent
increase in IRE1 activity, as measured by its "splicing" of XBP-1. These infected
B cells secrete immunoglobins independent of the levels of LMP1, indicating that
only a threshold level of XBP-1 is required for the secretion. These findings
indicate that LMP1's activation of the UPR is a normal event in a continuum of
LMP1's expression that leads both to stimulatory and inhibitory functions and
regulates the physiology of EBV-infected B cells in multiple, unexpected modes.
PMID- 18042800
TI - alpha2beta1 integrin expression in the tumor microenvironment enhances tumor
angiogenesis in a tumor cell-specific manner.
AB - To define the role of the alpha2beta1 integrin in pathologic angiogenesis, we
investigated tumor-associated growth and angiogenesis in wild-type and alpha2
null mice. Our findings reveal that the alpha2beta1 integrin plays an important
role in angiogenesis via regulation of VEGFR1 expression. When challenged with
B16F10 melanoma cells, mice lacking alpha2beta1 integrin ex-pression exhibit
increased tumor angiogenesis associated with up-regulated VEGFR1 expression. In
contrast, there was no alpha2beta1 integrin-dependent difference in the
angiogenic response to Lewis lung carcinoma (LLC) cells. Interestingly, whereas
B16F10 cells secrete high levels of placental growth factor (PLGF), LLC cells
produce high levels of VEGF, but low levels of PLGF. The alpha2beta1 integrin
dependent difference in angiogenesis was restored to LLC cells by expression of
PLGF, strongly suggesting that the angiogenic phenotype and tumor growth in the
alpha2-null host is dependent on specific interactions between the tumor cell and
the genetically defined integrin repertoire of the host microenvironment. Thus
integrin alpha2-null mice represent an example of genetic alterations of "the
soil" determining response to the "seed."
PMID- 18042801
TI - Complex inheritance pattern of dyskeratosis congenita in two families with 2
different mutations in the telomerase reverse transcriptase gene.
AB - Heterozygous mutations in the telomerase components TERT, the reverse
transcriptase, and TERC, the RNA template, cause autosomal dominant dyskeratosis
congenita due to telomere shortening. Anticipation, whereby the disease severity
increases in succeeding generations due to inheritance of shorter telomeres, is a
feature of this condition. Here we describe 2 families in which 2 TERT mutations
are segregating. Both families contain compound heterozygotes. In one case the
proband is homozygous for a novel mutation causing a P704S substitution, while
his father's second allele encodes an H412Y mutation. The proband in the second
family has mutant alleles Y846C and H876Q. Transfection studies show codominant
expression of the mutated alleles with no evidence of a dominant negative effect
or of intragenic complementation. Thus in these families the expression of both
TERT alleles and the inherited telomere length contribute to the clinical
phenotype.
PMID- 18042802
TI - IL-7 promotes Glut1 trafficking and glucose uptake via STAT5-mediated activation
of Akt to support T-cell survival.
AB - Lymphocyte homeostasis requires coordination of metabolic processes with cellular
energetic and biosynthetic demands but mechanisms that regulate T-cell metabolism
are uncertain. We show that interleukin-7 (IL-7) is a key regulator of glucose
uptake in T lymphocytes. To determine how IL-7 affects glucose uptake, we
analyzed IL-7 signaling mechanisms and regulation of the glucose transporter,
Glut1. The IL-7 receptor (IL-7R) stimulated glucose uptake and cell-surface
localization of Glut1 in a manner that required IL-7R Y449, which promoted rapid
signal transducer and activator of transcription 5 (STAT5) activation and a
delayed yet sustained activation of Akt. Each pathway was necessary for IL-7 to
promote glucose uptake, as Akt1(-/-) T cells or PI3-kinase inhibition and RNAi of
STAT5 led to defective glucose uptake in response to IL-7. STAT5 and Akt acted in
a linear pathway, with STAT5-mediated transcription leading to Akt activation,
which was necessary for STAT5 and IL-7 to promote glucose uptake and prevent cell
death. Importantly, IL-7 required glucose uptake to promote cell survival. These
data demonstrate that IL-7 promotes glucose uptake via a novel signaling
mechanism in which STAT5 transcriptional activity promotes Akt activation to
regulate Glut1 trafficking and glucose uptake that is critical for IL-7 to
prevent T-cell death and maintain homeostasis.
PMID- 18042803
TI - Short-term BMP-4 treatment initiates mesoderm induction in human embryonic stem
cells.
AB - Human embryonic stem cells (hES cells) have unlimited self-renewal capacity and
can differentiate into most, if not all, possible cell types. This unique
property makes them valuable not only for investigation of early developmental
processes, but also for regenerative medicine. Mesoderm-derived cardiac cells and
hematopoietic cells both have the potential for various therapeutic applications.
However, efficient induction of hES cell differentiation into mesoderm remains a
challenge. Here, we showed that treatment of hES cells with bone morphogenetic
protein-4 (BMP-4) exhibited differential effects: long-term treatment results in
trophoblast and extra-embryonic endoderm differentiation, whereas short-term
treatment can promote early mesoderm induction. The induction of mesoderm in hES
cells occurs at a high efficiency as measured using several markers, such as
Brachyury, WNT3, and MIXL1 expression. Moreover, these mesoderm progenitor cells
can differentiate into cardiac and hematopoietic lineages in vitro. Further
analysis showed that the mesoderm-inducing capacity of BMP-4 requires endogenous
FGF and TGF-beta/Nodal/activin signaling activities. Thus, our results uncover a
novel role for BMP-4 in regulation of hES cell differentiation and should provide
insights into the mechanism of mesoderm induction in hES cells.
PMID- 18042804
TI - Interleukin-27 directly induces differentiation in hematopoietic stem cells.
AB - Interleukin (IL)-27, one of the most recently discovered IL-6 family cytokines,
activates both the signal transducer and activator of transcription (STAT)1 and
STAT3, and plays multiple roles in pro- and anti-inflammatory immune responses.
IL-27 acts on various types of cells including T, B, and macrophage through the
common signal-transducing receptor gp130 and its specific receptor WSX-1, but the
effect of IL-27 on hematopoietic stem cells (HSCs) remains unknown. Here, we show
that IL-27 together with stem cell factor (SCF) directly acts on HSCs and
supports their early differentiation in vitro and in vivo. CD34(-/low)c-Kit(+)Sca
1(+)lineage marker(-) (CD34(-)KSL) cells, a population highly enriched in mouse
HSCs, were found to express both IL-27 receptor subunits. In vitro cultures of
CD34(-)KSL cells with IL-27 and SCF resulted in an expansion of progenitors
including short-term repopulating cells, while some of their long-term
repopulating activity also was maintained. To examine its in vivo effect,
transgenic mice expressing IL-27 were generated. These mice exhibited enhanced
myelopoiesis and impaired B lymphopoiesis in the bone marrow with extramedullary
hematopoiesis in the spleen. Moreover, IL-27 similarly acted on human CD34(+)
cells. These results suggest that IL-27 is one of the limited cytokines that play
a role in HSC regulation.
PMID- 18042805
TI - Pim-1 and Pim-2 kinases are required for efficient pre-B-cell transformation by v
Abl oncogene.
AB - The precise mechanisms by which Abl oncogenes transform hematopoietic cells are
unknown. We have examined the role of Pim kinases in v-Abl-mediated
transformation. In v-Abl transformants, expression of Pim-1 and Pim-2, but not
Pim-3, is dependent on Abl kinase activity. Transformation assays demonstrate
that v-Abl cannot efficiently transform bone marrow cells derived from Pim-1(-/
)/Pim-2(-/-) mice. Ectopic expression of either Pim-1 or Pim-2 in Pim-1(-/-)/Pim
2(-/-) cells restores transformation by v-Abl, strongly suggesting that either
Pim-1 or Pim-2 is required for v-Abl-mediated tumorigenesis. Interestingly, the
combined deficiency of Pim-1, Pim-2, and Suppressor of Cytokine Signalling (SOCS)
1 resulted in partial restoration of v-Abl transformation efficiency. In
addition, Pim kinases are involved in modification of SOCS-1 and in regulating
SOCS-1 protein levels in v-Abl-transformed cells. Furthermore, Pim kinases
regulate the proapoptotic proteins Bcl-XS and BAD. Pim kinases inhibit the
expression of Bcl-XS. Pim deficiency decreases the phosphorylation levels of BAD,
whereas ectopic expression of Pim-1 increases the amount of phospho-BAD. This
correlates with an increased protection from apoptosis in Abl transformants
expressing Pim kinases. Together, these data suggest that Pim kinases play a key
role in the v-Abl transformation, possibly via participating in modulation of
SOCS-1 and via regulating the apoptotic signaling.
PMID- 18042806
TI - Characterization of Vitis vinifera L. somatic variants exhibiting abnormal flower
development patterns.
AB - Mutants have proven to be a key resource for functional genomic studies in model
annual plant species. In perennial plant species where mutants are difficult to
generate and to screen, spontaneous somatic variants represent a unique resource
to understand the genetic control of complex developmental patterns. The
morphological and histological characterization of six Vitis vinifera L. somatic
variants that display four different abnormal phenotypes of flower development
are described here. A phenotype of reiterated reproductive meristems (RRM), with
both flower and petal reiteration, was observed in a somatic variant of the
cultivar Carignan. An abnormal development of reproductive organs was displayed
by the unfused carpels (UFC) somatic variant of cv. Bouchales, while a somatic
variant of cv. Mourvedre named carpel-less (CLS) developed abnormal ovules in the
absence of carpels. Finally, three independent somatic variants in cvs Gamay,
Morrastel, and Pinot displayed a phenotype of multiple perianth whorls (MPW).
Gene expression studies showed that the expression profiles of VvMADS-box 1, 2,
and 3 (putative orthologues of Arabidopsis flowering genes AG, SEP, and AGL13),
were altered during grapevine flower development in the somatic variants, whereas
the corresponding original cultivars displayed similar VvMADS-box gene expression
profiles. Phenotypic and molecular characterization of these variants allowed the
development of hypotheses on genetic functions that might be altered in most of
the variants in light of the current ABCDE flower model.
PMID- 18042807
TI - Exponential decay of GC content detected by strand-symmetric substitution rates
influences the evolution of isochore structure.
AB - The distribution of guanine and cytosine nucleotides throughout a genome, or the
GC content, is associated with numerous features in mammals; understanding the
pattern and evolutionary history of GC content is crucial to our efforts to
annotate the genome. The local GC content is decaying toward an equilibrium
point, but the causes and rates of this decay, as well as the value of the
equilibrium point, remain topics of debate. By comparing the results of 2 methods
for estimating local substitution rates, we identify 620 Mb of the human genome
in which the rates of the various types of nucleotide substitutions are the same
on both strands. These strand-symmetric regions show an exponential decay of
local GC content at a pace determined by local substitution rates. DNA segments
subjected to higher rates experience disproportionately accelerated decay and are
AT rich, whereas segments subjected to lower rates decay more slowly and are GC
rich. Although we are unable to draw any conclusions about causal factors, the
results support the hypothesis proposed by Khelifi A, Meunier J, Duret L, and
Mouchiroud D (2006. GC content evolution of the human and mouse genomes: insights
from the study of processed pseudogenes in regions of different recombination
rates. J Mol Evol. 62:745-752.) that the isochore structure has been reshaped
over time. If rate variation were a determining factor, then the current isochore
structure of mammalian genomes could result from the local differences in
substitution rates. We predict that under current conditions strand-symmetric
portions of the human genome will stabilize at an average GC content of 30%
(considerably less than the current 42%), thus confirming that the human genome
has not yet reached equilibrium.
PMID- 18042809
TI - Publication records among college of pharmacy deans.
PMID- 18042808
TI - Utilization of pharmacologic treatment in youths with attention
deficit/hyperactivity disorder in Medicaid database.
AB - BACKGROUND: Little is known about longitudinal changes in drug utilization in
attention-deficit/hyperactivity disorder (ADHD). OBJECTIVE: To describe
longitudinal trends in ADHD drug utilization and explore demographic differences
among youths eligible for a large Southern state Medicaid program. METHODS: A
cross-sectional and longitudinal analysis of 10 years of claims data for all
Medicaid beneficiaries younger than 20 years of age with 6 months or more of
continuous insurance (N = 2,131,953) was conducted. Annual prevalence, incidence,
and persistence in ADHD medication use (stimulants and atomoxetine) were
estimated based on pharmacy claims and clinician-reported ADHD diagnosis.
RESULTS: ADHD prevalence increased 1.70-fold (95% CI 1.67 to 1.73) from 3.10%
(21,904 of 705,573 beneficiaries) in fiscal year 1995-1996 to 5.27% (41,681 of
790,338) in 2003-2004, paralleled by a 1.84-fold (95% CI 1.81 to 1.87) increase
in drug use to 4.63%. In 2003-2004, 0.89% of youths were diagnosed and newly
started on drugs, reflecting a 1.38-fold (95% CI 1.33 to 1.43) increase over 1995
1996. One in five white males between the ages of 10 and 14 years (19.24%; 95% CI
18.81 to 19.67) received ADHD medication in 2003-2004. Males continued to be more
likely diagnosed and treated than females (prevalence ratio [PR] in 2003-2004 =
2.96; 95% CI 2.90 to 3.03 vs 3.82; 95% CI 3.69 to 3.96 in 1995-1996), as were
whites when compared with Hispanics (PR in 2003-2004 = 2.65; 95% CI 2.57 to 2.73
vs 3.78; 95% CI 3.57 to 3.99 in 1995-1996) and blacks (PR in 2003-2004 = 1.81;
95% CI 1.76 to 1.85 vs 2.00; 95% CI 1.93 to 2.07 in 1995-1996). The most common
starting age throughout the study period was 5-9 years, with 2.45% (95% CI 2.37
to 2.52) new ADHD drug users in 2003-2004, but largest increases in prevalence
were observed in adolescents 15-19 years of age, with 2.47% (95% CI 2.38 to 2.55)
in 2003-2004 compared with 0.45% (95% CI 0.41 to 0.49) in 1995-1996. Medication
persistence varied, with only 49.9% (95% CI 49.4 to 50.5) of new users receiving
drugs after 1 year, with yet another 17.2% (95% CI 16.4 to 18.0) continuing for 5
years or more. CONCLUSIONS: ADHD drug utilization continues to increase due to
steady increases in diagnosis and chronic use of the drugs over several years.
While racial, ethnic, and sex differences persist, the age distribution of drug
users has shifted toward older children. These findings emphasize the need for
studies that analyze determinants of treatment as well as outcomes, both benefits
and risks, associated with long-term medication use.
PMID- 18042810
TI - Safety of enoxaparin bridge therapy in patients with mechanical heart valves.
PMID- 18042811
TI - Growth of protein, moisture, lipid, and ash of two genetic lines of barrows and
gilts from twenty to one hundred twenty-five kilograms of body weight.
AB - Two genetic lines of barrows and gilts with different lean growth rates were used
to determine the BW and chemical composition growth from 23 to 125 kg of BW. The
experiment was a 2 x 2 x 5 factorial arrangement of treatments in a completely
randomized design conducted in 2 replicates. Six pigs from each sex and genetic
line were killed at approximately 25-kg intervals from 23 kg to 125 kg of BW. At
slaughter, tissues were collected and weighed. All components were ground and
frozen until analyzed for water, protein, lipid, and ash. Serial BW data were
fitted to alternative functions of day of age. Based on Akaike's information
criteria values, the random effects model, BW(i, t) = (1 + c(i))(b(0) + b(1)t +
b(2)t(2)), was the best mixed model equation. The chemical component mass data
were fitted to alternative functions of BW. The allometric function, chemical
component mass = aBW(b), provided the best fit to the data. Daily deposition
rates of each chemical component were predicted by using the derivatives of the 2
functions. The overall ADG of the 2 genetic lines were not different. Barrows had
0.052 kg/d greater (P = 0.03) ADG than gilts. Allometric growth coefficients for
all 4 chemical components were different (P < 0.01) for each genetic line.
Allometric coefficients and predicted relative growth (g/kg of BW gain) for
protein and moisture mass were greater (P < 0.01) for the high lean-gain pigs
than the low lean-gain pigs. Allometric coefficients for lipid mass were smaller
(P = 0.001) for the high lean-gain pigs than the low lean-gain pigs overall.
Allometric coefficients and predicted relative growth rates for lipid mass were
greater (P < 0.01) and for moisture and protein mass were lesser (P < 0.002) than
the gilts. Compared with low lean-gain pigs, high lean-gain pigs had (1) 32.8%
lesser predicted daily rates of lipid deposition (200 vs. 305 +/- 80 g/d), with
the difference increasing from 23 to 37% from 25 to 125 kg of BW; (2) 12.3%
greater daily rates of protein deposition (118.7 vs. 106.0 +/- 3.3 g/d); and (3)
18.8% greater predicted daily moisture accretion rates (423 vs. 356 +/- 9 g/d).
Overall, barrows had 21.3% greater lipid deposition (279 vs. 230 +/- 78.2 g/d)
than gilts. In this study, barrows and gilts had similar predicted daily
moisture, protein, and ash accretion rates.
PMID- 18042812
TI - Board-invited review: Recent advances in biohydrogenation of unsaturated fatty
acids within the rumen microbial ecosystem.
AB - Recent advances in chromatographic identification of CLA isomers, combined with
interest in their possible properties in promoting human health (e.g., cancer
prevention, decreased atherosclerosis, improved immune response) and animal
performance (e.g., body composition, regulation of milk fat synthesis, milk
production), has renewed interest in biohydrogenation and its regulation in the
rumen. Conventional pathways of biohydrogenation traditionally ignored minor
fatty acid intermediates, which led to the persistence of oversimplified pathways
over the decades. Recent work is now being directed toward accounting for all
possible trans-18:1 and CLA products formed, including the discovery of novel
bioactive intermediates. Modern microbial genetics and molecular phylogenetic
techniques for identifying and classifying microorganisms by their small-subunit
rRNA gene sequences have advanced knowledge of the role and contribution of
specific microbial species in the process of biohydrogenation. With new insights
into the pathways of biohydrogenation now available, several attempts have been
made at modeling the pathway to predict ruminal flows of unsaturated fatty acids
and biohydrogenation intermediates across a range of ruminal conditions. After a
brief historical account of major past accomplishments documenting
biohydrogenation, this review summarizes recent advances in 4 major areas of
biohydrogenation: the microorganisms involved, identification of intermediates,
the biochemistry of key enzymes, and the development and testing of mathematical
models to predict biohydrogenation outcomes.
PMID- 18042813
TI - Technical note: A novel technique to assess internal body fat of cattle by using
real-time ultrasound.
AB - The objectives of this study were to describe a system to assess KPH fat by using
real-time ultrasound (RTU) and to develop equations to predict total physical
separable internal fat (IFAT) based on ultrasound measurements. Data for this
study were obtained from 24 Angus steers fed either hay- or corn-based diets
during the backgrounding phase. Steers were serially slaughtered in 3 groups: at
weaning (baseline), then at 4 and 8 mo after weaning. A fourth group was composed
of 4 steers from the hay-fed group that were slaughtered at approximately 10 mo
after weaning. The RTU measurements were collected every 2 mo, with a
preslaughter scan approximately 7 d before the slaughter time. The RTU
measurements consisted of 12th- to 13th-rib backfat thickness, 12th to 13th
ribeye area, percentage of intramuscular fat, and kidney fat depth, which was
measured in a cross-sectional image collected between the first lumbar vertebra
and the 13th rib. For kidney fat, the ultrasound probe was placed on the flank
region approximately 15 cm from the midline of the animal. Images were stored in
the ultrasound console, and measurements were taken between the ventral part of
the iliocostalis muscle and the end of the KPH fat at the chute side. The
relationship between carcass and ultrasound measurements in the depths of kidney
fat (cKFd and uKFd, respectively) had an r(2) of 0.93, with a root mean square
error (RMSE) of 1.14 cm. An allometric regression between carcass KPH weight
(cKPHwt) and cKFd was identified, and the untransformed regression had an r(2) of
0.96. The linear regression between total IFAT and cKPHwt had an r(2) of 0.97,
with an RMSE of 2.67 kg. Therefore, a system was developed to predict IFAT from
uKFd measurements by combining these equations. Additionally, a single linear
regression between IFAT and uKFd measurements was developed (r(2) = 0.89, RMSE =
5.32 kg). Even though the system of equations had a lower RMSE of prediction and
greater r(2) compared with the single linear regression (4.80 vs. 5.10 kg and
0.91 vs. 0.89, respectively), there was no difference between these methods in
predicting IFAT (P = 0.4936) by using a pairwise mean square error of prediction
analysis. Our results indicated that uKFd measurements can accurately and
precisely predict the cKFd of steers consuming either high concentrate or forage
rations. The results also showed that cKFd is highly correlated with cKPHwt,
which can be used to estimate total IFAT. More research is needed to further
evaluate this technique with different feeding strategies, breeds, and sexes.
PMID- 18042814
TI - Effects of supplementing fish oil in the drinking water of dairy cows on
production performance and milk fatty acid composition.
AB - The objective of this study was to determine the effects of supplementing fish
oil (FO) in the drinking water of dairy cows on production performance and milk
fatty acid composition. Sixteen multiparous Holstein dairy cows (741 +/- 84 kg of
BW; 60 +/- 2.3 d in milk, mean +/- SD) housed in a tie-stall facility were used
in the study. The study was conducted as a completely randomized design with
repeated measurements. The cows were blocked by days in milk and allocated to 1
of 2 treatments: 10 g of menhaden FO/kg of DM top-dressed on the total mixed
ration (FOT), and 2 g of menhaden FO/L delivered in the drinking water (FOW). The
trial lasted for 5 wk: a 1-wk pretreatment adjustment period and 4 wk of
treatment. The animals were fed and milked twice daily (feeding at 0830 and 1300;
milking at 0500 and 1500) and had unlimited access to water. Dry matter intake
(21.3 kg/d for FOT vs. 22.7 +/- 0.74 kg/d for FOW), milk yield (38.2 kg/d for FOT
vs. 39.5 +/- 1.9 kg/d for FOW), and water intake (101 L/d for FOT vs. 107 +/- 4.4
L/d for FOW) were not affected by treatment. The mode of delivery of FO had no
effect on milk fat percentage, but milk fat percentage declined linearly with
time. The fatty acid contents of 7:0; 8:0; 9:0; 10:0; 12:0 in the milk of FOT
cows were lower than for FOW cows, whereas 18:1 trans-12; 18:1 trans-13 and 14;
18:1 trans-16; and trans-9, trans-11 plus trans-10, trans-12 CLA were greater for
FOT than for FOW. The contents of 24:1 in the milk of FOW cows were 48% greater
than for FOT cows, although the concentrations were low in both groups. There was
a tendency for the contents of 14:0 and 22:5n-6 to be greater in FOW cows than
FOT cows and for the contents of iso-18:0 to be lower for FOW cows than for FOT
cows. Although it appears that the amount of FO added in the study did not bypass
the rumen as hypothesized, these results suggest that drinking water can be an
alternative for supplementing FO to dairy cows without decreasing feed or water
intake relative to cows fed FO in the diet.
PMID- 18042815
TI - Influence of processed grains on fecal pH, starch concentration, and shedding of
Escherichia coli O157 in feedlot cattle.
AB - Manipulation of cattle diets has been proposed as a possible preharvest control
measure for Escherichia coli O157. Altering hindgut fermentation through diet
changes may be a means to reduce fecal shedding of E. coli O157. In Exp. 1, the
objective was to determine whether fecal shedding of E. coli O157 was related to
fecal starch concentration. Beginning on d 20, and every week thereafter until d
61, steers in 54 pens (6 to 7 steers per pen) were sampled (n = 122) by fecal
collection and rectoanal mucosal swabs (RAMS) for E. coli O157 and fecal starch
concentration determinations. Escherichia coli O157 prevalence was 3.3% in fecal
samples, 4.1% as measured by RAMS, and 4.9% by fecal or RAMS samples. Steers
positive for E. coli O157 contained 21% more (P < 0.05) fecal starch than steers
that were negative for E. coli O157. In Exp. 2, we attempted to alter the
concentration of starch escaping rumen fermentation by feeding finishing diets
based on steam-flaked corn (SFC) and dry-rolled corn (DRC) to 30 heifers
prescreened for being culture positive for fecal E. coli O157. Beginning on d 13,
heifers were sampled (feces and RAMS) weekly to monitor fecal pH and starch
concentration, and prevalence of E. coli O157. Prevalence of E. coli O157
remained above 30% for the first 13 d, but declined (P < 0.05) over the entire 7
wk period. Based on RAMS, the prevalence of E. coli O157 tended to be greater (P
= 0.08) for heifers fed SFC than for those fed the DRC diet. After d 20, heifers
fed DRC had greater (P < 0.05) fecal starch and lower (P < 0.05) fecal pH than
heifers fed SFC. Fecal pH was negatively correlated (r = - 0.34; P < 0.05; n =
143) with fecal starch concentration. Fecal starch concentration and pH were not
different (P > 0.05) for heifers that were positive or negative for E. coli O157.
Our data suggest that fecal shedding of E. coli O157 was not related to fecal pH
or starch concentration in cattle fed grain-based diets.
PMID- 18042816
TI - In vitro methane emission and acetate:propionate ratio are decreased when
artificial stimulation of the rumen wall is combined with increasing grain diets
in sheep.
AB - The interaction of retention time in the rumen and concentrate diet on methane
production in vitro and acetate:propionate ratio was examined. Twenty-four
fistulated sheep were used in a complete factorial design with the sheep randomly
divided into 4 groups. The sheep had a 5-wk acclimatization period on an oaten
chaff diet, followed by two 3-wk diet phases. Two of the 4 groups were maintained
on the oaten chaff diet for the duration of the experiment, with pot scrubbers
added to the rumen of 1 of the 2 groups. The remaining 2 groups were offered a
low-grain diet (35% grain) in the first diet phase followed by a high-grain diet
(70% grain) in the second diet phase. Pot scrubbers were also added to the rumen
of 1 of these 2 groups of grain-fed sheep. Pot scrubbers in combination with a
low-grain diet decreased the amount of methane produced in vitro from 4.25 to
3.71 mmol/mL of digesta when compared with oaten chaff-fed sheep without pot
scrubbers (P < 0.05). The acetate:propionate ratio was 1.6 in sheep fed a high
grain diet with pot scrubbers compared with 2.4 in sheep fed a high-grain diet
without pot scrubbers in their rumen (P < 0.05). At high levels of grain, when
employing a multivariate statistical analysis including all data, sheep given the
combined treatment of grain and pot scrubbers were different from all other sheep
groups in this experiment (P < 0.05). Furthermore, sheep fed a high-grain diet
were different from sheep receiving the oaten chaff diets with and without pot
scrubbers (P < 0.01 and P < 0.05, respectively). In conclusion, pot scrubbers
combined with grain alter the rumen fermentation, and introducing pot scrubbers
into the rumens of livestock consuming low levels of grain may be a way to lower
methane emissions.
PMID- 18042817
TI - Effects of increasing dose of live cultures of Lactobacillus acidophilus (Strain
NP 51) combined with a single dose of Propionibacterium freudenreichii (Strain NP
24) on performance and carcass characteristics of finishing beef steers.
AB - Two experiments, each with a randomized complete block design, were conducted to
evaluate the effects of feeding live cultures of Lactobacillus acidophilus plus
Propionibacterium freudenreichii on performance and carcass characteristics of
feedlot cattle. British and British x Continental steers (240 steers in each
experiment; 12 pens/treatment in each study; average initial BW = 370 +/- 6 kg)
were fed a 92% concentrate diet based primarily on steam-flaked corn. Four
treatments were evaluated, which included a control diet (lactose carrier only)
or diets containing 1 x 10(9) cfu/(steer x d) of P. freudenreichii (strain NP 24)
with 1 x 10(7) (L), 1 x 10(8) (M), or 1 x 10(9) (H) cfu of L. acidophilus strain
NP 51/(steer x d). Data were pooled for the 2 experiments. No differences (P >
0.10) were detected among treatments for final BW, final BW based on HCW, or DMI
during various stages of the feeding period or overall. Likewise, no differences
among treatments were observed for either ADG or carcass-adjusted ADG (P > 0.10),
except for the tendency for a quadratic effect of NP 51 dose for the overall
feeding period (P = 0.10), in which cattle fed M had a lower ADG than those fed L
and H. Gain efficiency on a live BW basis was improved (P = 0.02) by NP 51
treatments compared with the control, with G:F responding quadratically to NP 51
dose for the overall feeding period (P = 0.05). In contrast to G:F based on live
BW, carcass-adjusted G:F tended (P = 0.14) to decrease linearly with increasing
NP 51 dose because the dressing percent tended (P = 0.12) to be less for steers
fed direct-fed microbial compared with control cattle. Within the direct-fed
microbial treatments, there also was a tendency (P = 0.13) for a linear decrease
in the dressing percent as the NP 51 dose increased. No differences were observed
in other carcass characteristics (P > 0.10), except tendencies for a quadratic
increase in marbling score (P = 0.11) and percentage of USDA Choice cattle (P =
0.10). These data indicate that live cultures of L. acidophilus strain NP 51 plus
P. freudenreichii strain NP 24 increased G:F of feedlot cattle fed steam-flaked
corn-based diets by approximately 2%, but the effects depended on the dose of
Lactobacillus.
PMID- 18042818
TI - Combined inhaled diesel exhaust particles and allergen exposure alter methylation
of T helper genes and IgE production in vivo.
AB - Changes in methylation of CpG sites at the interleukin (IL)-4 and interferon
(IFN)-gamma promoters are associated with T helper (Th) 2 polarization in vitro.
No previous studies have examined whether air pollution or allergen exposure
alters methylation of these two genes in vivo. We hypothesized that diesel
exhaust particles (DEP) would induce hypermethylation of the IFN-gamma promoter
and hypomethylation of IL-4 in CD4+ T cells among mice sensitized to the fungus
allergen Aspergillus fumigatus. We also hypothesized that DEP-induced methylation
changes would affect immunoglobulin (Ig) E regulation. BALB/c mice were exposed
to a 3-week course of inhaled DEP exposure while undergoing intranasal
sensitization to A. fumigatus. Purified DNA from splenic CD4+ cells underwent
bisulfite treatment, PCR amplification, and pyrosequencing. Sera IgE levels were
compared with methylation levels at several CpG sites in the IL-4 and IFN-gamma
promoter. Total IgE production was increased following intranasal sensitization
A. fumigatus. IgE production was augmented further following combined exposure to
A. fumigatus and DEP exposure. Inhaled DEP exposure and intranasal A. fumigatus
induced hypermethylation at CpG(-45), CpG(-53), CpG(-205) sites of the IFN-gamma
promoter and hypomethylation at CpG(-408) of the IL-4 promoter. Altered
methylation of promoters of both genes was correlated significantly with changes
in IgE levels. This study is the first to demonstrate that inhaled environmental
exposures influence methylation of Th genes in vivo, supporting a new paradigm in
asthma pathogenesis.
PMID- 18042819
TI - Comparative toxicogenomic examination of the hepatic effects of PCB126 and TCDD
in immature, ovariectomized C57BL/6 mice.
AB - Polychlorinated biphenyls are persistent environmental pollutants that elicit a
wide range of effects in humans and wildlife, mediated by the aryl hydrocarbon
receptor. 3,3',4,4',5-pentachlorobiphenyl (PCB126) is the most potent congener
with relative effect potencies ranging from 0.0026 to 0.857, and a toxic
equivalency factor (TEF) of 0.1 set by an expert panel of the World Health
Organization. In this study, the hepatic effects elicited by 300 microg/kg PCB126
were compared with 30 microg/kg 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) in
immature, ovariectomized female C57BL/6 mice. Comprehensive hepatic gene
expression analyses with complementary histopathology, high-resolution gas
chromatograph/high-resolution mass spectrometer tissue analysis, and clinical
chemistry were examined. For temporal analysis, mice were orally gavaged with
PCB126 or sesame oil vehicle and sacrificed after 2, 4, 8, 12, 18, 24, 72, 120,
or 168 h. In the dose-response study, mice were gavaged with 0.3, 1, 3, 10, 30,
100, 300, 1000 microg/kg PCB126, 30 or 100 microg/kg TCDD and sacrificed after 72
h. 251 and 367 genes were differentially expressed by PCB126 at one or more time
points or doses, respectively, significantly less than elicited by TCDD. In
addition, there was less vacuolization and necrosis, and no immune cell
infiltration, despite comparable or higher TEF-adjusted hepatic PCB126 levels.
The functional annotation of differentially expressed genes was consistent with
the observed histopathology. Collectively, the data indicate that 300 microg/kg
PCB126 elicited a subset of weaker effects compared with 30 microg/kg TCDD in
immature, ovariectomized C57BL/6 mice.
PMID- 18042820
TI - Award winning papers. So what?
PMID- 18042821
TI - A preliminary report of a new design of cast metal fixed twin-block appliance.
AB - The present paper describes a cast metal fixed twin-block appliance utilized to
correct a Class II malocclusion, which is designed for full-time wear. The object
of the present paper is to achieve rapid functional correction of Class II
malocclusions by transmitting favourable occlusal forces to inclined planes which
are cemented to the posterior teeth. In the meantime, pre-adjusted fixed edgewise
appliances can be placed on the anterior teeth to correct their malpositions.
This new functional appliance design may shorten the total treatment duration and
reduce the need for patient compliance.
PMID- 18042822
TI - Orthodontic treatment of a patient with a renal transplant and drug-induced
gingival overgrowth: a case report.
AB - The treatment of transplant patients is becoming an ever-increasing part of
modern-day orthodontic practice. This report details the successful orthodontic
management of a paediatric renal transplant patient with significant drug-induced
gingival overgrowth. The problems that such patients present with are discussed
before considering the specific orthodontic techniques employed. Recommendations
are made for practitioners managing such cases.
PMID- 18042823
TI - The Quatro appliance: a removable aligner with a changeable labial bow.
AB - Lower labial segment irregularity is a feature that is presenting more frequently
as greater emphasis is placed on aesthetics than ever before. The authors report
on a removable appliance that is easy to construct and use and is designed to
deal with mild labial tooth irregularity. In cases of crowding the aligner can be
used in conjunction with inter-proximal stripping. The device incorporates a
removable labial bow attached bilaterally to a sliding lock. This lock permits
the addition of sectional archwires to the labial part of the appliance. The
versatility of the aligner allows round and rectangular wires of different
materials and dimensions to be placed with or without bends.
PMID- 18042824
TI - Tooth-size discrepancy and Bolton's ratios: the reproducibility and speed of two
methods of measurement.
AB - OBJECTIVE: To determine and compare the reproducibility and speed of two methods
of performing Bolton's tooth-size analysis. DESIGN: Analysis of randomly selected
clinical sample. SETTING: Bristol Dental Hospital, University of Bristol, United
Kingdom. MATERIALS AND METHODS: Pre-treatment study casts of 150 patients were
selected randomly from 1100 consecutively treated Caucasian orthodontic patients.
Bolton tooth-size discrepancies and ratios were measured using two methods; one
method employed entirely manual measurement and the Odontorule slide rule, while
the other employed digital calipers and the HATS analysis software. Twenty study
casts were measured twice, a week apart with both methods. Another three
investigators also measured 20 study casts twice with the HATS analysis. RESULTS:
There were small or no systematic errors within or between these two methods. A
very significant difference was evident for mean time measurements between the
two methods (mean time for HATS was 3.5 minutes and for Odontorule was 8.9
minutes). There was relatively high error variance of both methods of measurement
as a percentage of the total variance. CONCLUSIONS: On-line electronic
measurement was found to be more rapid than the manual method used. Both methods
demonstrate relatively high random error and this has important consequences for
the clinical use of Bolton's ratios.
PMID- 18042826
TI - How to ... manage the transition from functional to fixed appliances.
AB - This paper presents the methods of transfer from functional to fixed appliances.
The aim of transition should be maintenance of Class II correction in a time
efficient manner without compromising long-term patient co-operation.
PMID- 18042825
TI - Long-term clinical evaluation of bracket failure with a self-etching primer: a
randomized controlled trial.
AB - OBJECTIVE: A long-term comparison of the failure rates of orthodontic brackets
bonded with either a self-etching primer (SEP) or conventional etch and primer
(AE). DESIGN: Prospective randomized controlled clinical trial. SETTING: UK
district general hospital with one operator, 2003-6. PARTICIPANTS: Hospital
waiting list patients needing fixed appliances (n=60). METHOD: Experimental (SEP)
group patients (n=30) received pre-adjusted edgewise brackets (n=438) bonded with
Transbond Plus following manufacturer's instructions. Control (AE) group patients
(n=30, brackets n=433) were bonded using a 15-second conventional etch and primer
(Transbond XT). In both groups brackets were light-cured for 20 seconds. First
time bond failures were recorded with the time of failure. Bracket bonding time
was recorded. All patients were followed to the end or discontinuation of
treatment. RESULTS: Bracket failure rates: SEP=4.8%, AE=3.5%, P=0.793. Mean
placement time per bracket (seconds): SEP=75.5 (+/-6.7; 95% CI=72.9, 78.0),
AE=97.7 (+/-9.1; 95% CI=94.3, 101.2) P=0.000. CONCLUSION: There was no difference
in the failure rates of brackets bonded with either Transbond Plus SEP or
conventional AE using Transbond XT paste. Bonding with SEP was significantly
faster than using conventional AE.
PMID- 18042827
TI - Ceramide: a key signaling molecule in a Guinea pig model of allergic asthmatic
response and airway inflammation.
AB - Although mechanisms involved in the pathogenesis of asthma remain unclear, roles
for oxidative/nitrosative stress, epithelial cell apoptosis, and airway
inflammation have been documented. Ceramide is a sphingolipid with potent
proinflammatory and proapoptotic properties. This study aimed at determining
whether increased formation of ceramide contributes to the development of airway
inflammation and hyper-responsiveness, using a well characterized in vivo model
of allergic asthmatic response and airway inflammation in ovalbumin-sensitized
guinea pigs. Aerosol administration of ovalbumin increased ceramide levels and
ceramide synthase activity in the airway epithelium associated with respiratory
abnormalities, such as cough, dyspnea, and severe bronchoconstriction. These
abnormalities correlated with nitrotyrosine formation in the airway epithelium
and oxidative/nitrosative stress, epithelial cell apoptosis, and airway
inflammation evident by the infiltration of neutrophils and eosinophils in lung
tissues, mast cell degranulation, and release of prostaglandin D(2) and
proinflammatory cytokines. Inhibition of de novo ceramide synthesis with the
competitive and reversible inhibitor of ceramide synthase fumonisin B1 (0.25, 0.5
and 1 mg/kg b.wt.), given i.p. daily for 4 days before allergen challenge,
attenuated nitrotyrosine formation and oxidative/nitrosative stress, epithelial
cell apoptosis, and airway inflammation while improving the respiratory and
histopathological abnormalities. These results implicate ceramide in the
development of allergic asthmatic response and airway inflammation. Strategies
aimed at reducing the levels of ceramide and downstream events should yield
promising novel anti-asthmatic agents.
PMID- 18042828
TI - Expression and functionality of anti-human immunodeficiency virus and anticancer
drug uptake transporters in immune cells.
AB - Almost all drugs used in anti-human immunodeficiency virus (HIV)-1 and anticancer
therapies require membrane proteins to get into the cell to develop their proper
activity. Nevertheless, little is known regarding the expression and activity of
specific carriers involved in the uptake of these drugs in immune cells. Here, we
assessed the mRNA levels, protein expression profile, and activity of the gene
families SLC28 (coding for concentrative nucleoside transporters, hCNT1-3), SLC29
(equilibrative nucleoside transporters, hENT1-2), and SLC22 (organic cation
transporters, hOCT1-3 and hOCTN1-2). Both hENTs and hCNT2 were abundant in
primary lymphocytes, with a preferential activity of hENT1. A significant up
regulation in hENTs expression (100-fold) and activity (30-fold) was seen under
stimulation of primary T lymphocytes. In contrast, monocytes, monocyte-derived
macrophages (MDMs), and immature monocyte-derived dendritic cells predominantly
expressed hCNT3, a functional transporter in MDMs. Finally, in immune cells,
hOCTs showed a more heterogeneous expression profile and a lower activity than
human nucleoside transporters (hNTs), although up-regulation of hOCTs also
occurred upon lymphocyte activation. Overall, the expression and activity of most
of the studied transporters emphasize their relevance in relation to anti-HIV and
anticancer therapies. The identification of the transporter involved in each
specific drug uptake in immune cells could help to optimize pharmacological
therapeutic responses.
PMID- 18042829
TI - Anti-inflammatory and cardioprotective activities of synthetic high-density
lipoprotein containing apolipoprotein A-I mimetic peptides.
AB - Apolipoprotein A-I (apoA-I) mimetic peptides may represent an alternative to apoA
I for large-scale production of synthetic high-density lipoproteins (sHDL) as a
therapeutic agent. In this study, the cardioprotective activity of sHDL made with
either L37pA peptide or its d-stereoisomer, D37pA, was compared to sHDL made with
apoA-I. The peptides were reconstituted with palmitoyl-oleoyl
phosphatidylcholine, which yielded sHDL particles comparable to apoA-I sHDL in
diameter, molecular weight, and alpha-helical content. Pretreatment of
endothelial cells with either peptide sHDL reduced tumor necrosis factor alpha
stimulated vascular cell adhesion molecule-1 expression to the same extent as
apoA-I sHDL. In an isolated rat heart model of ischemia/reperfusion (I/R) injury,
L37pA and D37pA sHDL significantly reduced postischemic cardiac contractile
dysfunction compared to the saline control, as indicated by a 49.7 +/- 6.4%
(L37pA; P < 0.001) and 53.0 +/- 9.1% (D37pA; P < 0.001) increase of left
ventricular-developed pressure (LVDP) after reperfusion and by a 45.4 +/- 3.4%
(L37pA; P < 0.001) and 49.6 +/- 2.6% (D37pA; P < 0.001) decrease of creatine
kinase (CK) release. These effects were similar to the 51.3 +/- 3.0% (P < 0.001)
increase of LVDP and 51.3 +/- 3.0 (P < 0.001) reduction of CK release induced by
apoA-I sHDL. Consistent with their cardioprotective effects, all three types of
sHDL particles mediated an approximate 20% (P < 0.001) reduction of cardiac tumor
necrosis factor alpha (TNFalpha) content and stimulated an approximate 35% (P <
0.05) increase in postischemic release of prostacyclin. In summary, L37pA and
D37pA peptides can form sHDL particles that retain a similar level of protective
activity as apoA-I sHDL on the endothelium and the heart; thus, apoA-I mimetic
peptides may be useful therapeutic agents for the prevention of cardiac I/R
injury.
PMID- 18042830
TI - Painful purinergic receptors.
AB - Multiple P2 receptor-mediated mechanisms exist by which ATP can alter nociceptive
sensitivity following tissue injury. Evidence from a variety of experimental
strategies, including genetic disruption studies and the development of selective
antagonists, has indicated that the activation of P2X receptor subtypes,
including P2X(3), P2X(2/3), P2X(4) and P2X(7), and P2Y (e.g., P2Y(2)) receptors,
can modulate pain. For example, administration of a selective P2X(3) antagonist,
A-317491, has been shown to effectively block both hyperalgesia and allodynia in
different animal models of pathological pain. Intrathecally delivered antisense
oligonucleotides targeting P2X(4) receptors decrease tactile allodynia following
nerve injury. Selective antagonists for the P2X(7) receptor also reduce
sensitization in animal models of inflammatory and neuropathic pain, providing
evidence that purinergic glial-neural interactions are important modulators of
noxious sensory neurotransmission. Furthermore, activation of P2Y(2) receptors
leads to sensitization of polymodal transient receptor potential-1 receptors.
Thus, ATP acting at multiple purinergic receptors, either directly on neurons
(e.g., P2X(3), P2X(2/3), and P2Y receptors) or indirectly through neural-glial
cell interactions (P2X(4) and P2X(7) receptors), alters nociceptive sensitivity.
The development of selective antagonists for some of these P2 receptors has
greatly aided investigations into the nociceptive role of ATP. This perspective
highlights some of the recent advances to identify selective P2 receptor ligands,
which has enhanced the investigation of ATP-related modulation of pain
sensitivity.
PMID- 18042831
TI - Short-term high fat-feeding results in morphological and metabolic adaptations in
the skeletal muscle of C57BL/6J mice.
AB - The prevalence of the metabolic syndrome (MS) is rapidly increasing all over the
world. Consequently, there is an urgent need for more effective intervention
strategies. Both animal and human studies indicate that lipid oversupply to
skeletal muscle can result in insulin resistance, which is one of the
characteristics of the MS. C57BL/6J mice were fed a low-fat (10 kcal%) palm oil
diet or a high-fat (45 kcal%; HF) palm oil diet for 3 or 28 days. By combining
transcriptomics with protein and lipid analyses we aimed to better understand the
molecular events underlying the early onset of the MS. Short-term HF feeding led
to altered expression levels of genes involved in a variety of biological
processes including morphogenesis, energy metabolism, lipogenesis, and immune
function. Protein analysis showed increased levels of the myosin heavy chain,
slow fiber type protein, and the complexes I, II, III, IV, and V of the oxidative
phosphorylation. Furthermore, we observed that the main mitochondrial membrane
phospholipids, phosphatidylcholine and phosphatidylethanolamine, contained more
saturated fatty acids. Altogether, these results point to a morphological as well
as a metabolic adaptation by promoting a more oxidative fiber type. We
hypothesize that after this early positive adaptation, a continued
transcriptional downregulation of genes involved in oxidative phosphorylation
will result in decreased oxidative capacity at a later stage. Together with
increased saturation of phospholipids of the mitochondrial membrane this can
result in decreased mitochondrial function, which is a hallmark observed in
insulin resistance and Type 2 diabetes.
PMID- 18042832
TI - Dissociation of obesity and insulin resistance in transgenic mice with skeletal
muscle expression of uncoupling protein 1.
AB - We evaluated the effect of skeletal muscle mitochondrial uncoupling on energy and
glucose metabolism under different diets. For 3 mo, transgenic HSA-mUCP1 mice
with ectopic expression of uncoupling protein 1 in skeletal muscle and wild-type
littermates were fed semisynthetic diets with varying macronutrient ratios
(energy % carbohydrate-protein-fat): HCLF (41:42:17), HCHF (41:16:43); LCHF
(11:45:44). Body composition, energy metabolism, and insulin resistance were
assessed by NMR, indirect calorimetry, and insulin tolerance test, respectively.
Gene expression in different organs was determined by real-time PCR. In wild
type, both high-fat diets led to an increase in body weight and fat. HSA-mUCP1
mice considerably increased body fat on HCHF but stayed lean on the other diets.
Irrespective of differences in body fat content, HSA-mUCP1 mice showed higher
insulin sensitivity and decreased plasma insulin and liver triglycerides.
Respiratory quotient and gene expression indicated overall increased carbohydrate
oxidation of HSA-mUCP1 but a preferential channeling of fatty acids into muscle
rather than liver with high-fat diets. Evidence for increased lipogenesis in
white fat of HSA-mUCP1 mice suggests increased energy dissipating substrate
cycling. Retinol binding protein 4 expression in white fat was increased in HSA
mUCP1 mice despite increased insulin sensitivity, excluding a causal role in the
development of insulin resistance. We conclude that skeletal muscle mitochondrial
uncoupling does not protect from the development of obesity in all circumstances.
Rather it can lead to a "healthy" obese phenotype by preserving insulin
sensitivity and a high metabolic flexibility, thus protecting from the
development of obesity associated disturbances of glucose homeostasis.
PMID- 18042833
TI - Evaluation of LEXF/FXLE rat recombinant inbred strains for genetic dissection of
complex traits.
AB - Recombinant inbred (RI) strains are formed from an outcross between two well
characterized inbred stains followed by at least 20 generations of inbreeding. RI
strains can be utilized for the analysis of many complex phenotypic traits. The
LEXF/FXLE RI strain set consists of 34 RI strains derived by reciprocal crossing
of LE/Stm and F344/Stm. Here we report on genetic dissections of complex traits
using this RI set and their parental strains. We have developed strain
distribution patterns for 232 informative simple sequence length polymorphism
markers. The framework map covers the rat genome except for chromosome Y. Seventy
six phenotype parameters, which included physiological and behavioral traits,
were examined for these RI lines. Quantitative trait locus (QTL) analysis of
these parameters revealed 27 significant and 91 suggestive QTLs, illustrating the
potential of this RI resource for the detection of underlying gene functions for
various phenotypes. Although this RI set was originally developed to study
susceptibility to chemical-induced tumors, it has been shown to be equally
powerful for a wide spectrum of traits. The LEXF/FXLE RI strains have been
deposited at the National Bio Resource Project for the Rat in Japan and are
maintained under specific pathogen-free conditions. They are available at
http://www.anim.med.kyoto-u.ac.jp/nbr.
PMID- 18042834
TI - Treatment outcome and survival in participants of phase I oncology trials carried
out from 2003 to 2006 at Institut Gustave Roussy.
AB - BACKGROUND: The oncology community usually perceives phase I oncology trials as
associated with poor or limited benefits and substantial risks. There is scarce
data concerning outcome and survival of patients enrolled in current phase I
oncology trials. PATIENTS AND METHODS: We reviewed all phase I oncology trials
conducted by investigators from the Adult Phase I Unit at Institut Gustave Roussy
from 2003 to 2006. We report data concerning patient demographics, treatment
outcome, toxicity, survival and type of care after trial exit. RESULTS: We
analyzed 10 trials involving 180 participants. The overall response rate was
7.2%. Disease control (objective response plus stable disease) was achieved in
48.2% of patients. The rate of toxic death was 0.5%. In all, 38% of patients had
at least one episode of grade 3 or 4 toxic events. The median progression-free
survival and the median overall survival (OS) were 2.3 and 8.7 months,
respectively. On multivariate analysis, a time between diagnosis of disease and
inclusion in the phase I trial > or =24 months and evidence of disease control
were statistically significant predictors of improved OS. CONCLUSION: Current
phase I oncology trials are safe and are associated with clinical benefit in a
substantial proportion of patients.
PMID- 18042835
TI - Postoperative dose-dense sequential chemotherapy with epirubicin, paclitaxel and
CMF in patients with high-risk breast cancer: safety analysis of the Hellenic
Cooperative Oncology Group randomized phase III trial HE 10/00.
AB - BACKGROUND: A randomized phase III trial in high-risk breast cancer patients was
conducted, to further explore the impact of dose-density in the adjuvant
treatment for breast cancer. The safety analysis is presented. PATIENTS AND
METHODS: From October 2000 until June 2005, 1121 node-positive patients were
randomized to sequential dose-dense epirubicin 110 mg/m(2) and paclitaxel (Taxol,
Bristol Myers-Squibb, Princeton, New Jersey, USA) 250 mg/m(2) (group A), or
concurrent epirubicin 83 mg/m(2) and paclitaxel 187 mg/m(2) (group B), both
followed by three cycles of 'intensified' combination chemotherapy with
cyclophosphamide, methotrexate and fluorouracil (CMF). Granulocyte colony
stimulating factor was given prophylactically with the dose-dense treatments.
RESULTS: Median dose intensity of epirubicin and paclitaxel was double in group
A, as designed, with significantly less cycles administered at full dose (P <
0.001). Median cumulative dose of all drugs and total treatment duration,
however, were identical between groups. Severe taxane-related toxic effects were
more frequent in group A, while severe thrombocytopenia was low and present only
in group A. There were no differences in the rates of other hematological toxic
effects, including febrile neutropenia. The rates of secondary malignancies were
low. CONCLUSION: Both regimens as used in the present study are well tolerated
and safe. The rates of severe taxane-related toxic effects and thrombocytopenia,
although low overall, are significantly increased with the dose-dense sequential
regimen.
PMID- 18042836
TI - Proliferation accurately identifies the high-risk patients among small, low
grade, lymph node-negative invasive breast cancers.
AB - BACKGROUND: The proliferation factor mitotic activity index (MAI) is the
strongest prognosticator in lymph node-negative invasive breast cancer patients
under age 71. The question remains, whether this also holds for 'favourable
prognosis' subgroups. PATIENTS AND METHODS: The study was a multicentre
prospective analysis of the MAI for recurrence-free survival and overall cancer
related survival of grade, MAI, and other prognosticators in 853 long-term follow
up, T1-3N0M0 breast cancer patients under 71 years. RESULTS: In all tumours
together (N = 853), in grade 3 (n = 269), in tumours <1 cm all grades (n = 84), 1
2 cm, grades 1 + 2 (n = 300), and 2-3 cm, grades 1 + 2 (n = 124), the MAI is
prognostically superior. Other features [grade, estrogen receptor (ER), diameter,
and age] did not enhance its prognostic value except in grades 1 + 2 tumours 2-3
cm diameter with MAI <10, where ER has an additional prognostic value.
CONCLUSIONS: In women <71 years with T1-3N0M0 small or low-grade invasive breast
cancer usually not receiving systemic treatment, MAI > or =10 accurately
identifies those at high risk. These high-risk patients should be considered for
adjuvant systemic therapy.
PMID- 18042837
TI - Gastric extranodal marginal zone B-cell lymphomas of MALT type exclusively
express Toll-like receptor 4 in contrast to other lymphomas infiltrating the
stomach.
AB - BACKGROUND: Development and growth of extranodal marginal zone B-cell lymphomas
(eMZBCLs) of mucosa-associated lymphoid tissue (MALT) type are thought to be
highly dependent on Helicobacter pylori and autoantigens. Receptors mediating
these effects are not characterised so far. Toll-like receptors (TLRs) recognise
bacterial proteins and autoantigens, which results in inflammatory reactions and
influences tumour development and growth. MATERIALS AND METHODS: TLR4, 5 and 9
expressions were evaluated by immunohistology and confocal microscopy in gastric
eMZBCL in comparison to other lymphomas infiltrating the stomach. RESULTS: TLR4
was exclusively expressed on the cell surface in all eMZBCL (n = 19) and not in
chronic lymphocytic leukaemia (CLL, n = 12) or mantle cell lymphoma (MCL, n =
10). TLR5 was strongly expressed in CLL and weak in some eMZBCL (15 of 19), but
not in MCL. TLR4, 5 and 9 were negative in all the three lymphoma entities.
CONCLUSIONS: Exclusive TLR4 expression may enable eMZBCL to interact with H.
pylori and autoantigens. Blockade of TLR4 might be a new approach for therapy of
eMZBCL of MALT type.
PMID- 18042838
TI - Assessing prognosis and optimizing treatment in patients with postchemotherapy
viable nonseminomatous germ-cell tumors (NSGCT): results of the sCR2
international study.
AB - BACKGROUND: The purpose of this study was to validate a prognostic index
[surgical complete response 1 (sCR1)] in patients with postchemotherapy viable
nonseminomatous germ-cell tumors (NSGCT). PATIENTS AND METHODS: Data and
specimens from 61 patients with normalized tumor markers and postchemotherapy
viable nonteratomatous NSGCT treated in 13 institutions were collected. RESULTS:
With a median follow-up of 5.4 years, the 5-year progression-free survival (PFS)
rate was 65%; the 5-year overall survival (OS) rate was 72%. Favorable PFS was
predicted by a complete resection, <10% of viable malignant cells, and a good
International Germ Cell Consensus Classification group at presentation. Patients
were assigned to one of three risk groups defined in sCR1: no risk factor (good
risk), one risk factor (intermediate risk) and two to three risk factors (poor
risk group). The 5-year PFS rate was 92%, 78%, and 42%, respectively (P = 0.002)
(as compared with 90%, 76%, and 41% in the original sCR1 study). The 5-year OS
rate was 90%, 86%, and 52%, respectively (P = 0.009) (as compared with 100%, 83%,
and 51% in the original sCR1 study). Postoperative chemotherapy did not appear to
improve OS compared with surveillance and treatment only at relapse. CONCLUSION:
In patients with postchemotherapy viable NSGCT, a complete resection of residual
masses should be rigorously pursued. These data validate the sCR1 prognostic
index. Given their excellent outcome, patients in the favorable group may not
require postoperative chemotherapy.
PMID- 18042839
TI - General parenting, anti-smoking socialization and smoking onset.
AB - A theoretical model was tested in which general parenting and parental smoking
predicted anti-smoking socialization, which in turn predicted adolescent smoking
onset. Participants were 4351 Dutch adolescents between 13 and 15 years of age.
In the model, strictness and psychological autonomy granting were related to
lower likelihood of smoking onset, and parental smoking was positively related to
smoking onset. Involvement and strictness were positively related to anti-smoking
socialization, whereas parents who smoke where less likely to be engaged in anti
smoking socialization. In turn, anti-smoking socialization was negatively related
to adolescent smoking. To test mediation, an asymptotic resampling method was
used (bootstrapping); anti-smoking socialization appeared to mediate the links
between involvement and smoking onset, strictness and smoking onset and parental
smoking and smoking onset. In addition, parental smoking appeared to moderate the
link between anti-smoking socialization and smoking onset. Implications for
prevention were addressed.
PMID- 18042840
TI - Studies on diagnostic injections and surgery for low back pain: problems,
advances, and opportunities.
PMID- 18042841
TI - Will seeing become believing?
PMID- 18042842
TI - Practice guidelines for the management of postoperative nausea and vomiting:
past, present, and future.
PMID- 18042843
TI - The use of compact ultrasound in anesthesia: friend or foe.
PMID- 18042844
TI - Reactive oxygen species mediate sevoflurane- and desflurane-induced
preconditioning in isolated human right atria in vitro.
AB - BACKGROUND: We examined the role of reactive oxygen species (ROS) in sevoflurane-
and desflurane-induced preconditioning on isolated human right atrial myocardium.
METHODS: We recorded isometric contraction of human right atrial trabeculae
suspended in an oxygenated Tyrode's solution (34 degrees C, stimulation frequency
1 Hz). In all groups, a 30-min hypoxic period was followed by 60 min of
reoxygenation. Ten minutes before hypoxia reoxygenation, muscles were exposed to
5 min of sevoflurane 2% or desflurane 6%. In separate groups, the sevoflurane 2%
(Sevo + N-(2-mercaptopropionyl)-glycine [MPG]) or desflurane 6% (Des + MPG) was
administered in the presence of 0.1 mM MPG, a ROS scavenger. The effect of 0.1 mM
MPG alone was tested. Recovery of force after a 60-min reoxygenation period was
compared between groups (mean +/- sd). RESULTS: Preconditioning with sevoflurane
2% (85% +/- 4% of baseline) or desflurane 6% (86% +/- 7% of baseline) enhanced
the recovery of the force of myocardial contraction after 60 min reoxygenation
compared with the control group (53% +/- 11% of baseline, P < 0.001). This effect
was abolished in the presence of MPG (56% +/- 12% of baseline for Sevo + MPG, 48%
+/- 13% of baseline for Des + MPG). The effect of MPG alone on the recovery of
force was not different from the control group (57% +/- 7% of baseline versus 53%
+/- 11%; P = NS). CONCLUSIONS: In vitro, sevoflurane and desflurane
preconditioned human myocardium against hypoxia through a ROS-dependent
mechanism.
PMID- 18042845
TI - The safety and efficacy of extended thromboprophylaxis with fondaparinux after
major orthopedic surgery of the lower limb with or without a neuraxial or deep
peripheral nerve catheter: the EXPERT Study.
AB - BACKGROUND: The benefit-risk ratio of extended fondaparinux therapy has not been
assessed in patients undergoing major lower limb joint arthroplasty. Few data on
the concomitant use of fondaparinux and continuous neuraxial or deep peripheral
nerve blockade are available. We performed a prospective intervention study in
patients undergoing major orthopedic surgery primarily designed to assess the
efficacy of fondaparinux when drug administration was withheld for 48 h to permit
removal of a neuraxial or deep peripheral nerve catheter. The safety and efficacy
of extended fondaparinux therapy for the prevention of venous thromboembolism
were also evaluated. METHODS: Patients received a daily subcutaneous injection of
2.5 mg fondaparinux for 3 to 5 wk postoperatively. In patients with a neuraxial
or deep peripheral nerve catheter, the catheter was removed 36 h after the last
fondaparinux dose. The next fondaparinux dose was administered 12 h after
catheter removal. The primary end points were symptomatic venous thromboembolism
and major bleeding up to 4-6 wk after surgery. RESULTS: We recruited 5704
patients. A neuraxial or deep peripheral nerve catheter was inserted in 1553
(27%) patients and 78 (1.4%) patients, respectively. The rate of venous
thromboembolism was 1.0% (54 of 5387). There was no difference between patients
without (1.1%) or with (0.8%) a catheter (the upper limit of the 95% confidence
interval of the odds ratio, 1.49, being below the predetermined noninferiority
margin of 1.75). The incidence of major bleeding was 0.8% (42 of 5382). No
neuraxial or perineural hematoma was reported. CONCLUSIONS: Once-daily
subcutaneous injection of 2.5 mg fondaparinux given for 3 to 5 wk was effective
and safe for prevention of venous thromboembolism after major orthopedic surgery.
Temporary discontinuation of fondaparinux for 48 h permitted safe removal of a
neuraxial or deep peripheral nerve catheter without decreasing thromboprophylatic
efficacy.
PMID- 18042846
TI - The role of heart rate variability in risk stratification for adverse
postoperative cardiac events.
AB - There is growing evidence of a strong association between the compromised
autonomic nervous system and sudden cardiac death. Heart rate variability (HRV)
measures are widely used to measure alterations in the autonomic nervous system.
Several studies with cardiac patients show that decreased HRV as well as
baroreceptor dysfunction are more powerful predictors for sudden cardiac death
than established clinical predictors such as left ventricular ejection fraction.
One-third of all postoperative complications and more than half of the deaths are
due to cardiac complications. Several risk indices are useful for immediate
perioperative short-term, but not for long-term outcome risk stratification of an
individual patient. Currently, there are no clinically assimilated methods for
long-term postoperative risk assessment. Recently, few studies have shown that
preoperatively decreased HRV can independently predict postoperative long-term
mortality. Further studies with surgical patients are needed to establish a
possible predictive value of preoperative baroreceptor dysfunction, alone and
combined with HRV, for short- and long-term postoperative outcome.
PMID- 18042847
TI - Periorbital ecchymoses during general anesthesia in a patient with primary
amyloidosis: a harbinger for bleeding?
AB - Primary amyloidosis is a result of proliferation of a population of plasma cells
that leads to an increased secretion of monoclonal immunoglobulins (amyloid).
Amyloid protein infiltrates increase capillary fragility. Such capillaries can
burst, even after minor stress, resulting in periorbital hemorrhage. We describe
a 64-yr-old man with primary amyloidosis who underwent general anesthesia. His
eyes were gently closed with tape. Upon removal of the tape bilateral periorbital
purpura was noted. All coagulation studies were normal. The periorbital
hemorrhage was attributed to amyloidosis-induced capillary fragility.
PMID- 18042848
TI - A hypo-echoic, intramyocardial space: echocardiographic characteristics of an
intramyocardial dissecting hematoma.
PMID- 18042849
TI - Thrombotic occlusion of a bileaflet, mechanical mitral valve.
PMID- 18042850
TI - Aneurysm of the atrioventricular membranous septum appearing as a right atrial
cystic mass.
PMID- 18042851
TI - Transesophageal echocardiographic detection of intracardiac BioGlue postmitral
valve replacement.
PMID- 18042852
TI - Malpositioned left ventricular assist device cannula: diagnosis and management
with transesophageal echocardiography guidance.
PMID- 18042853
TI - Ketamine does not increase pulmonary vascular resistance in children with
pulmonary hypertension undergoing sevoflurane anesthesia and spontaneous
ventilation.
AB - BACKGROUND: The use of ketamine in children with increased pulmonary vascular
resistance is controversial. In this prospective, open label study, we evaluated
the hemodynamic responses to ketamine in children with pulmonary hypertension
(mean pulmonary artery pressure >25 mm Hg). METHODS: Children aged 3 mo to 18 yr
with pulmonary hypertension, who were scheduled for cardiac catheterization with
general anesthesia, were studied. Patients were anesthetized with sevoflurane (1
minimum alveolar anesthetic concentration [MAC]) in air while breathing
spontaneously via a facemask. After baseline catheterization measurements,
sevoflurane was reduced (0.5 MAC) and ketamine (2 mg/kg IV over 5 min) was
administered, followed by a ketamine infusion (10 microg x kg(-1) x min(-1)).
Catheterization measurements were repeated at 5, 10, and 15 min after completion
of ketamine load. Data at various time points were compared (ANOVA, P < 0.05).
RESULTS: Fifteen patients (age 147, 108 mo; median, interquartile range) were
studied. Diagnoses included idiopathic pulmonary arterial hypertension (5),
congenital heart disease (9), and diaphragmatic hernia (1). At baseline, median
(interquartile range) baseline pulmonary vascular resistance index was 11.3 (8.2)
Wood units; 33% of patients had suprasystemic mean pulmonary artery pressures.
Heart rate (99, 94 bpm; P = 0.016) and Pao2 (95, 104 mm Hg; P = 007) changed
after ketamine administration (baseline, 15 min after ketamine; P value). There
were no significant differences in mean systemic arterial blood pressure, mean
pulmonary artery pressure, systemic or pulmonary vascular resistance index,
cardiac index, arterial pH, or Paco2. CONCLUSIONS: In the presence of
sevoflurane, ketamine did not increase pulmonary vascular resistance in
spontaneously breathing children with severe pulmonary hypertension.
PMID- 18042854
TI - Programming pressure support ventilation in pediatric patients in ambulatory
surgery with a laryngeal mask airway.
AB - BACKGROUND: Anesthesia workstations with pressure support ventilation (PSV) are
available, but there are few studies published on how to program flow-triggered
PSV using a laryngeal mask airway (LMA) under general anesthesia in pediatric
patients. METHODS: We studied 60 ASA I and II patients, from 2 mo to 14 yr,
scheduled for ambulatory surgery under combined general and regional anesthesia
with a LMA. Patients were classified according to their body weight as follows:
Group A < or =10 kg, Group B 11-20 kg, and Group C >20 kg. All were ventilated in
PSV using the following settings: positive end-expiratory pressure of 4 cm H2O,
the minimum flow-trigger without provoking auto-triggering, and the minimum level
of pressure support to obtain 10 mL/kg of tidal volume. RESULTS: The flow-trigger
most frequently used in our study was 0.4 L/min, ranging from 0.2 to 0.6 L/min.
We found no correlation between the flow-trigger setting and the patient's age,
weight, compliance, resistance, or respiratory rate. There was a good correlation
between the level of pressure support (Group A = 15 cm H2O, Group B = 10 cm H2O
and Group C = 9 cm H2O) and age (P < 0.001), weight (P < 0.001), dynamic
compliance (P < 0.001), and airway resistances (P < 0.001). CONCLUSIONS: PSV with
a Proseal LMA in outpatient pediatric anesthesia can be programmed simply using
the common clinical noninvasive variables studied. However, more studies are
needed to estimate the level of pressure support that may be required in other
clinical situations (respiratory pathology, endotracheal tubes, or other types of
surgeries) or with other anesthesia workstations.
PMID- 18042855
TI - A prospective evaluation of the POVOC score for the prediction of postoperative
vomiting in children.
AB - BACKGROUND: A score to predict postoperative vomiting (PV) in children (POVOC
score) has recently been published but has not yet undergone an external
validation. METHODS: We studied 673 patients (age 0-16 yr) undergoing a variety
of surgical procedures (but excluding strabismus surgery, one of the risk factors
according to the POVOC score) using standardized anesthesia techniques without
administering antiemetics. The patients were prospectively screened for PV in the
postoperative period and these incidences were compared with the predicted risk
for PV according to the POVOC score. The POVOC score was evaluated with respect
to its ease of use, discrimination, and calibration. RESULTS: Complete data to
predict the risk for PV could be obtained in 95% of patients. The actual observed
incidences of PV were 3.4, 11.6, 28.2, and 42.3% for the presence of 0, 1, 2, or
3 risk factors, resulting in a regression line with a slope of 0.78 and an offset
of 2.37. The area under the receiver operating characteristic curve was 0.72 (95%
CI: 0.68-0.76). CONCLUSIONS: Using the POVOC score, PV in pediatric patients can
be predicted with sufficient accuracy comparable to the results in adult
patients, even if one of the risk factors is not applicable.
PMID- 18042856
TI - An experimental and clinical evaluation of a novel central venous catheter with
integrated oximetry for pediatric patients undergoing cardiac surgery.
AB - BACKGROUND: Central venous oxygen saturation (ScvO2) accurately reflects
cardiocirculatory function, but is not always feasible in pediatric patients.
Using an experimental and clinical approach, we determined the accuracy of a
novel pediatric central venous catheter with integrated fiberoptic oximetry,
correlated ScvO2 to periprocedural vital variables, and tested its feasibility in
pediatric cardiac surgery patients. METHODS: In five anesthetized pigs,
hemodynamics (cardiac index [CI], heart rate; mean arterial blood [MAP]; mean
pulmonary artery [MPAP], central venous pressure [CVP]), fiberoptic ScvO2 (ScvO2
cath), and blood gas oximetry (ScvO2-blood) were measured during stable baseline
conditions, preload reduction (caval occlusion), and dopamine infusion (5 mcg x
kg(-1) x min(-1)). In 16 pediatric patients undergoing cardiac surgery (median
age 8.4 mo; weight 8.0 kg), central venous oximetry catheters were placed
percutaneously, and ScvO2-cath and hemodynamics recorded at several time-points
during and until 24 h after surgery. Oximetry and hemodynamic data were compared
by correlation (Pr) and the Bland-Altman analysis. RESULTS: There were no
catheter-related complications. ScvO2-cath and ScvO2-blood measurements
correlated significantly (P < 0.001) in both the experimental (Pr = 0.96) and
clinical protocol (Pr = 0.94). A similar bias and precision over all time-points
was detected in both protocols (Exp-bias: +0.03% +/- 4.11%; Clinical-bias: -0.03%
+/- 4.41%). ScvO2-cath correlated (P < 0.001) with CI (Pr = 0.87), MAP (Pr =
0.59), MPAP (Pr = 0.44), and CVP (Pr = 0.38) and estimated CI better than MAP (Pr
= 0.61), MPAP (Pr = 0.38), CVP (Pr = 0.35), or heart rate (Pr = 0.25).
CONCLUSION: Integrated central venous oximetry catheters provide accurate
continuous ScvO2 monitoring in pediatric patients undergoing cardiac surgery.
ScvO2 fiberoptic oximetry correlates better with changes in CI as compared to
routine hemodynamic variables.
PMID- 18042857
TI - The relationship between current intensity for nerve stimulation and success of
peripheral nerve blocks performed in pediatric patients under general anesthesia.
AB - BACKGROUND: We evaluated the relationship between the lowest current amperage
used to obtain a motor response, the success rate and the incidence of
neurological complications with peripheral nerve blocks (PNB) in pediatric
patients under general anesthesia. METHODS: We reviewed the regional anesthesia
database at The Children's Hospital of Philadelphia and included all pediatric
patients who received a single-injection PNB under general anesthesia with the
aid of a peripheral nerve stimulator between October 2002 and July 2006. Data
analyzed included age, sex, type of block, stimulation threshold, presence of
sensory and motor blockade, and neurological complications. RESULTS: Six-hundred
sixty patients received a PNB during the study period. The average age of the
patients was 13.8 yr (range = 2-18 yr). All the blocks were performed using a
current ranging between 0.2 and 1 (median = 0.5 mA, interquartile range: 0.45
0.55 mA). The overall success rate was 96%. There was no difference in success
rate between blocks performed using a stimulation threshold of < or =0.5 or >0.5
mA (96.3% vs 95.9%; P = 0.793). There was no correlation between the success rate
and sex, type of block performed or intensity of current used. Two patients
reported prolonged nerve blockade of the great toe and dorsum of the foot after a
sciatic nerve block, which lasted for 72 h. No long-term sequelae were noted in
our patients. CONCLUSION: In this study, a similar PNB success rate was observed
with both a low (*0.5 mA) and a high stimulation threshold (>0.5 mA). Therefore,
it may not be necessary to perform needle manipulations to achieve a low
stimulation threshold (< or =0.5 mA), as this may increase the risk of
intraneural injection.
PMID- 18042858
TI - Reference values for kaolin-activated thromboelastography in healthy children.
AB - BACKGROUND: The hemostatic system of children changes with age and differs
significantly from the hemostatic system of adults. Age-specific reference values
are therefore required for most hemostatic variables. Thromboelastography (TEG)
is a point-of-care coagulation test that may provide superior evaluation and
management of coagulopathies after cardiac surgery, when large-dose
unfractionated heparin is administered for cardiopulmonary bypass. In this study,
we established reference values for kaolin-activated TEG in healthy children, to
facilitate accurate interpretation of pediatric TEG results. METHODS: Kaolin
activated TEG was performed on 100 healthy children undergoing elective day
surgery and 25 healthy adult volunteers. The following TEG variables were
recorded: reaction time, coagulation time, alpha angle, maximum amplitude,
percentage lysis 30 min after maximum amplitude was reached, and the coagulation
index. Differences between age-groups were evaluated using analysis of variance.
RESULTS: Age-specific reference values for kaolin-activated TEG in healthy
children between 1 mo and 16 yr of age are presented. No significant differences
between children and adults were observed. CONCLUSIONS: TEG results, from a
particular clinical setting, must be compared to age-specific, as well as
analyzer- and activator-specific, reference values to allow for correct
interpretation of the results. Reference values provided here will be of use in
acute clinical situations where a practical monitor of hemostasis is required.
PMID- 18042859
TI - Society for Ambulatory Anesthesia guidelines for the management of postoperative
nausea and vomiting.
AB - The present guidelines were compiled by a multidisciplinary international panel
of individuals with interest and expertise in postoperative nausea and vomiting
(PONV) under the auspices of The Society of Ambulatory Anesthesia. The panel
critically evaluated the current medical literature on PONV to provide an
evidence-based reference tool for the management of adults and children who are
undergoing surgery and are at increased risk for PONV. In brief, these guidelines
identify risk factors for PONV in adults and children; recommend approaches for
reducing baseline risks for PONV; identify the most effective antiemetic
monotherapy and combination therapy regimens for PONV prophylaxis; recommend
approaches for treatment of PONV when it occurs; and provide an algorithm for the
management of individuals at increased risk for PONV.
PMID- 18042860
TI - Estimation of optimal modeling weights for a Bayesian-based closed-loop system
for propofol administration using the bispectral index as a controlled variable:
a simulation study.
AB - BACKGROUND: Implementing Bayesian methods in a model-based closed-loop system
requires the integration of a standard response model with a patient-specific
response model. This process makes use of specific modeling weights, called
Bayesian variances, which determine how the specific model can deviate from the
standard model. In this study we applied simulations to select the Bayesian
variances yielding the optimal controller for a Bayesian-based closed-loop system
for propofol administration using the Bispectral Index (BIS) as a controlled
variable. METHODS: The relevant Bayesian variances determining the modeling
process were identified. Each set of such Bayesian variances represents a
potential controller. The set, which will result in optimal control, was
estimated using calculations on a simulated population. We selected 625 candidate
sets. Similar to our previous closed-loop performance study, we applied a
simulation protocol to evaluate controller performance. Our population consisted
of 416 virtual patients, generated using population characteristics from previous
work. A BIS offset trajectory similar to a surgical case was used. RESULTS: We
were able to develop, describe, and optimize the parameter setting for a patient
individualized model-based closed-loop controller using Bayesian optimization.
Selection of the optimal set yields a controller performing with the following
median absolute prediction errors at BIS targets 30, 50, and 70: 12.9 +/- 2.87,
7.59 +/- 0.74, and 5.76 +/- 1.03 respectively. CONCLUSIONS: We believe this
system can be introduced safely into clinical testing for both induction and
maintenance of anesthesia under direct observation of an anesthesiologist.
PMID- 18042861
TI - The influence of hemorrhagic shock on the minimum alveolar anesthetic
concentration of isoflurane in a swine model.
AB - BACKGROUND: Although hemorrhagic shock decreases the minimum alveolar
concentration (MAC) of inhaled anesthetics, it minimally alters the
electroencephalographic (EEG) effect. Hemorrhagic shock also induces the release
of endorphins, which are naturally occurring opioids. We tested whether the
release of such opioids might explain the decrease in MAC. METHODS: Using the dew
claw-clamp technique in 11 swine, we determined the isoflurane MAC before
hemorrhage, after removal of 30% of the estimated blood volume (21 mL/kg of blood
over 30 min), after fluid resuscitation using a volume of hydroxyethylstarch
equivalent to the blood withdrawn, and after IV administration of 0.1 mg/kg of
the mu-opioid antagonist naloxone. RESULTS: Hemorrhagic shock decreased the
isoflurane MAC from 2.05% +/- 0.28% to 1.50% +/- 0.51% (P = 0.0007). Fluid
resuscitation did not reverse MAC (1.59% +/- 0.53%), but additional
administration of naloxone restored it to control levels (1.96% +/- 0.26%). The
MAC values decreased depending on the severity of the shock, but the alterations
in hemodynamic variables and metabolic changes accompanying fluid resuscitation
or naloxone administration did not explain the changes in MAC. CONCLUSIONS:
Consistent with previous reports, we found that hemorrhagic shock decreases MAC.
In addition, we found that naloxone administration reversed the effect on MAC,
and we propose that activation of the endogenous opioid system accounts for the
decrease in MAC during hemorrhagic shock. Such an activation would not be
expected to materially alter the EEG, an expectation consistent with our previous
finding that hemorrhagic shock minimally alters the EEG.
PMID- 18042862
TI - The anesthetic effects of etomidate: species-specific interaction with alpha 2
adrenoceptors.
AB - BACKGROUND: The IV anesthetic, etomidate, has structural and clinical
similarities to specific alpha2-adrenoceptor agonists such as dexmedetomidine. We
investigated whether the sedative effects of etomidate may be mediated by alpha2
adrenoceptors. METHODS: The anesthetic potency of etomidate (1-20 microM) was
determined in Xenopus laevis tadpoles in the absence and presence of the specific
alpha2-adrenoceptor antagonist atipamezole (10 microM). Anesthesia was defined as
loss of righting reflex. Nonlinear logistic regression curves were fitted to the
data and half-maximal effective concentrations and the slopes of the curves were
calculated. Additionally, sedative/ hypnotic effects of etomidate (8 mg/kg IP)
were studied by rotarod test in wild-type (WT) mice and mice carrying targeted
deletions of the alpha2A-adrenoceptor gene (alpha2A-KO). Data are presented as
mean +/- sem. RESULTS: The fraction of anesthetized tadpoles increased with
increasing concentrations of etomidate. Atipamezole significantly increased the
half-maximal effective concentration of etomidate (4.5 +/- 0.2 microM; slope: 2.6
+/- 0.3) to 8.4 +/- 0.4 microM (slope: 2.3 +/- 0.3). Etomidate resulted in time
dependent sedative effects in all mice, as assessed by rotarod performance. In WT
mice, the sedative effects of etomidate were not decreased by atipamezole (2
mg/kg). Consistently, etomidate-induced sedation was not reduced in alpha2A-KO
animals compared with WT mice. CONCLUSIONS: The sedative effects of etomidate
exhibit a species-specific interaction with alpha2-adrenoceptors. Although the
decrease in potency of etomidate by atipamezole may be caused by an interaction
with alpha2-adrenoceptors in X. laevis tadpoles, results in mice indicate that
the hypnotic effect of etomidate does not require alpha2-adrenoceptors.
PMID- 18042863
TI - Secondary hyperalgesia in the postoperative pain model is dependent on spinal
calcium/calmodulin-dependent protein kinase II alpha activation.
AB - BACKGROUND: Spinally administered non-N-methyl-D-aspartate (NMDA), but not NMDA,
receptor antagonists block primary (1 degree) and secondary (2 degrees)
mechanical hyperalgesia and spontaneous pain after plantar incision. Hyperalgesia
after thermal stimulation is also mediated by non-NMDA, but not NMDA, receptors.
Although previous pain behavior studies in the thermal stimulus model
demonstrated distinct protein kinase involvement downstream from spinal non-NMDA
receptor activation, protein kinase signaling mechanisms have not been examined
in the postoperative pain model. In the present study, we investigated whether
spinal calcium/calmodulin-dependent protein kinase IIalpha (CaMKIIalpha) mediates
1 degree and/or 2 degrees hyperalgesia and spontaneous pain behavior after
plantar incision. METHODS: Catheterized rats received a 1 cm incision in the
hindpaw and were tested over 2 days for responses to mechanical stimulation
adjacent to or 1 cm away from the incision site. Some rats received intrathecal
(IT) pretreatment with a CaMKIIalpha inhibitor (14, 34, or 104 nmol KN-93) or
vehicle (5% dimethyl sulfoxide in sterile saline). Separate groups received IT 34
nmol or 104 nmol KN-93 and were tested for hindpaw weight bearing. Lumbar spinal
cords were extracted 1 h after incision or sham treatment to measure
phosphorylated CaMKIIalpha and alpha-amino-3-hydroxy-5-methylisoxazole-4
proprionic acid GLUR1-831 in Western immunoblots. RESULTS: Incision increased
spinal CaMKIIalpha and GLUR1-831 phosphorylation. Although pretreatment with all
doses of IT KN-93 reduced the development of 2 degrees hyperalgesia, only 34 nmol
KN-93 appeared to have an effect on 1 degrees hyperalgesia. IT KN-93 did not
affect nonevoked pain. CONCLUSION: Spinal sensitization underlying incision
evoked hyperalgesia involves spinal CaMKIIalpha activation and enhanced spinal
alpha-amino-3-hydroxy-5-methylisoxazole-4-proprionic acid receptor (AMPA)
function.
PMID- 18042864
TI - In vitro, lidocaine-induced axonal injury is prevented by peripheral inhibition
of the p38 mitogen-activated protein kinase, but not by inhibiting caspase
activity.
AB - BACKGROUND: All local anesthetics (LAs) are, to some extent, neurotoxic. Toxicity
studies have been performed in dissociated neuron cultures, immersing both axon
and soma in LA. This approach, however, does not accurately reflect the in vivo
situation for peripheral nerve blockade, where LA is applied to the axon alone.
METHODS: We investigated lidocaine neurotoxicity in compartmental sensory neuron
cultures, which are composed of one central compartment containing neuronal cell
bodies and a peripheral compartment containing their axons, allowing for
selective incubation. We applied lidocaine +/- neuroprotective drugs to neuronal
somata or axons, and assessed neuron survival and axonal outgrowth. RESULTS:
Lidocaine applied to the peripheral compartment led to a decreased number of
axons (to 59% +/- 9%), without affecting survival of cell bodies. During axonal
incubation with lidocaine, the p38 mitogen-activated protein kinase inhibitor
SB203580 (10 microM) attenuated axonal injury when applied to the axon
(insignificant reduction of maximal axonal distance to 93% +/- 9%), but not when
applied to the cell body (deterioration of maximal axonal length to 48% +/- 6%).
Axonal co-incubation of lidocaine with the caspase inhibitor z-vad-fmk (20
microM) was not protective. CONCLUSIONS: Whereas inhibition of either p38 mitogen
activated protein kinase or caspase activity promote neuronal survival after LA
treatment of dissociated neuronal cultures, axonal degeneration induced by
lidocain (40 mM) is prevented by p38 MAP kinase but not by caspase inhibition. We
conclude that processes leading to LA-induced neurotoxicity in dissociated
neuronal culture may be different from those observed after purely axonal
application.
PMID- 18042865
TI - Temporal and spatial determinants of sacral dorsal horn neuronal windup in
relation to isoflurane-induced immobility.
AB - BACKGROUND: Windup is a progressive increase in response of dorsal horn neurons
to repetitive C-fiber stimulation that may underlie temporal summation of pain.
We investigated the frequency- and intensity-dependency of windup, and the
effects of isoflurane and N-methyl-d-aspartate (NMDA) receptor blockade, to
determine if they parallel the influence of temporal and spatial summation of
noxious stimuli on anesthetic requirements. METHODS: We recorded responses of rat
sacral dorsal horn neurons to 20-s trains of electrical tail stimulation at
different frequencies (0.3-10 Hz) and intensities (0.8-5 x stimulus threshold)
during delivery of 0.7 to 1.3 minimum alveolar anesthetic concentration
isoflurane. Summed responses (area under the curve [AUC] windup), initial
response, absolute windup (AUC minus 20 times the initial response), and slope of
windup were quantified. RESULTS: Increases in stimulus intensity and frequency
progressively increased AUC windup (P < 0.01 for both) and correlated with
isoflurane concentrations required for immobility (R2 = 0.98 and 0.97,
respectively). Increasing the isoflurane concentration significantly suppressed
each measure of windup elicited by low-intensity and low-frequency, but not high
intensity and high-frequency stimulus trains. The initial response magnitude
significantly correlated with slope of windup across stimulus intensities and
isoflurane concentrations. The NMDA receptor antagonist MK801 significantly
reduced windup (to 53%; P < 0.05) at 1 Hz. CONCLUSION: Windup of dorsal horn
neurons at low stimulus intensities and frequencies increases isoflurane
requirements for immobility via a NMDA receptor-dependent mechanism. At high
stimulus intensities and frequencies, windup was resistant to isoflurane
consistent with larger anesthetic requirements for immobility.
PMID- 18042866
TI - Concentrations of isoflurane exceeding those used clinically slightly increase
the affinity of methane, but not toluene, for water.
AB - BACKGROUND: Inhaled anesthetics may affect proteins at the interface between
membrane lipids and the surrounding aqueous phase. The underlying solution
chemistry is not known. Because the hydrophobicity of nonpolar protein components
importantly influences their conformation, we tested the hypothesis that
isoflurane affects the solubility of two nonpolar compounds, methane and toluene,
in saline. METHODS: Using a serial dilution technique, we determined the
saline:gas partition coefficients (PCs) of methane and toluene at 37 degrees C in
the absence of isoflurane and in the presence of approximately 1%, 5%, and 15%
isoflurane. We also measured the effect on the vapor pressure of benzene produced
by saturating benzene with either cyclopropane or chloroethane, anesthetics used
in a previous study to demonstrate that their equilibration with benzene
decreased the solubility of benzene in water. RESULTS: Clinically relevant
concentrations of isoflurane (1% and 5%) did not affect the saline:gas PC of
methane and toluene, but 15%-20% isoflurane increased the PC of methane (P <
0.05) but not toluene. Saturating benzene with cyclopropane or chloroethane,
decreased the vapor pressure of benzene in proportion to the amount of anesthetic
dissolved in the benzene. CONCLUSION: Isoflurane has a weak antihydrophobic
effect at concentrations far above the clinically relevant range, and this effect
is unlikely to explain how anesthetics act. A previous study, which found that
cyclopropane and chloroethane decreased the solubility of benzene in water,
probably erred in its conclusion that these anesthetics interfered with the
interaction of benzene and water. Instead, the anesthetics simply decreased the
vapor pressure of benzene, doing so in accordance with Raoult's Law.
PMID- 18042867
TI - New circulating-water devices warm more quickly than forced-air in volunteers.
AB - BACKGROUND: Newer circulating-water systems supply more heat than forced-air,
mainly because the heat capacity of water is much greater than for that of dry
warm air and, in part, because they provide posterior as well as anterior
heating. Several heating systems are available, but three major ones have yet to
be compared directly. We therefore compared two circulating-water systems with a
forced-air system during simulation of upper abdominal or chest surgery in
volunteers. METHODS: Seven healthy volunteers participated on three separate
study days. Each day, they were anesthetized and cooled to a core temperature
near 34 degrees C, which was maintained for 45-60 min. They were then rewarmed
with one of three warming systems until distal esophageal core temperature
reached 36 degrees C or anesthesia had lasted 8 h. The warming systems were 1)
energy transfer pads (two split torso pads and two universal pads; Kimberly
Clark, Roswell, GA); 2) circulating-water garment (Allon MTRE 3365 for cardiac
surgery, Akiva, Israel); and 3) lower body forced-air warming (Bair Hugger #525,
#750 blower, Eden Prairie, MN). Data are presented as mean +/- sd; P < 0.05 was
statistically significant. RESULTS: The rate of increase of core temperature from
34 degrees C to 36 degrees C was 1.2 degrees C +/- 0.2 degrees C/h with the
Kimberly Clark system, 0.9 degrees C +/- 0.2 degrees C/h with the Allon system,
and 0.6 degrees C +/- 0.1 degrees C/h with the Bair Hugger (P = 0.002).
CONCLUSIONS: The warming rate with the Kimberly Clark system was 25% faster than
with the Allon system and twice as fast as with the Bair Hugger. Both circulating
water systems thus warmed hypothermic volunteers in significantly less time than
the forced-air system.
PMID- 18042868
TI - The usefulness of an earphone-type infrared tympanic thermometer for
intraoperative core temperature monitoring.
AB - BACKGROUND: In this study we sought to determine the usefulness of a novel
earphone-type infrared tympanic thermometer (IRT) for core temperature monitoring
during surgery. METHODS: Two groups of patients were studied under different
surgical conditions. The first group consisted of 18 adult patients (ASA I or II)
who had been scheduled for elective surgery under general anesthesia. Before
induction of general anesthesia, an earphone-type IRT was inserted into either
the left or right ear canal. Tympanic temperature was monitored and recorded
along with both rectal and esophageal temperatures during anesthesia. The second
group consisted of eight adult patients (ASA II or III) who had been scheduled
for cardiac surgery with cardiopulmonary bypass. Similar to the first group,
tympanic temperature was measured by the earphone-type IRT and recorded along
with the rectal and esophageal temperatures during cooling and rewarming phases
of cardiopulmonary bypass. RESULTS: Study 1-The average temperature (+/-2 sd)
measured with the IRT was +0.08 degrees C (+/-0.34 degrees C) above the
esophageal temperature, and that with the rectal temperature was +0.11 degrees C
(+/-0.55 degrees C) above the esophageal temperature. Study 2-The average
temperature (+/-2 sd) measured with the IRT was +0.72 degrees C (+/-2.2 degrees
C) above the esophageal temperature during cooling and warming phases during
cardiac surgery with cardiopulmonary bypass. CONCLUSIONS: The earphone-type IRT
might be used in a clinical setting for reliable and continuous core temperature
monitoring during an operation.
PMID- 18042869
TI - The 8-item Short-Form Health Survey and the physical comfort composite score of
the quality of recovery 40-item scale provide the most responsive assessments of
pain, physical function, and mental function during the first 4 days after
ambulatory knee surgery with regional anesthesia.
AB - BACKGROUND: We evaluated the validity and responsiveness of three instruments:
the numeric rating scale (NRS) pain score, the 8-item Short-Form Health Survey
(SF-8), and the 40-item Quality of Recovery from Anesthesia (QoR) Survey in 154
outpatients undergoing anterior cruciate ligament reconstruction (ACLR). The
objective was to provide a robust psychometric basis for outcome survey selection
for surgical outpatients undergoing regional anesthesia without general
anesthesia. METHODS: Patients undergoing ACLR with a standardized spinal
anesthesia plan were randomized to receive a perineural catheter with either
placebo injection-infusion, or injection-infusion with levobupivacaine. Patients
completed the NRS, SF-8, and QoR instruments for four postoperative days to
evaluate pain, physical function, and mental function. RESULTS: Regarding pain,
neither the NRS nor the QoR offered advantages over the SF-8. Regarding physical
function, the QoR physical independence composite offered no advantage over the
SF-8 physical component summary. The QoR physical comfort composite assessed
short-term changes in treatment-related side effects, and thus provided
information not covered by the SF-8. Regarding mental function, the SF-8 mental
component summary and QoR emotional state composite showed little change over the
four days, although the latter measure showed higher responsiveness to change.
CONCLUSIONS: For ACLR outpatients receiving regional anesthesia, the SF-8 is
sufficient to assess postoperative pain and physical function. Adding the QoR
physical comfort composite will help assess short-term side effects.
PMID- 18042870
TI - Coordination of appointments for anesthesia care outside of operating rooms using
an enterprise-wide scheduling system.
AB - BACKGROUND: An anesthesia department implemented scheduling of anesthetics
outside of operating rooms (non-OR) by clerks and nurses from other departments
using its hospital's enterprise-wide scheduling system. METHODS: Observational
studies chronicled the change over 2 yr as non-OR time was allocated by
specialty, and nonanesthesia clerks and nurses scheduled anesthesia teams.
Experimental studies investigated how tabular and graphical displays affected the
scheduling of milestones (e.g., NPO times) and appointments before anesthetics.
RESULTS: Anesthetics performed in allocated time increased progressively from 0%
to 75%. Scheduling of anesthetics by nonanesthesia clerks and nurses increased
progressively from 0% to 77%. Consistency of patient instructions was improved.
The quality of resulting schedules was good. Implementation was not associated
with worsening of multiple operational measures of performance such as
cancellation rates, turnover times, or complaints. However, schedulers struggled
to understand fasting and arrival times of patients, despite using a web site
with statistically generated values in tabular formats. Experiments revealed that
people ignored their knowledge that anesthetics can start earlier than scheduled.
Participants made good decisions with both tabular and graphical displays when
scheduling appointments preceding anesthesia. CONCLUSIONS: Enterprise-wide
scheduling can coordinate anesthetics with other appointments on the same date
and improve consistency and accuracy of patient instructions customized to the
probability of an anesthetic starting early. The usefulness of implementation
depends on the value in having more patient-centered care and/or in having
patients arrive just in time for non-OR anesthesia, surgery, or regional block
placement (e.g., at facilities with limited physical space).
PMID- 18042871
TI - Changes in utilization of intraoperative laboratory testing associated with the
introduction of point-of-care testing devices in an academic department.
AB - BACKGROUND: Availability of point-of-care testing (POCT) technology may lead to
unnecessary testing and expense without improving outcomes. We tested the
hypothesis that frequency of intraoperative blood testing (IBT) would increase in
association with installation of POCT devices in our surgical suites. METHODS: We
performed a retrospective analysis of 38,115 electronic anesthesia records for
cases performed in the 1 yr before and 1 yr after POCT installation. For each
case, the frequency of IBT was tabulated and the change in frequency of IBT
between the study periods was calculated for individual anesthesiologists, for
the department as a whole, and for clusters of anesthetizing locations. RESULTS:
For the department as a whole, there was no significant change between the before
and after study periods in the 13% proportion of cases in which IBT was obtained.
For cases in which IBT was used, there was no significant increase in the number
of IBTs per case. CONCLUSIONS: We found no significant increase in the overall
utilization of IBT associated with POCT presence in noncardiothoracic operating
rooms.
PMID- 18042872
TI - The effects of extracellular pH on vasopressin inhibition of ATP-sensitive K+
channels in vascular smooth muscle cells.
AB - BACKGROUND: Arginine vasopressin (AVP) inhibits ATP-sensitive potassium (K(ATP))
channels and may help to restore vascular tone in patients with vasodilatory
shock. In the present study, we investigated whether extracellular acidification
modifies the inhibition of vascular K(ATP) channels by AVP. METHODS: We used a
cell-attached patch-clamp configuration to investigate the effects of
extracellular pH (pH(o)) on AVP-K(ATP) channel interaction in rat aortic smooth
muscle cells. RESULTS: Bath application of AVP significantly inhibited
extracellular acidification (pH(o) = 6.5)-induced K(ATP) channel activity in a
concentration-dependent manner, with an half-maximal inhibitory concentration
(IC50) value of 16.8 pM. Furthermore, bath application of AVP significantly
inhibited pinacidil-induced K(ATP) channel activity at mild (pH(o) = 7.0) and
severe (pH(o) = 6.5) extracellular acidification, with IC50 values of 266.7 and
21.4 pM, respectively, but failed to significantly inhibit at normal pH (pH(o) =
7.4) or under alkalosis (pH(o) = 9.0). Augmentation of AVP inhibition of vascular
K(ATP) channels during extracellular acidification was eliminated by pretreatment
with OPC-21268, a specific blocker of the V1 receptor, but not by a V2 blocker,
OPC-31260. AVP-induced inhibition was also suppressed by pretreatment with a
protein kinase C inhibitor, calphostin C. CONCLUSIONS: Our results suggest that
AVP inhibits extracellular acidification-induced vascular K(ATP) channel
activity, and that the inhibitory effects of AVP on vascular K(ATP) channels are
enhanced by extracellular acidification via the V1 receptor-protein kinase C cell
signaling pathway. The potent inhibition of vascular K(ATP) channels by AVP under
acidic conditions may make it suitable for management of vasodilatory shock.
PMID- 18042873
TI - Emergent retrograde tracheal intubation with a gum-elastic bougie in a trauma
patient.
AB - BACKGROUND: Patients with severe maxillofacial trauma pose a challenge when their
airways must be secured. Often, emergent surgical airways are established when
largyngoscopy or fiberoptic intubation are unsuccessful. When an airway cannot be
surgically established, the anesthesiologist is forced to use novel approaches to
airway management, but there are few descriptions of such techniques in the
literature. METHODS: After unsuccessful laryngoscopy and a failed
cricothyroidotomy and tracheostomy in a patient with deforming maxillofacial
trauma, a gum-elastic bougie was inserted retrograde through a tracheal defect in
a cephalad manner and exited the patient's mouth. RESULTS: The patient was
successfully intubated using a modified retrograde technique through a tracheal
defect with a gum-elastic bougie. CONCLUSIONS: When an uncontrolled airway cannot
be secured surgically and a tracheal defect is present, retrograde intubation
with a gum-elastic bougie may be considered as an emergent management option.
PMID- 18042874
TI - The effects of centrally administered dexmedetomidine on cardiovascular and
sympathetic function in conscious rats.
AB - BACKGROUND: The alpha2-receptor is expressed in the brain, including the
hypothalamus, where it is implicated in autonomic nervous system control. The
effects of systemic administration of dexmedetomidine (DEX) on cardiovascular
responses are well known; however, little is known about the effects of central
administration of DEX on cardiovascular responses in conscious animals. In this
study, we explored the effects and the mechanism of intracerebroventricularly
(icv) administered DEX on cardiovascular responses and sympathetic nerve activity
in conscious, unrestrained rats. METHODS: We administered DEX (0.5, 1, and 2
microg/kg) icv and measured the mean arterial blood pressure (MAP), heart rate
(HR), and plasma catecholamine in conscious rats (n = 58). Rats were also
administered atropine (n = 8), propranolol (n = 8), or hexamethonium (n = 8) to
assess the influence of vagal or sympathetic efferent activity in the DEX-induced
responses. Some of the rats underwent carotid sinus and aortic nerve denervation
to exclude the effect of the baroreceptor reflex. RESULTS:
Intracerebroventricular administration of DEX dose-dependently decreased MAP, HR,
and plasma norepinephrine. Large dose of DEX decreased plasma epinephrine. The
amplitude of MAP reduction induced by DEX was reduced by hexamethonium or
propranolol. The amplitude of HR reduction was reduced by atropine or
propranolol. The amplitude of MAP and HR reduction induced by DEX were smaller in
hexamethonium-pretreatment rats than in intact ones. The amplitude of MAP and HR
reduction induced by DEX were larger in sinus and aortic nerve denervation rats
than in intact ones. CONCLUSIONS: These results indicate that icv administration
of DEX decreases MAP by sympathetic inhibition and decreases HR by sympathetic
inhibition and vagal stimulation.
PMID- 18042875
TI - Mechanisms of morphine enhancement of spontaneous seizure activity.
AB - BACKGROUND: High-dose opioid therapy can precipitate seizures; however, the
mechanism of such a dangerous adverse effect remains poorly understood. The aim
of our study was to determine whether the neuroexcitatory activity of high-dose
morphine is mediated by selective stimulation of opioid receptors. METHODS: Mice
hippocampi were resected intact and bathed in low magnesium artificial
cerebrospinal fluid to induce spontaneous seizure-like events recorded from CA1
neurons. RESULTS: Application of morphine had a biphasic effect on the recorded
spontaneous seizure-like events. In a low concentration (10 microM), morphine
depressed electrographic seizure activity. Higher morphine concentrations (30 and
100 microM) enhanced seizure activity in an apparent dose-dependent manner.
Naloxone, a nonselective opiate antagonist blocked the proconvulsant action of
morphine. Selective mu and kappa opiate receptor agonists and antagonists
enhanced and suppressed the spontaneous seizure activity, respectively. On the
contrary, delta opioid receptor ligands did not have an effect. CONCLUSIONS: The
proseizure effect of morphine is mediated through selective stimulation of mu and
kappa opiate receptors but not the activation of the delta receptor system. The
observed dose-dependent mechanism of morphine neuroexcitation underscores careful
adjustment and individualized opioid dosing in the clinical setting.
PMID- 18042876
TI - The accuracy of blood loss estimation after simulated vaginal delivery.
AB - BACKGROUND: Visual blood loss estimation often underestimates blood loss. In this
study we sought to determine the effect of calibrated drape markings on blood
loss estimation in a simulated vaginal delivery. METHODS: Subjects were
randomized to estimate simulated blood loss (300, 500, 1000, and 2000 mL) in
calibrated or noncalibrated vaginal delivery drapes and then crossover. RESULTS:
Visual blood loss estimation with noncalibrated drapes underestimated blood loss,
with worsening accuracy at larger volumes (16% error at 300 mL to 41% at 2000
mL). The calibrated drape error was <15% at all volumes. CONCLUSIONS: Calibrated
vaginal delivery drapes improve blood loss estimation.
PMID- 18042877
TI - A bibliometric analysis of global clinical research by anesthesia departments.
AB - BACKGROUND: Few studies have investigated the diversity in research conducted by
anesthesia-based researchers. We examined global clinical research attributed to
anesthesia departments using Medline and Ovid databases. We also investigated the
impact of economic development on national academic productivity. METHODS: We
conducted a Medline search for English-language publications from 2000 to 2005.
The search included only clinical research in which institutional affiliation
included words relating to anesthesia (e.g., anesthesiology, anesthesia, etc.).
Population and gross national income data were obtained from publicly available
databases. Impact factors for journals were obtained from Journal Citation
Reports (Thomson Scientific). RESULTS: There were 6736 publications from 64
countries in 551 journals. About 85% of all publications were represented by 46
journals. Randomized controlled trials constituted 4685 (70%) of publications.
Turkey had the highest percentage of randomized controlled trials (88%). The
United States led the field in quantity (20% of total) and mean impact factor
(3.0) of publications. Finland had the highest productivity when adjusted for
population (36 publications per million population). Publications from the United
States declined from 23% in 2000 to 17% in 2005. CONCLUSIONS: Clinical research
attributable to investigators in our specialty is diverse, and extends beyond the
traditional field of anesthesia and intensive care. The United States produces
the most clinical research, but per capita output is higher in European nations.
PMID- 18042878
TI - Bilateral sciatic and femoral neuropathies, rhabdomyolysis, and acute renal
failure caused by positioning during radical retropubic prostatectomy.
AB - In the hyperlordotic position, the patient's hips are above the feet and head. We
present a case of rhabdomyolysis, acute renal failure, and bilateral femoral and
sciatic neuropathies caused by this position.
PMID- 18042879
TI - Flat electroencephalogram caused by carbon dioxide pneumoperitoneum.
AB - Hypercapnia during laparoscopy with CO2 is predicted in the following situations:
compromised pulmonary function, retroperitoneal insufflation, and subcutaneous
emphysema. We present a case of sudden electroencephalogram (EEG) depression in
response to severe hypercapnia during laparoscopic ureteronephrectomy in a 77-yr
old patient with chronic pulmonary emphysema. During intraperitoneal and
retroperitoneal insufflation, subcutaneous emphysema and difficult ventilation
occurred. Severe hypercapnia ensued, with pH = 6.94, and Paco2 = 137 mm Hg.
Subsequent EEG activity was markedly depressed with a minimum Bispectral Index of
4, accompanied by an increase in arterial blood pressure and heart rate.
Termination of the laparoscopic procedure improved ventilation, EEG, and
hemodynamics. These EEG changes may result from the narcotic properties of CO2 or
hypercapnia-induced neurological abnormalities.
PMID- 18042880
TI - The use of a laryngeal mask airway after a prolonged suspension laryngoscopy to
preserve a vocal cord fat graft.
AB - A 62-yr-old man presented for a microdirect laryngoscopy and vocal cord fat
grafting under jet ventilation. After a prolonged laryngoscopy, the patient
developed hypercapnea and upper airway obstruction secondary to traumatic
epiglottitis. The placement of a laryngeal mask airway provided ventilation and
allowed for direct visualization of the patient's inflamed epiglottis without
disruption of the patient's fat graft. Because of its placement above the cords
and its effectiveness in providing adequate ventilation, we propose intermittent
laryngeal mask airway ventilation as a bridge, in lieu of endotracheal
intubation, in microdirect laryngoscopy cases in which ventilation during
emergence may be difficult and the insertion of an endotracheal tube would
disrupt the surgical procedure.
PMID- 18042881
TI - The ability of diagnostic spinal injections to predict surgical outcomes.
AB - BACKGROUND: Since their first description more than 80 yr ago, the use of
diagnostic spinal injections to predict surgical outcomes has been the subject of
intense controversy. Because there are no standardized guidelines or substantive
reviews on this topic, their use has remained inconsistent. METHODS: Diagnostic
procedures included in this review were lumbar and cervical discography, lumbar
facet blocks, lumbar and cervical selective nerve root blocks, and sacroiliac
(SI) joint injections. We garnered materials via MEDLINE and OVID search engines,
books and book chapters, bibliographic references, and conference proceedings.
RESULTS: The lack of randomized, comparative studies for all blocks limited the
conclusions that could be drawn. For the data that do exist, there is limited
evidence that lumbar discography improves fusion outcomes, and no evidence that
it influences disk replacement results. Although limited in scope, the current
literature supports the notion that cervical discography improves surgical
outcomes. There is strong evidence that lumbar selective nerve root blocks
improve the identification of a symptomatic nerve root(s), and moderate evidence
that both lumbar and cervical nerve root blocks improve surgical outcomes. The
data supporting surgery for facet arthropathy are weak, and the use of screening
blocks does not appear to improve outcomes. The data supporting SI joint fusion
for degenerative, nontraumatic injuries are similarly weak. Because the most
reliable method to diagnose a painful SI joint is with low volume, diagnostic
injections, one might reasonably conclude that screening blocks improve surgical
outcomes. However, this conclusion is not supported by indirect evidence.
CONCLUSIONS: The ability to evaluate the effect of diagnostic blocks on surgical
outcomes is limited by a lack of randomized studies, methodological flaws, and
wide-ranging discrepancies with regard to injection variables, surgical
technique, and outcome measures. More research is needed to optimize injection
techniques and determine which, if any, diagnostic screening blocks can improve
surgical outcomes.
PMID- 18042882
TI - The analgesic effects of opioids and immersive virtual reality distraction:
evidence from subjective and functional brain imaging assessments.
AB - BACKGROUND: Immersive virtual reality (VR) is a novel form of distraction
analgesia, yet its effects on pain-related brain activity when used adjunctively
with opioid analgesics are unknown. We used subjective pain ratings and
functional magnetic resonance imaging to measure pain and pain-related brain
activity in subjects receiving opioid and/or VR distraction. METHODS: Healthy
subjects (n = 9) received thermal pain stimulation and were exposed to four
intervention conditions in a within-subjects design: (a) control (no analgesia),
(b) opioid administration [hydromorphone (4 ng/mL target plasma level)], (c)
immersive VR distraction, and (d) combined opioid + VR. Outcomes included
subjective pain reports (0-10 labeled graphic rating scales) and blood oxygen
level-dependent assessments of brain activity in five specific, pain-related
regions of interest. RESULTS: Opioid alone significantly reduced subjective pain
unpleasantness ratings (P < 0.05) and significantly reduced pain-related brain
activity in the insula (P < 0.05) and thalamus (P < 0.05). VR alone significantly
reduced both worst pain (P < 0.01) and pain unpleasantness (P < 0.01) and
significantly reduced pain-related brain activity in the insula (P < 0.05),
thalamus (P < 0.05), and SS2 (P < 0.05). Combined opioid + VR reduced pain
reports more effectively than did opioid alone on all subjective pain measures (P
< 0.01). Patterns of pain-related blood oxygen level-dependent activity were
consistent with subjective analgesic reports. CONCLUSIONS: These subjective pain
reports and objective functional magnetic resonance imaging results demonstrate
converging evidence for the analgesic efficacy of opioid administration alone and
VR distraction alone. Furthermore, patterns of pain-related brain activity
support the significant subjective analgesic effects of VR distraction when used
as an adjunct to opioid analgesia. These results provide preliminary data to
support the clinical use of multimodal (e.g., combined pharmacologic and
nonpharmacologic) analgesic techniques.
PMID- 18042883
TI - The use of brain positron emission tomography to identify sites of postoperative
pain processing with and without epidural analgesia.
AB - It is not known how different analgesic regimes affect the brain when reducing
postoperative pain. We performed positron emission tomography (PET) scans on a 69
yr-old woman in the presence of moderate postoperative pain and then with
epidural analgesia producing complete analgesia, during the first 2 days after
total knee arthroplasty. Day 2 postsurgery PET scan data (no pain with epidural
analgesia) were subtracted from Day 1 postsurgery PET scan data (time of moderate
pain without epidural analgesia) to determine the brain regions activated.
Postsurgical pain was associated with increased activity in the contralateral
primary somatosensory cortex. Other brain regions showing increased postsurgical
activity were the contralateral parietal cortex, bilateral pulvinar and
ipsilateral medial dorsal nucleus of the thalamus, contralateral putamen,
contralateral superior temporal gyrus, ipsilateral fusiform gyrus, ipsilateral
posterior lobe, and contralateral anterior cerebellar lobe. This study
demonstrates the feasibility of evaluating the central processing of acute
postoperative pain using PET.
PMID- 18042884
TI - The influence of race and socioeconomic factors on patient acceptance of
perioperative epidural analgesia.
AB - BACKGROUND: Ethnic minorities and patients of lower socioeconomic status may be
more averse to the acceptance of epidural analgesia than nonminority counterparts
and those of higher socioeconomic status, despite evidence for substantial
benefit to the patient. METHODS: A scripted telephone survey was developed from
the 2000 United States Census by a panel of experts. Contact was attempted at
least twice for all patients listed for surgery at the Hospital of the University
of Pennsylvania over a 4-mo period. RESULTS: Three thousand seven hundred thirty
nine patients were called and 1265 subjects were successfully contacted and 1193
consented, whereas 72 refused to participate. Seven hundred sixty-two subjects
(64%) would accept an epidural if recommended by an anesthesiologist and 425
(36%) would refuse. If the epidural was recommended by both the anesthesiologist
and surgeon acceptance increased to 932 (78.5%). The univariate predictor of
refusal of perioperative epidural analgesia was African American race. Univariate
predictors of acceptance include full- or part-time employment, total household
income >$50,001/yr, college graduate, prior epidural treatment, and knowledge of
what an epidural is. When the potential confounders of race, total household
income, employment, and education were included in a multivariate logistic
regression model, African American race predicted refusal (odds ratio [OR], 0.58;
P < 0.006; confidence interval [CI], 0.41-0.81) and was the only factor that
predicted refusal or acceptance of epidural analgesia. CONCLUSIONS: Acceptance of
perioperative epidural analgesia is strongly affected by race and socioeconomic
status. Anesthesiologists need to recognize this potential barrier when trying to
maximize patient comfort and outcome.
PMID- 18042885
TI - Cardiovascular thromboembolic adverse effects associated with cyclooxygenase-2
selective inhibitors and nonselective antiinflammatory drugs.
AB - BACKGROUND: Concerns of increased cardiovascular (CV) thromboembolic adverse
effects from nonsteroidal antiinflammatory drugs (NSAIDs, both nonselective [NS]
NSAIDs and cyclooxygenase [COX]-2 selective inhibitors) have prevented their use
despite numerous benefits. METHODS: In this descriptive review, we critically
examine the randomized, active- and placebo-controlled studies, observational
trials, and meta-analyses evaluating the CV adverse effects associated with long
term and short-term use of COX-2 selective inhibitors and NS-NSAIDs. The
potential mechanisms for these CV effects are also presented. RESULTS: Although
the studies evaluating the CV risks have limitations, there appears to be an
increased CV risk with both COX-2 selective inhibitors and NS-NSAIDs,
particularly in high-risk patients. Therefore, the United States Food and Drug
Administration has given a similar "boxed" warning highlighting the potential for
increased risk of CV events associated with their use. Nevertheless, there are
differences in the CV risks between COX-2 selective inhibitors (e.g., higher CV
risk with rofecoxib than celecoxib) as well as differences in the CV risks
between individual NS-NSAIDs (e.g., higher CV risks with diclofenac than
naproxen). CONCLUSIONS: Until long-term, prospective, randomized, adequately
powered, clinical studies in relevant patient populations have been completed,
the CV risks associated with the use of NSAIDs, especially in high-risk patients,
will likely continue to be controversial. Nevertheless, the benefits of their
short-term (e.g., perioperative) use in patients without CV risks probably
outweigh their potential CV adverse effects. Finally, careful risk/benefit
assessment should be undertaken and both COX-2 selective inhibitors and NS-NSAIDs
should be used with caution in patients with CV risk factors.
PMID- 18042886
TI - Pregabalin: its pharmacology and use in pain management.
AB - Pregabalin is a new synthetic molecule and a structural derivative of the
inhibitory neurotransmitter gamma-aminobutyric acid. It is an alpha2-delta
(alpha2-delta) ligand that has analgesic, anticonvulsant, anxiolytic, and sleep
modulating activities. Pregabalin binds potently to the alpha2-delta subunit of
calcium channels, resulting in a reduction in the release of several
neurotransmitters, including glutamate, noradrenaline, serotonin, dopamine, and
substance P. In this review, I will discuss the pharmacology of pregabalin and
available efficacy studies in pain management. This review will focus on the
advances in pregabalin pharmacology since my previous review in 2005.
PMID- 18042887
TI - A differential diagnosis of hyperalgesia, toxicity, and withdrawal from
intrathecal morphine infusion.
AB - Opioid-induced hyperalgesia, toxicity, and withdrawal are phenomena that may
occur with intrathecal opioid infusion. We present a case in which a patient
received intrathecal morphine infusion, and then experienced a clinical course
that may have involved hyperalgesia, toxicity, and/or withdrawal. The possible
differential diagnosis of opioid-induced hyperalgesia, toxicity, and withdrawal,
and its implications in clinical pain management, are discussed. This report
demonstrates the complexity of treating patients with long-term continuous
intrathecal opioids when modest adjustment of the intrathecal cocktail results in
a paradoxical clinical course.
PMID- 18042888
TI - Needlestick distal nerve injury in rats models symptoms of complex regional pain
syndrome.
AB - BACKGROUND: Complex Regional Pain Syndrome (CRPS)-I consists of chronic limb pain
and dysautonomia triggered by traumas that sometime seem too trivial to be
causative. Several pathological studies have identified minor distal nerve
injuries (DNIs) in CRPS-I patients, but retrospective studies cannot establish
causality. Therefore, we, prospectively investigated whether DNIs are sufficient
to cause CRPS-like abnormalities in animals. We used needlestick, a cause of
human CRPS, to evaluate lesion-size effects. METHODS: Left tibial nerves of male
Sprague-Dawley rats were transfixed once by 30G, 22G, or 18G needles. Unoperated
and sham-operated rats provided controls. Hindpaw sensory function, edema, and
posture were measured. RESULTS: At Day-7 postoperatively, thresholds for
ipsilateral-hindpaw withdrawal from Semmes-Weinstein monofilaments were reduced
by > or =51% in 0% of sham-operated controls; 67% of rats that received 18G-DNI,
88% that received 22G-DNI, and 89% that received 30G-DNI. Fifty-seven percent of
all DNI rats had contralateral hindpaw "mirror" changes. The prevalence and
severity of allodynia appeared independent of lesion size. Hyperalgesic responses
to cold and pinprick applied to the plantar hindpaw were less common and were
ipsilesional only, as was neurogenic hindpaw edema. Ipsilesional-only, tonic,
dystonic-like hindpaw postures were evident in 42% of 18G-DNI, 6% of 22G-DNI, and
no 30G-DNI or sham-operated control rats. The prevalence of postural
abnormalities correlated with needle diameter (P = 0.001). Counting protein gene
product 9.5-immunolabeled axons in skin biopsies from rats' ipsilesional hindpaws
demonstrated mean reductions of 0% after 30G-needlestick, 15% after 22G
needlestick, and 26% after 18G-needlestick, which closely reproduces the 29% mean
epidermal neurite losses of CRPS-I patients. CONCLUSIONS: Needlestick DNI models
several clinical and pathological features of human CRPS and provides direct
prospective evidence that even minor DNI can cause CRPS-like abnormalities in
rats.
PMID- 18042889
TI - Inhibition of the cyclic adenosine monophosphate pathway attenuates neuropathic
pain and reduces phosphorylation of cyclic adenosine monophosphate response
element-binding in the spinal cord after partial sciatic nerve ligation in rats.
AB - BACKGROUND: Recent reports have identified a role for cyclic adenosine
monophosphate (cAMP) transduction in nociceptive processing. Spinal activation of
the cAMP induced gene transcription through the activation of protein kinase A
and cAMP response element-binding protein (CREB). Intrathecal injection of
protein kinase A inhibitor reversed the mechanical hyperalgesia, whereas
injection of CREB antisense attenuated tactile allodynia caused by partial
sciatic nerve ligation (PSNL) in rats. In the present study, we aimed to assess
the effects of spinal cAMP transduction on the nociceptive processing in a
chronic neuropathic pain model. METHODS: PSNL was performed in male Sprague
Dawley rats 1 wk after intrathecal catheterization. Nociception to mechanical and
thermal stimuli was assessed at the hindpaw 2 h, 3, 7, and 14 days after PSNL.
The effects of adenylate cyclase inhibitor, SQ22536 (0.7 mumol, intrathecal) on
these nociceptions were evaluated. Changes in the expression and immunoreactivity
of CREB and its phosphorylated proteins (CREB-IR and pCREB-IR) in the dorsal horn
of the spinal cord were also measured. RESULTS: The expression of CREB-IR and
pCREB-IR proteins was shown to increase for 2 wk after PSNL. The increase in
pCREB was partially reversed by the blockade of the cAMP pathway in the early 3
days, with a parallel increase in mechanical and thermal withdrawal thresholds.
CONCLUSION: These results revealed the possible contribution of an increase in
pCREB to the PSNL-induced tactile allodynia and thermal hyperalgesia. Modulation
of the cAMP pathway may be clinically relevant if early intervention can be
achieved in patients with chronic neuropathic pain.
PMID- 18042890
TI - The influence of p38 mitogen-activated protein kinase inhibitor on synthesis of
inflammatory cytokine tumor necrosis factor alpha in spinal cord of rats with
chronic constriction injury.
AB - BACKGROUND: Tumor necrosis factor alpha (TNF-alpha) could trigger p38 mitogen
activated protein kinase (MAPK) activation. Conversely phosphorylated p38 (p-p38)
could induce the upregulation of TNF-alpha. In this study, we examined the
hypothesis that chronic constrictive injury (CCI) of the sciatic nerve could
promote spinal cord release of TNF-alpha and produce allodynia via the p38 MAPK
pathway. METHODS: Sprague-Dawley rats were divided into five groups: 1) naive
control rats, 2) sham surgery rats, 3) CCI surgery rats without treatment, 4) CCI
surgery rats with saline (0.9%) treatment, and 5) CCI surgery rats with the p38
MAPK inhibitor SB203580 treatment. In treatment groups, saline or SB203580 (2
microg, twice a day) was given intrathecally starting 1 day before or 1 day or 7
days after CCI. All rats were killed at different times after surgery to examine
p38 MAPK activity and TNF-alpha levels in the spinal cord by Western blot
analysis or immunohistochemistry. Mechanical allodynia was tested by a series of
von Frey hairs 3, 7, and 14 days after surgery. RESULTS: p-p38 MAPK was
significantly increased at 3, 7, and 14 days after CCI surgery compared with time
matched shams (P < 0.05). Peripheral nerve injury induced mechanical allodynia
and enhanced spinal concentrations of TNF-alpha (P < 0.05). Pretreatment or early
treatment with SB203580 inhibited p38 MAPK activity, resulting in reduction of
TNF-alpha synthesis and attenuation of mechanical allodynia (P < 0.05).
CONCLUSION: p38 MAPK activation is one aspect of the signaling cascade that
culminates in TNF-alpha synthesis and contributes to mechanical allodynia after
peripheral nerve injury.
PMID- 18042891
TI - The use of intraoperative ultrasound by anesthesiologists to facilitate the
surgical management of peripheral nerve tumors of the upper extremity.
AB - BACKGROUND: Traditional uses of ultrasound by anesthesiologists include
transesophageal echocardiography, facilitation of vascular access, and guidance
of peripheral nerve blocks. METHODS: In this case series, we report a novel
application of ultrasound by anesthesiologists to facilitate the operative
dissection of upper extremity peripheral nerve tumors. RESULTS: In four cases,
ultrasound was used to intraoperatively locate the tumor, plan the safest
surgical approach, and exclude tumor vascularity. CONCLUSIONS: Ultrasound can be
used by anesthesiologists to facilitate the surgical management of peripheral
nerve tumors.
PMID- 18042892
TI - Thoracic paravertebral block for breast cancer surgery: a randomized double-blind
study.
AB - BACKGROUND: We examined in this randomized, double-blind study whether a
multilevel paravertebral block performed before general anesthesia with propofol
and a laryngeal mask enhances postoperative analgesia after breast cancer
surgery. METHODS: Eighty-eight patients were randomized to receive paravertebral
injections with either ropivacaine 0.5% (30 mL) or an equivalent amount of
isotonic saline. Nine patients were excluded after randomization, thus 79
patients remained for evaluation (ropivacaine, n = 38; placebo, n = 41).
Variables of efficacy were the amount of fentanyl delivered by the patient
controlled analgesia device in the postanesthesia care unit (PACU), postoperative
pain measured on a numeric rating scale at regular intervals from the day of
surgery and until the second postoperative day. RESULTS: The median consumption
of fentanyl in the PACU was less in the ropivacaine group compared with the
placebo group (0 microg [range: 0-250 microg] versus 100 microg [range: 0-800
microg], P = 0.001). Also, fewer patients in the ropivacaine group reported pain
> or =3 on the numbers rating scale in the PACU (13 vs 31, P < 0.0001). No
statistical difference in pain scores or consumption of analgesics could be
demonstrated after discharge from the PACU. CONCLUSIONS: A multilevel
paravertebral block provides good analgesia for breast surgery, but the duration
of analgesia is briefer than described in previous studies.
PMID- 18042893
TI - A randomized controlled trial of femoral nerve blockade administered
preclinically for pain relief in femoral trauma.
AB - BACKGROUND: Analgesia at the location of the accident and on transport for
femoral trauma is often delayed or insufficient. In this prospective, randomized,
controlled study, we evaluated the preclinical use of femoral nerve blockade for
reducing pain and anxiety compared with IV analgesia using metamizol. METHODS:
Patients with painful femoral trauma, such as fracture or severe contusion, were
randomized to receive at the site of the accident a femoral nerve blockade (n =
31) or IV analgesia with metamizol (n = 31). A visual analog scale (VAS) was used
to assess pain and anxiety. Variables were assessed at baseline, during transport
and upon arrival at the hospital. RESULTS: In patients receiving the femoral
nerve blockade, pain values decreased by half from VAS 86 +/- 6 mm at the site of
the accident to VAS 41 +/- 15 mm during transport. Anxiety decreased by half from
VAS 84 +/- 11 mm to VAS 39 +/- 14 mm. Heart rate decreased by 20 +/- 5 bpm. In
the metamizol group, pain, anxiety, and heart rate did not decrease (P < 0.001).
Time of treatment was 7.4 +/- 3.5 min longer in the femoral nerve blockade group.
CONCLUSION: Preclinically administered femoral nerve blockade effectively
decreases pain, anxiety, and heart rate after femoral trauma. Regional blockade
is an option for out-of-hospital analgesia administered by a trained physician.
PMID- 18042894
TI - The paramedian technique: a superior initial approach to continuous spinal
anesthesia in the elderly.
AB - BACKGROUND: Spinal anesthesia in elderly patients is frequently associated with
significant technical difficulties. Thus, we compared the classical midline
approach to the paramedian approach to perform continuous spinal anesthesia
(CSA). METHODS: We prospectively studied 40 patients aged >75 yr who underwent
open surgical repair of a hip fracture. These patients were randomly allocated to
one of two groups: Group M: midline approach, and Group PM: paramedian approach.
Patients were positioned in the lateral decubitus to receive CSA at L4-5 level.
CSA was considered successful if cerebrospinal fluid was obtained through the
needle. In case of initial failure in either approach, the same approach was
repeated by the same operator. If two attempts were unsuccessful, the other
anatomical approach was used by the same operator. If both approaches failed, a
staff anesthesiologist performed a final attempt. In case of failure or
insufficient block, the patient received general anesthesia. RESULTS: The success
rate after the first attempt was 85% (17) for Group PM and 45% (9) for Group M (P
= 0.02). All catheters were successfully introduced. No patient required general
anesthesia. Vascular puncture after needle puncture was observed in six patients
in Group M versus 0 in Group PM (P = 0.03), but none were of clinical
consequence. No other clinically significant complications were observed.
CONCLUSION: In summary, after the initial attempt, the paramedian approach is
associated with an increased success rate, compared with the midline approach,
during the performance of CSA in elderly patients.
PMID- 18042895
TI - Piezoelectric vibrating needle and catheter for enhancing ultrasound-guided
peripheral nerve blocks.
AB - Ultrasound imaging has been used for performing single-injection peripheral nerve
blocks and continuous catheters. One limitation with current technology is the
inability to confirm the location of the needle or catheter tip. We describe a
new needle and catheter design that permits distal tip visualization using color
flow Doppler. An 18-gauge 100-mm insulated Tuohy needle and a 20-gauge 50-mm
polyamide catheter (open tip) with a Teflon-coated steel stylet (B. Braun,
Bethlehem, PA) were customized by adhering in place two piezoelectric actuators.
These created 1-8 kHz vibrations when coupled to a function generator (FG502,
Tektronix, Richardson, TX) and a 100 W audio amplifier (R3000, KLH, Sun Valley,
CA). Mimicking a lateral popliteal fossa block, the needle and catheter were
inserted into the leg of an unembalmed cadaver. When activated, the tip of each
was highlighted in color when scanned in the short axis using the color Doppler
mode of a two-dimensional ultrasound and a 12 MHz L38 probe (MicroMaxx, Sonosite,
Bothell, WA). Vibration technology may be a useful adjunct while performing
ultrasound-guided regional anesthesia. Further study evaluating its usefulness
and safety in live tissue is warranted.
PMID- 18042896
TI - The importance of transparency in industry-sponsored multicenter clinical
studies.
PMID- 18042897
TI - Spinal anesthesia research: let's not be hasty.
PMID- 18042898
TI - When lack of addition really does add up.
PMID- 18042899
TI - Extended release epidural morphine, far from ideal for postcesarean delivery pain
control.
PMID- 18042900
TI - The role of opioids in pain management.
PMID- 18042901
TI - Consumer reports for anesthesia equipment: an idea whose time has come?
PMID- 18042902
TI - Tracheomalacia due to short term ventilation after total hip arthroplasty in an
adult with long standing goiter.
PMID- 18042903
TI - Internet drug access runs rampant.
PMID- 18042904
TI - Disruption of a laryngeal mask during removal.
PMID- 18042905
TI - Perioperative complications during use of an obstructive sleep apnea protocol
following surgery and anesthesia.
PMID- 18042906
TI - The ever-useful infrared analyzer.
PMID- 18042907
TI - The cover. Room in New York.
PMID- 18042908
TI - A piece of my mind. It's simple, really.
PMID- 18042909
TI - Scientists study "senescence" in cancer: therapies aim to hold cells in a
nondividing state.
PMID- 18042910
TI - Rapid diagnosis and treatment of TIAs help reduce recurrent stroke risk.
PMID- 18042911
TI - Congress queries need for and safety of high-containment research laboratories.
PMID- 18042912
TI - Diabetes and mortality risk after acute coronary syndromes.
PMID- 18042913
TI - Diabetes and mortality risk after acute coronary syndromes.
PMID- 18042914
TI - Risk of diabetes in patients with rheumatoid arthritis taking hydroxychloroquine.
PMID- 18042915
TI - High-trauma fractures and low bone mineral density in older women and men.
AB - CONTEXT: It is widely believed that fractures resulting from high trauma are not
osteoporotic; however, this assumption has not been studied prospectively.
OBJECTIVE: To examine the association between bone mineral density (BMD) and high
trauma fracture and between high-trauma fracture and subsequent fracture in older
women and men. DESIGN, SETTING, AND PARTICIPANTS: Two prospective US cohort
studies in community-dwelling adults 65 years or older from geographically
diverse sites. The Study of Osteoporotic Fractures followed up 8022 women for 9.1
years (1988-2006). The Osteoporotic Fractures in Men Study followed up 5995 men
for 5.1 years (2000-2007). MAIN OUTCOME MEASURES: Hip and spine BMD were assessed
by dual-energy x-ray absorptiometry. Incident nonspine fractures were confirmed
by radiographic report. Fractures were classified, without knowledge of BMD, as
high trauma (due to motor vehicle crashes and falls from greater than standing
height) or as low trauma (due to falls from standing height and less severe
trauma). RESULTS: Overall, 264 women and 94 men sustained an initial high-trauma
fracture and 3211 women and 346 men sustained an initial low-trauma fracture. For
women, each 1-SD reduction in total hip BMD was similarly associated with an
increased risk of high-trauma fracture (multivariate relative hazard [RH], 1.45;
95% confidence interval [CI], 1.23-1.72) and low-trauma fracture (RH, 1.49; 95%
CI, 1.42-1.57). Results were consistent in men (high-trauma fracture RH, 1.54;
95% CI, 1.20-1.96; low-trauma fracture RH, 1.69; 95% CI, 1.49-1.91). Risk of
subsequent fracture was 34% (95% CI, 7%-67%) greater among women with an initial
high-trauma fracture and 31% (95% CI, 20%-43%) greater among women with an
initial low-trauma fracture, compared with women having no high- or low-trauma
fracture, respectively. Risk of subsequent fracture was not modeled for men.
CONCLUSIONS: Similar to low-trauma nonspine fractures, high-trauma nonspine
fractures are associated with low BMD and increased risk of subsequent fracture
in older adults. High-trauma nonspine fractures should be included as outcomes in
osteoporosis trials and observational studies.
PMID- 18042916
TI - Factors associated with 5-year risk of hip fracture in postmenopausal women.
AB - CONTEXT: The 329,000 hip fractures that annually occur in the United States are
associated with high morbidity, mortality, and cost. Identification of those at
high risk is a step toward prevention. OBJECTIVE: To develop an algorithm to
predict the 5-year risk of hip fracture in postmenopausal women. DESIGN, SETTING,
AND PARTICIPANTS: A total of 93,676 women who participated in the observational
component of the Women's Health Initiative (WHI), a multiethnic longitudinal
study, were used to develop a predictive algorithm based on commonly available
clinical features. Selected factors that predicted hip fracture were then
validated by 68,132 women who participated in the clinical trial. The model was
tested in a subset of 10,750 women who had undergone dual-energy x-ray
absorptiometry (DXA) scans for bone mass density assessment. MAIN OUTCOME
MEASURE: The prediction of centrally adjudicated hip fracture, measured by the
area under the receiver operator characteristic (ROC) curves. RESULTS: During a
mean (SD) follow-up of 7.6 (1.7) years, 1132 hip fractures were identified among
women participating in the observational study (annualized rate, 0.16%), whereas
during a mean follow-up of 8.0 (1.7) years, 791 hip fractures occurred among
women participating in the clinical trial (annualized rate, 0.14%). Eleven
factors predicted hip fracture within 5 years: age, self-reported health, weight,
height, race/ethnicity, self-reported physical activity, history of fracture
after age 54 years, parental hip fracture, current smoking, current
corticosteroid use, and treated diabetes. Receiver operating characteristic
curves showed that the algorithm had an area under the curve of 80% (95%
confidence interval [CI], 0.77%-0.82%) when tested in the cohort of different
women who were in the clinical trial. A simplified point score was developed for
the probability of hip fracture. Receiver operating characteristic curves
comparing DXA-scan prediction based on a 10% subset of the cohort and the
algorithm among those who participated the clinical trial were similar, with an
area under the curve of 79% (95% CI, 73%-85%) vs 71% (95% CI, 66%-76%).
CONCLUSION: This algorithm, based on 11 clinical factors, may be useful to
predict the 5-year risk of hip fracture among postmenopausal women of various
ethnic backgrounds. Further studies are needed to assess the clinical implication
of the algorithm in general and specifically to identify treatment benefits.
PMID- 18042917
TI - Glucose-insulin-potassium therapy in patients with ST-segment elevation
myocardial infarction.
AB - CONTEXT: The clinical benefit of glucose-insulin-potassium (GIK) infusion in
patients with ST-segment elevation myocardial infarction (STEMI) is unclear.
While some smaller trials suggest benefit, in the CREATE-ECLA trial, GIK infusion
had no effect on 30-day mortality in 20,201 patients. OBJECTIVES: To determine
the association between GIK infusion therapy and 30-day and 6-month outcomes in
patients with STEMI. DESIGN, SETTING, AND PARTICIPANTS: Primary analysis of the
OASIS-6 GIK randomized controlled trial of 2748 patients with acute STEMI;
prespecified analyses of the combined trial data from the OASIS-6 GIK and CREATE
ECLA GIK trial populations of 22,943 patients with acute STEMI; subgroup analysis
on the timing of initiation of GIK infusion therapy and outcomes; and post hoc
analyses exploring whether GIK infusion may cause early harm by increasing
glucose and potassium levels and net fluid gain. INTERVENTION: High-dose GIK
solution consisting of 25% glucose, 50 U/L of regular insulin, and 80 mEq/L of
potassium infused at 1.5 mL/kg per hour for 24 hours. MAIN OUTCOME MEASURES:
Mortality rates at 30 days and 6 months in the OASIS-6 GIK trial and rates of
death, heart failure, and the composite of death or heart failure at 3 and 30
days in the combined OASIS-6 GIK and CREATE-ECLA GIK trial populations. RESULTS:
At 6 months, 148 (10.8%) GIK infusion patients and 143 (10.4%) control patients
died in the OASIS-6 trial (hazard ratio [HR], 1.04; 95% CI, 0.83-1.31; P = .72);
153 (11.1%) GIK patients and 185 (13.5%) control patients had heart failure (HR,
0.83; 95% CI, 0.67-1.02; P = .08); and 240 (17.5%) GIK patients and 264 (19.2%)
control patients had a composite of death or heart failure (HR, 0.91; 95% CI,
0.76-1.08; P = .27). In the prespecified analyses of the combined trial data,
there were 712 deaths (6.2%) in the GIK group and 632 deaths (5.5%) in the
control group at 3 days (HR, 1.13; 95% CI, 1.02-1.26; P = .03). This difference
disappeared by 30 days, with 1108 deaths (9.7%) in the GIK group and 1068 (9.3%)
in the control group (HR, 1.04; 95% CI, 0.96-1.13; P = .33). GIK therapy
increased levels of glucose, potassium, and net fluid gain postinfusion, all 3 of
which predicted death after adjusting for multiple confounders. Adjusting for
glucose, potassium, and net fluid gain eliminated the apparent increase in
mortality at 3 days observed with GIK infusion, suggesting a direct association
with these factors. Administration of GIK infusion within 4 hours of symptom
onset yielded no benefit compared with later initiation. CONCLUSIONS: Infusion of
GIK provided no benefit and may cause early harm following STEMI. Avoidance of
infusion-related hyperglycemia, hyperkalemia, and net fluid gain may be advisable
in future studies of metabolic modulation in patients with STEMI. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT00064428.
PMID- 18042918
TI - Dementia screening in primary care: is it time?
PMID- 18042919
TI - The reemerging HIV/AIDS epidemic in men who have sex with men.
PMID- 18042920
TI - Asymmetric paternalism to improve health behaviors.
PMID- 18042921
TI - High-trauma fractures and bone mineral density.
PMID- 18042923
TI - JAMA patient page. Hip fractures.
PMID- 18042924
TI - Unreported VA data may affect SEER research, cancer surveillance, and statistics
gathering.
PMID- 18042925
TI - New NSCLC staging raises treatment issues.
PMID- 18042926
TI - Trend toward noninferiority trials may mean more difficult interpretation of
trial results.
PMID- 18042927
TI - In Australia, patients and government at odds over mesothelioma treatment costs.
PMID- 18042928
TI - StatBite: Mesothelioma incidence among U.S. men.
PMID- 18042929
TI - 18Fluorodeoxyglucose positron emission tomography, a standard diagnostic tool in
lung cancer.
PMID- 18042930
TI - Targeting the tumor vasculature to improve the efficacy of oncolytic virus
therapy.
PMID- 18042931
TI - Lack of association of alcohol and tobacco with HPV16-associated head and neck
cancer.
AB - BACKGROUND: Human papillomavirus type 16 (HPV16) seropositivity and alcohol and
tobacco use have been associated with risk of head and neck squamous cell
carcinoma (HNSCC). However, it is less clear whether HPV16 influences HNSCC risk
associated with alcohol and tobacco use. METHODS: Incident cases of HNSCC
diagnosed between December 1999 and December 2003 were identified from nine
medical facilities in Greater Boston, MA. Control subjects were frequency matched
to case subjects on age, sex, and town of residence. A total of 485 case subjects
and 549 control subjects reported information on lifetime smoking and alcohol
consumption and provided sera, which was used to determine presence of HPV16
antibodies. Unconditional logistic regression was used to estimate odds ratios
(ORs) and 95% confidence intervals (CIs) of HNSCC risk by alcohol consumption
(drinks per week: < 3, 3 to < 8, 8 to < 25, > or = 25) and smoking (pack-years:
none, > 0 to < 20, 20 to < 45, > or = 45), adjusting for age, sex, race,
education, and HPV16 serology. Polytomous logistic regression was used to
estimate odds ratios and 95% confidence intervals for the association of HPV16
serology, alcohol consumption, and tobacco use in site-specific analyses. All
statistical tests were two-sided. RESULTS: The strongest risk factors by tumor
site were smoking for laryngeal cancer, alcohol for cancer of the oral cavity,
and HPV16 for pharyngeal cancer. For pharyngeal cancer, risk increased with
increasing alcohol consumption (OR(> or = 25 versus < 3 drinks per week) = 5.1,
95% CI = 2.4 to 11.0) and smoking (OR(> or = 45 pack-years versus never smoker) =
6.9, 95% CI = 3.1 to 15.1) among HPV16-seronegative subjects but not among HPV16
seropositive subjects (P(interaction, HPV16 serology and alcohol) = .002;
P(interaction, HPV16 serology and smoking) = .007). Among light drinkers or never
smokers, HPV16 seropositivity was associated with a 30-fold increased risk of
pharyngeal cancer. CONCLUSIONS: Alcohol or tobacco use does not further increase
risk of HPV16-associated pharyngeal cancer. HNSCC risk associated with smoking,
alcohol, and HPV16 differs by tumor site.
PMID- 18042932
TI - 18Fluorodeoxyglucose positron emission tomography in the diagnosis and staging of
lung cancer: a systematic review.
AB - Lung cancer is the leading cause of cancer-related death in industrialized
countries. The overall mortality rate for lung cancer is high, and early
diagnosis provides the best chance for survival. Diagnostic tests guide lung
cancer management decisions, and clinicians increasingly use diagnostic imaging
in an effort to improve the management of patients with lung cancer. This
systematic review, an expansion of a health technology assessment conducted in
2001 by the Institute for Clinical and Evaluative Sciences, evaluates the
accuracy and utility of 18fluorodeoxyglucose positron emission tomography (PET)
in the diagnosis and staging of lung cancer. Through a systematic search of the
literature, we identified relevant health technology assessments, randomized
trials, and meta-analyses published since the earlier review, including 12
evidence summary reports and 15 prospective studies of the diagnostic accuracy of
PET. PET appears to have high sensitivity and reasonable specificity for
differentiating benign from malignant lesions as small as 1 cm. PET appears
superior to computed tomography imaging for mediastinal staging in non-small cell
lung cancer (NSCLC). Randomized trials evaluating the utility of PET in
potentially resectable NSCLC report conflicting results in terms of the relative
reduction in the number of noncurative thoracotomies. PET has not been studied as
extensively in patients with small-cell lung cancer, but the available data show
that it has good accuracy in staging extensive- versus limited-stage disease.
Although the current evidence is conflicting, PET may improve results of early
stage lung cancer by identifying patients who have evidence of metastatic disease
that is beyond the scope of surgical resection and that is not evident by
standard preoperative staging procedures. Further trials are necessary to
establish the clinical utility of PET as part of the standard preoperative
assessment of early-stage lung cancer.
PMID- 18042933
TI - Association of diet-induced hyperinsulinemia with accelerated growth of prostate
cancer (LNCaP) xenografts.
AB - BACKGROUND: Prior research suggested that energy balance and fat intake influence
prostate cancer progression, but the influence of dietary carbohydrate on
prostate cancer progression has not been well characterized. We hypothesized that
hyperinsulinemia resulting from high intake of refined carbohydrates would lead
to more rapid growth of tumors in the murine LNCaP xenograft model of prostate
cancer. METHODS: Athymic mice were injected subcutaneously with LNCaP human
prostate cancer cells and, when tumors were palpable, were randomly assigned (n =
20 per group) to high carbohydrate-high fat or low carbohydrate-high fat diets.
Body weight and tumor volume were measured weekly. After 9 weeks, serum levels of
insulin and insulin-like growth factor 1 (IGF-1) were measured by enzyme
immunoassay. AKT activation and the levels of the insulin receptor in tumor cells
were determined by immunoblotting. The in vitro growth response of LNCaP cells to
serum from mice in the two treatment groups was measured based on tetrazolium
compound reduction. All statistical tests were two-sided. RESULTS: After 9 weeks
on the experimental diets, mice on the high carbohydrate-high fat diet were
heavier (mean body weight of mice on the high carbohydrate-high fat diet = 34 g
versus 29.1 g on the low carbohydrate-high fat diet, difference = 4.9 g, 95% CI =
3.8 to 6.0 g; P = .003), experienced increased tumor growth (mean tumor volume in
mice on high carbohydrate-high fat diet = 1695 versus 980 mm3 on low carbohydrate
high fat diet, difference = 715 mm3, 95% CI = 608 to 822 mm3; P<.001), and
experienced a statistically significant increase in serum insulin and IGF-1
levels. Tumors from mice on the high carbohydrate-high fat diet had higher levels
of activated AKT and modestly higher insulin receptor levels than tumors from
mice on the low carbohydrate-high fat diet. Serum from mice on the high
carbohydrate-high fat diet was more mitogenic for LNCaP cells in vitro than serum
from mice fed the low carbohydrate-high fat diet. CONCLUSION: A diet high in
refined carbohydrates is associated with increased tumor growth and with
activation of signaling pathways distal to the insulin receptor in a murine model
of prostate cancer.
PMID- 18042934
TI - Effect of tumor microenvironment modulation on the efficacy of oncolytic virus
therapy.
AB - BACKGROUND: The tumor microenvironment is being increasingly recognized as an
important determinant of tumor progression as well as of therapeutic response. We
investigated oncolytic virus (OV) therapy-induced changes in tumor blood vessels
and the impact of modulating tumor vasculature on the efficacy of oncolytic virus
therapy. METHODS: Rat glioma cells (D74/HveC) were implanted intracranially in
immune-competent rats. Seven days later, the rats (groups of 3-7 rats) were
treated with oncolytic virus (hrR3), and, 3 days later, brains were harvested for
evaluation. Some rats were treated with angiostatic cRGD peptide 4 days before
oncolytic virus treatment. Some rats were treated with cyclophosphamide (CPA), an
immunosuppressant, 2 days before oncolytic virus treatment. Changes in tumor
vascular perfusion were evaluated by magnetic resonance imaging of live rats and
by fluorescence microscopy of tumor sections from rats perfused with Texas red
conjugated lectin immediately before euthanasia. Leukocyte infiltration in tumors
was evaluated by anti-CD45 immunohistochemistry, and the presence of oncolytic
virus in tumors was evaluated by viral titration. Changes in cytokine gene
expression in tumors were measured by quantitative real-time polymerase chain
reaction-based microarrays. Survival was analyzed by the Kaplan-Meier method. All
statistical tests were two-sided. RESULTS: Oncolytic virus treatment of
experimental rat gliomas increased tumor vascular permeability, host leukocyte
infiltration into tumors, and intratumoral expression of inflammatory cytokine
genes, including interferon gamma (IFN-gamma). The increase in vascular
permeability was suppressed in rats pretreated with cyclophosphamide. Compared
with rats treated with hrR3 alone, rats pretreated with a single dose of cRGD
peptide before hrR3 treatment had reduced tumor vascular permeability, leukocyte
infiltration, and IFN-gamma protein levels (mean IFN-gamma level for hrR3 versus
hrR3 + cRGD = 203 versus 65.6 microg/mg, difference = 137 microg/mg, 95%
confidence interval = 72.7 to 202.9 microg/mg, P = .006); increased viral titers
in tumor tissue; and longer median survival (21 days versus 17 days, P<.001).
CONCLUSIONS: A single dose of angiostatic cRGD peptide treatment before oncolytic
virus treatment enhanced the antitumor efficacy of oncolytic virus.
PMID- 18042935
TI - Re: Breast cancer incidence, 1980-2006: combined roles of menopausal hormone
therapy, screening mammography, and estrogen receptor status.
PMID- 18042937
TI - Re: Declines in invasive breast cancer and use of postmenopausal hormone therapy
in a screening mammography population.
PMID- 18042936
TI - Projecting individualized absolute invasive breast cancer risk in African
American women.
AB - BACKGROUND: The Breast Cancer Risk Assessment Tool of the National Cancer
Institute (NCI) is widely used for counseling and determining eligibility for
breast cancer prevention trials, although its validity for projecting risk in
African American women is uncertain. We developed a model for projecting absolute
risk of invasive breast cancer in African American women and compared its
projections with those from the Breast Cancer Risk Assessment Tool. METHODS: Data
from 1607 African American women with invasive breast cancer and 1647 African
American control subjects in the Women's Contraceptive and Reproductive
Experiences (CARE) Study were used to compute relative and attributable risks
that were based on age at menarche, number of affected mother or sisters, and
number of previous benign biopsy examinations. Absolute risks were obtained by
combining this information with data on invasive breast cancer incidence in
African American women from the NCI's Surveillance, Epidemiology and End Results
Program and with national mortality data. Eligibility screening data from the
Study of Tamoxifen and Raloxifene (STAR) trial were used to determine how the new
model would affect eligibility, and independent data from the Women's Health
Initiative (WHI) were used to assess how well numbers of invasive breast cancers
predicted by the new model agreed with observed cancers. RESULTS: Tables and
graphs for estimating relative risks and projecting absolute invasive breast
cancer risk with confidence intervals were developed for African American women.
Relative risks for family history and number of biopsies and attributable risks
estimated from the CARE population were lower than those from the Breast Cancer
Risk Assessment Tool, as was the discriminatory accuracy (i.e., concordance).
Using eligibility screening data from the STAR trial, we estimated that 30.3% of
African American women would have had 5-year invasive breast cancer risks of at
least 1.66% by use of the CARE model, compared with only 14.5% by use of the
Breast Cancer Risk Assessment Tool. The numbers of cancers predicted by the CARE
model agreed well with observed numbers of cancers (i.e., it was well calibrated)
in data from the WHI, except that it underestimated risk in African American
women with breast biopsy examinations. CONCLUSIONS: The CARE model usually gave
higher risk estimates for African American women than the Breast Cancer Risk
Assessment Tool and is recommended for counseling African American women
regarding their risk of breast cancer.
PMID- 18042938
TI - Re: Declines in invasive breast cancer and use of postmenopausal hormone therapy
in a screening mammography population.
PMID- 18042940
TI - Regeneration in liver and pancreas: time to cut the umbilical cord?
AB - Organisms that are capable of robust tissue regeneration, including the urodele
amphibians, use mechanisms that recapitulate embryonic development to regrow
organs. Although mammals are not so adept at regeneration, several adult tissues
exhibit partial or complete regrowth after injury. An ability to influence growth
in mammalian tissues has become more imperative with the emergence of
"regenerative medicine" as a discipline. For this field to fulfill its promise of
providing functional tissues for clinical use, a more detailed picture will be
required of how adult human tissues maintain mass during normal homeostasis and
after injury. Studies of developing and regenerating liver and pancreas now
suggest that mammals use distinct programs to regulate tissue growth during
embryogenesis and adulthood.
PMID- 18042939
TI - Breast cancer risk among male BRCA1 and BRCA2 mutation carriers.
AB - Men who carry germline mutations in the BRCA2 gene have a higher risk of
developing breast carcinoma than men in the general population. Men who carry
germline mutations in the BRCA1 gene may also be at a higher risk for breast
carcinoma, but this association is not as well established. We evaluated the
risks of developing breast carcinoma for male BRCA1 and BRCA2 mutation carriers
in the US population based on data from 1939 families with 97 male subjects with
breast carcinoma that were collected from eight centers across the National
Cancer Institute's Cancer Genetics Network. At all ages, the cumulative risks of
male breast cancer were higher in both BRCA1 and BRCA2 mutation carriers than in
noncarriers. The relative risks of developing breast cancer were highest for men
in their 30s and 40s and decreased with increasing age. Both the relative and
cumulative risks were higher for BRCA2 mutation carriers than for BRCA1 mutation
carriers. The estimated cumulative risk of breast carcinoma for male BRCA1
mutation carriers at age 70 years was 1.2% (95% confidence interval [CI] = 0.22%
to 2.8%) and for BRCA2 mutation carriers, 6.8% (95% CI = 3.2% to 12%).
PMID- 18042941
TI - New insights into the mechanisms of SOS activation.
AB - The activation of the small guanosine triphosphatase Ras is critical for many
biological events. It is therefore not surprising that the ubiquitously expressed
Ras guanine nucleotide exchange factor (GEF) SOS (Son of Sevenless), which
couples protein tyrosine kinases to Ras activation, is under tight autoinhibitory
control. Several studies have revealed how multiple regulatory domains might
affect SOS activity. Most notably, a second Ras-binding site on SOS
allosterically regulates the duration and amplitude of Ras activation. This
allosteric Ras-GTP is produced by another GEF, Ras guanine nucleotide-releasing
protein 1 (RasGRP1). SOS and RasGRP1 are both activated downstream of
phospholipase D(2), and gain-of-function mutants of SOS contribute to inherited
diseases. These studies not only enable us to better appreciate the complexity of
the regulation of GEFs but also prompt us to reevaluate our current understanding
of pathways that lead to Ras activation.
PMID- 18042942
TI - Substrate-bound protein gradients for cell culture fabricated by microfluidic
networks and microcontact printing.
AB - Graded distributions of proteins are pivotal for many signaling processes during
development, such as morphogenesis, cell migration, and axon guidance. Here, we
describe a technique to fabricate substrate-bound stepwise protein gradients by
means of a microfluidic network etched into a silicon wafer with an array of
parallel 14-micrometer-wide channels, which can be filled with a series of
arbitrarily chosen protein solutions. In a subsequent microcontact printing step,
the protein pattern is transferred onto a surface and is used as a substrate for
cell culture. Cellular responses to a defined microscopic pattern of a protein,
such as guided axonal outgrowth and directed migration, cell polarization,
changes in morphology, and signaling, can be thus studied in a controlled in
vitro environment.
PMID- 18042943
TI - Hunger in our own backyard: the face of hunger in the United States.
PMID- 18042944
TI - Minding your Ps and Qs in specialized nutrition support.
PMID- 18042945
TI - Considerations of study design.
AB - Research projects attempt to answer specific questions. The particular study
design that is selected will depend in large measure on the nature of the
question and the time and resources available. There are 5 common categories of
clinical questions; they relate to etiology, prognosis, utility of diagnostic
tests, efficacy of proposed interventions, and cost of treatment in specific
disease states. A number of study designs can be used. Case reports serve to
memorialize unusual or novel aspects of diseases. Retrospective case series are
useful for defining natural history. Case-control studies are used by
epidemiologists to elucidate potential etiologies of diseases. Prospective cohort
studies can be used to assess natural history or to assess potential disease
etiologies. Controlled trials are designed to assess the efficacy of therapeutic
interventions. Studies that define the sensitivity and specificity of diagnostic
tests can be used to assess the utility of those tests. Economic analyses
estimate the costs that particular diseases or therapies will require. Each of
these study designs has limitations; with the exception of high-quality
randomized trials, none of these study designs can establish a causative
relationship between putative etiologic (or therapeutic) factors and disease
(outcomes).
PMID- 18042946
TI - Evidence-based medicine for nutrition support: an overview of the process.
AB - On a daily basis, clinicians make decisions regarding therapies to result in the
best outcome for their patients. These decisions should be based on the evidence
in the literature, indicating a therapy will cause the best outcome. To
facilitate this, many professional societies and scientific journals have
published technical and scientific reviews, as well as evidence-based standards
of care focused on many issues of nutrition support practice. This paper provides
an overview of how these reviews and standards of care are derived to promote
both the understanding of what they can and cannot do to enhance clinical
practice.
PMID- 18042947
TI - Outcomes research in specialized nutrition support.
AB - Outcomes research can play an important role in the development and evolution of
the specialty practice of nutrition support. This manuscript discusses the
current state and future prospect of outcomes research in specialized nutrition
support. It is concluded that there is an important role in the field for
research that focuses on "what works, and what does not" in nutrition support
practice.
PMID- 18042948
TI - Funding nutrition research: where's the money?
AB - While a great idea that can be developed into a viable hypothesis is central to
the development of a meritorious research proposal, without funding, the evidence
base supporting or reputing a hypothesis cannot be advanced. A wide variety of
funding sources exist for nutrition research, including governmental,
organizational, industrial, and intramural-based funding; however, understanding
the "language" of research funding can be challenging. This review provides an
overview of funding sources, guidelines for securing funding, and recommendations
to support a successful application for clinical nutrition research.
PMID- 18042949
TI - Understanding institutional review boards: practical guidance to the IRB review
process.
AB - The purpose of this paper is to provide practical guidance to assist
investigators in the preparation of materials and obtaining approval for research
projects that require oversight by an institutional review board (IRB). The
central requirements for IRB approval and core considerations of IRBs are
described. Specific suggestions for investigators regarding how to prepare their
IRB applications to anticipate and address potential IRB concerns and questions
are proposed. When researchers are familiar with these criteria and how they may
be interpreted by an IRB, they can avoid deferrals or lengthy requests for
protocol modifications or clarifications. General tips regarding the preparation
of IRB submission materials that may allow for a smoother IRB review process are
also discussed. A brief list of additional resources for investigators is
appended.
PMID- 18042950
TI - Biostatistics primer: part I.
AB - Biostatistics is the application of statistics to biologic data. The field of
statistics can be broken down into 2 fundamental parts: descriptive and
inferential. Descriptive statistics are commonly used to categorize, display, and
summarize data. Inferential statistics can be used to make predictions based on a
sample obtained from a population or some large body of information. It is these
inferences that are used to test specific research hypotheses. This 2-part review
will outline important features of descriptive and inferential statistics as they
apply to commonly conducted research studies in the biomedical literature. Part 1
in this issue will discuss fundamental topics of statistics and data analysis.
Additionally, some of the most commonly used statistical tests found in the
biomedical literature will be reviewed in Part 2 in the February 2008 issue.
PMID- 18042951
TI - Writing a scientific paper.
AB - Scientific papers are written to a rigid format, composed of 4 sections, which
correspond with the stages of the scientific method. This essay describes the
relationship of the standard sections of a paper to the stages of the scientific
method. Also for discussion will be the timing of the preparation of the paper
and the relationship between the experimental protocol and the eventual paper.
PMID- 18042952
TI - A "how-to" guide in preparing abstracts and poster presentations.
AB - The preparation of an abstract or poster to share information from a project or
case report with colleagues is a professional goal for many nutrition support
practitioners. This paper provides an approach to help practitioners prepare an
abstract for submission and subsequently a poster for presentation at a meeting.
A nutrition support question that required collecting and evaluating information,
or a unique patient case or case series, can serve as the focus of an abstract
and subsequent poster. The professional meeting selected should be appropriate
for the abstract topic, and the authors should closely adhere to the
organization's abstract submission guidelines. The well-prepared abstract will
then serve as the outline for the poster content; the visual aspect of the poster
is also important to effectively communicate the information to colleagues at the
meeting. Adequate time is required to prepare both the abstract and the poster in
order to fittingly reflect the value of the information. Efforts in preparing the
abstract will be worthwhile once the abstract has been accepted by reviewers for
a poster session at the meeting. Likewise, the effort in preparing the poster in
advance allows the presenter to enjoy the poster session and discuss the project
with colleagues.
PMID- 18042953
TI - The bits and bytes to advanced graduate degree programs.
AB - Lifelong learning may afford the dietetics professional more opportunities for
career advancement, a richer self-satisfaction, and a revived confidence
regarding career choices. The avenue to lifelong learning is a process unique to
every health professional because of individual differences in interest and work
arenas. Learning activities may take the form of continuing education conferences
or certificate programs, advanced or specialty training, or academic degree
programs. These programs may be in one's local community or may be accessed via
distance learning. This paper will focus on advanced-degree academic programs
using the distance education route. Characteristics of technologically savvy
programs and learners will be addressed.
PMID- 18042954
TI - Pediatric intestinal failure: nutrition, pharmacologic, and surgical approaches.
AB - Intestinal failure (IF) is a condition where there is insufficient functional
bowel to allow for adequate nutrient and fluid absorption to sustain adequate
growth in children. Several etiologies can predispose to IF, including
necrotizing enterocolitis, gastroschisis, and intestinal atresias. Intestinal
rehabilitation can be seen as a 3-pronged strategy merging nutrition,
pharmacologic, and surgical approaches to achieve the ultimate goal of enteral
nutrition. Nutrition approaches should seek to facilitate transition from
parenteral nutrition (PN) to enteral nutrition because prolonged use of PN is
associated with severe morbidity and mortality. Enteral nutrition, on the other
hand, promotes and enhances an adaptive response in the intestine. Medications
used in the treatment of IF may help alleviate symptoms of diarrhea, bacterial
overgrowth, and gastrointestinal dysmotility. Surgical procedures, such as
longitudinal intestinal lengthening and tapering (LILT) or serial transverse
enteroplasty (STEP), can increase mucosal surface area and may enhance intestinal
adaptation. IF is a difficult disease process with a complex patient population
and is best guided through this 3-pronged approach by a multidisciplinary team
featuring surgeons, gastroenterologists, dietitians, pharmacists, and nurses.
PMID- 18042955
TI - The role of an intravenous fat emulsion composed of fish oil in a parenteral
nutrition-dependent patient with hypertriglyceridemia.
AB - Hypertriglyceridemia is a common complication in patients receiving parenteral
nutrition (PN). Management typically involves withholding the IV fat emulsion
(IVFE) until serum triglyceride levels normalize. In some instances, this
practice may predispose patients to the development of essential fatty acid
deficiency (EFAD) unless alternative therapies such as oral or topical oils are
used. This is especially true in patients unable to tolerate enteral intake. We
describe the management of hypertriglyceridemia in a 12-year-old boy dependent on
PN who developed EFAD due to prolonged use of fat-free PN. His course was further
complicated by PN-associated liver disease. Treatment involved the use of an IVFE
derived from fish oils. Within 3 weeks, there was clinical improvement in EFAD
and hypertriglyceridemia. The patient's triene:tetraene ratio decreased from
0.207 to 0.044 (normal: 0.013-0.05). Similarly, his serum triglyceride levels
decreased from 628 mg/dL to 183 mg/dL (normal: <200 mg/dL). After 2 months of
treatment, he was successfully transitioned to enteral feedings; hepatic function
normalized, as did the essential fatty acid profile and serum triglycerides
levels. This suggests that using fish-oil-based IVFE may be an effective
alternative to conventional IVFE in PN-dependent patients whose clinical course
is complicated by hypertriglyceridemia.
PMID- 18042956
TI - Complications after bariatric surgery: survey evaluating impact on the practice
of specialized nutrition support.
AB - BACKGROUND: The rapid growth of obesity rates has affected the practice of
specialized nutrition support in various ways. One area that deserves special
consideration is the impact that bariatric surgery, in particular complications
resulting from bariatric surgery, has made on nutrition support practice. A
descriptive survey was designed to evaluate this impact and to assess the various
approaches to nutrition assessment and interventions in the postoperative
bariatric surgery patient. METHODS: A web-based survey consisting of 17 questions
was administered in April 2006 to American Society for Parenteral and Enteral
Nutrition (A.S.P.E.N.) members with available e-mail addresses. Participants were
queried about professional background, primary practice setting, and various
issues related to their involvement in the care of bariatric surgery patients.
RESULTS: There were 467 responses returned out of 3400 surveys delivered (14%
response rate). Sixty percent of responders estimated they were consulted to see
1-10 patients requiring specialized nutrition support over the previous year as a
result of complications of bariatric surgery. The most common indications for
specialized nutrition support in these patients were anastomotic leak/fistula
(49%) and chronic nausea/vomiting (27%). When estimating calorie goals, 62% used
an adjusted body weight, 15% used ideal body weight, and 14% used actual weight.
When estimating protein goals, 56% used an adjusted body weight, 29% used ideal
body weight, and 8% used actual weight. CONCLUSION: These observations provide
impetus for guideline development and highlight the priority for further research
regarding the best practices to ensure that postoperative bariatric surgery
patients receive safe and appropriate nutrition support.
PMID- 18042957
TI - Nonvitamin, nonmineral dietary supplementation in HIV-positive people.
AB - BACKGROUND: Many consumers with chronic diseases attempt to take control of their
health by using dietary supplements. The objective of this study was to describe
current nonvitamin, nonmineral (NVNM) supplement use of HIV-infected persons in
the Nutrition for Healthy Living (NFHL) cohort, the financial burden that buying
these supplements might pose to this population, and to review current literature
on potential interactions between NVNM supplements. METHODS: At baseline visit,
participants were educated by a registered dietitian on keeping a complete 3-day
food record (including all supplements) for 2 weekdays and 1 weekend day. Seventy
two subjects reported consumption of NVNM supplements, and their food records
were reviewed in detail. RESULTS: Each of the 72 subjects in this study used a
mean of 6 NVNM supplements, which may have been in the form of a pill, powder,
bar, or liquid. The 6 most common were glutamine (51%), N-acetyl-cysteine (36%),
fish oil (33%), alpha-lipoic acid (32%), acetyl-l-carnitine (28%), and coenzyme
Q10 (28%). Participants were also taking an average of 4 vitamin/mineral
supplements; the 6 most common were multivitamin/multimineral (83%), vitamin E
(51%), vitamin C (47%), vitamin B complex (43%), calcium (29%), and selenium
(28%). CONCLUSIONS: With a total of 107 different types of NVNM supplements, our
estimated cost examples indicated a weekly supplement regimen cost of between $25
and $40 dollars. According to literature review, taking an NVNM supplement may
involve some risk because many components have not been studied and these
products are not tightly regulated.
PMID- 18042958
TI - Nutrition support for head and neck cancer patients.
PMID- 18042960
TI - Using physical barriers to reduce the spread of respiratory viruses.
PMID- 18042961
TI - Physical interventions to interrupt or reduce the spread of respiratory viruses:
systematic review.
AB - OBJECTIVE: To systematically review evidence for the effectiveness of physical
interventions to interrupt or reduce the spread of respiratory viruses. DATA
EXTRACTION: Search strategy of the Cochrane Library, Medline, OldMedline, Embase,
and CINAHL, without language restriction, for any intervention to prevent
transmission of respiratory viruses (isolation, quarantine, social distancing,
barriers, personal protection, and hygiene). Study designs were randomised
trials, cohort studies, case-control studies, and controlled before and after
studies. DATA SYNTHESIS: Of 2300 titles scanned 138 full papers were retrieved,
including 49 papers of 51 studies. Study quality was poor for the three
randomised controlled trials and most of the cluster randomised controlled
trials; the observational studies were of mixed quality. Heterogeneity precluded
meta-analysis of most data except that from six case-control studies. The highest
quality cluster randomised trials suggest that the spread of respiratory viruses
into the community can be prevented by intervening with hygienic measures aimed
at younger children. Meta-analysis of six case-control studies suggests that
physical measures are highly effective in preventing the spread of SARS:
handwashing more than 10 times daily (odds ratio 0.45, 95% confidence interval
0.36 to 0.57; number needed to treat=4, 95% confidence interval 3.65 to 5.52);
wearing masks (0.32, 0.25 to 0.40; NNT=6, 4.54 to 8.03); wearing N95 masks (0.09,
0.03 to 0.30; NNT=3, 2.37 to 4.06); wearing gloves (0.43, 0.29 to 0.65; NNT=5,
4.15 to 15.41); wearing gowns (0.23, 0.14 to 0.37; NNT=5, 3.37 to 7.12); and
handwashing, masks, gloves, and gowns combined (0.09, 0.02 to 0.35; NNT=3, 2.66
to 4.97). The incremental effect of adding virucidals or antiseptics to normal
handwashing to decrease the spread of respiratory disease remains uncertain. The
lack of proper evaluation of global measures such as screening at entry ports and
social distancing prevent firm conclusions being drawn. CONCLUSION: Routine long
term implementation of some physical measures to interrupt or reduce the spread
of respiratory viruses might be difficult but many simple and low cost
interventions could be useful in reducing the spread.
PMID- 18042962
TI - Dying in a nursing home: the role of local bed supply in nursing home discharges.
AB - OBJECTIVE: The relationship of nursing home (NH) discharges due to death to NH
bed supply and hospital bed supply was examined. METHOD: Data on discharges came
from the 1999 National Nursing Home Survey (N = 6,335). County-level bed supply,
controls for hospice agency supply, and a nursing facility's percentage of area
NH beds came from the Area Resource File. Multinomial logistic regression was
used to compare deaths with live discharges. Marginal effects were calculated.
RESULTS: Discharges due to death increased with increasing NH bed supply and
decreased in areas with greater hospital bed supply, areas where hospitalizations
were more likely. Hospice supply and a facility's share of area NH beds also
affected the probability of discharges due to death. DISCUSSION: Supply factors
appear related to discharge decisions in a manner affecting the probability of
discharges due to death, although the magnitude of the relationship may be less
than expected.
PMID- 18042963
TI - Effect of chair design on feed-forward postural control in sitting.
AB - The objective of this study was to determine if a forward-tilted seat and the
resultant semi-kneeling body position associated with sitting on the Balans Multi
Chair (BMC) affect postural control in sitting. Nine healthy subjects were seated
on either the BMC or a regular (REG) chair with their arms extended. They were
instructed to induce self-initiated body perturbations in four different
directions by exerting brief pulses of force against a stationary frame
positioned in front of them. Electromyographic (EMG) activities of trunk and leg
muscles were recorded before and during the perturbations. The results show that
sitting on both types of chairs was associated with anticipatory activation of
trunk and upper leg muscles. In contrast, anticipatory activation of distal
muscles was observed while sitting only on the REG chair and was absent while
sitting on the BMC. The outcome of the study suggests that although the forward
tilting seat and semi-kneeling body position might help in preserving a normal
lordosis, it is not associated with anticipatory activation of lower leg muscles,
which might reduce the ability of an individual to counteract self-initiated body
perturbations. These findings stress the important role of chair designs in the
control of sitting posture.
PMID- 18042964
TI - Bipedal locomotion of bonnet macaques after spinal cord injury.
AB - Experimental studies concerning the analysis of locomotor behavior in spinal cord
injury research are widely performed in rodent models. The purpose of this study
was to quantitatively evaluate the degree of functional recovery in reflex
components and bipedal locomotor behavior of bonnet macaques (Macaca radiata)
after spinal contusive injury. Six monkeys were tested for various reflex
components (grasping, righting, hopping, extension withdrawal) and were trained
preoperatively to walk in bipedal fashion on the simple and complex locomotor
runways (narrow beam, grid, inclined plane, treadmill) of this investigation. The
overall performance of the animals'motor behavior and the functional status of
limb movements during bipedal locomotion were graded by the Combined Behavioral
Score (CBS) system. Using the simple Allen weight-drop technique, a contusive
injury was produced by dropping a 13-g weight from a height of 30 cm to the
exposed spinal cord at the T12-L1 vertebral level of the trained monkeys. All the
monkeys showed significant impairments in every reflex activity and in walking
behavior during the early part of the postoperative period. In subsequent
periods, the animals displayed mild alterations in certain reflex responses, such
as grasping, extension withdrawal, and placing reflexes, which persisted through
a 1-year follow-up. The contused animals traversed locomotor runways--narrow
beam, incline plane, and grid runways--with more steps and few errors, as
evaluated with the CBS system. Eventually, the behavioral performance of all
spinal-contused monkeys recovered to near-preoperative level by the fifth
postoperative month. The findings of this study reveal the recovery time course
of various reflex components and bipedal locomotor behavior of spinal-contused
macaques on runways for a postoperative period of up to 1 year. Our spinal cord
research in primates is advantageous in understanding the characteristics of hind
limb functions only, which possibly mimic the human motor behavior. This study
may be also useful in detecting the beneficial effect of various donor tissue
neuroprotective drugs on the repair of impaired functions in a bipedal primate
model of spinal injury.
PMID- 18042965
TI - Synergies in intra- and interpersonal interlimb rhythmic coordination.
AB - The authors conducted two experiments that served as a test bed for applying the
recently developed uncontrolled manifold (UCM) approach to rhythmic motor
coordination, which has been extensively investigated from a coordination
dynamics perspective. The results of two experiments, one investigating within
person and one investigating between-persons rhythmic movement coordination,
identified synergistic behaviors in both of those types of coordination. Stronger
synergies were identified for in-phase than antiphase coordination, at the
endpoints of the movement cycles compared with the midpoints, for movement
frequencies closer to the intrinsic frequency of the coordinated limbs, and for
within-person coordination. Frequency detuning did not weaken the strength of
interlimb rhythmic coordination synergies. The results suggest the synergistic
behavior captured by the UCM analysis may be identifiable with the strength of
coupling between the coordinated limbs. The UCM analysis appears to distinguish
coordination parameters that affect coupling strength from parameters that weaken
coordination attractors.
PMID- 18042966
TI - Cognitive models of apraxia and motor control: linking theories and methods
across two complementary research domains.
AB - Apraxia is a complex movement disorder that frequently occurs following left
hemisphere stroke. Studies on patients with apraxia constitute an especially
interesting body of literature for motor control researchers who seek to
understand the cognitive mechanisms involved in the voluntary control of
movement. Reciprocally, among apraxia researchers, great interest exists
concerning the ways in which methods and theory from the field of motor control
can be brought to bear in the clinical and empirical evaluation of this disorder.
Here we will review representative evidence on the etiology, frequency, and
assessment of apraxia, and suggest how research methods and theories from the
field of motor control can be applied to, and also benefit from, a deeper
understanding of apraxia. Parallels are proposed between the major cognitive
models of apraxia and motor control to facilitate translation of terminology and
concepts, and to enrich the emerging dialogue between these two complementary
research domains.
PMID- 18042967
TI - A systematic ecological model for adapting physical activities: theoretical
foundations and practical examples.
AB - This article proposes a theory- and practice-based model for adapting physical
activities. The ecological frame of reference includes Dynamic and Action System
Theory, World Health Organization International Classification of Function and
Disability, and Adaptation Theory. A systematic model is presented addressing (a)
the task objective, (b) task criteria, (c) limitation and enablement criteria,
(d) performance errors, and (e) adaptation suggestions. Four individual case
examples are described, referring to the conceptual model and depicting its use
in various settings of physical activity, including physical education,
rehabilitation, competition, and recreation.
PMID- 18042968
TI - A test of self-determination theory with wheelchair basketball players with and
without disability.
AB - Guided by Self-Determination Theory (SDT), the present study examined the sport
motivation and coping skills of male and female wheelchair basketball players
with and without disability (N = 72). In line with SDT, results showed that
intrinsic and extrinsic motivation as well as amotivation was found to be present
in this sample of wheelchair basketball players. Results also demonstrated that
the participants surveyed in the present study scored higher on self-determined
types of motivation than non self-determined types of motivation, thus
replicating past research with athletes without disability. Furthermore,
wheelchair basketball players with and without disability did not differ
significantly with respect to sport motivation and coping skills, suggesting that
they are more alike than dissimilar. Finally, results revealed that self
determined motivation is associated with enhanced psychological functioning.
PMID- 18042969
TI - Shot trajectory parameters in gold medal stationary shot-putters during world
class competition.
AB - The parameters of the shot's trajectory were reported for male and female gold
medalists (classes F52, F53, F54, and F55) who competed at the 2000 Paralympic
Games and the 2002 International Paralympic Committee (IPC) World Championships.
The specific objective was to determine the magnitude of differences in these
parameters across classes and genders. The release velocity of the shot increased
with the performance and the classification for both males (8.30 m/s - 9.96 m/s)
and females (4.58 m/s - 8.50 m/s). The measured angle of the shot's trajectory at
release also increased with the performance and the classification for both males
(27.54 degrees - 32.47 degrees) and females (9.02 degrees - 34.52 degrees). The
position of the shot from a fixed reference point at release revealed a similar
trend for both males (2.01 m - 2.68 m) and females (1.16 m - 1.98 m), although it
was weaker.
PMID- 18042970
TI - Kinesthetic ability in children with spastic hemiplegia.
AB - The purpose was to examine the differences in kinesthetic ability, at the elbow
joint, between children with (n = 15) and without (n= 15) spastic hemiplegia. The
Kin Com 125 AP isokinetic dynamometer Configuration Chattanooga was used. Results
revealed significant (p < .05) interaction between participant groups and side
which was a repeated measures factor (nonaffected side for CP group and dominant
side for nonCP group vs. affected side for CP and nondominant side for nonCP
group) with respect to the passive reproduction of movement (PRM) and detection
of passive movement (DPM). The interaction was attributed to the kinesthetic
deficits of the hemiplegic participants compared to the control group. A
significant relationship was found between the level of spasticity and PRM
scores.
PMID- 18042971
TI - Older adults demonstrate reduced performance in a Fitts' task involving cervical
spine movement.
AB - A discrete aiming head movement task was developed to replicate Fitts'movement
paradigm. Movement time (MT) differences between young (age range 24-29 years, n
= 8) and old adults (age range 75-85 years, n = 8) were examined. Cervical spine
(CS) range of motion (ROM) was recorded.A head mounted motion capture device was
used to evaluate task performance. Three amplitudes and three target widths
generated nine indexes of difficulty (IDs). Global ROM was decreased in old
adults. The ID and MT relationship was maintained with age; however, old adults
were slower, more variable, and more affected by ID. Variations in target size
were used as the accuracy variable for both groups. As target size increased, the
old population overshot their endpoint. These data support the hypothesis that,
besides musculoskeletal slowing with age, there may be age-related deterioration
of central processing, planning, or perception mechanisms.
PMID- 18042972
TI - Adipogenesis in nonadherent and adherent bone marrow stem cells grown in fibrin
gel and in the presence of adult plasma.
AB - Bone marrow-derived mesenchymal stem cells (i.e., adherent cells) are known to
differentiate into fat tissue in the presence of adipogenic supplements in
cultures. Induction of adipogenesis has not been investigated within the
nonadherent cell fraction that includes predominantly hematopoietic cells. In the
present study, murine nonadherent bone marrow-derived stem cells (96% CD45+
cells) were seeded and then grown in fibrin gel to form cell clusters in which
most cells were positive to DiI-acetylated low-density lipoprotein uptake.
Amongst different culture media supplemented either in fetal bovine serum, horse
serum, murine plasma, human plasma or adipogenic supplements, a subpopulation of
nonadherent stem cells within clusters differentiated into adipocytes,
specifically in the presence of adult syngeneic plasma. This was confirmed by the
observation and quantification of oil red O-positive cells, the measurement of
glycerol-3-phosphate dehydrogenase activity and peroxisome proliferator-activated
receptor-gamma mRNA expression. Similarly, adipogenesis was also observed in the
presence of murine plasma with adherent mesenchymal stem cells and 3T3-L1
preadipocytes which were grown either in monolayer plastic cultures or in fibrin
gel. Thus, it is possible that nonadherent cells, once in a 3-dimensional
environment, can further differentiate towards adipogenesis.
PMID- 18042973
TI - Diversity of fibroblasts--a review on implications for skin tissue engineering.
AB - Enormous advances in the development of skin substitutes have occurred in the
past 3 decades. Major obstacles yet to be overcome in the quest for an optimal
skin substitute include controlling scar formation, contraction and the loss of
adnexal structures. Mesenchyme-derived signals are essential for epithelial
proliferation, skin morphogenesis, homeostasis and differentiation. Having
previously shown that fibroblasts differentiate along a lineage from highly
proliferative progenitor fibroblasts with characteristic spindle-shaped
appearance to differentiated postmitotic polygonal fibrocytes, we have now
established that the different subsets of fibroblasts exert significantly
different patterns of cytokine release and that the highest levels of
keratinocyte growth factor and transforming growth factor-beta1 expression result
from differentiated fibroblasts. Coculture studies with keratinocytes reveal that
postmitotic fibroblasts stimulate keratinocyte proliferation to a greater extent
than progenitor fibroblasts. Acellular and fibroblast-seeded dermal substitutes
have been shown to improve scarring and contraction in animal studies, the latter
substitutes yielding the most favorable results. Fibroblasts from different body
sites display different functional properties which may affect their suitability
for dermal substitutes. Future in vivo human studies in tissue-engineered dermal
substitutes will likely focus on fibroblast-seeded lattices and the impact of
fibroblast subpopulations and bone marrow-derived mesenchymal stem cells on
dermal regeneration.
PMID- 18042974
TI - Mouth breathing in obstructive sleep apnea prior to and during nasal continuous
positive airway pressure.
AB - BACKGROUND: Patients with obstructive sleep apnea syndrome (OSAS) often complain
of dryness of mouth and throat prior to and during nasal continuous positive
airway pressure (nCPAP). It is believed that this is due to mouth breathing (MB).
However, the association between mouth breathing and apneas/hypopneas and the
effect of CPAP on MB has not been studied. OBJECTIVES: The purpose of the present
study was, therefore, to assess the frequency and duration of episodes of MB
prior to and during treatment with nCPAP. METHODS: MB was recorded prior to and
during nCPAP with a closely fitting mouth mask connected to a pneumotachograph
and nasal flow was measured via nasal prongs. MB episodes were expressed as the
number of events divided by total sleep time x 60, to give the MB event index per
hour of sleep. MB time divided by total sleep time x 60 was calculated in minutes
to get the MB time index per hour of sleep. PATIENTS: Eleven male patients with
OSAS (mean age 57.9 +/- 8.3 years, body mass index 30.2 +/- 3.8) were recruited
to the study. RESULTS: Prior to nCPAP, the apnea/hypopnea index was 55.8 +/- 26
and decreased during nCPAP to 8.0 +/- 3.4. The lowest SaO2 measured was 82.9 +/-
4.7%, and increased to 87.5 +/- 2.7% under nCPAP. The mean nCPAP was 7.8 +/- 1.6
cm H2O. MB event index per hour of sleep decreased from 35.2 +/- 19.7 prior to
treatment to 5.0 +/- 5.2 under nCPAP (p < 0.01). In 52.2 +/- 27.4% of obstructive
respiratory events, MB started at the end of an apnea/hypopnea episode,
decreasing to 8.5 +/- 12.5% with nCPAP treatment. MB time index per hour of sleep
was reduced from 13.5 +/- 10.2 min prior to treatment to 4.6 +/- 5.5 min under
nCPAP (p < 0.05). CONCLUSIONS: In OSAS patients, MB episodes often appear at the
termination of an apnea/hypopnea episode. In many cases, MB episodes can be
markedly reduced by nCPAP treatment. When patients on nCPAP complain of dry
mouth, appropriate measurements should be performed to verify MB.
PMID- 18042975
TI - Efficacy of salbutamol and ipratropium bromide in the management of acute
bronchiolitis--a clinical trial.
AB - BACKGROUND: A wide range of drugs are commonly used to treat bronchiolitis, but
evidence of their effectiveness is limited. OBJECTIVES: To investigate the
efficacy of ipratropium bromide and salbutamol in the treatment of patients with
moderate-severe bronchiolitis. METHODS: Sixty-nine infants with moderate-severe
bronchiolitis hospitalized at their first episode of wheezing or crepitations in
the chest were enrolled in a prospective, double-blind, placebo-controlled trial.
Patients were randomly assigned to receive nebulized salbutamol, ipratropium
bromide or placebo. Main outcome measures were changes in oxygen saturation rates
and clinical scores and duration of hospitalization. RESULTS: In the
bronchodilator groups, clinical scores were better compared to the placebo group
at 30 min (8.4 +/- 1.3 vs. 7.5 +/- 0.8, p < 0.05). Bronchodilator groups had also
significantly lower clinical scores (7.3 +/- 1.2 vs. 5.9 +/- 1.1, p < 0.0001, and
5.3 +/- 1.4 vs. 4.5 +/- 1.6, p = 0.006, respectively) and higher oxygen
saturation rates compared to the placebo group at 8 and 24 h (89.6 +/- 2.4 vs.
94.3 +/- 4.4, and 92.2 +/- 2.6 vs. 95.9 +/-4.4, respectively, p < 0.0001).
Improvement rates and duration of hospitalization were not statistically
different among groups. CONCLUSIONS: Clinical scores and oxygen saturation levels
improved more rapidly in the bronchodilator groups than in the placebo group up
to 24 h, but these drugs did not have a sufficient effect to change the natural
course of the disease.
PMID- 18042976
TI - Chest physiotherapy in cystic fibrosis: short-term effects of autogenic drainage
preceded by wet inhalation of saline versus autogenic drainage preceded by
intrapulmonary percussive ventilation with saline.
AB - BACKGROUND: Chest physiotherapy has been used for many years to assist in the
removal of abnormal viscid bronchial secretions in cystic fibrosis (CF) patients.
OBJECTIVES: This study compared the short-term effects of two physiotherapy
regimens in patients with CF: autogenic drainage (AD) preceded by either saline
inhalation ('saline(NEB) + AD') or by intrapulmonary percussive ventilation (IPV)
with saline ('saline(IPV) + AD'). METHODS: In a randomized crossover design, 20
clinically stable CF patients with similar pulmonary function at baseline
received either 'saline(NEB) + AD' or 'saline(IPV) + AD' on 2 consecutive days.
Transcutaneous oxygen saturation, heart rate, Borg dyspnea score and mucus wet
weight were evaluated after 15 min of either saline(NEB) or saline(IPV), and
after a subsequent 30 min of AD. RESULTS: There were no significant changes in
oxygen saturation, heart rate or Borg score at any point of either physiotherapy
intervention. There was no significant difference in sputum wet weight recovered
with either saline(NEB) (2.2 +/- 1.8 g, mean +/- SD) or saline(IPV) (1.7 +/- 1.9
g) alone. Subsequent AD did produce significantly greater amounts of sputum wet
weight (p < 0.0001 for both) than in the initial saline delivery period, yet the
amount of wet weight was similar irrespective of whether AD was preceded by
saline(NEB) (9.7 +/- 6.5 g) or saline(IPV) (11.6 +/- 7.3 g). CONCLUSIONS:
Recovered sputum weight is similar whether AD is preceded by saline(NEB) or
saline(IPV). The much greater amount of mucus obtained during the AD period than
during the saline delivery period warrants further investigation.
PMID- 18042978
TI - Chronic obstructive pulmonary disease: a chronic systemic inflammatory disease.
AB - Chronic obstructive pulmonary disease (COPD) is characterized by chronic
inflammation in both the airways causing airway obstruction and the lung tissues
causing emphysema. The disease is induced by inhalation of noxious gasses and
particulate matter resulting in a chronic persistent inflammatory response in the
lung, and the extent of the inflammatory reaction correlates with the severity of
the disease. This chronic inflammatory response in the lung is also associated
with a significant systemic inflammatory response with downstream adverse
clinical health effects. The systemic response in COPD is associated with
mortality, specifically cardiovascular mortality. This review describes the
nature of the systemic inflammatory response in COPD and the clinical
manifestations associated with the systemic response, with a focus on the
potential mechanisms for these adverse health effects.
PMID- 18042977
TI - Variability of exhaled breath condensate pH in lung transplant recipients.
AB - BACKGROUND: Measurement of pH in exhaled breath condensate (EBC) may represent a
novel method for investigating airway pathology. OBJECTIVES: The aim of this
longitudinal study was to assess the variability of EBC pH in stable lung
transplant recipients (LTR). METHODS: During routine clinical visits 74 EBC pH
measurements were performed in 17 LTR. EBC pH was also measured in 19 healthy
volunteers on four separate occasions. EBC pH was determined at standard CO2
partial pressure by a blood gas analyzer. RESULTS: Mean EBC pH in clinically
stable LTR and in controls was similar (6.38 +/- 0.09 vs. 6.44 +/- 0.16; p =
nonsignificant). Coefficient of variation for pH in LTR and controls was 2.1 and
2.3%, respectively. The limits of agreement for between-visit variability
determined by the Bland-Altman test in LTR and healthy volunteers were also
comparable (-0.29 and 0.46 vs. -0.53 and 0.44). CONCLUSIONS: Our data suggest
that the variability of EBC pH in stable LTR is relatively small, and it is
similar to that in healthy nontransplant subjects.
PMID- 18042979
TI - Progressive stroke involving bilateral medial medulla expanding to spinal cord
due to vertebral artery dissection.
PMID- 18042980
TI - Spontaneous carotid artery dissection in a patient with Turner syndrome.
PMID- 18042981
TI - USPIO-enhanced MRI of neuroinflammation at the sub-acute stage of ischemic
stroke: preliminary data.
PMID- 18042982
TI - The relationship between socioeconomic deprivation, atypical respiratory
infections and survival outcome in elderly stroke and medical patients.
PMID- 18042983
TI - Thrombolysis for acute stroke: what about the actual impact on patients older
than 80 years?
PMID- 18042984
TI - Effect of fluoride compounds on enamel erosion in vitro: a comparison of amine,
sodium and stannous fluoride.
AB - The aim of the study was to evaluate the relevance of cations in different
fluoride compounds for their effectiveness as anti-erosive agents. Human enamel
samples underwent a de- and re-mineralisation procedure for 10 days. Erosive
demineralisation was performed with 0.05 M citric acid (pH 2.3) 6 x 2 min daily
followed by immersion in the test solution 6 x 2 min each. Test solutions were:
SnCl2 (815 ppm Sn; pH 2.6), NaF (250 ppm F; pH 3.5), SnF2 (250 ppm F, 809 ppm Sn;
pH 3.5), amine fluoride (AmF, 250 ppm F; pH 3.5), AmF/NaF (250 ppm F; pH 4.3),
and AmF/SnF2 (250 ppm F, 390 ppm Sn; pH 4.2). In the control group no
fluoridation was performed. Mineral content was monitored by longitudinal
microradiography. Finally, scanning electron microscopy was performed. The
highest erosive mineral loss was found in the control group (48.0 +/- 17.1
microm). Mineral loss was nearly completely inhibited by AmF/SnF2 (5.7 +/- 25.1
microm; p < or = 0.001) and SnF2 (-3.8 +/- 14.4 microm; p < or = 0.001)
treatments. Groups treated with SnCl2 (17.6 +/- 19.5 microm; p < or = 0.001) and
NaF (13.2 +/- 21.7 microm; p < or = 0.001) showed a decrease in erosive mineral
loss, AmF (41.6 +/- 16.0 microm) and AmF/NaF (27.7 +/- 28.4 microm) had no
significant effect on erosion progression. The results indicate considerable
differences between the fluoride compounds tested. Treatment with solutions
containing SnF2 was most effective.
PMID- 18042985
TI - Dental findings in diabetic adults.
AB - The dental status of dentate diabetic adults (n = 299) and its associations with
diabetes-related factors was explored in Tehran, Iran. Presence of diabetes
related complications made no difference in mean values of DMFT, but was
associated with a higher number of decayed and missing teeth, and fewer filled
teeth. Higher level of HbA1c was associated with higher DMFT for men, but not for
women. In conclusion, the results suggest a possible association between the
level of metabolic control of diabetes mellitus and cumulative caries experience.
PMID- 18042986
TI - Effects of amine fluoride on biofilm growth and salivary pellicles.
AB - The amine fluoride (AmF) N'-octadecyl-trimethylene-diamine-N,N,N'-tris(2-ethanol)
dihydro-fluoride is a cationic antimicrobial which can have beneficial effects on
plaque formation. Here, we determine changes in pellicle and bacterial cell
surface properties of the strains Actinomyces naeslundii HM1, Streptococcus
mutans NS, S.mutans ATCC 700610, S. sobrinus HG1025 and S. oralis HM1 upon
adsorption of this AmF and accompanying effects on bacterial adhesion and biofilm
growth. In vitro pellicles had a zeta potential of -12 mV that became less
negative upon adsorption of AmF. The chemical functionalities in which carbon and
oxygen were involved changed after AmF adsorption and AmF-treated pellicles had a
greater surface roughness than untreated pellicles. Water contact angles in vitro
decreased from 56 to 45 degrees upon AmF treatment, which corresponded with water
contact angles (44 degrees ) measured intraorally on the front incisors of
volunteers immediately after using an AmF-containing toothpaste. All bacterial
strains were negatively charged and their isoelectric points (IEP) increased upon
AmF adsorption. Minimal inhibitory concentrations were smallest for strains
exhibiting the largest increase in IEP. Adhesion to salivary pellicles and
biofilm growth of the mutans streptococcal strains were significantly reduced
after AmF treatment, but not of A. naeslundii or S. oralis. However, regardless
of the strain involved, biofilm viability decreased significantly after AmF
treatment. The electrostatic interaction between cationic AmF and negatively
charged bacterial cell surfaces is pivotal in establishing reduced biofilm
formation by AmF through a combination of effects on initial adhesion and
killing. The major effect of AmF treatment, however, was a reduction brought
about in biofilm viability.
PMID- 18042987
TI - Low-fluoride dentifrice and caries lesion control in children with different
caries experience: a randomized clinical trial.
AB - Since there is no consensus on the anticaries effectiveness of low-fluoride (F)
dentifrice, this randomized clinical trial evaluated its effect in children at
different caries activity status. One hundred and twenty 2- to 4-year-old
children, half with and half without active caries lesions, were randomly divided
into 2 groups which used 500- or 1,100-microg F/g (NaF) dentifrices during 1
year. Caries progression or regression were evaluated as the number of lesions
becoming active/cavities or inactive, respectively. The anticaries effect of the
low-F dentifrice was similar to the conventional F dentifrice when used by caries
inactive children. However, in children with active caries lesions the low-F
dentifrice was less effective than the 1,100-microg F/g dentifrice in controlling
the progression of lesions. The data suggest that the child caries activity may
be taken into account to recommend a low-F dentifrice.
PMID- 18042989
TI - Oral hygiene and parent-related factors during early childhood in relation to
approximal caries at 15 years of age.
AB - The aim was to investigate whether oral hygiene habits and parent-related
factors, recorded in early childhood, have a predictive value in relation to
approximal caries experience (including initial caries lesions) at the age of 15
years (n = 568). Data were selected from examinations, interviews and
questionnaires at 1 and 3 years and bitewing radiographs at 15 years. Four levels
of cut-off points for the statistical analysis were used: DFa = 0, > 0, > or = 4
and > or = 8. In the final logistic regression analyses, mother's self-estimation
of her oral health care being less good remained statistically significant and
predicted a caries experience of DFa > 0 at 15 years. The following four
variables predicted DFa > or = 4: (1) female gender, (2) plaque on maxillary
incisors at 1 year, (3) mother's self-estimation of her oral health care being
less good and (4) father being less satisfied with his social situation. Two
variables predicted DFa > or = 8: (1) toothbrushing with fluoride toothpaste just
once a day, and (2) father being less satisfied with his social situation.
Furthermore, children who failed to attend the examination at 1 year of age had
6.95 +/- 5.36 (mean +/- SD) DFa at 15 years compared with 3.10 +/- 3.85 for
children who were examined at 1 year of age (p < 0.01). To conclude, factors
explaining good dental health at 15 years of age pertained to both children and
parents. Thus, it seems that good oral hygiene habits, established in early
childhood, provide a foundation for a low experience of approximal caries in
adolescents.
PMID- 18042988
TI - Possible association of amelogenin to high caries experience in a Guatemalan
Mayan population.
AB - There is evidence for a genetic component in caries susceptibility, but the
disease is greatly influenced by environmental factors, which are extremely
difficult to control in humans. For the present study, we used DNA samples
collected from 110 unrelated, non-cleft individuals older than 12 years of age
from Tiquisate, Guatemala: a population with similar cultural, dietary and
hygiene habits, similar access to the dentist and fluoride exposure. Forty-four
individuals were designated 'very low caries experience' (DMFT < or = 2), and 66
were designated 'higher caries experience' (DMFT > or = 3). Single-nucleotide
polymorphism markers were genotyped in selected candidate genes (ameloblastin,
amelogenin, enamelin, tuftelin-1, and tuftelin interacting protein 11) that
influence enamel formation. Having at least one copy of the rare amelogenin
marker allele was associated with increased age-adjusted caries experience. This
association was stronger in individuals with higher DMFT (DMFT > or = 20; p =
0.0000001). Our results suggest that variation in amelogenin may contribute to
caries susceptibility in the population studied. The approach of comparing
individuals with extremely distinct caries experiences could be valuable for
decreasing the potential influence of environmental factors on genetic studies of
caries.
PMID- 18042990
TI - Influence of salivary macromolecules and fluoride on enamel lesion
remineralization in vitro.
AB - The aim of this study was to investigate the influence of salivary macromolecules
on enamel lesion remineralization in the presence or absence of fluoride.
Paraffin-stimulated whole saliva was centrifuged, and the supernatant was
dialyzed in 1,000 molecular-weight cutoff dialysis tubes, first against a
phosphate buffer and then against a mineral solution containing Ca and phosphate.
Artificial subsurface lesions of human enamel, created in pH 4.5 acetate buffer,
were remineralized for 28 days in 4 remineralizing solutions: group C--mineral
solution as a control; group S--mineral solution + dialyzed saliva; group F-
mineral solution + 1 ppm F; group SF--mineral solution + dialyzed saliva + 1 ppm
F. Changes in relative mineral concentration in the lesions were assessed by
transverse microradiography. The results showed statistically significant mineral
gains in the lesion body in groups C (DeltaZ = 3,254 +/- 1,562% x microm) and SF
(DeltaZ = 2,973 +/- 1,349% x microm), but not in groups S (DeltaZ = 5,192 +/-
1,863% x microm) and F (DeltaZ = 4,310 +/- 1,138% x microm) compared with the
baseline group (DeltaZ = 5,414 +/- 461% x microm). It was also found that the
mineral density at the surface layer in group F (75.0 +/- 15.7%) was greater than
that in the baseline group (30.1 +/- 12.3%) with statistical significance, but
not in group SF (39.9 +/- 16.5%). It was concluded that the macromolecules
inhibited lesion remineralization fundamentally but that these molecules, in the
presence of fluoride, seemed to play an important role in the continuation of
remineralization by reducing mineral gains at the surface layer.
PMID- 18042991
TI - Dentine remineralization by simulated saliva formulations with different Ca and
Pi contents.
AB - The understanding of the dentine remineralization process and the ability to
reproduce it in vitro are essential to the development of preventive and
therapeutic measures. This study investigated how simulated saliva formulations
with different Ca and P(i) contents and degrees of saturation with respect to
biologically relevant calcium phosphates may affect the remineralization of
eroded dentine, as a function of time. Slabs of bovine root dentine (n = 8 per
group) were flattened, polished, demineralised by 1% citric acid for 30 and 60
min and remineralized for 3, 7 and 14 days, by one of the following buffered (pH
7) solutions [Ca:Pi ratio, Ca/Pi concentrations (mM), ionic strength]: solution
A: 1.6, 1.5/0.9, 0.115; solution B: 1.6, 2/1.25, 0.117; solution C: 1.6, 3.2/2,
0.121; solution D: 0.3, 1.11/3.7, 0.118; solution E: 0.3, 1.45/5, 0.122.
Integrated mineral loss (30 and 60 min) was quantified by transverse
microradiography after each remineralization period. ANOVA and regression
analyses (alpha = 0.05) showed, irrespective of the demineralisation time, that
the solutions C and E were able to remineralize dentine. This effect increased
throughout the remineralization times and was significantly higher for E.
Remineralization was successfully shown in vitro, under specific conditions of
degree of saturation and Ca and Pi contents of the solutions. Optimum
remineralization was observed for the solution E supersaturated with respect to
relevant calcium phosphates, with low Ca:Pi ratio and highest Pi concentration.
PMID- 18042992
TI - Neuroimaging predictors of cognitive impairment in confluent white matter lesion:
volumetric analyses of 99 brain regions.
AB - BACKGROUND: Although confluent white matter lesion (WML) is associated with
cognitive impairment, the mechanism explaining this association is controversial.
We aimed to investigate comprehensively the MRI predictors of cognitive
impairment in confluent WML. METHODS: Among 45 lacunar stroke patients who had
confluent WML, we evaluated the association of executive function [Mattis
Dementia Rating Scale - Initiation/Perseveration subscale (MDRS I/P)] and global
cognition [Mini-Mental State Examination (MMSE)] with the volume of WML, measures
of lacunes and microbleeds, and the volumes of 99 other specific brain regions.
RESULTS: Regression analyses showed that WML volume predicted performance on the
MDRS I/P (beta = -0.34, p = 0.016) independent of age. Volumes of cortical gray
matter (cGM; beta = 0.41, p = 0.003), the lateral fronto-orbital gyrus (beta =
0.38, p = 0.01), superior frontal gyrus (beta = 0.29, p = 0.04), lateral
ventricle (beta = -0.30, p = 0.04), and posterior limb of the internal capsule
(beta = 0.43, p = 0.002) predicted MDRS I/P performance independent of WML
volume. Volumes of cGM, and the lateral fronto-orbital gyrus predicted MMSE
performance as well. CONCLUSION: Atrophy along the frontosubcortical pathways and
cGM predict cognition in confluent WML independent of WML volume.
PMID- 18042993
TI - Cognitive decline and survival in Alzheimer's disease according to education
level.
AB - OBJECTIVE: We tested the hypothesis that a higher education level is associated
with faster cognitive decline and lower survival in a cohort of 670 Alzheimer's
disease patients, followed for 3.5 years at the Lille-Bailleul memory centre.
METHODS: The patients were categorized in 3 groups according to educational
levels: low (12 years).
Cognitive function was measured with the Mini Mental State Examination (MMSE) and
the Mattis Dementia Rating Scale (DRS). Survival was analyzed with a Cox model.
Analyses were adjusted for age, sex, cholinesterase inhibitor treatment,
diabetes, hypertension, visible vascular lesions on MRI, baseline DRS and MMSE.
RESULTS: The adjusted mixed random model showed that MMSE declined faster for
patients with high and intermediate educational levels compared with those with a
low educational level (p < 0.0001). The mean annually adjusted DRS decline was
highest for the groups with the most education (p = 0.05). The mortality risk was
not higher in the better-educated groups (high vs. low: RR = 0.84; 95% CI = 0.35
1.99, intermediate vs. low: RR = 0.82; 95% CI = 0.41-1.63). CONCLUSION: In our
cohort, highly educated patients had a faster cognitive decline than less
educated patients but similar mortality rates. Our findings support the cognitive
reserve hypothesis.
PMID- 18042994
TI - Relationship between measures of dementia severity and observation of daily life
functioning as measured with the Assessment of Motor and Process Skills (AMPS).
AB - BACKGROUND: Cognitive impairment is mostly regarded as the core symptom of
dementia, but several other domains (such as daily functioning) are equally
relevant to assess the severity of dementia. The relationship between these
domains is unclear. The Assessment of Motor and Process Skills (AMPS) is a
relatively unexplored instrument in people with dementia, measuring severity by
direct observation. OBJECTIVE: To study the relationship between the AMPS and
scores on several commonly used outcome measures for the assessment of dementia
severity, and to examine the possible influence of neuropsychiatric symptoms on
these relationships in patients with cognitive disorders. METHODS: Cross
sectional data of 118 patients with cognitive disorders were used; data on
cognition (Mini-Mental State Examination, MMSE; CAMCOG), global severity (Global
Deterioration Scale, GDS), daily life functioning (Instrumental Activities of
Daily Living, IADL), and neuropsychiatric symptoms (Neuropsychiatric Inventory,
NPI) were collected and analyzed using correlation and regression analyses.
Different combinations of the severity measures were tested for their ability to
predict the AMPS process ability scores. RESULTS: Scores on the MMSE, CAMCOG and
GDS were moderately associated with the AMPS process ability score. These
measures explained between 27 and 44% of the variance in the AMPS score. The
presence of apathy influenced the association between the cognitive measures and
the AMPS score. CONCLUSION: Commonly used measures of dementia severity are only
moderately associated with observation of performance on daily activities. This
underlines the need for direct observation of daily activities in dementia
patients. This relationship between several approaches of assessing dementia
severity needs further study.
PMID- 18042995
TI - Pregnancy outcomes following bipolar umbilical cord cauterization for selective
termination in complicated monochorionic multiple gestations.
AB - OBJECTIVE: To review pregnancy and childhood outcomes following selective
termination by ultrasound guided bipolar umbilical cord cauterization (UCC) in
complicated monochorionic multifetal pregnancies. STUDY DESIGN: Consenting
patients (27 of 49, 55%) had review of pregnancy history and pediatric
development in the surviving twin following selective UCC. RESULTS: UCC was
performed at 21.2 +/- 2.8 weeks gestational age, followed by a liveborn delivery
(n = 28) at 34.4 +/- 4.7 weeks. Mean birth weight was 2,218 +/- 926 g.
Complications included preterm labor (25.0%), premature rupture of membranes
(17.8%), placental abruption (10.7%) and chorioamnionitis (7.1%). Perinatal
mortality was 10.3% in continuing fetuses. However, 96.2% of pregnancies achieved
livebirths with 96% of neonates showing apparently normal development between
ages 1.5 and 5 years. CONCLUSION: Bipolar UCC is a reasonably safe and effective
treatment for selective termination in complicated monochorionic pregnancies.
PMID- 18042996
TI - Blood pressure and cognition in the Aberdeen 1936 birth cohort.
AB - BACKGROUND: The relationship between blood pressure and cognition in old age
remains unclear. Some data indicate that elevated blood pressure causes cognitive
deficits whilst others show that lower early life mental ability predicts high
blood pressure in adulthood. Longitudinal studies in which mental ability earlier
in life is known are needed to clarify the relationship. OBJECTIVE: To measure
the effect of blood pressure on cognition in late adulthood after adjusting for
early life mental ability. METHODS: The sample comprised survivors of the 1947
Scottish Mental Survey who had validated IQ scores at age 11. Six cognitive tests
- Mini-Mental State Examination, Raven's Progressive Matrices, Rey Auditory
Verbal Learning Test, Uses of Common Objects Test, Digit Symbol Test and Block
Design - were administered at ages 64, 66 and 68 years. Sitting and standing
blood pressure was also measured at each wave of follow-up. Mixed general linear
models were constructed with each cognitive test treated as a repeated measure of
an underlying cognitive trait and with wave of testing also set as a repeated
measure. Mental ability at age 11 was entered as a covariate. RESULTS: 504
participants were tested at wave 1 with 368 returning at wave 2 and 300 at wave
3. Age 11 mental ability did not predict any of the blood pressure measures.
There were several significant associations between blood pressure variables and
cognitive test scores in univariate models. After adjusting for significant
effects of wave of testing, type of cognitive test, the interaction between
these, age 11 mental ability, age, gender and occupation in a multivariate model,
the main effect of BP trait was no longer significant (p = 0.44) nor its effect
over time (p = 0.26), though there was a significant interaction between blood
pressure trait (BP) and test type with a distinctly negative effect of BP on
Auditory Verbal Learning Test (p = 0.007, -0.13 points per mm Hg higher, 95% CI
0.22 to -0.033). CONCLUSION: The effects of blood pressure on cognition in old
age are finely nuanced. Multivariate repeated measures models reveal a
differential effect of blood pressure on verbal recall.
PMID- 18042997
TI - Neurological disorders in Libya: an overview.
AB - The aim of this study was to review all publications related to the incidence and
prevalence of neurological disorders in Libya, and to estimate the burden of
these disorders in the country. The PubMed and the Libyan Medical Index were
searched using different combinations of keywords. The references in all relevant
papers were reviewed for any additional publications. An estimation of the number
of patients with neurological disorders was made based on the reviewed data and
adjusted using the Libyan Nationwide Official Census of 2006. Sixteen papers were
identified. Incidence rate is available for twelve disorders and the prevalence
rate for nine. Data for some of the most common neurological problems such as
headaches, or for important disorders such as dementia, are lacking. Therefore,
the estimate of 6,892 new neurological cases per year and a total of 11,908
neurological patients in 2006 in Libya is largely underestimating the burden of
the neurological disorders in this country and should be regarded as the lowest
possible estimation. Further neuroepidemiological studies in Libya are needed.
PMID- 18042998
TI - The accuracy of prevalence rates of multiple sclerosis: a critical review.
AB - Review of the recent medical literature raises doubts about the reliability of
reported prevalence rates of multiple sclerosis (MS). Many published prevalence
rates are inflated. Some studies have shown that relying on clinical information
and MRI interpretation leads to one third of incorrect MS diagnoses. The most
important error is failing to distinguish between the clinical and MRI
characteristics of MS and of disseminated encephalomyelitis (DEM) in both their
acute and relapsing forms. The diagnostic criteria in current usage, including
those relating to imaging, do not differentiate between MS and other recurrent
inflammatory demyelinating diseases of the central nervous system. Considering a
second demyelinating episode following a clinically isolated symptom or acute
DEM, as confirming MS, is another major source of error. Another is including
cases with onset before they entered the study group or moved to the geographic
area. Neuromyelitis optica (NMO) has long been considered an MS variant and in
Far Eastern countries it is counted as the 'oriental' form of MS, falsely
inflating prevalence rates of MS in those areas. Recent immunologic and
radiologic evidence shows that at least some NMO cases represent instances of
DEM.
PMID- 18042999
TI - Gait speed predicts decline in attention and psychomotor speed in older adults:
the health aging and body composition study.
AB - BACKGROUND/AIMS: Gait speed is cross-sectionally associated with attention and
psychomotor speed in older community dwellers. It is unclear if gait speed
predicts decline in these cognitive domains over time. METHODS: Usual gait speed
(m/s) over 6 m was measured at baseline in 2,776 Health, Aging and Body
Composition Study participants (mean age +/- SD 73.5 +/- 2.8 years, 53% women,
37% blacks). The Digit Symbol Substitution Test (DSST) was administered at
baseline and after 5 years to assess attention and psychomotor speed. We used
multivariate logistic regression models to calculate the risk of DSST 5-year
decline [>1 SD from mean change (9 points)] across quartiles of gait speed,
adjusting for demographics, weight, physical activity, comorbidities, depression
and Modified Mini-Mental State Examination. RESULTS: After 5 years, 389 (17.1%)
participants declined in DSST. Compared to those in the highest quartile of gait
speed (>1.35 m/s), participants in the lowest quartile (<1.05 m/s) were more
likely to decline in DSST independently of the considered covariates (OR 1.74,
95% CI 1.21-2.51, adjusted p for trend across quartiles = 0.006). CONCLUSIONS: In
this cohort of older community dwellers, gait speed independently predicted a
decline in DSST after 5 years.
PMID- 18043000
TI - The management approaches to dyskinesia vary from country to country.
AB - Many Parkinson's disease (PD) patients treated with levodopa develop motor
fluctuations and/or dyskinesia. This large, retrospective study was conducted to
compare the prevalence and treatment of dyskinesia in PD patients in seven
countries. A total of 380 physicians were interviewed and completed patient
record forms retrospectively for their last 5 patients with dyskinesia (total
1,900). The overall prevalence of dyskinesia in PD patients was 34%, but the rate
varied from 24 to 51% according to geographical location. This study showed that
51.0% of Japanese physicians and 50.6% of UK physicians were dissatisfied with
current treatment strategies for dyskinesia. Regardless of geographical location,
physicians were dissatisfied with the current treatment strategies for
dyskinesia.
PMID- 18043001
TI - Mediterranean diet and essential tremor. A case-control study.
AB - BACKGROUND: Higher adherence to the Mediterranean diet (MeDi) has been related to
lower Alzheimer's disease risk. Some dietary factors have been studied in
patients with essential tremor (ET), but the MeDi's effect has not been
investigated. METHODS: Adherence to the MeDi was calculated from a food frequency
questionnaire administered in a case-control study of environmental epidemiology
of ET in the New York Tri-State area. Logistic regression models were used to
examine whether adherence to the MeDi predicted ET (vs. control) outcome. The
models adjusted for age, gender, ethnicity, education, caloric intake, body mass
index, smoking, ethanol consumption, coffee intake and blood harmane
concentrations. RESULTS: 148 ET cases adhered less to MeDi (0-9 scale with higher
scores indicating higher adherence) than 250 controls (mean 4.3 +/- 1.7 vs. 4.7
+/- 1.7; p = 0.03). Higher adherence to MeDi was associated with lower odds for
ET [0.78 (0.61-0.99); p = 0.042]. As compared to subjects at the lowest MeDi
adherence tertile, those at the middle tertile had lower ET odds [0.41 (0.16
1.05)], while subjects at the highest tertile had an even lower ET odds [0.29
(0.10-0.82); p for trend 0.021]. CONCLUSIONS: Compared to controls, ET cases
adhered less to MeDi. The gradual reduction in ET odds with higher MeDi adherence
tertiles suggests a possible dose-response effect. The mechanisms that underlie
this association merit further study.
PMID- 18043002
TI - Risks of subarachnoid hemorrhage in siblings: a nationwide epidemiological study
from Sweden.
AB - This nationwide study aimed to enhance available data by determining sibling
risks of subarachnoid hemorrhage in a total population. The MigMed database at
the Karolinska Institute, Stockholm, was used to identify all cases of
subarachnoid hemorrhage diagnosed in Sweden between 1987 and 2001. Incidence
ratios standardized for age, region, and socioeconomic status (SIRs) were
calculated for persons with at least 1 sibling with subarachnoid hemorrhage. The
reference group consisted of persons whose siblings had no subarachnoid
hemorrhage. A total of 90 affected siblings were identified; their SIR of
subarachnoid hemorrhage was 2.75. The risk decreased with increasing age in both
men and women. Within the limits of the sample size, no sex differences could be
observed. The relatively high sibling risks are likely to be due to heritable
causes and shared environmental factors. Genetic causes possibly weigh more in
early- than late-onset cases. This study shows the feasibility of carrying out
nationwide family studies on subarachnoid hemorrhage.
PMID- 18043003
TI - A case-control study of the environmental risk factors for narcolepsy.
AB - BACKGROUND: Despite the acknowledged importance of environmental risk factors in
the etiology of narcolepsy, there is little research on this topic. This study
sought to fill this gap in the literature and assess the risk of stressors and
infectious diseases using a case-control study. METHODS: Cases (n = 63) were
recruited through the Stanford Center for Narcolepsy. All were HLA-DQB1*0602
positive, met conventional Multiple Sleep Latency Test criteria, and reported
unambiguous cataplexy. Controls (n = 63) were nonrelated family members of cases
and local community members. A self-administered questionnaire was used to assess
the frequency and timing of possible risk factors. RESULTS: Of the infectious
diseases examined, only flu infections and unexplained fevers carried a
significant risk. Several of the stressors carried a significant risk including a
major change in sleeping habits. When the timing of all risk factors was
considered, exposure prior to puberty increased the risk for developing
narcolepsy. CONCLUSIONS: These findings emphasize the importance of environmental
risk factors in the etiology of narcolepsy. This highlights the need for further
research on this aspect of narcolepsy so a complete understanding of a disorder
that affects 1 in 2,000 individuals can emerge.
PMID- 18043004
TI - Gait variability is associated with subclinical brain vascular abnormalities in
high-functioning older adults.
AB - BACKGROUND: Gait variability is an index of how much gait parameters, such as
step length, change from one step to the next. Gait variability increases with
age and in individuals affected by cortical and subcortical neurodegenerative
conditions, and it is associated with falls and incident mobility disability. The
brain anatomical correlates of gait variability have not been studied in high
functioning community-dwelling older adults. METHODS: Gait variability and brain
MRIs were assessed in a cohort of 331 men and women (mean age = 78.3 years) free
from stroke, dementia or Parkinson's disease. Gait variability was computed for
spatial parameters (step length and step width) and for temporal parameters
(stance time). Subclinical brain vascular abnormalities were measured on brain
MRIs as infarcts and white matter hyperintensities. RESULTS: Greater variability
of step length was associated with greater prevalence of infarcts, including
infarcts in the basal ganglia, and with greater white matter hyperintensities
severity, independent of age, gender, cognitive function and cardiovascular
disease. Weaker associations were found between the other variability measures
and the MRI measures. CONCLUSION: In this group of older adults free from
neurodegenerative diseases, a greater variability of step length was associated
with greater burden of subclinical brain vascular abnormalities as defined by
MRI.
PMID- 18043005
TI - Lipid-lowering agents and the risk of cognitive impairment that does not meet
criteria for dementia, in relation to apolipoprotein E status.
AB - Lipid-lowering agents (LLAs) might lower the risk of dementia, but any impact on
other forms of cognitive impairment is not clear. We examined LLAs in relation to
cognitive impairment, no dementia (CIND) and apolipoprotein E4 status. In a case
control study from the Canadian Study of Health and Aging, cases (n = 347) had
developed CIND between the first and second study waves and controls (n = 693)
had no cognitive impairment at either time. LLA use was associated with a lower
odds of incident CIND in those <80 years of age (OR 0.37; 95% CI 0.15-0.93) but
not for those aged >or=80 years (OR 0.56; 95% CI 0.15-2.10). The reduced risk was
significant for statins but not for other LLAs. Adjusting for apolipoprotein E4
status did not change the point estimates of the ORs. The greatest impact of LLAs
was seen in the CIND subtype circumscribed memory impairment.
PMID- 18043006
TI - Does age of onset in essential tremor have a bimodal distribution? Data from a
tertiary referral setting and a population-based study.
AB - BACKGROUND/AIMS: The distribution of age of onset of essential tremor (ET) is
unclear, with discrepancies in the literature. Some data suggest a bimodal
distribution and other data 1 late-life peak. We studied age of ET onset in 2
distinct settings: a population-based study and a tertiary referral center.
METHODS: Age of onset data were collected. RESULTS: In the population, there was
only a small peak at the age of 100 microg/ml. In
inflammatory and non-inflammatory conditions, CEPI-CT was prolonged at
concentrations of 12.5 and 75 microg/ml for S-ibuprofen and at a concentration of
150 microg/ml of combined R- and S-ibuprofen. S-ibuprofen was significantly more
effective than R-ibuprofen (p < 0.05). The combined use of S- and R-ibuprofen did
not additively or synergistically prolong CEPI-CTs. CADP-CTs remained unaffected
by both enantiomers. CONCLUSIONS: S-ibuprofen was more effective than the R
ibuprofen enantiomer in inhibiting TXB(2) plasma levels and aggregability of
thrombocytes in non-inflammatory and inflammatory conditions.
PMID- 18043010
TI - Repeated administration of histamine improves memory retrieval of inhibitory
avoidance by lithium in mice.
AB - The influence of repeated administration of histamine on lithium-induced state
dependency has been investigated. A single-trial step-down inhibitory avoidance
task was used to assess memory in adult male NMRI mice. Intraperitoneal (i.p.)
administration of lithium (10 mg/kg), immediately after training (post-training),
impaired inhibitory avoidance memory on the test day. Pre-test administration of
lithium reversed amnesia induced by the drug given after training, with the
maximum response at a dose of 10 mg/kg. Repeated intracerebroventricular (i.c.v.)
administration of histamine (20 microg/mouse) for 3 consecutive days followed by
5 days of no drug treatment improved memory retrieval of inhibitory avoidance by
a pre-test lower dose (5 mg/kg i.p.) of lithium. In contrast, 3 days of i.c.v.
injections of both the histamine H1 receptor antagonist pyrilamine (40
microg/mouse) and the histamine H2 receptor antagonist ranitidine (6.25 and 12.5
microg/mouse) prevented the improving effect of pre-test lithium (10 mg/kg i.p.)
on memory retrieval. The results suggest that the repeated administration of
histaminergic agents may induce a sensitization which affects the memory
impairment induced by lithium.
PMID- 18043011
TI - The conversion of coroner systems to medical examiner systems in the United
States: a lull in the action.
AB - Coroner and medical examiner systems in the United States conduct death
investigations for most deaths that are sudden and unexplained, or which involve
external causes such as injury and poisoning. They play a very important role in
the criminal justice, public health, public safety, and medical communities, and
they also contribute a substantial portion of autopsy-based mortality data to the
state and federal mortality statistics systems. Death investigations often
involve complex medical issues and necessarily require the involvement of
appropriately trained physicians. Over the years, there has been a trend to
replace the elected lay coroner systems with systems run by appointed, physician
medical examiners. Presently, about 31% of counties in the United States are
served by a medical examiners at the county, district, or state level. Between
1960 and 1989, there was considerable conversion to medical examiner systems, but
this trend slowed in the 1990s. Since 2000, only 6 counties in the United States
have converted to a medical examiner system, no states have converted since 1996,
and 1 county has reverted to a sheriff-coroner system. Possible reasons for this
decline are discussed, including legislative, political, geographical, financial,
population-based, and physician manpower distribution factors. It is important to
ensure that all death investigation systems have appropriate access to medically
educated and trained physicians such as forensic pathologists.
PMID- 18043012
TI - Hate crimes and the forensic pathologist.
AB - Hate crimes represent crimes committed against an individual or group on the
basis of their race, ethnicity, national origin, religion, sexual orientation,
gender, gender identity, or disability. For the forensic pathologist, a death
related to a hate crime should be considered a high-profile case, one in which
the pathologist should expect abundant public interest and scrutiny. In this
article, an overview of hate crimes is presented, stressing the different types
of hate crimes and the motives of those who commit such crimes. For death
investigators and forensic pathologists, an awareness of these details will help
them to recognize and appropriately anticipate issues that may be important in
deaths related to hate crimes.
PMID- 18043013
TI - Suicidal gunshot wounds to the head: a retrospective review of 406 cases.
AB - A retrospective review of 406 suicidal gunshot wounds to the head, investigated
at the Medico-Legal Laboratory of Pretoria, between 1997 and 2000 was performed.
Fifty-seven percent of fatal suicidal firearm injury to the head occurred in the
21- to 40-year age range in both male and female victims. Eighty-two percent of
all these victims of suicidal firearm fatalities to the head were male. Of the
406 cases, 63% were white, 31% were black, and 6% were of mixed ethnic and/or
Asian decent. There was a slight increase in incidence around spring and autumn.
Handguns were used in the majority of cases with shoulder weapons being used in
18 of the 406 cases. Ninety-two percent of the suicidal gunshot wounds to the
head occurred indoors. A positive blood alcohol concentration was seen in 40% of
cases. Of those examined, 81% were contact gunshot wounds. The trajectory was
upward in 51% of cases and horizontal in 24% of cases. The right temple was the
most common entrance gunshot wound site. Findings are in keeping with previously
published literature.
PMID- 18043015
TI - The incidence and characteristic features of fatal hemorrhage due to ruptured
varicose veins: a 10-year autopsy study.
AB - Death due to hemorrhage from ruptured peripheral varicose veins is an uncommon
event. A review of the files of Forensic Science SA (FSSA) in Adelaide, South
Australia, was undertaken over a 10-year period from January 1996 to December
2005 for such cases. A total of 8 cases were found out of a total of 10,686,
representing <0.01% of autopsy cases. The male to female ratio was 1:3, with an
age range of 58-84 years (mean = 78 years). The victims were all located at their
home addresses, where they had been alone at the time of their deaths. Scene
investigations revealed considerable blood loss, with pooling around the victims'
bodies, and also in other parts of the house, particularly the bathroom/toilet
areas. Four ulcers were of an acute perforative type and 2 were of a chronic
ulcerative type. In 2 cases, bleeding followed trauma. Toxicologic evaluation was
performed in only 3 of the cases, revealing blood alcohol levels of 0.06% and
0.14% in 2 cases, respectively. A further victim had been prescribed
anticoagulant drugs for an unrelated condition. Additional findings of
significance were ischemic heart disease in 3 cases and deep venous thrombosis of
the calf veins on the side of the fatal hemorrhage in another case (with no
evidence of pulmonary thromboembolism). One victim had acute gastric erosions,
suggesting that hypothermia following collapse played a role in the terminal
event. Autopsy evaluation of such cases should include careful layer dissection
of the area of hemorrhage to confirm the presence of the ruptured varix and to
enable directed histologic sampling.
PMID- 18043014
TI - Nursing home deaths which fall under the jurisdiction of the coroner: an 11-year
retrospective study.
AB - Twenty percent of deaths in the United States occur in nursing homes, yet less
than 1% come to autopsy. The current study analyzed causes and manners of death
in all nursing homes between 1993 and 2003, investigated by the coroner of
Allegheny County, PA, which has the second highest elderly population in the
United States. Two hundred eight decedents were identified, aged 19 to 91 years,
58% women and 42% men, 88% Caucasian and 22% African-American. Fifty-eight
percent were accidental and 38.5% were natural manners of death, with 2
homicides, 2 suicides, and 3 undetermined cases. The manner of death was
significantly different between Caucasians and African-Americans, with 92.6% of
accidental deaths occurring in Caucasians and 6.6% in African-Americans (P <
0.1). Most common natural deaths were arteriosclerotic cardiovascular disease,
nonarteriosclerotic cardiovascular disease, pneumonia, pulmonary thromboembolism,
chronic obstructive pulmonary disease (COPD), seizure disorder, and atraumatic
intracranial hemorrhage. Blunt force trauma was the single most commonly
identified traumatic accidental death. Accidental deaths were more common in
Caucasians than African-Americans. Homicides and suicides were rare events (<2%).
Blunt force trauma is a major autopsy finding in accidental nursing home deaths,
and a root-cause analysis may be helpful in developing policies and procedures to
decrease the incidence of blunt force trauma.
PMID- 18043016
TI - Asphyxial games in children and adolescents.
AB - Asphyxial games, as played by young adolescents, and going by various names, are
not new phenomena. What seems to be different at present is an increase in
lethality introduced by the increasing use of ligatures and "playing" the game
alone. The authors present a properly certified but insufficiently appreciated
case followed 2 years later by 2 closely spaced but unrelated deaths in young
adolescent males that made known this practice in New Hampshire youth. Other
cases presented to the author from other jurisdictions are reviewed in aggregate.
Presented are characteristics of victims of this practice that may help
distinguish these deaths from suicidal asphyxia. A relative paucity of literature
regarding asphyxial games outside the realm of autoerotic asphyxia gives rise to
certification difficulties given the high prevalence of youth suicide.
PMID- 18043017
TI - The application of mitochondrial DNA cytochrome oxidase II gene for the
identification of forensically important blowflies in Western China.
AB - Blowflies found on human corpses are important for the estimation of the
postmortem interval and other questions of forensic relevance. Some of these
species are difficult to differentiate morphologically, and therefore a molecular
method was elaborated for species identification. Here, we describe a molecular
method for rapid identification of these insects. Specific insect DNA fragments
were amplified using the polymerase chain reaction, followed by direct DNA
sequencing of the amplification products. Analysis of the cytochrome oxidase II
sequences revealed abundant phylogenetically informative nucleotide substitutions
that could identify blowfly species to species group. In contrast, because of the
low level of sequence divergence of sister species, the data could not
distinguish among taxa from the same species group, ie, the species within the
Lucilia sericata and Lucilia cuprina groups. The molecular data support the
existing species group separation of the taxa within the Calliphora. Because of
the speed and accuracy of current nucleotide sequencing technology and the
abundant apomorphic substitutions available from mtDNA sequences, this approach
enables quick identification of species used for estimation of postmortem
interval.
PMID- 18043018
TI - Homicide-suicide (dyadic death), homicide, and firearms use in England and Wales.
AB - Homicide-suicide forms a distinct form of homicide. An analysis of cases in the
Yorkshire and Humberside region of England between 1991 and 2005 revealed 37
episodes with 42 victims. Previous studies have shown a high rate of use of
firearms. Over the last 2 decades firearms legislation has become more
restrictive. In this study all assailants were male, mean age 46.8 years. The
commonest method of homicide was strangulation (36%) with 16% killed by firearms.
This is a reduction compared with a previous study in the same region. All
killers who shot their victims killed themselves with firearms. There were no
multiple killings with firearms in this study and no stranger killings. Hanging
was the commonest method of suicide. During the same period the use of firearms
as a method of homicide increased in England and Wales with handguns, the most
common weapon. Nationally, suicide after homicide has remained at a similar rate
over the half century and is an uncommon phenomenon. Firearms use remains low in
both homicide and homicide-suicide episodes in England, and further analysis is
required to determine changes in patterns of killing.
PMID- 18043019
TI - Inhalant deaths in South Australia: a 20-year retrospective autopsy study.
AB - A 20-year retrospective study of inhalant deaths in South Australia, autopsied at
Forensic Science SA, was undertaken from January 1983 to December 2002. Thirty
nine cases were identified from an autopsy pool of 18,880 cases, with a male to
female ratio of 12:1. Sixty-four percent of the victims (N = 25) died during
voluntary inhalation of volatile substances and 28% (N = 11) committed suicide
utilizing a volatile substance or gas. The remaining 3 cases involved a workplace
accident (N = 1) and 2 cases of autoerotic death where inhalants were being used
to augment solitary sexual activity. The mean age of the 28 victims of accidental
inhalant death of 21 years (range, 13-45 years) was considerably less than that
of the 11 suicide victims of 31.5 years (range, 17-48 years). No homicides were
found. Approximately one quarter of the victims were Aboriginal (N = 11), 10 of
whom had died as a result of gasoline inhalation ("petrol sniffing"). Other
common substances of abuse were aliphatic hydrocarbons such as butane. The study
has shown that those most at risk for accidental or suicidal inhalant deaths were
young males, with 92% of victims overall being male, and with 77% of victims
being under 31 years of age. Gasoline inhalation remains a significant problem in
Aboriginal communities in South Australia.
PMID- 18043020
TI - Guidelines for postmortem protocol for ocular investigation of sudden unexplained
infant death and suspected physical child abuse.
AB - Postmortem examination is a cornerstone in identifying the cause of unexplained
sudden death in children. Even in cases of suspected or known abuse, an autopsy
may help characterize the nature of the abuse, which is particularly important in
the forensic autopsy of children in the first 3 to 4 years of life when inflicted
neurotrauma is most common. Forensic examinations are vital in cases that might
otherwise be diagnosed as sudden infant death syndrome. The ocular autopsy in
particular may demonstrate findings that were not appreciated on antemortem
clinical examination. This protocol for postmortem examination of the eyes and
orbits was developed to promote more consistent documentation of findings,
improved clinical and forensic decision making, and more replicable and coherent
research outcomes.
PMID- 18043021
TI - Fatal positional asphyxia associated with rollover crashes.
AB - Motor vehicle rollover crashes result in complex occupant kinematics with the
potential for severe injury. Five cases of fatal asphyxia in occupants suspended
from their safety belt upside down after a rollover crash are presented. These
fatalities accounted for 13.5% of all motor vehicle related asphyxia deaths in
San Diego County over a 10-year period. This study supports previous research
noting that incapacitation due to other injuries, alcohol, or obesity may be
associated with fatal positional asphyxia due to inversion during rollovers.
Safety belts are proven to prevent serious injury in motor vehicle crashes and
should always be worn. However, redesign of the buckle could be considered to
permit easier release by an occupant. We also suggest that pre-existing heart
disease may contribute to the possibility of a fatal asphyxia outcome. Although
this is a rare cause of motor vehicle related death, our results suggest that
these are potentially preventable deaths.
PMID- 18043022
TI - DNA extraction: an anthropologic aspect of bone remains from sixth- to seventh
century ad bone remains.
AB - In the archeological site of the early Christian Episcopal complex of Saint
Peter, in Canosa di Puglia (Bari, Italy), during the operations of archaeological
excavations, tombs were discovered. They were dated between the sixth and seventh
centuries ad with carbon 14 methodology. Five skeletons were found in the 5
tombs: 28A: male individual, 43 years old. The height was 170 cm; the biomass was
65.7 kg. The analysis of the bones indicated several noteworthy pathologies, such
as a number of hypoplasia lines of the enamel, the presence of Schmorl hernias on
the first 2 lumbar vertebrae, and the outcome of subacromial impingement
syndrome. 28E was a male individual, with a biologic age of death of between 44
and 60 years. The height was 177 cm. He had a posttraumatic fracture callus of
the medial third of the clavicle, with an oblique fracture rima. 29B was a female
individual, 44-49 years old. The height was 158.8 cm; the biomass was 64.8 kg.
There was Wells bursitis on the ischial tuberosity on both sides. 29E was a male
individual, 45-50 years old. The height was 169.47 cm; the biomass was 70.8 kg.
The third and the fourth vertebrae showed Baastrup syndrome (compression of the
vertebral spine). There were radiologic signs of deformity on the higher edge of
the acetabula and results of frequent sprains of the ankles. 31A was a male
individual, 47-54 years old. The height was 178.65 cm; the biomass was 81 kg. The
vertebral index showed a heavy overloading in the thoracic lumbar region. There
were bony formations under the periosteum on both on the higher and medium facets
of the first metatarsus and on the higher and lateral facets of the fifth
metatarsus on both sides. As the topography indicates, these small ossifications
coincided with the contact points between the back of the foot and parts of the
upper shoe. From the osseous remains, in particular from the teeth (central
incisors), the DNA was extracted and typed to identify potential family ties
among all the subjects. The extraction technique used came from the DNA Promega
technique, partially modified by the authors. Stay times of the sample in the
extraction buffer were increased and were increased the polymerase chain reaction
(PCR) cycles.
PMID- 18043023
TI - Sudden death due to dissecting pulmonary artery aneurysm: a case report and
review of the literature.
AB - Pulmonary artery aneurysm and pulmonary artery dissection are rare antemortem
diagnoses, most often associated with sudden death. These pathologic entities are
strongly associated with chronic pulmonary hypertension due to structural cardiac
defects, either congenital or acquired. We report the case of a 49-year-old woman
who died suddenly due to dissection and rupture of a large pulmonary trunk
aneurysm, with subsequent cardiac tamponade. Key historical and physical findings
are described. Additionally, we present a discussion of the incidence, clinical
presentation, pathogenesis, and pathologic diagnostic features of pulmonary
artery dissection.
PMID- 18043024
TI - Fracture of the hyoid bone associated with atlantoaxial subluxation: a case
report and review of the literature.
AB - Hyoid bone fractures secondary to blunt trauma other than strangulation are rare.
Only 27 cases have been reported in the literature. They have few, if any,
complications, such as dysphagia, hypoxia, cardiorespiratory collapse, laceration
of the pharynx, mandibular fracture, thyroid cartilage fracture, and facial
fracture. No report of hyoid bone fracture associated with spinal column injury
was reported in the English literature. The authors reported a 46-year-old male
patient who complained of neck pain and odynophagia after a traffic accident.
After systemic examination and cervical CT scan, the diagnosis of hyoid bone
fracture at the right great horn and atlantoaxial subluxation was made. The
patient was observed in ICU for 48 hours. A nasogastric tube was inserted for
feeding. Fifteen milligrams of dexamethasone was given once a day for 3 days to
reduce the swelling and pain. We performed a cutaneous traction for the
subluxation and carefully watched the hyoid bone and the patient's respiration.
No lethal complications occurred. Two weeks later, the patient was allowed to
ambulate with cervical collar protection and to resume oral intake. Three months
later, he was asymptomatic. This case, with hyoid fracture and atlantoaxial
subluxation, is the only case reported in the literature. The importance of hyoid
fracture, however, rests not with the rarity of it, but with the lethal potential
of missing diagnosis. Nonsurgical management may be effective in most of the
cases.
PMID- 18043025
TI - A fatal case of hypothermia associated with hemorrhages of the pectoralis minor,
intercostal, and iliopsoas muscles.
AB - In a morning in January, a male in his early sixties was found dead in an outdoor
parking area. The minimum temperature during the night before he was found dead
was estimated to be 4.0 degrees C. Autopsy revealed the pinkness of hypostasis,
slight abrasions and bruises on the face and the extremities, collapse of the
lungs, and slight gastric submucosal hemorrhage. Histologic examination revealed
compact arrangement of cardiac muscle fibers and cytoplasmic vacuolation in the
adenohypophysis. Toxicologic examination demonstrated hyperacetonemia (51.2
microg/mL). Ubiquitin, one of the stress proteins that are induced by several
stimuli, including severe cold, was detected in several organs. We concluded that
the cause of his death was lethal hypothermia. In addition, hemorrhages were
observed in the subfascial and/or intramuscular parts of the pectoralis minor,
first intercostal, and iliopsoas muscles. Although it has been reported that
iliopsoas muscle hemorrhage can result from hypothermia, there have been few
reports concerning hypothermia-associated hemorrhages of the pectoralis minor
and/or intercostal muscles. We presumed that intense shivering and/or effort
ventilation during the course of lethal hypothermia might cause these muscle
hemorrhages.
PMID- 18043026
TI - Spontaneous ignition in case of celphos poisoning.
AB - Celphos (aluminum phosphide) poisoning is the most common cause of poisoning in
India. The mechanism of action, acute and chronic effect on human body, its
symptoms and signs, and the line of treatment are well documented and research is
still going on to find a suitable antidote. "Spontaneous ignition" is a rare but
interesting finding in case of aluminum phosphide poisoning. We present the
autopsy findings in this case report along with the probable factors that led to
occurrence of such finding.
PMID- 18043027
TI - Pitbull mauling deaths in Detroit.
AB - Between the years 1987 and 2005, there were 6 deaths reported in Wayne County,
Michigan, associated with pitbull dog attacks. This article discusses the age
incidence, scene investigation, nature of the injuries, and discussion relative
to fatal dog attacks, an unusual accidental type of death.
PMID- 18043028
TI - Fatal intoxication with a selective serotonin reuptake inhibitor, lorazepam, and
codeine.
AB - Selective serotonin reuptake inhibitors were introduced in 1987 as an alternative
treatment option for patients with depression or certain anxiety disorders.
Unfortunately, this greater use has prompted a corresponding increase in reports
of more severe side effects and fatalities, with a majority of fatalities
occurring due to coingestion of selective serotonin reuptake inhibitors with
other substances or serotonergic drugs. We report a case which exemplifies one
such fatality related to sertraline, lorazepam, and codeine coingestion. A brief
discussion of the presumed mechanism by which death occurred will be offered.
PMID- 18043029
TI - Asphyxial suicide by inhalation of helium inside a plastic bag.
AB - Asphyxial suicide by placing a plastic bag over the head, especially in
combination with inhalation of gases, is a rarely described method of committing
suicide. This article reports a case of suicidal asphyxiation by inhaling the
inert gas helium inside a plastic bag. A 64-year-old man probably followed the
instructions described in an article about committing suicide written by a
medical practitioner from Zurich. This form of suicide is recommended by right-to
die groups and in the internet as a certain, fast, and painless suicide method.
Additionally, it leaves only seldom externally visible marks or
pathomorphological findings on the body. If the plastic bag and other auxiliary
means are removed by another person, the forensic death investigation of cause
and manner of death may be very difficult. Therefore, the death scene
investigation and the inquiry ordered in the environment of the deceased are very
important.
PMID- 18043030
TI - A large fragment of a knife under the edge of an incised wound: is it possible?
PMID- 18043031
TI - The correlation between rates of unemployment and the suicide rate in Mie
Prefecture, Japan.
PMID- 18043032
TI - Squamous cell carcinoma of the bladder: a clinicopathologic analysis of 45 cases.
AB - Squamous cell carcinoma of the bladder comprises less than 5% of all bladder
cancers in the United States and its long-term prognosis has remained
controversial. We examined a large series of patients who underwent radical and
partial cystectomies for squamous cell carcinoma to identify associated
histopathologic findings and clinical outcomes associated with these tumors.
Patient age ranged from 46 to 83 years (average 68.5 y) with a male:female ratio
of 3:2. Forty-three patients were white and 2 patients were African-American. No
patient had a history of schistosomal infection and only 1 patient had a history
of condyloma acuminatum. The majority of patients with reported signs and
symptoms presented with hematuria (n=29/34), with the remainder presenting with
lower urinary tract symptoms. Tumor size ranged from 0.8 to 6.4 cm (average 3.8
cm). Invasion was identified into the lamina propria (pT1, n=1/45), muscularis
propria (pT2, n=14/45), perivesical fat (pT3, n=27/45), and adjacent structures
(pT4, n=3/45). Concurrent metastases were identified in 11 of 45 patients (24%)
to pelvic lymph nodes (n=9), perivesical lymph nodes (n=3), obturator lymph nodes
(n=1), and bowel wall (n=1). Most tumors were moderately (n=29/45) or poorly
(n=13/45) differentiated, whereas only 3 tumors were well differentiated
(n=3/45). Keratinization was present in all cases within the invasive component
and ranged from 5% to 95% of tumor bulk. Necrosis ranged from 0% to 60% and
inversely correlated with tumor differentiation. Eighteen cases demonstrated a
prominent giant cell reaction to keratin, and 30 tumors were associated with a
desmoplastic reaction. Extensive perineural (n=11/45) and angiolymphatic invasion
(n=7/45) were identified in a subset of tumors. The majority of cases
demonstrated associated superficial lesions including keratinizing squamous
metaplasia (n=28/45), nonkeratinizing squamous metaplasia (n=20/45), squamous
cell carcinoma in situ (n=16/45), squamous metaplasia with dysplasia (n=4/45),
verrucous squamous hyperplasia (n=3/45), and extensive condyloma acuminatum
(n=1/45). Seven cases additionally demonstrated separate small foci of focal flat
urothelial carcinoma in situ. Three cases demonstrated a markedly atypical
squamous lining of the prostatic ducts at the prostatic urethra. Clinical follow
up was available on 35 patients (78%) and ranged from 1 to 175 months (average 33
mo, median 15 mo). Two patients developed recurrent local disease (n=2/35, 6%)
and 13 patients developed subsequent metastatic disease (n=13/35, 37%). Ten
patients were dead of disease (29%), with a time to death for most patients of
less than 2 years (range 2 to 21 mo, average 10.5 mo). Thirty-seven percent of
patients (n=13/35) were alive without disease. In conclusion, squamous cell
carcinoma often presents at an advanced stage; however, radical cystectomy with
lymph node dissection appears to offer a significant benefit in survival in a
subset of patients.
PMID- 18043033
TI - Intrahepatic cholangiocarcinoma metastatic to the ovary: a report of 16 cases of
an underemphasized form of secondary tumor in the ovary that may mimic primary
neoplasia.
AB - The potential for adenocarcinoma metastatic to the ovary to mimic primary
mucinous neoplasms is a well-known issue to surgical pathologists, most of the
recent literature emphasizing pancreatic and various other origins for the
ovarian metastases. Although an origin in the gallbladder or extrahepatic bile
ducts is acknowledged for some cases little information exists on tumors
originating within the intrahepatic bile ducts. Sixteen cases of this type were
retrieved from the surgical pathology files of the Chiang Mai University Hospital
between January 1992 and December 2006. The patients ranged from 38 to 74 years
(mean 52). Thirteen presented with nonspecific pelvic symptoms similar to primary
ovarian neoplasms. The hepatic tumors were radiologically detected before the
ovarian lesion in 2 cases. Hepatic and ovarian masses were simultaneously
detected by preoperative radiologic studies or at exploratory laparotomy in 10
cases. In the remaining 4 cases, the hepatic lesions were detected
postoperatively. There were a total of 26 metastatic ovarian lesions which
included 22 clinically recognized ovarian masses (range 3 to 20 cm, mean 11.8
cm). Bilateral involvement was present in 10 cases (62%) and unilateral
involvement in 6 (38%). The cut surfaces of the 22 grossly enlarged ovaries were
predominantly solid in 5, solid-cystic in 10, and multicystic in 7.
Microscopically, surface implants were observed in 80% of tumors, multinodular
growth in 48%, and infiltrative stromal invasion (including microinvasionlike
foci as it would be applied if the tumors were primary) in 86%. The neoplastic
epithelium typically formed glands that ranged from small to large and cystically
dilated, but small clusters of cells and individual cells were also seen. The
epithelium ranged from tall, columnar, and mucinous in appearance to cuboidal or
flattened and nonspecific. The tumors most closely mimicked primary mucinous
neoplasms although a resemblance to other mullerian neoplasms was also seen. Foci
often mimicked mucinous borderline tumors of typical type or with intraepithelial
carcinoma and benign-appearing mucinous epithelium was seen in 62% of tumors.
Immunohistochemical studies in 15 cases showed a positive reaction for
cytokeratin 7 in all and for cytokeratin 20 in 5 cases. Intrahepatic
cholangiocarcinoma should be included in the list of origins of possible ovarian
metastatic tumors that mimic primary ovarian mucinous neoplasia, particularly in
parts of the world where cholangiocarcinoma of the liver is relatively common.
PMID- 18043034
TI - Gastrointestinal tract pathology in patients with common variable
immunodeficiency (CVID): a clinicopathologic study and review.
AB - BACKGROUND: Common variable immunodeficiency (CVID) is characterized by a host of
gastrointestinal (GI) lesions that can mimic other conditions. METHODS: We
reviewed clinical documentation and samples from 132 separate GI biopsy or
resection sites on 20 CVID patients obtained over a 26-year period, including
biopsies from the colon (34), esophagus (19), small intestine (38), and stomach
(35), a partial gastrectomy, small bowel resection, colectomy, 2
cholecystectomies, and 1 appendectomy. RESULTS: There were 13 males and 7
females. Nine patients were children (10 y and younger) and 11 were adults. Age
at diagnosis ranged from 6 months to 62 years (median, 35.5 y), and age at biopsy
ranged from 10 months to 67 years (median, 38 y). Esophageal samples often showed
intraepithelial neutrophils, accompanied by candida. Half of patients' esophageal
biopsies had prominent intraepithelial lymphocytosis, one of which also had
prominent apoptosis. The stomachs of 67% of patients lacked plasma cells. Most
showed lymphoid aggregates. An increase in apoptosis was detected in biopsies
from a third. About 20% had a lymphocytic gastritis pattern. Intraepithelial
neutrophils were found in a subset, accompanied by various infections
[cytomegalovirus (CMV), Helicobacter pylori, and Cryptosporidium]. Granulomas
were found in 1 patient. Gastric adenocarcinoma was identified in one patient.
There was a paucity of small bowel plasma cells in the majority of patients
(68%). The small bowel showed prominent lymphoid aggregates in about half (47%).
An increase in apoptosis was detected in specimens from about 20%. Increased
intraepithelial lymphocytes (IELs) were found in samples from over half of
patients (63%), most of whom (83%) also had villous blunting, mimicking celiac
disease. Intraepithelial neutrophils were found in a subset (32%) and correlated
with CMV and Cryptosporidium infections. Granulomas were seen in biopsies from 2
patients (11%). One patient had a collagenous enteritis pattern (accompanied by a
collagenous colitis pattern). One patient had autoimmune enteritis; biopsies from
this patient were initially relatively normal but later displayed prominent crypt
apoptosis and loss of goblet cells. In colon samples, a paucity of plasma cells
was seen in 10 patients (63%). The colon showed lymphoid aggregates in most
patients (81%). Apoptosis was prominent in samples from half of the patients
(50%). Biopsies from 6 patients had a lymphocytic colitis pattern (38%) and 2
patients had a collagenous colitis pattern. Intraepithelial neutrophils were
found in samples from most patients (88%). Crypt distortion was seen in 6 of
these patients (43%), thereby mimicking ulcerative or Crohn colitis. Granulomas
were found in 3 patients (19%). CMV was detected in 1 patient. The appendix from
1 patient showed Cryptosporidium and acute serositis with a paucity of plasma
cells and an increase in apoptosis. The gallbladder from 1 patient showed acute
cholecystitis, and another patient's gallbladder lacked plasma cells.
CONCLUSIONS: GI tract CVID displays a wide spectrum of histologic patterns. Its
features can mimic lymphocytic colitis, collagenous enterocolitis, celiac
disease, lymphocytic gastritis, granulomatous disease, acute graft-versus-host
disease, and inflammatory bowel disease. In fact, in our series, we found
patients with a prior diagnosis of celiac disease (25%) and inflammatory bowel
disease (35%), including Crohn disease (15%). The diagnosis of CVID may be
suspected on the basis of the lack of plasma cells in a GI biopsy, but because
this feature is only present in about two-thirds of patients, the diagnosis
cannot always be suggested in isolation of other clinical and laboratory
findings.
PMID- 18043035
TI - Myoepithelial carcinoma of soft tissue in children: an aggressive neoplasm
analyzed in a series of 29 cases.
AB - Primary myoepithelial tumors of soft tissue are uncommon, and criteria for
malignancy among these neoplasms have only recently been established. Of 51
myoepithelial carcinomas of soft tissue in the literature, 11 occurred in
children, 7 of which were included in a previous series of myoepithelial tumors
from our group. We have collected an additional 22 cases of myoepithelial
carcinoma of soft tissue in the pediatric population, and we describe the
detailed clinicopathologic features of all 29 cases herein. There were 15 girls
and 14 boys; age at diagnosis ranged from newborn to 17 years (median, 9 y).
Sites included extremities (14 cases), trunk (6 cases), viscera (5 cases: 3
mediastinal, 1 retroperitoneal, and 1 intracardiac), and head/neck (4 cases).
Histologically, the tumors were heterogeneous, with epithelioid, clear, spindle
and/or plasmacytoid cells forming nests, cords or solid sheets in a myxoid or
hyalinized stroma. Epithelioid cells predominated in the majority of cases (27 of
29; 93%) and in 10 cases (34%), tumor cells focally had scant cytoplasm with
round cell morphology. The mitotic rate ranged from <1 to 68 per 10 high power
fields (median, 8), and tumor necrosis was present in 14 cases. At least 1 broad
spectrum cytokeratin was positive in all tumors [CAM5.2 in 17 of 18 (94%),
AE1/AE3 in 15 of 20 (75%), and PAN-K in 14 of 21 (67%)], and EMA was positive in
19 of 29 cases (66%). Either S100 or GFAP was positive in all but 4 cases [S100
in 21 of 29 (72%) and GFAP in 15 of 28 (54%)]. Clinical follow-up in 23 cases
revealed that 9 patients had local recurrences (53% of the 17 patients who
underwent complete excision with negative margins); 12 (52%) developed
metastases; and 10 (43%) have died of disease so far, at a median interval of 9
months after diagnosis. Despite the relative rarity of carcinomas in the
pediatric population, myoepithelial carcinoma seems to be disproportionately
common among children and often has an aggressive clinical course.
PMID- 18043036
TI - Improved methods of detection of lymphovascular invasion demonstrate that it is
the predominant method of vascular invasion in breast cancer and has important
clinical consequences.
AB - The presence of vascular invasion (VI), encompassing both lymphovascular invasion
(LVI) and blood vascular invasion (BVI), in breast cancer has been found to be a
poor prognostic factor. It is not clear, however, which type of VI plays the
major role in metastasis. The aims of this study were to use an endothelial
subtype specific immunohistochemical approach to distinguish between LVI and BVI
by comparing the differential expression of blood vascular (CD34 and CD31) and
lymphatic markers (podoplanin/D2-40) to determine their prognostic role in a well
characterized group of breast cancer patients with known long-term follow-up.
Sections from 177 consecutive paraffin-embedded archival specimens of primary
invasive breast cancer were stained for expression of podoplanin, D2-40, CD31,
and CD34. BVI and LVI were identified and results were correlated with
clinicopathologic criteria and patient survival. VI was detected in 56/177
specimens (31.6%); 54 (96.4%) were LVI and 2 (3.5%) were BVI. The presence of LVI
was significantly associated with the presence of lymph node metastasis, larger
tumor size, development of distant metastasis, regional recurrence and worse
disease-free interval and overall survival. In multivariate analysis, LVI
retained significance association with decreased disease-free interval and
overall survival. In conclusion, VI in breast cancer is predominantly of lymph
vessels and is a powerful independent prognostic factor, which is associated with
risk of recurrence and death from the disease. The use of immunohistochemical
staining with a lymphendothelial specific marker such as podoplanin/D2-40
increases the accuracy of identification of patients with tumor associated LVI.
PMID- 18043037
TI - Human papillomavirus (HPV) profiles of vulvar lesions: possible implications for
the classification of vulvar squamous cell carcinoma precursors and for the
efficacy of prophylactic HPV vaccination.
AB - The term vulvar intraepithelial neoplasia (VIN) introduced in 1986 incorporates 3
grades of usual VIN (u-VIN I-III) and the differentiated VIN (d-VIN). Although u
VIN is etiologically associated with the human papillomavirus (HPV) infection, d
VIN represents an alternative HPV negative pathway of vulvar carcinogenesis. In
2004, the u-VIN I category was abandoned and u-VIN II and III were merged.
Further, an alternative Bethesda-like terminology scheme presenting the term
vulvar intraepithelial lesion was proposed recently. To analyze the impact of HPV
profiles of vulvar precancerous lesions for their classification and to assess
the presumable efficacy of the prophylactic HPV vaccination, 269 vulvar excisions
representing lichen sclerosus, lichen simplex chronicus, condylomata acuminata, d
VIN, all grades of u-VIN and squamous cell carcinomas were subjected to the HPV
typing by use of GP5+/6+ polymerase chain reaction and reverse line blot
hybridization. The results showed different HPV profiles, and also differing
frequency of multiple-type HPV infection and the age structure in patients with u
VIN II and III. The biologic heterogeneity within the u-VIN II category was also
demonstrated. u-VIN I was distinguished as a rare disorder associated with high
risk HPV infection. We conclude that the original VIN terminology proposed in
1986 seems to be appropriate for the classification of vulvar squamous dysplastic
lesions. The spectrum of HPV types found in vulvar squamous cell carcinomas
indicates that the efficacy of HPV vaccination in preventing vulvar cancer might
be diminished in the studied population, because the recently developed
prophylactic vaccines are targeted against a limited number of HPV types.
PMID- 18043038
TI - Pulmonary chondroma: a tumor associated with Carney triad and different from
pulmonary hamartoma.
AB - The Carney triad is the clinical association of gastric stromal sarcomas,
pulmonary cartilaginous tumors, and extra-adrenal paragangliomas. The pulmonary
tumors are its second commonest component and have been misinterpreted clinically
and pathologically as metastases from the gastric tumors and pulmonary
cartilaginous hamartomas, respectively. They have not been previously described
in detail in the pathology literature or compared with pulmonary cartilaginous
hamartomas. Forty-two patients with pulmonary cartilaginous tumors as a component
of Carney triad were identified. Clinical, radiographic, and pathologic findings
in the cases were tabulated. Hematoxylin and eosin-stained sections of the
neoplasms were evaluated for a series of histologic features. A subgroup of 41
tumors from the latter was compared with those in a group of pulmonary
cartilaginous hamartomas. Patients with Carney triad group were predominantly
young women. Their pulmonary neoplasm(s) were usually asymptomatic, often
multiple, well circumscribed, medium-sized (mean diameter=2.8 cm), and composed
almost exclusively of cartilage and bone surrounded by a fibrous pseudocapsule.
The cartilage was usually myxoid, less frequently hyaline, and commonly
calcified, ossified, or both. They showed no fat, smooth muscle or entrapped
respiratory epithelium, tissues that were common in pulmonary hamartoma
(P<0.0001). None of the tumors metastasized or was fatal. The pulmonary neoplasms
in the Carney triad are well-differentiated benign cartilaginous tumors that are
best designated as chondromas. They differ pathologically from pulmonary
cartilaginous hamartomas on the basis of the presence of a thin fibrous
pseudocapsule, frequent bone metaplasia, and calcification, and also the absence
of entrapped epithelium and fat.
PMID- 18043039
TI - Longitudinal evaluation of interobserver and intraobserver agreement of cervical
intraepithelial neoplasia diagnosis among an experienced panel of gynecologic
pathologists.
AB - Histologic diagnoses of cervical intraepithelial neoplasia grades 2 and 3 (CIN
2/3) are the key end points in clinical trials that evaluate the efficacy of a
prophylactic quadrivalent human papillomavirus vaccine against cervical cancer.
Adjudication of end points uses a panel of 4 pathologists. Quality control slides
(n=185) from a nonclinical trial study with preestablished gold standard CIN
diagnoses were used to characterize the panel's agreement on CIN diagnoses and
monitor performance longitudinally. At 3-month intervals over 2 years, 1 of 6
different batches of quality control slides (n=30-31) was included with clinical
trial slides for independent review by each of the 4 panelists. Unweighted kappas
(kappa) were estimated within each panelist pair by dichotomizing the diagnoses
as CIN+ versus non-CIN+ (including normal, unsatisfactory, and atypical immature
metaplasia) or CIN 2/3+ versus non-CIN 2/3+ (including normal, unsatisfactory,
atypical immature metaplasia, and CIN 1). Quadratic weighted kappa was calculated
within each panelist pair using 4 diagnostic categories: normal, CIN 1, CIN 2,
and CIN 3 or worse. Substantial interobserver agreement was observed (weighted
kappa=0.765 to 0.865). Agreement with weighted kappa=0.779 to 0.887 was observed
between the individual panelists and the gold standard, which is almost perfect
agreement by Landis-defined categories. Intraobserver agreement was very high
(weighted kappa=0.756 to 0.883). Some fluctuation in intraobserver and
interobserver agreement was observed over the study period but there was no
decreasing time trend. These data indicate that the interpretation of histologic
end points used in the quadrivalent vaccine clinical trial program is highly
valid and reliable.
PMID- 18043040
TI - Urothelial carcinoma with an inverted growth pattern can be distinguished from
inverted papilloma by fluorescence in situ hybridization, immunohistochemistry,
and morphologic analysis.
AB - Inverted papilloma of the urinary bladder and urothelial carcinoma with an
inverted (endophytic) growth pattern may be difficult to distinguish
histologically, especially in small biopsies. The distinction is important as
these lesions have very different biologic behaviors and are treated differently.
We examined histologic features and undertook immunohistochemical staining and
UroVysion fluorescence in situ hybridization (FISH) to determine whether these
methods could aid in making this distinction. We examined histologic sections
from 15 inverted papillomas and 29 urothelial carcinomas with an inverted growth
pattern. Each tumor was stained with antibodies to Ki-67, p53, and cytokeratin
20. In addition, each tumor was examined with UroVysion FISH for gains of
chromosomes 3, 7, and 17 and for loss of chromosome 9p21 signals. None of the
inverted papillomas stained positively for Ki-67 or for cytokeratin 20. Only 1 of
15 inverted papillomas stained positively for p53. By contrast, 66%, 59%, and 59%
of urothelial carcinomas with an inverted growth pattern stained positively for
Ki-67, p53, and cytokeratin 20, respectively. Only 3 of the urothelial carcinomas
stained negatively for all 3 immunohistochemical markers. UroVysion FISH produced
normal results for all cases of inverted papilloma. By contrast, 21 of 29 cases
(72%) of urothelial carcinoma with an inverted growth pattern demonstrated
chromosomal abnormalities typical of urothelial cancer and were considered
positive by UroVysion FISH criteria. Morphologic features, as well as
immunohistochemical stains (including stains for Ki-67, p53, and cytokeratin 20)
and/or UroVysion FISH can help to distinguish inverted papilloma from urothelial
carcinoma with an inverted growth pattern.
PMID- 18043041
TI - Primary mediastinal liposarcoma: clinicopathologic analysis of 24 cases.
AB - Liposarcomas are rare in the mediastinum. Here, we report the clinicopathologic
features of 24 cases of mediastinal liposarcoma. Patients included 13 males and
11 females, with an age range of 3 to 72 years (median 58). Nine tumors were
located in the anterior mediastinum, 7 in the posterior mediastinum, 1 in the
superior mediastinum, and the precise location was not specified in 7 cases. Of
the anterior mediastinal tumors, 3 appeared to arise from the thymus. Tumors were
well-circumscribed, multinodular masses and ranged in size from 2.2 to 61 cm in
greatest dimension (median 16 cm). Histologic examination revealed that most of
the cases were well-differentiated liposarcomas (10), followed by
dedifferentiated liposarcomas (8), pleomorphic liposarcomas (4), and myxoid
liposarcomas (2). Of the pleomorphic liposarcomas, 2 had areas that resembled
myxofibrosarcoma with atypical hyperchromatic spindle cells in a myxoid stroma,
but the focal presence of lipoblasts confirmed the diagnoses. Clinical follow-up
was obtained in 15 cases (range 1 to 59 mo; median 26). Complete surgical
excision was attempted in 13 patients; however, local recurrence was common (5
cases), including 1 patient whose tumor recurred twice. Eleven patients were
alive with no evidence of disease at last follow-up (5 well-differentiated, 5
dedifferentiated, and 1 myxoid liposarcoma). Two patients developed distant
metastases (dedifferentiated and pleomorphic liposarcoma). One patient was alive
with disease (pleomorphic liposarcoma), and 2 died of disease (pleomorphic and
dedifferentiated liposarcoma). Overall, mediastinal liposarcomas appear to be
similar, in clinicopathologic terms, to liposarcomas arising in the
retroperitoneum.
PMID- 18043042
TI - Nuclear expression of hypoxia-inducible factor-1alpha in clear cell renal cell
carcinoma is involved in tumor progression.
AB - OBJECTIVES: The most frequent genomic abnormality in clear cell renal cell
carcinoma (cc-RCC) is inactivation of Von Hippel-Lindau gene (VHL). pVHL19 is a
ligase promoting proteosomal degradation of hypoxia-inducible factor-1alpha (HIF
1alpha); pVHL30 is associated with microtubules. VHL exert its oncogenetic action
both directly and through HIF-1alpha activation. TNM classification is unable to
define a correct prognostic evaluation of intracapsular cc-RCC. The nucleo
cytoplasmic trafficking in VHL/HIF-1alpha pathway could be relevant in
understanding the molecular pathogenesis of renal carcinogenesis. This study
analyzes VHL/HIF-1alpha proteins in a large series of intracapsular cc-RCCs,
correlating their expression and cellular localization with prognosis. MATERIALS
AND METHODS: Two anti-pVHL (clones Ig32 and Ig33) and 1 anti-HIF-1alpha were used
on tissue microarrays from 136 intracapsular cc-RCCs (mean follow-up: 74 mo).
Clone 32 recognizes both pVHLs, whereas clone 33 only pVHL30. Results were
matched with clinicopathologic variables and tumor-specific survival (TSS).
RESULTS: A strong cytoplasmic positivity was found for all antibodies in the
largest part of cases, associated to a strong nuclear localization in the case of
HIF-1alpha. All pVHL-negative cases were associated with high HIF-1alpha
expression. pVHL negativity and HIF-1alpha nuclear positivity significantly
correlated with shorter TSS. In multivariate analysis both pVHL negativity and
HIF-1alpha nuclear expression were independent predictors of TSS. CONCLUSIONS:
The localization of the proteins well matches with their role and with the
supposed tumor molecular pathways. The correlation with prognosis of VHL/HIF
1alpha alterations confirms the relevance of their molecular pathway and of the
cellular trafficking of their products in the pathogenesis of renal cancer.
PMID- 18043043
TI - Poorly differentiated colorectal carcinoma with invasion restricted to lamina
propria (intramucosal carcinoma): a follow-up study of 15 cases.
AB - Invasive colorectal carcinomas (CRCs) with invasion confined to the lamina
propria (LP) [intramucosal carcinoma (IMC)] lack access to lymphatics and
therefore have no potential for metastases and local intervention (usually
polypectomy) should be adequate treatment. For this reason, they are classified
as "Tis" in the TNM system. It is believed that carcinomas invading the submucosa
with unfavorable histology (tumors at/near the margin, and/or vascular invasion,
and/or poor differentiation) require additional intervention after polypectomy,
whereas those with favorable histology can be safely treated endoscopically.
However, there are few data on poorly differentiated (PD) carcinomas showing
invasion confined to the LP. Polypectomy is theoretically curative but in
practice this has not been well demonstrated. Thus, the clinicopathologic
features of 15 cases of PD CRCs with invasion limited to the LP on initial
biopsies were studied to determine the best course of management for this rare
subset of carcinomas. A computer search and histologic review of cases seen at
Johns Hopkins Hospital was performed. Fifteen cases of PD CRC with invasion
limited to the LP were identified. The clinicopathologic features of these tumors
were reviewed. All 15 cases showed PD IMC with single cells infiltrating only the
LP. Patients were 38 to 79 years (median, 62) of age with a male predominance
(M:F=4:1). Three cases had signet ring cell differentiation, 1 had focal small
cell features, and another had focal squamous differentiation. Fourteen of the
cases were associated with background adenomas or adenomalike lesions including:
7 involving tubulovillous or villous adenomas, 6 involving tubular adenomas, 1
involving dysplasia associated with chronic inflammatory bowel disease. Nine of
the lesions had surrounding high-grade dysplasia. One case showed no background
dysplasia or adenoma. One patient was lost to follow-up and the remaining 14 were
followed for 1 to 96 months (mean, 21.3 mo; median, 13 mo). Seven patients had no
residual disease on follow-up colonoscopy, and no resection was performed. The
remaining 7 patients were treated with partial colectomy (6) or low anterior
resection (1), and of these, 5 had no infiltrating carcinoma and negative lymph
nodes. One patient had a separate large colorectal (T3) carcinoma with 8/10
positive regional lymph nodes; the IMC seen on biopsy was presumably a metastasis
as it was unassociated with an in situ component. Finally, the resected rectum
from which an IMC had been previously detected had no residual invasive
carcinoma, but the anal skin was involved by Paget disease. Thus, of the 15 cases
of PD CRCs limited to the LP, 1 was a metastasis from a separate CRC and another
had associated Paget disease of the anal skin. As such, even in the setting of PD
carcinomas, no metastatic disease was seen arising from any of the cases that
were confirmed as early primary lesions. These preliminary findings suggest that
patients with isolated intramucosal PD CRCs may be managed endoscopically.
PMID- 18043044
TI - Indolent CD8-positive lymphoid proliferation of the ear: a distinct primary
cutaneous T-cell lymphoma?
AB - The authors report 4 cases of cutaneous lymphoproliferation unusual by their
histology and their clinical presentation. Each presented with a history of a
slow growing nodule on the ear. Despite the indolent clinical evolution, the
histology suggested a high-grade lymphoma. All lesions consisted of a dense,
diffuse proliferation of monomorphous medium-sized T cells throughout the dermis
and subcutis. There was no epidermotropism and a grenz zone was clearly present
in each case. The tumor cells displayed irregular blastlike nuclei, with small
nucleoli and clear chromatin and had a CD3+, CD8+, CD4+, TIA1+, granzyme B(
)immunophenotype with a loss of other T-cell antigens. The 3 cases with available
material for polymerase chain reaction studies displayed a monoclonal T-cell
rearrangement of the T-cell receptor-gamma chain. These cases do not correspond
to a recognized cutaneous T-cell lymphoma as described in the recent WHO/EORTC
classification. The apparent striking propensity for the ear suggests that they
might represent a specific entity. Further cases are needed to confirm this
hypothesis. It is important for such indolent lesions to be known to avoid over
treatment.
PMID- 18043045
TI - Misoprostol associated refractile material in fetal and placental tissues after
medical termination of pregnancy.
AB - Misoprostol is a synthetic prostaglandin analog administered vaginally to induce
labor for intrauterine death or termination of pregnancy for congenital
abnormalities. We encountered a case of misoprostol induction of labor at 14
weeks of gestation for fetal acrania associated with amniotic bands. Histology
demonstrated abundant deposits of refractile material appearing to be of
vegetable fiber origin on the maternal surface of the fetal membranes.
Misoprostol tablet scrapings had a similar microscopic appearance. Ten additional
placentas from cases of misoprostol induction of labor between 16 and 18 weeks of
gestation were examined and half were found to contain such deposits. No deposits
were seen in cases between 15 and 18 weeks of gestation where misoprostol was not
used. We attribute the refractile material to a nonmedicinal ingredient,
microcrystalline cellulose, in the misoprostol tablet preparation. This study
demonstrates that vaginal administration of misoprostol tablets can be detected
microscopically in at least half of cases and may have a florid appearance
simulating a potential causative factor of fetal malformation. Despite the large
amounts of microcrystalline cellulose and its apparent embedding in placental
tissue, the misoprostol in our index case was unlikely to have caused the
amniotic bands and the resulting cranial abnormality.
PMID- 18043046
TI - Porokeratoma.
AB - Cornoid lamellation is a specific disorder of epidermal maturation manifested by
a vertical "column" of parakeratosis and is the hallmark of porokeratosis. The
cornoid lamella is characterized by a ridgelike parakeratosis. We present 11
patients with solitary lesions of a distinct pattern of cornoid lamellation. The
mean age at presentation clinically was 57 years; there were 9 men and 2 women.
The duration of the lesions ranged from 3 months to 5 years (mean of 23 mo). All
lesions were solitary, distributed mainly on the distal upper and lower limbs,
and were clinically described as hyperkeratotic plaques or nodules; some were
verrucous. Histologic examination showed a well-defined lesion characterized by
acanthosis and verrucous hyperplasia with prominent multiple and confluent
cornoid lamellae. No additional lesions were identified in any patient, with a
mean follow-up duration of 34 months. No personal or family history of
porokeratosis was elicited and no immunosuppressive conditions were noted. These
lesions with multiple and confluent cornoid lamellae represent benign acanthomas
with features of porokeratosis. As a solitary tumorlike lesion, it is akin to
warty dyskeratoma and epidermolytic acanthoma, thus we have coined the term
porokeratoma.
PMID- 18043047
TI - Subungual melanoma: a study of 124 cases highlighting features of early lesions,
potential pitfalls in diagnosis, and guidelines for histologic reporting.
AB - Subungual melanoma (SUM) is an uncommon variant of melanoma that is often
difficult to diagnose, both clinically and pathologically. In an attempt to
provide pathologic clues to diagnosis, especially in early lesions or small
biopsies, and to provide practical advice to pathologists in reporting, the
clinicopathologic features of 124 cases of SUM were reviewed, the largest series
reported to date. The features of 28 cases of subungual melanoma in situ (MIS),
comprising 4 cases of MIS and 24 cases where areas of MIS were present adjacent
to dermal-invasive SUMs, were compared with those of a similar number of acral
nevi to identify useful distinguishing features. The median age of the patients
was 59 years and the most common site was the great toe (24%). Nine percent of
cases were AJCC stage 0, 14% were stage I, 41% were stage II, 32% were stage III,
and 4% were stage IV at initial diagnosis. The commonest histogenetic subtype was
acral lentiginous (66%), followed by nodular (25%) and desmoplastic (7%). The
majority of tumors were locally advanced at presentation with 79% being Clark
level IV or V. The median Breslow thickness was 3.2 mm. The median mitotic rate
was 3 per mm and 33% of cases demonstrated primary tumor ulceration. Seven of 29
patients (24%) who underwent a sentinel lymph node biopsy had nodal disease.
Multivariate Cox-regression analysis showed higher disease stage to be the only
significant predictor of shortened survival. In comparison to acral nevi, MIS
more frequently showed lack of circumscription, a prominent lentiginous growth
pattern, predominance of single cells over nests, moderate-to-severe cytologic
atypia, a dense and haphazard pagetoid intraepidermal spread of melanocytes, and
the presence of junctional/subjunctional lymphocytes ("tumor infiltrating
lymphocytes"). Tumor infiltrating lymphocytes have not been highlighted
previously as a feature of subungual MIS and represent a useful diagnostic clue.
Guidelines for the reporting of SUMs are also presented. Knowledge and
recognition of the pathologic features of SUMs and the important features that
distinguish them from nevi should reduce the frequency of misdiagnosis.
PMID- 18043048
TI - Growing teratoma syndrome of the ovary: review of literature and first report of
a carcinoid tumor arising in a growing teratoma of the ovary.
AB - We report the first case of a secondary tumor arising from a peritoneal nodule of
mature teratoma in a patient with growing teratoma syndrome (GTS) of the ovary.
The patient originally presented 19 years ago with an immature teratoma of the
ovary and positive retroperitoneal lymph nodes. After surgery and chemotherapy,
mature teratomas recurred as abdominal and pelvic masses after 1, 6, and 19
years. Upon the last recurrence, a trabecular carcinoid tumor developed in a
mature teratoma associated with the liver. This case illustrates the importance
of long-term follow-up for patients with GTS of the ovary, where the recurrent
masses can appear many years after the primary tumor, compress the abdominal and
pelvic structures and give rise to secondary neoplasms. In addition, we present a
literature review of GTS of the ovary and some novel observations about this
entity. On the basis of our review of ovarian GTS cases in the literature, we
have found that ovarian GTS nodules tend to appear for the first time within 2
years of the initial primary. They remain confined almost exclusively to the
pelvis, abdomen, and the retroperitoneum and do not venture to distant systemic
sites. This new information may help identify and screen women with germ cell
tumors of the ovary at risk for GTS.
PMID- 18043049
TI - Early undifferentiated pancreatic carcinoma with osteoclastlike giant cells:
direct evidence for ductal evolution.
AB - Undifferentiated (anaplastic) carcinomas of the pancreas are rare. To a variable
degree, they may contain osteoclastlike giant cells and are then sometimes
referred to as osteoclastlike giant cell tumors. The histogenesis of these tumors
has been discussed with great controversy. Thus, as a result from numerous
histomorphologic, immunohistochemical, ultrastructural, and molecular
examinations, frequently performed as single case studies, it has been concluded
that undifferentiated carcinomas and osteoclastlike giant cell tumors of the
pancreas originate from epithelial cells, mesenchymal cells, undifferentiated
precursor cells, or stem cells. However, to date, early stage tumors have not
been described, most likely because of the fact that at the time of diagnosis the
tumors have commonly reached advanced stages with large tumor size. In this
report, we present the case of an undifferentiated pancreatic carcinoma with
osteoclastlike giant cells, which was incidentally detected at a very early stage
in a pancreatitis specimen. Our histomorphologic and immunohistochemical findings
not only provide evidence for a ductal origin, but for the first time document
initial steps in the evolution of these tumors. Therefore, we suggest that the
tumor should be considered as an anaplastic variant of pancreatic ductal
adenocarcinoma.
PMID- 18043051
TI - Developing and exercising the language of airway management.
PMID- 18043052
TI - Acute renal failure in a general surgical population: risk profiles, mortality,
and opportunities for improvement.
PMID- 18043053
TI - P6 stimulation: a new approach to an ancient technique.
PMID- 18043054
TI - Forward-deployed anesthesiologists and pain treatment in combat support
hospitals: making decisions about deployment of anesthesiologists in support of
the global war on terrorism.
PMID- 18043055
TI - Dynamic interaction of craniofacial structures during head positioning and direct
laryngoscopy in anesthetized patients with and without difficult laryngoscopy.
AB - BACKGROUND: We lack fundamental knowledge of the mechanisms of difficult
laryngoscopy despite its clinical significance. The aim of this study was to
examine how head positioning and direct laryngoscopy alter arrangements of
craniofacial structures. METHODS: Digital photographs of the lateral view of the
head and neck were taken at each step of head positioning and direct laryngoscopy
in age- and body mass index-matched patients with (n = 13) and without (n = 13)
difficult laryngoscopy during general anesthesia with muscle paralysis. The
images were used for measurements of various craniofacial dimensions. RESULTS:
Both simple neck extension and the sniffing position produced a caudal shift of
the mandible and a downward shift of the larynx, resulting in an increase of the
submandibular space. Direct laryngoscopy during the sniffing position displaced
the mandible and tongue base upward and caudally, and the larynx downward and
caudally, increasing the submandibular space and facilitating vertical
arrangement of the mandible, tongue base, and larynx to the facial line. These
structural arrangements in response to direct laryngoscopy were not observed in
patients with difficult laryngoscopy, whereas head positioning produced similar
structural arrangements in patients with and without difficult laryngoscopy.
CONCLUSION: Increase in the submandibular space and a vertical arrangement of the
mandible, tongue base, and larynx to the facial line seem to be important
mechanisms for improving the laryngeal view during head positioning and direct
laryngoscopy. Failure of these structural arrangements in response to direct
laryngoscopy may result in difficult laryngoscopy.
PMID- 18043056
TI - Craniocervical motion during direct laryngoscopy and orotracheal intubation with
the Macintosh and Miller blades: an in vivo cinefluoroscopic study.
AB - BACKGROUND: Previous studies have characterized segmental craniocervical motion
that occurs during direct laryngoscopy and intubation with a Macintosh
laryngoscope blade. Comparable studies with the Miller blade have not been
performed. The aim of this study was to compare maximal segmental craniocervical
motion occurring during direct laryngoscopy and orotracheal intubation with
Macintosh and Miller blades. METHODS: Eleven anesthetized and pharmacologically
paralyzed patients underwent two sequential orotracheal intubations, one with a
Macintosh blade and another with a Miller in random order. During each
intubation, segmental craniocervical motion from the occiput to the fifth
cervical vertebra (C5) was recorded using continuous lateral cinefluoroscopy.
Single-frame images corresponding to the point of maximal cervical motion for
both blade types were compared with a preintubation image. Using image analysis
software, angular change in the sagittal plane at each of five intervertebral
segments was compared between the Macintosh and Miller blades. RESULTS: Extension
at occiput-C1 was greater with the Macintosh blade compared with the Miller (12.1
degrees +/- 4.9 degrees vs. 9.5 degrees +/- 3.8 degrees, respectively; mean
difference = 2.7 degrees +/- 3.0 degrees; P = 0.012). Total craniocervical
extension (occiput-C5) was also greater with the Macintosh blade compared with
the Miller (28.1 degrees +/- 9.5 degrees vs. 23.2 degrees +/- 8.4 degrees,
respectively; mean difference = 4.8 degrees +/- 4.4 degrees; P = 0.008).
CONCLUSIONS: Compared with the Macintosh, the Miller blade was associated with a
statistically significant, but quantitatively small, decrease in cervical
extension. This difference is likely too small to be important in routine
practice.
PMID- 18043057
TI - Predictors of postoperative acute renal failure after noncardiac surgery in
patients with previously normal renal function.
AB - BACKGROUND: The authors investigated the incidence and risk factors for
postoperative acute renal failure after major noncardiac surgery among patients
with previously normal renal function. METHODS: Adult patients undergoing major
noncardiac surgery with a preoperative calculated creatinine clearance of 80
ml/min or greater were included in a prospective, observational study at a single
tertiary care university hospital. Patients were followed for the development of
acute renal failure (defined as a calculated creatinine clearance of 50 ml/min or
less) within the first 7 postoperative days. Patient preoperative characteristics
and intraoperative anesthetic management were evaluated for associations with
acute renal failure. Thirty-day, 60-day, and 1-yr all-cause mortality was also
evaluated. RESULTS: A total of 65,043 cases between 2003 and 2006 were reviewed.
Of these, 15,102 patients met the inclusion criteria; 121 patients developed
acute renal failure (0.8%), and 14 required renal replacement therapy (0.1%).
Seven independent preoperative predictors were identified (P < 0.05): age,
emergent surgery, liver disease, body mass index, high-risk surgery, peripheral
vascular occlusive disease, and chronic obstructive pulmonary disease
necessitating chronic bronchodilator therapy. Several intraoperative management
variables were independent predictors of acute renal failure: total vasopressor
dose administered, use of a vasopressor infusion, and diuretic administration.
Acute renal failure was associated with increased 30-day, 60-day, and 1-yr all
cause mortality. CONCLUSIONS: Several preoperative predictors previously reported
to be associated with acute renal failure after cardiac surgery were also found
to be associated with acute renal failure after noncardiac surgery. The use of
vasopressor and diuretics is also associated with acute renal failure.
PMID- 18043058
TI - Monitoring of neuromuscular blockade at the P6 acupuncture point reduces the
incidence of postoperative nausea and vomiting.
AB - BACKGROUND: Electrical stimulation of the P6 acupuncture point reduces the
incidence of postoperative nausea and vomiting (PONV). Neuromuscular blockade
during general anesthesia can be monitored with electrical peripheral nerve
stimulation at the wrist. The authors tested the effect of neuromuscular
monitoring over the P6 acupuncture point on the reduction of PONV. METHODS: In
this prospective, double-blinded, randomized control trial, the authors
investigated, with institutional review board approval and informed consent, 220
women undergoing elective laparoscopic surgery anesthetized with fentanyl,
sevoflurane, and rocuronium. During anesthesia, neuromuscular blockade was
monitored by a conventional nerve stimulator at a frequency of 1 Hz over the
ulnar nerve (n = 110, control group) or over the median nerve (n = 110, P6 group)
stimulating at the P6 acupuncture point at the same time. The authors evaluated
the incidence of nausea and vomiting during the first 24 h. RESULTS: No
differences in demographic and morphometric data were found between both groups.
The 24-h incidence of PONV was 45% in the P6 acupuncture group versus 61% in the
control group (P = 0.022). Nausea decreased from 56% in the control group to 40%
in the P6 group (P = 0.022), but emesis decreased only from 28% to 23% (P =
0.439). Nausea decreased substantially during the first 6 h of the observation
period (P = 0.009). Fewer subjects in the acupuncture group required ondansetron
as rescue therapy (27% vs. 39%; P = 0.086). CONCLUSION: Intraoperative P6
acupuncture point stimulation with a conventional nerve stimulator during surgery
significantly reduced the incidence of PONV over 24 h. The efficacy of P6
stimulation is similar to that of commonly used antiemetic drugs in the
prevention of PONV.
PMID- 18043059
TI - A facilitated survey instrument captures significantly more anesthesia events
than does traditional voluntary event reporting.
AB - BACKGROUND: This study sought to evaluate the effectiveness of an active survey
method for detecting anesthesia nonroutine events (NREs). An NRE is any aspect of
clinical care perceived by clinicians or observers as a deviation from optimal
care based on the context of the clinical situation. METHODS: A Comprehensive
Open-ended Nonroutine Event Survey (CONES) was developed to elicit NREs. CONES,
which consisted of multiple brief open-ended questions, was administered to
anesthesia providers in the postanesthesia care unit. CONES data were compared
with those from the same hospital's anesthesia quality assurance (QA) process,
which relied on self-reporting of predefined adverse events. RESULTS: CONES
interviews were conducted after 183 cases of varying patient, anesthesia, and
surgical complexity. Fifty-five cases had at least one NRE (30.4% incidence).
During the same 30-month period, the QA process captured 159 cases with 96.8%
containing at least one NRE among the 8,303 anesthetic procedures conducted (1.9%
overall incidence). The CONES data were more representative of the overall
surgical population. There were significant differences in NRE incidence (P <
0.001), patient impact (74.5% vs. 96.2%; P < 0.001), and injury (23.6% vs. 60.3%)
between CONES and QA data. Outcomes were more severe in the QA group (P < 0.001).
Extrapolation of the CONES data suggested a significantly higher overall
incidence of anesthesia-related patient injury (7.7% vs. only 1.0% with the QA
method). CONCLUSIONS: An active surveillance tool using the NRE construct
identified a large number of clinical cases with potential patient safety
concerns. This approach may be a useful complement to more traditional QA methods
of self-reporting.
PMID- 18043060
TI - Treatment of iron deficiency anemia in orthopedic surgery with intravenous iron:
efficacy and limits: a prospective study.
AB - BACKGROUND: Preoperative anemia is frequent in patients undergoing orthopedic
surgery. The purpose of this study was to assess the preoperative increase of
hemoglobin in iron deficiency anemia patients treated with intravenous iron.
METHODS: After obtaining written informed consent, 20 patients with iron
deficiency anemia received 900 mg intravenous iron sucrose over 10 days starting
4 weeks before surgery. Changes of hemoglobin and iron status were measured over
4 weeks and at discharge. In the last 11 patients, endogenous erythropoietin was
also measured. Data were analyzed using the Friedman test followed by pairwise
Wilcoxon signed rank tests with Bonferroni correction. RESULTS: Hemoglobin
increased significantly (P < 0.0001) after intravenous iron treatment. Overall,
the mean maximum increase was 1.0 +/- 0.6 g/dl (range, 0.2-2.2 g/dl). Ferritin
increased from 78 +/- 70 to 428 +/- 191 microg/l (P = 0.0001), ferritin index
decreased from 2.7 +/- 2.4 to 1.5 +/- 1.0 (P = 0.0001), and soluble transferrin
receptor decreased from 4.1 +/- 2.3 mg/l to 3.7 +/- 2.3 mg/l (P = 0.049), whereas
transferrin saturation (20.5 +/- 9.0 to 22.9 +/- 9.0%) and serum iron (13.3 +/-
4.6 to 13.1 +/- 4.5 microm) did not change significantly after intravenous iron
treatment. Endogenous erythropoietin decreased from 261 +/- 130 pg/ml to 190 +/-
49 pg/ml 2 weeks after intravenous iron treatment (P = 0.050, not significant
after Bonferroni correction). No adverse events related to intravenous iron were
observed. The maximum increase of hemoglobin was observed 2 weeks after the start
of intravenous iron treatment, indicating that administration of intravenous iron
2-3 weeks before surgery may be optimal. CONCLUSION: Treatment with intravenous
iron allows correcting iron deficiency anemia before elective surgery.
PMID- 18043061
TI - Quantification of epileptiform electroencephalographic activity during
sevoflurane mask induction.
AB - BACKGROUND: Sevoflurane may induce epileptiform electroencephalographic activity
leading to unstable Bispectral Index numbers, underestimating the hypnotic depth
of anesthesia. The authors developed a method for the quantification of
epileptiform electroencephalographic activity during sevoflurane anesthesia.
METHODS: Electroencephalographic data from 60 patients under sevoflurane mask
induction were used in the analysis. Electroencephalographic data were visually
classified. A novel electroencephalogram-derived quantity, wavelet subband
entropy (WSE), was developed. WSE variables were calculated from different
frequency bands. Performance of the WSE in detection and quantification of
epileptiform electroencephalographic activity and the ability of the WSE to
recognize misleading Bispectral Index readings caused by epileptiform activity
were evaluated. RESULTS: Two WSE variables were found to be sufficient for the
quantification of epileptiform activity: WSE from the frequency bands 4-16 and 16
32 Hz. The lower frequency band was used for monophasic pattern monitoring, and
the higher frequency band was used for spike activity monitoring. WSE values of
the lower and higher bands followed the time evolution of epileptiform activity
with prediction probabilities of 0.809 (SE, 0.007) and 0.804 (SE, 0.007),
respectively. In deep anesthesia with epileptiform activity, WSE detected
electroencephalographic patterns causing Bispectral Index readings greater than
60, with event sensitivity of 97.1%. CONCLUSIONS: The developed method proved
useful in detection and quantification of epileptiform electroencephalographic
activity during sevoflurane anesthesia. In the future, it may improve the
understanding of electroencephalogram-derived information by assisting in
recognizing misleading readings of depth-of-anesthesia monitors. The method also
may assist in minimizing the occurrence of epileptiform activity and seizures
during sevoflurane anesthesia.
PMID- 18043062
TI - Monitoring of the sublingual microcirculation in cardiac surgery using orthogonal
polarization spectral imaging: preliminary results.
AB - BACKGROUND: The recent introduction of orthogonal polarization spectral imaging
enables the direct visualization of the microcirculation of man without imaging
enhancing dyes. The authors studied the changes in microvascular perfusion of
sublingual mucosa during cardiac surgery with the use of cardiopulmonary bypass
(CPB) using this optical method. METHOD: Orthogonal polarization spectral images
were recorded in 47 patients after skin incision (T1), after the start of CPB
(T2), in the late phase of CPB (T3), and 1 h after the discontinuation of CPB
(T4). The images were analyzed for microvascular diameter, erythrocyte velocity,
and functional capillary density using an established analysis routine for
intravital microscopy studies. In a subpopulation (n = 8), the expression of the
adhesion molecules CD18 on circulation leukocytes was compared with the number of
visualized rolling leukocytes. RESULTS: Preoperatively, no significant changes of
the microvascular diameter and erythrocyte velocity were seen. The functional
capillary density was significantly reduced at T3 to 90% of the values observed
before CPB but recovered at T4 and showed a weak but significant correlation with
body temperature (r = 0.38, P < 0.01) and hemoglobin concentration (r = 0.20, P <
0.05). Expression of CD18 was significantly increased in the late phase of CPB
(T3) only, whereas the numbers of rolling leukocytes increased during CPB and
revealed a significant threefold increase 1 h after termination of CPB.
CONCLUSIONS: Orthogonal polarization spectral imaging revealed no major changes
of microvascular perfusion during uncomplicated hypothermic CPB. The slightly
reduced functional capillary density during CPB may be caused by several factors
all present during CPB, including hypothermia, the artificial extracorporeal
perfusion, surgical trauma, hemodilution, and inflammatory reaction. The current
data do not allow differentiation between the effects of those possible causes.
PMID- 18043063
TI - Comparison of catheter-related infection and tip colonization between internal
jugular and subclavian central venous catheters in surgical neonates.
AB - BACKGROUND: The primary aim of this study was to compare catheter-associated
infections and tip contaminations between percutaneously placed central venous
catheters in the internal jugular and subclavian veins in surgical neonates
undergoing major noncardiac surgery. METHODS: The prospectively computerized
protocols of 295 procedures were analyzed retrospectively. RESULTS: One hundred
twenty-nine internal jugular venous (group I) and 107 subclavian venous catheters
(group S) were included. The median postconceptual age was 37 weeks in group I
and 38 in group S. The weight ranged from 580 g to 4.5 kg in group I and from 820
g to 4.5 kg in group S at the time of insertion. Significantly more catheter
associated infections were observed in group I (15.5 vs. 4.7%; chi-square
analysis: P < 0.01). The internal jugular venous catheters were also associated
with a significantly increased probability of an earlier onset of a catheter
associated infection compared with the subclavian venous catheters (log rank
test: P < 0.01; Cox model: P < 0.01). This probability was only slightly
increased by a lower weight (Cox model: P = 0.075), and it was not increased by a
lower age (Cox model: P = 0.93). Significantly more catheter tips were
contaminated by pathogens in group I (55.8 vs. 33.6%; chi-square analysis: P <
0.01). CONCLUSION: The internal jugular venous catheters were associated with a
higher infection rate as well as earlier onset of catheter-associated infection
compared with the subclavian venous catheters.
PMID- 18043064
TI - Nitrosative stress and myocardial sarcoplasmic endoreticular calcium adenosine
triphosphatase subtype 2a activity after lung resection in swine.
AB - BACKGROUND: Chronic, disease-associated oxidative stress induces myocardial
peroxynitrite formation that may lead to nitrosative inhibition of the calcium
cycling protein sarcoplasmic endoreticular calcium adenosine triphosphatase
subtype 2a (SERCA2a). The current study was designed to test the hypothesis that
the acute oxidative stress associated with lung resection also induces myocardial
nitrosative stress and alters SERCA2a activity. METHODS: Ventricular myocardium
from 16 swine was studied; 11 animals had undergone left upper lobectomy (n = 7)
or sham thoracotomy (n = 4) 3 days before harvest, and 5 were nonoperated
controls. Tissue peroxynitrite was assessed by measurement of 3-nitrotyrosine
incorporation into proteins. SERCA2a activity was determined from indo-1 uptake
by isolated sarcoplasmic reticular membranes. Expression of SERCA2a and its
regulatory protein phospholamban were determined by Western blotting, as was the
phospholamban phosphorylation state (when dephosporylated, phospholamban inhibits
SERCA2a). Mechanical significance of changes in SERCA2a activity was assessed
from the force-frequency relation of isometric myocardial trabeculae. RESULTS:
Relative to both the control and sham groups, lobectomy animals exhibited a
greater than twofold higher myocardial 3-nitrotyrosine incorporation and an
approximately 50% lower SERCA2a activity, but no difference in SERCA2a or
phospholamban expression or phospholamban phosphorylation. Concomitantly, whereas
the trabecular force-frequency relation of control animals was positive, that of
lobectomy animals was negative, consistent with impaired calcium cycling.
CONCLUSIONS: These data indicate that oxidative/nitrosative stress associated
with lung resection influences SERCA2a activity independent of any influence on
protein expression or phospholamban phosphorylation. The findings link an acute
event with a subcellular process primarily described for chronic illness and
suggest a biochemical basis for perioperative changes in myocardial mechanical
reserve.
PMID- 18043065
TI - Isoflurane preconditioning improves long-term neurologic outcome after hypoxic
ischemic brain injury in neonatal rats.
AB - BACKGROUND: Preconditioning the brain with relatively safe drugs seems to be a
viable option to reduce ischemic brain injury. The authors and others have shown
that the volatile anesthetic isoflurane can precondition the brain against
ischemia. Here, the authors determine whether isoflurane preconditioning improves
long-term neurologic outcome after brain ischemia. METHODS: Six-day-old rats were
exposed to 1.5% isoflurane for 30 min at 24 h before the brain hypoxia-ischemia
that was induced by left common carotid arterial ligation and then exposure to 8%
oxygen for 2 h. The neuropathology, motor coordination, and learning and memory
functions were assayed 1 month after the brain ischemia. Western analysis was
performed to quantify the expression of the heat shock protein 70, Bcl-2, and
survivin 24 h after isoflurane exposure. RESULTS: The mortality was 45% after
brain hypoxia-ischemia. Isoflurane preconditioning did not affect this mortality.
However, isoflurane preconditioning attenuated ischemia-induced loss of neurons
and brain tissues, such as cerebral cortex and hippocampus in the survivors.
Isoflurane also improved the motor coordination of rats at 1 month after
ischemia. The learning and memory functions as measured by performance of Y-maze
and social recognition tasks in the survivors were not affected by the brain
hypoxia-ischemia or isoflurane preconditioning. The expression of Bcl-2, a well
known antiapoptotic protein, in the hippocampus is increased after isoflurane
exposure. This increase was reduced by the inhibitors of inducible nitric oxide
synthase. Inducible nitric oxide synthase inhibition also abolished isoflurane
preconditioning-induced neuroprotection. CONCLUSIONS: Isoflurane preconditioning
improved the long-term neurologic outcome after brain ischemia. Inducible nitric
oxide synthase may be involved in this neuroprotection.
PMID- 18043067
TI - Burst activation of the cerebral cortex by flash stimuli during isoflurane
anesthesia in rats.
AB - BACKGROUND: The degree of suppression of sensory functions during general
anesthesia is controversial. Here, the authors investigated whether discrete
flash stimuli induced cortical field potential responses at an isoflurane
concentration producing burst suppression and compared the spatiotemporal
properties and frequency spectra of flash-induced burst responses with those
occurring spontaneously. METHODS: Rats were equipped with multiple epidural and
intracortical electrodes to record cortical field potentials in the right
hemisphere at several locations along the anterior-posterior axis. At isoflurane
concentrations of 1.1, 1.4, and 1.8%, discrete light flashes were delivered to
the left eye while cortical field potentials were continuously recorded. RESULTS:
Isoflurane at 1.4-1.8% produced burst suppression. Each flash produced a visual
evoked potential in the primary visual cortex followed by secondary bursting
activity in more anterior regions. The average latency and duration of these
bursts were 220 and 810 ms, respectively. The spontaneous and flash-induced
bursts were similar in frequency, duration, and spatial distribution. They had
maximum power in the frontal (primary motor) cortex with a dominant frequency of
10 Hz. CONCLUSIONS: The results suggest that discrete flash stimuli activate the
motor regions of the cerebral cortex during isoflurane anesthesia and that these
activations are analogous with those that occur spontaneously during burst
suppression. Electrocortical suppression of the cortex during anesthesia does not
prevent its response to visual stimuli.
PMID- 18043066
TI - An evolutionarily conserved presynaptic protein is required for isoflurane
sensitivity in Caenorhabditis elegans.
AB - BACKGROUND: Volatile general anesthetics inhibit neurotransmitter release by an
unknown mechanism. A mutation in the presynaptic soluble NSF attachment protein
receptor (SNARE) protein syntaxin 1A was previously shown to antagonize the
anesthetic isoflurane in Caenorhabditis elegans. The mechanism underlying this
antagonism may identify presynaptic anesthetic targets relevant to human
anesthesia. METHODS: Sensitivity to isoflurane concentrations in the human
clinical range was measured in locomotion assays on adult C. elegans. Sensitivity
to the acetylcholinesterase inhibitor aldicarb was used as an assay for the
global level of C. elegans neurotransmitter release. Comparisons of isoflurane
sensitivity (measured by the EC50) were made by simultaneous curve fitting and F
test as described by Waud. RESULTS: Expression of a truncated syntaxin fragment
(residues 1-106) antagonized isoflurane sensitivity in C. elegans. This portion
of syntaxin interacts with the presynaptic protein UNC-13, suggesting the
hypothesis that truncated syntaxin binds to UNC-13 and antagonizes an inhibitory
effect of isoflurane on UNC-13 function. Consistent with this hypothesis,
overexpression of UNC-13 suppressed the isoflurane resistance of the truncated
syntaxins, and unc-13 loss-of-function mutants were highly isoflurane resistant.
Normal anesthetic sensitivity was restored by full-length UNC-13, by a shortened
form of UNC-13 lacking a C2 domain, but not by a membrane-targeted UNC-13 that
might bypass isoflurane inhibition of membrane translocation of UNC-13.
Isoflurane was found to inhibit synaptic localization of UNC-13. CONCLUSIONS:
These data show that UNC-13, an evolutionarily conserved protein that promotes
neurotransmitter release, is necessary for isoflurane sensitivity in C. elegans
and suggest that its vertebrate homologs may be a component of the general
anesthetic mechanism.
PMID- 18043068
TI - Sevoflurane directly excites locus coeruleus neurons of rats.
AB - BACKGROUND: Sevoflurane, an anesthetic showing high incidence of emergence
agitation in human patients, especially in children, increases noradrenaline
release in the preoptic area in the rat brain. The clinically observed frequency
of emergence agitation with sevoflurane is significantly reduced by drugs
activating alpha2 adrenoceptors. The locus coeruleus (LC) is a source nucleus of
widely spreading noradrenergic projections in the central nervous system and is
also known as one of the principal targets of some alpha2-adrenoceptor agonists,
such as dexmedetomidine. The authors analyzed the effects of sevoflurane and
other anesthetics on the membrane current of the LC neurons to study the
mechanism of the paradoxical "excitatory" effects of the anesthetics. METHODS:
Effects of volatile and nonvolatile anesthetics on the membrane potential and
currents of LC neurons in pontine slices of the rat were evaluated. Action
potential-dependent transmission was suppressed with tetrodotoxin. RESULTS:
Sevoflurane at 5% (measured concentration in the recording chamber, 0.5 mm)
induced an early-phase inward current in most of LC neurons in a robust manner,
which significantly increased the firing frequency in the absence of tetrodotoxin
under current clamp recording. Preadministration of dexmedetomidine (1-3 nm)
occluded this increase in firing frequency with sevoflurane. This inward current
was inhibited by a gap junction inhibitor carbenoxolone and was not observed with
nonvolatile general anesthetics and in non-LC neurons examined. CONCLUSIONS: The
excitatory current activated by sevoflurane in LC neurons, likely to be mediated
by gap junction-related mechanisms, might be one of the potential cellular
mechanisms underlying paradoxical excitatory effect of sevoflurane.
PMID- 18043069
TI - Return-to-duty rates among coalition forces treated in a forward-deployed pain
treatment center: a prospective observational study.
AB - BACKGROUND: Non-battle-related injuries and the recurrence of chronic pain are
major causes of medical evacuation in wartime. Because a pain medicine specialist
may or may not be assigned to a forward-deployed medical unit, the treatment of
pain from non-battle-related injuries in war zones is a serendipitous endeavor.
Previous studies have demonstrated that the return-to-unit rate for soldiers
evacuated to a tertiary care facility for pain management is abysmally low.
METHODS: This is a prospective, observational study measuring return-to-duty
rates in the first forward-deployed pain treatment center. RESULTS: Over a 6
month period, 132 patients were treated, the large majority (n = 113) of whom
were coalition forces. In descending order, the four most common diagnoses among
coalition forces were lumbar radiculopathy (n = 63), thoracic pain (n = 13),
cervical radiculopathy (n = 8), and groin pain (n = 8). Epidural steroid
injections (n = 125) were by far the most frequently performed procedure,
followed by trigger point injections (n = 21), lumbar facet blocks (n = 16), and
groin blocks (n = 9). Nonsteroidal antiinflammatory drugs were prescribed to 70%
of patients, and 24% were referred to physical therapy. The return-to-duty rate
for coalition forces was 94.7%. The primary complaint of all 7 patients who
required medical evacuation outside the theaters of operation was groin pain.
CONCLUSIONS: These results demonstrate the feasibility of obtaining high return
to-duty rates when aggressive pain management strategies are used in forward
deployed areas.
PMID- 18043070
TI - Neurokinin-1 receptor antagonists inhibit the recruitment of opioid-containing
leukocytes and impair peripheral antinociception.
AB - BACKGROUND: Neurokinins (e.g., substance P) contribute to pain transmission in
the central nervous system, peripheral neurogenic inflammation, and leukocyte
recruitment in inflammation. Leukocyte recruitment involves (1) up-regulation of
adhesion molecule expression through neurokinin-1 (NK1) receptors on endothelial
cells, (2) augmented chemokine production, or (3) chemotaxis through NK1
receptors on leukocytes. In inflammation, leukocytes can trigger endogenous
antinociception through release of opioid peptides and activation of opioid
receptors on peripheral sensory neurons. The authors hypothesized that NK1
receptor antagonists impair recruitment of opioid-containing leukocytes and
stress-induced antinociception. METHODS: Rats were treated intraperitoneally and
intrathecally with peripherally restricted (SR140333) or blood-brain barrier
penetrating (L-733,060) NK1 receptor antagonists and were evaluated for paw
pressure thresholds, numbers of infiltrating opioid-containing leukocytes and
leukocyte subpopulations, expression of adhesion molecules, NK1 receptors, and
chemokines 24-48 h after complete Freund adjuvant-induced hind paw inflammation.
RESULTS: Systemic and peripherally selective, but not intrathecal, NK1 receptor
blockade reduced stress-induced antinociception (control: 177 +/- 9 g, L-733,060:
117 +/- 8 g, and control: 166 +/- 30 g, SR140333: 89 +/- 3 g; both P < 0.05, t
test) without affecting baseline hyperalgesia. In parallel, local recruitment of
opioid-containing leukocytes was decreased (L-733,060 and SR140333: 56.0 +/- 4.3
and 59.1 +/- 7.9% of control; both P < 0.05, t test). NK1 receptors were
expressed on peripheral neurons, infiltrating leukocytes and endothelial cells.
Peripheral NK1 receptor blockade did not alter endothelial expression of
intercellular adhesion molecule-1 or local chemokine and cytokine production, but
decreased polymorphonuclear cell and macrophage recruitment. CONCLUSIONS:
Endogenous inhibition of inflammatory pain is dependent on NK1 receptor-mediated
recruitment of opioid-containing leukocytes.
PMID- 18043071
TI - New frontiers in the evaluation of cardiac patients for noncardiac surgery.
PMID- 18043073
TI - Clarifying equipment specifications and performance characteristics.
PMID- 18043074
TI - Can 1% sevoflurane with 5 ng/ml remifentanil prevent implicit memory?
PMID- 18043075
TI - Does combined epidural-general anesthesia increase risk over either technique
used alone?
PMID- 18043077
TI - Perioperative central venous cannulation: it is time for action.
PMID- 18043078
TI - Medication error: a leading cause of anesthesia-related morbidity and mortality.
PMID- 18043081
TI - Epidural complications across the globe.
PMID- 18043083
TI - Etomidate unlikely to have induced pacemaker-mediated tachycardia.
PMID- 18043085
TI - Potential hazard associated with a laryngoscope blade.
PMID- 18043086
TI - Misleading behavior of Masimo pulse oximeter tone during profound bradycardia.
PMID- 18043091
TI - Why should young doctors choose to become surgeons?
PMID- 18043092
TI - Long-term results of intersphincteric resection for low rectal cancer.
AB - INTRODUCTION: In the treatment of very low rectal cancer, a distal resection
margin of more than 1 cm can be obtained by partial internal sphincteric
resection, allowing a sphincter preserving surgery. Thus, intersphincteric
resection (ISR) has been proposed as an alternative to abdominoperineal resection
for selected low rectal cancer. OBJECTIVE: The aim of our study was to assess the
morbidity, mortality, and the long-term oncologic and functional results of ISR.
METHODS: Charts of patients who had ISR between 1992 and 2004 were reviewed.
Cancer-related survival and locoregional recurrence rates were calculated using
the Kaplan-Meier method. Functional outcome was assessed by using a standardized
gastrointestinal functional questionnaire. Incontinence was assessed by the
continence score of Wexner. RESULTS: Ninety patients (59 males, 31 females) with
a tumor at a median distance of 35 mm (range, 22-52) from the anal verge had an
ISR. Thirty-seven patients (41%) had preoperative radiotherapy. Histologically
complete remission after neoadjuvant radiotherapy (ypT0) was observed in 7
patients (8%), 12 patients (13%) were pT1, 35 patients (39%) pT2, 32 patients
(36%) pT3, and 4 patients (4%) pT4. Five patients (5.5%) had synchronous liver
metastases. R0 resection was obtained in 85 patients (94.4%). The median distal
resection margin on the fixed specimen was 12 mm (range, 5-35) and was positive
in 1 case. The circumferential margin was positive (< or =1 mm) in 4 patients
(4.4%). There was no mortality. Complication rate was 18.8%: anastomotic leakage
occurred in 8 patients (8.8%) and 1 patient had an anovaginal fistula. Five
patients (5.6%) underwent secondary abdominoperineal resection: 1 for positive
distal margin, 1 for colonic J-pouch necrosis, and 3 for local recurrence.
ONCOLOGIC RESULTS: After a median follow-up of 56.2 months (range, 13.3-168.4),
local, distant, and combined recurrence occurred in 6 (6.6%), 8 (8.8%), and 2
patients, respectively. Thirteen patients (14.4%) died of cancer recurrence. Five
year overall and disease-free survival was 82% (80-97) and 75% (64-86),
respectively. In univariate analysis, overall survival was significantly
influenced by pTNM stage and T stage (pT 1-2 vs. 3-4: P = 0.008 and stage I-II
vs. III-IV: P = 0.03). In multivariate analysis, we did not find any impact on
local recurrence-free survival for the investigated prognostic variables.
FUNCTIONAL RESULTS: For a total of 83 patients the mean stool frequency was 2.3
+/- 1.3 per 24 hours. Forty-one percent of patients had stool fragmentation, one
third nocturnal defecation, 19% fecal urgency, and 36% followed low fiber diet.
Thirty-four patients (41%) were fully continent, 29 patients (35%) had minor
continence problems, and 20 patients (24%) were incontinent. After adjustment for
age, gender, tumor level, and pTNM stage, preoperative radiotherapy was the only
factor associated with a risk of fecal incontinence [OR (IC 95%) = 3.1 (1.0-9.0),
P = 0.04]. CONCLUSION: In selected patients, ISR is a safe operation with good
oncologic results. It achieves good functional results in 76% of patients.
Functional results are significantly altered by preoperative radiotherapy.
PMID- 18043093
TI - Pancreatic head resection with segmental duodenectomy: safety and long-term
results.
AB - OBJECTIVE: To evaluate the usefulness and long-term results with pancreatic head
resection with segmental duodenectomy (PHRSD; Nakao's technique) in patients with
branch-duct type intraductal papillary mucinous neoplasms (IPMNs). A prospective
study from Nagoya (Japan) and Barcelona (Spain). SUMMARY BACKGROUND DATA: Surgery
should be the first choice of treatment of IPMNs. An aggressive surgery (eg,
pancreatoduodenectomy) should be questioned in patients with an indolent disease
or with noninvasive tumors. Recently, organ-preserving pancreatic resections for
benign and noninvasive IPMN located in the head of the pancreas have been
described. We have PHRSD in which the pancreatic head can be completely resected
and the major portion of the duodenum can be preserved by this procedure. There
have been only 4 reports concerning PHRSD with <8 patients (each one) in the
English literature. METHODS: Thirty-five patients underwent PHRSD (20 men, 15
women), mean age 65.1 +/- 9.0 (range, 55-75). Mean maximal diameter of the cystic
lesion was 26.4 +/- 5.3 mm (range, 20-33 mm) and mean diameter of the main
pancreatic duct was 3.3 +/- 0.5 mm (range, 3.0-4.0 mm). Alimentary tract
reconstruction was performed in 20 patients by pancreatogastrostomy,
duodenoduodenostomy, and choledochoduodenostomy (type A) and 15 patients by
pancreaticojejunostomy, duodenoduodenostomy and choledochojejunostomy (Roux-en-Y;
type B). Surgical parameters, postoperative complications, endocrine function,
exocrine function, and long-term outcomes were evaluated. To compare the
perioperative factors, a matched-pairs analysis between PHRSD patients and
patients with pylorus preserving pancreaticoduodenectomy (PPPD) was performed. In
the latter group were included 32 patients with branch-duct type of IPMN operated
during the same time period that patients with PHRSD. The mean follow-up period
was 48.8 months. RESULTS: Mean operative time after PHRSD was 365 +/- 50 and mean
surgical blood loss was 615 +/- 251 mL. There was no mortality. Pancreatic
fistula occurred in 10% and 13% with types (alimentary tract reconstruction) A
and B, respectively. Noninvasive IPMN was found in 31 patients and invasive IPMN
in 4 patients (11.4%). In the matched-pairs analysis between PHRSD and PPPD, the
2 procedures were comparable in regard to operation time and intraoperative blood
loss. The overall incidence of pancreatic fistula was higher after PPPD than
after PHRSD; the difference was not statistically significant. When fistulas
occurred after PHRSD they were grade A (biochemical). In contrast, pancreatic
fistulas after PPPD were grade A in 78% of cases and grade B in 22% (clinically
relevant fistula). The incidence of delayed gastric emptying was significantly
higher in the PPPD group compared with the PHRSD group (P < 0.01). Endocrine
pancreatic function, measured by fasting blood glucose levels and HbA1, levels
was unchanged in 94.28% of patients, in the PHRSD group, and in 87.87% in the
PPPD group. Body weight was unchanged in 80% after PHRSD and in 59% after PPPD.
Postoperative enzyme substitution was needed in 20% of patients after PHRSD and
in 40% patients after PPPD. The 5-year survival rate was 100% in patients with
benign IPMN and 42% in patients with invasive IPMN. CONCLUSION: PHRSD is a safe
and reasonable technique appropriate for selected patients with branch-duct IPMN.
The major advantages of PHRSD are promising long-term results in terms of
pancreatic function (exocrine and endocrine) with important consequences in
elderly patients. Long-term outcome was satisfactory without tumor recurrence in
noninvasive carcinoma. PHRSD should therefore be considered as an adequate
operation as an organ-preserving pancreatic resection for branch-duct type of
IPMN located at the head of the pancreas.
PMID- 18043094
TI - 18-fluorodeoxyglucose positron emission tomography enhances computed tomography
diagnosis of malignant intraductal papillary mucinous neoplasms of the pancreas.
AB - OBJECTIVE: To assess the reliability of 18-fluorodeoxyglucose positron emission
tomography (18-FDG PET) in distinguishing benign from malignant intraductal
papillary mucinous neoplasms (IPMNs) of the pancreas and its contribution to
surgical decision making. SUMMARY BACKGROUND DATA: Pancreatic IPMNs are
increasingly recognized, often as incidental findings, especially in people over
age 70 and 80. Computed tomography (CT) and magnetic resonance (MR) are
unreliable in discriminating a benign from a malignant neoplasm. 18-FDG PET as
imaging procedure based on the increased glucose uptake by tumor cells has been
suggested for diagnosis and staging of pancreatic cancer. METHODS: From January
1998 to December 2005, 64 patients with suspected IPMNs were prospectively
investigated with 18-FDG PET in addition to conventional imaging techniques
[helical-CT in all and MR and magnetic resonance cholangiopancreatography (MRCP)
in 60]. 18-FDG PET was analyzed visually and semiquantitatively using the
standard uptake value (SUV). The validation of the diagnosis was made by a
surgical procedure (n = 44), a percutaneous biopsy (n = 2), main duct cytology (n
= 1), or follow-up (n = 17). Mean and median follow-up times were 25 and 27.5
months, respectively (range, 12-90 months). RESULTS: Twenty-seven patients (42%)
were asymptomatic. Forty-two patients underwent pancreatic resection, 2
palliative surgery, and 20 did not undergo surgery. An adenoma was diagnosed in
13 patients, a borderline tumor in 8, a carcinoma in situ in 5, and an invasive
cancer in 21; in 17 patients a tumor sampling was not performed and therefore the
histology remained undetermined. Positive criteria of increased uptake on 18-FDG
PET was absent in 13 of 13 adenomas and 7 of 8 borderline IPMNs, but was present
in 4 of 5 carcinoma in situ (80%) and in 20 of 21 invasive cancers (95%).
Conventional imaging technique was strongly suggestive of malignancy in 2 of 5
carcinomas in situ and in 13 of 21 invasive carcinomas (62%). Furthermore,
conventional imaging had findings that would be considered falsely positive in 1
of 13 adenomas (8%) and in 3 of 8 borderline neoplasms (37.5%). Therefore,
positive 18-FDG PET influenced surgical decision making in 10 patients with
malignant IPMN. Furthermore, negative findings on 18-FDG PET prompted us to use a
more limited resection in 15 patients, and offered a follow-up strategy in 18
patients (3 positive at CT scan) for the future development of a malignancy.
CONCLUSIONS: 18-FDG PET is more accurate than conventional imaging techniques (CT
and MR) in distinguishing benign from malignant (invasive and noninvasive) IPMNs.
18-FDG PET seems to be much better than conventional imaging techniques in
selecting IPMNs patients, especially when old and asymptomatic, for surgical
treatment or follow-up.
PMID- 18043095
TI - Use of severely steatotic grafts in liver transplantation: a matched case-control
study.
AB - BACKGROUND: Although there is a worldwide need to expand the pool of available
liver grafts, cadaveric livers with severe steatosis (>60%) are discarded for
orthotopic liver transplantation (OLT) by most centers. METHODS: We analyzed
patients receiving liver grafts with severe steatosis between January 2002 and
September 2006. These patients were matched 1:2 with control patients without
severe steatosis according to status the waiting list, recipient age, recipient
body mass index (BMI), and model for end-stage liver disease (MELD) score.
Primary end points were the incidence of primary graft nonfunction (PNF), and
graft and patient survival. Secondary end points included primary graft
dysfunction (PDF), the incidence of postoperative complications, and histologic
assessment of steatosis in follow-up biopsies. We also conducted a survey on the
use of grafts with severe steatosis among leading European liver transplant
centers. RESULTS: During the study period, 62 patients dropped out of the waiting
list and 45 of them died due to progression of disease. Of 118 patients who
received transplants 20 (17%) received a graft with severe steatosis during this
period. The median degree of total liver steatosis was 90% (R = 65%-100%) for the
steatotic group. The steatotic (n = 20) and matched control group (n = 40) were
comparable in terms of recipient age, BMI, MELD score, and cold ischemia time.
The steatotic group had a significantly higher rate of PDF and/or renal failure.
Although the median intensive care unit (ICU) and hospital stay were not
significantly different between both groups, the proportion of patients with long
term ICU (> or =21 days) and hospital (> or =40 days) stay was significantly
higher for patients with a severely steatotic graft. Sixty-day mortality (5% vs.
5%) and 3-year patient survival rate (83% vs. 84%) were comparable between the
control and severe steatosis group. Postoperative histologic assessment
demonstrated that the median total amount of liver steatosis decreased
significantly (median: 90% to 15%, P < 0.001). Our survey showed that all but one
of the European centers currently reject liver grafts with severe steatosis for
any recipient. CONCLUSION: Due to the urgent need of liver grafts, severely
steatotic grafts should be no longer discarded for OLT. Maximal effort must be
spent when dealing with these high-risk organs but the use of severely steatotic
grafts may save the lives of many patients who would die on the waiting list.
PMID- 18043096
TI - The place of liver transplantation in the treatment of hepatic epitheloid
hemangioendothelioma: report of the European liver transplant registry.
AB - BACKGROUND: Hepatic epitheloid hemangioendothelioma (HEHE) is a rare low-grade
vascular tumor. Its treatment algorithm is still unclear mainly due to a lack of
larger clinical experiences with detailed long-term follow-up. MATERIAL AND
METHODS: Fifty-nine patients, reported to the European Liver Transplant Registry,
were analyzed to define the role of liver transplantation (LT) in the treatment
of this disease. Eleven (19%) patients were asymptomatic. Eighteen (30.5%)
patients had pre-LT surgical [hepatic (7 patients) and extrahepatic (3 patients)]
and/or systemic or locoregional (10 patients) medical therapy. Ten (16.9%)
patients had extrahepatic disease localization before or at the time of LT.
Follow-up was complete for all patients with a median of 92.5 (range, 7-369) from
moment of diagnosis and a median of 78.5 (range, 1-245) from the moment of LT.
RESULTS: HEHE was bilobar in 96% of patients; 86% of patients had more than 15
nodules in the liver specimen. Early (<3 months) and late (>3 months) post-LT
mortality was 1.7% (1 patient) and 22% (14 patients). Fourteen (23.7%) patients
developed disease recurrence after a median time of 49 months (range, 6-98). Nine
(15.3%) patients died of recurrent disease and 5 are surviving with recurrent
disease. One-, 5-, and 10- year patient survival rates from moment of
transplantation for the whole series are 93%, 83%, 72%. Pre-LT tumor treatment (n
= 18) (89%, 89%, and 68% 1-, 5-, and 10-year survival rates from moment of LT vs.
95%, 80%, and 73% in case of absence of pre-LT treatment), lymph node (LN)
invasion (n = 18) (96%, 81%, and 71% 1-, 5-, and 10-year survival rates vs. 83%,
78%, and 67% in node negative patients) and extrahepatic disease localization (n
= 10) (90%, 80%, and 80% 1-, 5-, and 10-year survival rates vs. 94%, 83%, and 70%
in case of absence of extrahepatic disease) did not significantly influence
patient survival whereas microvascular (n = 24) (96%, 75%, 52% 1-, 5-, and 10
year survival vs. 96%, 92%, 85% in case of absence of microvascular invasion) and
combined micro- and macrovascular invasion (n = 28) (90%, 72%, and 54% 1-,5-, and
10-year survival vs. 96%, 92%, and 85% in case of absence of vascular invasion, P
= 0.03) did. Disease-free survival rates at 1, 5, and 10 years post-LT are 90%,
82%, and 64%. Disease-free survival is not significantly influenced by pre-LT
treatment, LN status, extrahepatic disease localization, and vascular invasion.
CONCLUSIONS: The results of the largest reported transplant series in the
treatment of HEHE are excellent. Preexisting extrahepatic disease localization as
well as LN involvement are not contraindications to LT. Microvascular or combined
macro-microvascular invasion significantly influence survival after LT. LT
therefore should be offered as a valid therapy earlier in the disease course of
these, frequently young, patients. Recurrent (allograft) disease should be
treated aggressively as good long-term survivals can be obtained. Long-term
prospective follow-up multicenter studies as well as the evaluation of
antiangiogenic drugs are necessary to further optimize the treatment of this rare
vascular hepatic disorder.
PMID- 18043097
TI - Localized hepatic ischemia after liver resection: a prospective evaluation.
AB - OBJECTIVE: To prospectively assess the frequency, severity, and extension of
localized ischemia in the remaining liver parenchyma after hepatectomy.
BACKGROUND: Major blood loss and postoperative ischemia of the remnant liver are
known factors contributing to morbidity after liver surgery. The segmental
anatomy of the liver and the techniques of selective hilar or suprahilar clamping
of the Glissonian sheaths permit identification of ischemia on the surface of the
corresponding segments for precise section of the parenchyma. Incomplete
resection of a segment, or compromised blood supply to the remaining liver, may
result in ischemia of various extension and severity. METHODS: Patients
undergoing hepatectomy received enhanced computerized tomodensitometry with study
of the arterial and venous phases within 48 hours after resection. We defined
hepatic ischemia as reduced or absent contrast enhancement during the venous
phase. We classified the severity of ischemia as hypoperfusion, nonperfusion, or
necrosis. The extension of ischemia was identified as marginal, partial, or
segmental. Factors that may influence postoperative ischemia were analyzed by
univariate and multivariate analyses. RESULTS: One hundred fifty consecutive
patients (70 F, 80 M, mean age 62 +/- 12 years) underwent 64 major and 81 minor
hepatectomies and 5 wedge resections. We observed radiologic signs of ischemia in
38 patients (25.3%): 33 hypoperfusions (17 marginal, 12 partial, and 4
segmental), 3 nonperfusions (1 marginal, 1 partial, and 1 segmental), and 2
necroses (1 partial, 1 segmental). One patient with a segmental necrosis
underwent an early reoperation. In all other cases, the evolution was
spontaneously favorable. Postoperative peak levels of serum aspartate
aminotransferase and alanine aminotransferase were significantly higher in
patients with ischemia. Patients with ischemia had a significantly higher risk of
developing a biliary leak (18.4% vs. 2.6%, P < 0.001). There was no correlation
between liver ischemia and mortality (2%). None of the following factors were
associated with ischemia after univariate and multivariate analysis: age,
preoperative bilirubin level, liver fibrosis, malignant tumor, type of
hepatectomy, surface of transection, weight of resected liver, Pringle maneuver,
blood loss, and number of transfusions. CONCLUSIONS: Some form of localized
ischemia after hepatectomy was detected in 1 of 4 of our patients. Its clinical
expression was discreet in the large majority of cases, even if it might have
been one of the underlying causes of postoperative biliary fistulas. Clinical
observation is sufficient to detect the rare patient with suspected postoperative
liver ischemia that will require active treatment.
PMID- 18043098
TI - Is there still a role for total pancreatectomy?
AB - OBJECTIVE: To evaluate the perioperative and long-term results of total
pancreatectomy (TP), and to assess whether it provides morbidity, mortality, and
quality of life (QoL) comparable to those of the pylorus-preserving (pp)-Whipple
procedure in patients with benign and malignant pancreatic disease. SUMMARY
BACKGROUND DATA: TP was abandoned for decades because of high peri- and
postoperative morbidity and mortality. Because selected pancreatic diseases are
best treated by TP, and pancreatic surgery and postoperative management of
exocrine and endocrine insufficiency have significantly improved, the hesitance
to perform a TP is disappearing. PATIENTS AND METHODS: In a prospective study
conducted from October 2001 to November 2006, all patients undergoing a TP (n =
147; 100 primary elective TP [group A], 24 elective TP after previous pancreatic
resection [group B], and 23 completion pancreatectomies for complications) were
included, and perioperative and late follow-up data, including the QoL (EORTC QLQ
C30 questionnaire), were evaluated. A matched-pairs analysis with patients
receiving a pp-Whipple operation was performed. RESULTS: Indications for an
elective TP (group A + B) were pancreatic and periampullary adenocarcinoma (n =
71), other neoplastic pancreatic tumors (intraductal papillary mucinous
neoplasms, neuroendocrine tumors, cystic tumors; n = 34), metastatic lesions (n =
8), and chronic pancreatitis (n = 11). There were 73 men and 51 women with a mean
age of 60.9 +/- 11.3 years. Median intraoperative blood loss was 1000 mL and
median operation time was 380 minutes. Postoperative surgical morbidity was 24%,
medical morbidity was 15%, and mortality was 4.8%. The relaparotomy rate was 12%.
Median postoperative hospital stay was 11 days. After a median follow-up of 23
months, global health status of TP patients was comparable to that of pp-Whipple
patients, although a few single QoL items were reduced. All patients required
insulin and exocrine pancreatic enzyme replacements. The mean HbA1c value was
7.3% +/- 0.9%. CONCLUSION: In this cohort study, mortality and morbidity rates
after elective TP are not significantly different from the pp-Whipple. Because of
improvements in postoperative management, QoL is acceptable, and is almost
comparable to that of pp-Whipple patients. Therefore, TP should no longer be
generally avoided, because it is a viable option in selected patients.
PMID- 18043099
TI - Unilateral versus bilateral neck exploration for primary hyperparathyroidism:
five-year follow-up of a randomized controlled trial.
AB - OBJECTIVE: To compare long-term patient outcome in a prospective randomized
controlled trial between unilateral and bilateral neck exploration for primary
hyperparathyroidism (pHPT). SUMMARY BACKGROUND DATA: Minimal invasive and/or
focused parathyroidectomy has challenged the traditional bilateral neck
exploration for pHPT. Between 1997 and 2001, we conducted the first unselected
randomized controlled trial of unilateral versus bilateral neck exploration for
pHPT. The results showed that unilateral exploration is a surgical strategy with
distinct advantages in the early postoperative period. However, concerns have
been raised that limited parathyroid exploration could increase the risk for
recurrent pHPT during long-term follow-up. METHODS: Ninety-one patients with the
diagnosis of pHPT were randomized to unilateral or bilateral neck exploration.
Preoperative scintigraphy and intraoperative parathyroid hormone measurement
guided the unilateral exploration. Gross morphology and frozen section determined
the extent of parathyroid tissue resection in the bilateral group. Follow-up was
performed after 6 weeks, 1 year, and 5 years postoperatively. RESULTS: Seventy
one patients were available for 5-year follow-up. There were no differences in
serum ionized calcium and parathyroid hormone, respectively, between patients in
the unilateral and bilateral group. Overall 6 patients have been found to have
persistent (n = 3) or recurrent (n = 3) pHPT; 4 patients in the unilateral group
(3 of these 4 patients were bilaterally explored) and 2 patients in the bilateral
group. Three of 6 failures were unexpectedly found to have multiple endocrine
neoplasia mutations. One patient with solitary adenoma in the bilateral group
still required vitamin D substitution 5 years after surgery. CONCLUSION:
Unilateral neck exploration with intraoperative parathyroid hormone assessment
provides the same long-term results as bilateral neck exploration, and is thus a
valid strategy for the surgical treatment of pHPT.
PMID- 18043100
TI - Improved kidney graft function after preservation using a novel hypothermic
machine perfusion device.
AB - OBJECTIVE: To study graft function and ischemia/reperfusion injury of porcine
kidneys after preservation with the new Groningen Machine Perfusion (GMP) system
versus static cold storage (CS). INTRODUCTION: The increasing proportion of
marginal and nonheart beating donors necessitates better preservation methods to
maintain adequate graft viability. Hypothermic machine preservation (HMP) is a
promising alternative to static CS. We have therefore developed and tested an HMP
device, which is portable and actively oxygenates the perfusate via an
oxygenator. The aim of the present study was to examine the efficacy of the GMP
system in a transplantation experiment. MATERIALS AND METHODS: In a porcine
autotransplantation model, kidneys were retrieved and either cold stored in
University of Wisconsin CS for 20 hours at 4 degrees C or subjected to HMP using
University of Wisconsin machine perfusion at 4 degrees C with 2 different
pressure settings: 30/20 mm Hg or 60/40 mm Hg. RESULTS: HMP at 30/20 mm Hg was
found to better preserve the viability of kidneys reflected by improved cortical
microcirculation, less damage to the proximal tubule, less damage mediated by
reactive oxygen species, less proinflammatory cytokine expression, and better
functional recovery after transplantation. However, high perfusion pressures
(60/40 mm Hg) resulted in higher expression of von Willebrand factor and monocyte
chemotactic peptide-1 in postpreservation biopsies and subsequent graft
thrombosis in 2 kidneys. CONCLUSIONS: It is concluded that the GMP system
improves kidney graft viability and perfusion pressures are critically important
for outcome.
PMID- 18043101
TI - Extended transthoracic resection compared with limited transhiatal resection for
adenocarcinoma of the mid/distal esophagus: five-year survival of a randomized
clinical trial.
AB - OBJECTIVE: To determine whether extended transthoracic esophagectomy for
adenocarcinoma of the mid/distal esophagus improves long-term survival.
BACKGROUND: A randomized trial was performed to compare surgical techniques.
Complete 5-year survival data are now available. METHODS: A total of 220 patients
with adenocarcinoma of the distal esophagus (type I) or gastric cardia involving
the distal esophagus (type II) were randomly assigned to limited transhiatal
esophagectomy or to extended transthoracic esophagectomy with en bloc
lymphadenectomy. Patients with peroperatively irresectable/incurable cancer were
excluded from this analysis (n = 15). A total of 95 patients underwent
transhiatal esophagectomy and 110 patients underwent transthoracic esophagectomy.
RESULTS: After transhiatal and transthoracic resection, 5-year survival was 34%
and 36%, respectively (P = 0.71, per protocol analysis). In a subgroup analysis,
based on the location of the primary tumor according to the resection specimen,
no overall survival benefit for either surgical approach was seen in 115 patients
with a type II tumor (P = 0.81). In 90 patients with a type I tumor, a survival
benefit of 14% was seen with the transthoracic approach (51% vs. 37%, P = 0.33).
There was evidence that the treatment effect differed depending on the number of
positive lymph nodes in the resection specimen (test for interaction P = 0.06).
In patients (n = 55) without positive nodes locoregional disease-free survival
after transhiatal esophagectomy was comparable to that after transthoracic
esophagectomy (86% and 89%, respectively). The same was true for patients (n =
46) with more than 8 positive nodes (0% in both groups). Patients (n = 104) with
1 to 8 positive lymph nodes in the resection specimen showed a 5-year
locoregional disease-free survival advantage if operated via the transthoracic
route (23% vs. 64%, P = 0.02). CONCLUSION: There is no significant overall
survival benefit for either approach. However, compared with limited transhiatal
resection extended transthoracic esophagectomy for type I esophageal
adenocarcinoma shows an ongoing trend towards better 5-year survival. Moreover,
patients with a limited number of positive lymph nodes in the resection specimen
seem to benefit from an extended transthoracic esophagectomy.
PMID- 18043102
TI - Mortality after bariatric surgery: analysis of 13,871 morbidly obese patients
from a national registry.
AB - OBJECTIVE: To define mortality rates and risk factors of different bariatric
procedures and to identify strategies to reduce the surgical risk in patients
undergoing bariatric surgery. SUMMARY BACKGROUND DATA: Postoperative mortality is
a rare event after bariatric surgery. Therefore, comprehensive data on mortality
are lacking in the literature. METHODS: A retrospective analysis of a large
prospective database was carried out. The Italian Society of Obesity Surgery runs
a National Registry on bariatric surgery where all procedures performed by
members of the Society should be included prospectively. This Registry represents
at present the largest database on bariatric surgery worldwide. RESULTS: Between
January 1996 and January 2006, 13,871 bariatric surgical procedures were
included: 6122 adjustable silicone gastric bandings (ASGB), 4215 vertical banded
gastroplasties (VBG), 1106 gastric bypasses, 1988 biliopancreatic diversions
(BPD), 303 biliointestinal bypasses, and 137 various procedures. Sixty day
mortality was 0.25%. The type of surgical procedure significantly influenced (P <
0.001) mortality risk: 0.1% ASGB, 0.15% VBG, 0.54% gastric bypasses, 0.8% BPD.
Pulmonary embolism represented the most common cause of death (38.2%) and was
significantly higher in the BPD group (0.4% vs. 0.07% VBG and 0.03% ASGB). Other
causes of mortality were the following: cardiac failure 17.6%, intestinal leak
17.6%, respiratory failure 11.8%, and 1 case each of acute pancreatitis, cerebral
ischemia, bleeding gastric ulcer, intestinal ischemia, and internal hernia.
Therefore, 29.4% of patients died as a result of a direct technical complication
of the procedure. Additional significant risk factors included open surgery (P <
0.001), prolonged operative time (P < 0.05), preoperative hypertension (P < 0.01)
or diabetes (P < 0.05), and case load per Center (P < 0.01). CONCLUSIONS:
Mortality after bariatric surgery is a rare event. It is influenced by different
risk factors including type of surgery, open surgery, prolonged operative time,
comorbidities, and volume of activity. In defining the best bariatric procedure
for each patient the different mortality risks should be taken into account.
Choice of the procedure, prevention, early diagnosis, and therapy for
cardiovascular complications may reduce postoperative mortality.
PMID- 18043103
TI - Open right colectomy is still effective compared to laparoscopy: results of a
randomized trial.
AB - OBJECTIVE: The primary goal of this study was to clarify whether a laparoscopic
(LPS) approach could be considered the dominant strategy in patients undergoing
right colectomy. SUMMARY BACKGROUND DATA: Because few nonrandomized or small
sized studies have been carried out so far, definitive conclusions about the role
of LPS right colectomy cannot be drawn. METHODS: Two hundred twenty-six patients,
candidates for right colectomy, were randomly assigned to LPS (n = 113) or open
(n = 113) resection. The postoperative care protocol was the same for both
groups. Trained members of the surgical staff who were not involved in the study
registered postoperative morbidity. Follow-up was carried out for 30 days after
hospital discharge. The following costs were calculated: surgical instruments,
operative room occupation, routine care, postoperative morbidity, and
hospitalization. RESULTS: Conversion rate in the LPS group was 2.6% (3 of 113).
Operative time (in minutes) was longer in the LPS group (131 vs. 112, P = 0.01).
Postoperative morbidity rate was 18.6% in the open group and 13.3% in the LPS
group (P = 0.31). Postoperative stay was one day longer in the open group (P =
0.002). No difference was found in postoperative quality of life. The additional
operative charge in the LPS group was euro980 per patient randomized (euro821 for
surgical instruments and euro159 for longer operative time). The savings in the
LPS group was euro390 per patient randomized (euro144 for shorter length of
hospital stay and euro246 for the lower cost of postoperative morbidity). The net
balance resulted in a euro590 extra charge per patient randomly allocated to the
LPS group. CONCLUSION: LPS slightly improved postoperative recovery. This
translated into a savings that covered only 40% of the extra operative charge.
Therefore, open right colectomy could be still considered an effective procedure.
PMID- 18043104
TI - Randomized trial of argon plasma coagulation versus endoscopic surveillance for
barrett esophagus after antireflux surgery: late results.
AB - OBJECTIVE: To determine the efficacy of endoscopic argon plasma coagulation (APC)
for ablation of Barrett esophagus. SUMMARY BACKGROUND DATA: APC has been used to
ablate Barrett esophagus. However, the long-term outcome of this treatment is
unknown. This study reports 5-year results from a randomized trial of APC versus
surveillance for Barrett esophagus in patients who had undergone a fundoplication
for the treatment of gastroesophageal reflux. METHODS: Fifty-eight patients with
Barrett esophagus were randomized to undergo either ablation using APC or ongoing
surveillance. At a mean 68 months after treatment, 40 patients underwent
endoscopy follow-up. The efficacy of treatment, durability of the neosquamous re
epithelialization, and safety of the procedure were determined. RESULTS:
Initially, at least 95% ablation of the metaplastic mucosa was achieved in all
treated patients. At the 5-year follow-up, 14 of 20 APC patients continued to
have at least 95% of their previous Barrett esophagus replaced by neosquamous
mucosa, and 8 of these had complete microscopic regression of the Barrett
esophagus. Five of the 20 surveillance patients had more than 95% regression of
their Barrett esophagus, and 4 of these had complete microscopic regression (1
after subsequent APC treatment). The length of Barrett esophagus shortened
significantly in both study groups, although the extent of regression was greater
after APC treatment (mean 5.9-0.8 cm vs. 4.6-2.2 cm). Two patients who had
undergone APC treatment developed a late esophageal stricture, which required
endoscopic dilation, and 2 patients in the surveillance group developed high
grade dysplasia during follow-up. CONCLUSIONS: Regression of Barrett esophagus
after fundoplication is more likely, and greater in extent, in patients who
undergo ablation with APC. In most patients treated with APC the neosquamous
mucosa remains stable at up to 5-year follow-up. The development of high-grade
dysplasia only occurred in patients who were not treated with APC.
PMID- 18043105
TI - Laparoscopic surgery is associated with a lower incidence of venous
thromboembolism compared with open surgery.
AB - BACKGROUND: Although laparoscopy now plays a major role in most general surgical
procedures, little is known about the relative risk of venous thromboembolism
(VTE) after laparoscopic compared with open procedures. OBJECTIVE: To compare the
incidence of VTE after laparoscopic and open surgery over a 5-year period.
PATIENTS AND INTERVENTIONS: Clinical data of patients who underwent open or
laparoscopic appendectomy, cholecystectomy, antireflux surgery, and gastric
bypass between 2002 and 2006 were obtained from the University HealthSystem
Consortium Clinical Database. The principal outcome measure was the incidence of
venous thrombosis or pulmonary embolism occurring during the initial
hospitalization after laparoscopic and open surgery. RESULTS: During the 60-month
period, a total of 138,595 patients underwent 1 of the 4 selected procedures.
Overall, the incidence of VTE was significantly higher in open cases (271 of
46,105, 0.59%) compared with laparoscopic cases (259 of 92,490, 0.28%, P < 0.01).
Our finding persists even when the groups were stratified according to level of
severity of illness. The odds ratio (OR) for VTE in open procedures compared with
laparoscopic procedures was 1.8 [95% confidence interval (CI) 1.3-2.5]. On subset
analysis of individual procedures, patients with minor/moderate severity of
illness level who underwent open cholecystectomy, antireflux surgery, and gastric
bypass had a greater risk for developing perioperative VTE than patients who
underwent laparoscopic cholecystectomy (OR: 2.0; 95% CI: 1.2-3.3; P < 0.01),
antireflux surgery (OR: 24.7; 95% CI: 2.6-580.9; P < 0.01), and gastric bypass
(OR: 3.4; 95% CI: 1.8-6.5; P < 0.01). CONCLUSIONS: Within the context of this
large administrative clinical data set, the frequency of perioperative VTE is
lower after laparoscopic compared with open surgery. The findings of this study
can provide a basis to help surgeons estimate the risk of VTE and implement
appropriate prophylaxis for patients undergoing laparoscopic surgical procedures.
PMID- 18043106
TI - Substantial intentional weight loss and mortality in the severely obese.
AB - OBJECTIVE: To compare all-cause mortality in a surgical weight loss cohort with a
similarly aged, obese population-based cohort. SUMMARY BACKGROUND DATA:
Significant weight loss following bariatric surgery improves the comorbidities
associated with obesity. Improved survival as a result of surgical weight loss
has yet to be clearly demonstrated using clinical data. METHODS: The surgical
weight loss cohort was a series of consecutive patients treated with a
laparoscopic adjustable gastric band in Melbourne between June 1994 and April
2005. The Melbourne Collaborative Cohort Study (MCCS) provided a community
control cohort, recruited between 1992 and 1994 and followed to June 2005 to
determine vital status. Height and weight were recorded at baseline in both
studies. Subjects between 37 and 70 years and with a body mass index (BMI) of >
or =35 were included. Vital status was determined by follow-up and searching of
death registries. Survival time was compared using Kaplan-Meier estimates, and
hazard of death was determined using Cox regression, adjusting for sex, age at
baseline, and BMI at baseline. RESULTS: Of 966 weight loss patients (mean age 47
years, mean BMI 45 kg/m), the median follow-up time was 4 years. Mean weight loss
after 2 years was 22.8% +/- 9% (58% of excess weight). The MCCS cohort included
2119 severely obese members (mean age, 55 years; mean BMI, 38 kg/m; median follow
up time, 12 years). There were 4 deaths in the weight loss cohort and 225 deaths
in the MCCS cohort. Weight loss patients had 72% lower hazard of death than the
community control cohort (hazard ratio, 0.28; 95% confidence interval, 0.10
0.85). CONCLUSIONS: Substantial surgical weight loss in a morbidly obese
population was associated with a significant survival advantage.
PMID- 18043107
TI - Predictive factors of outcome after gastric banding: a nationwide survey on the
role of center activity and patients' behavior.
AB - BACKGROUND: Systematic studies of postoperative outcome of bariatric surgery
provide information on the predictors of success. Surgeon's and institution
experience and patient's behavior after surgery are key determinant of success or
failure. Data on clinical trials generally reflect the experience of skilled
obesity surgery centers. Little is known about the current practice at a
nationwide level. The present study was realized in the frame of a national
survey on medical and surgical practices conducted by the public health insurance
system. The objective was to analyze systematically and prospectively the outcome
of all bariatric surgery procedures consecutively performed in a given period, as
registered by the French National Medical Insurance Service. This study at a
nationwide level focused on predictive factors of success and analyzed how the
experience of the centers relates to the patients' outcomes at 1 and 2 years
after surgery. METHODS: This study examined prospectively the 2-year predictors
of success of all consecutive 1236 bariatric operations performed at a nationwide
level. Most (87.3%) were laparoscopic adjustable gastric banding (LAGB), so that
the non-LAGB were eliminated from the study. Data were collected independently by
consultants of the French National Medical Insurance Service: characteristics of
the patients, evolution of body mass index (BMI), physical activity and
comorbidities, changes in behavior, complications, reoperations. Information was
available on the activity of the surgical teams. Excess weight loss (EWL) >50%
was considered a "success," and EWL <50% "not a success." A backstep logistic
regression (likelihood ratio test) was used to determine predictive factors.
RESULTS: Statistical analysis showed significant differences in EWL with the
following data: age <40 years (P < 0.01), initial BMI <50 kg/m (P < 0.001),
experience of the surgeon(s) >2 procedures per week (P < 0.01), recovery of
physical activity (P < 0.001), and change in eating habits (P < 0.001). Compared
with 15- to 39-year-old patients, 40- to 49-year-old patients have a 1.5 higher
risk not to have a success after surgery and over 50-year-old patients a 1.8
higher risk. Morbidly obese patients (40 < BMI < 49) had a 2.6 times higher risk
not to have a success than patients with severe obesity (35 < BMI < 39).
Superobese patients (BMI >50) had a 5.4 times higher risk not to succeed than
patients with severe obesity. Being operated by a team with a surgical activity
over 15 bariatric procedures/2 months doubles the chance of a successful
operation when compared with patients operated by surgical teams having only
performed 1 or 2 bariatric procedures. Patients who had not recovered or
increased their physical activity after operation had a 2.3 times higher risk not
to have a success than those who did. Patients who had not changed their eating
habits had a 2.2 times higher risk not to have a success than those who did.
CONCLUSIONS: This nationwide survey shows that the best profile for a success
after gastric banding is a patient <40 years, with an initial BMI <50 kg/m,
willing to change his eating habits and to recover or increase his physical
activity after surgery and who has been operated by a team usually performing >2
bariatric procedures per week. This study emphasizes that obesity surgery
requires a significant experience of the surgical team and a multidisciplinary
approach to improve behavioral changes.
PMID- 18043108
TI - Prognostic significance of multiple molecular markers for patients with stage II
colorectal cancer undergoing curative resection.
AB - OBJECTIVE: The aim of this study was to determine whether our constructed high
sensitivity colorimetric membrane-array method could detect circulating tumor
cells (CTCs) in the peripheral blood of stage II colorectal cancer (CRC) patients
and so identify a subgroup of patients who are at high risk for relapse. SUMMARY
BACKGROUND DATA: Adjuvant chemotherapy is not routinely recommended in patients
diagnosed with UICC stage II CRC. However, up to 30% of patients with stage II
disease relapse within 5 years of surgery from recurrent or metastatic disease.
The identification of reliable prognostic factors for high-risk stage II CRC
patients is imperative. METHODS: Membrane-arrays consisting of a panel of mRNA
markers that included human telomerase reverse transcription (hTERT), cytokeratin
19 (CK-19), cytokeratin-20 (CK-20), and carcinoembryonic antigen (CEA) mRNA were
used to detect CTCs in the peripheral blood of 194 stage II CRC patients who
underwent potentially curative (R0) resection between January 2002 and December
2005. Digoxigenin (DIG)-labeled cDNA were amplified by RT-PCR from the peripheral
blood samples, which were then hybridized to the membrane-array. All patients
were followed up regularly, and their outcomes were investigated completely.
RESULTS: Overall, 53 of 194 (27.3%) stage II patients were detected with the
expression of all 4 mRNA markers using the membrane-array method. After a median
follow up of 40 months, 56 of 194 (28.9%) developed recurrence/metastases
postoperatively. Univariately, postoperative relapse was significantly correlated
with the depth of invasion (P < 0.001), the presence of vascular invasion (P <
0.001), the presence of perineural invasion (P = 0.048), the expression of all 4
mRNA markers (P < 0.001), and the number of examined lymph nodes (P = 0.031).
Meanwhile, using a multivariate logistic regression analysis, T4 depth of tumor
invasion (P = 0.013), the presence of vascular invasion (P = 0.032), and the
expression of all 4 mRNA markers (P < 0.001) were demonstrated to be independent
predictors for postoperative relapse. Combination of the depth of tumor invasion,
vascular invasion, and all 4 mRNA markers as predictors of postoperative relapse
showed that patients with any 1 positive predictor had a hazard ratio of about 27
fold to develop postoperative relapse (P < 0.001; 95% CI = 11.42-64.40). The
interval between the detection of all 4 positive molecular markers and
subsequently developed postoperative relapse ranged from 4 to 10 months (median:
7 months). Furthermore, the expression of all 4 mRNA markers in all stage II CRC
patients, or either stage II colon or rectal cancer patients were strongly
correlated with poorer relapse-free survival rates by survival analyses (all P <
0.001). CONCLUSIONS: The pilot study suggests that the constructed membrane-array
method for the detection of CTCs is a potential auxiliary tool to conventional
clinicopathological variables for the prediction of postoperative relapse in
stage II CRC patients who have undergone curative resection.
PMID- 18043109
TI - Inflammation-based prognostic score is a novel predictor of postoperative outcome
in patients with colorectal cancer.
AB - OBJECTIVE: To investigate the significance of preoperative Glasgow prognostic
score (GPS) for postoperative prognostication of patients with colorectal cancer.
BACKGROUND: Recent studies have revealed that the GPS, an inflammation-based
prognostic score that includes only C-reactive protein (CRP) and albumin, is a
useful tool for predicting postoperative outcome in cancer patients. However, few
studies have investigated the GPS in the field of colorectal surgery. METHODS:
The GPS was calculated on the basis of admission data as follows: patients with
an elevated level of both CRP (>10 mg/L) and hypoalbuminemia (Alb <35 g/L) were
allocated a score of 2, and patients showing 1 or none of these blood chemistry
abnormalities were allocated a score of 1 or 0, respectively. Prognostic
significance was analyzed by univariate and multivariate analyses. RESULTS: A
total of 315 patients were evaluated. Kaplan-Meier analysis and log-rank test
revealed that a higher GPS predicted a higher risk of postoperative mortality (P
< 0.01). Univariate analyses revealed that postoperative TNM was the most
sensitive predictor of postoperative mortality (odds ratio, 0.148; 95% confidence
interval, 0.072-0.304; P < 0.0001). Multivariate analyses using factors such as
age, sex, tumor site, serum carcinoembryonic antigen, CA19-9, CA72-4, CRP,
albumin, and GPS revealed that GPS (odds ratio, 0.165; 95% confidence interval,
0.037-0.732; P = 0.0177) was associated with postoperative mortality.
CONCLUSIONS: Preoperative GPS is considered to be a useful predictor of
postoperative mortality in patients with colorectal cancer.
PMID- 18043110
TI - Surgical approach to bismuth Type I and II hilar cholangiocarcinomas: audit of 54
consecutive cases.
AB - OBJECTIVE: To clarify the optimal surgical strategy for Bismuth type I and II
hilar cholangiocarcinomas. SUMMARY BACKGROUND DATA: Local or hilar resections is
often performed for Bismuth type I and II tumors; however, reported outcomes have
been unsatisfactory with a high recurrence and low survival rate. To improve
survival, some authors have recommended right hepatectomy. However, the clinical
value of this approach has not been validated. METHODS: Records of 54 consecutive
patients who underwent resection of a Bismuth type I or II hilar
cholangiocarcinoma were analyzed retrospectively. Through 1996, bile duct
resection or the smallest necessary hepatic segmentectomy was performed.
Beginning in 1997, choice of resection was based on the cholangiographic tumor
type. For nodular or infiltrating tumor, right hepatectomy was indicated; for
papillary tumor, bile duct resection with or without limited hepatectomy was
chosen. RESULTS: Right hepatectomy was performed in 5 (20.8%) of 24 patients
through 1996 and was done in 22 (73.3%) of 30 patients from 1997 (P = 0.0003). In
patients without pM1 disease, R0 resection was achieved more frequently in the
later period than in the earlier period (23 of 24 = 95.8% vs. 13 of 21 = 61.9%, P
= 0.0073), which lead to better survival (5-year survival, 44.3% vs. 25.0%, P =
0.0495). In the 31 patients with nodular or infiltrating tumor, who tolerated
surgery and did not have pM1 disease, survival was better in the 18 patients who
underwent right hepatectomy than in those who did not (5-year survival, 62.9% vs.
23.1%, P = 0.0030). In cases of papillary tumor, bile duct resection with or
without limited hepatectomy was sufficient to improve long-term survival.
CONCLUSIONS: The surgical approach to Bismuth type I and II hilar
cholangiocarcinomas should be determined according to cholangiographic tumor
type. For nodular and infiltrating tumors, right hepatectomy is essential; for
papillary tumor, bile duct resection with or without limited hepatectomy is
adequate.
PMID- 18043111
TI - Fatty pancreas: a factor in postoperative pancreatic fistula.
AB - OBJECTIVE: To determine whether patients who develop a pancreatic fistula after
pancreatoduodenectomy are more likely to have pancreatic fat than matched
controls. BACKGROUND: Pancreatic fistula continues to be a major cause of
postoperative morbidity and increased length of stay after pancreatoduodenectomy.
Factors associated with postoperative pancreatic fistula include a soft pancreas,
a small pancreatic duct, the underlying pancreatic pathology, the regional blood
supply, and surgeon's experience. Fatty pancreas previously has not been
considered as a contributing factor in the development of postoperative
pancreatic fistula. METHODS: Forty patients with and without a pancreatic fistula
were identified from an Indiana University database of over 1000 patients
undergoing pancreatoduodenectomy and matched for multiple parameters including
age, gender, pancreatic pathology, surgeon, and type of operation. Surgical
pathology specimens from the pancreatic neck were reviewed blindly for fat,
fibrosis, vessel density, and inflammation. These parameters were scored (0-4+).
RESULTS: The pancreatic fistula patients were less likely (P < 0.05) to have
diabetes but had significantly more intralobular (P < 0.001), interlobular (P <
0.05), and total pancreatic fat (P < 0.001). Fistula patients were more likely to
have high pancreatic fat scores (50% vs. 13%, P < 0.001). Pancreatic fibrosis,
vessel density, and duct size were lower (P < 0.001) in the fistula patients and
negative correlations (P < 0.001) existed between fat and fibrosis (R = -0.40)
and blood vessel density (R = -0.15). CONCLUSIONS: These data suggest that
patients with postoperative pancreatic fistula have (1) increased pancreatic fat
and (2) decreased pancreatic fibrosis, blood vessel density, and duct size.
Therefore, we conclude that fatty pancreas is a risk factor for postoperative
pancreatic fistula.
PMID- 18043112
TI - Right hepatic trisectionectomy for hepatobiliary diseases: results and an
appraisal of its current role.
AB - OBJECTIVE: To assess the results of 275 patients undergoing right hepatic
trisectionectomy and to clarify its current role. SUMMARY BACKGROUND DATA: Right
hepatic trisectionectomy is considered one of the most extensive liver
resections, and few reports have described the long-term results of the
procedure. METHODS: Short- and long-term outcomes of 275 consecutive patients who
underwent right hepatic trisectionectomy from January 1993 to January 2006 were
analyzed. RESULTS: Of the 275 patients, 160 had colorectal metastases, 49 had
biliary tract cancers, 20 had hepatocellular carcinomas, 20 had other metastatic
tumors, and 12 had benign diseases. Fourteen of the 275 patients underwent right
hepatic trisectionectomy as part of auxiliary liver transplantation for acute
liver failure and were excluded. Concomitant procedures were carried out in 192
patients: caudate lobectomy in 45 patients, resection of tumors from the liver
remnant in 57 patients, resection of the extrahepatic biliary tree in 45
patients, and lymphadenectomy in 45 patients. One-, 3-, 5-, and 10-year survivals
were 74%, 54%, 43%, and 36%, respectively. Overall hospital morbidity and 30-day
and in-hospital mortalities were 41%, 7%, and 8%, respectively. Survivals for
individual tumor types were acceptable, with 5-year survivals for colorectal
metastasis and cholangiocarcinoma being 38% and 32%, respectively. Multivariate
analysis disclosed the amount of intraoperative blood transfusion to be the sole
independent predictor for the development of hospital morbidity. Age over 70
years, preoperative bilirubin levels, and the development of postoperative renal
failure were found to be independent predictors of long-term survival.
CONCLUSION: Right hepatic trisectionectomy remains a challenging procedure. The
outcome is not influenced by additional concomitant resection of tumors from the
planned liver remnant. Caution must be taken when considering patients older than
70 years for such resections.
PMID- 18043113
TI - Is total parathyroidectomy the treatment of choice for hyperparathyroidism in
multiple endocrine neoplasia type 1?
AB - OBJECTIVE: The aim of the present report is to describe the results obtained with
total parathyroidectomy (TPTX) guided by rapid intraoperative parathyroid hormone
(PTH) evaluation, followed by immediate parathyroid autograft with fresh tissue.
SUMMARY BACKGROUND DATA: Surgery for hyperparathyroidism (HPT) in multiple
endocrine neoplasia type 1 (MEN1) is performed with various surgical approaches.
METHODS: We report our 16-year experience of surgical treatment of 51 MEN1-HPT
patients using TPTX and thymectomy. Forty-five patients underwent TPTX as the
first surgical procedure, whereas for 6 patients, a parathyroid operation was the
second surgical procedure. PTH intraoperative values less than 10 pg/mL, at the
end of the surgery, were indicative for reimplantation of a few fragments (
approximately 7) of fresh parathyroid tissue in the brachioradial muscle of the
forearm. Parathyroid autograft was performed in all patients, except 3 in whom
the fourth parathyroid gland was not found. RESULTS: Persistent
hypoparathyroidism occurred in 13 patients (25%), with higher incidence in
patients undergoing a second surgical revision for cervical recurrence than in
patients submitted to the first surgery. At follow-up, 5 recurrences (
approximately 10%) in the forearm were observed after a mean time of 7 +/- 5 (M
+/- SD) years. No cervical recurrence was documented. The forearm recurrence was
treated with removal of 1 or 2 enlarged fragments obtaining the resolution of HPT
in all but 1 case. CONCLUSIONS: Based on the occurrence of complications in our
experience, TPTX followed by autograft and guided by intraoperative PTH
monitoring represents a better surgical option in MEN1-HPT compared with other
surgical approaches.
PMID- 18043114
TI - Racial disparities in clinical and economic outcomes from thyroidectomy.
AB - CONTEXT: Thyroid disease is common, and thyroidectomy is a mainstay of treatment
for many benign and malignant thyroid conditions. Overall, thyroidectomy is
associated with favorable outcomes, particularly if experienced surgeons perform
it. OBJECTIVE: To examine racial differences in clinical and economic outcomes of
patients undergoing thyroidectomy in the United States. DESIGN, SETTING,
PATIENTS: The nationwide inpatient sample was used to identify thyroidectomy
admissions from 1999 to 2004, using ICD-9 procedure codes. Race and other
clinical and demographic characteristics of patients were collected along with
surgeon volume and hospital characteristics to predict outcomes. MAIN OUTCOME
MEASURES: Inpatient mortality, complication rates, length of stay (LOS),
discharge status, and mean total costs by racial group. RESULTS: In 2003-2004,
16,878 patients underwent thyroid procedures; 71% were white, 14% black, 9%
Hispanic, and 6% other. Mean LOS was longer for blacks (2.5 days) than for whites
(1.8 days, P < 0.001); Hispanics had an intermediate LOS (2.2 days). Although
rare, in-hospital mortality was higher for blacks (0.4%) compared with that for
other races (0.1%, P < 0.001). Blacks trended toward higher overall complication
rates (4.9%) compared with whites (3.8%) and Hispanics (3.6%, P = 0.056). Mean
total costs were significantly lower for whites ($5447/patient) compared with
those for blacks ($6587) and Hispanics ($6294). The majority of Hispanics (55%)
and blacks (52%) had surgery by the lowest-volume surgeons (1-9 cases per year),
compared with only 44% of whites. Highest-volume surgeons (>100 cases per year)
performed 5% of thyroidectomies, but 90% of their patients were white (P <
0.001). Racial disparities in outcomes persist after adjustment for surgeon
volume group. CONCLUSIONS: These findings suggest that, although thyroidectomy is
considered safe, significant racial disparities exist in clinical and economic
outcomes. In part, inequalities result from racial differences in access to
experienced surgeons; more data are needed with regard to racial differences in
thyroid biology and surveillance to explain the balance of observed disparities.
PMID- 18043115
TI - The optimal timing of intestinal transplantation for children with intestinal
failure: a Markov analysis.
AB - OBJECTIVE: Identify an optimal approach to the timing of intestinal
transplantation for children dependent on total parenteral nutrition (PN).
SUMMARY BACKGROUND DATA: Children with short bowel syndrome are frequently
dependent on PN for growth and development. Intestinal transplantation is often
considered after PN-related complications occur, but optimal timing of
transplantation is controversial. METHODS: A Markov analytic model was used to
determine life expectancy (LY) and quality-adjusted life years on a theoretical
cohort of 4-year-old subjects for two treatment strategies: (1) standard care
consisting of PN and referral to transplantation according to accepted guidelines
and (2) early listing for isolated small intestine transplantation. RESULTS:
Early listing for intestinal transplantation was associated with 0.27 additional
life years (13.16 vs. 12.89) and 0.76 additional quality-adjusted life years
(10.51 vs. 9.75) as compared with current standard care. The unadjusted analysis
was sensitive to the development of PN-associated liver disease, at a threshold
of approximately 11% per year, and its related probability of dying at a
threshold of 80% 2-year mortality. Early listing for transplantation was the
dominant strategy until the probability of late bowel rejection reached 35% per
year. CONCLUSIONS: Children with short bowel syndrome dependent on PN should be
considered for intestinal transplantation earlier than what is current practice.
PMID- 18043116
TI - The Michigan surgical quality collaborative: will a statewide quality improvement
initiative pay for itself?
AB - OBJECTIVE: In this article, we detail a unique collaboration between hospitals in
Michigan and a major third party payer, using a "pay for participation model."
The payer has made a significant investment in this regional surgical quality
improvement (QI) program and funds each center's participation. RESULTS: Based on
the documented costs and incidence of surgical complications at our center, we
estimate that a 1.8% annual reduction in complication rates is required for the
payer to recoup its investment in this regional QI program. If we achieve our
goal of a 3% reduction in complications per year over the 3-year program, the
payer will save $2.5 million in payments. Our findings suggest that only a very
modest improvement in surgical results, of a magnitude that seems realistically
achievable based on similar QI initiatives, is necessary to financially justify
payer involvement in a statewide quality improvement initiative. CONCLUSION: The
framework of this program should be used by surgeons to attract private payers
into QI collaboratives, facilitating improved patient outcomes and decreased
health care expenditures.
PMID- 18043117
TI - The quality of trials in operative surgery.
AB - OBJECTIVE: This study aimed to assess the reported quality of trials in operative
surgery. SUMMARY BACKGROUND DATA: Randomized controlled trials (RCTs) in
operative surgery have previously been criticized for using weak methodology
despite no evidence to suggest their quality is any different from nonsurgical
trials. STUDY DESIGN: All surgical RCTs published in the British Medical Journal,
the Journal of the American Medical Association, The Lancet, and the New England
Journal of Medicine between 1998 and 2004 were identified. The adequacy of the
reported methodology used to perform the randomization, power calculation, and
recruitment was assessed for each trial using predefined criteria. The results
from the surgical trials were compared with a randomly selected control group of
nonsurgical RCTs, which were matched for journal and year of publication.
RESULTS: Sixty-six surgical RCTs were identified. Adequate reporting of
randomization sequence generation was seen in 42% (n = 28) of surgical trials and
30% (n = 20) of nonsurgical trials, and adequate allocation concealment was
recorded in 46% (n = 30) and 47% (n = 31), respectively. When combining these 2
interrelated steps of randomization, only 26% (n = 17) of surgical trials and 23%
(n = 15) of nonsurgical trials reported both adequately. Adequate recruitment was
recorded in 52% (n = 33 of 63) surgical and 55% (n = 33 of 60) nonsurgical
trials, with approximately a quarter (n = 17 and n = 16, respectively) of the
trials in both the surgical and nonsurgical categories reporting an adequate
power calculation. CONCLUSIONS: There was no evidence that the reported quality
of surgical trials was different to nonsurgical trials. However, approximately
half or less of all the trials reviewed reported adequate methodology.
PMID- 18043118
TI - Little science, big science: strategies for research portfolio selection in
academic surgery departments.
AB - OBJECTIVE: To evaluate National Institutes of Health (NIH) funding for academic
surgery departments and to determine whether optimal portfolio strategies exist
to maximize this funding. SUMMARY BACKGROUND DATA: The NIH budget is expected to
be relatively stable in the foreseeable future, with a modest 0.7% increase from
2005 to 2006. Funding for basic and clinical science research in surgery is also
not expected to increase. METHODS: NIH funding award data for US surgery
departments from 2002 to 2004 was collected using publicly available data
abstracted from the NIH Information for Management, Planning, Analysis, and
Coordination (IMPAC) II database. Additional information was collected from the
Computer Retrieval of Information on Scientific Projects (CRISP) database
regarding research area (basic vs. clinical, animal vs. human, classification of
clinical and basic sciences). The primary outcome measures were total NIH award
amount, number of awards, and type of grant. Statistical analysis was based on
binomial proportional tests and multiple linear regression models. RESULTS: The
smallest total NIH funding award in 2004 to an individual surgery department was
a single $26,970 grant, whereas the largest was more than $35 million comprising
68 grants. From 2002 to 2004, one department experienced a 336% increase
(greatest increase) in funding, whereas another experienced a 73% decrease
(greatest decrease). No statistically significant differences were found between
departments with decreasing or increasing funding and the subspecialty of basic
science or clinical research funded. Departments (n = 5) experiencing the most
drastic decrease (total dollars) in funding had a significantly higher proportion
of type K (P = 0.03) grants compared with departments (n = 5) with the largest
increases in total funding; the latter group had a significantly increased
proportion of type U grants (P = 0.01). A linear association between amount of
decrease/increase was found with the average amount of funding per grant and per
investigator (P < 0.01), suggesting that departments that increased their total
funding relied on investigators with large amounts of funding per grant.
CONCLUSIONS: Although incentives to junior investigators and clinicians with
secondary participation in research are important, our findings suggest that the
best strategy for increasing NIH funding for surgery departments is to invest in
individuals with focused research commitments and established track records of
garnering large and multiple research grants.
PMID- 18043119
TI - Does a biologic prosthesis really reduce recurrence after laparoscopic
paraesophageal hernia repair?
PMID- 18043120
TI - Prophylactic ilioinguinal neurectomy in open inguinal hernia repair: a double
blind randomized controlled trial.
PMID- 18043123
TI - Mental training in surgical education.
PMID- 18043124
TI - Single-agent treatment with pegylated liposomal doxorubicin for metastatic breast
cancer.
AB - Anthracyclines and taxanes are among the most active substances used in the
treatment of metastatic breast cancer (MBC). Their frequent use in the adjuvant
setting and in cumulative toxicities including cardiotoxicity, however, often
limit their use in MBC. The trend towards the use of adjuvant trastuzumab
containing regimens, which can also produce cardiotoxicity, adds further support
to the need for effective agents with improved tolerability in the metastatic
setting. Pegylated liposomal doxorubicin (PLD) can be an effective alternative to
conventional anthracyclines for certain women with MBC. In phase III clinical
trials, PLD was as effective as doxorubicin and produced significantly less
cardiotoxicity in women with MBC. The incidences of myelotoxicity,
nausea/vomiting, and alopecia were also lower with PLD, whereas hand-foot
syndrome and stomatitis occurred more frequently. Phase II and III trials
conducted in women with MBC support the use of PLD monotherapy in patients
relapsing after adjuvant anthracycline-containing therapy, in heavily pretreated
patients with taxane-refractory disease, in patients with cardiovascular risk
factors (e.g. hypertension and mediastinal irradiation), in elderly patients, and
in patients for whom specific acute doxorubicin toxicities, such as alopecia, are
particularly worrying.
PMID- 18043125
TI - SCH66336, inhibitor of protein farnesylation, blocks signal transducer and
activators of transcription 3 signaling in lung cancer and interacts with a small
molecule inhibitor of epidermal growth factor receptor/human epidermal growth
factor receptor 2.
AB - Signal transducer and activators of transcription 3 (STAT3) is an important
transcription factor that is essential for lung cancer cell survival. STAT3 is
activated by diverse upstream receptor and nonreceptor tyrosine kinases, and
blockade of STAT3 results in tumor growth inhibition. Therefore, a search for
STAT3 inhibitors is under way. We demonstrate that SCH66336, at 4 mumol/l,
completely blocks STAT3 phosphorlyation in a variety of nonsmall cell lung
carcinoma (NSCLC) cell lines, whereas the effect on AKT and extracellular signal
regulated kinase activation is variable. Furthermore, SCH66336 has
antiproliferative effects on NSCLC cells. When NSCLC cells are exposed
sequentially to SCH66336 and a small molecule dual tyrosine kinase inhibitor of
epidermal growth factor receptor and human epidermal growth factor receptor 2,
synergistic activity is observed with an increase in the fraction of cells
undergoing apoptosis. Concurrent exposure to both agents is, however, associated
with antagonism and decreased apoptosis. We conclude that blockade of STAT3
phosphorylation might be one of the mechanisms by which SCH66336 exerts its
antitumor activity, and that this can be synergistic in vitro when administered
sequentially with epidermal growth factor receptor inhibitors.
PMID- 18043126
TI - Alterations in vascular architecture and permeability following OXi4503
treatment.
AB - OXi4503 retards tumor growth in a dose-dependent manner and improves survival in
a murine model of colorectal liver metastases. This agent causes extensive
vascular shutdown by selectively altering the tubulin cytoskeleton within the
endothelial cells of tumor vessels. The destruction of tumor vessels is
incomplete, however, and tumor revascularization occurs after the treatment. This
study evaluates the pattern of microcirculatory changes and alterations to the
ultrastructural properties of the tumor vasculature that result from OXi4503
treatment. Male CBA mice were induced with liver metastases via an intrasplenic
injection of a murine-derived colorectal cell line. After administering a single
intraperitoneal dose of OXi4503, changes in tumor perfusion, microvascular
architecture and permeability were assessed at various time points. One hour
after a 100-mg/kg dose of OXi4503, a significant decrease in the percentage of
tumor perfusion (63.96+/-1.98 in controls versus 43.77+/-2.71 in treated mice,
P<0.001) was observed, which was still evident 5 days after the treatment.
Substantial tumor microvascular damage and minimal normal liver injury were
observed. Tumor vascular permeability was significantly elevated 45 min after the
OXi4503 treatment (67.5+/-3.60 in controls versus 80.5+/-2.24 microg/g, P<0.05).
The findings suggest that OXi4503 selectively targets tumor vessels and causes
immediate microvascular destruction. Even at the maximum tolerated dose, however,
residual patent tumor vessels were still present after treatment, implying
incomplete tumor destruction. A combination of OXi4503 with other
chemotherapeutic modalities might achieve complete tumor eradication and improve
long-term survival.
PMID- 18043127
TI - Synthesis and anticancer activities of 6-amino amonafide derivatives.
AB - Amonafide is a DNA intercalator and topoisomerase II inhibitor in clinical
development for the treatment of neoplastic diseases. Amonafide contains a free
arylamine, which causes it to be metabolized in humans by N-acetyl transferase-2
(NAT2) into a toxic form. To eliminate the NAT2 acetylation of amonafide while
retaining the anticancer properties, we have synthesized nine derivatives that
are structurally similar to amonafide that should not be acetylated. Eight
derivatives have arylamines at the 6-position (vs. 5-position of amonafide) and
one derivative completely lacks the arylamine. The derivative with a free amine
in the 6-position and one with a substituted amine in the 6-position are not
acetylated, whereas amonafide is extensively acetylated as determined by an NAT2
assay. The biological activities of these compounds were evaluated to determine
whether they behaved similarly to amonafide in purified systems and in vitro. We
found that three compounds had similar cancer cell-selective growth inhibition to
amonafide, while retaining similar subcellular localization, DNA intercalation
and topoisomerase II inhibition activities. In addition, these compounds were
able to eliminate a marker of metastatic potential, the perinucleolar
compartment. These three compounds (named numonafides) might thus allow for
better patient management than those treated with amonafide; hence, they should
be developed further as potential clinical replacements for amonafide or as novel
anticancer drugs.
PMID- 18043128
TI - Exposure-response relationships for oxaliplatin-treated colon cancer cells.
AB - Data are lacking for an optimal infusion length for oxaliplatin administered
intraperitoneally. Our objectives were to establish the roles of hyperthermia and
an effective length of oxaliplatin treatment in maximizing antitumor activity.
SW620 cells were treated for 0.5 vs. 2 h and at 37 vs. 42 degrees C.
Cytotoxicity, cell cycle analysis, subG1 and survival were assessed with the MTT
assay, flow cytometry and the clonogenic assay. The IC50 for cells treated at 37
degrees C was 2.90+/-0.83 microg/ml and at 42 degrees C, 1.99+/-0.66 microg/ml
(P=0.14). The Emax for 37 degrees C was 93.9+/-2.57% and for 42 degrees C, 97.8+/
1.59% (P=0.05). The subG1 fraction did not differ between cells treated at 37 and
42 degrees C (P=0.12). The IC50 for the cells treated for 0.5 h was 10.6+/-0.60
microg/ml and for 2 h, 2.80+/-1.70 microg/ml (P=0.02). The Emax for 0.5 h was
87.9+/-5.13% and for 2 h, 96.6+/-3.35% (P=0.09). SubG1 for 0.5 h was 8.24+/-1.33%
and for 2 h, 15.8+/-2.45% (P=0.02). Clonogenic assays demonstrated diminished
survival when treated with low concentrations (10 microg/ml) of oxaliplatin
combined with heat treatment (P=0.017) for 2 h, but not 0.5 h. Similar clonogenic
assay experiments were performed with the oxaliplatin-resistant WiDr cell line,
and differences in survival following oxaliplatin and heat treatment were again
observed for 2 h, but not for 0.5 h (P=0.002). Drug treatment for 2 h of both
SW620 and WiDr cell lines is superior to treatment for 0.5 h. Cell kill effects
are reliant on treatment length; hence, the choice of time exposure must be made
with a view to maintaining a balance between the cell kill effects and the
clinical feasibility of treating the patient.
PMID- 18043129
TI - Addition of PTK787/ZK 222584 can lower the dosage of amsacrine to achieve equal
amounts of acute myeloid leukemia cell death.
AB - Acute myeloid leukemia (AML) is a disease with a poor prognosis. It has been
demonstrated that AML cells express the vascular endothelial growth factors,
VEGFA and VEGFC, as well as kinase insert domain-containing receptor (VEGFR2),
the main receptor for downstream effects, resulting in an autocrine pathway for
cell survival. This study investigates the role of the VEGFR inhibitor PTK787/ZK
222584 in leukemic cell death, and the possibility of an additional effect on
cell death by a chemotherapeutic drug, amsacrine. In three AML cell lines and 33
pediatric AML patient samples, we performed total cell-kill assays to determine
the percentages of cell death achieved by PTK787/ZK 222584 and/or amsacrine. Both
drugs induced AML cell death. Using a response surface analysis, we could show
that, in cell lines as well as in primary AML blasts, an equal magnitude of
leukemic cell death could be obtained when lower doses of the more toxic
amsacrine were combined with low dosages of the less toxic VEGFR inhibitor. This
study shows that PTK787/ZK 222584 might have more clinical potential in AML when
combined with a chemotherapeutic drug such as amsacrine. In future, it will be
interesting to study whether the complications and the long-term effects of
chemotherapy can be reduced by lowering the dosages of amsacrine, and by
replacing it with other drugs with lower toxicity profiles, such as PTK787/ZK
222584.
PMID- 18043130
TI - Norcantharidin induces anoikis through Jun-N-terminal kinase activation in CT26
colorectal cancer cells.
AB - Norcantharidin (NCTD), a chemically modified form of cantharidin, is a potential
anticancer drug. This study investigated the effect of NCTD on anoikis in CT26
colorectal adenocarcinoma cells. NCTD treatment of CT26 cells showed a dose
dependent and time-dependent decrease in viability and cell proliferation. Growth
inhibition was accompanied by cell cycle arrest in the S and G2/M phases. Mitogen
activated protein kinase expression, assayed by Western blot, was unchanged
except for Jun-N-terminal kinase (JNK). At 24 h of treatment with 0-20 micromol/l
NCTD, JNK expression increased at 24 h, but then decreased at 48 h; in contrast,
the phosphorylated JNK levels markedly increased. JNK inhibitor (SP600125) in the
culture effectively blocked NCTD-induced cytotoxicity and detachment of cells.
CT26 cells treated with NCTD not only displayed inhibited cell adhesion and down
expression of integrin beta1, but also changed from being shuttle-shaped to
round, the latter cells being more susceptible to anoikis-mediated apoptosis.
Flow cytometric assay of the DNA content in NCTD-treated CT26 cells at 24 and 48
h showed a marked increase in the sub-G1 level, indicating that NCTD induced
apoptosis. NCTD inhibited the viability of CT26 cancer cells preferentially over
normal bone marrow and mononuclear cells. NCTD inhibits CT26 cancer cells by
blocking proliferation and inducing anoikis-mediated apoptosis, a process that
might be regulated by JNK activation.
PMID- 18043131
TI - Alkylphospholipids inhibit capillary-like endothelial tube formation in vitro:
antiangiogenic properties of a new class of antitumor agents.
AB - Synthetic alkylphospholipids (APLs), such as edelfosine, miltefosine and
perifosine, constitute a new class of antineoplastic compounds with various
clinical applications. Here we have evaluated the antiangiogenic properties of
APLs. The sensitivity of three types of vascular endothelial cells (ECs) (bovine
aortic ECs, human umbilical vein ECs and human microvascular ECs) to APL-induced
apoptosis was dependent on the proliferative status of these cells and correlated
with the cellular drug incorporation. Although confluent, nondividing ECs failed
to undergo apoptosis, proliferating ECs showed a 3-4-fold higher uptake and
significant levels of apoptosis after APL treatment. These findings raised the
question of whether APLs interfere with new blood vessel formation. To test the
antiangiogenic properties in vitro, we studied the effect of APLs using two
different experimental models. The first one tested the ability of human
microvascular ECs to invade a three-dimensional human fibrin matrix and form
capillary-like tubular networks. In the second model, bovine aortic ECs were
grown in a collagen gel sandwich to allow tube formation. We found that all three
APLs interfered with endothelial tube formation in a dose-dependent manner, with
a more than 50% reduction at 25 micromol/l. Interference with the angiogenic
process represents a novel mode of action of APLs and might significantly
contribute to the antitumor effect of these compounds.
PMID- 18043132
TI - A phase I safety, tolerability, and pharmacokinetic study of enzastaurin combined
with capecitabine in patients with advanced solid tumors.
AB - Enzastaurin, an oral inhibitor of protein kinase Cbeta, affects signal
transduction associated with angiogenesis, proliferation, and survival.
Capecitabine is converted to 5-fluoruracil by thymidine phosphorylase, a putative
angiogenic factor. The all-oral combination of the two drugs offers the potential
for targeting angiogenesis in capecitabine-sensitive tumors with nonoverlapping
toxicities. Patients with advanced cancer initially received single-agent
enzastaurin to achieve steady-state concentrations (cycle 1). In subsequent 21
day cycles, enzastaurin was given orally, once daily, on days 1-21 and
capecitabine orally, twice daily (b.i.d.), on days 1-14 in three dose-level
cohorts. Three dose-escalation cohorts were studied: cohort 1 (n=8), 350 mg of
enzastaurin +capecitabine (750 mg/m2 b.i.d.); cohort 2 (n=7), enzastaurin (350
mg)+capecitabine (1000 mg/m2 b.i.d.); cohort 3 (n=12), 525-mg capsules or 500-mg
enzastaurin+capecitabine (1000 mg/m2 b.i.d.). Further dose escalation was not
pursued because of emerging data that enzastaurin systemic exposure did not
increase at doses above 525 mg. Although a traditional toxicity-based maximum
tolerated dose was not achieved, the highest dosing cohort represented a
biologically relevant dose of enzastaurin, on the basis of preclinical data and
correlative pharmacodynamic biomarker assays of protein kinase Cbeta inhibition
in peripheral blood mononucleocytes, in combination with a standard dose of
capecitabine. For the 500/525-mg dose, ratios of total enzastaurin analyte
geometric means (i.e. enzastaurin alone versus enzastaurin with capecitabine)
reflected a trend toward decreased enzastaurin exposure, but did not reach
statistical significance. The pharmacokinetic parameters of capecitabine with
enzastaurin were similar to those previously reported for single-agent
capecitabine. The regimen was well tolerated, without any consistent pattern of
drug-related grade 3 or grade 4 toxicities being observed. Although no objective
tumor responses were documented, five patients maintained stable disease for
>or=6 months (range: 6-9.7 months). The recommended phase II dose of this
combination, based on the results of this study, is enzastaurin at a daily dose
of 500 mg (tablet formulation) and capecitabine (1000 mg/m2, b.i.d.) on days 1-14
every 21 days. Further disease-directed studies are warranted, such as in
malignancies in the treatment of which both capecitabine and inhibitors of
angiogenesis have previously been benchmarked as being effective.
PMID- 18043133
TI - Multi-institutional phase II trial of S-1 in patients with oral squamous cell
carcinoma.
AB - The aim of this study was to investigate the efficacy and safety of an oral
fluoropyrimidine anticancer agent, S-1, in patients with oral squamous cell
carcinoma. Patients with pathologically confirmed squamous cell carcinoma and at
least one measurable lesion were enrolled. Oral administration of S-1 (40 mg/m2
twice daily) for 28 days was followed by a 14-day rest period. A total of 41
consecutive eligible patients were enrolled in the study between October 2002 and
August 2004. The sites of the primary tumor were the gingiva (n=18), the tongue
(n=12), the palate (n=5), the oral floor (n=4), the buccal mucosa (n=1), and the
labial mucosa (n=1). A median of two cycles of treatment (range, 1-5) was
administered. A complete response was achieved in nine patients and a partial
response in eight patients, for an overall response rate of 41.5% (95% confidence
interval, 26.4-56.5%). The 3-year survival rate was 76.4% (95% confidence
interval, 62.8-90.0%). Although grade 3 anemia and anorexia occurred in two
patients each (4.9%), and grade 3 neutropenia, thrombocytopenia, nausea,
vomiting, stomatitis, and diarrhea in one patient each (2.4%), no grade 4
toxicities were observed. S-1 exhibits definite antitumor activity in patients
with oral squamous cell carcinoma and is well tolerated.
PMID- 18043134
TI - Continuous oral capecitabine at fixed dose in patients older than 75 years with
metastatic colorectal and gastric cancer: a study of the Multidisciplinary
Oncology Group on Gastrointestinal Tumors.
AB - The aim of this study was to investigate the safety profile of continuous oral
capecitabine at fixed dose in patients older than 75 years, having metastatic
colorectal and gastric cancer. Capecitabine was administered at a fixed dose of
2000 mg daily without interruptions. Thirty-four patients were considered
evaluable for toxicity and efficacy. The median age was 81 years (range 76-85).
The median duration of treatment was 113 days (range 24-238 days). No grade 4
toxicity was observed. One patient had grade 3 nausea and vomiting, and one had
grade 3 diarrhea. Partial responses were observed in six patients with colorectal
cancer, and in one patient with gastric cancer. This study suggests that
continuous oral capecitabine at a fixed daily dose of 2000 mg is well tolerated,
and that it allows for the simplification and ease of dosing in elderly patients
with metastatic colorectal and gastric cancer.
PMID- 18043135
TI - Cerebrovascular accident during cisplatin-based combination chemotherapy of
testicular germ cell tumor: an unusual case report.
AB - Even though testicular nonseminomatous germ cell tumors (NSGCTs) usually have a
good prognosis and high curability rates, unpredicted complications owing to
chemotherapy regimens might complicate the course. Modalities that are commonly
used to cure NSGCTs have well-known side effects. Thromboembolism, which is
infrequently associated with germ cell tumors and the vascular toxicity of
chemotherapeutics, causes morbidity and mortality. We report a young testicular
NSGCT patient, without any known underlying risk factor, who experienced an
unpredicted cerebrovascular accident after he received cisplatin-based
combination chemotherapy.
PMID- 18043136
TI - Implantation of a Berlin Heart as single ventricle by-pass on Fontan circulation
in univentricular heart failure.
AB - The clinical management of ventricular failure after the Fontan operation
presents a formidable challenge to surgeons. We report our experience with
successful implantation of a Berlin Heart EXCOR ventricular assist device as a
bridge to transplantation in a child with Fontan circulation.
PMID- 18043137
TI - Heart transplantation after Fontan procedure in adults.
AB - Complex congenital heart disease is the cause for 1.5%-2.4% of heart
transplantations in adults. Indications for heart transplantation are ventricular
dysfunction, failed Fontan physiology, and cyanosis. We present a 43-year-old
man, 20 years after a Fontan operation by an univentricular heart, with a
tricuspid atresia, L-Transposition of the Great Arteries, and high-grade
subpulmonary stenosis. Persistent bleeding after successful transplantation
necessitated major blood transfusions and reoperation. We reconstructed superior
vena cava and vena brachiocephalica using a pericardial patch, and because of
postoperative low output syndrome, he was connected to extracorporeal circulation
through cannulation of femoral artery and explanted after hemodynamic stability.
Heart transplantation can be successful in patients with end-stage congenital
heart diseases after Fontan procedure, because of improved surgical and medical
management. Challenges include limited ability to assess hemodynamics, complex
anatomy, increased risk of bleeding caused by multiple prior procedures, and
unique underlying pathologic states. Careful patient selection and surgical
timing are essential to increase long-term survival.
PMID- 18043138
TI - Surgical repair of congenital supravalvular aortic stenosis in adult.
AB - Supravalvular aortic stenosis is a rare congenital cardiac anomaly occurring
mainly as a part of Williams-Beuren syndrome. Aortic narrowing above the level of
the aortic valve causes obstruction of the left ventricular outflow tract, and a
pressure gradient between the left ventricle and the aorta causes left ventricle
hypertrophy. We report here a case of a 22-year-old man who underwent extended
patch aortoplasty because of supravalvular aortic stenosis accompanying Williams
Beuren syndrome. He was in New York Heart Association functional class III with
localized hourglass type supravalvular aortic stenosis. Related to arterial
hypertension he was in a cardiac decompensation. Mean pressure gradient was 73 mm
Hg and maximum gradient 104 mm Hg. Electrocardiography indicated left ventricle
hypertrophy, which was also seen in x-ray, as heart enlargement. We successfully
treated this patient with extended patch aortoplasty and immediate postoperative
echocardiography showed reduction of gradient. Good surgical outcome of
congenital supravalvular aortic stenosis in adults can be achieved with this
treatment. This technique provides symmetric reconstruction of the aorta with
good postoperative results and no gradient across aortic valve and aortic valve
insufficiency remains, providing excellent long-term relief of localized
supravalvular gradients and preservation of aortic valve competence.
PMID- 18043139
TI - Benefits of pulsatile perfusion on vital organ recovery during and after
pediatric open heart surgery.
AB - Controversy continues concerning the utilization of pulsatile flow during
cardiopulmonary bypass (CPB) procedures with regard to improved patient outcomes.
We evaluated 215 consecutive pediatric patients undergoing open heart surgery for
repair of congenital heart disease who were prospectively entered into the study
and randomly assigned to either the pulsatile perfusion group (group P, n = 151)
or the nonpulsatile perfusion group (group NP, n = 64). All patients received
identical surgical, perfusional, and postoperative care. Major complications and
clinical outcome were documented. There were no statistically significant
differences seen in either preoperative or operative parameters between the two
groups (age, body surface area, weight, X-clamp and CPB time, base flow, flow
rates, and hemofiltration). Group P, compared with group NP, had significantly
less inotropic support (number of agents 1.4 +/- 0.07 vs. 2 +/- 0.12, p = 0.0012;
dopamine 7.14 +/- 0.28 vs. 9.04 +/- 0.42 microg 32 x kg x min, p = 0.00025;
dobutamine 4.12 +/- 0.3 vs. 5.3 +/- 0.6 microg 32 x kg x min, p = 0.036),
adrenalin (0.026 +/- 0.005 vs. 0.046 +/- 0.005 microg 32 x kg x min, p = 0.021),
shorter intubation period (10.26 +/- 1.04 vs. 18.64 +/- 1.99 hours, p = 0.021),
shorter duration of intensive care unit (ICU) (1.53 +/- 0.07 vs. 2.75 +/- 1.19
days, p = 0.012), and hospital stay (6.71 +/- 0.19 vs. 11.16 +/- 0.58 days, p =
0.002). Although there were no significant differences in either creatinine,
enzyme levels, and drainage amounts between two groups, lower lactate levels
16.27 +/- 2.02 vs. 24.66 +/- 3.05 mg/dl, p = 0.00034), higher albumine levels
(3.15 +/- 0.03 vs. 2.95 +/- 0.06 mg/dl, p = 0.046), and higher urine output
(602.82 +/- 21.5 vs. 505.55 +/- 34.2 ml/d, p = 0.016) during ICU period was
observed in group P compared with group NP, respectively. We concluded that the
use of pulsatile flow resulted in improved patient outcomes in terms of
preserving better cardiac, renal, and pulmonary functions in the early post-CPB
period.
PMID- 18043140
TI - Technique for the Norwood procedure using normothermic selective cerebral
perfusion.
AB - The Norwood procedure is commonly performed using either circulatory arrest or
deep hypothermia with low-flow cardiopulmonary bypass. We describe our technique
for the Norwood procedure using selective cerebral perfusion with corporeal
normothermia (> 32 degrees C). A right radial artery catheter was placed and
cerebral NIRS applied. A C-clamp was placed upon the innominate artery and gortex
shunt placed. Cardiopulmonary bypass was established through the shunt. A 7.0
purse-string was placed at the beginning of the arch and a cross-clamp applied
superior to this and cold cardioplegia was given by hand. The left carotid and
subclavian were snared and a C-clamp was placed on the distal descending aorta.
The cross-clamp was then repositioned across the base of the innominate and pump
flow was reduced. This resulted in arch isolation with a bloodless field.
Perfusion was then transferred to a neo-artic cannula and the central shunt
completed. Cardiopulmonary bypass time was approximately 60 minutes and cardiac
arrest time was approximately 20 minutes; core temperature had not dropped below
32 degrees C. The Norwood procedure can be successfully performed with
normothermic selective cerebral perfusion thereby offering the theoretical
benefit of avoiding deep hypothermia with or without circulatory arrest.
PMID- 18043141
TI - Usefulness of low prime perfusion pediatric circuit in decreasing blood
transfusion.
AB - We tested the efficiency of small prime volume in decreasing the blood
requirement during pediatric cardiac surgery. This is a retrospective analysis of
transfusion in 259 consecutive patients weighing <15 kg. We downsized the bypass
circuit and avoided noncritical components to obtain a cardiopulmonary bypass
prime volume, including a cardioplegia circuit of 140 ml for patients up to 6 kg,
and of 170 ml for those weighing 6-15 kg. For intra- and postoperative care,
transfusions were limited to 1 unit of packed red blood cells and 1 unit of fresh
frozen plasma in 129 of the 134 patients weighing <6 kg. Seventy-six of 125 (61%)
patients who were between 6 kg and 15 kg had bloodless surgery. None of the 259
patients had platelets infusion. In transfused cases, only eight patients (3%)
needed more than two different donor products. In bloodless cases, hemoglobin
values were 11.5 +/- 1.8 g/dl before, 9.4 +/- 1.7 g/dl during, and 10.5 +/- 1.8
g/dl after surgery. No adverse effects of this procedure were encountered. Small
prime volume is efficient and safe in decreasing blood use in pediatric surgery.
PMID- 18043142
TI - Impact of miniaturization of cardiopulmonary bypass circuit on blood transfusion
requirement in neonatal open-heart surgery.
AB - This study was undertaken to determine the impact of miniaturization of a
cardiopulmonary bypass (CPB) circuit on blood transfusion and hemodynamics in
neonatal open-heart surgery. Neonates (n = 102) undergoing open-heart surgery
between 2002 and 2006 were included and divided into three groups: group 1 (n =
28), Dideco 902 oxygenator + 5/16" line; group 2 (n = 29), Dideco 901 oxygenator
+ 1/4" line; group3 (n = 45), Dideco 901 oxygenator + 3/16" arterial + 1/4"
venous line. Amount of priming volume, blood and bicarbonate sodium use during
CPB, and hemodynamics were compared. Priming volume in the groups 2 and 3 was
significantly less compared with the group 1 (group 1, 575 +/- 37 ml; group 2,
328 +/- 12 ml, group 3, 326 +/- 5 ml, p < 0.05). Blood transfusion and
bicarbonate sodium use during CPB in groups 2 and 3 were significantly less
compared with group 1. Hemodynamics during CPB was comparable. There were no
differences between groups 2 and 3 in any parameter. Miniaturization of the CPB
circuit resulted in decrease in priming volume and subsequent reduction in blood
and bicarbonate sodium use. Downsizing the lines had minimal impact on any of the
parameters studied, and further efforts should be made to achieve neonatal open
heart surgery without blood transfusion.
PMID- 18043143
TI - Application of modified perfusion technique on one stage repair of interrupted
aortic arch in infants: a case series and literature review.
AB - One stage repair of interrupted aortic arch (IAA) associated with cardiac
anomalies in neonates and infants is challenging for the entire surgical team.
Deep hypothermic circulatory arrest (DHCA) prolongs myocardial and cerebral
ischemia and may induce heart, brain, and major organ dysfunction. From May 2004
to May 2006, 13 infants with IAA underwent one stage repair by median sternotomy
under DHCA with continuous regional cerebral perfusion (RCP) in Fuwai Children's
Heart Center. Median age at operation was 10.4 +/- 6.7 months, and mean body
weight was 6.58 +/- 2.15 kg. Temperature of nasopharynx was decreased to 18
degrees C-20 degrees C; rectal temperature was controlled at 19 degrees C-22
degrees C. Flow rate of RCP was maintained with 20-25 ml x kg x min under DHCA
combined with RCP. Mean artery pressure (MAP) measuring from right radial artery
was 32.5 +/- 5.8 mm Hg, and MAP from femoral artery was 11.2 +/- 3.5 mm Hg. Mean
cardiopulmonary bypass (CPB) time was 141.6 +/- 21.7 min, and mean aortic clamp
time was 52.3 +/- 10.9 min. Mean duration of RCP was 31.5 +/- 12.4 min. Mean
intubation time in intensive care unit (ICU) was 54.7 +/- 12.6 hours, and mean
ICU stay was 67.9 +/- 28.4 hours. This report describes our CPB protocol under
DHCA using continuous RCP in low weight pediatric patients to minimize
neurological complications during one stage IAA repair and summarizes the various
CPB managements in recent literature as well.
PMID- 18043144
TI - The effect of temperature correction of blood gas values on the accuracy of end
tidal carbon dioxide monitoring in children after cardiac surgery.
AB - We evaluated accuracy of end-tidal carbon dioxide tension (PETco2) monitoring and
measured the effect of temperature correction of blood gas values in children
after cardiac surgery. Data from 49 consecutive mechanically ventilated children
after cardiac surgery in the cardiac intensive care unit were prospectively
collected. One patient was excluded from the study. Four arterial-end-tidal CO2
pairs in each patient were obtained. Both the arterial carbon dioxide tension
(Paco2) values determined at a temperature of 37 degrees C and values corrected
to body temperature (Patcco2) were compared with the PETco2 values. After the
surgical correction 28 patients had biventricular, acyanotic (mean age 2.7 +/-
4.8 years) and 20 patients had a cyanotic lesion (mean age 1.0 +/- 1.7 years).
The body temperature ranged from 35.2 degrees C to 38.9 degrees C. The Pa-PETco2
discrepancy was affected both by the type of cardiac lesion and by the
temperature correction of Paco2 values. Correlation slopes of the Pa-PETco2 and
Patc-PETco2 discrepancies were significantly different (p = 0.040) when the body
temperature was higher or lower than 37 degrees C. In children, after cardiac
surgery, end-tidal CO2 monitoring provided a clinically acceptable estimate of
arterial CO2 value, which remained stabile in repeated measurements. End-tidal
CO2 monitoring more accurately reflects temperature-corrected blood gas values.
PMID- 18043145
TI - Efficacy of a miniature centrifugal rotary pump (TinyPump) for transfusion-free
cardiopulmonary bypass in neonatal piglets.
AB - We have developed a miniaturized semiclosed cardiopulmonary bypass (CPB) circuit
incorporating a centrifugal blood pump (TinyPump) with a volume of 5 ml. The
current study was undertaken to evaluate the hemolytic performance of the
TinyPump in comparison with the BioPump and to investigate the impact of
different CPB circuit volumes on hemodilution, coagulation, and the inflammatory
response. Twelve 1-week-old piglets (3.4 +/- 0.2 kg) were used. The circuit
comprised a centrifugal pump, a membrane oxygenator, and a cardiotomy reservoir.
Cardiopulmonary bypass was conducted with mild hypothermia at 150 ml/kg/min for 3
hours. Transfusion was not performed. Priming volume was 68 ml for the circuit
with the TinyPump and 111 ml for the circuit with the BioPump. Although the
TinyPump required higher speed, plasma free hemoglobin levels after CPB were not
different between the groups. After CPB, the TinyPump group had a significantly
higher hematocrit (27% +/- 3% vs. 23% +/- 3%) and lower platelet reduction rate,
lower thrombin-antithrombin complex levels, and lower interleukin-6 levels.
Better lung compliance with less water content was observed in the TinyPump
group. The TinyPump maintained CPB with acceptable hemolysis and lower
inflammatory responses. This miniaturized CPB circuit may make transfusion-free
open heart surgery feasible in neonates and would help to prevent postoperative
organ dysfunction.
PMID- 18043146
TI - The effect of preprocessing stored red blood cells on neonates undergoing
corrective cardiac surgery.
AB - This study compared the effect of unprocessed and processed packed red blood
cells (PRBCs) with the continuous autotransfusion system (CATS) during neonate
heart surgery. Sixteen neonatal patients undergoing cardiac surgery were randomly
divided into two groups: unprocessed PRBC (C group, n = 8); processed PRBC (P
group, n = 8). The CATS was employed perioperatively. Series laboratory and
clinical parameters, including levels of hematocrit, blood potassium, blood
glucose, blood lactate, acid-base, and total priming volume of PRBC, were used to
compare the effect between the two groups. Before CPB, the hematocrit of
processed PRBCs in P group was significantly higher than those in C group (p <
0.01), and the concentrations of potassium, blood glucose, and lactate of
processed PRBCs in P group were significantly lower than those in C group (p <
0.01). At the beginning and the end of CPB, the hematocrit levels in P group were
all higher than those in C group (p < 0.05); lactate levels in P group were
significantly lower than those in C group at the beginning of CPB (p < 0.01), and
lower than that of C group at the end of CPB (p < 0.05). The total priming of
PRBCs in P group was significantly less than that in C group (p < 0.01).
Perioperative processing with CATS provided a high-quality RBC concentration,
decreased the total priming of PRBCs, providing increased high-quality blood
salvage during neonatal CPB procedure.
PMID- 18043147
TI - Moderate hypothermia with low flow rate cardiopulmonary bypass used in surgeries
for congenital heart defects.
AB - Low flow rate perfusion has been recommended in profound hypothermic
cardiopulmonary bypass (CPB) in recent years. However, most patients with
congenital heart defects are still operated on under moderate hypothermic CPB,
where high flow rate perfusion has been adopted by most perfusionists. Fifty
patients with congenital heart defects, ranging from 1 to 11 yr of age and 6.5 to
25 kg of weight, were included in the trial. Once on CPB, a high flow rate of
2.37 +/- 0.39 L/min/m was used to cool the patient to 27.3 degrees C +/- 0.84
degrees C rectal temperature, followed by a low flow rate of 1.31 +/- 0.09
L/min/m until the main intracardiac repair was completed and rewarming started.
High flow rate was still used in rewarming the patients to a rectal temperature
of 35 degrees C-36 degrees C. The total CPB, cross-clamp, and low flow rate
perfusion time were 86.4 +/- 26.6, 46.4 +/- 22.3, and 40.7 +/- 22.4 min,
respectively. After 24-99 min low flow rate perfusion, venous oxygen saturation
remained above 80% for all the patients, and lactate concentration did not
increase. Only three patients showed slight metabolic acidosis during CPB and
required an extra 6-12 mEq sodium bicarbonate. Average urine output was 199 +/-
155 (50-600) ml during CPB. All patients recovered well after operation. No
surgical death or neurologic complications occurred. Low flow rate perfusion
might be safely used in moderate hypothermic CPB as long as the oxygen saturation
of returned venous blood was kept above 80%.
PMID- 18043148
TI - Anatomic fit assessment for the Penn State pediatric ventricular assist device.
AB - This investigation determined the ages and weights of children that could be
supported with 12 and 25 ml Penn State pediatric ventricular assist devices
(PVADs) using 6, 8, and 10 mm outlet cannulas and grafts. Future patients will be
matched to devices based on cardiac output (CO) and ascending aortic diameter
(AA). These were calculated for children 0-10 years with regression formulas
given as clinical standards [<5 kg, CO = 0.2171(kg) + 0.0703], [>5 kg, CO = 3.06
(m2) + 0.37], [AA = -0.0427 + 14.54 (m2)1/2]. The 12 ml PVAD will be useful for
patients from approximately birth to 3-8 months, weighing 2-7 kg, and having 0.5
1.4 L/min CO; the 25 ml PVAD will be for children 2-6 months to 5-9 years old,
weighing 6-23 kg, and having 1.3-3.0 L/min of CO. The 6 mm outlet graft will fit
children from 0 to 12 months, weighing 2-8 kg; the 8 mm graft from 0 to 47
months, weighing 4-14 kg; and the 10 mm graft for children 4 months to 8 years,
weighing 8-21 kg.
PMID- 18043149
TI - Absence of rapid deployment extracorporeal membrane oxygenation (ECMO) team does
not preclude resuscitation ecmo in pediatric cardiac patients with good results.
AB - We evaluated the results of using extracorporeal membrane oxygenation (ECMO) as
resuscitation for cardiac patients undergoing cardiopulmonary resuscitation (CPR)
in our setting where neither perfusionists nor surgeons are always on site, and
no circuit may be ready. Between 2003 and 2006, we used ECMO for all cardiac
patients who underwent cardiac arrest in the pediatric intensive care unit (PICU)
or Cath Laboratory. We reviewed retrospectively 14 consecutive files (15
episodes). Mean CPR time before ECMO institution was 44 minutes (10-110 minutes).
The surgeons, perfusionist, and scrub nurse, not on site for three of these
patients, had to be called in simultaneously with institution of CPR. Two died on
ECMO, the third one was successfully transplanted after 5 days. Globally, 10
patients could be weaned (66%). Eight patients (57%) survived to hospital
discharge, seven without obvious neurological damage. One patient was bridged to
a left ventricular assist device (LVAD) and was eventually successfully
transplanted. He had an ischemic brain lesion with good recuperation and no
sequel. We obtained good results with resuscitation ECMO in our setting where a
permanently on-site rapid deployment ECMO team is not present at all times.
PMID- 18043150
TI - The pediatric mechanical circulatory support program in Innsbruck, Austria, and
the impact of such programs on lack of donor hearts in Europe.
AB - Strategy and results of the Innsbruck Mechanical Circulatory Support Program are
presented, and the impact of such programs on pediatric heart transplantation
(HTX) in Europe is discussed. Venoarterial extracorporeal membrane oxygenation
(vaECMO) and ventricular assist devices (VADs) were used in 21 pediatric patients
(median age 3.3 years, 2 days to 17 years) for acute heart failure (AHF)
following a bridge or bridge-to-bridge strategy. Twelve patients were treated
with vaECMO: eight were weaned after 2-10 days, two died, and two were switched
to a VAD. Of the last, one was weaned 47 days later and the other underwent HTX
168 days later. In nine patients, VAD was implanted without preceding vaECMO. One
such patient died (cerebral hemorrhage) after 236 days; of the remaining eight
patients three were weaned and five underwent HTX. Waiting time for HTX (high
urgency status) varied from 4 to 372 days. Fifteen patients were discharged
(follow up: 2-74 months); 14 are doing very well (New York Heart Association
(NYHA) Functional Classification Class I, neurologically normal), whereas one
suffers from severe neurologic damage, presumably from resuscitation before
vaECMO. Data from Eurotransplant on pediatric HTX in 2004, 2005, and 2006 (33,
49, and 34 transplanted hearts, respectively; recipients <16 years of age) are
discussed. Mechanical circulatory support (MCS) substantially improves survival
with AHF in pediatric patients. Medium-term support (up to 400 days in our
patients) is possible and outcome of survivors is excellent. Wide spread use of
MCS might slightly aggravate the lack of donor organs, which could result in
longer support times.
PMID- 18043151
TI - Use of mechanical circulatory support in pediatric patients with acute cardiac
graft rejection.
AB - Patients suffering from acute cardiac graft rejection can die because of
hemodynamic collapse while being treated with vigorous immunosuppressive
therapies. There is little pediatric data on the use of mechanical circulatory
support (MCS) in patients with acute cardiac graft rejection accompanied by
hemodynamic instability. This report reviews our experience using MCS in patients
with severe acute allograft rejection and cardiogenic shock. Between July 1995
and December 2006, 7 of 117 heart transplant recipients (6%) had MCS placed in 8
cases of acute graft rejection with hemodynamic instability. Devices used were
BioMedicus (five), Thoratec (two), and extracorporeal membrane oxygenation
machine (one). Mean age was 12 +/- 6.6 years. Median duration of support was 7.5
days (range, 3-28 days). Medical therapy applied included pulse steroids (eight),
antithymocyte globulin (five), intravenous immunoglobulins (five), and
plasmapheresis (five). Eighty-eight percent (seven of eight cases) weaned from
MCS. Five patients weaned to recovery and two were bridged to retransplant. Five
of the seven patients weaned (71%) were discharged home, all with normal left
ventricular function. Median follow-up was 3.0 years (4.5 months to 3.5 years).
One-year survival is 50% and 3 year survival is 38%. Mechanical circulatory
support can be applied in patients with acute cardiac graft rejection causing
hemodynamic instability with acceptable weaning and discharge rates.
Unfortunately, late survival for this cohort remains poor.
PMID- 18043152
TI - Pulsatile perfusion during cardiopulmonary bypass procedures in neonates,
infants, and small children.
AB - Multiple factors influence the outcome of cardiopulmonary bypass (CPB) procedures
in pediatric patients with congenital heart defects. The benefit of pulsatile
over nonpulsatile perfusion is one such factor that continues to be widely
debated among researchers, perfusionists, and surgeons. However, by accurately
measuring pulsatile flow in terms of energy equivalent pressure and surplus
hemodynamic energy, pulsatile perfusion is clearly seen to replicate the
physiologic heart in a manner unparalleled by nonpulsatile perfusion. Studied
benefits of pulsatile perfusion in pediatric patients include increased vital
organ blood flow and improvement in postoperative recovery. Also, the components
of the extracorporeal circuit used in CPB are directly related to pulsatility and
have a profound effect on hemodynamics in the circuit and the patient. Therefore,
pulsatility and surplus hemodynamic energy delivery to the patient can be
maximized by choosing the best performing heart-pumps, oxygenators, arterial
filters, and cannulae. Furthermore, in using the most optimal circuit components
available, the CPB procedure under pulsatile perfusion can proceed efficiently.
Currently, the outcomes resulting from pulsatile perfusion in pediatric and adult
patients, as well as animal models, are well documented. However, more
multilaboratory efforts are necessary to understand and further validate the
benefits of pulsatile perfusion in pediatric patients.
PMID- 18043153
TI - A simple solution is "prime" for fetal cardiopulmonary bypass.
AB - Worsening fetal gas exchange is the hallmark of frequently observed placental
dysfunction after fetal bypass. Several factors influence this pathophysiology,
but the optimal prime constituents for fetal bypass have yet to be defined.
Calcium and sodium bicarbonate are frequently added to prime solutions for
adjustments toward physiologic values. We examined whether similar manipulations
are warranted with fetal bypass. Ovine fetuses (n = 10) at 104-110 days'
gestation were placed on bypass for 30 minutes. Calcium chloride and sodium
bicarbonate were added to adjust prime ionized calcium and pH. Fetal hemodynamics
and arterial blood gases were collected immediately before, and 15 and 30 minutes
on bypass. Statistical significance was determined by Student's t test and best
fit correlations. Calcium addition negatively correlated with fetal pH (R >or=
0.63) and po2 (R >or= 0.41), and displayed a robust positive correlation with
pco2 (R = 0.88) during bypass. Similarly, bicarbonate addition revealed
correlations for pco2 (R >or= 0.70) and po2 (R >or= 0.57), with a strong negative
correlation to umbilical blood flow (R >or= 0.75). Calcium addition to the prime
adversely affects fetal gas exchange worsening acidosis during fetal bypass.
Bicarbonate addition to the prime is also detrimental to the fetus resulting in
greater CO2 production and impaired hemodynamics.
PMID- 18043154
TI - The Cleveland Clinic PediPump: anatomic modeling and virtual fitting studies in a
lamb model.
AB - Implantation of ventricular assist devices (VADs) is challenging in small
patients with limited space in the chest. We created three-dimensional models of
lambs to facilitate fitting of the PediPump, a pediatric VAD currently under
development. Serial computed tomography (CT) scans were acquired at multiple time
points (n = 8) for three lambs. Digital models were created using these CT
datasets and three-dimensional image processing software (Mimics, Materialise).
Pixels representing the heart and chest wall were rendered as three-dimensional
models. Using three-dimensional model manipulation software (Magics RP,
Materialise), on-screen models of extravascular and intravascular VADs were
placed in right, left and biventricular support configurations to determine
optimal placement. A cannulation strategy was established and in some cases three
dimensional models of the inflow and outflow grafts were created. After the final
CT study, an open-chest fitting study was performed using rapid prototype models
of the pediatric VAD and cannulas. The pump location and orientation predictions
from the virtual fitting studies matched those determined at the time of the open
chest fitting studies. Using three-dimensional modeling, we have established a
fitting protocol that facilitates animal implantations; the same modeling
techniques may be useful for device fitting in humans.
PMID- 18043155
TI - A novel mechanical lung assist system sustains primary bidirectional
cavopulmonary shunt circulation in pigs.
AB - Primary creation of a bidirectional cavopulmonary shunt (BCPS), which provides a
stable in-series circulation, might improve survival in patients with hypoplastic
left heart syndrome. However, pulmonary vascular immaturity and high pulmonary
vascular resistance must be overcome to achieve a successful primary neonatal
BCPS. This study tested the hypothesis that a novel mechanical lung assist (MLA)
system would permit a primary BCPS in juvenile pigs. A BCPS (1(1/2) ventricle
repair physiology) was created in nine 4-5-week-old pigs (mean weight 17.9 kg),
followed by MLA with a miniature centrifugal pump and a hand-made double-lumen
cannula for 2 hours. Systemic and cerebral hemodynamic and metabolic data were
evaluated. A mean BCPS flow of 331 +/- 56 ml/min was obtained with a mean pump
speed of 3899 +/- 306 rpm at 30 minutes after MLA initiation. Adequate
oxygenation, ventilation, and hemodynamics were maintained. Transcranial Doppler
ultrasound demonstrated consistent pre- and postoperative peak systolic and
diastolic velocities of the middle cerebral artery, indicating sustained cerebral
perfusion pressure. This study demonstrated that the MLA is able to sustain a
high-resistance BCPS and provides a strategy or rationale for developing a
primary neonatal cavopulmonary connection in appropriately selected neonates with
congenital heart disease.
PMID- 18043156
TI - Detection and classification of gaseous microemboli during pulsatile and
nonpulsatile perfusion in a simulated neonatal CPB model.
AB - We compared the effects of perfusion modes (pulsatile vs. nonpulsatile) on
gaseous microemboli delivery using the Emboli Detection and Classification (EDAC)
Quantifier at postpump, postoxygenator, and postarterial filter sites in a
simulated pediatric cardiopulmonary bypass (CPB) model. The mock loop was
subjected to five different pump flow rates of equal 100 ml/min intervals,
ranging from 400 to 800 ml/min. When the target pump flow rate was achieved, 5 cc
air was introduced into the venous line. The EDAC system recorded gaseous
microemboli counts simultaneously at three locations in 5-minute intervals.
Regardless of the type of perfusion mode, when the pump flow rate was increased,
more gaseous microemboli were generated at postpump site. Compared with
nonpulsatile flow, pulsatile flow did deliver significantly more gaseous
microemboli at postpump site, but there was no difference between two groups at
postoxygenator and postarterial filter sites. Capiox Baby-RX hollow-fiber
membrane oxygenator significantly reduced the gaseous microemboli counts in both
groups at all five pump flow rates with either pulsatile flow or nonpulsatile
flow in this model. Our results suggest that using this novel EDAC system, we
could detect the size of gaseous microemboli, as small as 10 microm, and the
percentage of detected gaseous microemboli, <40 microm, was about 90% in total
gaseous microemboli counts at any flow rate with pulsatile or nonpulsatile flow.
PMID- 18043157
TI - The PediPump: a versatile, implantable pediatric ventricular assist device-update
III.
AB - The PediPump is a passive magnetic bearing, mixed flow, rotary ventricular assist
device designed to provide support for the entire range of patient sizes
encountered in pediatrics. Blood enters axially at the inlet and is accelerated
and turned in the impeller to exit the pump at an intermediate angle. The size of
the PediPump facilitates standard cannulation strategies with substantially
downsized components. The program pursues three specific objectives: 1) System
engineering: Progress within the last year has focused on the assembly and
testing of PediPump prototypes. Initial in vitro hydraulic performance and
hemolysis testing were judged satisfactory. 2) Anatomic fitting studies: As part
of the PediPump program, three-dimensional modeling techniques based on routine,
clinically obtained computerized tomography (CT) scans have been developed.
During 2006, the same techniques developed for clinical scans were applied to CT
scans obtained from sheep to guide the presurgical planning. 3) Animal studies:
Animal implantation of PediPump prototypes commenced in July 2006. A total of
four 6 hour acute studies were performed throughout the remainder of the year. In
vivo performance was satisfactory and compared well with the in vitro results.
Hemolysis levels were low.
PMID- 18043158
TI - Mechanical cavopulmonary assist for the univentricular Fontan circulation using a
novel folding propeller blood pump.
AB - A blood pump specifically designed to operate in the unique anatomic and
physiologic conditions of a cavopulmonary connection has never been developed.
Mechanical augmentation of cavopulmonary blood flow in a univentricular
circulation would reduce systemic venous pressure, increase preload to the single
ventricle, and temporarily reproduce a scenario analogous to the normal two
ventricle circulation. We hypothesize that a folding propeller blood pump would
function optimally in this cavopulmonary circulation. The hydraulic performance
of a two-bladed propeller prototype was characterized in an experimental flow
loop using a blood analog fluid for 0.5-3.5 lpm at rotational speeds of 3,600
4,000 rpm. We also created five distinctive blood pump designs and evaluated
their hydraulic performance using computational fluid dynamics (CFD). The two
bladed prototype performed well over the design range of 0.5-3.5 lpm, producing
physiologic pressure rises of 5-18 mm Hg. Building upon this proof-of-concept
testing, the CFD analysis of the five numerical models predicted a physiologic
pressure range of 5-40 mm Hg over 0.5-4 lpm for rotational speeds of 3,000-7,000
rpm. These preliminary propeller designs and the two-bladed prototype achieved
the expected hydraulic performance. Optimization of these configurations will
reduce fluid stress levels, remove regions of recirculation, and improve the
hydraulic performance of the folding propeller. This propeller design produces
the physiologic pressures and flows that are in the ideal range to mechanically
support the cavopulmonary circulation and represents an exciting new therapeutic
option for the support of a univentricular Fontan circulation.
PMID- 18043159
TI - Feasibility of a TinyPump system for pediatric CPB, ECMO, and circulatory
assistance: hydrodynamic performances of the modified pump housing for
implantable TinyPump.
AB - The TinyPump is a miniature centrifugal blood pump with an extremely small
priming volume of 5 ml, allowing blood transfusion free cardiopulmonary bypass as
well as extracorporeal membrane oxygenation in pediatric patients. In this study,
a new pump housing with the angled inlet port (25 degrees toward impeller center
with respect to the flow axis) was designed to optimize the pump displaced volume
and to extend the application of the TinyPump to implantable support The fluid
dynamic performance analysis revealed that the head pressure losses increased
from 3 to 17 mm Hg in comparison with straight port design as the pump rotational
speed increased from 2,000 to 4,000 rpm. This was probably caused by perturbed
flow patterns at the site of the inlet bent port area and streamline hitting the
off-center of the impeller. No significant effect on pumping efficiency was
observed because of modification in inlet port design. Modification in the inflow
and outflow port designs together with the drive mechanism reduces the height of
the pump system, including the motor, to 27 mm yielding the displaced volume of
68 ml in comparison with 40 mm of the paracorporeal system with the displaced
volume of 105 ml. Further analysis in terms of hemolytic as well as
antithrombogenic performance will be carried out to finalize the housing design
for the implantable version of the TinyPump.
PMID- 18043160
TI - In vitro evaluation of the TandemHeart pediatric centrifugal pump.
AB - The pediatric TandemHeart pump is being developed for short-term circulatory
support of patients varying in size from 2 to 40 kg. The pump withdraws blood
from the left atrium via cannula inserted percutaneously, either through the
right internal jugular vein or transhepatically, and pumps the blood back into
the arterial system via the carotid or femoral artery. High resolution
stereolithography (SLA) was used to create an upper housing and impeller design,
which were assembled into a functional pump prototype. Pressure-flow
characteristics of the pump were determined in a blood analogue solution and
compared with the pressure-flow requirements of the intended cannulation. At
5,500 rpm, the pump was able to generate 0.4 L/min of flow with a pressure rise
of 325 mm Hg and 2.0 L/min with a pressure rise of 250 mm Hg. The hydraulic
performance of the pump will enable at least 50% of cardiac output when the
arterial cannula is placed in the carotid artery. The hemolysis of the
TandemHeart pediatric pump at 5,500 rpm was compared with the BP-50 pediatric
centrifugal pump in vitro using bovine blood flowing at 0.4 L/min against 250 mm
Hg. The TandemHeart pump produced a similar increase in plasma free hemoglobin
levels during the duration of the 6 hour test.
PMID- 18043161
TI - Numerical design and experimental hydraulic testing of an axial flow ventricular
assist device for infants and children.
AB - Mechanical circulatory support options for infants and children are very limited
in the United States. Existing circulatory support systems have proven successful
for short-term pediatric assist, but are not completely successful as a bridge-to
transplant or bridge-to-recovery. To address this substantial need for
alternative pediatric mechanical assist, we are developing a novel, magnetically
levitated, axial flow pediatric ventricular assist device (PVAD) intended for
longer-term ventricular support. Three major numerical design and optimization
phases have been completed. A prototype was built based on the latest numerical
design (PVAD3) and hydraulically tested in a flow loop. The plastic PVAD
prototype delivered 0.5-4 lpm, generating pressure rises of 50-115 mm Hg for
operating speeds of 6,000-9,000 rpm. The experimental testing data and the
numerical predictions correlated well. The error between these sets of data was
found to be generally 7.8% with a maximum deviation of 24% at higher flow rates.
The axial fluid forces for the numerical simulations ranged from 0.5 to 1 N and
deviated from the experimental results by generally 8.5% with a maximum deviation
of 12% at higher flow rates. These hydraulic results demonstrate the excellent
performance of the PVAD3 and illustrate the achievement of the design objectives.
PMID- 18043162
TI - Preconditioning with Na+/H+ exchange inhibitor HOE642 reduces calcium overload
and exhibits marked protection on immature rabbit hearts.
AB - Inhibition of Na/H exchanger isoform-1 (NHE1) has shown significant protection in
adult myocardium during ischemia/reperfusion injury; however, the effect is
unclear in immature myocardium. We evaluated the effects of HOE642 (a potent,
highly selective NHE1 inhibitor) preconditioning on immature rabbit hearts.
Twenty immature (2-3 weeks old) New Zealand white rabbits were randomly divided
into the control group (n = 10) and the HOE642 preconditioning group (n = 10).
The immature isolated hearts were subjected to 45 minutes of normothermic global
ischemia plus 60 minutes of reperfusion after being established on the
Langendorff apparatus. During reperfusion, the recovery rates of cardiac function
(LVDP, +dp/dtmax, -dp/dtmax, and coronary flow) were about 90% in the HOE642
treated group and about 50% in the control group (p < 0.05). HOE642
preconditioning can significantly decrease the release of cardiac specific
enzymes CK, CK-MB and LDH (p < 0.05) and the myocardial water content (p < 0.05).
Meanwhile, HOE642 markedly attenuated intracellular calcium overload (265.8 +/-
41.1 vs. 500.7 +/- 60.8 mg/kg dry wt) (p < 0.01). The blinded ultrastructural
assessment under transmission electron microscopy illustrated that
preconditioning with HOE642 produced evident myocyte salvage. This study
demonstrates that preconditioning with HOE642 provides a significant protection
during ischemia/reperfusion injury in immature myocardium, mostly by reducing
myocardial calcium overload.
PMID- 18043163
TI - Initial acute in vivo performance of the Cleveland Clinic PediPump left
ventricular assist device.
AB - The PediPump is a small ventricular assist device (VAD) with a hydraulic output
range designed to support children from newborns to adolescents. The present
report describes our initial evaluation of the PediPump as a left VAD in an acute
sheep model. The PediPump was implanted in two sheep (50.8 and 62.7 kg). Pump
speed was adjusted to achieve a flow of 2 L/min with the naturally occurring
preload and afterload conditions to evaluate pump performance under a steady
hemodynamic state for 4 hours. Upon completion, pump performance was evaluated
under various blood pressure and heart rate conditions. During steady-state
evaluations, the ascending aortic flow and pump speed varied slightly depending
on systemic arterial pressure variations. During the hemodynamic manipulation
studies, flows ranged between 0.5 and 3.2 L/min with pump speeds of 5,200-16,200
rpm and motor current of 0.06-0.75 A. The PediPump demonstrated good initial
hemodynamic performance for use as an implantable left VAD. However, some
depositions were detected at the time of explanation, mainly at the rear of the
pump. We are continuing with further acute studies to evaluate pump performance
in anticipation of beginning chronic studies to evaluate long-term
biocompatibility.
PMID- 18043165
TI - Comparison of four different pediatric 10F aortic cannulae during pulsatile
versus nonpulsatile perfusion in a simulated neonatal model of cardiopulmonary
bypass.
AB - We compared four commercially available 10F pediatric aortic cannulae with
different geometric designs (DLP-Long tip, DLP-Short tip, RMI-Long tip, and
Surgimedics-Short tip) during pulsatile versus nonpulsatile perfusion in terms of
pressure drops and surplus hemodynamic energy (SHE) levels in an in vitro
neonatal model of cardiopulmonary bypass. The pseudo patient was subjected to
seven pump flow rates at 100 ml/min increments in the 400-1,000 ml/min range. A
total of 44 experiments (n = 22, nonpulsatile; n = 22, pulsatile) were performed
at each of the seven flow rates. Surgimedics had significantly higher pressure
drops than the other three cannulae at various flow rates during nonpulsatile and
pulsatile perfusion, respectively. When the perfusion mode was changed from
nonpulsatile to pulsatile flow, SHE levels at both precannula and postcannula
sites increased seven to nine times at all flow rates in all four cannulae.
Surgimedics generated a significant lower SHE level when compared with the other
three cannulae at all flow rates at both precannula and postcannula sites. The
results suggest that different geometries of aortic cannulae have a significant
impact on pressure drops of the cannulae as well as hemodynamic energy generation
and delivery. Pulsatile perfusion generates more "extra" hemodynamic energy when
compared with the nonpulsatile perfusion mode with all four cannulae used in this
study.
PMID- 18043164
TI - Assessment of hydraulic performance and biocompatibility of a MagLev centrifugal
pump system designed for pediatric cardiac or cardiopulmonary support.
AB - The treatment of children with life-threatening cardiac and cardiopulmonary
failure is a large and underappreciated public health concern. We have previously
shown that the CentriMag is a magnetically levitated centrifugal pump system,
having the utility for treating adults and large children (1,500 utilized
worldwide). We present here the PediVAS, a pump system whose design was modified
from the CentriMag to meet the physiological requirements of young pediatric and
neonatal patients. The PediVAS is comprised of a single-use centrifugal blood
pump, reusable motor, and console, and is suitable for right ventricular assist
device (RVAD), left ventricular assist device (LVAD), biventricular assist device
(BVAD), or extracorporeal membrane oxygenator (ECMO) applications. It is designed
to operate without bearings, seals and valves, and without regions of blood
stasis, friction, or wear. The PediVAS pump is compatible with the CentriMag
hardware, although the priming volume was reduced from 31 to 14 ml, and the port
size reduced from 3/8 to (1/4) in. For the expected range of pediatric flow (0.3
3.0 L/min), the PediVAS exhibited superior hydraulic efficiency compared with the
CentriMag. The PediVAS was evaluated in 14 pediatric animals for up to 30 days,
demonstrating acceptable hydraulic function and hemocompatibility. The current
results substantiate the performance and biocompatibility of the PediVAS cardiac
assist system and are likely to support initiation of a US clinical trial in the
future.
PMID- 18043166
TI - Pulsatile versus nonpulsatile flow to maintain the equivalent coronary blood flow
in the fibrillating heart.
AB - How much flow is required by a nonpulsatile pump to match the coronary blood flow
equivalent to that of pulsatile pump? A cardiopulmonary bypass circuit from the
right atrium to the ascending aorta was constructed in a ventricular fibrillation
model using 13 Yorkshire swine. The animals were randomly divided into two
groups: CONTROL (pulsatile T-PLS, n = 7) or EXPERIMENTAL (nonpulsatile Biopump, n
= 6). The hemodynamic data at mid-LAD level was measured with a flow meter at
baseline and every 20 minutes after pump flow initiation. The pump flow was
started from 2 L/min in both groups (67 +/- 8 in CONTROL and 70 +/- 9 ml/kg/min
in EXPERIMENTAL; p = NS), and the pump flow of the EXPERIMENTAL group was
increased to match the coronary flow of the CONTROL group. To maintain mean
velocity and flow in the LAD, the EXPERIMENTAL group required significantly
higher pump flow at 20, 40, and 60 minutes (84 +/- 17 vs. 67 +/- 8, 87 +/- 24 vs.
67 +/- 8, 85 +/- 18 vs. 67 +/- 8 ml/kg/min, respectively, p < 0.05). The LAD
diameter was substantially smaller in the CONTROL group and the resistance index
was significantly lower in the CONTROL group at 80 minutes and 120 minutes after
bypass (0.56 +/- 0.26 vs. 0.87 +/- 0.20 and 0.61 +/- 0.23 vs. 0.90 +/- 0.06; p <
0.05). In conclusion, we found that the nonpulsatile pump may require 25%-28%
higher pump flow than the pulsatile pump to maintain equivalent coronary blood
flow.
PMID- 18043167
TI - The effects of dopamine, ephinephrine, and esmolol on the hemodynamic energy in
terms of the energy equivalent pressure.
AB - The generation of pulsatile flow depends on the hemodynamic energy gradient
rather than the pressure gradient. We hypothesized that either positive or
negative inotropic agents can affect the hemodynamic energy, which can be
measured using the energy equivalent pressure (EEP) and surplus hemodynamic
energy (SHE). This study examined the change in hemodynamic energy induced by
dopamine, epinephrine, and esmolol infusion in terms of the EEP and SHE. Dopamine
(5, 10 microg/kg/min), epinephrine (0.02, 0.1 microg/kg/min) and esmolol (after
bolus 1 mg/kg, 0.1, 0.3 mg/kg/min) were infused into six anesthetized dogs. The
hemodynamic parameters were collected in the descending thoracic aorta. The mean
arterial pressure, blood flow, EEP, and SHE increased significantly with the
dopamine infusion. The mean arterial pressure and EEP decreased significantly
after the esmolol infusion, while it increased after the epinephrine infusion (p
< 0.05 respectively). There was a correlation between the EEP and flow on the
descending aorta during the dopamine, esmolol and epinephrine infusions (p < 0.05
respectively). In conclusion, the change in hemodynamic energy induced by
dopamine, esmolol, and epinephrine may be expressed in terms of the EEP and SHE.
In addition, there was a strong correlation between the EEP and flow.
PMID- 18043168
TI - Impaired corneal wound healing associated with ketorolac 0.5% after uncomplicated
extracapsular cataract extraction.
AB - PURPOSE: To describe an apparent association between the use of ketorolac 0.5%
(Acular; Allergan) for cystoid macular edema (CME) prophylaxis and impaired
corneal wound healing in patients undergoing extracapsular cataract extraction
(ECCE) with intraocular lens (IOL) implantation. METHODS: A retrospective case
series reviewing 7 eyes of 7 patients who underwent uncomplicated ECCE with IOL
implantation and were treated postoperatively with ketorolac 0.5% 4 times daily
as a prophylactic measure against CME. RESULTS: Patients were treated with
ketorolac 0.5% 4 times a day for an average of 30 days (range, 20-44 days) after
uncomplicated ECCE with IOL implantation. Two eyes developed postoperative
endophthalmitis necessitating vitreous tap with intravitreal antibiotic
injection; 1 eye went on to require pars plana vitrectomy with corneal wound
resuturing. One eye developed corneal wound dehiscence that required wound
resuturing in the operating room. One eye developed an inadvertent filtering bleb
despite the lack of postoperative suture lysis. Three others were followed up
closely postoperatively with slit-lamp evidence of impaired wound healing,
manifested by wound avascularity and/or wound gape, and did not require surgical
intervention. CONCLUSIONS: The use of nonsteroidal anti-inflammatory agents for
prophylaxis of CME after cataract surgery is an evolving trend. This
retrospective case series showed a possible link between the use of ketorolac
0.5% and impaired corneal wound healing, and caution is urged in the liberal use
of this agent postoperatively after ECCE.
PMID- 18043169
TI - The influence of chronic diabetes mellitus on the thickness and the shape of the
anterior and posterior surface of the cornea.
AB - PURPOSE: To determine the influence of diabetes mellitus (DM) type 1 and type 2
on the thickness, radius of curvature, power, and asphericity of the cornea.
METHODS: In this observational cross-sectional study, 102 patients with DM type
1, 101 patients with DM type 2, and 69 healthy subjects were measured by means of
Scheimpflug imaging to determine central corneal thickness and the radius and
asphericity of the anterior and posterior corneal surfaces. Corneal power was
calculated from these parameters. Several systemic parameters (eg, duration of
diabetes, glycated hemoglobin, blood glucose levels, and type of medication) and
ocular comorbidity (eg, stage of retinopathy) were recorded. RESULTS: Patients
with DM type 1 and 2 had significantly smaller posterior corneal radii (P < 0.05)
than those of healthy subjects (men: 6.49/6.48/6.64 mm; women: 6.36/6.30/6.49
mm). As a result, the optical power of the posterior corneal surface of the
patients with diabetes differed from that of the healthy subjects (P < 0.01; men:
DM, -6.2 D; healthy, -6.0 D; women: DM, -6.3 D; healthy, -6.2 D). However,
corneal thickness, anterior radius and asphericity, and overall corneal power did
not differ significantly between the groups. Furthermore, none of the systemic
factors or ocular comorbidity had any influence on the corneal thickness or
shape. CONCLUSIONS: DM affects the posterior corneal radius, resulting in a small
change in posterior corneal power. However, chronic DM does not seem to
significantly influence the overall corneal power.
PMID- 18043170
TI - Modified Ruiz procedure for reducing high astigmatism after penetrating
keratoplasty.
AB - PURPOSE: To evaluate the refractive, keratometric, and visual outcomes of a
modified Ruiz procedure in patients with high astigmatism after penetrating
keratoplasty (PKP). METHODS: Retrospective cohort study with 26 eyes of 24
patients. A modified Ruiz incision pattern was used. Two paired arcuate
incisions, centered on the preoperative cylinder axis, were performed over 2
o'clock hours (60 degrees) within the graft. The median optical zone was 4.5 mm
(range, 3-5 mm). Subsequently, 4 radial cuts were made. The depth of all cuts was
90%-95% of the central corneal thickness. The follow-up time was 3 months.
RESULTS: The mean preoperative subjective cylinder was 8.75 +/- 3.05 D,
decreasing to 5.31 +/- 3.12 D postoperatively. Three months after the procedure,
53.8% of the eyes had <5.0 D of refractive astigmatism and 47% of eyes had <5.0 D
of keratometric astigmatism. For the refractive data, the mean correction index
was 1.95; the mean index of success was 1.27. The mean reduction in spherical
equivalent was 1.95 D (60.5%). The mean best-corrected visual acuity (BCVA) was
0.61 and did not change significantly. Three eyes gained 2 or more lines of BCVA,
and 1 eye lost 2 or more lines of BCVA. There was no difference in subgroup
analysis of eyes treated with 4- (13 eyes) or 5-mm (12 eyes) optical zones.
CONCLUSIONS: The modified Ruiz procedure is an effective method to reduce high
astigmatism after PKP. However, the results were widely scattered, and the
patients need to be informed about the unpredictable outcome and its
consequences.
PMID- 18043171
TI - Bandage contact lens and topical indomethacin for treating persistent corneal
epithelial defects after vitreoretinal surgery.
AB - PURPOSE: Persistent corneal epithelial defect is a complication of vitreoretinal
surgery. We assessed the efficacy of using bandage contact lenses in conjunction
with topical indomethacin to facilitate wound healing and control pain safely.
METHODS: Patients presenting with a persistent corneal epithelial defect after
vitreoretinal surgery were assigned randomly to 1 of 2 treatment groups. Patients
in both groups received a therapeutic contact lens and chloramphenicol eyedrops,
whereas group A received indomethacin eyedrops and group B received placebo
eyedrops. This study was conducted in a single-masked randomized fashion.
Patients were evaluated daily to monitor subjective symptoms and to measure the
maximum and minimum dimensions of the epithelial defects. RESULTS: Sixteen
patients were analyzed. The subjective evaluation of photophobia, foreign-body
sensation, and tearing on the day after starting treatment did not differ
significantly between the 2 groups (P > 0.05), whereas the difference in the mean
pain decrease between groups A and B at day 1 was significant (P = 0.01). The
rate of epithelial healing quantified as the days needed to heal the epithelial
defect did not differ between the 2 groups (P = 0.823). CONCLUSIONS: Our study
showed that topical indomethacin ophthalmic solution improves comfort in patients
with a persistent corneal epithelial defect (after vitreoretinal surgery) treated
with bandage contact lenses.
PMID- 18043172
TI - Delayed epithelial healing after keratoplasty for lattice corneal dystrophy.
AB - PURPOSE: To compare the time necessary for complete epithelial healing after
penetrating keratoplasty carried out for various corneal dystrophies. METHODS: In
a retrospective single-center study, 679 eyes that underwent nonmechanical
keratoplasty were evaluated concerning postoperative epithelial healing time. On
the basis of corneal pathology, the eyes were divided into 5 groups: group 1,
lattice dystrophy (n = 9); group 2, macular dystrophy (n = 16); group 3, Fuchs
dystrophy (n = 207); group 4, granular dystrophy (n = 13); group 5, keratoconus
(n = 434). After keratoplasty, the time necessary for complete healing of the
epithelial defect was compared among the groups. RESULTS: In lattice dystrophy,
22% showed delayed healing, in contrast to 0% in granular dystrophy. Mean time
necessary for healing in group 1 (8.8 +/- 9.4 days) was significantly longer than
in group 2 (2.5 +/- 2.5 days, P = 0.003), group 3 (4.4 +/- 4.1 days, P = 0.09),
group 4 (2.2 +/- 1.4 days, P = 0.003), and group 5 (3.1 +/- 2.7 days, P = 0.005).
CONCLUSIONS: In patients with lattice dystrophy, delayed epithelial healing after
penetrating keratoplasty may be anticipated. Patients should be counseled
accordingly.
PMID- 18043173
TI - Prevalence of and factors associated with pterygium in adult Chinese: the Beijing
Eye Study.
AB - PURPOSE: To evaluate the prevalence of pterygia and its associated factors in an
adult Chinese population of Greater Beijing. METHODS: The Beijing Eye Study, a
population-based prevalence study, included 4439 (83.4%) of 5324 subjects living
in a rural area or urban region of Greater Beijing, 40+ years of age, invited to
participate. The participants underwent a standardized examination protocol
including corneal photography. RESULTS: A pterygium was detected in 169 (1.9%)
eyes of 128 (2.9%) subjects. The prevalence of a pterygium was significantly
associated with rural versus urban region (P < 0.001), age (P > 0.001), and male
sex (P = 0.04). It was not associated with level of education (P = 0.25) or
refractive error (P = 0.20). CONCLUSIONS: The prevalence of pterygium in Greater
Beijing is approximately 3% among Chinese > or =40 years of age. Independent
associations with living in a rural versus urban region, increasing age, and male
sex suggested a correlation with outdoor work.
PMID- 18043174
TI - An outbreak of Fusarium keratitis associated with contact lens use in the
northeastern United States.
AB - PURPOSE: To report an outbreak of Fusarium keratitis in contact lens (CL) wearers
in the northeastern United States. METHODS: Over a 41-month period, all cases
with culture-proven corneal ulceration secondary to Fusarium at 2 tertiary care
eye centers were identified through the microbiology departments of each
institution, and a retrospective review of charts was performed. Statistical
analyses were performed to evaluate a possible association of Fusarium keratitis
with specific CL and CL solution brands. RESULTS: Fifteen cases of Fusarium
keratitis were reported at the 2 tertiary centers between July 2005 and May 2006
(16.4 cases/yr) compared with 6 cases over the previous 30 months from January
2003 to June 2005 (2.4 cases/yr). All 15 of the more recent cases were CL users,
and none had a history of trauma. All 15 patients claimed use of ReNu brand
contact lens solution when they developed keratitis. Twelve (80.0%) of 15
patients were Acuvue soft contact lens users. Ten (66.7%) of 15 patients used tap
water to rinse their contact lens cases. Six (40.0%) of 15 cases have thus far
required corneal transplantation. CONCLUSIONS: The incidence of corneal
ulceration secondary to Fusarium has increased sevenfold over the reported 11
month period at 2 tertiary eye care centers in the northeastern United States
compared with the previous 30 months. There seems to be an association between
the recent outbreak of Fusarium keratitis among CL users and the use of ReNu
contact lens solution. Medical treatment of Fusarium keratitis may be
ineffective, and emergent penetrating keratoplasty (PKP) may be required in some
patients. CL users and their physicians should reconsider the risks of CL use and
discuss proper lens care techniques.
PMID- 18043175
TI - Boston scleral lens prosthetic device for treatment of severe dry eye in chronic
graft-versus-host disease.
AB - PURPOSE: To determine if the Boston Scleral Lens Prosthetic Device (BSLPD)
reduces symptoms and improves quality of life in patients with severe dry eye
from chronic graft-versus-host disease (cGvHD). METHODS: This is a noncomparative
interventional case series reporting 33 consecutive patients with severe dry eye
from cGvHD, unresponsive to conventional therapy, who were fitted with the BSLPD.
A patient survey was undertaken after lenses were dispensed and worn regarding
the effect of scleral lens wear on their symptoms, quality of life, and
activities of daily living. The patient population was characterized from a
retrospective chart review. Survey data were tabulated. RESULTS: BSLPD wear
resulted in improvement in pain, photophobia, and general quality of life in
nearly all patients, with more than half reporting the highest improvement level
for pain (52%) and photophobia (63%), and more than two thirds (73%) reporting
the highest improvement level for quality of life. There was improvement in
reading and driving in >90% of those who reported previous compromise, with >60%
reporting the highest improvement level for each of these activities.
CONCLUSIONS: The BSLPD mitigates symptoms and improves quality of life in
patients with severe dry eye from cGHvD.
PMID- 18043176
TI - Long-term outcomes of photorefractive keratectomy in eyes with previous
epikeratophakia for keratoconus.
AB - PURPOSE: To determine the long-term safety and effectiveness of photorefractive
keratectomy (PRK) in the treatment of refractive errors after epikeratophakia
(EP) for keratoconus. METHODS: Ten patients (14 eyes) who had refractive errors
after EP for keratoconus received PRK surgery. Uncorrected visual acuity (UCVA),
best spectacle-corrected visual acuity (BSCVA), refractive error, corneal
astigmatism, pachymetry, corneal topography, and complications were monitored.
RESULTS: Mean follow-up after PRK was 63.4 +/- 19.8 months. Mean spherical
equivalent was -5.5 +/- 3.9 D before PRK, -0.9 +/- 0.5 D at 1 month after PRK,
and -1.5 +/- 1.0 D at 3 years. Mean astigmatism was 4.2 +/- 2.1 D before PRK, 1.2
+/- 0.5 D at 1 month after PRK, and 1.5 +/- 0.6 D at 3 years. Thirteen (93%) eyes
had an UCVA <20/40, and 12 (86%) had a BSCVA of 20/40 or better before PRK. At 1
year, the UCVA was 20/40 or better in 8 (57%) eyes, and the BSCVA was 20/40 or
better in all eyes. Mean central corneal thickness was 749 +/- 35 microm before
PRK and 621 +/- 56 mum at 3 years. During the follow-up period, haze (grade no
more than 1.0) was observed in 2 eyes. No immune rejection episode or recurrent
keratoconus was found. CONCLUSIONS: PRK appears to be reliable and safe for the
correction of residual ametropia after EP for keratoconus, and the visual acuity
can remain stable after PRK for a long time.
PMID- 18043177
TI - A case series of contact lens-associated Fusarium keratitis in Hong Kong.
AB - PURPOSE: To report the clinical features and management outcomes of a cluster of
Fusarium keratitis in patients that used the Bausch & Lomb ReNu MostureLoc
contact lens solution. METHODS: Retrospective case series. RESULTS: In a 1-year
period starting from June 2005, we treated 12 patients with unilateral Fusarium
keratitis in our tertiary care center. All patients were contact lens users that
used ReNu MostureLoc contact lens solution and had no other specific predisposing
conditions. Microbiological examination yielded growth of Fusarium spp. in 7
patients from corneal scrapings at presentation and from 3 patients in subsequent
corneal specimens. For 2 other patients, fungi were not detected from corneal
scrapings, but Fusarium spp. were isolated from their contact lenses. The
infections were treated with topical natamycin and amphotericin B eye drops and
with systemic itraconazole in 8 patients. The infection resolved with medical
treatment in 8 eyes, a conjunctival flap in 1 eye, and a therapeutic corneal
graft in 1 eye. Two eyes required tectonic corneal grafts for perforation. Two of
the 3 corneal grafts failed because of graft rejection. Final visual acuities
ranged from count fingers to 1.0. CONCLUSIONS: This cluster of Fusarium keratitis
seems to be related to the use of the ReNu MoistureLoc contact lens solution. The
cure rate with medical therapy was 66%. However, corneal scarring limited visual
recovery. This episode highlights the need for clinical vigilance when dealing
with corneal infiltrates in contact lens users.
PMID- 18043178
TI - Long-term reversibility of epikeratophakia.
AB - PURPOSE: To assess the long-term reversibility of epikeratophakia. METHODS: Three
human epikeratophakia lenticules (from 3 patients) were removed 7-14 years after
refractive keratoplasty for aphakia (n = 1) and myopia (n = 2). Reasons for
removal were irregular astigmatism (n = 1), opacities in the graft and host
cornea, and progressive myopia (n = 2). After removal, 2 patients underwent
cataract extraction and 1 underwent secondary implantation of AC-IOL. Visual
acuity, refraction, keratometry, and corneal topography were assessed before and
after removal of the lenticule, as well as after the cataract and IOL
implantation, and were compared with the initial visual acuity and corneal
curvature before epikeratoplasty. RESULTS: After removal of the lenticule, the 3
patients regained the initial curvature of the cornea (pre-epikeratoplasty), and
remained stable during 6 months of follow-up. Initial best-corrected visual
acuity and refraction before epikeratoplasty were restored after removal of the
epikeratoplasty lenticule in the aphakic patient. Original best-corrected visual
acuity was restored in the 2 myopic cataract patients after cataract extraction
and IOL implantation. CONCLUSIONS: In a small care series, epikeratophakia was
found to be a reversible procedure even after 7-14 years.
PMID- 18043179
TI - Expression of matrix metalloproteinase 2 and 9 in experimentally wounded canine
corneas and spontaneous chronic corneal epithelial defects.
AB - PURPOSE: To determine matrix metalloproteinase (MMP) 2 and MMP 9 expression in
acute and chronic experimentally wounded canine corneas and keratectomy samples
from canine patients with spontaneous chronic corneal epithelial defects
(SCCEDs). METHODS: Mechanical debridement was performed unilaterally in 25
healthy dogs for the acute wound study. Twenty-four hours (n = 8), 48 hours (n =
5), 72 hours (n = 3), or 1 week (n = 9) after wounding, the dogs were euthanized.
Debridement was performed once weekly for 8 weeks for the chronic study (n = 8).
Therapeutic superficial keratectomies (n = 16) were performed on SCCED patients.
Gelatin zymography and immunohistochemistry were performed. RESULTS: Acute wounds
showed upregulation of MMP 9 at all time points. At 7 days after wounding, values
diminished markedly but remained elevated above those of unwounded controls.
SCCED and chronic wound samples showed a significant increase in MMP 9 compared
with controls but were less than that of acute wounds. There was no significant
difference between chronic wounds versus SCCED samples. Fellow control eyes
showed significant upregulation of MMP 9 in tandem with wounded eyes. There was
no significant difference in values for MMP 2 in wounded corneas or SCCED
compared with those of controls. Immunhistochemistry localized MMP 9 to
predominantly the epithelium with some staining of keratinocytes and stroma.
CONCLUSIONS: The dog exhibits similar MMP expression during corneal wound healing
to that of other species. The lack of significant difference in MMP expression
between SCCED and chronic wounds suggest that MMP 2 and 9 are not involved in the
pathophysiology of SCCED and are more likely altered secondary to a chronic
epithelial defect.
PMID- 18043180
TI - Experimental transplantation of corneal epithelium-like cells induced by Pax6
gene transfection of mouse embryonic stem cells.
AB - PURPOSE: Corneal epithelial stem cells are deficient in cases of limbal
disorders, leading to conjunctival epithelial ingrowth, vascularization, and
eventually visual disturbance. We introduced the eye development-associated
transcription factor pax6 to embryonic stem (ES) cells and tested whether pax6
transfected cells resembling purified corneal epithelial cells were applicable as
a cell source for corneal transplantation. METHODS: pax6 cDNA with green
fluorescence protein was electrotransfected to ES cells and the cells were
cultured with G418 for 14 days. They were characterized by reverse transcription
polymerase chain reaction and immunohistochemistry. The cells were transplanted
onto experimentally damaged mouse corneas. Histologic reconstitution of the
corneal epithelium was assessed. RESULTS: pax6-transfected cells formed a
monolayer of epithelium-like cells in vitro. They expressed cytokeratin12, a
specific keratin of corneal epithelial cells, E-cadherin, and CD44, which are
important adhesion molecules of corneal epithelial cells on the cell membrane.
They accumulated to make a colony that gave a staining pattern of reticular
configuration for cytokeratin 12, E-cadherin, and CD44. When the cells were
transplanted onto damaged cornea, they have been kept alive on the cornea.
CONCLUSIONS: The purified corneal epithelium-like cells derived from ES cells
transfected with pax6 gene adapted to the injured cornea and were kept alive on
it. These results suggested application of ES cell-derived corneal epithelial
cells for treating corneal injuries.
PMID- 18043181
TI - Comparison of the bacteriostatic effects, corneal cytotoxicity, and the ability
to seal corneal incisions among three different tissue adhesives.
AB - PURPOSE: To compare the bacteriostatic effects, corneal cytotoxicity, and ability
to seal corneal incisions among fibrin glue and 2 commercially available
cyanoacrylate derivatives: N-butyl cyanoacrylate and methoxypropyl cyanoacrylate.
METHODS: The bacteriostatic activities of these tissue glues were verified by
measuring the zones of bacterial growth inhibition surrounding the adhesive
droplets on agar plates inoculated with Staphylococcus aureus, Streptococcus
pneumoniae, Pseudomonas aeruginosa, Escherichia coli, or Mycobacterium chelonae.
Corneal cytotoxicity was tested by a direct contact method by using cultured
bovine corneal epithelial cells, keratocytes, and corneal endothelial cells
challenged with droplets of adhesives. Each of the cells was treated with
droplets of adhesives. The ability to seal corneal incisions was verified by
calculating the maximum intraocular pressure resistant to leakage of rabbit
corneal stab wounds sealed with tissue adhesives. RESULTS: Methoxypropyl
cyanoacrylate and N-butyl cyanoacrylate showed bacteriostatic effects against S.
aureus, S. pneumoniae, and M. chelonae but not P. aeruginosa and E. coli. In
contrast, fibrin glue had no such effects against either Gram-positive or
negative bacteria (P < 0.01). Methoxypropyl cyanoacrylate showed the highest
levels of corneal cytotoxicity, followed by N-butyl cyanoacrylate. Fibrin glue,
however, showed minimal cytotoxicity (P < 0.01). Methoxypropyl cyanoacrylate and
N-butyl cyanoacrylate also displayed a greater ability to seal corneal incisions
than that of fibrin glue (P < 0.01). CONCLUSIONS: The bacteriostatic effects,
corneal cytotoxicity, and ability to seal corneal incisions differed among the 3
compounds tested. These different properties should be considered when choosing
tissue adhesives during corneal surgery.
PMID- 18043182
TI - Fibrin glue for opposing wound edges in "Top Hat" penetrating keratoplasty: a
laboratory study.
AB - PURPOSE: To evaluate the advantages of fibrin glue for opposing wound edges in
Top Hat penetrating keratoplasty (PKP). METHODS: Twenty human corneoscleral rims
were mounted on an artificial anterior chamber. Eight corneas underwent
traditional PKP, 6 underwent Top Hat PKP, and 6 underwent Top Hat PKP by using
fibrin glue for opposing wound edges. Mechanical stability was evaluated after
placement of 8 and 16 interrupted sutures. Wound bursting pressure and induced
astigmatism were evaluated. RESULTS: In the traditional PKP group, wound bursting
pressure was 25.2 and 59.1 mm Hg after placement of 8 and 16 sutures,
respectively. In the Top Hat PKP, leakage occurred at 57.6 and 103.8 mm Hg after
placement of the 8 and 16 sutures, respectively. In the Top Hat PKP + fibrin glue
group, wound leakage occurred at 144.6 mm Hg after placement of the 8 sutures and
at >158 mm Hg after placement of 16 sutures. The Top Hat PKP + fibrin glue group
induced astigmatism of 2.5 D, whereas the traditional PKP group and the Top Hat
PKP group showed an induced astigmatism of 3.1 D each. CONCLUSIONS: The use of
fibrin glue in Top Hat PKP was found to be more mechanically stable than
traditional sutures.
PMID- 18043183
TI - Reconstructed corneas: effect of three-dimensional culture, epithelium, and
tetracycline hydrochloride on newly synthesized extracellular matrix.
AB - PURPOSE: To evaluate the influence of the 3-dimensional collagen-glycosaminogycan
chitosan (CGC 3D) scaffold, epithelialization, and the addition of tetracycline
hydrochloride on the ultrastructural organization, measured by the diameter and
spacing of newly synthesized collagen I fibrils. METHODS: Little is known about
the role of interactions between epithelial cells and fibroblasts in controlling
the extracellular matrix of the cornea. We developed a hemicornea from a CGC 3D
matrix cocultured with keratocytes and human epithelial cells. The keratocytes
colonized this substrate, proliferated, and synthesized the extracellular matrix,
reproducing a living stroma equivalent. RESULTS: Without a 3D scaffold, the
collagen fibrils produced had an average diameter that was 42.7 nm and sigma =
16.9 nm. In the CGC 3D scaffold, the fibrils had an average diameter of 33.4 nm,
with little dispersion (sigma = 6.7 nm), suggesting a greater regulation. The
epithelium permitted a significant reduction in fibril diameter and
interfibrillar spacing. Tetracycline hydrochloride had no effect on spacing but
did have a significant effect on fibril diameter. We found positive interactions
between the epithelium and tetracycline hydrochloride on the regulation of
collagen fibrils but not on spacing. The presence of epithelium led to the
increased formation of collagens I and V in the subepithelial area of the newly
formed matrix. Type VI collagen was localized around the keratocytes throughout
the matrix. CONCLUSIONS: Epithelialization and the 3D scaffold had a great
influence on the diameter of collagen I fibrils.
PMID- 18043184
TI - Differences among strains of the Fusarium oxysporum-F. solani complexes in their
penetration of hydrogel contact lenses and subsequent susceptibility to
multipurpose contact lens disinfection solutions.
AB - PURPOSE: To examine in vitro conditions for attachment and penetration of
silicone hydrogel (SH) lenses by clinical isolates of the Fusarium oxysporum-F.
solani complexes and the relative susceptibilities of the fusaria in the lens
matrices to multipurpose contact lens solutions (MPSs). METHODS: SH soft contact
lenses were soaked in Sabouraud dextrose broth (SAB) for 2 hours and transferred
to 3.0 mL of phosphate-buffered saline (PBS). The lenses were inoculated with
representative isolates of both complexes and incubated on a shaker at ambient
temperature. Lenses were examined daily by light microscopy before and after
rinsing and rubbing in MPS. Selected lenses penetrated by fungi were rinsed and
rubbed with MPS and held in MPS for 6 hours, transferred to PBS with 0.03% SAB,
and examined daily. RESULTS: The degree and rate of lens penetration of contact
lenses by isolates of the F. oxysporum-F. solani complexes varied with lens type
and the strain. Isolates obtained from patients with Fusarium keratitis produced
on and within lenses chlamydospores that seemed similar to those observed in
lenses actually worn by patients when they developed Fusarium keratitis. Clinical
isolates showed greater capacities than those of a standard test strain to
penetrate lenses and to survive exposures to various MPSs. In general, isolates
of F. solani were more readily removed from lenses by rubbing than were isolates
of F. oxysporum. CONCLUSIONS: The ability of Fusarium spp. to attach to and
penetrate SH lenses in vitro varies with the lens type and strain, and this
ability may make infectious keratitis more likely. We recommend the incorporation
of a rubbing step in the MPS disinfection of hydrogel lenses to reduce the risk
of fungal keratitis.
PMID- 18043185
TI - Corneal lamellar dissection by using suture threads in porcine eyes.
AB - PURPOSE: Lamellar dissection is one of the most delicate maneuvers in corneal
surgery. We describe a new method that uses Ferrara ring instrumentation.
METHODS: A 360 degrees tunnel is created at half of the stromal depth in porcine
corneas. A suture (nylon 6-0 or Vicryl 6-0) was passed through the tunnel by
using the Ferrara spatula. By means of alternate traction on the end of the
suture thread, complete lamellar dissection was achieved. RESULTS: Light
microscopy examination of the cornea confirmed that the dissection had a constant
thickness and dissection depth. Scanning electron microscopy showed a homogeneous
stromal surface without irregularities. CONCLUSIONS: This technique permits a
homogeneous and safe lamellar dissection, which may prove useful in specific
surgical procedures of the cornea.
PMID- 18043186
TI - Complications of AlphaCor keratoprosthesis: a clinicopathologic report.
AB - PURPOSE: To describe the clinical and histopathologic features of intractable
secondary glaucoma induced by AlphaCor keratoprosthesis. METHODS: An elderly
woman with pseudoexfoliation glaucoma and pseudophakic bullous keratopathy in the
right eye had graft failures after penetrating keratoplasty. Her best-corrected
visual acuity at presentation was counting fingers in the right eye and 20/30 in
the left eye. Examination showed severe corneal neovascularization. Chirila
keratoprosthesis type II was implanted in 2 stages. Ten months later, the patient
developed dense retrocorneal membrane, 360 degrees occlusion of angles,
intractable glaucoma, no light perception, and nasal stromal melting associated
with partial extrusion of the keratoprosthesis. RESULTS: Histopathology revealed
invasion of the porous material of the keratoprosthesis by reactive fibroblasts
and multinucleated foreign-body giant cells. In the area of dehiscence, we noted
thinning and lysis of the collagen fibers, infiltration of lymphocytes, and
plasma cells with a sheet of fibroinflammatory tissue extending into the anterior
chamber. CONCLUSIONS: Corneal stromal melting and retrocorneal prosthetic
membrane formation after AlphaCor keratoprosthesis implantation led to
intractable glaucoma and extrusion of the implant.
PMID- 18043187
TI - Conjunctival intraepithelial neoplasia in a patient treated with tacrolimus after
liver transplantation.
AB - PURPOSE: To report a case of conjunctival intraepithelial neoplasia in a patient
treated with tacrolimus after liver transplantation for hepatic carcinoma.
METHODS: Description of the initial clinical presentation of a patient, tumor
management, and 15-month follow-up. RESULTS: A 70-year-old man presented with a
conjunctival intraepithelial neoplasia that developed on the site of a
preexisting pterygium. After total surgical removal and additional application of
mitomycin, local tumor control was achieved. CONCLUSIONS: We describe a case of
intraepithelial conjunctival neoplasia in a patient treated with systemic
tacrolimus. Local tumor control was achieved at 15 months after appropriate
surgical management.
PMID- 18043188
TI - Histologic evidence of retained fetal layer of the descemet membrane after
presumed total removal for endothelial keratoplasty: a possible cause for graft
failure.
AB - PURPOSE: This is, to our knowledge, the first report of histology after failed
Descemet-stripping endothelial keratoplasty (DSEK) surgery in a patient. We
describe the interface histology found in a case of donor nonadherence and
subsequent graft failure in a patient after DSEK. METHODS: An 83-year-old woman
with a history of Fuchs dystrophy underwent DSEK surgery and subsequently
underwent full-thickness penetrating keratoplasty (PKP) because of nonadherence
of the donor disc and presumed graft failure. Specimens from the initial
stripping of the Descemet membrane, the failed donor disc, and the full thickness
of the patient's remaining cornea containing the recipient bed after her DSEK and
PKP procedures were histologically evaluated by light microscopy. RESULTS:
Microscopic examination of the initial stripped recipient Descemet membrane
revealed a "delamination" of the Descemet membrane involving the fetal layer.
After PKP, histopathologic study of the recipient button revealed residual fetal
Descemet membrane retained on the recipient DSEK interface. Examination of the
failed donor disc showed healthy tissue. CONCLUSIONS: In DSEK surgery, there are
multiple reasons that the donor graft button may fail to adhere to the recipient
posterior corneal surface. In this instance, histologic study revealed that the
Descemet membrane was split by the stripping, and the fetal layer of the Descemet
membrane was retained on the central part of the patient's posterior cornea.
Despite histologically normal donor endothelial cells, the inability of the donor
tissue to adhere may have been caused by the coating of the central recipient bed
with retained fetal Descemet membrane.
PMID- 18043189
TI - Granular corneal dystrophy manifesting after radial keratotomy.
AB - PURPOSE: To report a case of granular corneal dystrophy after radial keratotomy
(RK). METHODS: A 32-year-old man presented with white radial lines in both
corneas. He had a history of uncomplicated RK in both eyes 8 years ago.
Preoperative refraction had been OD -3.5-0.75 x 180 and OS -3.0-0.5 x 175. The
cornea was reported to be clear on postoperative examinations. RESULTS:
Postoperative uncorrected visual acuity was OD 20/30 and OS 20/40. Best-corrected
visual acuity was 20/25 in both eyes with OD -0.5-0.5 x 60 and OS -0.75-0.5 x 80.
Slit-lamp examination revealed discrete well-demarcated whitish lesions with
clear intervening stroma in the central anterior cornea consistent with granular
dystrophy. Similar opacities were present within the RK incisions. CONCLUSIONS:
Production and deposition of such abnormal material could be due to keratocyte
activation after RK or proliferation and migration of epithelial cells with a
tendency to express abnormal keratoepithelin.
PMID- 18043190
TI - Slit-lamp, confocal, and light microscopic findings of corneal siderosis.
AB - PURPOSE: To report the clinical, histopathologic, and confocal findings of
corneal siderosis. METHODS: A 35-year-old man presented after a car battery
explosion with diffuse left corneal anterior stromal pigment deposition and an
intraocular metallic foreign body of the left iris. The corneal pigment was
analyzed by confocal microscopy and a lamellar corneal biopsy. RESULTS: Confocal
microscopy showed the pigment to be highly reflective material in the corneal
stroma with greatest density anteriorly. Histologic examination revealed the
pigment to be iron with a diagnosis consistent with corneal siderosis.
CONCLUSIONS: Corneal biopsy with Prussian blue established siderosis as the
etiology of corneal pigmentation. A short delay in the removal of the foreign
body contributed to the development of siderosis. The location of the foreign
body on the iris may account for the predominant corneal involvement and relative
sparing of the retina. Confocal microscopy may be useful in the evaluation of
corneal siderosis.
PMID- 18043191
TI - Corneal blood staining secondary to hemorrhagic descemet membrane detachment.
AB - PURPOSE: To present a case of corneal blood staining due to a hemorrhagic
Descemet membrane detachment and describe a method of surgical intervention.
METHODS: Observation of clinical features and outcome of surgical intervention.
RESULTS: A 72-year-old man had an anterior-chamber intraocular lens removed for
presumed uveitis-glaucoma-hyphema syndrome, and he developed an intraoperative
hemorrhagic detachment of the Descemet membrane attributed to peripheral corneal
neovascularization. Corneal blood staining rapidly developed, and a partial
thickness paracentesis was required to evacuate the lamellar hematoma and allow
reattachment of the Descemet membrane. CONCLUSIONS: Hemorrhage from posterior
corneal vessels can result in a hemorrhagic detachment of the Descemet membrane.
Corneal blood staining can develop rapidly in an intracorneal or retrocorneal
hemorrhage. The blood can be removed without incising the Descemet membrane by
making a partial-thickness paracentesis.
PMID- 18043192
TI - Hypopyon iritis after primary fresh amniotic membrane transplantation.
AB - PURPOSE: To report the occurrence of hypopyon iritis after primary, fresh
amniotic membrane transplant (AMT). METHODS: A case report of a 65-year-old man
who underwent AMT along with placement of hydrophilic contact lens for
symptomatic relief of pseudophakic bullous keratopathy for the first time. The
amniotic membrane (AM) transplanted was obtained freshly after an elective
cesarean section. RESULTS: The patient developed hypopyon on the second
postoperative day. Culture of remnants of AM and contact lens were negative.
Hypopyon did not respond to periocular antibiotics but disappeared with
periocular steroids. Hypopyon was noticed again at 1-week follow-up because of
poor compliance of the patient. It again responded to periocular steroids. At 1
year follow-up, the patient is asymptomatic with 20/600 vision. CONCLUSIONS:
Sterile hypopyon iritis appeared after primary AMT with fresh AM, and it
disappeared with periocular steroids.
PMID- 18043193
TI - Corneal bee sting misdiagnosed as viral keratitis.
AB - PURPOSE: To report a case of chronic keratouveitis caused by a missed bee sting
injury. METHODS: A 17-year-old boy was referred for management of unresponsive
viral keratouveitis. Ocular examination revealed corneal edema and scarring,
atrophic patches on the iris, and anterior polar cataracts. Surprisingly,
examination also revealed a retained intracorneal bee stinger. A retrospective
inquiry confirmed a bee sting injury 2 years ago. RESULTS: The patient was
started on medical treatment and underwent operative removal of the bee stinger.
Postsurgery, visual acuity improved, and the corneal edema regressed over a 1
month follow-up. CONCLUSIONS: In cases of chronic keratouveitis, a meticulous
examination is mandatory to rule out unusual causes like a retained corneal bee
stinger. A retained intracorneal bee stinger may result in long-term corneal
inflammation, which may not be controlled adequately with topical steroids. It
should be removed, irrespective of the duration since the injury.
PMID- 18043194
TI - Alport syndrome with recurrent herpes simplex virus keratitis.
AB - PURPOSE: To report a case of Alport syndrome with recurrent herpes simplex virus
(HSV) keratitis. METHODS: Case report and review of the literature. RESULTS: A 29
year-old man with Alport syndrome suffered from 4 consecutive episodes of HSV
keratitis within 2 years. A type IV collagen defect in basement membranes plays
an important role in the pathogenesis of Alport syndrome. The relationship
between HSV keratitis and Alport syndrome is discussed. After remission from HSV
keratitis, the patient underwent bilateral phacoemulsification and posterior
chamber intraocular lens implantation for anterior lenticonus and an anterior
polar cataract. After surgery, the uncorrected visual acuity was 20/20 in both
eyes. CONCLUSIONS: We assume that the underlying basement membrane defects in
Alport syndrome may account for the recurrent episodes of HSV keratitis in this
patient. In addition, phacoemulsification and posterior-chamber intraocular lens
implantation, rather than correction of refractive errors, provide a safe and
efficient therapeutic choice for the management of anterior lenticonus, with or
without associated cataract in patients with Alport syndrome.
PMID- 18043195
TI - Late bacterial keratitis after intracorneal ring segments (Ferrara ring)
insertion for keratoconus.
AB - PURPOSE: To report a case of late bacterial keratitis after intrastromal corneal
ring segments (ICRSs; Ferrara ring; Ferrara Ophthalmics, Belo Horizonte, Brazil).
METHODS: Review the clinical findings in a 42-year-old woman with bilateral
keratoconus who underwent implantation of Ferrara ring segments in her left eye
and 4 months later presented with corneal infiltrates and hypopyon. Bacterial
staining, culture, and antibiotic sensitivities were done. Medical treatment and
rings explantation were performed. RESULTS: The cultures revealed growth of
vancomycin-oxacillin sensible coagulase-positive Staphylococcus aureus. Treatment
with topical moxifloxacin, topical imipenem, and systemic intravenous and topical
vancomycin was kept for two weeks. Corneal inflammation and hypopyon resolved,
leaving a minimal residual leucoma. CONCLUSIONS: Infectious keratitis is an
uncommon complication of ICRS and it may be late in presentation, even months
after implantation. Importance of patient education about alarm symptoms and the
need for long-term close postoperative follow-up cannot be underestimated. If a
change in surgical technique, i.e., suturing the incision, has an influence in
this complication's incidence, it will require further studies.
PMID- 18043196
TI - Focal corneal decompensation after filtering surgery with mitomycin C.
AB - PURPOSE: To report a case with focal corneal decompensation after filtering
surgery with inadvertent inadequate irrigation of mitomycin C (MMC). METHODS:
Case report and review of literature. RESULTS: A 25-year-old man first referred
with the complaint of photophobia. His ocular examinations revealed diffuse
keratic precipitates and many iris nodules in both eyes. The primary diagnosis
was idiopathic bilateral granulomatous anterior uveitis. The intraocular pressure
(IOP) gradually increased in the left eye and was not controlled with a
prescription of topical antiglaucoma medications. As the disease progressed, the
left eye underwent filtering surgery with MMC 0.02%. The postoperative period was
uneventful, and the anterior chamber was deep after surgery. The IOP was
controlled without medications; however; the inferior third of the cornea was
edematous because of severe endothelial dysfunction. CONCLUSIONS: Inadequate
irrigation of MMC during filtering surgery can cause focal corneal
decompensation.
PMID- 18043197
TI - Keratoglobus in association with posterior polymorphous dystrophy.
AB - PURPOSE: To report a case of a 34-year-old woman presenting with keratoglobus and
posterior polymorphous corneal dystrophy (PPMD). METHODS: Observational case
report including ophthalmologic examination, topographic findings, and specular
microscopy findings. RESULTS: This patient presented with corneal steepening on
topography consistent with keratoglobus, as well as large areas of irregular
polymorphous changes of the corneal endothelium on specular microscopy consistent
with PPMD. CONCLUSIONS: We report the first case with clinical features of both
keratoglobus and PPMD. This report brings forth the description of keratoglobus
findings on Orbscan topography.
PMID- 18043198
TI - Anterior chamber maintenance during descemet stripping.
PMID- 18043199
TI - Reactivation of recurrent corneal erosion syndrome by continuous positive
pressure ventilation.
PMID- 18043202
TI - Mirror, mirror on the wall, which is the fairest meta-analysis of all?
PMID- 18043203
TI - To use or not to use? Polyclonal intravenous immunoglobulins for the treatment of
sepsis and septic shock.
PMID- 18043204
TI - Suppression of monocyte metabolism by septic plasma: revisiting the concept of
"blood poisoning".
PMID- 18043205
TI - Sedative effects of Mozart's music in the critically ill: enjoy the hormonal
symphony.
PMID- 18043206
TI - The trouble with tubes.
PMID- 18043207
TI - Constipation: does it increase morbidity and mortality in critically ill
patients?
PMID- 18043208
TI - Levosimendan in cardiogenic shock: the magic drug for every patient?
PMID- 18043209
TI - Clinical use of hydroxyethylstarch: colloidal confusion or clarity?
PMID- 18043210
TI - Evolving paradigms in acute kidney injury.
PMID- 18043211
TI - Mechanical vagus nerve stimulation--A new adjunct in sepsis prophylaxis and
treatment?
PMID- 18043212
TI - Ultrasound lung comets: a clinically useful sign in acute respiratory distress
syndrome/acute lung injury.
PMID- 18043213
TI - How early can we go? Taking hypothermia out of the intensive care unit.
PMID- 18043214
TI - Sports cars versus freight trains: why infusion performance is in the details.
PMID- 18043215
TI - A small step forward on a long way to go.
PMID- 18043216
TI - Gold standard for humidification: heat and moisture exchangers, heated
humidifiers, or both?
PMID- 18043217
TI - Separating practice guidelines from pharmaceutical marketing.
PMID- 18043220
TI - Prolonged mechanical ventilation is even more expensive.
PMID- 18043221
TI - Agreement with antimicrobial management for pseudomonal ventilator-associated
pneumonia.
PMID- 18043224
TI - Gastrointestinal infections, an overview: from pathogens to metagenomes.
PMID- 18043225
TI - Gastrointestinal microbiology enters the metagenomics era.
AB - PURPOSE OF REVIEW: Advances in DNA sequence-based technologies now permit genetic
analysis of complex microbial populations without the need for prior cultivation.
This review summarizes the molecular methods of culture-independent microbiology
('metagenomics') and their recent application to studies of the human
gastrointestinal tract in both health and disease. RECENT FINDINGS: Culture
independent metagenomic surveys reveal unprecedented microbial biodiversity in
the human intestine. Upwards of 40,000 bacterial species are estimated to
comprise the collective gastrointestinal microbiome, most of which have not been
characterized by culture. Diverse conditions such as antibiotic-associated
diarrhea, Crohn's disease, ulcerative colitis, obesity, and pouchitis have been
correlated with large-scale imbalances in gastrointestinal microbiota, or
'dysbiosis'. These findings demonstrate the importance of commensal
microorganisms in maintaining gastrointestinal health. SUMMARY: Through
technological and conceptual innovations in metagenomics, the complex microbial
habitat of the human gastrointestinal tract is now amenable to detailed
ecological analysis. Large-scale shifts in gut commensal populations, rather than
occurrence of particular microorganisms, are associated with several
gastroenterological conditions; redress of these imbalances may ameliorate the
conditions.
PMID- 18043226
TI - Alterations in intestinal microbial flora and human disease.
AB - PURPOSE OF REVIEW: To highlight the evidence supporting the role of altered
commensal gut flora in human disease. While the contribution of the indigenous
gut microbial community is widely recognized, only recently has there been
evidence pointing to indigenous flora in disease. RECENT FINDINGS: This review
discusses recent evidence pointing to the role of altered commensal gut flora in
such common conditions as irritable bowel syndrome and inflammatory bowel
disease. Recent studies document the intricate relationship between the vast
population of microbes that live in our gut and the human host. Since increased
intestinal permeability and immune activation are consequences of an altered host
gut microbial relationship, what are the clinical effects of this shift in
relationship? SUMMARY: We focus on the example of an abnormal expansion of gut
microbial flora into the small bowel or small intestinal bacterial overgrowth and
discuss the effects of bacterial overgrowth on the human host in acute
pancreatitis, bacterial gastroenteritis, irritable bowel syndrome, inflammatory
bowel disease, hepatic encephalopathy, and fibromyalgia and burn injury. The
identification of the underlying role of altered commensal gut microbiota in
these and other human diseases could lead to novel diagnostic and therapeutic
strategies that would improve clinical outcome.
PMID- 18043227
TI - The evidence for Mycobacterium paratuberculosis in Crohn's disease.
AB - PURPOSE OF REVIEW: Though long hypothesized, the putative link between
Mycobacterium avium paratuberculosis and Crohn's disease remains neither
confirmed nor refuted. This article reviews published contributions that directly
or indirectly address this question. RECENT FINDINGS: Epidemiologic studies,
looking for M. avium paratuberculosis DNA in Crohn's tissue, show a strong
association between the agent and this disease. Supporting data, however, are
presently inconclusive on a causal role. Genetic studies provide indirect support
for a role of mycobacteria in Crohn's disease, by identifying susceptibility
genes that encode proteins implicated in innate immunity to intracellular
bacteria. Clinical trial data support at least a short-term benefit for
antimycobacterial therapy in Crohn's disease, but the microbial specificity of
this response is presently unknown. SUMMARY: There appears to be a strong
association between M. avium paratuberculosis and Crohn's disease, but the
causality of this association is unknown. Consequently, the therapeutic
implications of this association require further study. A number of critical
questions about the biology of M. avium paratuberculosis remain unanswered. Data
from studies of this organism, and its interaction with the immune system, can
help address proposed reasons for or against a role of M. avium paratuberculosis
in the etiology of Crohn's disease.
PMID- 18043228
TI - Pathogenesis of enteric Salmonella infections.
AB - PURPOSE OF REVIEW: Gastrointestinal disease caused by Salmonella species leads to
significant morbidity and mortality worldwide. The use of various animal models
has greatly advanced understanding of Salmonella pathogenesis at intestinal and
systemic sites. This review will emphasize recent advances in the understanding
of intestinal Salmonella infections. RECENT FINDINGS: Recent research has focused
on bacterial products and the host pathogen recognition receptors involved in the
activation of immune pathways. In particular, activation of Toll-like receptor 5
and Ipaf by Salmonella flagellin has been a major finding. The discovery of
cryptopatches as novel lymphoid follicles and the characterization of intestinal
dendritic cell populations have been examined in the context of Salmonella
infections. The development and use of the streptomycin pretreated mouse model of
enterocolitis has allowed researchers to probe the host factors contributing to
intestinal immunopathology. Furthermore, the analysis of microbiota in Salmonella
infections has provided new insights regarding the role of inflammation in
gastrointestinal diseases. In addition, the contributions of specific Salmonella
type 3-secreted effectors to the establishment and modulation of inflammation
have been further refined. SUMMARY: New advances in animal models have allowed
researchers to further define the contribution of specific bacterial and host
factors involved in Salmonella-induced enterocolitis.
PMID- 18043229
TI - Pathogenesis of Campylobacter.
AB - PURPOSE OF REVIEW: Molecular pathogenesis of Campylobacter jejuni has lagged
behind that of other enteric pathogens. This review summarizes advances in the
biology and pathogenesis of C. jejuni. RECENT FINDINGS: Multiple genome sequences
have defined conserved and hypervariable loci in the C. jejuni genome.
Interaction of C. jejuni with eukaryotic cells results in numerous signal
transduction changes and release of proinflammatory cytokines. In-vivo models
based on immune knockout mice have also revealed new information on pathogenesis.
SUMMARY: New information and new methods are available that should provide
important tools to further understand pathogenesis of this elusive pathogen.
PMID- 18043230
TI - Utility of the Citrobacter rodentium infection model in laboratory mice.
AB - PURPOSE OF REVIEW: There have been considerable advances in our understanding of
the molecular pathogenesis of enteropathogenic Escherichia coli and
enterohemorrhagic E. coli infection. Given the difficulty of infecting laboratory
mice with these diarrhea-causing pathogens, a growing number of studies have
found the murine bacterial pathogen Citrobacter rodentium to provide a robust,
relevant in-vivo model system. RECENT FINDINGS: All inbred strains and outbred
stocks of laboratory mice studied to date have been found to be susceptible to C.
rodentium infection. The natural course of disease ranges from subclinical
epithelial hyperplasia in the colon, to clinical diarrhea and colitis, to fatal
infection, depending on the age, genetic background, and health status of the
host. Infection is self-limiting, leading to disease resolution and protective
immunity. Here we review recent discoveries related to bacterial virulence
determinants, epithelial hyperplasia, innate and adaptive immune responses, and
mechanisms of diarrhea. SUMMARY: Infection of laboratory mice with C. rodentium
provides a useful in-vivo model for studying the pathogenesis of infectious
gastroenteritis and acute diarrheal illness, and for preclinical evaluation of
candidate preventive and therapeutic agents.
PMID- 18043231
TI - Pathogenesis and treatment of Shiga toxin-producing Escherichia coli infections.
AB - PURPOSE OF REVIEW: Shiga toxin-producing Escherichia coli cause hemorrhagic
colitis and hemolytic uremic syndrome. We will summarize the literature on
incidence and outcomes of these infections, and then review the pathogenesis to
explain the current recommendations against antibiotic use and to suggest
alternative therapies. RECENT FINDINGS: Shiga toxin-producing E. coli continue to
be prevalent in the industrialized world because of dissemination in food
products contaminated by ruminant feces. Declines in ground beef-related
outbreaks have been matched by increased cases related to green vegetables.
Fifteen percent of patients infected with E. coli O157:H7 progress to hemolytic
uremic syndrome, but this figure may reach 50% if antibiotics are used.
Mechanisms for bacteriophage induction causing Shiga toxin production, and for
Shiga toxin dissemination to endothelium in gut, kidney and brain, may explain
the negative effects of antibiotics and lead to rational therapies. Shiga toxin
binders were not effective in clinical trials, but more avid binding agents may
be. Current treatment recommendations are to maintain hydration to prevent
thrombotic complications. Human vaccines are unlikely to be utilized. Cattle
vaccines may prove the most significant approach to this disease. SUMMARY:
Improved understanding of Shiga toxin-producing Escherichia coli pathophysiology
and progression to hemolytic uremic syndrome provides the basis for prevention,
prophylactic and treatment strategies.
PMID- 18043232
TI - Chemoprevention of colorectal cancer: why all the confusion?
AB - PURPOSE OF REVIEW: Chemoprevention provides an opportunity to complement
screening for the prevention of colorectal neoplasia. Findings from prospective
randomized trials often conflict with those of observational studies. This review
discusses some of the possible reasons based on recent clinical trials. RECENT
FINDINGS: A recent prospective randomized trial demonstrates that folic acid
supplementation in patients with a previous history of colorectal adenomas does
not reduce future colorectal adenoma risk, and may possibly increase the risk of
colorectal neoplasia. SUMMARY: The results of prospective randomized human trials
of chemopreventive agents have in many cases been less impressive or have
conflicted with the results of observational studies. Issues to be considered are
the timing of the intervention during multistep carcinogenesis, baseline levels
in a given individual or population, the complexity of dietary interactions, dose
response effects, and the duration of study.
PMID- 18043233
TI - Nutrition and colonic health: the critical role of the microbiota.
AB - PURPOSE OF REVIEW: To highlight mechanisms whereby diet affects colonic function
and disease patterns. RECENT FINDINGS: Topical nutrients are preferentially used
by the gut mucosa to maintain structure and function. With the colon, topical
nutrients are generated by the colonic microbiota to maintain mucosal health.
Most importantly, short chain fatty acids control proliferation and
differentiation, thereby reducing colon cancer risk. In patients with massive
loss of small intestine, short chain fatty acid production supports survival by
releasing up to 1000 kcal energy/day. Human studies show that the microbiota
synthesizes a large pool of utilizable folate which may support survival in
impoverished populations. Unfortunately, the microbiota may also elaborate toxic
products from food residues such as genotoxic hydrogen sulfide by sulfur-reducing
bacteria in response to a high-meat diet. The employment of culture-free
techniques based on 16S regions of DNA has revealed that our colons harbor over
800 bacterial species and 7000 different strains. Evidence suggests that the diet
directly influences the diversity of the microbiota, providing the link between
diet, colonic disease, and colon cancer. The microbiota, however, can determine
the efficiency of food absorption and risk of obesity. SUMMARY: Our
investigations have focused on a small number of bacterial species:
characterization of microbiota and its metabolism can be expected to provide the
key to colonic health and disease.
PMID- 18043234
TI - Stem cells as common ancestors in a colorectal cancer ancestral tree.
AB - PURPOSE OF REVIEW: Cancer is thought to be an evolutionary process. Modern
studies of evolution increasingly rely on genome comparisons, and similar
molecular phylogeny approaches could be translated to somatic cell genomes to
reconstruct colorectal cancer progression. The purpose of this review is to
outline how human somatic cell ancestral trees can organize many old and new
observations. RECENT FINDINGS: A somatic cell tree starts from the zygote and
ends with present day normal or neoplastic cells. In between are ancestors and
dead ends, which functionally correspond to stem and nonstem cells. Cancer genome
projects illustrate that mutations are relatively infrequent, and consistent with
normal mutation rates, particularly if mutations begin to accumulate from birth.
Therefore, some mutations eventually found in cancers may first occur in normal
appearing crypts, which are maintained by niches that allow for stem cell clonal
evolution and selection. Although mutations occur too infrequently to function as
somatic cell molecular clocks, potentially more labile epigenetic changes in CpG
methylation may also record somatic cell ancestry. SUMMARY: Somatic cell
evolution can occur throughout life, and potentially at least some of this unseen
past may be reconstructed by 'reading' the lifetime changes that accumulate
within our genomes.
PMID- 18043235
TI - Endoscopic imaging: emerging optical techniques for the detection of colorectal
neoplasia.
AB - PURPOSE OF REVIEW: Advances in bioengineering have spawned various imaging
modalities which have revolutionized endoscopy. Some of these technologies
provide real-time, high-resolution, subcellular imaging. This review provides an
update on these technologies and their role in the evaluation of colorectal
neoplasia. RECENT FINDINGS: Narrow band imaging has been shown to visualize
capillary patterns in early cancer and is complementary to magnification
endoscopy. Optical coherence tomography has been used to evaluate neoplastic
progression and distinguish Crohn's from ulcerative colitis. Confocal
endomicroscopy has been shown to accurately predict neoplastic changes in polyps
and identify areas of neoplasia in patients with colitis. Among the spectroscopic
techniques, autofluorescence is best studied in the colon and has been used to
identify adenomas and dysplasia in inflammatory bowel disease. Endocytoscopy is a
relatively new technology but shows promise in distinguishing neoplastic lesions.
SUMMARY: Recently a number of imaging technologies have arisen that have the
potential to enhance our detection of colorectal neoplasia. Several of these,
such as autofluorescent imaging and narrow band imaging, are 'red flag'
techniques which enhance our visualization of mucosal change(s). Complementary
technologies, such as confocal endomicroscopy and endocytoscopy, provide
subcellular imaging. Combined with a 'red flag' technique, these may transform
our approach to colonoscopy, allowing the real-time detection and diagnosis of
neoplasia.
PMID- 18043236
TI - Pouch-ouch.
AB - PURPOSE OF REVIEW: For patients who require colectomy, the ileal pouch anal
anastomosis operation has alleviated the need for permanent ileostomy and has
improved associated self-esteem issues. The most common complication of this
surgery, however, is pouchitis. This review highlights the most recent research
in the pathophysiology, risk factors, diagnosis and management of pouchitis, and
pouch surveillance for neoplasia in patients who had ulcerative colitis. RECENT
FINDINGS: Markers of inflammation, including fecal lactoferrin and mucosal
cytokines, have been reported as useful in differentiating between irritable
pouch syndrome and pouchitis. Numerous risk factors for the development of
pouchitis have been identified. They include the presence of perinuclear
antinuclear cytoplasmic antibodies, steroid use prior to colectomy, dysplasia as
the indication for colectomy, the presence of extraintestinal manifestations, and
an elevated platelet count. Therapy for acute pouchitis remains a short course of
antibiotics. For chronic pouchitis, studies found success with rifaximin,
tinidazole, and oral budesonide. Cancer in the residual rectal mucosa, in the
ileal mucosa, and in pouch polyps occurs frequently enough to warrant
surveillance. SUMMARY: Risk factors for the development of pouchitis should be
discussed with patients. Less invasive diagnostic strategies have been proposed
and antibiotics are still the mainstay of therapy.
PMID- 18043237
TI - Bibliography. Current world literature. Gastrointestinal infections.
PMID- 18043239
TI - Severe congenital neutropenia and the unfolded protein response.
AB - PURPOSE OF REVIEW: Severe congenital neutropenia is an inborn disorder of
granulopoiesis. Mutations of ELA2, encoding neutrophil elastase, are present in
approximately 50% of cases of severe congenital neutropenia and nearly all cases
of cyclic neutropenia, a related disorder of granulopoiesis. Herein, we review
recent studies of the molecular pathogenesis of severe congenital neutropenia,
with an emphasis on those cases associated with mutations of ELA2. RECENT
FINDINGS: Genetic evidence suggests that ELA2 mutations act in a dominant, cell
intrinsic fashion to disrupt granulopoiesis. A unifying model that accounts for
the different clinical phenotypes (severe congenital neutropenia versus cyclic
neutropenia) and the diversity of ELA2 mutations (over 50 have been identified),
however, is lacking. Recent studies suggest that mutations of ELA2 may cause
disease through induction of the unfolded protein response. In this model, the
ELA2 mutations result in the production of misfolded neutrophil elastase protein,
activation of the unfolded protein response, and ultimately apoptosis of
granulocytic precursors. The propensity of individual neutrophil elastase mutants
to misfold may determine the magnitude of unfolded protein response-induced
apoptosis and ultimately the clinical phenotype. SUMMARY: Recent studies provide
support for a unfolded protein response model of disease pathogenesis in cases of
severe congenital neutropenia associated with ELA2 mutations and place severe
congenital neutropenia in a growing list of human disease caused by misfolded
proteins.
PMID- 18043240
TI - Chronic idiopathic neutropenias and severe congenital neutropenia.
AB - PURPOSE OF REVIEW: Chronic idiopathic and severe congenital neutropenias are rare
disorders for which recent discoveries have highlighted mechanisms and
consequences. RECENT FINDINGS: An inflammatory bone marrow milieu has been shown
to be a major contributor to the pathophysiology of chronic idiopathic
neutropenia. Activated T-lymphocytes with myelosuppressive properties and pro
apoptotic mediators, such as IFNgamma, TNFalpha, Fas-ligand and TGFbeta1 result
in accelerated apoptosis of granulocytic progenitor cells. Decreased levels of
the anti-inflammatory cytokine IL-10 further disturb the balance between survival
and pro-apoptotic mediators in chronic idiopathic neutropenia. Mutations in the
HAX1 gene are associated with most cases of recessive autosomal severe congenital
neutropenia, while ELA2 mutations are found in most cases of autosomal dominant
and sporadic cases. The role of HAX-1 protein as a regulatory step in apoptosis
provides further evidence for severe congenital neutropenia as a disorder of
programmed cell death. The preleukemic character of severe congenital
neutropenia, particularly for patients with need for high granulocyte colony
stimulating factor dosage, was recently emphasized. SUMMARY: Chronic idiopathic
(or as recent data suggest, immunologic) and severe congenital neutropenias
provide intriguing models for better understanding of regulation of myelopoiesis.
Similarities and differences between the two disorders might help to dissect
these regulatory events.
PMID- 18043241
TI - Idiosyncratic drug-induced agranulocytosis or acute neutropenia.
AB - PURPOSE OF REVIEW: Idiosyncratic drug-induced agranulocytosis or acute
neutropenia is an adverse event resulting in a neutrophil count of under 0.5 x
10/l. Patients with such severe neutropenia are likely to experience life
threatening and sometimes fatal infections. RECENT FINDINGS: Over the last 20
years, the incidence of idiosyncratic drug-induced agranulocytosis or acute
neutropenia has remained stable at 2.4-15.4 cases per million, despite the
emergence of new causative drugs: antibiotics (beta-lactam and cotrimoxazole),
antiplatelet agents (ticlopidine), antithyroid drugs, sulfasalazine, neuroleptics
(clozapine), antiepileptic agents (carbamazepine), nonsteroidal anti-inflammatory
agents and dipyrone. Drug-induced agranulocytosis remains a serious adverse event
due to the occurrence of severe sepsis with severe deep infections (such as
pneumonia), septicemia and septic shock in around two thirds of patients. In this
setting, old age (>65 years), septicemia or shock, metabolic disorders such as
renal failure, and a neutrophil count under 0.1 x 10/l are poor prognostic
factors. Nevertheless with appropriate management using preestablished
procedures, with intravenous broad-spectrum antibiotic therapy and hematopoietic
growth factors, the mortality rate is currently around 5%. SUMMARY: Given the
increased life expectancy and subsequent longer exposure to drugs, as well as the
development of new agents, healthcare professionals should be aware of this
adverse event and its management.
PMID- 18043242
TI - Chediak-Higashi syndrome.
AB - PURPOSE OF REVIEW: Chediak-Higashi syndrome, a rare autosomal recessive disorder,
was described over 50 years ago. Patients show hypopigmentation, recurrent
infections, mild coagulation defects and varying neurologic problems. Treatment
is bone marrow transplant, which is effective in treating the hematologic and
immune defects, however the neurologic problems persist. The CHS1/LYST gene was
identified over 10 years ago and homologous CHS1/LYST genes are present in all
eukaryotes. This review will discuss the advances made in understanding the
clinical aspects of the syndrome and the function of CHS1/LYST/Beige. RECENT
FINDINGS: Clinical reports of Chediak-Higashi syndrome have identified mutations
throughout the CHS1/LYST gene. The nature of the mutation can be a predictor of
the severity of the disease. Over the past decade the CHS1/LYST family of
proteins has been analyzed using model organisms, two-hybrid analysis,
overexpression phenotypes and dominant negatives. These studies suggest that the
CHS1/LYST protein is involved in either vesicle fusion or fission. SUMMARY:
Although CHS is a rare disease, the Chediak-like family of proteins is providing
insight into the regulation of vesicle trafficking. Understanding the basic
mechanisms that govern vesicle trafficking will provide essential information
regarding how loss of CHS1/LYST affects hematologic, immunologic and neurologic
processes.
PMID- 18043243
TI - Wiskott-Aldrich syndrome.
AB - PURPOSE OF REVIEW: Wiskott-Aldrich syndrome is caused by mutations of the Wiskott
Aldrich syndrome protein gene, which codes for a cytoplasmic protein with
multiple functions. This review will focus on recent progress in understanding
the molecular basis of Wiskott-Aldrich syndrome and its ramifications for the
cure of this lethal disease. RECENT FINDINGS: The discovery of the causative gene
has revealed a spectrum of clinical phenotypes demonstrating a strong
genotype/phenotype correlation. The discovery of unique functional domains of
Wiskott-Aldrich syndrome protein has been instrumental in defining mechanisms
that control activation of Wiskott-Aldrich syndrome protein. Long-term follow up
of patients undergoing hematopoietic stem cell transplantation has led to
important modifications of the procedure. Studies of Wiskott-Aldrich syndrome
protein-deficient cell lines and wasp-knockout mice have paved the way for
possible gene therapy. SUMMARY: Wiskott-Aldrich syndrome protein gene mutations
result in four clinical phenotypes: classic Wiskott-Aldrich syndrome and X-linked
thrombocytopenia, intermittent thrombocytopenia and neutropenia. Wiskott-Aldrich
syndrome protein is a signaling molecule and instrumental for cognate and innate
immunity, cell motility and protection against autoimmune disease. The success of
hematopoietic stem cell transplantation is related to the recipient's age, donor
selection, the conditioning regimen and the extent of reconstitution. Since
Wiskott-Aldrich syndrome protein is expressed exclusively in hematopoietic stem
cells, and because Wiskott-Aldrich syndrome protein exerts a strong selective
pressure, gene therapy is expected to cure the disease.
PMID- 18043244
TI - Retinoic acid signaling in myelopoiesis.
AB - PURPOSE OF REVIEW: For decades, retinoic acid has been known to alter the
proliferation and differentiation of myeloid cells. Currently, retinoic acid is a
front-line agent in the treatment of certain forms of acute myelogenous leukemia.
In this review, we focus on recent advances in our understanding of the
mechanisms by which retinoids affect growth and proliferation of myeloid cells
and contribute to the pathogenesis of leukemia. We have not attempted to
summarize the related clinical literature. RECENT FINDINGS: The past 2 years have
yielded important understanding of the mechanisms by which retinoids and their
nuclear receptors interact with other signal transduction pathways and
transcription factors to modify chromatin, alter gene expression, and participate
in normal myeloid differentiation and leukemogenesis. Important advances
regarding cell biology, molecular biology, biochemistry, and animal studies of
retinoids and myeloid differentiation are reviewed. SUMMARY: Greater
understanding of the role of retinoids and their receptors in myeloid cell growth
and differentiation provides important insight into normal myelopoiesis. These
findings have resulted in successful rational approaches to the treatment of
acute leukemia and provide the promise of improved treatments in the near future.
PMID- 18043245
TI - Tumor-necrosis-factor-related apoptosis-inducing ligand and the regulation of
hematopoiesis.
AB - PURPOSE OF REVIEW: This review will focus on the emerging role of tumor necrosis
factor-related apoptosis-inducing ligand (TRAIL)/TRAIL-receptors in the
pathophysiology of hematopoiesis and on the potential therapeutic applications of
either recombinant TRAIL or anti-TRAIL-R1/-R2 agonistic antibodies for the
treatment of hematological malignancies. RECENT FINDINGS: While CD34
stem/progenitor cells do not express TRAIL-receptors and are protected from TRAIL
induced apoptosis, accumulating evidence points to a role for elevated
expression/release of TRAIL at the bone marrow level in the pathophysiology of
aplastic anemia, Fanconi anemia, and myelodysplastic syndromes. In-vitro data
show promising synergistic effects of recombinant TRAIL in association with
proteasome or histone deacetylase inhibitors, natural compounds or small
molecules in the therapy of myeloid and lymphoid malignancies. Moreover, although
both recombinant TRAIL and anti-TRAIL-R1/-R2 antibodies are well tolerated in
vivo, anti-TRAIL-R1/-R2 agonistic antibodies show the potential advantage of
avoiding the neutralizing activity of the soluble receptor osteoprotegerin.
SUMMARY: While a chronic pathological elevation of TRAIL at the bone marrow level
might contribute to the impairment of normal hematopoiesis, the use of
recombinant TRAIL and anti-TRAIL-R1/-R2 agonistic antibodies appears particularly
promising for the treatment of hematological malignancies in particular, of
multiple myeloma, especially if used in association with innovative therapeutic
compounds.
PMID- 18043246
TI - Chemokines in hematopoiesis.
AB - PURPOSE OF REVIEW: Understanding the regulation of hematopoiesis is important for
enhanced efficacy of hematopoietic stem and progenitor cell transplantation.
Chemokines influence migration, survival, and other actions of hematopoietic stem
and progenitor cells. This article summarizes recent progress in understanding
the production and actions of chemokines and chemokine receptors, with an
emphasis on the SDF-1/CXCL12-CXCR4 axis. RECENT FINDINGS: The literature from
2006 to the present is replete with information on SDF-1/CXCL12 activity,
including induced intracellular signaling in hematopoietic progenitor cells,
lymphocytes, other innate immune cells, breast cancer, and other tumor cells, and
on production of SDF-1/CXCL12, and CXCR4, as well as on actions/production of
other chemokines. Studies describing these intense research areas are discussed.
SUMMARY: Chemokine-chemokine receptor interactions are important to hematopoiesis
and immune cell function, two highly interactive processes. Recent studies have
clarified the role of chemokines and their receptors in regulating hematopoiesis,
and agents modulating chemokines are being evaluated in preclinical and clinical
studies. Examples of such efforts include inhibition of CD26 for enhanced homing
and engraftment of hematopoietic stem and progenitor cells, and the use of the
SDF-1/CXCL12-CXCR4 antagonist, AMD3100 for mobilization of hematopoietic stem and
progenitor cells and their use for stem cell transplantation.
PMID- 18043248
TI - Bibliography. Current world literature. Myeloid biology.
PMID- 18043247
TI - Basophils and type 2 immunity.
AB - PURPOSE OF REVIEW: Mechanisms involved in the development of in-vivo type 2
immunity are poorly defined. Basophils are potent IL-4-producing cells and may
contribute to the process of polarizing immune responses. RECENT FINDINGS:
Although basophils represent fewer than 0.5% of blood leukocytes, their frequency
dramatically increases under certain circumstances, particularly Th2-related
responses including parasitic infection and allergic inflammation. Recent studies
proposed the hypothesis that basophils could contribute to the development of
type 2 immunity by providing initial IL-4 important in T cell polarization and by
recruiting other effector cells such as eosinophils or neutrophils. Multiple
stimuli of IgE-dependent and IgE-independent pathways that lead to release of
cytokines and mediators from activated basophils have been identified. In
addition, progenitors that differentiate into mature basophils have recently been
identified. SUMMARY: The current review revisits basophils with the goal of
providing insights into understanding unappreciated roles of basophils in vivo.
PMID- 18043250
TI - Neuroendocrine tumors of the diffuse neuroendocrine system.
AB - PURPOSE OF REVIEW: Neuroendocrine tumors (previously referred to as carcinoids)
are ill-understood, enigmatic malignancies that, although slow-growing compared
with adenocarcinomas, can behave aggressively. In 2004, they comprised 1.25% of
all malignancies; their incidence is increasing by approximately 6% per year. The
present review provides an overview on neuroendocrine tumors and focuses on
general features and current diagnostic and therapeutic options. RECENT FINDINGS:
Neuroendocrine tumors may present a considerable diagnostic and therapeutic
challenge as their clinical presentation is nonspecific and usually late, when
metastases are already evident. Topographic localization is by computed
tomography, magnetic resonance imaging, somatostatin receptor scintigraphy, whole
body positron emission tomography or endoscopy/ultrasound. Bronchoscopy is useful
to verify the diagnosis when lesions are located centrally in the bronchi. No
curative treatment except for radical surgery (almost never feasible) exists.
Palliative and symptomatic treatment is based on surgical debulking, tumor
embolization, and biotherapy with somatostatin analogues. Chemotherapy and
radiotherapy are usually ineffective, but novel drugs such as tyrosine kinase
receptor inhibitors show promising results in phase II clinical studies. SUMMARY:
Tumors of the diffuse neuroendocrine system represent a significant and
increasing clinical problem, and there is a need to develop both early diagnostic
tests as well as to establish targeted therapeutic strategies.
PMID- 18043251
TI - Recent developments in the clinical application of thyroid cancer biomarkers.
AB - PURPOSE OF REVIEW: The aim of this article is to provide an update on the status
of the clinical application of thyroid cancer biomarkers. RECENT FINDINGS: Our
understanding of the tumor cell biology of thyroid cancer of follicular cell
origin has improved and modern genomic technological tools are providing new data
that may have clinical ramifications. The common somatic genetic changes in
thyroid cancer of follicular cell origin (RET/PTC, NTRK, RAS, BRAF, PAX8
PPARgamma) are generally mutually exclusive, with distinct genotype-histologic
subtype of thyroid cancer and genotype-phenotype associations observed. Mutation
analysis in thyroid nodule fine needle aspiration biopsy has been applied to
improve the diagnostic accuracy of fine needle aspiration biopsy and cytologic
examination. Gene expression profiling studies have identified numerous
diagnostic biomarkers of thyroid cancer that are beginning to be applied in fine
needle aspiration biopsy samples to improve diagnosis. The BRAF mutation has
recently been shown to be associated with disease aggressiveness, and as an
independent prognostic biomarker. SUMMARY: There has been significant progress
toward identifying biomarkers that could improve the accuracy of fine needle
aspiration biopsy in the evaluation of patients with thyroid nodule and
predicting disease aggressiveness. Future clinical trials evaluating the accuracy
and cost-effectiveness of applying these biomarkers in the management of thyroid
neoplasm should be considered.
PMID- 18043252
TI - Novel chemotherapy options for advanced thyroid tumors: small molecules offer
great hope.
AB - PURPOSE OF REVIEW: Endocrine tumors are often overlooked in medical oncology
discussions, as many of them are effectively cured by surgery alone or surgery
plus an ablative radiation therapy. For the rare aggressive endocrine cancers
that are widely metastatic or rapidly progressive, however, the role of the
medical oncologist becomes more important. To date, conventional chemotherapy has
not had a significant impact on the natural history of these malignancies. This
has led to the evaluation of novel compounds; some of which have already entered
into randomized clinical trials. This review will focus on the advances made in
the treatment of advanced thyroid cancer, the commonest of endocrine
malignancies. RECENT FINDINGS: A growing understanding of molecular oncology has
allowed the development of targeted agents in different types of thyroid cancer.
Some agents presently being evaluated in clinical trials include inhibitors of
angiogenesis (sorafenib, CA4P, axitinib and vandetanib), the epidermal growth
factor receptor (gefitinib, vandetanib) and RET protein (vandetanib). Preliminary
results from these studies will be reviewed in this paper. SUMMARY: The recent
explosion of targeted agents available for study has generated enthusiasm for
oncologists treating thyroid cancer. Antiangiogenesis strategies in particular
appear promising. RET inhibition in medullary thyroid cancer is also being
explored. Further clinical trials will determine which of these will enter the
clinic in the near future.
PMID- 18043253
TI - Sporadically occurring functional pancreatic endocrine tumors: review of recent
literature.
AB - PURPOSE OF REVIEW: Pancreatic neuroendocrine tumors are rare neoplasms often
associated with a clinical syndrome. Their rarity makes a comprehensive study
difficult at any single institution, while their uniqueness makes them desirable
for investigation. This review summarizes recent information and advancements
concerning pancreatic neuroendocrine tumor diagnosis, imaging characteristics,
treatment algorithms, and staging. RECENT FINDINGS: Insulinomas and gastrinomas
comprise the majority of functional pancreatic neuroendocrine tumors. Advances in
their identification and diagnostic evaluation, imaging techniques, and treatment
algorithms are presented. Furthermore, a new staging classification system has
been proposed which may significantly improve the ability to conduct future multi
institutional investigations on pancreatic neuroendocrine tumors. SUMMARY:
Although rare, a thorough understanding of pancreatic neuroendocrine tumors is
essential for all physicians due to the wide variety of symptoms with which
patients present. Currently, patients are often misdiagnosed for extended periods
of time. This review summarizes the recently published literature about
diagnosis, imaging, treatment, and staging of pancreatic neuroendocrine tumors.
PMID- 18043254
TI - Current management of adrenal tumors.
AB - PURPOSE OF REVIEW: Adrenal tumors evoke considerable interest and diagnostic
challenges. This rare group of tumors includes functional tumors with a gamut of
clinical presentations, as well as adrenocortical carcinoma, with its advanced
disease at presentation and dismal prognosis posing additional challenge.
Increasing detection of incidentalomas adds further interest with the concomitant
diagnostic and management dilemmas. RECENT FINDINGS: Significant advances have
been made in diagnostic imaging modalities for identifying malignancy risk in
adrenal incidentalomas. Considerable progress has occurred in understanding
adrenocortical carcinoma pathogenesis from the study of genetics at the germline
level in familial carcinomas, as well as at the somatic level by analyzing
molecular alterations in sporadic tumors; this research supplies opportunities to
develop novel therapeutic agents against a tumor with poor prognosis. SUMMARY:
Laparoscopic adrenalectomy has emerged as standard of care in the treatment of
functional benign adenomas and nonfunctional tumors larger than 4 cm when
adrenocortical carcinoma is not suspected. Open adrenalectomy with en-bloc
excision has been the mainstay for primary and recurrent adrenocortical carcinoma
due to the lack of effective adjuvant therapy. International consensus
conferences have attempted to standardize diagnostic and treatment approaches in
the management of adrenal tumors; further research is necessary.
PMID- 18043255
TI - Health services research in endocrine surgery.
AB - PURPOSE OF REVIEW: We review recent health services research studies examining
clinical and economic outcomes in endocrine surgery. RECENT FINDINGS: Recent
studies have focused on such important issues as the use of the Internet in
medicine, patient quality of life, cost-effectiveness of emerging surgical
technologies, and labor-force modeling. There is a need for accurate and
informative websites dedicated to thyroid disease, given the large number of
patients who use the Internet for healthcare information. Debate continues about
the relative merits of medical and surgical therapy for primary
hyperparathyroidism; based on measurements of quality of life and cost
effectiveness, parathyroidectomy appears to be favored. Surgical outcomes studies
have shown parathyroidectomy to be safe in octogenarian and nonagenarian patients
with primary hyperparathyroidism. Sophisticated work-force projections suggest
that the supply of endocrine surgeons will grow over the next 15 years, but will
be outpaced by the anticipated demand. SUMMARY: Health services research is a
burgeoning field of investigation in endocrine surgery. It needs to be developed
to improve the quality of care of patients with thyroid, parathyroid, adrenal and
endocrine pancreatic diseases.
PMID- 18043256
TI - Primary hyperparathyroidism.
AB - PURPOSE OF REVIEW: This article reviews the diagnosis and treatment of primary
hyperparathyroidism, including recent literature on the subject. RECENT FINDINGS:
Important recent advancements in the field of parathyroid disease include
improvements in preoperative localization, the use of intraoperative parathyroid
hormone monitoring, and the development of minimally invasive and videoscopic
surgical techniques. Additionally, there has been significant interest in better
understanding the clinical changes and presentation of sporadic primary
hyperparathyroidism, including the assessment of neurocognitive symptoms before
and after surgery. This has led to a change in the definition of the
'asymptomatic patient' and altered the criteria used to trigger surgical
intervention. SUMMARY: Although the cause of primary hyperparathyroidism is still
poorly understood, surgical parathyroidectomy results in long-term cure in
greater than 95% of cases. Improvements in our understanding of this disease
continue to make diagnosis and treatment safer and more effective.
PMID- 18043257
TI - Differentiated thyroid cancer in children: diagnosis and management.
AB - PURPOSE OF REVIEW: Differentiated thyroid cancer is the most common endocrine
malignancy in children. In 2006, the American Thyroid Association Guidelines
Taskforce released detailed management recommendations for differentiated thyroid
cancer, which primarily addressed the approach for treating adult patients.
Children with differentiated thyroid cancer present with more advanced disease
and yet have a more favorable outcome than adults. Thus optimal treatment for
younger patients with differentiated thyroid cancer may differ from that for
adults. RECENT FINDINGS: All available data regarding differentiated thyroid
cancer treatment in children are retrospective. In the past year, several large
case series have been published that strengthen the argument for total
thyroidectomy at the time of diagnosis, followed by administration of radioactive
iodine for remnant ablation. There have also been recent advances in
understanding the genetic abnormalities associated with pediatric thyroid cancer.
SUMMARY: The optimal treatment of differentiated thyroid cancer in pediatric
patients continues to be debated. Recent publications from institutions around
the world provide useful data regarding current approaches to this unusual
disease. Further collaborative studies are needed to further refine the surgical
approach, particularly the extent of lymph-node dissection, radioactive iodine
dosing, and the role of genetic analysis in diagnosis and clinical approach.
PMID- 18043258
TI - Systematic analyses of the cancer genome: lessons learned from sequencing most of
the annotated human protein-coding genes.
AB - PURPOSE OF REVIEW: The availability of a reference human genome sequence has
enabled unbiased mutational analyses of tumor genomes to identify the mutated
genes that cause cancer. This review discusses recent insights from such analyses
of protein-coding genes in breast and colorectal cancers. RECENT FINDINGS:
Mutational analyses of approximately 18,000 human protein-coding genes in breast
and colorectal cancers have identified 280 candidate cancer genes. These include
known cancer genes, but most had not previously been linked to cancer. There are
few frequently mutated cancer genes among hundreds of less frequently mutated
candidate cancer genes, and the compendium of mutated genes differs among tumors
of the same tissue origin. SUMMARY: Recent work has shown the feasibility of
coding cancer genome sequencing, and new technologies promise to facilitate these
mutational analyses. Whereas cancer genetics can identify candidate genes in a
rapid and scalable fashion, careful functional studies of mutated genes are
required for ultimate proof of cancer gene status and translation into clinical
utility. The rapid progress of cancer genetics has yielded novel diagnostic and
therapeutic modalities, and cancer genome sequencing will accelerate this
development to the benefit of cancer patients.
PMID- 18043259
TI - Integrative oncogenomic approaches for accelerated cancer-gene discovery.
AB - PURPOSE OF REVIEW: The completion of the human genome project has enabled several
new technologies for studying cancer genetics and cancer genomes. However,
genomic instability and heterogeneity of human tumors impedes a straightforward
cataloging of cancer genes and possible therapeutic targets. Strategies enabling
the distinction of causal genetic alterations from bystander genomic noise are
needed and should significantly speed up the process of cancer-gene discovery.
RECENT FINDINGS: A series of recent papers described the development of
integrative oncogenomic approaches based on innovative cancer mouse models and
how these can be used to speed up the discovery of new cancer genes. In the
presented studies, spontaneously acquired genetic alterations in mouse tumors of
defined genetic origin are used to filter/prioritize relevant lesions from
complex human cancer genomes. As will be discussed in this review, a great
advantage of this approach is that pinpointed candidate genes can be functionally
validated in the right genetic context in vivo, which significantly increases
confidence for later therapeutic development efforts. SUMMARY: The discussed
approaches hold great promise to speed up the process of cancer-gene discovery
and should be considered to complement time-consuming and costly endeavors like
the Cancer Genome Project.
PMID- 18043260
TI - Class IA phosphoinositide 3-kinase isoforms and human tumorigenesis: implications
for cancer drug discovery and development.
AB - PURPOSE OF REVIEW: The phosphoinositide 3-kinases are lipid kinases that are
activated in response to external factors. They regulate a number of
intracellular signaling pathways involved in cell motility, metabolism, survival,
and growth. This review summarizes the current knowledge about specific
contributions of Class IA phosphoinositide 3-kinases to tumorigenesis and
presents a rationale for the development of isoform-specific inhibitors. RECENT
FINDINGS: In the last decade, the Class IA phosphoinositide 3-kinases have gained
considerable attention as drug targets for the treatment of cancer. Indeed, pan
phosphoinositide 3-kinase inhibitors are being evaluated in early phases of
clinical trials for the treatment of multiple human malignancies. Accumulating
evidence suggests that selectively targeting individual isoforms is also
possible. However, the patient population that is most likely to benefit from
such selective compounds remains to be elucidated. SUMMARY: Given the importance
of the phosphoinositide 3-kinase pathway in the initiation and maintenance of
human tumors, drugs that effectively target its constituents will be an
invaluable addition to the arsenal of anticancer therapeutics. However, to fully
capitalize on the central role of this pathway in malignancy, we must first fully
understand the nuances of its multiple players.
PMID- 18043261
TI - Beyond the hypoxia-inducible factor-centric tumour suppressor model of von Hippel
Lindau.
AB - PURPOSE OF REVIEW: To provide an overview of the recent advances in the
understanding of the molecular mechanisms governing the tumour suppressor
functions of the von Hippel-Lindau protein. RECENT FINDINGS: von Hippel-Lindau is
a vital component of an E3 ubiquitin ligase complex involved in the oxygen
dependent targeting of hypoxia-inducible factor for ubiquitin-mediated
destruction. Recent reports have linked von Hippel-Lindau to the regulation of
diverse biological processes including cell adhesion, extracellular matrix
assembly and ciliogenesis in a manner dependent and/or independent of hypoxia
inducible factor. SUMMARY: The tumour suppressor function of von Hippel-Lindau
has remained hypoxia-inducible factor-centric since the discovery of von Hippel
Lindau as a bona fide negative regulator of the ubiquitous oxygen-sensing
pathway. Emerging evidence supports this hypothesis with the elucidation of
fundamental cellular processes deregulated upon the inactivation of the von
Hippel-Lindau-hypoxia-inducible factor pathway, but has also proved compelling on
the hypoxia-inducible factor-independent tumour suppressor role of von Hippel
Lindau. These and continuing studies into the molecular pathways and mechanisms
governing the tumour suppressor functions of von Hippel-Lindau will ultimately
afford new avenues for anticancer strategies for the improved treatment of a
diverse array of cancers.
PMID- 18043262
TI - Restoration of p53 to limit tumor growth.
AB - PURPOSE OF REVIEW: p53 mutation occurs in over half of all human tumors. Among
the remaining tumors, although they may process a wild-type p53, the pathways of
p53-induced cell-cycle arrest and apoptosis are deficient. Therefore, p53 serves
as a unique molecular target for cancer therapy. This review focuses on the
current progress regarding restoration of p53 function in human tumors for
molecularly targeted therapy. RECENT FINDINGS: Targeting p53 for cancer therapy
has been intensively pursued. CP-31398 was the first small molecule identified
with the ability to restore the wild-type conformation to mutant p53.
Subsequently, PRIMA-1 and ellipticine were found to be able to induce mutant p53
dependent cell death. Nutlin was developed to rescue wild-type p53 from
degradation mediated by MDM2. More recently, p53 family members can be activated
and therefore serve as substitutes of p53 in tumor cells and induce cell death.
SUMMARY: Loss of p53 function is a characteristic of almost all human tumors.
Recent advances demonstrate that reconstitution of p53 function is possible and
practical as a promising antitumor strategy.
PMID- 18043263
TI - Therapeutic targeting of apoptosis pathways in cancer.
AB - PURPOSE OF REVIEW: Anti-apoptotic mechanisms contribute to the development of
cancer and the resistance of cancer cells to antitumor therapies. This review
focuses on the progress towards clinical application of therapies that directly
modulate the apoptosis pathways. RECENT FINDINGS: A growing understanding of the
mechanisms that control apoptosis has generated a number of strategies for
modulating apoptotic pathways, including activation of death receptors and
neutralization of anti-apoptotic proteins. Striking antitumor efficacy has been
achieved in preclinical cancer models. To date, early-phase testing has not yet
established the clinical utility of these strategies. SUMMARY: There is every
reason to be optimistic that the wealth of knowledge about the molecular controls
of apoptosis will eventually be translated into new clinical therapies for
cancer. It is likely that the optimum utility of these pro-apoptotic therapies
will be in combination with other treatment modalities, and careful patient
selection will be necessary.
PMID- 18043264
TI - Will targeted therapy hold its promise? An evidence-based review.
AB - (1) Many of the significant advances in cancer management in recent years have
centered on the development and introduction of molecularly targeted therapies,
such as monoclonal antibodies and tyrosine kinase inhibitors.(2) Despite targeted
therapy that has clearly benefited and even cured certain patients (eg, imatinib,
trastuzumab), the ultimate goal of curing cancer, and the more immediate goal of
replacing non-targeted chemotherapies with less toxic, targeted agents has yet to
be achieved for most cancer patients.(3) Based on a systematic review of
randomized controlled trials, examples of significant benefits in selected
cancers are provided:(a) Non-Hodgkin's lymphoma (NHL) - A large meta-analysis and
several individual randomised, controlled trials (RCTs) report that rituximab
plus chemotherapy has a major survival advantage over chemotherapy alone in
patients with NHL; an overview of six clinical trials supports the survival
benefit of rituximab plus chemotherapy.(b) Renal cell carcinoma (RCC) -
Temsirolimus or sunitinib has a significant survival benefit relative to
interferon-alpha, and sorafenib carries such a benefit in patients resistant to
standard therapy.(c) Colorectal cancer (CRC) - An overview of three RCTs in
metastatic CRC revealed that bevacizumab plus 5-fluorouracil/leucovorin possesses
a significant survival advantage over 5-fluorouracil/leucovorin and irinotecan/5
fluorouracil/leucovorin.(d) Non-small-cell lung cancer (NSCLC) - In refractory
NSCLC, erlotinib significantly prolongs survival, particularly in nonsmokers, and
gefitinib may have some utility in patients of Asian ethnicity.(e) Head and neck
squamous-cell carcinoma (HNSCC) - Cetuximab plus radiotherapy (versus
radiotherapy alone) significantly improves locoregional control and survival
(hazard ratio [HR] 0.68; p = 0.005) without worsening radiotherapy-related
toxicity.
PMID- 18043266
TI - Bibliography. Current world literature. Endocrine tumors and cancer biology.
PMID- 18043265
TI - State-of-the-art in the treatment of chronic myeloid leukaemia.
AB - PURPOSE OF REVIEW: To revise the current goals of therapy of chronic myeloid
leukaemia and to incorporate the influence of the underlying chronic myeloid
leukaemia biology on directing therapeutic management. RECENT FINDINGS: The
management of chronic myeloid leukaemia has been revolutionized by targeted
molecular therapy that inhibits the ABL kinase activity of the BCR-ABL gene. The
achievement of a major molecular response with the first tyrosine kinase
inhibitor to be introduced into clinical practice, imatinib, is a focus of
therapeutic regimens. Second-generation tyrosine kinase inhibitors are available
that have more potent effects than imatinib, and have activity against imatinib
resistant subclones. Strategies to overcome the restoration of BCR-ABL signalling
and subsequent resistance to therapy include imatinib dose escalation, a more
potent tyrosine kinase inhibitor, as well as non-BCR-ABL-dependent approaches and
agents in clinical development. SUMMARY: Therapeutic advances in chronic myeloid
leukaemia continue to circumvent the challenges of drug resistance and the
minimal residual leukaemic burden providing effective strategies for future
therapy.
PMID- 18043268
TI - Back to the future. Asthma.
PMID- 18043269
TI - Recent evidence for adverse effects of residential proximity to traffic sources
on asthma.
AB - PURPOSE OF REVIEW: A growing body of evidence indicates that residential
proximity to traffic sources increases the risk for asthma and asthma
exacerbations. In this review we have considered publications from 2006-2007 that
examined the impact of residential traffic-related exposures on asthma occurrence
and severity. RECENT FINDINGS: In these studies, exposures were estimated using
traffic metrics based on residential distances from major roads and freeways,
traffic densities around homes, and models of traffic exposure. Overall,
residential proximity to traffic sources was associated with increased asthma
occurrence and exacerbations in both children and adults. Land-use regression
models were superior to individual traffic metrics in explaining the variability
of traffic-related pollutants. Susceptibility may also play a role in variation
in the effects of traffic on asthma. SUMMARY: There is consistent evidence that
living near traffic sources is associated with asthma occurrence and
exacerbations. Future studies have the opportunity to improve exposure estimates
by measuring traffic-related pollutants near homes and schools and including
time/activity patterns in prediction models. Further research is also warranted
to investigate the differential impact of traffic by genetic and other
susceptibility factors and to identify specific pollutants that underlie the
adverse effect of traffic on asthma.
PMID- 18043270
TI - Asthma and food allergy.
AB - PURPOSE OF REVIEW: Food allergy associated clinical and social burdens have
increased substantially in prevalence in the past decade. Coexisting asthma is a
significant problem as food reactions tend to be more severe when they involve
the lung. Food allergy also increases asthma morbidity in adults and children.
RECENT FINDINGS: Especially in early infancy, food allergy can be related to the
development of future asthma. For diagnosis, cut-off values are very important
for both specific IgE levels and skin-prick test when interpreting food allergy.
For the treatment, oral immunotherapy is showing promise for refractory patients
with IgE-mediated food allergies. SUMMARY: Food allergies can be classified as
IgE-mediated or non-IgE-mediated. Besides foods, some additives and preservatives
can also trigger asthma in certain people. Asthma may develop in about 5% of
individuals who suffer from food allergy and current asthma may be triggered by
foods among 6-8% of children and 2% of adults. Because of the difficulty of
confirming diagnosis of food allergy, novel approaches are currently being
investigated and new therapy modalities are being sought. For total asthma
control and for better quality of life, steps should be taken to avoid foods in
cases of food allergy.
PMID- 18043271
TI - Near-fatal asthma: recognition and management.
AB - PURPOSE OF REVIEW: Near-fatal asthma continues to be a significant problem
despite the decline in overall asthma mortality. The purpose of this review is to
discuss recent advances in our understanding of the pathophysiology, diagnosis
and treatment of near-fatal asthma. RECENT FINDINGS: Two distinctive phenotypes
of near-fatal asthma have been identified: one with eosinophilic inflammation
associated with a gradual onset and a slow response to therapy and a second
phenotype with neutrophilic inflammation that has a rapid onset and rapid
response to therapy. Patients who develop sudden-onset near-fatal asthma seem to
have massive allergen exposure and emotional distress. In stable condition, near
fatal asthma frequently cannot be distinguished from mild asthma. Diminished
perception of dyspnea plays a relevant role in treatment delay, near-fatal
events, and death in patients with severe asthma. Reduced compliance with anti
inflammatory therapy and ingestion of medications or drugs (heroin, cocaine) have
been associated with fatal or near-fatal asthma. SUMMARY: Near-fatal asthma is a
subtype of asthma with unique risk factors and variable presentation that
requires early recognition and aggressive intervention.
PMID- 18043272
TI - Physiologic similarities and differences between asthma and chronic obstructive
pulmonary disease.
AB - PURPOSE OF REVIEW: This review examines the physiologic mechanisms responsible
for persistent maximum expiratory airflow limitation in nonsmoking patients with
acute and chronic moderate to severe persistent asthma in comparison to chronic
obstructive pulmonary disease. RECENT FINDINGS: The phenomenon of acute but
reversible loss of lung elastic recoil during acute asthma is reviewed, although
no plausible pathophysiologic explanation has been offered. Nonsmoking adults
with stable asthma and persistent maximum expiratory airflow limitation, despite
optimal polytherapy, were shown to have unsuspected and unexplained marked loss
of lung elastic recoil in the absence of lung computed tomography scored
emphysema. This condition resulted in up to 50% reduction in maximum expiratory
airflow. Furthermore, these patients remain at high risk for adverse clinical
events, including near-fatal asthma. In chronic obstructive pulmonary disease,
reduction in maximum expiratory airflow is related to variable extent of loss of
lung elastic recoil secondary to emphysema and concurrent intrinsic airway
obstruction or obliteration of small airways. There is also an unexplained loss
of lung elastic recoil in primary intrinsic small airways disease in the absence
of emphysema. SUMMARY: Nonsmoking patients with moderate-severe persistent asthma
and patients with smoking-related chronic obstructive pulmonary disease share
similar physiologic mechanisms of expiratory airflow limitation, but probably
caused by different anatomic abnormalities.
PMID- 18043273
TI - Pathologic similarities and differences between asthma and chronic obstructive
pulmonary disease.
AB - PURPOSE OF REVIEW: Classically, asthma and chronic obstructive pulmonary disease
present distinct clinical, physiologic and pathologic features. However, not
infrequently, patients may present with overlapping clinical symptoms and
physiological abnormalities: patients with severe asthma may present with fixed
airway obstruction and patients with chronic obstructive pulmonary disease may
have hyperresponsiveness and eosinophilia. At pathological level, inflammatory
and structural similarities also occur and may be related to the phenotypic
overlaps. RECENT FINDINGS: In patients with asthma overlaps at inflammatory level
exist with chronic obstructive pulmonary disease, such as increased neutrophilia
in patients with severe asthma or an association of CD8+ T cells and lung
function decline. In chronic obstructive pulmonary disease, minimizing
eosinophilia may be important to reduce exacerbations. Structural alterations
occur in both diseases, but involving airway compartments differently. Airway
epithelial changes, extracellular matrix deposition and mucus gland hypertrophy
occur in both diseases. Asthmatics have thicker reticular basement membrane and
more prominent smooth-muscle abnormalities, whereas emphysema is a distinct
feature of chronic obstructive pulmonary disease. SUMMARY: Recognizing the
differences and similarities at pathological level in both diseases may lead to a
better understanding of the overlapping clinical and physiological phenotypes,
thereby helping to better plan specific treatment and long-term management.
PMID- 18043274
TI - Provocative challenges to help diagnose and monitor asthma: exercise,
methacholine, adenosine, and mannitol.
AB - PURPOSE OF REVIEW: To review bronchial provocations tests used in the measurement
of bronchial hyperresponsiveness to help in the diagnosis of asthma. RECENT
FINDINGS: The bronchial provocations tests reviewed include exercise,
methacholine, AMP and mannitol, with reference to methodology and monitoring of
treatment. SUMMARY: Methacholine is used for identifying bronchial
hyperresponsiveness and to guide treatment. Exercise is used as a bronchial
provocation test because demonstrating prevention of exercise-induced asthma is
an indication for use of a drug. Both of these tests are being used to study
tolerance to beta2 agonists. There is increasing use of eucapnic voluntary
hyperpnea as a surrogate bronchial provocation test for exercise to identify
exercise-induced asthma, particularly in athletes. For methacholine and AMP there
is concern about the different breathing patterns used to inhale these aerosols
and the impact they have on the cutoff point for identifying bronchial
hyperresponsiveness. A new test that uses a kit containing prepacked capsules of
different doses of mannitol and a delivery device is discussed. There is
increasing interest in using tests that act indirectly by release of mediators
because the bronchial hyperresponsiveness itself is an indicator of the presence
of inflammation. Since treatment of inflammation leads to loss of bronchial
hyperresponsiveness to indirect stimuli, these tests are well suited to identify
success of treatment.
PMID- 18043275
TI - Guidelines management of asthma in a busy urban practice.
AB - PURPOSE OF REVIEW: The purpose of this article is to review how optimal asthma
management can be applied in a variety of clinical settings that are used to care
for high-risk, urban asthma patients, especially those who live in the inner
city, utilizing the 2007 National Heart, Lung, and Blood Institute/National
Asthma Education Prevention Program 'Guidelines for the diagnosis and management
of asthma', and adapting them to be applied in a variety of urban clinical
settings, independent of practice infrastructure. RECENT FINDINGS: Previous
asthma guidelines stressed asthma severity classification. A renewed focus on
asthma control has led to better asthma outcomes. Consequently, it was deemed
necessary to establish a new asthma paradigm that characterizes both asthma
severity and control within the context of current impairment and future risk.
This new paradigm can be effectively applied to high-risk, inner-city asthma
patients, while allowing for individualization of care within systems of varied
healthcare delivery infrastructures. SUMMARY: Assessment of asthma severity and
control, with special emphasis on literacy and ethno-cultural beliefs and
philosophies, will facilitate appropriate adaptations of long-term asthma
management to provide optimal outcomes in urban asthmatics. It is essential to
anticipate the worst-case, while planning for the best-case scenario.
PMID- 18043276
TI - Role of long-acting beta2-adrenergic agonists in asthma management based on
updated asthma guidelines.
AB - PURPOSE OF REVIEW: This review examines the role of long-acting beta2-adrenergic
agonists in the management of asthma, particularly focusing on recommendations in
the newly revised Global Initiative for Asthma (GINA) and National Heart, Lung,
and Blood Institute (NHLBI) asthma guidelines. RECENT FINDINGS: GINA guidelines
recommend increasing inhaled corticosteroid doses in all children with asthma not
controlled on low-dose inhaled corticosteroids before adding a long-acting beta2
adrenergic agonist, whereas NHLBI guidelines have different age-based
recommendations for children. In patients younger than 5 years, NHLBI guidelines
recommend increasing the inhaled corticosteroid dose before adding a long-acting
beta2-adrenergic agonist; in children aged 5-11 years, equal weight is given to
increasing the inhaled corticosteroid dose or including add-on therapy to low
dose inhaled corticosteroids. In adults and adolescents aged 12 years and older,
GINA recommends adding long-acting beta2-adrenergic agonists to low-dose inhaled
corticosteroids over increasing the inhaled corticosteroid dose. NHLBI guidelines
give equal weight to these choices, with alternative, although not preferred,
therapies including the addition of theophylline, zileuton, or leukotriene
receptor antagonists to low-dose inhaled corticosteroids. SUMMARY: In the
recently updated GINA and NHLBI asthma guidelines, long-acting beta2-adrenergic
agonists are an important class of agents for the management of persistent asthma
in patients whose asthma is not well controlled with inhaled corticosteroid
monotherapy.
PMID- 18043277
TI - Safety of long-acting beta-agonists in asthma: a review.
AB - PURPOSE OF REVIEW: This review highlights a growing literature regarding the
safety of long-acting beta-2 agonists as add-on therapy to inhaled
corticosteroids. RECENT FINDINGS: Two studies have demonstrated a mortality
increase with use of long-acting beta-2 agonists in asthmatic patients. They were
not well controlled and thus raise the question of whether this mortality
increase was the result of using long-acting beta-2 agonists as monotherapy or
whether there is some rare susceptibility to an untoward effect of this class of
medicine. SUMMARY: When inhaled corticosteroids and long-acting beta-2 agonists
are used in combination, prospective studies demonstrate improvement in asthma
control and exacerbation rate. Two studies showed an increase in asthma mortality
with long-acting beta-2 agonists, but they allowed beta-2 agonists to be used as
monotherapy and did not address the safety of their appropriate use in
conjunction with inhaled corticosteroids. Although the majority of asthmatic
patients appear to benefit from the use of long-acting beta-2 agonists, a small
subclass may be prone to deleterious effect. It is uncertained whether this is
some rare susceptibility to these drugs, or, more likely, that this is the
consequence of monotherapy with long-acting beta-2 agonists controlling the signs
and symptoms while masking inflammation.
PMID- 18043278
TI - The role of magnesium sulfate in the acute and chronic management of asthma.
AB - PURPOSE OF REVIEW: Acute asthma is common and severe exacerbations may result in
hospitalization, need for endotracheal intubation and, rarely, death. Standard
emergency care includes systemic corticosteroids and bronchodilators, but
additional treatments may be required in severe cases. We summarize the
substantial evidence regarding the role of MgSO4 in acute asthma and comments on
the potential benefit in chronic asthma. RECENT FINDINGS: Inhaled and intravenous
MgSO4 agents are used in the treatment of acute asthma, but only the intravenous
formulation is effective. In severe asthma exacerbations, a single bolus dose of
intravenous MgSO4 reduces admissions and improves pulmonary functions. Inhaled
MgSO4 is more effective than placebo as a bronchodilator, but performs no better
than salbutamol and there is no apparent synergy when the two are combined.
Whereas intravenous MgSO4 treatments appear to be effective, long-term
'replacement' therapy with magnesium does not appear to affect chronic asthma.
SUMMARY: Evidence suggests that intravenous MgSO4 is beneficial in acute asthma,
while the evidence for inhaled MgSO4 is less convincing. Moreover, the role of
replacement therapy with oral magnesium remains to be clarified. The use of
intravenous MgSO4 remains uncommon, with emergency physicians appropriately
restricting its use to patients with severe exacerbations.
PMID- 18043279
TI - New interventions in asthma including bronchial thermoplasty.
AB - PURPOSE OF REVIEW: This article focuses on two novel asthma therapies -
antibiotics and a procedure, bronchial thermoplasty. The challenges of
identifying which treatment would best help an individual patient can be
addressed by use of noninvasive measurements to define their asthma. RECENT
FINDINGS: Asthma is heterogeneous. Methods can be applied that define different
phenotypes. We can now obtain a more detailed description of physiological
changes, for example with bronchial provocation, and inflammatory changes, for
example with exhaled nitric oxide or sputum cell analysis, in patients with
airway symptoms. These measurements help define disease mechanisms and are
especially informative when patients do not respond to standard therapy.
Furthermore, detailed phenotyping may help identify who is most likely to benefit
from newly developed, more specific therapies ranging from antagonists of
individual mediators, for example anti-tumor necrosis factor-alpha or anti
immunoglobulin E, to interventions that directly address structural determinants
of asthma, for example bronchial thermoplasty. SUMMARY: Asthma treatment is
evolving beyond the current cornerstones of bronchodilation, leukotriene
antagonism and corticosteroids. This change will be propelled by a more detailed
description of individual patients' disease that will enable customization of
treatment, and the development of specific interventions that modify disease
mechanisms, including airway remodelling.
PMID- 18043280
TI - Bibliography. Current world literature. Asthma.
PMID- 18043281
TI - Global expression analysis of prostate cancer-associated stroma and epithelia.
AB - Characterization of gene expression profiles in tumor cells and the tumor
microenvironment is an important step in understanding neoplastic progression. To
date, there are limited data available on expression changes that occur in the
tumor-associated stroma as either a cause or consequence of cancer. In the
present study, we employed a 54,000 target oligonucleotide microarray to compare
expression profiles in the 4 major components of the microenvironment: tumor
epithelium, tumor-associated stroma, normal epithelium, and normal stroma. Cells
from 5 human, whole-mount prostatectomy specimens were microdissected and the
extracted and amplified mRNA was hybridized to an Affymetrix Human Genome U133
Plus 2.0 GeneChip. Using the intersection of 2 analysis methods, we identified
sets of differentially expressed genes among the 4 components. Forty-four genes
were found to be consistently differentially expressed in the tumor-associated
stroma; 35 were found in the tumor epithelium. Interestingly, the tumor
associated stroma showed a predominant up-regulation of transcripts compared with
normal stroma, in sharp contrast to the overall down-regulation seen in the tumor
epithelium relative to normal epithelium. These data provide insight into the
molecular changes occurring in tumor-associated stromal cells and suggest new
potential targets for future diagnostic, imaging, or therapeutic intervention.
PMID- 18043282
TI - Evaluation of whole genome amplification protocols for array and oligonucleotide
CGH.
AB - Genome-based technologies such as genomic arrays and next generation sequencing
are poised to make significant contributions to clinical oncology. However,
translation of these technologies to the clinic will require that they produce
high-quality reproducible data from small archived tumor specimens and biopsies.
Herein, we report on a systematic and comprehensive microarray analysis comparing
multiple whole genome amplification methods using a variety of difficult clinical
specimens, including formalin-fixed and paraffin-embedded tissues. Quantitative
analysis and clustering suggest that Sigma's whole genome amplification protocol
performed best on all specimens and, moreover, worked well with a formalin-fixed,
paraffin-embedded biopsy.
PMID- 18043283
TI - Fluorescence in situ hybridization (FISH) as primary methodology for the
assessment of HER2 Status in adenocarcinoma of the breast: a single institution
experience.
AB - The demand for both reflexed and primary fluorescence in-situ hybridization
(FISH) testing in the clinical setting is increasing. Relevant literature has
reported the incidence of HER2 overexpression in 20% to 30% of cases, but some
reports suggest that HER2 gene amplification rates are substantially lower.
Published data, however, on primary FISH assessment from a single institution is
limited, especially information about the frequency of the anomalous genotypes
defined by FISH. We report our experience with primary FISH testing in 742
consecutive cases of breast cancer, in the calendar year 2006. Eighty percent
(595/742) of the breast cancer cases were not amplified for HER2 (HER2/CEP17=0.8
1.9), whereas 19% (142/742) of cases were HER2 amplified (HER2/CEP17>or=2.0).
Among the HER2-amplified cases, 3% (19/742) were low-level amplified (HER2/CEP17
ratio=2.0-2.5). Genotypic heterogeneity, defined as >5% but <50% of the tumor
cells demonstrating HER2 gene amplification, was observed in 5% (40/7242) of the
cases. HER2 monoallelic deletion (HER2/CEP1780% of tumor cells)
was observed in 2% (13/742). Polysomy, if defined as CEP17 spot count 3.0 or more
in at least 80% of tumor cells, was observed in 3% (20/742) of the cases. These
data may be helpful as benchmarks for other institutions initiating primary FISH
analysis for HER2 genotyping.
PMID- 18043284
TI - Molecular and immunohistochemical analysis of ERBB2 expression in correlation
with proliferation rate in synovial sarcoma.
AB - The aim of the study was to determine whether or not the tyrosine kinase receptor
ERBB2 is overexpressed in synovial sarcomas (SSs). We also focused on the cell
cycle-related nuclear protein-Ki-67. Thirty-two samples were available for
immunohistochemistry and only 1 case revealed a weak diffuse membrane ERBB-2
staining. The remaining cases showed either no staining (20 cases) or weak focal
membrane staining (9 cases). In our 3 highly overexpressed ERBB2 mRNA samples,
fluorescence in situ hybridization showed no amplification of the ERBB2 gene.
ERBB2 mRNA expression was present in all samples of SSs at a comparable level to
that in breast carcinoma control group, with a 2+ or 3+ immunopositivity. The
high level of ERBB2 mRNA expression correlated with a high level of Ki-67 mRNA.
The level of Ki-67 mRNA correlated with Ki-67 protein expression. The study shows
that ERBB2 mRNA expression is very strong in SSs, but the membrane ERBB-2 protein
expression is practically absent.
PMID- 18043285
TI - Molecular differentiation of early and late stage laryngeal squamous cell
carcinoma: an exploratory analysis.
AB - BACKGROUND: A current shortcoming in cancer prognostication and treatment is a
lack of methods that adequately address the complexity and diversity of the
disease. Prognostic marker systems based on single parameters have generally
proven inadequate. Thus, multiparametric methods, which rely on many pieces of
information, are ideally suited to the grouping of tumor subtypes and the
identification of specific patterns of disease progression. DESIGN: This study
investigated, on an exploratory basis, whether genome wide alterations of loss
and gain, using a panel of 122 gene probes (112 unique genes), discriminated
between early stage (stage 1 and 2) and late stage (stage 3 and 4) laryngeal
squamous cell carcinomas (LSCC). The LSCC cohort comprised 29 patients, 12 early
and 17 late staged. Formalin-fixed LSCC DNA was interrogated by a genome wide
candidate gene panel (122 genes) using the multiplex ligation-dependent probe
amplification assay. RESULTS: Statistical analysis employed the nonparametric
Wilcoxon 2-sample test. Significant differences between tumor stages of early
versus late were seen for the following genes: ERBB4, CASP2, RECQL4, and BCL7A.
Loss of ERBB4 (P=0.045) and BCL7A (P=0.019) significantly discriminated between
early and late stage LSCC. Gain of RECQL4 copy number (P=0.043) was associated
with late LSCC. Gain of CASP2 (P=0.043) marked early LSCC, whereas loss was
associated with late LSCC. CONCLUSIONS: High-throughput genome wide approaches
have the potential to yield discrete gene repertoires of early and late stage
LSCC differentiation.
PMID- 18043286
TI - The E-cadherin repressor snail plays a role in tumor progression of endometrioid
adenocarcinomas.
AB - Endometrial cancer is the most common gynecologic cancer in the developed world.
The cell-adhesion protein E-cadherin acts as a tumor-suppressor protein and is
down-regulated by the transcription factor Snail, whose expression was shown to
be associated with estrogen receptor signaling. This study aimed to investigate
the expression of E-cadherin, Snail, and estrogen-receptor alpha in 87 primary
tumors and 26 metastases of endometroid endometrial carcinomas. Reduced E
cadherin immunoreactivity was seen in 44.8% of the primary tumors and 65.4% of
the metastases with a statistical correlation to higher tumor grade (P=0.003)
only in metastatic lesions. About 28.7% of primary tumor specimens showed a
positive Snail immunoreactivity that was correlated with reduced estrogen
receptor alpha expression (P=0.047). Positive Snail immunoreactivity was also
seen in 53.8% of the metastases where it was correlated with higher tumor grade
(P=0.003) and abnormal E-cadherin expression (P=0.003). Interestingly, a Snail
expressing endometrial carcinoma-cell line showed a higher migration potential
than a variant of this cell line with low levels of Snail. Taken together, our
data are in line with a proposed role for Snail in endometrial tumor progression.
PMID- 18043287
TI - Hedgehog pathway expression in heterogeneous pancreatic adenocarcinoma:
implications for the molecular analysis of clinically available biopsies.
AB - Recent studies suggest that hedgehog (HH)-pathway signaling is required for the
initiation and continued growth of pancreatic adenocarcinoma (PAC). Definitive
gene expression analysis of PAC remains difficult, owing to the host desmoplastic
stromal interaction and subsequent tumor heterogeneity. The primary goal of this
study was to evaluate the effect of heterogeneity within a series (n=5) of
matched clinical PAC biopsies [snap-frozen, formalin-fixed paraffin-embedded
(FPE), endoscopic ultrasound-guided fine-needle aspirate (EUS-FNA)]. Differential
expressions, specific to tumor cells, were evaluated by comparisons of uninvolved
pancreas (n=9), EUS-FNA (n=14), and macrodissected (tumor-cell-enriched) biopsies
(n=16). To determine whether treatment modulates gene expression, a unique
(independent) set of synchronous EUS-FNA samples (n=4) was obtained before, and 2
weeks after, chemoradiation. mRNA levels were evaluated using real-time
quantitative polymerase chain reaction formatted in a TaqMan low-density array,
which was capable of simultaneously quantifying 46 independent genes in the HH
pathway. Protein levels for Patched, Smoothened, and glioma-associated oncogene 1
(Gli-1) in FPE tissues were determined, using immunohistochemistry. A significant
concordance (P<0.0001) was observed in the HH-pathway mRNA levels between matched
surgically resected (both snap-frozen and FPE) and EUS-FNA biopsies. HH-pathway
mRNA levels changed (increased) only after macrodissection, suggesting
localization to tumor cells. Immunohistochemical staining for Patched,
Smoothened, and Gli-1 confirmed the increased (P<0.001) levels of protein in the
PAC cells, compared with cells from uninvolved pancreas. EUS-FNA biopsies that
were obtained before and during chemoradiation demonstrated no significant
changes in HH-pathway gene expression. Collectively, these studies demonstrate
presence of HH-pathway expression in all the clinical PAC biopsies examined,
suggesting that this is a significant tumor-associated target and offering the
possibility that specific molecular profiling might be attempted from these
heterogeneous tissues.
PMID- 18043288
TI - A novel mitochondrial DNA tRNAIle (m.4322dupC) mutation associated with
idiopathic dilated cardiomyopathy.
AB - We identified a novel heteroplasmic mitochondrial DNA (mtDNA) (m.4322dupC)
mutation in tRNA gene associated with isolated dilated cardiomyopathy (DCM) as
maternal trait. Mutation screening techniques and automated DNA sequencing were
performed to identify mtDNA mutations and to assess heteroplasmy in family's
proband and healthy control subjects. All family members tested had heteroplasmic
mtDNA m.4322dupC mutation. We also screened 350 normal controls for this mutation
and found no evidence of heteroplasmy. The m.4322dupC mutation was found in the
skeletal tissue from the proband that exhibited slightly reduced deficiency of
mitochondrial respiratory chain enzymes (complex III). The present study reports
the novel m.4322dupC mutation in tRNA gene, which is possibly associated to the
disease, to isolated DCM. It was localized in a hot-spot region for mutations and
is possibly pathogenic because of a cosegregation with the matrilineal
transmission of DCM.
PMID- 18043289
TI - Malignant ectomesenchymoma: genetic profile reflects rhabdomyosarcomatous
differentiation.
AB - Malignant ectomesenchymoma (MEM) represents a heterogeneous group of tumors, most
likely originating from pluripotent primitive neural crest cells. In this report,
we present an 8-month-old infant boy with an MEM on the left scrotum.
Retrospective review of the incision biopsy showed the presence of a few ganglion
cells in an otherwise classic embryonal rhabdomyosarcoma (RMS), whereas in the
resection specimen after chemotherapy the combined RMS and ganglioneuroma
components were very obvious. Cytogenetic analysis of the residual lesion showed
an abnormal karyotype, 49, XY, +2, -6, +11, +20, +mar, with a hyperploidy in a
subset of cells. By fluorescence in situ hybridization analysis, the marker
chromosome was identified as originating from chromosome 6, and the tumor cells
were negative for PAX3/PAX7 disrupting translocations specific for alveolar RMS.
Gains of chromosomes 2, 11, and 20, found in the current case, are a common
finding in embryonal RMS. These gains probably reflect the myogenic
differentiation of MEM and support the genetic link between these 2 neoplasms. In
addition to the conventional cytogenetics, array comparative genomic
hybridization analysis was performed on the primary and residual tumors. The
genomic profiles of both specimens were basically the same including the presence
of 2 distinctive chromosome 6p21.32-p21.2 and 6p11.2 amplification regions in the
primary tumor, which vanished in the postchemotherapy specimen. The pretreatment
biopsy exhibited strong expression of HMGA1 and HMGA2 proteins in
immunohistochemistry, with the shift toward the loss of expression of both genes
in the posttreatment tumoral tissue. This finding supports the oncogenic
properties of the HMGA family of proteins and their role in the process of
malignant transformation.
PMID- 18043290
TI - Future perspectives in cardiac rehabilitation: a new European Association for
Cardiovascular Prevention and Rehabilitation Position Paper on 'secondary
prevention through cardiac rehabilitation'.
PMID- 18043291
TI - Climate change and preventive medicine.
AB - Thermal stress, food poisoning, infectious diseases, malnutrition, psychiatric
illness as well as injury and death from floods, storms and fire are all likely
to become more common as the earth warms and the climate becomes more variable.
In contrast, obesity, type II diabetes and coronary artery disease do not result
from climate change, but they do share causes with climate change. Burning fossil
fuels, for example, is the major source of greenhouse gases, but it also makes
pervasive physical inactivity possible. Similarly, modern agriculture's enormous
production of livestock contributes substantially to greenhouse gas emissions,
and it is the source of many of our most energy-rich foods. Physicians and
societies of medical professionals have a particular responsibility, therefore,
to contribute to the public discourse about climate change and what to do about
it.
PMID- 18043292
TI - Physical activity and the elderly.
AB - Functional ageing processes are characterized by a loss of performance
capabilities regarding coordination, flexibility, strength, speed, and endurance.
The effects of ageing processes on the cardiovascular system and skeletal muscle
are the foci of attention. After age 30, the maximum aerobic dynamic performance
capacity decreases by an average of 8% per decade. The causes are mainly a
reduction in the maximum cardiac output and decreases in capillarization and in
the skeletal muscle mass. An improvement in the maximum oxygen uptake by 18% and
in the aerobic-anaerobic threshold by 22% was achieved in untrained men aged 55
70 years, in a 12-week-long bicycle ergometer-training programme. The strength of
the skeletal muscle decreases particularly after 50-60 years of age. The main
cause is the reduction in the number of motor units and muscle fibres. Further,
modifications of the endothelial function and the development of sarcopenia are
of particular importance in ageing processes. General aerobic dynamic training
can improve the endothelial function in old age and thus help prevent
cardiovascular diseases. Strength training is most appropriate for the prevention
of sarcopenia. Imaging techniques over the last 20 years have provided new
findings on the influence and the significance of physical activity on the brain.
We call this new interdisciplinary area 'Exercise Neuroscience'. Demands on
coordination and aerobic dynamic endurance are suitable in counteracting age
related neuronal cellular loss, synapsis hypotrophy, and in improving
neurogenesis and capillarization. Adjusted physical activity is thus capable of
counteracting age-related changes and performance loss not only in the
cardiovascular system but also in the brain.
PMID- 18043293
TI - Anthropometric measures and absolute cardiovascular risk estimates in the
Australian Diabetes, Obesity and Lifestyle (AusDiab) Study.
AB - BACKGROUND: Framingham risk functions are widely used for prediction of future
cardiovascular disease events. They do not, however, include anthropometric
measures of overweight or obesity, now considered a major cardiovascular disease
risk factor. We aimed to establish the most appropriate anthropometric index and
its optimal cutoff point for use as an ancillary measure in clinical practice
when identifying people with increased absolute cardiovascular risk estimates.
DESIGN: Analysis of a population-based, cross-sectional survey was carried out.
METHODS: The 1991 Framingham prediction equations were used to compute 5 and 10
year risks of cardiovascular or coronary heart disease in 7191 participants from
the Australian Diabetes, Obesity and Lifestyle Study (1999-2000). Receiver
operating characteristic curve analysis was used to compare measures of body mass
index (BMI), waist circumference, and waist-to-hip ratio in identifying
participants estimated to be at 'high', or at 'intermediate or high' absolute
risk. RESULTS: After adjustment for BMI and age, waist-to-hip ratio showed
stronger correlation with absolute risk estimates than waist circumference. The
areas under the receiver operating characteristic curve for waist-to-hip ratio
(0.67-0.70 in men, 0.64-0.74 in women) were greater than those for waist
circumference (0.60-0.65, 0.59-0.71) or BMI (0.52-0.59, 0.53-0.66). The optimal
cutoff points of BMI, waist circumference and waist-to-hip ratio to predict
people at 'high', or at 'intermediate or high' absolute risk estimates were 26
kg/m2, 95 cm and 0.90 in men, and 25-26 kg/m2, 80-85 cm and 0.80 in women,
respectively. CONCLUSIONS: Measurement of waist-to-hip ratio is more useful than
BMI or waist circumference in the identification of individuals estimated to be
at increased risk for future primary cardiovascular events.
PMID- 18043294
TI - Effects of chronic exercise on endothelial dysfunction and insulin signaling of
cutaneous microvascular in streptozotocin-induced diabetic rats.
AB - BACKGROUND: Abnormalities of the modulatory roles played by the endothelium
and/or smooth muscle may be critical and initiating factors in the development of
diabetic vascular disease. Decreased phosphatidylinositol 3-kinase (PI3-K)/Akt
pathway activity and impaired nitric oxide production through this pathway may
play pivotal roles in the diabetes-induced vascular dysfunction. Several findings
have demonstrated that exercise training has therapeutic and protective effects
in type 1 diabetes and could correct endothelial dysfunction. The molecular
mechanisms, however, are only partially understood. METHOD: Male Wistar rats
(220+/-10 g, N=60) were made diabetic by streptozotocin (60 mg/kg,
subcutaneously). After 1 week of diabetes induction, animals were submitted to
exercise training for 10 weeks on a treadmill. To characterize cutaneous
microvascular responses by laser Doppler flowmetery, animals were deeply
anesthetized by intraperitoneal injection of pentobarbital sodium (60 mg/kg) and
placed on a heating pad. A rectal thermometer was inserted and body temperature
was maintained at 37+/-0.5 degrees C. A tracheotomy was performed to minimize
respiratory difficulties. Systemic arterial blood pressure and heart rate were
measured by using a tail-cuff during assessment of cutaneous blood flow. RESULTS:
(i) Acetylcholine-induced cutaneous perfusion were increased significantly by
training in the diabetic groups; (ii) Cutaneous microvascular responses to sodium
nitroprusside did not alter in control and diabetic animals by training; and
(iii) Local microinjection of insulin increased cutaneous blood flow in trained
diabetic and trained control rats compared with age-matched sedentary diabetic
and sedentary control normal rats. The administration of wortmannin (PI3K
inhibitor) and N-nitro-L-arginine ( nitric oxide synthase inhibitor) before
insulin, however, attenuated the increase in cutaneous blood flow in trained
diabetic and normal rats. CONCLUSIONS: Chronic exercise improved endothelium
dependent dilatation and potentiated insulin vascular function, possibly by PI3
kinase pathway in diabetic rats.
PMID- 18043295
TI - Running speed and maximal oxygen uptake in rats and mice: practical implications
for exercise training.
AB - Valid and reliable experimental models are essential to gain insight into the
cellular and molecular mechanisms underlying the beneficial effects of exercise
in prevention, treatment, and rehabilitation of lifestyle-related diseases.
Studies with large changes, low variation, and reproducible training outcome
require individualized training intensity, controlled by direct measurements of
maximal oxygen uptake or heart rate. As this approach is expensive and time
consuming, we discuss whether maximal treadmill running speed in a gradually
increasing ramp protocol might be sufficient to control intensity without losing
accuracy. Combined data from six studies of rats and mice from our lab
demonstrated a close correlation between running speed and oxygen uptake. This
relationship changed towards a steeper linear slope after endurance training,
indicating improved work economy, that is, less oxygen was consumed at fixed
submaximal running speeds. Maximal oxygen uptake increased 40-70% after high
intensity aerobic interval training in mice and rats. The speed at which oxygen
uptake reached a plateau, increased in parallel with the change in maximal oxygen
uptake during the training period. Although this suggests that running speed can
be used to assess training intensity throughout a training program, the problem
is to determine the exact relative intensity related to maximal oxygen uptake
from running speed alone. We therefore suggest that directly measured oxygen
uptake should be used to assess exercise intensity and optimize endurance
training in rats and mice. Running speed may serve as a supplement to ensure this
intensity.
PMID- 18043296
TI - Estimation of 10-year risk of fatal cardiovascular disease and coronary heart
disease in Iceland with results comparable with those of the Systematic Coronary
Risk Evaluation project.
AB - BACKGROUND: No data are available on the comparison between an absolute 10-year
risk of fatal cardiovascular disease (CVD) and coronary heart disease (CHD)
morbidity using the risk assessments of the Systematic Coronary Risk Evaluation
(SCORE) project. DESIGN: Data from the prospective Reykjavik Study of 15,782
patients were used to estimate the 10-year risk of fatal CVD and CHD morbidity in
Iceland. METHODS: Survival to fatal CVD event was defined as in the SCORE
project. Survival to CHD morbidity was defined as having a myocardial infarction,
coronary artery bypass graft, or angioplasty. The statistical methodology of
SCORE was used. RESULTS: Relative risk in Iceland was comparable with SCORE
results but baseline risk was similar to the low-risk version of SCORE, which
contradicted previous suggestions for the countries of northern Europe.
Correlation between absolute risk of CHD morbidity and risk for fatal CVD was
high (r=0.96), resulting in similar ranking of individuals by risk and
discriminatory capacity. This is the first published comparison between total
fatal CVD risk and CHD morbidity in a population-based cohort using the current
risk assessment guidelines of the European Societies on Coronary Prevention.
CONCLUSIONS: Risk for fatal CVD in Iceland has the same characteristics as those
in a European nation with results varying in accordance with the SCORE project.
The risk estimate to be used, CHD morbidity or fatal CVD, is a choice of clinical
preference. The data, however, suggest that 5% high-risk threshold of fatal CVD
corresponds to a 12% CHD-morbidity risk, which is a significant change from the
conventional reference value of 20%.
PMID- 18043297
TI - Alcohol consumption, alcohol dehydrogenase and risk of coronary heart disease in
the MONICA/KORA-Augsburg cohort 1994/1995-2002.
AB - BACKGROUND: Ample evidence exists for a protective effect of moderate alcohol
consumption on cardiovascular risk. Recently, genotype of alcohol dehydrogenase
1C (ADH1C) has been reported to modify the impact of alcohol consumption on the
risk of coronary heart disease (CHD). This study investigates whether ADH1C
genotype modifies the effect of alcohol consumption on CHD risk and high-density
lipoprotein (HDL) cholesterol level. DESIGN: Prospective cohort study. METHODS:
Analyses of the joint effects of alcohol consumption and ADH1C genotype on CHD
risk and HDL cholesterol level using Cox proportional hazards models and linear
models. RESULTS: Participants who were homozygous or heterozygous for the slow
metabolizing gamma2-allele and reported alcohol intake of more than 14 g/day
showed a 64% [hazard rate ratio (HRR), 0.36; 95% confidence interval (CI), 0.16
0.80] reduction in CHD risk. This effect was particularly pronounced in men (HRR,
0.27; 95% CI, 0.11-0.67). Women who reported alcohol intake > or =2 g/day showed
a nonsignificant risk reduction (HRR, 0.39; 95% CI, 0.07-2.17). No significant
interactions were found among alcohol consumption, ADH1C genotype, and HDL
cholesterol levels. CONCLUSIONS: In this study, alcohol dehydrogenase modifies
the effect of alcohol consumption on coronary risk. The results support the
protective effect of alcohol consumption on CHD risk and suggest a causal
association of alcohol intake and lower CHD risk. The impact of ADH1C on the
relationship between alcohol and HDL cholesterol is less clear.
PMID- 18043298
TI - Prevalence and determinants of prehypertension among African Surinamese,
Hindustani Surinamese, and White Dutch in Amsterdam, the Netherlands: the SUNSET
study.
AB - BACKGROUND: Evidence suggests that prehypertension is associated with increased
risk of cardiovascular mortality. Little is known about ethnic differences in
prehypertension in Europe. We aimed to assess these ethnic differences and to
determine the factors associated with prehypertension in Amsterdam, the
Netherlands. DESIGN: Cross-sectional study. METHODS: Prehypertension was defined
as having a blood pressure 120-139/80-89 mmHg. RESULTS: The overall prevalence of
prehypertension was 32.8% (men 37.9% and women 29.9%). The prevalence of
prehypertension did not differ between the ethnic groups in men. African
Surinamese and Hindustani Surinamese women, however, had a higher prevalence of
prehypertension than White Dutch women. In multivariate logistic regression
analysis, the odds ratios [95% confidence interval] for being prehypertensive
were 2.25 (1.38-3.67; P<0.001) for African Surinamese and 2.06 (1.18-3.60;
P<0.01) for Hindustani Surinamese women, compared with White Dutch women. BMI was
strongly associated with prehypertension in both men and women. Total cholesterol
was positively associated with prehypertension in women, whereas increased
physical activity was negatively associated. The independent factors associated
with prehypertension vary by ethnic group. In men, BMI was associated with
prehypertension in the White Dutch, total cholesterol and low education in the
African Surinamese, and fasting glucose in the Hindustani Surinamese. In women,
total cholesterol was associated with prehypertension in the African Surinamese;
the corresponding factor was the BMI in the Hindustani Surinamese. CONCLUSION:
Prehypertension is common in minority women. As a large proportion of people with
prehypertension progress to clinical hypertension, targeting these people early
with lifestyle-modification interventions aimed at weight loss, increased
physical activity, and healthy dietary habits can provide important long-term
benefits. The results indicate that the primary foci of such lifestyle
interventions should vary between ethnic groups.
PMID- 18043299
TI - Relation of depression to various markers of coagulation and fibrinolysis in
patients with and without coronary artery disease.
AB - BACKGROUND: It has been suggested that changes in blood coagulation and
fibrinolysis might explain the observed association between depression and
coronary artery disease (CAD). So far, only a few coagulation factors have been
investigated in this regard, and the results were not consistent. DESIGN: The aim
of our study was to analyse a broad range of coagulation and fibrinolytic
factors, with emphasis on factors directly involved in clot formation and
degradation or reflecting coagulation activation, in patients with CAD and
controls without CAD, as assessed by coronary angiography, who also underwent a
diagnostic procedure for depression. METHODS: We screened 306 patients with CAD
and controls without CAD for depression using the Hospital Anxiety and Depression
Scale and Allgemeine Depressions Skala-L questionnaires. In participants with
positive screening result, diagnosis of major depression was confirmed or
excluded by a structured interview. We analysed the following coagulation and
fibrinolytic factors: fibrinogen, prothrombin fragment F1+2, factor XIII A
subunit, factor XIII B-subunit, tissue plasminogen activator, plasminogen
activator inhibitor-1, thrombin-activable fibrinolysis inhibitor, and D-dimer.
RESULTS: We did not observe significant associations between depression and CAD,
nor between depression and cardiovascular risk factors. Coagulation and
fibrinolytic factors showed no differences between patients with CAD and
controls, but they were associated with several cardiovascular risk factors.
Depression was not associated with coagulation and fibrinolytic factors. No
associations were found either when both CAD and depression were taken into
account. CONCLUSION: Our study gives no evidence that there is a significant
relation among depression, CAD, and blood coagulation and fibrinolysis.
PMID- 18043300
TI - Association of sports activities in leisure time and incident myocardial
infarction in middle-aged men and women from the general population: the
MONICA/KORA Augsburg cohort study.
AB - BACKGROUND: To examine sex-specific associations between sports activities in
leisure time and incident myocardial infarction (MI) in a representative
population sample in Germany. DESIGN: Cohort study. METHODS: The study was based
on 3501 men and 3475 women (aged 45-74 years) who participated in one of the
three MONICA Augsburg surveys between 1984 and 1995 and were followed up until
2002. Hazard ratios (HRs) and 95% confidence intervals (CIs) were estimated from
Cox proportional hazard models. RESULTS: A total of 295 cases of incident MIs
among men and 91 among women were registered during a median follow-up period of
8.6 years. In both sexes, moderate and high level of sports activities in leisure
time were associated with a reduced risk of incident MI after age and survey
adjustment; the HRs of MIs associated with a moderate and high level of sports
activities in leisure time were 0.68 (0.49-0.96), and 0.71 (0.50-0.99) for men
and 0.42 (0.21-0.84), and 0.18 (0.04-0.74) for women. Further adjustment for
other major coronary heart disease risk factors attenuated the HRs: in moderately
and highly active men, the HRs were not significant anymore (HRs 0.78 and 0.84,
respectively), but the HRs remained significantly reduced in moderately and
highly active women (HR 0.49; 95% CI, 0.24-1.00 and HR 0.21; 95% CI, 0.05-0.87,
respectively). CONCLUSION: Moderate or high levels of sports activities in
leisure time are associated with a significantly reduced risk of MI in women, but
not men from the general population.
PMID- 18043301
TI - Stroke mortality and morbidity attributable to passive smoking in Germany.
AB - BACKGROUND: Passive smoking is an established risk factor for coronary heart
disease (CHD). Epidemiological studies suggest that passive smoking may also be
associated with an increased risk of stroke. We estimate the burden of stroke due
to passive smoking in Germany. METHODS: Frequency of passive smoking was derived
from the German national health survey. Nonsmokers who reported exposure to
environmental tobacco smoke (ETS) at home were considered for analyses. The
relative risk for stroke and passive smoking was derived by means of a meta
analysis from available cohort studies. We computed attributable risks for
passive smoking and used data from official statistics to estimate stroke
mortality due to ETS. Attributable stroke morbidity was calculated using the WHO
Global-Burden-of-Disease approach. RESULTS: Passive smoking may account for 774
stroke-related deaths and 1837 incident first ever strokes in Germany every year.
More strokes owing to passive smoking occur among women (1248) than among men
(589). The majority of strokes attributable to ETS happen at the age of 65-84
years. CONCLUSION: ETS is a common exposure and stroke is a frequent disease.
Though the relative risk of passive smoking on stroke mortality and morbidity is
small, the impact on population health is substantial.
PMID- 18043302
TI - Autonomic dysfunction: a link between depression and cardiovascular mortality?
The FINE Study.
AB - BACKGROUND: Depression is associated with an increased risk of cardiovascular
diseases (CVD) in vascular patients as well as in the general population. We
investigated whether autonomic dysfunction could explain this relationship.
DESIGN: The Finland, Italy and The Netherlands Elderly (FINE) Study is a
prospective cohort study. METHODS: Depressive symptoms were measured with the
Zung Self-rating Depression Scale in 870 men, aged 70-90 years, free of CVD and
diabetes in 1990. Resting heart rate was determined from a 15-30-s resting
electrocardiogram in The Netherlands and Italy and as pulse rate in Finland. In
addition, in The Netherlands, heart-rate variability (HRV) and QTc interval were
determined. RESULTS: At baseline, depressive symptoms were associated with an
increase in resting heart rate, and nonsignificantly with low HRV and prolonged
QTc interval. After 10 years of follow-up, 233 (27%) men died from CVD.
Prospectively, an increase in resting heart rate with 1 SD was associated with an
increased risk of cardiovascular mortality [hazard ratio (HR), 1.22; 95%
confidence interval (CI), 1.08-1.38]. In addition, low HRV (HR, 0.78; 95% CI,
0.61-1.01) and prolonged QTc interval (HR, 1.28; 95% CI, 1.06-1.53) per SD were
associated with cardiovascular mortality. The increased risk of depressive
symptoms for cardiovascular mortality (HR, 1.38; 95% CI, 1.21-1.58) did not
change after adjustments for several indicators of autonomic dysfunction.
CONCLUSION: This study suggests that mild depressive symptoms are associated with
autonomic dysfunction in elderly men. The increased risk of cardiovascular
mortality with increasing magnitude of depressive symptoms could, however, not be
explained by autonomic dysfunction.
PMID- 18043303
TI - The effects of exercise training on insulin resistance in patients with coronary
artery disease.
AB - BACKGROUND: It is unclear whether the beneficial effects of habitual exercise on
insulin resistance are due to concomitant weight loss, changes in diet or whether
they are simply related to the transient effects of the last bout of exercise.
Moreover, patients with coronary artery disease (CAD) have not been studied.
DESIGN: Randomized controlled trial. METHODS: To determine the effects of
exercise training on insulin resistance (i.e. low insulin sensitivity) in CAD
patients without diabetes independent of changes in weight, diet or the effect of
acute exercise, 29 patients were randomized to 12 weeks of supervised exercise
training and 26 to usual activity. Insulin sensitivity was determined at 72 h
following their last bout of exercise using the minimal model technique. Weight
was held constant by adjusting caloric intake. RESULTS: Following the
intervention, peak oxygen uptake increased by 0.18+/-0.14 l/min in the exercise
training group, which was significantly higher (P<0.0001) than that in the usual
activity group (0.02+/-0.14 l/min). Insulin sensitivity did not change
significantly within or between groups during the study [exercise training
0.24+/-1.15 x 10(4)/min and usual activity 0.06+/-0.67 x 10(4)/min/(microU/ml)].
CONCLUSIONS: Twelve weeks of moderate exercise training in the absence of weight
loss did not result in significant change in insulin resistance in patients with
CAD.
PMID- 18043304
TI - Changes of cardiovascular risk factors and their implications in subsequent birth
cohorts of older adults in Germany: a life course approach.
AB - BACKGROUND: To examine lifetime patterns of cardiovascular risk factors and their
implications in subsequent birth cohorts of older adults in Germany, who
experienced very different political and socioeconomic conditions at various
phases of their lives. DESIGN AND METHODS: Participants of the ESTHER study, a
statewide cohort study conducted in Saarland, Germany, were categorized into four
birth cohorts: 1925-1934, 1935-1939, 1940-1944, 1945-1952. At baseline, lifetime
history of body weight, physical activity, smoking and drinking habits, and of
physician-diagnosed diabetes mellitus were documented. The average BMI, the
average number of hours of physical activity, prevalence of smoking and alcohol
consumption between ages 20 and 50 years were assessed. The relative risks of a
first diagnosis of diabetes mellitus before or at the age of 50 years by birth
cohorts were assessed by multiple logistic regressions controlling for education
and BMI at the age of 20. RESULTS: For both men and women, later birth cohorts
had considerably worse lifestyle profiles. The frequency of diabetes mellitus up
to the age of 50 years was much higher in the later than in the earlier cohorts.
The increase was more pronounced among men than among women. CONCLUSION: Women
and men reaching old age in the forthcoming years have more unfavourable lifetime
risk factor profiles than earlier birth cohorts. These patterns might have
substantial implications for the future burden of chronic disease.
PMID- 18043305
TI - Health economic evaluation of controlled and maintained physical exercise in the
prevention of cardiovascular and other prosperity diseases.
AB - OBJECTIVES: Several studies and reports support the health benefits of frequent
physical exercise, on the condition that this exercise is controlled and
maintained. Given the scarce resources that can be spent on health and health
care, the objective of this study was to evaluate the long-term health and
economic outcomes of controlled and maintained physical exercise in a fitness
setting. METHODS: A 25-year Markov model with a 12-month cycle-length and states
representing diabetes, coronary heart disease, stroke, colon cancer and breast
cancer was developed to predict cumulative costs and QALYs (quality adjusted life
years) for three defined population cohorts, of different risk levels. Physical
exercise was thereby compared with no intervention. Reduced risks associated with
physical exercise, cost of diseases and loss of quality of life in case of
disease were obtained from published literature. Costs were taken from a societal
perspective; Belgium was selected as the setting. One way and probabilistic
sensitivity analyses were carried out. RESULTS: For each of the cohorts, physical
exercise is predicted to increase the QALYs and to offset a large part of the
initial investment. The cost per QALY varies from 2000 to 15,000 Euro per QALY
depending on the risk levels, which is better compared with a majority of
secondary preventions that are currently publicly financed. CONCLUSION:
Controlled and maintained physical exercise is projected to be cost-effective,
which is likely to be explained by its simultaneous effect on several diseases
and the associated weight loss, which affects quality of life positively.
PMID- 18043306
TI - Intensity, but not duration, of physical activities is related to cognitive
function.
AB - BACKGROUND: Physical activity is thought to facilitate cognitive performance and
to slow down the rate of age-related cognitive decline. This study aimed to
investigate the association between the time spent on physical activity as well
as the average intensity of these activities and cognitive function. DESIGN:
Cross-sectional analysis. METHODS: Our study population comprised of 1927 healthy
men and women aged 45-70 years in the Netherlands, examined from 1995 until 2000.
Physical activity was assessed with an extensive questionnaire, and cognitive
function by a neuropsychological test battery. RESULTS: Multivariate linear
regression analysis showed that intensity of weekly physical activities is
significantly positively associated with processing speed, memory, mental
flexibility and overall cognitive function. No significant associations were
observed between the time spent weekly on physical activities and the various
cognitive domains. At the same time, variation in activities was significantly
positively associated with speed, memory, mental flexibility and overall
cognitive function. CONCLUSIONS: Average intensity of weekly physical activities
and variation in activities are positively and significantly associated with
cognitive performance on processing speed, memory and mental flexibility as well
as performance on overall cognitive function.
PMID- 18043307
TI - Safety and magnitude of changes in blood glucose levels following exercise
performed in the fasted and the postprandial state in men with type 2 diabetes.
AB - BACKGROUND: Information on the extent to which acute exercise reduces blood
glucose levels (BGL) in type 2 diabetes is lacking. For this reason, the effects
of exercise initiated at different preexercise BGL were assessed in men with type
2 diabetes both in the fasted (FS) and the postprandial states (PS). DESIGN AND
METHODS: Forty-three men with type 2 diabetes, 12 on diet alone and 31 on
hypoglycaemic agents, completed a total of 1555 exercise sessions performed in
the FS and 0-1, 1-2, 2-3, 3-4, 4-5, and 5-8 h in the PS. Capillary BGL were
measured before and immediately after a 1h standardized aerobic exercise session
on an ergocycle at 60% of VO2 peak. RESULTS: In the FS, there was an increase in
postexercise BGL of 27+/-21% (mean+/-SD; P<0.001) when preexercise BGL was < or
=6 mmol/l, no change when preexercise BGL were between 6 and 8 mmol/l, and a
significant decrease of 12+/-13% when preexercise BGL were >8 mmol/l (P<0.001).
In the PS, most exercise sessions were associated with significant decreases in
BGL ranging between 18+/-17 and 50+/-12% (P<0.001), depending on the time
interval between meals and the onset of exercise. Regarding the metabolic PS, the
decline in BGL was most pronounced with high preexercise BGL. CONCLUSIONS: Our
observations not only demonstrate that it was safe for middle-aged obese men with
type 2 diabetes to exercise in the FS, but also show that the decrease in BGL
during aerobic exercise was largely dependent on preexercise BGL.
PMID- 18043308
TI - The anti-inflammatory effects of exercise training in patients with type 2
diabetes mellitus.
AB - BACKGROUND: Diabetes mellitus (DM) and chronic inflammation are strongly related
to increased cardiovascular risk. The purpose of this study was to evaluate
whether an aerobic training programme would ameliorate inflammatory and anti
inflammatory markers in patients with type 2 DM. DESIGN: Interventional study.
METHODS: A total of 60 overweight individuals with type 2 DM, but without
vascular complications, were randomly assigned to either a 6-month aerobic
exercise training programme (four times/week, 45-60 min/session), designated as
exercise group, or to the control group. All participants were on an oral
antidiabetic regimen and none was receiving lipid-lowering medications.
Anthropometric parameters, cardiorespiratory fitness, glycaemic and lipid
profiles, high sensitivity C-reactive protein (hs CRP), adiponectin, interleukin
(IL)-10, IL-18, tumour necrosis factor (TNF)-alpha, insulin, reciprocal index of
homoeostasis model assessment (HOMA-IR), body fat and blood pressure (BP) were
measured at baseline and at the end of the study. RESULTS: In comparison with
baseline and control group, exercise-treated patients improved glucose control,
lipid profile, exercise capacity (VO2 peak) and exhibited decreased insulin
resistance and systolic BP considerably (P<0.05). Plasma adiponectin, TNF-alpha
and body weight changed slightly across treatment (P>0.05), whereas diastolic BP
and fat mass tended to decrease (P=0.071 and 0.061, respectively). Exercise
training reduced hs CRP (from 0.48+/-0.16 to 0.29+/-0.2 mg/dl; P=0.04) and IL-18
(from 315.19+/-122.76 to 203.77+/-96.02 pg/ml; P=0.02). Moreover, exercise
provided anti-inflammatory protection through IL-10 increment (P=0.039) and IL
18/IL-10 ratio downregulation (P=0.014). In multiple regression analysis,
alteration in IL-18 was independently correlated with hs CRP and VO2 peak changes
(P<0.05). CONCLUSION: Aerobic exercise training without significant weight loss
improves metabolic profile and exerts anti-inflammatory effects in patients with
type 2 DM.
PMID- 18043309
TI - Deprivation status and mid-term change in blood pressure, total cholesterol and
smoking status in middle life: a cohort study.
AB - BACKGROUND: Individuals of lower socioeconomic status have an adverse
cardiovascular disease risk factor profile. We examined whether deprivation
status influences within-individual change over time in blood pressure (BP),
cholesterol and smoking status during middle life. METHODS: Records of
participants of a primary care-based cardiovascular disease risk factor screening
programme who were aged 35-55 years and had a first screening episode between
1989 and 1993 and a subsequent screening episode, were analysed. Deprivation
status was defined using quintiles of the Townsend score. Using regression, mean
annual change in BP, and total cholesterol was calculated for each deprivation
group; and the effect of deprivation group status was examined. The probability
of quitting smoking was also examined by deprivation group. RESULTS: Of all
participants, 13,812 (72.1%) men and 16 932 (77.0%) women had complete follow-up
(i.e. two screening episodes). Mean annual increase in systolic BP was
significantly greater with increasing deprivation group [by +0.24 and +0.28
mmHg/incremental deprivation group in men and women, respectively (95% confidence
interval: +0.09 to +0.39 men, and +0.13 to +0.42 women)]. Deprivation status did
not influence change in cholesterol (P=0.620, men, P=0.289, women). The
probability of quitting smoking was significantly greater with increasing
deprivation group in women [odds ratio 1.06 (95% confidence interval: 1.01
1.12)], but no effect was observed in men (P=0.389). DISCUSSION: The results are
suggestive of a 'mixed' picture of widening (e.g. systolic and diastolic BP) as
well as narrowing (e.g. smoking in women) socioeconomic inequalities in
cardiovascular risk factor inequalities.
PMID- 18043312
TI - Differentiation of monocytes into CD1a- dendritic cells correlates with disease
progression in HIV-infected patients.
AB - Monocyte differentiation into dendritic cells (DCs) depends on microenvironmental
conditions. In this study, the capacity of human monocytes to differentiate into
mature DCs and their ability to induce an antiviral immune response was
investigated in HIV-infected patients. In healthy subjects, monocytes
differentiate into CD1a+ DCs in the presence of granulocyte macrophage colony
stimulating factor and interleukin (IL)-4 and matured in the presence of
lipopolysaccharide. Here, we found that in 30% and 45% of HIV-infected white and
African subjects, respectively, monocytes gave rise to a homogeneous CD1a* DC
population. In the patients who gave rise only to the CD1a* DCs, this population
spontaneously produced IL-10 but not IL-12, and induced a T helper 2-like immune
response when cultured with human T cells isolated from cord blood mononuclear
cells. In patients with monocytes differentiated into CD1a* DCs, a high
percentage of HIV-specific CD4 T cells producing IL-4 were seen in the peripheral
blood. Furthermore, differentiation of monocytes into DCs with CD1a* phenotype
correlated with low CD4 T-cell counts and high viral loads in HIV-infected
subjects. These results suggest that the differentiation of monocytes into CD1a*
DCs may be a phenotypic marker associated with progression of the disease.
PMID- 18043311
TI - Bystander effects: children who escape infection but not harm.
PMID- 18043313
TI - Chinese pediatric highly active antiretroviral therapy observational cohort: a 1
year analysis of clinical, immunologic, and virologic outcomes.
AB - BACKGROUND: Few data are available on the outcomes of pediatric antiretroviral
therapy (ART) in the developing world. METHODS: Eighty-three children were
followed prospectively in China from July 2005 to August 2006 and received
(zidovudine or stavudine) plus lamivudine plus (nevirapine or efavirenz).
RESULTS: Fifty-one children were ART naive at enrollment, and 32 were ART
experienced. After 12 months, median weight increased by 0.3 weight for age z
score, median CD4 count increased from 116 to 340 cells/mm (P < 0.0001), and
median viral load decreased from 5.53 to <2.60 log10 copies/mL (P < 0.0001) in
the previously ART-naive children. In the ART-experienced children, median CD4
count increased from 193 to 318 cells/mm (P = 0.13), despite little change in
median viral load (4.85 to 4.58 log10 copies/mL; P = 0.83). The viral load was
<400 copies/mL in 55% of the previously ART-naive children and in 16% of the ART
experienced children. CONCLUSIONS: Weight and CD4 cell counts improved, and more
than half of previously ART-naive patients had undetectable viral loads at 1
year. Future efforts should focus on improved virologic suppression through
improved adherence and access to second-line regimens.
PMID- 18043314
TI - Maternal disease stage and child undernutrition in relation to mortality among
children born to HIV-infected women in Tanzania.
AB - OBJECTIVE: To examine whether maternal HIV disease stage during pregnancy and
child malnutrition are associated with child mortality. DESIGN: Prospective
cohort study in Tanzania. METHODS: Indicators of disease stage were assessed for
939 HIV-infected women during pregnancy and at delivery, and children's
anthropometric status was obtained at scheduled monthly clinic visits after
delivery. Children were followed up for survival status until 24 months after
birth. RESULTS: Advanced maternal HIV disease during pregnancy (CD4 count <350
vs. >or=350 cells/mm) was associated with increased risk of child mortality
through 24 months of age (hazard ratio [HR] = 1.74, 95% confidence interval [CI]:
1.32 to 2.30). CD4 count <350 cells/mm was also associated with an increased risk
of death among children who remained HIV-negative during follow-up (HR = 2.00,
95% CI: 1.36 to 2.94). Low maternal hemoglobin concentration and child
undernutrition were related to an increased risk of mortality in this cohort of
children. CONCLUSIONS: Low maternal CD4 cell count during pregnancy is related to
increased risk of mortality in children born to HIV-infected women. Care and
treatment for HIV disease, including highly active antiretroviral therapy to
pregnant women, could improve child survival. Prevention and treatment of
undernutrition in children remain critical interventions in settings with high
HIV prevalence.
PMID- 18043315
TI - Importance of baseline prognostic factors with increasing time since initiation
of highly active antiretroviral therapy: collaborative analysis of cohorts of HIV
1-infected patients.
AB - BACKGROUND: The extent to which the prognosis for AIDS and death of patients
initiating highly active antiretroviral therapy (HAART) continues to be affected
by their characteristics at the time of initiation (baseline) is unclear.
METHODS: We analyzed data on 20,379 treatment-naive HIV-1-infected adults who
started HAART in 1 of 12 cohort studies in Europe and North America (61,798
person-years of follow-up, 1844 AIDS events, and 1005 deaths). RESULTS: Although
baseline CD4 cell count became less prognostic with time, individuals with a
baseline CD4 count <25 cells/microL had persistently higher progression rates
than individuals with a baseline CD4 count >350 cells/microL (hazard ratio for
AIDS = 2.3, 95% confidence interval [CI]: 1.0 to 2.3; mortality hazard ratio =
2.5, 95% CI: 1.2 to 5.5, 4 to 6 years after starting HAART). Rates of AIDS were
persistently higher in individuals who had experienced an AIDS event before
starting HAART. Individuals with presumed transmission by means of injection drug
use experienced substantially higher rates of AIDS and death than other
individuals throughout follow-up (AIDS hazard ratio = 1.6, 95% CI: 0.8 to 3.0;
mortality hazard ratio = 3.5, 95% CI: 2.2 to 5.5, 4 to 6 years after starting
HAART). CONCLUSIONS: Compared with other patient groups, injection drug users and
patients with advanced immunodeficiency at baseline experience substantially
increased rates of AIDS and death up to 6 years after starting HAART.
PMID- 18043316
TI - HIV prevalence and incidence in rural Tanzania: results from 10 years of follow
up in an open-cohort study.
AB - BACKGROUND: Tanzanian antenatal clinic surveillance data suggest stabilizing HIV
levels. Data from an open cohort in northern Tanzania provide robust estimates of
prevalence and incidence. METHODS: Between 1994 and 2004, 19 rounds of household
based demographic surveillance and 4 rounds of individually linked HIV serologic
surveys were conducted. Longitudinal knowledge of individuals' testing histories
is used to allow for effects of selective participation on prevalence estimates;
multiple imputation procedures allow for interval censoring effects on incidence.
RESULTS: A total of 16,820 adults donated blood for HIV testing in at least 1 of
4 serologic surveys. HIV prevalence increased steadily from 6.0% in 1994/1995 to
8.3% in 2000/2001, leveling out thereafter. HIV incidence increased sharply from
0.8% in 1994 to 1997 to 1.2% per thousand in 1997 to 2000, remaining high (1.1%)
in 2000 to 2003. In roadside areas, incidence fell in the last interval,
especially among women, but in remote rural areas, incidence rose slightly.
CONCLUSIONS: HIV spread is continuing in rural areas, suggesting a need for more
intensive HIV prevention efforts and antiretroviral interventions. The leveling
off in prevalence is attributable to a combination of high mortality among HIV
infected persons and a slight decrease in incidence in roadside villages.
PMID- 18043317
TI - HIV-1 infection in patients referred for malaria blood smears at government
health clinics in Uganda.
AB - BACKGROUND: HIV is associated with an increased incidence of malaria in adult
African populations. In children, the relationship between HIV and malaria is
less clear. We investigated the relationship between malaria and HIV-1 infection
among adults and children referred for malaria blood smears at government health
clinics in Uganda. METHODS: This was a cross-sectional study in which 1000
consecutive patients referred for malaria blood smears over the course of 1 to 2
months at each of 7 government clinics (N = 7000) were tested for HIV-1 from
dried blood spots using enzyme-linked immunosorbent assay (ELISA) screening and
nucleic acid-based confirmatory testing. Risk factors for HIV-1 infection were
identified using multivariate logistic regression. RESULTS: Among 4467 children
aged 16 years or younger, 77 (1.7%) were HIV-1 infected. Of 2533 adults, 270
(10.7%) were HIV-1 infected. In children, having a negative malaria blood smear
was associated with higher odds of HIV-1 infection (odds ratio [OR] = 1.90, 95%
confidence interval [CI]: 1.18 to 3.06) after controlling for age and gender. In
adults, having a positive malaria blood smear was moderately associated with
higher odds of HIV-1 infection (OR = 1.41, 95% CI: 1.01 to 1.97) after
controlling for age and gender. CONCLUSIONS: In Ugandans evaluated for suspected
malaria, associations between malaria smear results and HIV infection differed
between children and adults. Although further operations research is needed, our
results suggest that counseling and testing for HIV may be of particular
importance in children suspected of malaria but with negative malaria smears and
in adults with positive malaria smears.
PMID- 18043318
TI - Risk factors for herpes simplex virus type 2 and HIV among women at high risk in
northwestern Tanzania: preparing for an HSV-2 intervention trial.
AB - OBJECTIVES: To determine prevalence of and risk factors for herpes simplex virus
type 2 (HSV-2) and HIV among women being screened for a randomized, controlled
trial of HSV suppressive therapy in northwestern Tanzania. METHODS: Two thousand
seven hundred nineteen female facility workers aged 16 to 35 were interviewed and
underwent serological testing for HIV and HSV-2. Factors associated with HSV-2
and HIV in women aged 16 to 24 were examined using logistic regression to
estimate odds ratios (OR) and 95% confidence intervals (CI). RESULTS: HSV-2
seroprevalence was 80%, and HIV seroprevalence was 30%. Among women aged 16 to
24, both infections were significantly and independently associated with older
age, being a bar worker, working at a truck stop, and having more lifetime sexual
partners. HSV-2 infection was also associated with lower socioeconomic status,
increased alcohol intake, younger age at first sex, inconsistent condom use, and
vaginal douching. There was a strong association between the 2 infections after
adjustment for other factors (OR = 4.22, 95% CI: 2.6 to 6.9). CONCLUSIONS: Female
facility workers in northwestern Tanzania are vulnerable to HSV-2 and HIV
infections. Programs designed to increase safer sexual behavior and reduce
alcohol use could be effective in reducing HSV-2 incidence and, in turn, HIV
infection. This is a suitable population for an HSV suppressive therapy trial.
PMID- 18043319
TI - Circumcision status and HIV infection among Black and Latino men who have sex
with men in 3 US cities.
AB - OBJECTIVE: To examine characteristics of circumcised and uncircumcised Latino and
black men who have sex with men (MSM) in the United States and assess the
association between circumcision and HIV infection. METHODS: Using respondent
driven sampling, 1154 black MSM and 1091 Latino MSM were recruited from New York
City, Philadelphia, and Los Angeles. A 45-minute computer-assisted interview and
a rapid oral fluid HIV antibody test (OraSure Technologies, Bethlehem, PA) were
administered to participants. RESULTS: Circumcision prevalence was higher among
black MSM than among Latino MSM (74% vs. 33%; P < 0.0001). Circumcised MSM in
both racial/ethnic groups were more likely than uncircumcised MSM to be born in
the United States or to have a US-born parent. Circumcision status was not
associated with prevalent HIV infection among Latino MSM, black MSM, black
bisexual men, or black or Latino men who reported being HIV-negative based on
their last HIV test. Further, circumcision was not associated with a reduced
likelihood of HIV infection among men who had engaged in unprotected insertive
and not unprotected receptive anal sex. CONCLUSIONS: In these cross-sectional
data, there was no evidence that being circumcised was protective against HIV
infection among black MSM or Latino MSM.
PMID- 18043320
TI - Subclinical mastitis, cell-associated HIV-1 shedding in breast milk, and breast
feeding transmission of HIV-1.
AB - BACKGROUND: Mastitis has been identified as a risk factor for mother-to-child
transmission (MTCT) of HIV-1 through breast-feeding. It is unclear whether this
association is mediated by increased cell-free virus (CFV) versus cell-associated
virus (CAV) HIV shedding in breast milk. METHODS: We examined the risk of MTCT
associated with subclinical mastitis and the relation between mastitis and CFV or
CAV shedding in breast milk. Fifty-nine women who transmitted HIV through breast
feeding (cases) were individually matched to 59 nontransmitting controls nested
in a cohort from Tanzania. For each case, we selected a milk specimen obtained
before the infant's first positive test to quantify sodium (Na) and potassium (K)
and measure CFV and CAV concentrations. Controls were matched on the child's age
at the time of sample collection. RESULTS: Women with a breast milk Na/K ratio
suggestive of mastitis (>1.0) had an 11-fold greater odds of transmission (95%
confidence interval [CI]: 1.2 to 98.1), compared to women with a Na/K
0.05). CONCLUSIONS: For local staging of rectal cancer, 3-T MRI is more accurate
than MDCT for determining the depth of tumor invasion and the extent of lymph
node metastasis.
PMID- 18043347
TI - Pancreatic cystic lesions: discrimination accuracy based on clinical data and
high resolution CT features.
AB - OBJECTIVE: To determine the accuracy of high-resolution computed tomography in
discriminating among pancreatic cystic lesions with and without clinical history.
METHODS: Multiphasic high-resolution computed tomographic scans of 100 proven
cystic pancreatic lesions were retrospectively reviewed by 2 blinded imagers.
Before and after a history of pancreatitis was revealed, readers classified
lesions as either nontumor-related or tumor-related. Reviewers also classified
lesions as benign or malignant and finally categorized each lesion as serous,
mucinous, or other lesion. Diagnostic performance parameters for classification
were calculated for each reader with and without history. RESULTS: Sixty-two
lesions were tumor-related and 38 were not. Accuracy for classifying tumor
related from unrelated cysts for both reviewers increased (83%-92%, 85%-91%) when
unblinded to pancreatitis history. Specificity also significantly increased (66%
88% and 84%) for both reviewers. CONCLUSIONS: Pancreatic-phase computed
tomography enabled more than 80% accuracy in discriminating between tumor-related
and unrelated lesions and increased with information of pancreatitis history.
PMID- 18043348
TI - Detection of recurrent ovarian cancer at MRI: comparison with integrated PET/CT.
AB - OBJECTIVE: To compare the diagnostic performances of magnetic resonance imaging
(MRI) and positron emission tomography/computed tomography (PET/CT) for the
detection of recurrent ovarian tumor. METHODS: Thirty-six patients who underwent
primary cytoreductive surgery for ovarian carcinoma received both MRI and PET/CT
for the evaluation of ovarian tumor recurrence. Recurrent ovarian tumors in
abdomen and pelvis were classified based on site as follows: (1) local pelvic
recurrence, (2) peritoneal lesion, (3) lymph nodal metastasis, and (4) distant
metastasis. Patient-based and lesion-based analyses were retrospectively
performed with the aim of detecting tumor recurrence. For the detection of
recurrent ovarian tumors, we compared patient-based and lesion-based diagnostic
accuracies of these 2 modalities using the McNemar test. RESULTS:
Histopathologic, clinical, and radiological follow-up findings revealed recurrent
ovarian tumors in 35 sites of 22 patients. These 35 sites consisted of local
pelvic recurrence (n = 15), peritoneal lesions (n = 14), lymph nodal metastasis
(n = 4), and abdominal wall metastasis (n = 2). In detecting recurrent ovarian
tumor, patient-based sensitivity and the accuracy of PET/CT and MRI were 73% and
91% (P < 0.05), and 81% and 89% (P > 0.05), respectively. In addition, overall
lesion-based sensitivity of PET/CT and MRI were 66% and 86%, respectively (P <
0.05). In detecting peritoneal lesions, overall lesion-based sensitivity and
accuracy of PET/CT and MRI for peritoneal lesions were 43% and 86%, and 75% and
94%, respectively (P < 0.05). CONCLUSIONS: Magnetic resonance imaging is more
sensitive than PET/CT for detecting local pelvic recurrence and peritoneal
lesions of recurrent ovarian tumors.
PMID- 18043349
TI - Cine magnetic resonance imaging evaluation of peristalsis of small bowel with
longitudinal ulcer in Crohn disease: preliminary results.
AB - OBJECTIVE: To evaluate peristalsis of the small bowel with a longitudinal ulcer
in Crohn disease using cine magnetic resonance imaging (MRI). METHODS: Fifteen
patients with suspected or diagnosed Crohn disease were examined by cine MRI
using a multislice and multiphase method. Inclusion criteria were pathological
evidence of Crohn disease and confirmation of longitudinal ulceration in the
small bowel by ileocolonoscopy, single- or double-contrast radiography of the
small bowel, or surgery. Six of these patients were included in this study. Cine
MRI findings of the small bowels were retrospectively reviewed by 2 radiologists.
RESULTS: Asymmetric involvement or mesenteric rigidity with antimesenteric
flexibility was seen in all patients by cine MRI. This finding was not seen in
normal small bowel segments. A combination of ileocolonoscopy and contrast
radiography detected longitudinal ulcers in 5 of the 6 patients, and surgery
revealed ulceration in the remaining patient. CONCLUSIONS: Cine MRI was a
feasible approach for detecting a longitudinal ulcer in small-bowel Crohn
disease.
PMID- 18043350
TI - Reduced perfusion reserve in Leukoaraiosis demonstrated using acetazolamide
challenge 123I-IMP SPECT.
AB - OBJECTIVE: We examined the relationship between the perfusion reserve as measured
by acetazolamide (ACZ)-challenge N-isopropyl-I-123-p-iodoamphetamine (IMP)-single
photon emission computed tomography (SPECT) and the degree of leukoaraiosis (LA)
as estimated using magnetic resonance imaging. METHODS: In 51 patients receiving
IMP-SPECT with the resting state and ACZ challenge, the unaffected cerebral
hemispheres were included in the present study. Mean cerebral blood flow (CBF) in
the resting state and ACZ reactivity were acquired. Absolute CBF value and ACZ
reactivity were compared among patients with LA grades 0, 1, and 2. The
relationship between mean age and LA grade was also assessed. RESULTS: No
significant difference in the absolute CBF value in the resting state was
observed among the 3 LA groups. Although vasoreactivity in LA grade 0 did not
differ from that in grade 1, vasoreactivity in LA grade 2 was significantly lower
(P < 0.05) than that in grades 0 or 1. CONCLUSIONS: The perfusion reserve is
impaired in advanced LA.
PMID- 18043351
TI - Clinical implications of increased fractional anisotropy in meningitis associated
with brain abscess.
AB - AIM: To look for the clinical implications of increased cortical fractional
anisotropy (FA) in meningitis patients associated with brain abscess. MATERIAL
AND METHODS: Diffusion tensor imaging was performed in 10 patients of meningitis
associated with brain abscess and 10 controls. The region of interest analysis
was performed to calculate FA and mean diffusivity values from the abscess cavity
and cortical regions of patients (enhancing and nonenhancing meninges on
postcontrast T1-weighted images) and controls. RESULTS: Significantly increased
FA values with no change in mean diffusivity values were found in enhancing (FA =
0.113 +/- 0.023, P = 0.000) as well as nonenhancing (FA = 0.085 +/- 0.009, P =
0.000) cortical region of patients compared with controls (FA = 0.067 +/- 0.010).
CONCLUSIONS: Increased FA values in the enhancing as well as nonenhancing
cortical regions suggest diffuse inflammatory activity in the pia-arachnoid in
meningitis patients. It also suggests that FA may be a better indicator of active
and diffuse meningeal inflammation than postcontrast T1-weighted images.
PMID- 18043352
TI - Magnetic resonance imaging of individual cerebral perfusion territories improves
the diagnosis of embolic stroke.
AB - This case study demonstrates the feasibility and diagnostic value of the oblique
plane arterial spin labeling method to visualize individual perfusion territories
in a patient with embolic stroke. The perfusion territories obtained with this
technique differed significantly from the standard anatomical situation. Imaging
findings suggested that all acute embolic lesions in both hemispheres had arisen
from one symptomatic internal carotid artery stenosis.
PMID- 18043353
TI - Discrepancy between the dynamic computed tomography and T2 magnetic resonance
perfusion imaging in brain tumors: a report of 2 cases.
AB - In this report, we separately performed dynamic computed tomographic perfusion
and dynamic susceptibility contrast-T2 magnetic resonance perfusion imaging on 2
cases of brain tumors (one was a glioblastoma, and the other was a central
neurocytoma). Between the 2 methods, we saw the discrepancy in values of cerebral
blood volume and cerebral blood flow, differences in location of the maximal
cerebral blood volume, and regions with abnormal increased cerebral blood flow
besides the solid part of the tumors. Both differences and similarities of the 2
techniques with their advantages and pitfalls were analyzed in detail. The
developing trends in the near future were also discussed.
PMID- 18043354
TI - Corticospinal tract restoration: combined study of diffusion tensor tractography,
functional MRI, and transcranial magnetic stimulation.
AB - We tried to demonstrate the restoration of corticospinal tract in a patient with
intracerebral hemorrhage, using diffusion tensor tractography and functional
magnetic resonance imaging, and transcranial magnetic stimulation. Transcranial
magnetic stimulation demonstrated the corticospinal tract had been spared since
subacute stage. Diffusion tensor tractography and functional magnetic resonance
imaging showed that the corticospinal tract that was displaced by a hematoma had
been restored. These combined modalities would be helpful in elucidating the
state and change of corticospinal tract.
PMID- 18043355
TI - Multidetector computed tomography for the detection of left atrial appendage
thrombus: a comparative study with transesophageal echocardiography.
AB - OBJECTIVE: To determine the diagnostic performance of multidetector computed
tomography (MDCT) for the detection of left atrial appendage (LAA) thrombus as
compared with transesophageal echocardiography. METHODS: Multidetector computed
tomography was evaluated in 43 patients qualitatively for the presence or absence
of a filling defect in the LAA and compared with transesophageal
echocardiography. Additionally, a ratio of the mean computed tomographic
attenuation in the LAA apex to the mean computed tomographic attenuation in the
aortic root was used for quantitative evaluation. RESULTS: A filling defect
visualized in the LAA by MDCT corresponded to a sensitivity of 70% (7/10), a
specificity of 82% (27/33), and a negative predictive value of 90% (27/30) for
detection of LAA thrombus. When using quantitative parameters, MDCT demonstrated
a sensitivity of 80% (8/10), a specificity of 73% (24/33), and a negative
predictive value of 92% (24/26). Multidetector computed tomography was not able
to differentiate LAA thrombus from spontaneous echo contrast by either visual
evaluation or by quantitative parameters. CONCLUSIONS: Multidetector computed
tomography remains limited for the detection of LAA thrombus. However, a subgroup
of patients at very high risk for LAA thrombus may benefit from the high negative
predictive value of cardiac MDCT.
PMID- 18043356
TI - Assessment of coronary stents using 40-detector row CT: comparison with 16
detector row CT in vitro.
AB - OBJECTIVE: To evaluate the performance of 40-detector row computed tomography
(CT) in the assessment of coronary stents in comparison with 16-detector row CT.
METHODS: A total of 6 vascular models (3 models without stenosis and 3 with
stenosis) using 3 types of stent (Bx-Velocity, Express2, and Driver) with an
approximately 3.5-mm inner diameter filled with contrast material (450 Hounsfield
units) were scanned with 2 computed tomographic scanners (collimation, 16 x 0.75
and 40 x 0.625 mm). We evaluated the in-stent stenosis visually for the 6
vascular models in 4 orientations (0, 30, 60, and 90 degrees) to the z-axis of
the scanner. We evaluated attenuation values of the stent lumen of the 3 patent
models in the 4 orientations. The average and standard deviation of the luminal
attenuation values were assessed to evaluate the degree of blooming and streak
artifacts. RESULTS: The visualization of the stent lumen of the vascular models
at 90 degrees was improved using 40-detector row CT. For all the 3 stents, the
average luminal attenuations values using 40-detector row CT were significantly
lower than those using 16-detector row CT in all orientations. For all the 3
stents, the standard deviations of the luminal computed tomographic attenuation
using 40-detector row CT were significantly smaller than those using 16-detector
row CT in the 4 orientations to the z-axis except for Express 2 at 0 degrees.
CONCLUSIONS: The visualization of coronary stents is improved by the use of 40
detector row CT with reduced blooming and streak artifacts.
PMID- 18043357
TI - Enhancement performance of a 64-slice triple rule-out protocol vs 16-slice and 10
slice multidetector CT-angiography protocols for evaluation of aortic and
pulmonary vasculature.
AB - OBJECTIVE: To compare the enhancement of the pulmonary and aortic vasculature
between a biphasic injection 64-slice, a single-phase injection 16-slice, and a
single-phase injection 10-slice multidetector computed tomographic (CT)
angiography (CTA) protocols. METHODS: With institutional review board approval
and Health Insurance Portability and Accountability Act compliance, 50 patients
(16 men, 34 women; mean age, 51.5 years; range, 30-75 years) with atypical chest
pain from the emergency department were scanned using a triple rule-out protocol
on a 64-slice CT scanner. Pulmonary enhancement was compared with 50 patients (21
men, 29 women; mean age, 65.6 years; range, 38-90 years) imaged with a single
phase 16-slice pulmonary angiography protocol. Aortic enhancement was compared
with 24 patients (12 men, 12 women; mean age, 66.1; range, 34-92 years) who were
imaged with a 16-slice aortic dissection CTA protocol and to 25 patients (15 men,
10 women; mean age, 50.8 years; range, 20-83 years) imaged with a 10-slice aortic
dissection CTA protocol. A 2-tailed Student t test or sign test was used to
assess significant differences from a vascular attenuation cutoff value of 250
Hounsfield units (HU). RESULTS: Individual mean pulmonary arterial and aortic
attenuation values were statistically significantly less than 250 HU for the 16-
and 10-slice protocols and statistically significantly more than 250 HU for the
64-slice protocols (P < 0.05). Mean pooled pulmonary attenuation values were more
than 250 HU in 18% (9/50) of the 16-slice and in 93% (39/42) of the 64-slice
protocols. Mean pooled aortic attenuation values were more than 250 HU in 18.4%
(9/49) of the 10- and 16- and in 100% (42/42) of the 64-slice protocols.
CONCLUSIONS: The triple rule-out 64-slice biphasic injection breath hold CTA
protocol provides significantly higher attenuation of aortic and pulmonary
vasculature compared with our current 10- and 16-slice protocols.
PMID- 18043358
TI - Diagnosis of metastatic melanoma to the heart with an intrinsic contrast approach
using melanin inversion recovery imaging.
AB - Melanoma not infrequently metastasizes to the heart where it either remains
occult or produces a very variable clinical picture. Recognition of metastatic
lesions can be difficult on echocardiography because they are frequently
intramural rather than intracavity. Magnetic resonance imaging with gadolinium
contrast enhancement has previously been reported as the optimum method for
identification of cardiac melanoma. This article presents an alternative approach
that exploits the intrinsic paramagnetic properties of melanin to provide
inherent contrast without the need for intravenous gadolinium injection. This
approach is reported here in 3 patients with cardiac melanoma, and its accuracy
is compared with a traditional gadolinium-enhanced method. We demonstrated that
there were no significant differences among number, location, and volume of
detected lesions using an inversion recovery sequence with or without intravenous
gadolinium contrast.
PMID- 18043359
TI - Computed tomography features of acute thrombosis of central veins with perivenous
inflammatory changes.
AB - OBJECTIVE: Our purpose was to examine the computed tomographic findings in 13
patients with acute deep venous thrombosis (DVT) of central veins of the neck,
chest, and abdomen in whom major perivenous inflammatory changes were noted.
METHODS: During a 10-year period, 13 patients with acute DVT of 21 central veins
and marked perivenous inflammatory changes on computed tomography were
identified. Computed tomography images were assessed for location of DVT and
pattern of perivenous changes. RESULTS: The perivenous changes took the form of a
rounded or lobulated perivenous bulky mass (massive pattern or tumorlike) in 5
patients and of infiltrative changes in the other 8 patients. Direct
visualization of the thrombotic vein was possible in 8 patients. Follow-up
examinations (range, 6-36 months; mean, 15 months) demonstrated resolution of
perivenous inflammatory changes with anticoagulation therapy in all cases.
CONCLUSION: Perivenous inflammatory changes around the thrombotic vein presented
as a rounded or lobulated perivenous bulky mass that may mimic a tumor or as
infiltrative perivenous changes.
PMID- 18043360
TI - Volume histogram analysis for lung thin-section computed tomography:
differentiation between usual interstitial pneumonia and nonspecific interstitial
pneumonia.
AB - OBJECTIVE: The aim of this study was to evaluate volume histogram analysis
between usual interstitial pneumonia (UIP) and nonspecific interstitial pneumonia
(NSIP). METHODS: Sixty cases (UIP, n = 22; NSIP, n = 38) were evaluated
retrospectively. Three parameters (contrast, variance, and entropy) were
calculated in 3 types of regions of interest (ROIs): (a) whole lung, (b) right
lower lobe, and (c) cubic ROIs. To evaluate the influence of extent of abnormal
findings, the numbers of voxels with low or high density in whole lung were
compared with the 3 parameters. RESULT: No significant differences were observed
between the ROIs of whole lung and the right lower lobe. In all cubic ROIs,
entropy in UIP was larger than that in NSIP (P < 0.001). The numbers of voxels
with low-density areas significantly correlated with the values of contrast and
entropy, whereas those with high-density areas significantly correlated with all
3 parameters. CONCLUSION: Volume histogram analysis for cubic ROIs may be
feasible for differentiating between UIP and NSIP.
PMID- 18043361
TI - Pulmonary cavitary nodules on computed tomography: differentiation of malignancy
and benignancy.
AB - OBJECTIVE: To establish computed tomographic findings that enable accurate
differentiation between malignant and benign cavitary lung nodules. METHODS:
Computed tomographic scans from 39 patients with malignant cavitary nodules and
from 39 patients with benign cavitary nodules were independently assessed by 2
observers. They recorded the computed tomographic findings of both types of
cavitary nodules and surrounding pulmonary parenchyma. The computed tomographic
findings were then compared using chi test. RESULTS: The notch was found in 29%
of benign cavitary nodule cases and in 54% of malignant cavitary nodule cases (P
< 0.01). An irregular internal wall was found in 26% of benign nodules and in 49%
of malignant nodule cases (P < 0.01). A linear margin (P < 0.01), satellite
nodule presence (P < 0.01), bronchial wall thickening (P < 0.05), consolidation
(P < 0.05), and ground-glass attenuation (P < 0.01) were significantly more
frequent in benign cavitary nodules than in malignant ones. CONCLUSIONS: Although
the computed tomographic findings of benign and malignant cavitary nodules
overlap, some computed tomographic findings are useful for differentiating
cavitary nodules.
PMID- 18043363
TI - Evaluation of pulmonary lobe variations using multidetector row computed
tomography.
AB - PURPOSE: To examine incomplete pulmonary lobes and their variations using
multiplanar reformation (MPR) techniques of isotropic voxel data produced by
multidetector row computed tomography (CT). MATERIALS AND METHODS: The subjects
were 1000 patients who underwent thoracic CT. All examinations were performed
using 16-row multidetector CT with a slice thickness of 1 mm. Interlobar fissures
were observed by scrolling MPR techniques for various directions. RESULTS:
Incomplete interlobar fissure was observed in 42.2% of subjects. Incomplete
interlobar fissures between the right upper and middle lobes were observed in
20.4% of subjects, right major fissure was recorded in 17.4%, and left major
fissure in 19.2%. Excessive fissure formation was observed in 8% of subjects (4
lobes on the right in 5.7%, 3 lobes on the left in 2.3%). Approximately half of
the subjects with incomplete interlobar fissures had coexisting abnormalities in
other lobes, whereas approximately one third of those with excessive fissures had
coexisting abnormalities in other lobes. CONCLUSIONS: The incidence of incomplete
lobulation observed by multidetector CT in this study is remarkably lower than
that reported in previous CT studies but is comparable with that reported from
autopsy results. It is important to perform multidirectional observation using
MPR.
PMID- 18043362
TI - Chest computed tomographic findings and clinical features of legionella
pneumonia.
AB - OBJECTIVE: To describe the chest radiographic and computed tomographic (CT)
findings of legionella pneumonia. METHODS: Serial chest radiographs and CT scans
obtained in 12 patients with serologically proven Legionella pneumophila
pneumonia were retrospectively reviewed. Chest CT findings were analyzed with
regard to patterns and distributions of pulmonary abnormalities. RESULTS: Nine of
the 12 patients were in an immunocompromised state, that is, steroid therapy (n =
8) and myelodysplastic syndrome (n = 1), and 6 of the 8 steroid users were on
high-dose steroid. All patients showed multilobar or multisegmental pulmonary
infiltrates on CT scans. The CT findings were categorizable as; predominantly
airspace consolidations (n = 6), mixed lesions with lobular consolidation and
ground-glass opacity (GGO) (n = 3), and pure GGO lesions (n = 2). Five of the 6
patients on high-dose steroid therapy had lobar consolidations with (n = 4) or
without a cavity (n = 1), and 1 patient had a mixed lesion. CONCLUSIONS: The most
common CT findings in legionella pneumonia were multilobar or multisegmental
consolidation and GGO. Cavitary lobar consolidation occurred commonly in patients
on high-dose steroid therapy.
PMID- 18043364
TI - CT of the foot: selected inflammatory arthridites.
AB - Although the primary imaging modality for the evaluation of inflammatory
arthritis of the foot and ankle is currently magnetic resonance imaging, computed
tomography may be performed in some patients and can aid in diagnosis. This
article reviews a number of inflammatory arthritic conditions that involve the
feet. Computed tomographic findings and the role of computed tomography in
diagnosing infection, gout, and rheumatoid arthritis of the foot are discussed.
PMID- 18043365
TI - Magnetic resonance imaging of the rotator interval in patients on long-term
hemodialysis: correlation with the range of shoulder motions.
AB - OBJECTIVE: To assess the rotator interval of patients on long-term hemodialysis
with magnetic resonance imaging (MRI) for the presence of adhesive capsulitis and
to correlate these findings with shoulder motions. METHODS: Seventeen shoulders
in 16 patients (mean age, 53.8 years) on hemodialysis (range of duration, 4-28
years) who had pain and limited range of shoulder motion underwent MRI. Rotator
interval was inspected in 3 regions (subcoracoid area, above the subscapularis
muscle, and around the biceps tendon) on sagittal magnetic resonance images with
regard to increased nonfatty soft tissue intensity as none, mild, moderate, and
marked. Magnetic resonance images were evaluated by 2 musculoskeletal
radiologists with consensus. Ranges of motion for external and internal rotation
and abduction and forward flexion were assessed either normal or mildly,
moderately, and severely limited. RESULTS: Increased nonfatty soft tissue
intensity within the rotator interval fat was observed in 11 shoulders (65%).
Very strong positive correlation was found between the limitation of external
rotation and the presence of nonfatty soft tissue infiltrating all 3 areas of the
rotator interval fat (rs, between 0.81 and 0.96; P < 0.001). Strong positive
correlation was found between the hemodialysis duration and the presence of
nonfatty soft tissue infiltrating all 3 areas of the rotator interval fat (rs,
between 0.68 and 0.71; P 2.5 cm) might result from complications of developmental
dysplasia of the hip and these patients can be treated with a percutaneous
epiphysiodesis.
PMID- 18043378
TI - Patellar dislocation in achondroplasia.
AB - The knee was assessed by normal plain radiographs in 20 achondroplasia patients
(40 lower extremities), whose age ranged from 10.5 to 23 years. Five patients
(seven knees) had permanent patellar dislocation. There was a significant
difference between the achondroplasia group and control group (50 knees without
skeletal dysplasia) for femoral sulcus angle but not for femorotibial angle,
Insall-Salvati index and Q angle. Patellar dislocation for achondroplasia may be
caused not only by the abnormalities of soft tissue but also a small groove of
patellofemoral joint as a bony malformation.
PMID- 18043379
TI - Correspondence: nailing of children's diaphyseal fractures using stainless steel
Kirschner wires.
PMID- 18043382
TI - Correspondence: late presenting dislocation of sonographically stable hips.
PMID- 18043383
TI - Correspondence: treatment of severe late onset Perthes disease with soft tissue
release and articulated hip distraction (Reply).
PMID- 18043384
TI - Computer-assisted detection for pulmonary embolism on MDCT: can CAD help Rad?
PMID- 18043385
TI - Pulmonary embolism: computer-aided detection at multidetector row spiral computed
tomography.
AB - BACKGROUND: We aimed to evaluate the feasibility and performance of a computer
aided detection (CAD) tool for automated detection of segmental and subsegmental
pulmonary emboli. METHODS: A CAD tool (ImageChecker CT, R2 Technology, Inc) for
automated detection of pulmonary emboli was evaluated on multidetector-row CT
studies of varying diagnostic quality in 23 patients (13 female, mean age 52 y)
with pulmonary embolism (PE) and of 13 patients (all female, mean age 49 y)
without PE. A collimation of 16 x 1 mm and a reconstructed section width of 1.25
mm had been used in each patient. The performance of the CAD tool for the
detection of emboli in the segmental and subsegmental pulmonary arterial tree was
assessed. Consensus reading of the same studies by 2 radiologists, with a third
for adjudication, for the identification of segmental and subsegmental pulmonary
emboli was used as the standard of reference. RESULTS: Consensus reading revealed
130 segmental pulmonary emboli and 107 subsegmental pulmonary emboli in the 23
patients with PE. All 23 patients with PE were correctly identified as having PE
by the CAD system. In a vessel-by-vessel analysis, the sensitivity of the CAD
algorithm was 92% (119/130) for the detection of segmental pulmonary emboli and
90% (92/107) for subsegmental pulmonary emboli. The overall specificity, positive
predictive value (95% confidence interval) and negative predictive value (95%
confidence interval) of the algorithm were 89.9%, 63.2% (57.9%-68.2%) and 97.7%
(96.7%-98.4%), respectively. The average false positive rate of the CAD algorithm
was 4.8 (range 1 to 9) false positive detection marks per case. CONCLUSION: CAD
of segmental and subsegmental pulmonary emboli based on 1-mm multidetector-row CT
studies is feasible. Application of CAD tools may improve the diagnostic accuracy
and decrease the interpretation time of computed tomographic angiography for the
detection of pulmonary emboli in the peripheral arterial tree and further enhance
the acceptance of this test as the first line diagnostic modality for suspected
PE.
PMID- 18043386
TI - Computer-aided detection of pulmonary embolism on CT angiography: initial
experience.
AB - OBJECTIVE: To evaluate the performance of a computer-aided detection (CAD) system
for diagnosis of pulmonary embolism on computed tomography (CT) pulmonary
angiography. MATERIALS AND METHODS: One hundred and four pulmonary CT angiograms
for pulmonary emboli (PE) were reviewed both by radiologists and a CAD detection
system (ImageChecker CT V2.0, R2 Technology Inc, Sunnyvale, CA). CT scans, read
and reported by radiologists in a routine daily clinical setting, were later
processed by the CAD system. The performance of the CAD system was analyzed.
RESULTS: Forty-five PE were identified by the radiologists in 15 patients. The
CAD system revealed 123 findings, interpreted by the system as PE. Twenty-six of
them, detected in 8 patients, represented true-positive results. Ninety-seven
(78.9%) CAD findings were not true PE and were defined as false-positive.
Nineteen true PE in 7 patients were missed by the CAD system constituting 42%
false-negative rate. Sensitivity of the CAD system was 53.3% and the specificity
was 77.5%. The positive predictive value of CAD system was 28.5% and the negative
predictive value was 90.7%. CONCLUSIONS: With the evaluated CAD system, it is
relatively simple and fast to check all detected findings and decide if they
represent true PE. However, high false-negative results demand technologic
improvement, to increase the sensitivity of the system. It is anticipated to
become a promising supplement to the work and eyes of the radiologist in
detecting PE on pulmonary CT angiography.
PMID- 18043387
TI - Prevalence and significance of incidental extracardiac findings at 64
multidetector coronary CTA.
AB - INTRODUCTION: Computed tomography (CT) angiography of the coronaries has the
ability to depict extracardiac lesions in the visualized thorax and upper
abdomen. These incidental lesions can often present a challenge to physicians.
METHODS: We performed a retrospective review of 100 consecutive patients referred
for 64-multidetector CT coronary CT angiography performed on a 64-slice CT
scanner. Two fellowship trained cardiac radiologists reviewed each study by
consensus on a CT postprocessing workstation using commercially available
software. Extracardiac CT findings (ECF) were classified as benign,
indeterminate, or of clinical significance at the time of image evaluation.
Benign findings were those considered to be of little clinical significance with
no follow-up needed. Indeterminate findings were those deemed of potential
clinical importance, requiring correlation of the patient history or a follow-up
study. Clinically significant findings were those felt to be of definite clinical
importance requiring immediate evaluation or intervention. RESULTS: The study
cohort consisted of 68 males (68%) and 32 females (32%) with a mean (+/-standard
deviation) age of 63.4+/-14.5 years and a range of 23 to 87 years. A total of 145
ECF were found in 67 patients (67%), 50 males and 17 females, with a mean age of
68.0+/-11.8 years and a range of 23 to 87 years. Of those, 107 (73.8%) were
considered benign, 22 (15.2%) indeterminate, and 16 clinically significant
findings (11.0%). By significance, a total of 107 benign ECF were found in 61
patients, 46 males and 15 females, with a mean age of 67.7+/-12.2 years and a
range of 23 to 87 years. Only 22 ECF indeterminate findings were present,
distributed in 21 patients, of which there were 17 males and 5 females, with a
mean age of 68.5+/-13.9 and a range of 23 to 82 years. The 16 clinically
significant ECF were distributed in 11 patients, all males, with a mean age of
68.0+/-8.8 and a range of 55 to 87 years. CONCLUSIONS: The presence of ECF in our
daily practice is frequent, and not limited to the identification of pulmonary
nodules, and reinforces the notion that all the organs in the scan should be
thoroughly and methodically evaluated.
PMID- 18043388
TI - Air-leak syndromes in hematopoietic stem cell transplant recipients with chronic
GVHD: high-resolution CT findings.
AB - OBJECTIVES: The purpose of this study was to determine the incidence and the high
resolution computed tomography findings of air-leak syndromes in a large group of
hematopoietic stem cell (HSC) transplant recipients with proved chronic graft
versus host disease (cGVHD). MATERIALS AND METHODS: High-resolution computed
tomography scans of 9 allogeneic HSC transplant recipients (8 men, 1 woman; 19 to
45 years of age; median 28 y), with a proven diagnosis of cGVHD-related
bronchiolitis obliterans syndrome (BOS) were reviewed for the presence,
appearance, and distribution of abnormalities. RESULTS: Nine patients with cGVHD
developed 10 episodes of spontaneous air-leak syndromes secondary to cGVHD
related BOS during the study period analyzed; for a 2-year estimated cumulative
incidence of 2.1% (95% confidence interval 0.2% to 3.8%) its prevalence was 5.7%
(95%CI: 2.6% to 10.5%). All cases were allogeneic HSC transplant recipients with
cGVHD who acquired new respiratory symptoms and/or radiologic abnormalities.
Pneumomediastinum and pneumothorax were present in 6 patients. Subcutaneous
emphysema was identified in 3 patients and pulmonary interstitial emphysema in 2
patients. A combination of different air-leak syndromes was observed in 6
patients. CONCLUSION: Air-leak syndromes represent an uncommon late complication
in HSC transplant recipients with cGVHD-related BOS.
PMID- 18043389
TI - A variant of Poland syndrome associated with dextroposition.
AB - Poland syndrome is characterized by partial or complete absence of pectoralis
muscles, ipsilateral syndactyly, and occasionally other malformations of the
anterior chest wall and breast. Other associated anomalies, including
dextrocardia, renal malformations, and vertebral anomalies, have been reported in
rare cases. The condition is more frequent among males, and usually occurs on the
right hemithorax in the unilateral form. The syndrome is believed to be caused by
a genetic disorder that reduces the embryonal circulation in the subclavian and
vertebral arteries. We report a 20-year-old man with Poland syndrome on the left
hemithorax, which is rarely associated with dextroposition.
PMID- 18043390
TI - Diffuse left ventricular myocardial calcification in the setting of sepsis on CT
imaging.
AB - We describe a 58-year-old man with acute lymphoblastic leukemia who developed
diffuse left ventricular myocardial calcification during an episode of sepsis
seen at computed tomographic imaging.
PMID- 18043391
TI - MDCT and 3D evaluation of type 2 hypoplastic pulmonary artery sling associated
with right lung agenesis, hypoplastic aortic arch, and long segment tracheal
stenosis.
AB - The early diagnosis and complete anatomic evaluation of pulmonary artery sling, a
congenital vascular anomaly in which left pulmonary artery arises from the right
pulmonary artery, is paramount for proper patient management, because patients
with this disorder frequently have other congenital anomalies resulting in high
morbidity and mortality. Until recently, pulmonary artery sling in the neonate
has been established with standard radiologic imaging studies such as plain
radiographs, barium swallow studies, fluoroscopy-guided airway studies, and
echocardiograms. However, with the development and widespread availability of
multidetector computed tomography, pulmonary artery sling is increasingly
evaluated with this newer technology. This case report presents a rare incidence
of type 2 hypoplastic pulmonary artery sling in a neonate associated with right
lung agenesis, hypoplastic aortic arch, and long segment tracheal stenosis.
Multidetector computed tomography combined with 3-dimensional evaluation was
particularly helpful in making a correct diagnosis of the complicated anatomic
anomalies found in this case.
PMID- 18043392
TI - Hypogenetic lung syndrome with anomalous venous return to the left inferior
pulmonary vein: multidetector row CT findings.
AB - A unique case of hypogenetic lung syndrome is demonstrated with contrast-enhanced
multidetector row computed tomography images. This is the first report of
hypogenetic lung syndrome with anomalous venous return to the left inferior
pulmonary vein.
PMID- 18043393
TI - Spindle cell lipoma of the mediastinum: a differential consideration for
liposarcoma.
AB - Spindle cell lipoma is a benign lesion most commonly found on the posterior neck
and shoulders. It shares many imaging characteristics with liposarcoma, including
the presence of adipose and soft tissue elements. Although its benign nature
precludes the necessity of surgical resection for treatment, surgery is often
required for tissue diagnosis. We report a case of spindle cell lipoma arising in
the anterior mediastinum.
PMID- 18043394
TI - Pulmonary hemorrhage resulting from bungee jumping.
AB - Pulmonary hemorrhage is a relatively common complication of blunt chest trauma.
Occasionally, it may result from pulmonary barotrauma after scuba diving or from
sports activities not associated with barotrauma such as long breath-hold diving.
We report a case of symmetric diffuse upper lobe hemorrhage resulting from a
bungee jump in a previously healthy man. Bungee jumping is an increasingly
popular sport with relatively few reported injuries. To our knowledge pulmonary
hemorrhage in this setting has not yet been described.
PMID- 18043395
TI - IgG4-positive pulmonary disease.
AB - We report herein high-resolution computed tomography findings from a patient with
IgG4-related pulmonary disease for the first time. The 61-year-old male patient
complained of low-grade fever, dry mouth, and night sweats. He was diagnosed as
having autoimmune pancreatitis, Sjogren syndrome, and elevated serum IgG4. High
resolution computed tomography of the lungs showed dense alveolar consolidation
and air bronchograms in bilateral perihilar regions. IgG4-positive
lymphoplasmacytes were detected in pulmonary lesions by immunostaining of biopsy
samples. IgG4-related pulmonary disease can be associated with various radiologic
findings.
PMID- 18043396
TI - Posterior mediastinal angioleiomyoma: report of a case.
AB - We describe a case of mediastinal angioleiomyoma in an asymptomatic 72-year-old
man, who was admitted to our hospital for a mediastinal tumor discovered during
an annual medical examination. The tumor was evaluated by computed tomography
(CT) and magnetic resonance imaging (MRI). Unenhanced CT scans demonstrated a
tumor that was adjacent to the descending aorta. The tumor was partially enhanced
in the early phase of contrast-enhanced CT, and in the late phase there was
additional tumor enhancement. With MRI, the tumor displayed a homogeneous low
signal intensity on the T1-weighted image and a homogeneous very high signal
intensity on the T2-weighted image. Contrast-enhanced MRI demonstrated the same
enhancement pattern as CT. The examination results led to a preoperative
diagnosis of posterior mediastinal hemangioma, and the patient underwent surgery.
The tumor originated from the supreme intercostal vein, and was diagnosed as an
angioleiomyoma by histopathologic examination. Because mediastinal
angioleiomyomas are very rare, they are difficult to diagnose preoperatively.
However, we believe that CT and MRI can be of significant help in the
differential diagnosis.
PMID- 18043397
TI - High-resolution computed tomography findings of diffuse pulmonary involvement by
mycosis fungoides.
AB - The authors describe a case of diffuse pulmonary involvement by mycosis fungoides
in a 55-year-old man who presented with progressive exertional dyspnea. Although
he had a long history of skin lesions, mycosis fungoides remained undiagnosed at
initial presentation. High-resolution computed tomography revealed hazy ground
glass opacities along the thickened bronchovascular bundles and interlobular
septa, particularly in the mid and upper lung fields, and traction bronchiectasis
in the central regions. Skin biopsy confirmed the diagnosis of mycosis fungoides
in the plaque stage. Transbronchial lung biopsy revealed diffuse infiltration of
the atypical lymphoid cells in the peribronchial and perivascular regions. In
this case, high-resolution computed tomography played an important role for
suggesting the lymphoproliferative disease in this patient with undiagnosed
mycosis fungoides.
PMID- 18043398
TI - Mediastinal lung herniation associated with pulmonary sequestration.
AB - Mediastinal lung herniation is a rare condition characterized by protrusion of 1
lower lung through behind the heart into the opposite side of the chest, usually
from right to left. We present a case of mediastinal lung herniation associated
with pulmonary sequestration, which was confirmed both surgically and
pathologically in a 13-year-old girl initially admitted with a diagnosis of
pneumonia. Contrast-enhanced computed tomographic images using a multidetector
row computed tomography clearly demonstrated the right lung herniation toward the
left and 2 aberrant systemic arteries supplying the sequestered lung mass. These
arteries run through the herniated lung from right to left. Additionally, on the
basis of pleural anatomy, we discuss herein the difference between a mediastinal
lung herniation and horseshoe lung.
PMID- 18043399
TI - High-resolution CT findings in pulmonary hyalinizing granuloma.
AB - A 47-year-old man with pulmonary hyalinizing granuloma is herein presented. The
patient, whose chief complaint was a mild cough, was found by chest radiograph to
have multiple bilateral nodules. Subsequent high-resolution computed tomography
demonstrated multiple slightly irregular nodules, perinodular ground-glass
opacity, peribronchovascular interstitial thickening, and cysts. A mild
enlargement of systemic lymph nodes was also noted. Laboratory tests disclosed a
slight elevation in the C-reactive protein, gamma-globulin, interleukin-6, and
soluble interleukin-2 receptor levels. A histopathologic examination of the
specimen yielded from a thoracoscopic lung biopsy resulted in a definite
diagnosis of pulmonary hyalinizing granuloma.
PMID- 18043400
TI - Notes from the 2006 annual meeting of the Korean Society of Thoracic Radiology.
PMID- 18043401
TI - Quality healthcare is everyone's right.
PMID- 18043402
TI - Andropause in the aging male patient.
PMID- 18043403
TI - Management of intraocular inflammation.
PMID- 18043404
TI - Reclast approved for osteoporosis treatment in postmenopausal women.
PMID- 18043405
TI - Alternative dispute resolution: an overview.
PMID- 18043406
TI - Bleeding disorders: patient history key to diagnosis.
PMID- 18043408
TI - Life with Lou Gehrig's disease: managing ALS symptoms.
PMID- 18043410
TI - Radioimmunotherapy in the treatment of non-Hodgkin's lymphoma.
PMID- 18043411
TI - Informed consent for patients with cognitive impairment.
PMID- 18043419
TI - Merton C. Flom, OD, PhD--a paradigm of optometric leadership.
AB - Dr. Merton Flom serves as the quintessential model for demonstrating what it
takes to be an outstanding scientist, clinician, and educator. Professor Flom's
career as a clinician, vision scientist, and visionary in optometry is well known
to most faculty in optometric institutions, but many in the profession may not be
aware of his enormous contributions. This profile captures Dr. Flom's
professional and personal views about optometry, vision research, and education.
PMID- 18043420
TI - Oscillopsia in "inverse latent" infantile nystagmus syndrome.
AB - PURPOSE: A possibly unique individual with infantile nystagmus syndrome presented
with incessant oscillopsia but good stereopsis when viewing binocularly; her
nystagmus was greatly reduced with left eye occlusion. We have attempted to
explain this and to identify an intervention that preserves binocular vision
while maximizing perceptual stability. CASE REPORT AND METHODS: Eye movements
were recorded and analyzed for duration of foveation (% time when the target was
on or near the fovea and the eye was moving at < or = 4 degrees /sec) under
different viewing conditions. Changes in foveation were compared with the
patient's reports of her perceptual stability. RESULTS: In her right gaze null
with her right eye fixating, foveation was 52.9%. This fell to 32.3% for the same
eye in primary position and to 0.8% when viewing binocularly in primary position.
When viewing binocularly oscillopsia was incessant; when viewing with her right
eye vision was stable except in left gaze. Prism correction of her phoria greatly
reduced her oscillopsia when viewing binocularly while preserving stereopsis;
foveation went up to 33.7%. CONCLUSION: The patient's ability to maintain good
foveation only when viewing with her right eye forces her to choose between
stereopsis and stable vision. This may result from the rare combination of (1)
requiring good foveation for oscillopsia suppression and (2) nystagmus
deteriorating under the stress of maintaining binocularity. There may be many
other infantile nystagmus syndrome patients who do not develop oscillopsia but
may suffer sufficient asthenopia from a phoria to exacerbate their nystagmus.
PMID- 18043421
TI - Depression in older people: visual impairment and subjective ratings of health.
AB - PURPOSE: The aim of this study was to establish the prevalence of depression in a
sample of older adults with impaired vision and investigate associations between
physical and visual disability and depression. METHODS: We analyzed cross
sectional baseline data from 391 participants aged >or=75 years with visual
acuity of 6/24 (20/80) or less, recruited for a randomized controlled trial of
interventions to prevent falls (the VIP trial). Measures included the geriatric
depression scale (GDS-15), the state-trait anxiety index, activities of daily
living (Nottingham extended ADL scale), physical activity (human activity
profile), an index of visual functioning (VF-14), health-related quality of life
(SF-36), objective measures of physical ability, and a measure of visual acuity.
Regression models were developed to investigate the association between
depression scores and physical, psychological, and visual disability. RESULTS:
About 29.4% (115 of 391) of participants were identified as potentially depressed
(GDS-15 score >4). Physical function, physical activity, physical ability, visual
function, anxiety, and self-reported physical and mental health were
significantly worse for those with depressive symptomatology. Physical, visual,
and psychological factors collectively explained 41% of the variance in the
depression score in a linear regression model (R=0.421, adjusted R=0.410, F
(7,382)=39.680, p<0.001). Depression was not related to age, gender, living
situation, ethnicity, or number of prescription or antidepressant medications
taken. CONCLUSIONS: Depression was common in this population of older adults with
severe visual impairment. Impaired visual and physical functions were associated
with symptoms of depression. The effect of visual disability was independent of
the effect of physical disability. The strength of this relationship, and the
results of the regression analyses, indicate that a person who is visually or
physically disabled is more likely to suffer from depression.
PMID- 18043422
TI - Hyperopia and emergent literacy of young children: pilot study.
AB - PURPOSE: To compare emergent literacy skills in uncorrected hyperopic and
emmetropic children. METHODS: "Hyperopes" (>or=2.00 D sphere along the most
hyperopic meridian; n=13; aged 67+/-13 mo) and "emmetropes" ( 0.005). The mean postoperative gain in bone conduction was
significant (p < 0.001) in each group: 11 dB in the microdrill group and 9 dB in
the pick group, with no significant difference between the two groups. The
preoperative air-bone gap was 34 dB in both groups, and improved to 15 dB in
both. Complication rates were similar. CONCLUSION: The microdrill and pick
stapedotomy techniques produced similar hearing results and complication rates.
Within the limitations of the present study, we found no evidence of microdrill
induced acoustic trauma. The microdrill can be a useful technique in performing a
stapedotomy and seems to produce similar results to those associated with pick
stapedotomy.
PMID- 18043428
TI - Feasibility of auditory cortical stimulation for the treatment of tinnitus.
AB - OBJECTIVES: To investigate the feasibility and safety of an implantable epidural
cortical stimulator for the treatment of severe tinnitus. STUDY DESIGN:
Prospective, controlled, single-blinded study of cortical stimulation for 4
weeks, and then an open-label stimulation period. SETTING: Tertiary care referral
center. PATIENTS: Adults (n = 8) with constant tinnitus of at least 1 year with a
tinnitus reaction questionnaire score greater than 33. Tinnitus was predominantly
unilateral with a frequency less than 8,000 Hz. INTERVENTIONS: Surgical
implantation of an investigational epidural electrode over the posterior superior
temporal gyrus using functional magnetic resonance imaging targeting. A 2-week
stimulation period alternated with a 2-week sham period in random order to which
subjects were blinded. This was followed by continuous stimulation with parameter
adjustments to maximize tinnitus suppression. MAIN OUTCOME MEASURE: Subjective
rating of tinnitus severity, loudness, and device efficacy. Objective measures of
hearing thresholds, tinnitus frequency, loudness, and minimum masking levels.
Outcome measures using the Tinnitus Handicap Questionnaire, Tinnitus Reaction
Questionnaire, and Beck Depression Inventory. RESULTS: There were no effects of
stimulation during the 4-week blinded period. With continuous chronic
stimulation, 2 patients had persistent reduction of pure-tone tinnitus, and 6
patients had short periods of total tinnitus suppression. Significant
improvements in the Beck Depression Inventory and tinnitus questionnaires were
found, although objective measures of tinnitus loudness remained fairly stable.
No surgical or stimulation-related complications were noted. CONCLUSION: Chronic
electrical stimulation of the secondary auditory cortex seems safe and warrants
further investigation as a potential therapeutic intervention for the suppression
of tinnitus.
PMID- 18043429
TI - Assessment of changes in cochlear function with pneumolabyrinth after middle ear
trauma.
AB - OBJECTIVE: To describe a case of pneumolabyrinth secondary to tympanic
membrane/ossicular trauma and the subsequent recovery of sensorineural hearing
loss managed with conservative measures. PATIENTS: A 15-year-old boy presented to
an outside hospital with signs and symptoms of acute hearing loss, vertigo, and
tinnitus after penetrating injury to his right tympanic membrane. In addition,
computed tomography demonstrated air density within the vestibule. INTERVENTIONS:
The patient was managed conservatively with bed rest, avoidance of straining,
corticosteroids, and antibiotics. MAIN OUTCOME MEASURES: Computed tomography,
audiologic testing. RESULTS: Patient recovered near-normal hearing subjectively.
There was closure of the air-bone gap ( or =3 watery or looser
than-normal stools within a 24-hour period, accompanied by detection of rotavirus
antigen in the stool. RESULTS: A total of 2070 infants between 25 and 36
gestational weeks received at least 1 dose of vaccine or placebo; 1005 vaccine
recipients and 1061 placebo recipients were evaluable for safety. Serious adverse
events occurred in 55 vaccine recipients (5.5%) and 62 placebo recipients (5.8%).
In a nested substudy of 308 premature infants evaluable for detailed safety (154
in each group), the frequencies of fever, diarrhea, vomiting, and irritability
were comparable between vaccine and placebo recipients. Overall, 3 doses of the
pentavalent vaccine reduced the rate of hospitalizations and emergency department
visits in premature infants due to rotavirus gastroenteritis by 100% (95% CI:
82.2-100) compared with placebo. The vaccine also prevented 73.0% (95% CI: -2.2
95.2) of rotavirus gastroenteritis cases of any severity. CONCLUSIONS: In this
post hoc analysis of healthy premature infants, the pentavalent rotavirus vaccine
was generally well-tolerated and substantially reduced rotavirus-attributable
hospitalizations and emergency department visits compared with placebo. Overall,
vaccine safety and efficacy seemed to be generally comparable to the results in
the REST study population as a whole. These results support vaccinating healthy
premature infants on the same schedule as term infants.
PMID- 18043446
TI - Immunogenicity and safety of a pneumococcal conjugate 7-valent vaccine in infants
with sickle cell disease.
AB - OBJECTIVES: To evaluate safety and immunogenicity of the pneumococcal 7-valent
conjugate vaccine (PCV7) when administered to infants with sickle cell disease
(SCD) at 2, 3, and 4 months of age with a booster dose of a 23-valent
pneumococcal polysaccharide vaccine (PS-23) at 15 to 18 months of age. METHODS:
This open-label multicenter study in France enrolled 2-month-old infants with
SCD. Blood samples for the determination of antibody concentrations to vaccine
serotypes were obtained immediately before and 1 month after the primary
immunization, and before and 1 month after the PS-23 booster. Local and systemic
reactions were recorded on diary cards. RESULTS: Of the 51 infants enrolled, 49
received primary immunization and 46 received the booster dose. After primary
immunization > or =95% of the subjects had antibody titers > or =0.35 microg/mL
for the 7 serotypes. After boosting, geometric mean concentrations were high for
all serotypes, ranging from 6.32 microg/mL (serotype 18C) to 29.49 microg/mL
(serotype 4). Except for 1 case after administration of the booster dose, all
fevers reported were less than 39 degrees C. No vaccine-related serious adverse
events were reported. CONCLUSIONS: PCV7 administered at 2, 3, and 4 months of age
in infants with SCD was well-tolerated, highly immunogenic, and primed for immune
memory as indicated by the dramatic response to the PS-23 dose administered at 15
18 months in this study. However, the current recommended schedule is to boost
with the PCV7 at 12-15 months of age and for these high-risk children, to enlarge
the protection with a subsequent PS-23 dose at 2 years of age.
PMID- 18043447
TI - Unexpected individual clinical site variation in eradication rates of group a
streptococci by penicillin in multisite clinical trials.
AB - BACKGROUND: Previously, we reported an unexpectedly large percentage of failures
by penicillin to eradicate group A streptococci (GAS) from the upper respiratory
tract. Because penicillin has been the recommended therapy for the treatment of
GAS pharyngitis, our report prompted controversy. Data from clinical trials in
which our laboratory has participated demonstrated marked variation in GAS
eradication rates among clinical sites. The reasons for such variation have never
been adequately examined. We performed statistical analyses of site variation in
eradication rates to assess the potential effect on reported reduced penicillin
efficacy. METHODS: Penicillin GAS eradication rates were compared using data from
4 large multisite pharyngitis treatment trials (75 clinical sites; 1158
subjects). Variation in eradication rates among clinical sites was statistically
evaluated [chi(2) tests and generalized estimating equation (GEE) regression
models]. RESULTS: There was significant site-to-site variation in GAS eradication
rates in each of the trials (range, 17-100%; P < 0.005) as well as between
separate trials (mean range, 58-69%; P < 0.033). GEE modeling indicated that GAS
eradication rates were significantly higher for clinical sites participating in
more than one clinical trial. CONCLUSIONS: The statistically significant site-to
site variation in penicillin eradication rates was related to factors
(dependencies) at individual sites. Such factors may affect assessment of
therapeutic efficacy and indicate a necessity for considering clinical site
variation before reporting pooled efficacy data from multiple sites; combined
data may result in misleading clinical implications. This is the first report
documenting significant variation resulting from individual clinical site-related
factors and offers a possible explanation for reduced penicillin eradication.
PMID- 18043448
TI - Incidence of tonsillectomy in Denmark, 1980 to 2001.
AB - BACKGROUND: Tonsillectomy is one of the most frequent operations performed on
children and young adults, but little is known regarding its distribution by age,
sex, and calendar period. METHODS: We designed a population-based cohort study
including all Danish residents from 1980 to 2001 to describe national incidence
figures for tonsillectomy. Persons undergoing tonsillectomy were identified in
the Danish National Patient Registry and from the Danish Health Security System.
Overall, the cohort consisted of 6.3 million persons, who were followed up for
106.9 million person-years. RESULTS: During the study period 153,212 patients had
tonsillectomies, comprising 84,831 females and 68,381 males. The age-specific
incidence of tonsillectomy peaked at 4 years of age for both boys and girls, with
9.7 and 6.9 tonsillectomies per 1000 person-years, respectively. A second peak
emerged during teenage years in both sexes, being highest among girls with 8.6
tonsillectomies per 1000 person-years at 16 years of age and 3.1 tonsillectomies
per 1000 person-years among 17-year-old boys. The cumulative risk of
tonsillectomy during the first 20 years of life increased from 7.9% among females
and 6.0% among males in 1980 to 9.2% and 7.7%, respectively, in 2001. Over 90% of
the patients less than 20 years of age registered at hospital with chronic
disease of the tonsils had tonsillectomies within a year. CONCLUSIONS: The
incidence of tonsillectomy revealed significant gender differences. Furthermore,
2 incidence peaks emerged at age 4 years and age 16-17 years. The second peak in
adolescence was particularly pronounced for females and is unexplained.
PMID- 18043449
TI - Increase of the USA300 clone among community-acquired methicillin-susceptible
Staphylococcus aureus causing invasive infections.
AB - BACKGROUND: Methicillin-resistant Staphylococcus aureus (MRSA) USA300 is a
predominant cause of community-acquired (CA) infection in the United States. We
compared clinical characteristics of children with USA300 versus non-USA300 CA
methicillin-susceptible S. aureus (CA-MSSA) invasive infections at Texas
Children's Hospital (TCH). METHODS: Medical records were reviewed from children
with invasive CA-MSSA infections at TCH between August 1, 2001 and September 30,
2006. Isolates were characterized by pulsed-field gel electrophoresis and
polymerase chain reaction for Panton-Valentine leukocidin genes (pvl). RESULTS:
Invasive CA-MSSA infections increased from 14 in year 1 to 36 in year 5 (5-year
total = 122 patients). Among the CA-MSSA isolates available for typing in the 5
year period, USA300 MSSA strains increased from 14% (2 of 14) to 35% (11 of 31)
(P = 0.03). USA300 MSSA strains were more likely than non-USA300 MSSA strains to
be nonsusceptible to erythromycin [66% (19 of 29) versus 28% (25 of 88); P <
0.01]. Osteomyelitis cases increased from 43% (6 of 14) in year 1 to 67% (24 of
36) in year 5. The majority of pvl(+) MSSA isolates were USA300 (71% (25 of 35);
only 5% (4 of 82) of pvl(-) MSSA isolates were USA300. Patients with
osteomyelitis caused by pvl isolates had significantly higher mean values for
erythrocyte sedimentation rate at admission (P = 0.005) and erythrocyte
sedimentation rate maximum value (P = 0.002), maximum C-reactive protein (P =
0.04), and absolute neutrophil count at presentation (P = 0.04) compared with
patients whose isolates were pvl(-). CONCLUSIONS: USA300 accounted for a growing
proportion of CA-MSSA isolates among children and was associated with increased
numbers of invasive CA-MSSA infections at TCH, especially osteomyelitis.
Associations were found in CA-MSSA osteomyelitis between pvl and increased
concentrations of systemic inflammatory markers in patients.
PMID- 18043450
TI - Daptomycin therapy for invasive Gram-positive bacterial infections in children.
AB - BACKGROUND: Clinical improvement is often delayed among children with invasive
infections caused by multidrug resistant Gram-positive bacteria such as
methicillin-resistant Staphylococcus aureus (MRSA) and vancomycin-resistant
enterococci (VRE) despite use of standard antimicrobial therapy. Daptomycin, a
bactericidal lipopeptide antibiotic, may prove useful for treatment of these
infections in children, but clinical experience is lacking. METHODS:
Retrospective review of medical records of hospitalized children who received
daptomycin for treatment of invasive Gram-positive bacterial infections at
Children's Medical Center Dallas from December 2003 to March 2007. Bacterial
isolates were tested for susceptibility to daptomycin and characterized by pulsed
field gel electrophoresis and polymerase chain reaction for staphylococcal
cassette chromosome mec A. RESULTS: Sixteen children (10 male; median age, 6.5
years) received daptomycin. Fifteen (94%) children had invasive staphylococcal
disease (14, MRSA, of which 13 were community-associated; 1, methicillin
susceptible S. aureus), and 1 had urinary tract infection caused by VRE. Twelve
children with disseminated staphylococcal disease had bacteremia for 2-10 days
despite therapy with 2 or more of the following: vancomycin, clindamycin,
rifampin, aminoglycoside, or linezolid. The addition of daptomycin resulted in
bacteriologic cure in 6 of 7 evaluable patients with persistent bacteremia. No
adverse events were attributed to daptomycin. Overall, 14 patients improved and
were discharged home, and 2 died of complications of their underlying medical
conditions. CONCLUSIONS: The majority of patients demonstrated clinical
improvement after addition of daptomycin to conventional antimicrobial therapy.
Further studies are needed to assess the pharmacokinetics, pharmacodynamics,
safety, and effectiveness of daptomycin in infants and children.
PMID- 18043451
TI - Mixed bacterial-viral infections in septic children with leukemia.
AB - BACKGROUND: Febrile infections in children with leukemia are common. The
occurrence of possible mixed bacterial-viral infections is unknown. METHODS: We
searched for viruses in leukemic children with blood culture-positive bacterial
infections. The prospective multicenter survey included 156 febrile episodes in
51 children with acute leukemia. The mean follow-up time was 1.5 years per
patient (27,743 patient-days at risk). Sixteen viruses were searched for from
nasal swab and stool samples using virus culture, virus antigen detection, and
polymerase chain reaction tests. RESULTS: Bacterial blood cultures were positive
in 19 (11%) febrile episodes among 17 children. In half of the septic episodes
(11 of 19), a virus was also found. Rhinovirus and respiratory syncytial virus
were the most common viruses detected. CONCLUSIONS: Our findings suggest that
invasive bacterial infections are commonly associated with viral infections in
children with leukemia.
PMID- 18043453
TI - Failure of chemoprophylaxis with standard antituberculosis agents in child
contacts of multidrug-resistant tuberculosis cases.
AB - BACKGROUND: There is little published information on optimal chemoprophylaxis for
children with multidrug-resistant tuberculosis (MDR-TB) contacts. Current
guidelines of World Health Organization suggest that isoniazid (INH), the
standard first-line chemoprophylaxis, be used for those exposed to MDR-TB.
METHODS: This is a retrospective review of medical records of 5 children residing
in the Western Cape Province, South Africa, who developed MDR-TB while receiving
conventional chemoprophylaxis with either INH or a combination of INH, rifampin,
and pyrazinamide. RESULTS: Adult MDR-TB source cases were identified for all
children and resistance patterns of patient and source case isolates matched in
all cases. The median age of the patients was 0.4 years. One patient participated
in a trial of INH chemoprophylaxis for HIV-infected children. Four HIV-uninfected
infants presented with TB-related symptoms several months after being given
chemoprophylaxis because of a known source case. Stigmata of TB were cough >3
weeks in 4, weight loss or a history of failing to thrive in 3, fever in 2
infants, and reported night sweats in 1. Chest radiographs at diagnosis revealed
lymphadenopathy, lobar opacification, and airway narrowing. All patients were
treated for varying time periods at a TB referral institution in the Western
Cape. CONCLUSIONS: Standard, first-line anti-TB agents were inadequate to prevent
MDR-TB in children exposed to MDR-TB contacts. Second-line chemoprophylaxis,
reflecting the susceptibility profile of the source case's isolate, with at least
2 drugs with activity against the drug-resistant isolate for 6-12 months should
be considered.
PMID- 18043452
TI - Progress in measles and rubella elimination in Iran.
AB - BACKGROUND: Measles is a leading cause of death worldwide because of vaccine
preventable diseases. Prevention and control of measles and rubella is a high
priority for the Islamic Republic of Iran. In 2002, the Ministry of Health and
Medical Education in Iran developed a comprehensive strategy to eliminate measles
and rubella. This strategy included recommendations for a mass immunization
campaign for all persons between the ages of 5-25 years with measles-rubella (MR)
vaccine. This report reviews the results of this campaign and progress in the
elimination of measles and rubella in Iran. METHODS: Measles vaccination
coverage, supplemental immunization activities, surveillance data, and results
from a nationwide serosurvey were reviewed. RESULTS: Between 1980 and 2005,
vaccination coverage ranged from 38%-99% with sustained high coverage (> or =94
99%) for the past decade. Despite high coverage with the first dose of measles
vaccine (MCV1) and the introduction of a second dose of measles in 1984, case
counts remained high between 1980 and 2005 with a median case count of 4414. In
December 2003, a nationwide immunization campaign was conducted targeting
33,579,082 people between the ages of 5 and 25 years with a combined measles and
rubella vaccine; 98% of the target population was vaccinated. A postcampaign
serosurvey conducted in 2004 revealed >97.4% of the population aged between 5 and
40 years had immunity to measles and rubella. Case-based surveillance for measles
identified 3 children with laboratory confirmed disease in 2004, 35 in 2005, and
42 children in 2006. Most confirmed disease occurred in immigrant communities or
communities with migrant populations from neighboring counties with ongoing
measles outbreaks. Surveillance for rubella was established after the campaign
and identified 37 patients with confirmed disease in the follow-up period.
CONCLUSIONS: Implementation of a comprehensive strategy for measles elimination
in Iran has remarkably reduced the incidence of measles and rubella to <1 case
per 1,000,000. Sporadic transmission continues to occur, particularly in areas
with immigrant and nomadic populations.
PMID- 18043454
TI - Hepatitis E.
PMID- 18043455
TI - New combination vaccines: integration into pediatric practice.
PMID- 18043456
TI - Bacterial characteristics as predictors of posttherapy recurrent bacteriuria
among children with acute uncomplicated cystitis caused by Escherichia coli.
AB - Multiple characteristics of pretherapy Escherichia coli urine isolates from 39
children with acute, uncomplicated cystitis (including specific virulence genes
and phylogenetic groups) identified an increased risk for recurrent bacteriuria
after 3-day (but not 10-day) therapy with amoxicillin-clavulanate. Rapid testing
conceivably could facilitate rational selection of treatment duration for
pediatric cystitis. Certain traits might represent good targets for preventive
interventions.
PMID- 18043457
TI - The gastrointestinal tract serves as the reservoir for Gram-negative pathogens in
very low birth weight infants.
AB - We report a pilot study testing the hypothesis that Gram-negative bacilli
colonizing the gastrointestinal tracts of infants with birth weights <1500 g are
the source of subsequent bloodstream infections. Ninety-five percent (18 of 19)
of paired bloodstream infection or antecedent rectal cultures were genotypically
concordant. The gastrointestinal tract is the reservoir for most cases of Gram
negative sepsis in this population.
PMID- 18043458
TI - Factors influencing the decision to test young infants for herpes simplex virus
infection.
AB - In a nested case-control study of 478 infants < or =90 days of age, one-third of
infants undergoing cerebrospinal fluid herpes simplex virus (HSV) testing by
polymerase chain reaction were >28 days of age. Recognized factors, such as mode
of delivery, were not associated with HSV testing. The factors currently used by
physicians in the decision to order this test do not best reflect the likelihood
of HSV infection.
PMID- 18043459
TI - Acute rheumatic fever in American Samoa.
AB - Acute rheumatic fever is common among Samoans in Hawaii. During 2 visits to
evaluate the magnitude of rheumatic fever problem among Samoans in American
Samoa, we identified 148 patients with rheumatic fever on penicillin prophylaxis.
Retrospective chart reviews were done showing possible delays in diagnosis of
acute rheumatic fever.
PMID- 18043460
TI - Mortality and neurodevelopmental outcome after Staphylococcus aureus bacteremia
in infants.
AB - We compared outcomes in infants with methicillin-resistant and methicillin
sensitive Staphylococcus aureus bacteremia. Infants with methicillin-resistant S.
aureus infection had a longer median duration of bacteremia (4.5 versus 1 day, P
= 0.01), but no difference in length of hospital stay, mortality, or
neurodevelopmental impairment.
PMID- 18043461
TI - Methicillin-resistant Staphylococcus aureus as a cause of extensive
retropharyngeal abscess in two infants.
AB - We report 2 cases of extensive methicillin-resistant Staphylococcus aureus (MRSA)
retropharyngeal abscesses in young infants. In 1 case, the abscess was associated
with a reactive thrombosis of the jugular vein. Based on the existing literature
and the rapid emergence of MRSA skin and soft tissue infections, it is possible
that similar severe infections will occur with increasing frequency in young
infants.
PMID- 18043462
TI - Pustular rash in Kawasaki syndrome.
AB - The skin rash of Kawasaki syndrome is usually erythematous. A 23-month-old Costa
Rican boy was admitted with a clinical picture compatible with Kawasaki syndrome,
except for his skin lesions. He had diffuse, confluent, multiple sterile whitish
pustular lesions on his chest, abdomen, neck, genitals, and thighs.
PMID- 18043463
TI - Diagnosis and treatment of cutaneous zygomycosis.
AB - Cutaneous zygomycosis is a rare but severe fungal infection with high risk of
dissemination. Early recognition, deep surgical biopsy for diagnosis, aggressive
treatment with repeated surgical debridement, and targeted pharmacotherapy are
essential and can prevent dissemination and fatal outcome. We present case
reports of 2 patients.
PMID- 18043464
TI - Absidia corymbifera in a patient with chronic granulomatous disease.
PMID- 18043466
TI - Initial antiretroviral drugs.
PMID- 18043467
TI - Drug interactions with herbal medicines.
AB - The use of herbal medicines (HM) is on the rise among the global population.
Although the safety profile of many herbal medicines is promising, accumulated
data show evidence of significant interactions with medications, which can place
individual patients at great risk. A range of electronic databases have been
reviewed for articles published in this field: Medline, Allied and Complementary
Medicine Database, HealthSTAR, AMBASE, CINHAL, Cochrane Library, as well as
Internet documents and manually searched references in medical journals. In this
review, we examined the literature from 1966 to 2006 and focused on the
importance of the risk of drug interactions and potential side effects when HM
are involved. We discuss these in light of the documented findings. A review of
the problematic issues is given and recommendations are made in order to
encourage the setting up of clinical trials on HM and herb-drug interactions.
PMID- 18043468
TI - Clinically important drug interactions potentially involving mechanism-based
inhibition of cytochrome P450 3A4 and the role of therapeutic drug monitoring.
AB - Cytochrome P450 (CYP) 3A4 is the most abundant enzyme of CYPs in the liver and
gut that metabolizes approximately 50% currently available drugs. A number of
important drugs have been identified as substrates, inducers, and/or inhibitors
of CYP3A4. The substrates of CYP3A4 considerably overlap with those of P
glycoprotein. Both CYP3A4 and P-glycoprotein are subject to inhibition and
induction by a number of factors. Mechanism-based inhibition of CYP3A4 is
characterized by NADPH-, time-, and concentration-dependent enzyme inactivation
occurring when some xenobiotics or drugs are converted by CYPs to reactive
metabolites. Such an inhibition of CYP3A4 is caused by chemical modification of
the heme, the protein, or both as a result of covalent binding of modified heme
to the protein. To date, the identified clinically important mechanism-based
CYP3A4 inhibitors mainly include macrolide antibiotics (eg, clarithromycin and
erythromycin), anti-HIV agents (eg, ritonavir and delavirdine), antidepressants
(eg, fluoxetine and fluvoxamine), calcium channel blockers (eg, verapamil and
diltiazem), steroids and their modulators (eg, gestodene and mifepristone), and
several herbal and dietary components. The inactivation of CYP3A4 by drugs often
causes unfavorable and long-lasting drug-drug interactions and probably fatal
toxicity, depending on many factors associated with the enzyme, drugs, and the
patients. Clinicians are encouraged to have a sound knowledge of drug-induced,
mechanism-based CYP3A4 inhibition; take proper cautions, and perform close
monitoring for possible drug interactions when using drugs that are mechanism
based CYP3A4 inhibitors. To minimize drug-drug interactions involving mechanism
based CYP3A4 inhibition, it is necessary to choose safe drug combination
regimens, adjust drug dosages appropriately, and conduct therapeutic drug
monitoring for drugs with narrow therapeutic indices.
PMID- 18043469
TI - Biological matrices for the evaluation of in utero exposure to drugs of abuse.
AB - In recent years, the evaluation of in utero exposure to drugs of abuse has been
achieved by testing biological matrices coming from the fetus or newborn (eg,
meconium, fetal hair, cord blood, neonatal urine), the pregnant or nursing mother
(eg, hair, blood, oral fluid, sweat, urine, breast milk), or from both the fetus
and the mother (placenta, amniotic fluid). Overall, these matrices have the
advantage of noninvasive collection (with the exception of amniotic fluid) and
early detection of exposure from different gestational periods. Matrices such as
amniotic fluid, meconium, fetal hair, and maternal hair provide a long historical
record of prenatal exposure to certain drugs and can account for different
periods of gestation: amniotic fluid from the early pregnancy, meconium for the
second and third trimester of gestation, fetal hair for the third, and finally
maternal hair (when long enough) for the whole pregnancy. Placenta may reveal the
passage of a substance from the mother to the fetus. Cord blood and neonatal
urine are useful for determining acute exposure to drugs of abuse in the period
immediately previous to delivery. Drug detection in maternal blood, oral fluid,
and sweat accounts only for acute consumption that occurred in the hours previous
to collection and gives poor information concerning fetal exposure. Different
immunoassays were used as screening methods for drug testing in the above
reported matrices or as unique analytical investigation tools when
chromatographic techniques coupled to mass spectrometry were not commonly
available. However, in the last decade, both liquid and gas chromatography-mass
spectrometric methodologies have been routinely applied after appropriate
extraction of drugs and their metabolites from these biological matrices.
PMID- 18043470
TI - Effect of diabetes mellitus on mycophenolate sodium pharmacokinetics and inosine
monophosphate dehydrogenase activity in stable kidney transplant recipients.
AB - Effect of diabetes mellitus on mycophenolic acid (MPA) pharmacokinetics and
catalytic activity of inosine monophosphate dehydrogenase (IMPDH) was
investigated in maintenance kidney transplant recipients. Demographically matched
diabetic (n=9) and nondiabetic (n=9) patients were included in a 12-hour open
label, steady-state study after oral administration of enteric-coated
mycophenolate sodium. Concentrations of total MPA and free MPA, MPA-glucuronide,
and acyl-MPA-glucuronide were measured and oral acetaminophen absorption was used
as a marker for gastric-emptying rate. Median (range) of MPA area under the
curve(0-12) was 36.7 (range, 16.4-116.4) mg*h/L in diabetic and 48.2 (range, 34.9
80.1) mg*h/L in nondiabetic patients (P=0.49). All other primary pharmacokinetic
parameters, including time to maximum concentration, for total or unbound MPA as
well as MPA metabolites were comparable. In contrast, IMPDH activity was 17.5+/
2.8 versus 46.6+/-2.5 nmol XMP/h/microg protein in diabetics and nondiabetics,
respectively (P<0.0001) and was significantly lower in the diabetics irrespective
of concomitant therapy with cyclosporine or tacrolimus. This study demonstrated
that diabetes does not alter MPA pharmacokinetics when administered as enteric
coated mycophenolate sodium; however, IMPDH activity appeared to be significantly
lower in patients with diabetes independent of the unbound or total
concentrations of MPA. Further investigations are warranted to investigate the
regulation of IMPDH enzyme in patients with diabetes.
PMID- 18043471
TI - Crossreactivity of isolated everolimus metabolites with the Innofluor Certican
immunoassay for therapeutic drug monitoring of everolimus.
AB - Everolimus is an immunosuppressant used as rejection prophylaxis in patients
undergoing transplants. It requires blood concentration-guided dosing and is
extensively metabolized. It was the goal to assess the crossreactivity of the
major everolimus metabolites in the blood of patients undergoing kidney graft
with the Innofluor Certican Assay (Seradyn, Inc., Indianapolis, IN), a clinical
assay used to quantify the concentrations of everolimus in patients' blood
samples. The three main hydroxy metabolites of everolimus (46-, 24-, and 25
hydroxy everolimus) and all other minor hydroxylated and demethylated metabolites
were generated using pooled human liver microsomes and purified using
semipreparative high-performance liquid chromatography with ultraviolet
detection. Structures were confirmed using liquid chromatography-mass
spectrometry/ion trap mass spectrometry and analysis of the fragmentation
patterns. Blank blood samples were spiked with the isolated metabolites to
determine the specific crossreactivity with the immunoassay. Crossreactivity
testing with the immunoassay showed 1% or less for 46-hydroxy and 24-hydroxy
everolimus and 6% or less crossreactivity for 25-hydroxy everolimus at
therapeutically relevant concentrations. Crossreactivity testing of the minor
metabolites showed crossreactivities of 16.3% for 45-hydroxy, 33.0% for 12
hydroxy, 18.3% for 11-hydroxy, 15.3% for 14-hydroxy, 38.7% for OH-piperidine I,
46.3% for OH-piperidine II, 43% for 39-O-desmethyl, 142% for 27-O-desmethyl, and
68% for 40-O-desethylhydroxy everolimus (sirolimus).
PMID- 18043472
TI - Achievement of target cyclosporine concentrations as a predictor of severe acute
graft versus host disease in children undergoing hematopoietic stem cell
transplantation and receiving cyclosporine and methotrexate prophylaxis.
AB - This study evaluates our institution's target trough cyclosporine (CSA)
concentrations as predictors of severe acute graft versus host disease (aGvHD) in
children receiving either matched related or unrelated hematopoietic stem cell
transplantation (HSCT). The outcomes of 87 consecutive children who underwent
allogeneic HSCT and received CSA and methotrexate as prophylaxis against aGvHD
between October 1, 1999 and September 30, 2002 were retrospectively evaluated.
The proportion of time that each patient maintained a whole blood CSA
concentration within or above the initial target range (105-155 ng/mL or 155-210
ng/mL) was calculated for each of the following time periods: in each week after
HSCT from day 0 to +28; in the week preceding engraftment; and in the week
preceding the onset of aGvHD. Patients were prospectively evaluated twice weekly
for the presence and severity of aGvHD by senior attending physicians. The
relationship between potential predictors and the development of severe aGvHD was
examined using univariate logistic regression. The main variables of interest
were the proportion of time that therapeutic or supratherapeutic CSA
concentrations were maintained; median CSA concentrations; the number of
methotrexate doses received; and the use of folinic acid rescue. Mean follow-up
time was 3.0+/-1.9 years among children who survived beyond day +100. Three
variables were significantly associated with the development of severe aGvHD on
univariate analysis: initial CSA target concentration [odds ratio (OR), 0.24;
P=0.03], proportion of time the target CSA concentration was achieved during the
second week after transplant (OR, 0.16; P=0.02), and proportion of time the
target CSA concentration was achieved during the week before engraftment (OR,
0.22; P=0.0489). Multivariable analysis demonstrated an inverse relationship
between the median CSA concentration during the week before engraftment and the
development of severe aGvHD (OR, 0.99; P=0.045). These results suggest that
achievement of our CSA target concentrations is important to aGvHD outcomes.
PMID- 18043474
TI - Measurement of duloxetine in blood using high-performance liquid chromatography
with spectrophotometric detection and column switching.
AB - A method using high-performance liquid chromatography (HPLC) with column
switching and ultraviolet (UV) spectroscopy was developed for the determination
of duloxetine in human plasma. After centrifugation and addition of venlafaxine
as internal standard, plasma samples were injected into the HPLC system and
precleaned on a column (10 x 4.0 mm) filled with cyanopropyl (CN)-modified silica
of 20 microm particle size, with use of 8% (vol/vol) acetonitrile in deionized
water as eluent. Duloxetine was eluted and separated on a LiChrospher 100 CN (5
microm particle size; column size, 250 x 4.6 mm I.D.) using acetonitrile-water
potassium dihydrogenphosphate trihydrate buffer (pH, 6.4; 50:50 vol/vol) and
detected at 218 nm. Duloxetine could be analyzed within 30 minutes. The limit of
quantification was 5 ng/mL. At duloxetine concentrations up to 138 ng/mL that
resulted from therapeutic doses of 30 to 120 mg per day, the interassay
reproducibility of quality control samples was better than 12%. The method was
found to be robust and stable. With the exception of chlorprothixene and
desmethylclomipramine, other drugs that may be used as comedication were not
found to exhibit retention times similar to duloxetine. In serum samples from 37
patients treated with 30 to 120 mg per day for at least 7 days, the mean steady
state serum concentration of duloxetine was 40 ng/mL, the median was 37 ng/mL,
and the 25th and 75th percentiles were 22 and 55 ng/mL, respectively. At 60, 90,
and 120 mg/day, the mean +/- SD serum concentrations were 33+/-22.0, 43+/-22.2,
and 48+/-17.0 ng/mL, respectively. There was a statistically significant
correlation (P<0.05, r2=0.26) between prescribed daily doses and serum
concentrations of duloxetine. In patients without or with comedication with other
drugs, such as inhibitors of cytochrome P450 2D6 (eg, metoprolol or propranolol),
serum concentrations of duloxetine were not significantly different. HPLC with
column switching and ultraviolet detection as described here is suitable for
pharmacokinetic studies and therapeutic drug monitoring of duloxetine.
PMID- 18043473
TI - Therapeutic drug monitoring of escitalopram in an outpatient setting.
AB - The main objectives of this study were to outline the inter- and intraindividual
and overall pharmacokinetic variability of S-citalopram, S-desmethylcitalopram,
and S-didesmethylcitalopram in serum by means of therapeutic drug monitoring; and
to investigate potential correlations between the serum concentration and
simultaneously collected clinical data. The study was conducted on outpatients in
Sweden in 2002 to 2005. Included in the pharmacokinetic evaluation were 155
patients (68% women and 32% men) aged 17 to 95 years (average, 51 years). One
serum sample per patient, taken as a trough value in steady state, was assessed.
For the inter- and intraindividual variation calculation, 16 patients were
included with two eligible samples each. The median daily dose was 20 mg/day
(range, 5-40 mg). Extensive overall serum concentration variability was seen for
all dose levels. The interindividual coefficient of variation for dose-normalized
concentrations was 71% for S-citalopram, 36% for S-desmethylcitalopram, and 50%
for S-didesmethylcitalopram. The intraindividual variations over time for the
same parameters were approximately 30%, except for the ratio S
desmethylcitalopram/S-citalopram, which was 23%. The median S-desmethylcitalopram
level was approximately 60% of the parent substance and the S
didesmethylcitalopram level approximately 9%. Higher age was correlated with
higher serum concentrations, but no gender-related concentration differences were
found. A majority (76%) of the patients took one or more drugs in addition to
escitalopram, but concomitant medication did not seem to interact with
escitalopram. However, women taking oral contraceptives showed a lower metabolic
ratio compared with age-matched women. As a result of the wide range of the ratio
in this population, these findings are not considered of clinical relevance.
PMID- 18043475
TI - Simultaneous high-performance liquid chromatographic determination of olanzapine
and lamotrigine in plasma of bipolar patients.
AB - An original method based on the use of high-performance liquid chromatography
with both coulometric and diode array detection has been developed for the
therapeutic drug monitoring of patients with bipolar disorders being treated with
olanzapine and lamotrigine. Chromatographic separation was achieved on a reversed
phase C8 column (150 x 4.6 mm internal diameter, 5 microm) using a mobile phase
composed of methanol (27%) and a 50.0 mmol/L, pH 3.5 phosphate buffer (73%). For
the analysis of olanzapine and its main metabolite, N-desmethylolanzapine, a
coulometric detector was used, with electrode 1 set at -200 mV and electrode 2 at
+500 mV. Lamotrigine was determined using a diode array detection at 220 nm. The
two detectors were connected in series. For the analysis of biological samples, a
clean-up procedure was implemented by means of solid-phase extraction using
phenyl cartridges and eluting the analytes with methanol; only a small volume of
plasma (150 microL) was needed to analyze both olanzapine and lamotrigine. Linear
responses were obtained between 0.1 and 50.0 ng mL(-1) for olanzapine, 0.1 and
25.0 ng mL(-1) for N-desmethylolanzapine, and between 0.25 and 10.0 microg mL(-1)
for lamotrigine. The extraction yield values were always higher than 90% for all
the analytes, with precision (expressed as relative standard deviation values)
lower than 3.4%. The method was applied successfully to some human plasma samples
drawn from bipolar patients undergoing combined therapy with the two drugs.
Satisfactory values for accuracy were obtained, with mean recovery higher than
91%. Thus, the method appears suitable for the investigation of the chemical
clinical correlations in patients receiving therapy with olanzapine and
lamotrigine.
PMID- 18043476
TI - Population pharmacokinetic model of carbamazepine derived from routine
therapeutic drug monitoring data.
AB - The aim of the present study was to develop a population pharmacokinetic model of
carbamazepine from routine therapeutic drug monitoring data. Steady-state
carbamazepine plasma concentrations determined by homogenous enzyme immunoassay
technique, dosing history including cotherapy, schedule of blood sampling, and
patients' demographic characteristics were collected retrospectively from
patients' chart histories. A one-compartment model was fitted to the data using
nonlinear mixed effects modeling. The influence of weight, age, gender, smoking,
allergy, carbamazepine daily dose, and cotherapy on clearance (CL/F) was
evaluated. Additionally, bioavailability of controlled-release relative to
immediate-release tablets was assessed. Two hundred sixty-five patients (423
concentrations) were used to develop a population pharmacokinetic model. The
population estimate of CL/F from the base model was 5.14 L/h with interindividual
variability of 50.20%. Patients' gender, age, smoking, allergy, cotherapy with
lamotrigine and benzodiazepines had no effect on CL/F. Patient weight (WT), daily
carbamazepine dose (DCBZ), daily dose of phenobarbitone (DPB) and valproic acid
(VPA), when its daily dose exceeded 750 mg, significantly influenced CL/F and
were included in the final model:[equation: see text] where VPA is 1 if dose is
greater than 750 mg or 0 otherwise. No difference in bioavailability of
carbamazepine between controlled- and immediate-release tablets was detected. The
model predictions in the validation set had no bias and satisfactory precision.
The model can be used for estimation of carbamazepine CL/F in individual patients
in the postautoinduction phase and for selection of optimum dosing regimen in
routine patient care.
PMID- 18043477
TI - Serum concentrations of pregabalin in patients with epilepsy: the influence of
dose, age, and comedication.
AB - Pregabalin (PGB) is a new antiepileptic drug (AED) approved for adjunctive
therapy for partial seizures with and without generalized tonic-clonic seizures
and for the treatment of peripheral neuropathic pain in adults. PGB does not bind
to plasma proteins and is excreted predominantly unchanged by the kidneys.
Previous studies indicated that PGB shows no relevant interactions with other
AEDs. The aim of this study was to investigate the influence of PGB dose, patient
age, and comedication on the serum concentration of PGB. In total, 198 samples of
167 (adult) inpatients who fulfilled the inclusion criteria (eg, trough
concentration, body weight available) were investigated. A patient was considered
twice only if the comedication had been changed. The PGB serum concentration
(mg/L) in relation to PGB dose/body weight (mg/kg) per day (level-to-dose ratio,
LDR, [(mg/L)/(mg/kg)=kg/L]) was calculated and compared for the most frequent
drug combinations (n=97). Analysis of covariance (using age as covariate) carried
out on the log-transformed data showed that comedication had a slight but
significant (P = 0.02) effect on PGB serum concentrations. The median LDR of PGB
was 0.29 for PGB + oxcarbazepine (n=16), 0.31 for PGB + carbamazepine (n=20),
0.35 for PGB + levetiracetam (n=11), 0.35 for PGB + lamotrigine (n=15), and 0.39
for PGB + valproic acid + lamotrigine (n=35). Regression analysis including all
198 samples indicated (in accordance with analysis of covariance) that PGB
concentrations were lower in combination with enzyme-inducing AEDs (phenytoin,
carbamazepine, oxcarbazepine) and were age-dependent (higher in older patients).
The PGB dose-concentration relationship was nearly linear (r=0.68, P<0.0001).
However, patients on the same PGB dosage per body weight had rather different PGB
trough concentrations, which could be explained only in part by age and
comedication. The increase of PGB serum concentrations in older patients is in
accordance with expectations for drugs that are predominantly renally excreted.
Unexpectedly and in contrast to other studies, our data indicate that
comedication with enzyme-inducing antiepileptic drugs (eg, carbamazepine) can
moderately decrease PGB serum concentrations (about 20% to 30%). Further studies
should clarify the effect of age and interactions on PGB concentrations.
PMID- 18043478
TI - Pharmacokinetic interaction between darunavir and saquinavir in HIV-negative
volunteers.
AB - This was an open-label, crossover study to investigate the pharmacokinetic
interaction between darunavir (TMC114), coadministered with low-dose ritonavir
(darunavir/ritonavir), and the protease inhibitor saquinavir in HIV-negative
healthy volunteers. Thirty-two volunteers were randomized into two cohorts (panel
1 and panel 2). In two separate sessions, panel 1 received 400/100 mg
darunavir/ritonavir twice a day and 400/1000/100 mg
darunavir/saquinavir/ritonavir twice a day; panel 2 received 1000/100 mg
saquinavir/ritonavir twice a day and 400/1000/100 mg
darunavir/saquinavir/ritonavir twice a day. All treatments were administered
orally under fed conditions for 13 days with an additional single morning dose on
day 14. Treatment sessions were separated by a washout period of at least 14
days. Twenty-six volunteers completed the study (n=14, panel 1; n=12, panel 2),
whereas six discontinued as a result of adverse events. Coadministration of
saquinavir with darunavir/ritonavir resulted in decreases of darunavir area under
the curve and maximum and minimum plasma concentrations of 26%, 17%, and 42%,
respectively, compared with administration of darunavir/ritonavir alone. Relative
to treatment with saquinavir/ritonavir alone, saquinavir exposure was not
significantly different with the addition of darunavir. Ritonavir area under the
curve12h increased by 34% when saquinavir was added to treatment with
darunavir/ritonavir. The coadministration of darunavir/saquinavir/ritonavir was
generally well tolerated. Similar findings are expected with the approved 600/100
mg darunavir/ritonavir twice-a-day dose. The combination of saquinavir and
darunavir/ritonavir is currently not recommended.
PMID- 18043479
TI - Measurement of ribavirin plasma concentrations by high-performance liquid
chromatography using a novel solid-phase extraction method in patients treated
for chronic hepatitis C.
AB - Ribavirin (RBV) in combination with pegylated interferon is the current standard
treatment for chronic hepatitis C. Exposure to RBV seems crucial for achieving
the best virologic response. However, RBV may cause anemia in a dose-dependent
manner. Therefore, monitoring RBV plasma levels could be useful for individual
tailoring of RBV dosing. A rapid assay was developed to quantify RBV using high
performance liquid chromatography and ultraviolet detection. Extraction of RBV
from plasma was performed using a novel method based on ultrafiltration in one
step that allows direct injection into the high-performance liquid chromatography
without any prior steps of dryness or reconstitution. The method was validated
over the range of 0.05 to 5.0 microg/mL following the EMEA Validation of
Analytical Procedures (CPMP/ICH/281/95) recommendations. The clinical interest of
this assay was evaluated in a subset of patients under RBV therapy. Mean RBV
plasma concentrations at steady state were higher in responders compared with
nonresponders (3-3.2 mug/mL versus 2.2-2.3 microg/mL).
PMID- 18043480
TI - Orogastric and intravenous indomethacin administration to very premature neonates
with patent ductus arteriosus: population pharmacokinetics, absolute
bioavailability, and treatment outcome.
AB - A population pharmacokinetic model was developed after administration of
orogastric and/or intravenous indomethacin for the treatment of patent ductus
arteriosus in preterm infants. Plasma indomethacin concentrations (n=227) were
obtained from 90 preterm infants of median gestational age 27 weeks, mean
postnatal age of 12 days, and a mean current weight (WT) of 1010 g. Infants
received one to three courses of indomethacin (0.1 mg/kg per day for 6 days). A
one-compartment model was fitted to the data to obtain estimates of clearance
(CL), volume of distribution (V), absorption rate constant (Ka) and orogastric
bioavailability (F), using NONMEM. Model robustness was assessed by bootstrapping
with replacement (500 samples). The structural model was: CL (L/h)=0.0166 (WT /
0.936)1.54; V (L)=0.484 (WT / 0.936)1.41; F=0.986; Ka (h(-1))=0.786. The
interindividual variability for CL and V was 57.7% and 45.6%, respectively. There
remained considerable residual unexplained variability (45.4%). Mean (range)
conditional estimates from individual infants for CL, V, and elimination half
life were 18.9 (4.7-45.5) mL/h/kg, 509 (191-1120) mL/kg, and 20.0 (12.0-37.3)
hours, respectively. Complete ductus closure occurred in 67% of patients. Infants
of lower gestational age or birth weight had less chance of successful ductal
closure. There was no obvious dose-response relationship between systemic
exposure to varying plasma indomethacin concentrations and ductus closure, which
does not support individualized indomethacin dosing based on monitoring to a
target plasma concentration.
PMID- 18043481
TI - Excretion of ketoprofen and nalbuphine in human milk during treatment of maternal
pain after delivery.
AB - Analgesics are required to prevent and treat postpartum pain, but breast-feeding
may be contraindicated, because data on milk transfer are very limited. The
present study was undertaken to quantify the transfer of ketoprofen and
nalbuphine in milk. Eighteen patients gave their informed consent to participate
and completed the study. Following delivery, they received ketoprofen (100 mg/12
hours) and nalbuphine (0.2 mg/kg/4 hours) as an intravenous bolus over 2 to 3
days for postpartum pain. Milk samples were collected during the 12 hours between
the third and fourth ketoprofen administrations. Ketoprofen and nalbuphine
concentrations were determined with high-performance liquid chromatography. The
mean and maximum ketoprofen milk concentrations were 57+/-37 and 91+/-51 ng/mL,
respectively. Assuming a milk volume of 150 mL/kg/day, the mean and maximum doses
that a breast-fed neonate would ingest during one day are 8.5+/-5.5 and 13.6+/
7.6 microg/kg/day, respectively, and the relative infant dose is 0.31+/-0.17% of
the weight-adjusted maternal daily dose. The mean and maximum nalbuphine milk
concentrations were 42+/-26 and 61+/-26 ng/mL, respectively. Assuming a milk
volume of 150 mL/kg/day, the mean and maximum doses that a breast-fed neonate
would ingest during one day is 7.0+/-3.2 and 9.0+/-3.8 microg/kg/day, and the
relative infant dose is 0.59+/-0.27% of the weight-adjusted maternal daily dose.
Therefore, breast-feeding is permissible when ketoprofen and/or nalbuphine are
administered to the mother to treat postpartum pain.
PMID- 18043482
TI - The association between acetaminophen concentrations in the cerebrospinal fluid
and temperature decline in febrile infants.
AB - The objective of this study consisting of a prospective cohort of febrile infants
was to describe the correlation between cerebrospinal fluid (CSF) acetaminophen
(paracetamol) concentrations and changes in body temperature in febrile infants.
Infants, one week to one year of age, with rectal temperature >or=38.0 degrees C,
treated with acetaminophen were studied if they underwent a lumbar puncture (LP).
Patients received 15 mg/kg of acetaminophen 30 minutes to 4 hours before lumbar
puncture was performed. Rectal temperature was documented before acetaminophen
administration and at the time of lumbar puncture. Plasma and CSF acetaminophen
levels were determined using high-pressure liquid chromatography. Thirty-one
infants were studied. In a nonlinear regression, the relationship among
acetaminophen concentrations in the CSF, time, and temperature differences is
best described by a Lorentzian distribution. The model suggests that a peak
effect on temperature is achieved at CSF concentration of 11.9 microg/mL and 182
minutes after acetaminophen administration (P<0.001 and P<0.001, respectively
r=0.9 adjusted r square=0.78). Temperature decrement in young febrile infants,
treated with acetaminophen, correlates with time and acetaminophen concentrations
in the CSF. High concentrations of acetaminophen in the CSF, exceeding a certain
level, are not associated with greater temperature decrement.
PMID- 18043483
TI - Validated quantitation of angiotensin II receptor antagonists (ARA-II) in human
plasma by liquid-chromatography-tandem mass spectrometry using minimum sample
clean-up and investigation of ion suppression.
AB - For the quantitation of angiotensin II receptor antagonists (ARA-II) in human
plasma, a method using liquid-chromatography (LC)-electrospray ionization tandem
mass spectrometry (MS/MS) has been developed with respect to simple sample clean
up and investigation of ion suppression effects. For sample preparation, protein
precipitation using zinc sulphate and methanol showed advantages in speed,
recovery, and reproducibility over solid-phase extraction. A triple quadrupole
mass spectrometer (Sciex API 365) with turbo ionspray source was used for
detection of compounds with multireaction monitoring (MRM) of two transitions per
compound. Suppression effects caused by endogenous matrix compounds were
investigated by post-column infusion of analytes and LC analysis of precipitates
of blank plasma samples and could be excluded. A validation was performed for the
ARA-II drugs (valsartan, irbesartan, losartan and its active metabolite EXP 3174,
eprosartan, candesartan, and telmisartan). The developed method showed good intra
and interday precision (<12% relative standard deviation) and accuracy (<11.5%
bias) at different concentrations for all the studied compounds. The calculated
lower limits of quantitation were between 7 and 13 ng/mL, and the compounds were
stable during the analytical process. These rather expensive drugs against
hypertension are prescribed with increasing numbers in Europe and the
industrialized nations. Complications might arise from overdosage or metabolic
disorders. However, drug monitoring is not usually performed. Because the
therapeutic concentrations range from a few nanograms to hundreds of nanograms
per milliliter for the different drugs, and they are not amenable to gas
chromatography/MS analysis because of their high molecular weight and polarity,
the LC-MS/MS method is the golden standard for therapeutic drug monitoring and
for clinical and forensic toxicology of ARA-II drugs.
PMID- 18043484
TI - Limited sampling strategies to estimate exposure to the green tea polyphenol,
epigallocatechin gallate, in fasting and fed conditions.
AB - The objective of this study was to develop an efficient sampling strategy to
predict epigallocatechin gallate (EGCG) pharmacokinetics after green tea
administration. Ten healthy subjects received a single 800-mg oral dose of EGCG
administered as Polyphenon E under both fasting and fed conditions. Plasma
samples were serially collected over 24 hours and EGCG concentrations were
determined. A one-compartment model with a lag time for absorption best fit the
concentration-time data. Maximum A Posteriori Bayesian (MAPB) priors were
developed by simultaneously fitting pharmacokinetic parameters from both study
phases. The D-optimal sampling designs were determined and Monte Carlo
simulations were performed. The original model with the estimators was used to
fit the simulated data with the optimized sampling schemes. Two and three optimal
sampling strategies (OSS-2 and OSS-3, respectively) were developed. The median
two sampling times for OSS-2 were 1.3 and 6.9 hours (fasting conditions) and 3.4
and 8.7 hours (fed conditions). The median three sampling times for OSS-3 were
0.7, 1.4, and 7.0 hours (fasting conditions) and 1.4, 3.6, and 8.7 hours (fed
conditions). The predictive power of OSS-3 was greater than that of OSS-2, under
both fasted and fed conditions, and both strategies had greater predictive
performance under fasting conditions. The sampling schemes were accurate and
precise in predicting EGCG oral clearance (or area under the curve with known
doses), and hence exposure, under both fasting and fed conditions. The increased
predictive performance for estimating pharmacokinetic parameters under fasting
conditions appeared to be the result of a decreased variability in absorption.
PMID- 18043485
TI - Seizures and altered mental status after lamotrigine overdose.
AB - Lamotrigine is a commonly prescribed anticonvulsant medication. It is an
infrequently reported agent of intentional acute ingestion to poison centers. The
spectra of clinical effects of lamotrigine in acute overdose are not well
established. We report a case of acute ingestion of lamotrigine and ethanol that
resulted in coma requiring ventilatory support, paradoxic seizure activity, and
mild rhabdomyolysis.
PMID- 18043486
TI - The role of xanthine oxidase in thiopurine metabolism: a case report.
AB - Azathioprine (AZA) is widely used in the treatment of autoimmune inflammatory
diseases. AZA is normally rapidly and almost completely converted to 6
mercaptopurine (6-MP) in the liver, which is further metabolized into a variety
of pharmacologic active thiopurine metabolites. 6-MP is catabolized by xanthine
oxidase (XO) to the inactive metabolite 6-thiouric acid. The authors report the
case of a woman with chronic autoimmune pancreatitis unable to form active
thiopurine metabolites. The 55-year-old woman presented with weight loss,
progressive elevation of liver transaminases, and serum amylase. She was treated
with prednisolone 30 mg/day (1 mg/kg) and AZA was increased to 75 mg/day (2.5
mg/kg); this was later increased to 150 mg/day (5 mg/kg). Despite good patient
compliance, the active metabolites of AZA, 6-thioguanine nucleotides (6-TGN), and
6-methylmercaptopurine ribonucleotides (6-MMPR) could not be detected in the
erythrocytes (RBC). Subsequently, AZA was switched to high-dose 6-MP (2.5 mg/kg)
and the XO inhibitor allopurinol was added. After 1 week, this combination led to
a high 6-TGN level of 616 pmol/8 x 10(8) RBC and a 6-MMPR level of 1319 pmol/8 x
10(8) RBC. Three weeks after starting treatment, 6-TGN and 6-MMPR even reached
toxic levels (1163 pmol/8 x 10(8) RBC and 10015 pmol/8 x 10(8) RBC, respectively)
so that 6-MP treatment was discontinued. To elucidate this finding, 6-MP (1.7
mg/kg) was prescribed for 3 days without allopurinol. The woman was not able to
form active thiopurine metabolites. According to the authors, this is the first
report of a patient unable to form detectable active thiopurine metabolites on
AZA and 6-MP therapy despite good patient compliance. High XO activity led to an
inability to form detectable levels of active thiopurine metabolites 6-TGN and 6
MMPR. This finding emphasizes the important role of XO in the biotransformation
of thiopurines.
PMID- 18043487
TI - Need for intensive histopathologic analysis to determine lymph node metastases
when using sentinel node biopsy in oral cancer.
AB - OBJECTIVE: To determine the predictive value of sentinel node biopsy (SNB)
assisted neck dissection in patients with oral squamous cell carcinoma (SCC)
stage T1 to 2N0M0 and to determine the incidence of subclinical metastases. STUDY
DESIGN: Prospective cohort study. METHODS: Fifty-one patients with clinically N0
neck underwent SNB-assisted neck dissection. The localization of the sentinel
node (SN) was determined using dynamic and planar lymphoscintigraphy and single
photon emission computed tomography-computed tomography. Histopathologic
examination of the harvested SN was performed using step-serial sectioning with
hematoxylin-eosin (H&E) and immunohistochemistry on formalin-fixed, paraffin
embedded tissue. RESULTS: A total of 181 SNs were excised with a median of 3
(range 1-7) SNs per patient. Four percent (2 of 51) of patients with subclinical
(occult) lymph node metastasis would have been identified using routine H&E
staining, whereas the 18% (9 of 49) were upstaged as a result of additional
histopathology when the H&E evaluation was negative. Overall, the incidence of
subclinical metastases was 22% (11 of 51). CONCLUSION: In this study, SNB
assisted neck dissection proved to be technically feasible in identifying
subclinical metastasis, thus accurately staging the neck with a high degree of
sensitivity in patients with oral SCC T1 to 2N0M0 when additional histopathology
was performed. The vast majority of patients in this study would have been spared
selective neck dissection had reliance on SNB been used and selective neck
dissection performed only in the case of a positive SN. Future studies should
focus on determining whether SNB alone reduces patient morbidity and whether this
is as equally effective in the treatment of cervical nodal metastases as compared
with selective neck dissection in patients with oral SCC.
PMID- 18043488
TI - Effects of depression on quality of life improvement after endoscopic sinus
surgery.
AB - OBJECTIVES/HYPOTHESIS: To determine whether self- reported depression predicts
lesser postoperative improvement in health-related quality of life (HRQoL) after
endoscopic sinus surgery (ESS) for chronic rhinosinusitis (CRS). STUDY DESIGN:
Prospective open cohort. METHODS: An adult (> or =18 yr) cohort of 23 patients
with depression and 79 patients without depression undergoing ESS were followed
for 12 +/- 2 months. Patient characteristics and computed tomography scores were
examined preoperatively. Endoscopy scores and two HRQoL measures, the
Rhinosinusitis Disability Index (RSDI) and Chronic Sinusitis Survey, were
analyzed pre- and postoperatively. Univariate and multivariate analyses were used
to evaluate outcome differences for patients with depression. RESULTS: There was
a significantly higher prevalence of women (P = .002) and longer duration of
follow-up (P = .004) for the depressed subgroup. Depressed patients reported
significantly lower pre- and postoperative HRQoL scores on all RSDI subscales (P
< .05). Without baseline adjustment, depression was not associated with
significant differences in postoperative change for disease-specific HRQoL scores
(P > .10) in multivariate analysis. CONCLUSIONS: Depressed patients with CRS
present similarly but have worse pre- and postoperative HRQoL scores and
experience similar disease-specific QoL improvements from sinus surgery compared
with other CRS patients. Sinus surgery is not effective in alleviating the effect
of depression on disease-specific HRQoL, and it is likely that comorbid
depression and CRS are operating on independent disease pathways. Additional
research and hypothesis testing using continuous, objective measures is
warranted.
PMID- 18043489
TI - Tonsil T cell immunity to human papillomavirus in the absence of detectable virus
in healthy adults.
AB - BACKGROUND: Human papillomavirus (HPV) is known to infect the epithelium of the
upper aerodigestive tract; however, major questions regarding prevalence and
persistence of infection, and their relation to local immune response, remain
unanswered. OBJECTIVES: To evaluate the tonsil T cell immune response to HPV and
compare this to the frequency of detectable virus at this site. STUDY DESIGN: A
cross-sectional study of cancer-free adults undergoing routine tonsillectomy.
METHODS: Mucosal immune responses to recombinant HPV16 L2E6E7 and HPV6 L2E7
antigens were measured by tonsillar T-lymphocyte proliferation assay in 13
subjects. HPV deoxyribonucleic acid (DNA) was assessed by PCR and reverse line
blot hybridization in an expanded population of 44 subjects. RESULTS:
Proliferative T-cell responses to HPV16 and HPV6 were identified in all patients.
The presence of a CD45RO+ T cell population responsive to HPV6 L2E7 was confirmed
in three of six subjects tested. There were no CD45RO+ responses to HPV16 L2E6E7
and no evidence of current or latent HPV infection of the tonsil. CONCLUSIONS: T
cell memory to human papillomavirus can be identified in tonsil tissue from an
adult population in the absence of concurrent HPV infection. How novel HPV
vaccines might augment this preexisting cell-mediated immunity is an essential
area for investigation.
PMID- 18043490
TI - Regional metastases in well-differentiated thyroid carcinoma: pattern of spread.
AB - OBJECTIVES/HYPOTHESIS: To determine the pattern of spread of WDTC to regional
lymph nodes, in patients who presented with clinically positive nodes. STUDY
DESIGN: Retrospective chart review. MATERIALS AND METHODS: Between October 2001
and December 2006, a total of 27 consecutive patients (12 males, 15 females) with
clinical evidence of cervical metastasis of well-differentiated thyroid carcinoma
(WDTC) underwent 28 neck dissections (ND) with a mean follow-up 33.7 months.
Papillary carcinoma was found in 24 patients and follicular carcinoma in 3. All
neck dissection specimens were separated during surgery into levels, and analysis
was done with respect to the levels of the neck. Clinical and demographic
parameters were correlated to the pathologic parameters, including number of
pathologic nodes, size of tumor, and the patient's age, with univariate and
multivariate analysis. RESULTS: The mean number of pathologic nodes in ND
specimen was 6.7. The predominant site of metastasis was level VI (95%), followed
by level III (68%), level IV (57%), and level II (54%). Metastases above the XI
nerve were found in 7% of the patients. Level V showed 20% of nodal metastasis. A
correlation was found between size of primary tumor and number of positive
pathologic lymph nodes (P = .02) and an inverse correlation between the age of
the patient and the number of pathologic nodes (P = .043). CONCLUSIONS: The high
incidence of metastatic disease in levels II through VI supports the
recommendation for posterolateral and anterior ND in patients with WDTC and
clinically positive nodes. The correlation between tumor size, the age of the
patient, and the number of positive nodes is an interesting finding that warrants
further study.
PMID- 18043491
TI - Patient compliance to radiation for advanced head and neck cancer at a tertiary
care county hospital.
AB - BACKGROUND: Combined chemotherapy and radiotherapy are routinely used to treat
advanced-stage head and neck squamous cell carcinoma (HNSCC). Patient compliance
is often difficult given increased toxicities. Medically underserved or uninsured
patients may lack the necessary support to complete such treatment. OBJECTIVE: To
evaluate compliance to radiation therapy for patients with advanced stage HNSCC
at an urban tertiary-care county hospital. STUDY DESIGN: Retrospective review.
METHODS: Data were extracted from the charts of 136 consecutive patients who had
been advised to undergo chemoradiotherapy for newly diagnosed HNSCC from 2004 to
2006. Demographic and tumor-related information was collected, as was patient
compliance with radiation treatment. Total dose, length of treatment, and
theoretical "loss of loco-regional control" was calculated. Benchmark compliance
data were obtained from select publications. RESULTS: Of 136 patients, 55 did not
begin treatment or transferred care elsewhere, leaving 81 study patients. Twenty
eight patients (35%) had unacceptable overall treatment courses. Forty-eight
patients (59%) received less than the effective dose of 65 Gy after accounting
for missed treatment days. Fifty-one patients (63%) had a greater than 10%
calculated loss in loco-regional control. Univariate and multivariate analysis
yielded no predictive value for gender, ethnicity, node status, stage, or primary
site on compliance. CONCLUSION: Compared with other institutions, HNSCC patients
in this setting are less likely to complete a prescribed therapeutic regimen.
Patient and tumor characteristics measured in this study do not predict
compliance. Organ preservation protocols require further evaluation in
populations where compliance is suspect. Future research must examine
interventions to improve compliance and assessment of its impact on survival.
PMID- 18043492
TI - The use of dexamethasone to reduce pain after tonsillectomy in adults: a double
blind prospective randomized trial.
AB - OBJECTIVES/HYPOTHESIS: To determine the effectiveness of dexamethasone to reduce
pain after tonsillectomy in adults by at least 13 mm on the visual analogue
scale. The secondary objective was to reduce the use of narcotics by at least
20%. STUDY DESIGN: This multicentric study is a prospective double-blind
randomized controlled trial. METHODS: A total of 102 patients were enrolled and
received a 4-day trial either of dexamethasone in decreasing doses or placebo.
The patients were asked to note the level of pain on the visual analogue scale
daily for 7 days. They also had to record their consumption of analgesic and any
eventual side effects. RESULTS: There were no statistically or clinically
significant differences between the two groups for the level of pain noted on the
visual analogue scale for the first 4 and 7 days. There were no statistical
differences for the consumption of hydromorphone between the two groups.
CONCLUSION: We cannot recommend the use of dexamethasone on a routine basis
following tonsillectomy in adults for the reduction of pain or narcotics
consumption.
PMID- 18043493
TI - Computational modeling of upper airway before and after adenotonsillectomy for
obstructive sleep apnea.
AB - Adenotonsillectomy, the first-line surgical treatment for obstructive sleep apnea
(OSA) in children, is successful in only 50% of obese children. Computational
fluid dynamics tools, which have been applied to differentiate OSA patients from
those without OSA based on the airway flow characteristics, can be potentially
used to identify patients likely to benefit from surgical intervention. We
present computational modeling of the upper airway before and after
adenotonsillectomy in an obese female adolescent with OSA. The subject underwent
upper airway imaging on a 1.5 Tesla magnetic resonance imaging (MRI) scanner, and
three-dimensional airway models were constructed using airway boundary
coordinates from cross-sectional MRI scans. Our results using computational
simulations indicate that, in an obese child, the resolution of OSA after
adenotonsillectomy is associated with changes in flow characteristics that result
in decreased pressure differentials across the airway walls and thus lower
compressive forces that predispose to airway collapse. Application of such
findings to an obese child seeking surgical treatment for OSA can potentially
lead to selection of the surgical procedure most likely to result in OSA
resolution. Effective intervention for OSA in this high-risk group will result in
reduction in morbidity and the public health concerns associated with OSA.
PMID- 18043494
TI - Combined VSV oncolytic virus and chemotherapy for squamous cell carcinoma.
AB - OBJECTIVES: Vesicular stomatitis virus (VSV) is a negative-strand ribonucleic
acid (RNA) virus that replicates specifically in tumor cells and has oncolytic
effects in a variety of malignant tumors. We previously demonstrated recombinant
VSV vectors incorporating viral fusion protein (rVSV-F) and interleukin 12 (rVSV
IL12) to have significant antitumor effects against squamous cell carcinoma (SCC)
in a murine model. Here we evaluate the potential to combine a potent
chemotherapeutic agent for SCC (cisplatin) with rVSV-F and rVSV-IL12 to improve
efficacy. STUDY DESIGN: In vitro, three SCC cell lines were tested using rVSV-F
and rVSV-IL12 with cisplatin, monitoring viral replication and cell survival. In
an orthotopic floor of mouth murine SCC model, intratumoral injections of virus
combined with systemic cisplatin were tested for tumor control and animal
survival. RESULTS: In vitro, virus and cisplatin combination demonstrated rapid
replication and enhanced tumor cell kill. Human keratinocytes were unaffected by
virus and cisplatin. In vivo, combined rVSV-F with cisplatin reduced tumor burden
and improved survival (P = .2 for both), while rVSV-IL12 monotherapy had better
tumor control (P = .06) and survival (P = .024) than combination therapy.
CONCLUSIONS: Addition of cisplatin did not affect the ability of either virus to
replicate in or kill murine SCC cells in vitro. In vivo, combination therapy
enhancedrVSV-F antitumor activity, but diminished rVSV-IL12 antitumor activity.
Combination therapy may provide useful treatment for SCC with the development of
more efficient viral vectors in combination with different chemotherapy agents or
immunostimulatory agents.
PMID- 18043495
TI - Active noise reduction audiometry: a prospective analysis of a new approach to
noise management in audiometric testing.
AB - OBJECTIVES: To develop a new method of screening audiometry that reduces the
adverse effects of low frequency background noise by using active noise reduction
(ANR) headphone technology. DESIGN: Prospective testing within an anechoic
chamber evaluated the physical properties of ANR headphones. A prospective
clinical crossover study compared standard audiometry with ANR headphone
audiometry. METHODS: Bose Aviation X circum-aural ANR headphones were tested for
both active and passive attenuation properties in a hemi-anechoic chamber using a
head and torso simulator. Thirty-seven otology clinic patients then underwent
standard audiometry and ANR audiometry, which was performed in a 30- and/or 40-dB
sound field. RESULTS: Objective ANR headphone attenuation levels of up to 12 dB
were achieved at frequencies below 2,000 Hz. In standard audiometric testing, 40
dB of narrow-band background noise decreased patient pure tone thresholds by 24
dB at 250 Hz. The use of ANR technology provided 12 dB of additional attenuation.
This resulted in a significant improvement in test results despite the 40 dB of
background noise (P = <0.001). In a 30-dB sound field, standard audiometric
thresholds were shifted down by an average of 12 dB. The use of ANR technology
completely attenuated this effect and resulted in a significant improvement in
results (P = <0.01). These results were identical to those obtained in a quiet
sound booth. CONCLUSIONS: Despite a 30-dB sound field, ANR audiometry can produce
an audiogram identical to that obtained in a double-walled sound booth. ANR
headphone audiometry improves the sensitivity of audiometric screening for mild
low-frequency hearing loss. This technology may have important applications for
screening in schools, industry, and community practices.
PMID- 18043496
TI - The effect of surface electrical stimulation on vocal fold position.
AB - OBJECTIVES/HYPOTHESIS: Closure of the true and false vocal folds is a normal part
of airway protection during swallowing. Individuals with reduced or delayed true
vocal fold closure can be at risk for aspiration and may benefit from
intervention to ameliorate the problem. Surface electrical stimulation is
currently used during therapy for dysphagia, despite limited knowledge of its
physiological effects. DESIGN: Prospective single effects study. METHODS: The
immediate physiological effect of surface stimulation on true vocal fold angle
was examined at rest in 27 healthy adults using 10 different electrode placements
on the submental and neck regions. Fiberoptic nasolaryngoscopic recordings during
passive inspiration were used to measure change in true vocal fold angle with
stimulation. RESULTS: Vocal fold angles changed only to a small extent during two
electrode placements (P < or = .05). When two sets of electrodes were placed
vertically on the neck, the mean true vocal fold abduction was 2.4 degrees; while
horizontal placements of electrodes in the submental region produced a mean
adduction of 2.8 degrees (P = .03). CONCLUSIONS: Surface electrical stimulation
to the submental and neck regions does not produce immediate true vocal fold
adduction adequate for airway protection during swallowing, and one position may
produce a slight increase in true vocal fold opening.
PMID- 18043497
TI - Differential capture of serum proteins for expression profiling and biomarker
discovery in pre- and posttreatment head and neck cancer samples.
AB - INTRODUCTION: A long-term goal of our group is to develop proteomic-based
approaches to the detection and use of protein biomarkers for improvement in
diagnosis, prognosis, and tailoring of treatment for head and neck squamous cell
cancer (HNSCC). We have previously demonstrated that protein expression profiling
of serum can identify multiple protein biomarker events that can serve as
molecular fingerprints for the assessment of HNSCC disease state and prognosis.
METHODS: An automated Bruker Daltonics (Billerica, MA) ClinProt matrix-assisted
laser desorption/ionization time-of-flight (MALDI-TOF) mass spectrometer was
used. Magnetic chemical affinity beads were used to differentially capture serum
proteins prior to MALDI-TOF analysis. The resulting spectra were analyzed using
postprocessing software and a pattern recognition genetic algorithm (ClinProt
2.0). An HNSCC cohort of 48 sera samples from 24 patients consisting of matched
pretreatment and 6 to 12 month posttreatment samples was used for further
analysis. Low-mass differentially expressed peptides were identified using MALDI
TOF/TOF. RESULTS: In the working mass range of 1,000 to 10,000 m/z, approximately
200 peaks were resolved for ionic bead capture approaches. For spectra generated
from weak cation bead capture, a k-nearest neighbor genetic algorithm was able to
correctly classify 94% normal from pretreatment HNSCC samples, 80% of
pretreatment from posttreatment samples, and 87% of normal from posttreatment
samples. These peptides were then analyzed by MALDI-TOF/TOF mass spectometry for
sequence identification directly from serum processed with the same magnetic bead
chemistry or alternatively after gel electrophoresis separation of the captured
proteins. We were able to compare this with similar studies using surface
enhanced laser desorption ionization (SELDI)-TOF to show this method as a valid
tool for this process with some improvement in the identification of our groups.
CONCLUSIONS: This initial study using new high-resolution MALDI-TOF mass
spectrometry coupled with bead fractionation is suitable for automated protein
profiling and has the capability to simultaneously identify potential biomarker
proteins for HNSCC. In addition, we were able to show improvement with the MALDI
TOF in identifying groups with HNSCC when compared with our prior data using
SELDI-TOF. Using this MALDI-TOF technology as a discovery platform, we anticipate
generating biomarker panels for use in more accurate prediction of prognosis and
treatment efficacies for HNSCC.
PMID- 18043498
TI - Will increasing folic acid in fortified grain products further reduce neural tube
defects without causing harm?: consideration of the evidence.
AB - To reduce neural tube defects (NTDs), the U.S. Food and Drug Administration (FDA)
mandated that by January 1998 all enriched grain products should contain 140
microg of folic acid (FA)/100 g of flour. Groups concerned with optimal
prevention of NTDs had argued that the level should be 350 microg/100 g. However,
when it appeared that the debate might delay implementation of any fortification,
these groups petitioned the FDA to implement fortification at the originally
proposed level of 140 microg/100 g, anticipating that the FDA might consider
increasing the level at a later time. Mandated FA fortification (FAF) has now
been in place in the United States for 9 y. The impact of this important public
health intervention on NTD rates, the possible benefit to other disease
conditions, and potential harms have been evaluated. As background for a possible
request that the FDA consider increasing FAF, evidence bearing on the question of
whether an increase can further reduce NTD births without causing harm is
reviewed here. The published data indicate that it is appropriate that the FDA
conduct or commission a balanced analysis of the evidence by scientists who will
act on that evidence to decide this important question.
PMID- 18043499
TI - Effect of cerebral hypothermia on cortisol and adrenocorticotropic hormone
responses after umbilical cord occlusion in preterm fetal sheep.
AB - The hypothalamic-pituitary-adrenal (HPA) axis is essential for adaptation to
stress. In the present study, we examined the hypothesis that head cooling with
mild systemic hypothermia would adversely affect fetal adrenocorticotropic
hormone (ACTH) and cortisol responses to an asphyxial insult. Chronically
instrumented preterm fetal sheep (104 d of gestation, term is 147 d) were
allocated to sham occlusion (n = 7), 25 min of complete umbilical cord occlusion
(n = 7), or occlusion and head cooling with mild systemic hypothermia (n = 7,
mean +/- SEM esophageal temperature 37.6 +/- 0.3 degrees C vs 39.0 +/- 0.2
degrees C; p < 0.05) from 90 min to 70 h after occlusion, followed by spontaneous
rewarming. During umbilical cord occlusion, there was a rapid rise in ACTH and
cortisol levels, with further increases after release of cord occlusion. ACTH
levels returned to sham control values after 10 h in both occlusion groups. In
contrast, plasma cortisol levels remained elevated after 48 h in both occlusion
groups and were still significantly elevated in the hypothermia-occlusion group 2
h after rewarming, at 72 h, compared with the normothermia-occlusion and sham
groups. In conclusion, hypothermia does not affect the overall HPA responses to
severe asphyxia in the preterm fetus but does prolong the cortisol response.
PMID- 18043501
TI - Factors predicting ante- and postnatal growth.
AB - Human growth is a continuous process. Studies defining factors influencing growth
focus on discrete time points (e.g., birth), overlooking the conditional nature
of the process. One thousand six hundred fifty Caucasian mothers who gave birth
at term after an uncomplicated singleton pregnancy were studied using conditional
analysis. Infant height, weight, and head circumference were obtained at birth
and 6 mo of age. Data analysis, conditional upon birth size, was conducted as a
stepped consideration of factors influencing phases of fetal and infant growth
beginning with determinants of placental size. Placental weight was related to
birth size. Seven percent of the variance in placental weight was explained by a
combination of gestation at delivery, maternal size at first prenatal visit,
paternal height (all positive), and increasing parity (negative). When centered
on birth weight, 41% of the variance in placental weight was explained by birth
weight, length of gestation, smoking during pregnancy (all positive), and a
female baby (negative). Maternal and paternal stature equally influenced newborn
and infant size. Conditional analysis reveals a series of modifiable (parity,
length of gestation, and smoking) and nonmodifiable factors at different stages
of the growth process.
PMID- 18043500
TI - Diminished phagocytosis-induced cell death (PICD) in neonatal monocytes upon
infection with Escherichia coli.
AB - An imbalance in apoptosis or survival of immune cells plays an essential role in
the pathophysiology of sepsis. Phagocytosis-induced cell death (PICD) is a common
result of the pathogen-host cell interaction mediated by reactive oxygen species
(ROS). Neonatal sepsis is frequently characterized by hyperinflammation. Cord
blood monocytes (CBMO) are equivalent to monocytes of adults [peripheral blood
monocytes (PBMO)], both in terms of phagocytosis and killing of Escherichia coli.
We investigated whether CBMO are less sensitive toward PICD compared with PBMO.
Monocytes were infected with green fluorescent protein (GFP)-labeled E. coli.
Phagocytic activity, cell-count, Annexin V staining, hypoploid DNA content, CD95
and CD95L expression, and caspase-8 and -9 activities were analyzed by flow
cytometry, ROS production by chemiluminescence, and CD95L mRNA expression by
reverse-transcriptase polymerase chain reaction. With equal phagocytic activity
and ROS production, PBMO cell count was decreased by 82 +/- 6% versus 28 +/- 8%
for CBMO after infection. Annexin V binding was enhanced fivefold on PBMO; 56 +/-
15% of PBMO showed a hypodiploid DNA content compared with 9 +/- 6% of CBMO.
Caspases CD95L and CD95L mRNA were up-regulated in PBMO. Our results indicate
that CBMO are less sensitive toward E. coli-mediated PICD than PBMO. Modifying
monocyte apoptosis may be a target for future interventions in sepsis.
PMID- 18043502
TI - (TA)n UDP-glucuronosyltransferase 1A1 promoter polymorphism in Nigerian neonates.
AB - Nigerian neonates have a high incidence of bilirubin encephalopathy. Glucose-6
phosphate dehydrogenase (G-6-PD) deficiency is prevalent in this population.
(TA)7 promoter polymorphism in the gene encoding the bilirubin conjugating enzyme
UDP-glucuronosyltransferase 1A1 (UGT1A1) potentiates hyperbilirubinemia in G-6-PD
deficient neonates. We studied (TA)n allele frequency to determine, at least in
part, its contribution to the frequency and severity of hyperbilirubinemia. DNA
was extracted from umbilical cord blood of sequentially born Nigerian neonates
and the (TA)n UGT1A1 promoter sequence determined. The (TA)n allele distribution
was compared with reported adults of varying African ancestry and Sephardic
Jewish neonates. Among 88 Nigerian neonates, (TA)6 and (TA)7 alleles were almost
equally distributed (0.46 and 0.43, respectively). Some individuals with (TA)5
and (TA)8 sequences were encountered. Allele distribution was similar to that of
the African ancestry population but differed from the Sephardic Jewish newborns,
in whom the (TA)6/(TA)7 distribution was 0.65/0.35. Whereas 45% of Nigerian
alleles and 50% of African ancestry alleles, respectively, included a (TA)7 or
(TA)8 sequence, only 35% of Jewish alleles were (TA)7 (p < 0.001), and no (TA)8
alleles were encountered. The high frequency of (TA)n promoter polymorphism,
coupled with G-6-PD deficiency, may contribute to the pathogenesis of extreme
neonatal hyperbilirubinemia in Nigeria.
PMID- 18043503
TI - Effects of dietary isoflavones on proliferation and DNA integrity of myoblasts
derived from newborn piglets.
AB - Soy-based formulas are consumed by growing numbers of infants and used as regular
food supplements in livestock production. Moreover, constituent dietary
phytoestrogens may compete with endogenous estrogens and affect individual
growth. This study aimed to investigate the in vitro effects of isoflavones in
comparison with estrogens on the proliferation of porcine satellite cells derived
from neonatal muscle. After 7 h of exposure in serum-free medium, 17beta
estradiol (1 nM, 1 microM), estrone (1 microM), and daidzein (1, 100 microM)
slightly decreased whereas 100 microM genistein substantially lowered DNA
synthesis. Declines in DNA amount were observed with genistein (1, 100 microM)
and daidzein (100 microM). After 26 h of exposure, 100 microM genistein reduced
DNA synthesis, whereas it was increased by 10 microM genistein and 10 and 100
microM daidzein. In the case of 10 microM genistein and 100 microM daidzein,
these increases apparently resulted from the repair of damaged DNA. Genistein and
daidzein (100 microM) reduced protein synthesis, caused a G2/M phase block, and
decreased DNA amount in association with higher rates of cell death partially
resulting from apoptosis. Conclusively, isoflavones at concentrations of greater
than 1 muM act as inhibitors of porcine skeletal muscle cell proliferation.
PMID- 18043504
TI - Abnormal motor cortex excitability in congenital stroke.
AB - The aim of the present study was to investigate corticospinal and intracortical
excitability in patients with congenital stroke. In adults, stroke sequelae
reduce corticospinal excitability, as indicated by an elevated threshold for
motor evoked potentials (MEP), and increase intracortical excitability, as
indicated by reduced intracortical inhibition. Ten patients with pre- or
perinatally acquired, unilateral cortico-subcortical infarctions in the middle
cerebral artery territory were studied with single pulse transcranial magnetic
stimulation (TMS) to measure motor threshold (MT) and with paired pulse TMS to
study short interval intracortical inhibition (SICI) and intracortical
facilitation (ICF). Eight healthy, age-matched subjects served as controls. MT
over the affected hemisphere of patients compared with the dominant hemisphere of
controls was significantly elevated, reflecting reduced corticospinal
excitability, and SICI was significantly reduced, reflecting increased
intracortical excitability. No such differences were found for ICF. Findings in
patients with congenital stroke were comparable with adulthood stroke. Thus,
similar assumptions can be made: reduced corticospinal excitability is probably a
consequence of neuronal damage. Reduced intracortical inhibition might represent
deficient inhibitory cortical properties or might reflect a compensational
mechanism, dispositioning for use-dependent plasticity.
PMID- 18043505
TI - Mouse model of heterotaxy with single ventricle spectrum of cardiac anomalies.
AB - Heterotaxy arises from a failure of the embryo to establish normal left-right
asymmetry and is known to affect 3% of infants with congenital heart disease. A
recessive mutation causing heterotaxy was recovered in a mouse mutagenesis screen
focused on congenital heart defects. Homozygote mutants exhibit abnormal situs in
the thoracic and abdominal cavities. Dextrocardia, levocardia, or mesocardia was
seen together with right pulmonary isomerism and complex structural heart defects
in the single ventricle spectrum. A dominant chamber of left ventricular
morphology positioned on the left or right is seen together with transposition of
the great arteries. Right atrial isomerism with or without total anomalous
pulmonary venous connection was observed in half of the mutants. Because ciliary
motion at the embryonic node is required for the specification of laterality, we
examined the tracheal epithelia of newborn mice as a proxy for the nodal cilia.
However, videomicroscopy showed no defect in ciliary motion. Genome scanning
using polymorphic microsatellite markers mapped the mutation to a 3.3 Mb interval
on mouse chromosome 7. None of the genes previously described for familial
heterotaxy were found in this interval, indicating a novel mutation in this mouse
model of heterotaxy.
PMID- 18043506
TI - Role of matrix metalloproteinase-2 in newborn mouse lungs under hypoxic
conditions.
AB - Hypoxia impairs normal neonatal pulmonary artery remodeling and alveolar
development. Matrix metalloproteinase-2 (MMP-2), which regulates collagen
breakdown, is important during development. Our objective was to test the
hypothesis that hypoxia attenuates the normal postnatal increase in MMP-2 and
evaluate alveolar development and pulmonary arterial remodeling in Mmp2 mice.
C57BL/6 wild-type (WT), Mmp2, Mmp2, and MMP-inhibited (with doxycycline) mice
were exposed to hypoxia (12% O2) or air from birth to 2 wk of age. Pulmonary
arterial remodeling, alveolar development, and vascular collagen and elastin were
evaluated. MMP-2 was estimated by quantitative real-time polymerase chain
reaction, enzyme-linked immunosorbent assay, immunohistochemistry, and
zymography. We observed that 1) in WT mice, hypoxia led to thicker-walled
pulmonary arteries and impaired alveolarization, accompanied by decreased MMP-2
and increased tissue inhibitor of metalloproteinases-2 (TIMP-2); 2) Mmp2 mice in
air had thicker-walled arteries, impaired alveolarization, and increased
perivascular collagen and elastin compared with WT; 3) hypoxia further inhibited
alveolarization but did not alter arterial thickening in Mmp2 mice. Mmp2 and MMP
inhibited mice also had thicker-walled arteries than WT in air, but
alveolarization was not different. We conclude that hypoxia reduces the postnatal
MMP-2 increase in the lung, which may contribute to abnormal pulmonary arterial
remodeling and impaired alveolarization.
PMID- 18043508
TI - Rectal potential difference and the functional expression of CFTR in the
gastrointestinal epithelia in cystic fibrosis mouse models.
AB - Cystic fibrosis (CF) is an autosomal recessive disease that results from
mutations in the CF transmembrane conductance regulator (CFTR) gene. The effect
of interventions aimed at correcting the CF electrophysiologic phenotype has been
primarily measured using in vitro methods in gastrointestinal and respiratory
epithelia. A reliable in vivo assay of CFTR function would be of great value in
the investigation of pharmacologic interventions for CF mouse models. We
performed the in vivo rectal potential difference (RPD) assay on three different
mouse models. We then compared the in vivo data with the results obtained using
the in vitro Ussing chamber method. The results from the in vitro method
correlated closely with the results acquired using the in vivo method and were
reproducible. The data suggest that the in vivo RPD assay is a reliable assay of
functional CFTR expression in CF mouse models.
PMID- 18043507
TI - Acute effectors of GLUT1 glucose transporter subcellular targeting in CIT3 mouse
mammary epithelial cells.
AB - Lactogenic hormones cause intracellular targeting of glucose transporter 1
(GLUT1) for transport of glucose to the site of lactose synthesis in mammary
glands. Our aim was to study the intracellular trafficking mechanisms involved in
GLUT1 targeting and recycling in CIT3 mouse mammary epithelial cells. Fusion
proteins of GLUT1 and enhanced green fluorescent protein (EGFP) were expressed in
CIT3 cells maintained in growth medium (GM), or exposed to secretion medium (SM),
containing prolactin. Agents acting on Golgi and related subcellular compartments
and on GLUT1 and GLUT4 targeting in muscle and fat cells were studied. Wortmannin
and staurosporine effects on internalization of GLUT1 were not specific,
supporting a basal constitutive GLUT1 membrane-recycling pathway between an
intracellular pool and the cell surface in CIT3 cells, which targets most GLUT1
to the plasma membrane in GM. Upon exposure to prolactin in SM, GLUT1 was
specifically targeted intracellularly to a brefeldin A-sensitive compartment.
Arrest of endosomal acidification by bafilomycin A1 disrupted this prolactin
induced GLUT1 intracellular trafficking with central coalescence of GLUT1-EGFP
signal, suggesting that it is via endosomal pathways. This machinery offers
another level of regulation of lactose synthesis by altering GLUT1 targeting
within minutes to hours.
PMID- 18043509
TI - Mosaic pattern of sucrase isomaltase deficiency in two brothers.
AB - The pathophysiology of mucosal changes observed in infants with chronic
protracted diarrhea is poorly understood. We report on two brothers suffering
from a special form of sucrase isomaltase (SI) deficiency. The children presented
with weight loss and dyspepsia after sucrose exposition. We performed an H
respiration test, which showed a pathologic result in the younger brother.
Analysis of the brush border enzyme activities showed low expression of lactase
and SI. Immunoelectron microscopy of duodenal biopsies showed an isolated SI
deficiency in a mosaic pattern [e.g., 42% (14%) crypt enterocytes and 64% (59%)
villus enterocytes with decreased amounts of SI on microvilli], whereas lactase
and aminopeptidase n (ApN) were present at the apical membrane of all cells in a
normal range. The SI mosaic pattern of these patients shows that the enterocytes
contain low amounts of SI on the apical membrane but express normal quantities of
other disaccharidases. These findings suggest the existence of different clonal
expressions or specific (posttranslational) mechanisms of postGolgi
transportation for individual brush border enzymes. It remains unresolved whether
the mosaic distribution is part of a normal maturation process or caused by a
lack of an overall control mechanism in the expression of brush border
hydrolases.
PMID- 18043510
TI - VEGF attenuates hyperoxic injury through decreased apoptosis in explanted rat
embryonic lung.
AB - Ambient oxygen concentration and vascular endothelial growth factor (VEGF)-A are
vital in lung development. Since hypoxia stimulates VEGF-A production and
hyperoxia reduces it, we hypothesized that VEGF-A down-regulation by exposure of
airways to hyperoxia may result in abnormal lung development. An established
model of in vitro rat lung development was used to examine the effects of
hyperoxia on embryonic lung morphogenesis and VEGF-A expression. Under
physiologic conditions, lung explant growth and branching is similar to that seen
in vivo. However, in hyperoxia (50% O2) the number of terminal buds and branch
length was significantly reduced after 4 d of culture. This effect correlated
with a significant increase in cellular apoptosis and decrease in proliferation
compared with culture under physiologic conditions. mRNA for Vegf164 and Vegf188
was reduced during hyperoxia and addition of VEGF165, but not VEGF121, to
explants grown in 50% O2 resulted in partial reversal of the decrease in lung
branching, correlating with a decrease in cell apoptosis. Thus, hyperoxia
suppresses VEGF-A expression and inhibits airway growth and branching. The
ability of exogenous VEGF165 to partially reverse apoptotic effects suggests this
may be a potential approach for the prevention of hyperoxic injury.
PMID- 18043511
TI - Ventricle-specific metabolic differences in the newborn piglet myocardium in vivo
and during arrested global ischemia.
AB - Ventricular dysfunction is reported greater in the left (LV) versus right
ventricle (RV) in infants following surgically induced ischemia. Ventricle
specific differences in baseline metabolism may alter response to ischemia thus
affecting postischemic functional recovery. This study identifies ventricle
specific metabolic differences in the newborn (piglet) heart at baseline
(working) and during ischemia (arrested). Baseline LV citrate synthase (CS) and
hydroxyacyl-CoA dehydrogenase (HAD) activities were 15% and 18% lower (p < 0.02),
whereas creatine kinase (CK) and phosphofructokinase (PFK) activities were 40%
and 23% higher (p < 0.04) than the RV. Baseline LV glycogen reserves were also
55% higher (p = 0.004). By 15 min of ischemia, LV ATP was 20% lower (p < 0.05),
lactate was 51% higher (p = 0.001), and hydrogen ions (H) were 43% higher (p =
0.03) compared with the RV. These differences persisted for the entire ischemic
period (p < 0.02). After 45 min of ischemia, the LV used 58% less (p < 0.05)
glycogen than the RV. These findings demonstrate that the enhanced glycolytic
capacity of the newborn LV was accompanied by greater anaerobic end-product
accumulation and lower energy levels during ischemia. This profile may offer one
explanation for greater LV-dysfunction relative to the RV in children following
ischemia.
PMID- 18043512
TI - Does retrograde diastolic flow in the descending aorta signify impaired systemic
perfusion in preterm infants?
AB - High-volume systemic-to-pulmonary ductal shunting occurs frequently in preterm
infants and is indicated by diastolic flow reversal in the descending aorta
(DAo). We studied the relationship between ductal diameter, diastolic DAo
reversal, and left ventricular output (LVO); and superior vena caval (SVC) flow
(upper body perfusion) and DAo flow (lower body perfusion) in preterm (<31 wk)
infants. Echocardiographic assessments were performed at 5, 12, 24, and 48 h
postnatal age (80 infants, median gestation 28 wk, 1060 g). Incidence of ductal
patency fell from 100% at 5 h to 72% at 48 h; incidence of pure systemic-to
pulmonary shunting increased from 66% to 95% of infants with patent ducts. In
infants with duct diameter greater than the median, 35-48% of infants had DAo
flow reversal. In infants with duct diameter greater than median, DAo reversal
was associated with 23-29% increases in LVO at 5-48 h, and 35% decreases in DAo
flow volume at 24-48 h, but no differences in SVC flow. In conclusion, a large
duct with left-to-right shunting is common in preterm infants. Retrograde DAo
flow is a marker of high-volume shunt, evidenced by increased LVO. Preterm
infants with high-volume ductal shunt may have preserved upper body perfusion but
reduced lower body perfusion.
PMID- 18043513
TI - Injury mechanism dictates contribution of bone marrow-derived cells to murine
hepatic vascular regeneration.
AB - Stem and progenitor cells derived from adult marrow have been shown to regenerate
vascular cells in response to injury. However, it is unclear whether the type of
injury dictates the contribution of such cells to neovascularization and which
subpopulations of cells contribute to vascular regeneration. To address these
questions, we determined the extent that hematopoietic stem cells (HSC)
contributed to blood vessel formation in response to two types of liver injury,
partial hepatectomy (PH) and toxin-induced injury. Lac-Z-labeled HSC were
engrafted into lethally irradiated, genetically matched recipients. After 14 d,
we identified transplanted cells engrafted within the vascular endothelium of
toxin-damaged liver, but not in the vasculature of liver regenerated in response
to PH. Engraftment of HSC-derived cells occurred in a gradient fashion with the
highest activity in the severely injured areas. Although HSC-derived cells
contributed to both microvessels and large vessels, the large caliber vessels
trended toward higher engraftment levels. Thus, the contribution of marrow
derived cells to hepatic neovascularization is dependent upon the type of injury
sustained. Furthermore, following toxin-induced liver injury, engraftment rates
trended higher in large vessels compared with capillaries, suggesting that
remodeling of existing vessels is a predominant mechanism of repair, relative to
the formation of new microvasculature.
PMID- 18043514
TI - Pulse wave velocity in end-stage renal disease: influence of age and body
dimensions.
AB - Arterial stiffness increases with age. This process is accelerated by end-stage
renal disease (ESRD). Pulse wave velocity (PWV) increases with arterial
stiffness. In this study, PWV of 133 healthy individuals (6-23 y of age) and 11
patients on dialysis was measured to establish the normal values of PWV and to
compare them with those in ESRD. Age-matched (A-C) and height- and weight-matched
(H/W-C) control groups were used. Thereafter, PWV was indexed to height and the
data were reevaluated. The role of the risk factors including serum calcium,
phosphate, parathyroid hormone (PTH), and the time on dialysis was analyzed using
a score system. PWV correlated with age, weight, height, blood pressure, and
heart rate. ESRD patients were smaller than A-C and older than H/W-C. PWV of
patients with ESRD did not differ from A-C; however, it was elevated in
comparison to H/W-C. In both healthy and ESRD patients, the PWV/height ratio was
independent of age. PWV/height was increased in ESRD. There was a correlation
between PWV/height and the risk factor score. Controls matched for height and
weight or PWV/height should be used in cases of growth failure. A number of risk
factors responsible for increased arterial stiffness are present in ESRD.
PMID- 18043515
TI - Maternal anthropometrics in pregnancy are associated with left ventricular mass
in infancy. The generation R study.
AB - Pregnancy and early life factors may permanently affect left ventricular growth
and development in the offspring. The aim of this study was to examine the
associations of maternal anthropometrics during pregnancy with left ventricular
mass (LVM) in infancy. This study was embedded in the Generation R Study, a
population-based prospective cohort study from fetal life onwards. Maternal
anthropometrics were obtained in early (gestational age <18 wk), mid-
(gestational age 18-25 wk), and late (gestational age >25 wk) pregnancy.
Echocardiographic follow-up measurements were performed in 791 infants aged 6 wk
and 6 mo. We found no associations of maternal height, weight, or body mass index
(BMI) measured in early, mid-, and late pregnancy with longitudinally measured
left ventricular mass (LVM) from 6 wk to 6 mo. Maternal weight gain until late
pregnancy was associated with an increased growth of LVM from 6 wk to 6 mo
[difference 0.46 g per week for the highest tertile of weight gain compared with
the lowest tertile (p value <0.05)]. We concluded that maternal weight gain until
late pregnancy is associated with larger LVM at the age of 6 mo, suggesting that
maternal health status during pregnancy may have permanent consequences for LVM
in their children. Further studies are needed to identify the underlying causal
mechanisms and the long-term consequences.
PMID- 18043516
TI - Corpus callosum size in relation to motor performance in 9- to 10-year-old
children with neonatal encephalopathy.
AB - Magnetic resonance imaging studies have contributed to recognize the patterns of
cerebral injury related to neonatal encephalopathy (NE). We assessed whether a
smaller corpus callosum (CC) explained the difference in motor performance
between school-age children with NE and controls. Frontal, middle, and posterior
areas of the CC were measured in 61 9-10-y-old children with NE and in 47
controls. Motor performance was determined using the Movement Assessment Battery
for Children (M-ABC). Linear regression was used to assess whether differences in
M-ABC between NE children and controls could be explained by CC size. The CC of
11/30 children with NE type I according to Sarnat (NE I) and 19/36 children with
NE type II according to Sarnat (NE II) showed generalized or focal thinning,
compared with 8/49 controls. Children with NE II had significantly smaller middle
and posterior parts and total areas of the CC. Children with NE scored
significantly worse on the M-ABC than controls. The reduction in size of the
posterior part of the CC partly explained the mean differences on the M-ABC.
Children with NE have poorer motor skills than controls, which is partly
explained by a smaller size of the CC.
PMID- 18043517
TI - Brainstem amino acid neurotransmitters and ventilatory response to hypoxia in
piglets.
AB - The ventilatory response to hypoxia is influenced by the balance between
inhibitory (GABA, glycine, and taurine) and excitatory (glutamate and aspartate)
brainstem amino acid (AA) neurotransmitters. To assess the effects of AA in the
nucleus tractus solitarius (NTS) on the ventilatory response to hypoxia at 1 and
2 wk of age, inhibitory and excitatory AA were sampled by microdialysis in
unanesthetized and chronically instrumented piglets. Microdialysis samples from
the NTS area were collected at 5-min intervals and minute ventilation (VE),
arterial blood pressure (ABP), and arterial blood gases (ABG) were measured while
the animals were in quiet sleep. A biphasic ventilatory response to hypoxia was
observed in wk 1 and 2, but the decrease in VE at 10 and 15 min was more marked
in wk 1. This was associated with an increase in inhibitory AA during hypoxia in
wk 1. Excitatory AA levels were elevated during hypoxia in wk 1 and 2. Changes in
ABP, pH, and ABG during hypoxia were not different between weeks. These data
suggest that the larger depression in the ventilatory response to hypoxia
observed in younger piglets is mediated by predominance of the inhibitory AA
neurotransmitters, GABA, glycine, and taurine, in the NTS.
PMID- 18043518
TI - Betamethasone attenuates oxidant stress in endothelial cells from fetal lambs
with persistent pulmonary hypertension.
AB - We investigated the effects of betamethasone on oxidative stress and impaired
vasodilation in a lamb model of persistent pulmonary hypertension (PPHN). We
treated pregnant ewes following fetal ductal ligation with betamethasone or
saline for 48 h before delivery. Response of fetal pulmonary arteries to nitric
oxide synthase (NOS) agonist adenosine triphosphate (ATP) and nitric oxide (NO)
donor, s-nitroso-n-acetyl-penicillamine (SNAP) was determined in tissue bath.
Pulmonary artery endothelial cells (PAEC) from fetal lambs with ductal ligation
or sham ligation were treated with betamethasone or its vehicle for 48 h.
Expression of endothelial NOS (eNOS), endothelin, endothelin-B (ET-B) receptor,
and CuZn- and Mn-superoxide dismutase (SOD) in PAEC was studied. Intracellular
cGMP and superoxide levels and interaction of eNOS with heat shock protein 90
(Hsp90) were determined in PAEC. Antenatal betamethasone improved the relaxation
response of pulmonary arteries to ATP and SNAP in PPHN. PPHN was associated with
decreases in eNOS and ET-B receptor and increase in prepro-endothelin mRNA
levels. Betamethasone decreased prepro-endothelin mRNA and ET-1 pro-peptide
levels and increased eNOS and MnSOD protein levels in PPHN. Betamethasone
reversed the increased superoxide/decreased cGMP levels and restored Hsp90-eNOS
interactions in PPHN. Betamethasone reduces oxidative stress and improves
response of pulmonary arteries to vasodilators in lambs with PPHN.
PMID- 18043519
TI - Child abuse and health-related quality of life in adulthood.
AB - Past research has indicated that child abuse is related to mental and physical
health conditions and that mental and physical health conditions are related to
decreased health-related quality of life (HRQOL). However, little is known about
the independent relationship between child abuse and HRQOL. For the current
analysis, data were from the nationally representative Netherlands Mental Health
Survey and Incidence Study. Multiple linear regression analyses tested the
relationships between child abuse and current HRQOL (SF-36) after adjusting for
the effects of sociodemographic variables and numerous psychiatric disorders and
physical health conditions. Neglect, psychological abuse, physical abuse, severe
sexual abuse, and number of types of child abuse experienced were associated with
reduced mental HRQOL. Psychological abuse, physical abuse, and number of types of
child abuse experienced were associated with reduced physical HRQOL. Child abuse
is an important determinant of HRQOL. The ability to successfully reduce the
occurrence of child abuse or provide early intervention after child abuse occurs
may help to improve HRQOL in the general population.
PMID- 18043520
TI - Factors linked to distress in mothers of children disclosing sexual abuse.
AB - The aim of the present study is to investigate the variability in clinical level
of psychological distress experienced by mothers of sexually abused children by
exploring the role of (a) abuse-related variables (length, severity, and identity
of perpetrator), (b) a history of childhood sexual abuse and partner violence
experienced in the past year, and (c) mothers' coping and feelings of
empowerment. Data were collected through self-report measures completed by 149
French-speaking mothers of girls aged 4 to 12 years disclosing sexual abuse.
Results revealed that more than half of the mothers reported clinical levels of
psychological distress and experienced child sexual abuse, and 1 of 4 mothers
experienced physical partner violence. Logistic regression analysis revealed that
mother's sexual abuse and partner violence as well as avoidance coping and
empowerment contributed to scores reaching clinical levels of psychological
distress. In addition, mothers of child victims of intrafamilial sexual abuse are
more likely to report clinical levels of distress. Results underscore the
importance of evaluating for trauma history and taking coping strategies and
empowerment into account in treatment interventions.
PMID- 18043521
TI - Why dissociation and schizotypy overlap: the joint influence of fantasy
proneness, cognitive failures, and childhood trauma.
AB - A number of studies have noted that dissociative symptoms (e.g., feelings of
derealization, depersonalization, memory complaints, absorption) overlap with the
tendency to report psychotic-like experiences (i.e., schizotypy). The question
arises as to what may account for the shared variance between dissociation and
schizotypy. The present study investigated whether fantasy proneness, cognitive
failures, and childhood trauma may jointly explain the dissociation-schizotypy
link. To this end, we administered the Dissociative Experiences Scale, the
Schizotypal Personality Scale, the Creative Experiences Questionnaire, the
Cognitive Failures Questionnaire, and the Childhood Trauma Questionnaire to a
sample of undergraduates (N = 185). Fantasy proneness, cognitive failures, and
childhood trauma together explained substantial part (58%) of the dissociation
schizotypy link. The present study succeeded in explaining a considerate part of
the shared variance between dissociation and schizotypy.
PMID- 18043522
TI - Personality organization, five-factor model, and mental health.
AB - Otto Kernberg has developed a model of personality and psychological functioning
centered on the concept of personality organization. The purpose of this study is
to empirically examine the relationships between this model, the five-factor
model, and mental health. The Personality Organization Diagnostic Form (Diguer et
al., The Personality Organization Diagnostic Form-II (PODF-II), 2001), the NEO
Five-Factor Inventory (Costa and McCrae, Revised NEO Personality Inventory (NEO
PI-R) and NEO Five-Factor Inventory (NEO-FFI) Professional Manual. 1992a), and
the Health-Sickness Rating Scale (Luborsky, Arch Gen Psychiatry. 1962;7:407-417)
were used to assess these constructs. Results show that personality organization
and personality factors are distinct but interrelated constructs and that both
contribute in similar proportion to mental health. Results also suggest that the
integration of personality organization and factors can provide clinicians and
researchers with an enriched understanding of psychological functioning.
PMID- 18043523
TI - The development and psychometric evaluation of the emotional reactivity and
numbing scale.
AB - Recent research has highlighted the role of hyperresponsivity and numbing of
emotions in posttraumatic stress disorder. Preliminary research suggests that
emotional numbing symptoms impact the development, maintenance, and treatment of
posttraumatic stress disorder. However, research in this area has been hindered,
in part, due to the absence of a psychometrically sound, conceptually based
measure of emotional numbing. The present study examined the psychometric
properties of the Emotional Reactivity and Numbing Scale in a sample of 92 trauma
exposed men and women veterans. Results provide preliminary support for the
internal consistency, test-retest reliability, convergent, and discriminant
validity of the measure. Implications for future research are discussed.
PMID- 18043524
TI - Creativity and psychopathology: higher rates of psychosis proneness and nonright
handedness among creative artists compared to same age and gender peers.
AB - Creative people have been found to score higher on psychopathologic scales in
standardized tests, particularly on the scales that measure traits of
psychoticism, and to be more likely to report an excess of nonright handedness
compared with controls. However, results are inconsistent across surveys and
methodologies, and the contribution of substance abuse has rarely been measured.
In this study, 80 creative artists were compared with 80 matched noncreative
controls on the Annett Hand Preference Questionnaire (HPQ), the Peters et al.
Delusions Inventory, and the General Health Questionnaire. Creative artists were
statistically more likely to admit the use of the left hand on the HPQ, with more
widespread left hand use reported by artists involved in the creative activities
traditionally associated with the right hemisphere (music and painting). They
also scored higher on the Peters et al. Delusions Inventory independently from
the level of psychopathology (measured with the General Health Questionnaire),
from their laterality score (measured with the HPQ), and from their higher use of
both licit and illicit drugs.
PMID- 18043525
TI - The significance of family history in first-episode schizophrenia spectrum
disorder.
AB - There have been inconsistent findings regarding the significance of family
history of schizophrenia spectrum disorders in relation to presentation and
course of illness. There has been little research relevant to this issue from
first-episode patients. We examined the differences in premorbid adjustment,
symptoms, and intellectual functioning between 28 first-episode schizophrenia
spectrum patients with a history of such illness in first degree relatives and 28
matched patients without such a family history. The results indicate that whereas
the 2 groups did not differ in presenting symptoms, those with a positive family
history showed poorer intellectual functioning and less reduction in symptoms at
2 and 3 year follow-up and greater likelihood of abnormal electroencephalogram
findings. The findings provide evidence that presence of a positive family
history in first-episode patients is associated with a more pernicious form of
illness.
PMID- 18043526
TI - Impact of sociodemographic and clinical factors on subjective quality of life in
schizophrenia patients in Beijing, China.
AB - The impact of sociodemographic and clinical factors on subjective quality of life
(SQOL) in Chinese schizophrenia outpatients was explored. Randomly selected
subjects with schizophrenia (N = 273) were assessed with respect to their
sociodemographic, clinical characteristics, and SQOL. Compared with the Chinese
general population, patients had significantly lower scores in the physical and
social SQOL domains. Multiple regression analyses revealed that depressive
symptoms inversely predicted all SQOL domains; positive symptoms negatively
predicted psychological, social, and environmental SQOL domains whereas
educational level, extrapyramidal side effects, anxiety, history of suicide
attempts, employment status, monthly income, number of hospitalization, and
length of illness all significantly contributed to 1 or 2 SQOL domains.
PMID- 18043527
TI - Thought disorder in euthymic bipolar patients: a possible endophenotype of
bipolar affective disorder?
AB - The search for psychological markers or for psychological endophenotypes for
bipolar affective disorder has been frustrating, and the study of
neuropsychological and neurocognitive functioning may be useful in this search.
This article presents the results of a study comparing Rorschach protocols from a
sample of adult euthymic bipolar patients (N = 18) and matched healthy controls
(N = 8). Bipolar patients showed a higher proportion of immature responses and
more instances of thought disorder; patients also showed significantly more
severe thought disorder. These findings are discussed in the context of 2 related
previous studies. We suggest that our modest series of studies using the
Rorschach Inkblot Test provides preliminary evidence that certain variables
especially the measures of thought disorder but possibly also the lack of
emotional responses under cognitive "control" and the excessive proportion of
immature content responses-may represent a possible endophenotype of bipolar
disorder.
PMID- 18043528
TI - A randomized controlled clinical treatment trial for World Trade Center attack
related PTSD in disaster workers.
AB - This article describes a controlled clinical trial of cognitive-behavioral
treatment (CBT) for disaster workers. Despite high rates of PTSD in disaster
workers worldwide, there have been no randomized trials of PTSD treatment.
Participants were randomly assigned to a 12-week cognitive-behavioral exposure
treatment (CBT, N = 15) or a treatment-as-usual (N = 16) condition. Eight CBT and
14 treatment-as-usual participants completed treatment. An ANOVA examining
changes in Clinician-Administered PTSD Scale scores found significant main
effects of Time, Group, and a Time x Group interaction (p's < 0.010) with a
significantly greater decline in symptom scores in the CBT group. Between-group
effect sizes were large. Dropout was associated with lower income, less
education, and higher alcohol consumption. This project demonstrates the
feasibility of recruitment in the aftermath of a catastrophic event, the
relevance of a brief focused intervention comprised of CBT and exposure, and the
need to eliminate barriers to treatment retention associated with income and
education.
PMID- 18043529
TI - Symptoms of ecstasy dependence and correlation with psychopathology in Taiwanese
adolescents.
AB - This study aimed at examining the spectrum and frequency of symptoms of ecstasy
dependence and their correlation with psychopathology by controlling
polysubstance use in Taiwanese adolescents. Two hundred adolescents who had used
ecstasy were recruited into this study. Symptoms of ecstasy dependence that had
occurred in the preceding year were determined by an interview using the Kiddie
epidemiologic version of the Schedule for Affective Disorders and Schizophrenia.
The adolescents' psychopathology was examined using the Symptom Checklist-90
Revised Scale. The proportion of participants who had symptoms of ecstasy
dependence was calculated. The association between the number of symptoms of
ecstasy dependence and psychopathology was examined by using stepwise multiple
regression analysis. The results indicated that "continuing ecstasy use despite
knowledge of having a problem related to ecstasy use," "spending a great deal of
time in activities related to ecstasy use or to recover from its effects," and
"ecstasy use tolerance" were the 3 most prevalent symptoms of dependence, and
"withdrawal" was the symptom least reported. Heavy ecstasy use led to more
symptoms of ecstasy dependence than light use. Symptoms of ecstasy dependence
independently increased the risk of severe psychopathology after controlling the
effects of polysubstance use. The results of this study indicated that
adolescents were aware of the adverse effects of ecstasy use and that repeated
ecstasy use would result in dependence on it. Screening the dependence symptoms
of adolescent ecstasy users may help clinicians more thoroughly understand their
psychopathology.
PMID- 18043530
TI - Impact of defense style on brief psychotherapy of postpartum depression.
AB - In this study, we evaluated the impact of defense style (DS) on outcome and its
relation to the therapeutic alliance. Women with postpartum depression were
allocated to a brief psychotherapeutic intervention. To evaluate DS and the
therapeutic alliance, the Defense Style Questionnaire and the Working Alliance
Inventory were employed. The main outcome was the Edinburgh Postnatal Depression
Scale score at end point; anxiety and retention in treatment were also evaluated.
Fifty-nine patients were included; 46 completed the therapy and 65.4% responded
(Edinburgh Postnatal Depression Scale score <10). Intense use of immature
defenses was related to persistence of depression and anxiety symptoms at end
point, even when controlled for potential confounders. Results tended to confirm
a hierarchy of DSs independently of the alliance. Clinicians should be aware of
possible differential responses to brief psychotherapies related to DS.
PMID- 18043531
TI - Pseudohysterical hemiparesis.
AB - Four patients with the diagnosis of hysterical hemiparesis and normal magnetic
resonance imagings were referred to us for further evaluation. All were being
treated with antidepressant or antianxiety drugs or both, with no benefit. In all
4 cases single photon emission computed tomography revealed decreased regional
cerebral blood flow in frontal regions in cortical areas corresponding to their
neurologic deficits. In all 4 cases improvement followed neurologic treatment. We
suspect that reduced blood flow in frontal regions may have produced the
neurologic deficits. We call these conditions "pseudohysterical hemiparesis."
PMID- 18043535
TI - Report on the Fifth International Symposium on Radiohalogens (Whistler, BC,
Canada, September 11-15th, 2004).
PMID- 18043536
TI - Cross-bridged macrocyclic chelators for stable complexation of copper
radionuclides for PET imaging.
AB - Copper-64 (t(1/2)=12.7 h; beta+: 17.4%; E(beta+max)=656 keV; beta-: 39%; E(beta
max)=573 keV) has emerged as an important non-standard positron-emitting
radionuclide for positron emission tomography imaging of diseased tissues. A
significant challenge of working with copper radionuclides is that they must be
delivered to the living system as a stable complex that is attached to a
biological targeting molecule for effective imaging and therapy. Significant
research has been devoted to the development of ligands that can stably chelate
(64)Cu, in particular, the cross-bridged (CB) macrocyclic chelators. This review
describes the coordination chemistry and biological behavior of (64)Cu-labeled CB
complexes.
PMID- 18043537
TI - Bifunctional chelates for metal nuclides.
AB - The use of ''non-standard'' metallic radionuclides continues to be an expanding
field of investigation. Radiolabeling small molecules, peptides, proteins, and up
to nano-particles are all areas of active investigation for both diagnostic and
therapeutic applications. All require a common variableaethe need for appropriate
chelation chemistry for adequate sequestration of the metallic radionuclide that
is equal to the intended application. A brief overview of the array of the
chelation chemistry options available to researchers and the means for their
selection is provided.
PMID- 18043538
TI - PET imaging problems with the non-standard positron emitters Yttrium-86 and
Iodine-124.
AB - AIM: Positron emission tomography (PET) imaging of non-standard positron emitters
is influenced by gamma-coincidences, i.e. false coincidences produced by the
coincident detection of an annihilation photon and a gamma-ray simultaneously
emitted with the positron. The extent to which the PET study is disturbed by this
effect is dependent on the kind of the positron emitter used, the kind and
position of the object, the acquisition mode, i.e. the optional use of septa, and
the reconstruction program. In order to demonstrate and study imaging problems
with non-standard positron emitters, a phantom was scanned containing non
radioactive rods with different absorption materials and filled with either
(124)I or (86)Y in the bidimensional (2D) as well as tridimensional (3D)
acquisition mode. METHODS: For reconstruction, the PET manufacturer's standard
software without any modification was used. To reduce errors caused by the gamma
coincidences, a simple linear background subtraction, estimated from the counts
at the scanner's external radius, was applied. RESULTS: Without background
subtraction, apparent positive and negative ''radioactivity concentrations'' were
found in regions of interest positioned over the non-radioactive rods with values
higher for (86)Y compared to (124)I and also higher for 3D compared to 2D. A
complete subtraction of the background led to erroneous RESULTS: The errors in
the phantom's non-radioactive rods and the difference between measured and true
radioactivity became minimum, when about 75% of the background was subtracted.
This refers to both the 2D and 3D mode. CONCLUSION: Quantitation problems with
the non-standard positron emitters (124)I and (86)Y could be minimized in the
phantom study examined here by using a simple background subtraction together
with the manufacturer's standard correction and reconstruction procedures.
PMID- 18043539
TI - Image quality with non-standard nuclides in PET.
AB - Non-standard positron emission tomography (PET) nuclides bring with them the
prospect of new chemistry leading the way to novel approaches for targeted
imaging and therapy. In particular, the kinetic energy of the positron of some of
these nuclides is high (as much as 4 MeV) and, thus, a highly specific PET probe
can be very lethal to cancerous cells. However, the high positron energy will
degrade the spatial resolution, and this degradation will be more important in
high-resolution, small animal PET imaging, where most of the novel tracers are
developed. This paper discusses the image quality in small animal PET imaging
obtained with such nuclides. The nuclides of (60)Cu, (61)Cu and (64)Cu, (76)Br,
(94)mTc, and (89)Zr will be particularly analyzed. The spatial resolution will be
seen to degrade with nuclides with higher positron end-point energy, going from
1.7 mm for (18)F to 2.2 mm for (76)Br, for example. Many of these novel PET
nuclides decay by the emission of cascades gamma rays that are detected in
coincidence with the positron annihilation photons which create additional noise
on the images. However, the use of an image reconstruction algorithm, which
includes a model of the statistical nature of nuclear decay and the modeling of
the tomograph response, contributes to both improve the spatial resolution and at
the same time reduce the image noise.
PMID- 18043540
TI - Non-standard radionuclide production for PET in Japan.
AB - There is a limited number of non-standard positron emission tomography (PET)
radionuclides available in Japan. At the present time, non-standard PET nuclides
((64)Cu and (62)Zn/(62)Cu generator) are available from a medium energy cyclotron
at the National Institute for Radiological Sciences in Chiba, Japan. Targetry for
a small cyclotron has been installed on the cyclotrons of the University of
Fukui. The production and distribution of these radionuclides from these
cyclotrons will be described.
PMID- 18043541
TI - Sustainable production of orphan radionuclides at Wisconsin.
AB - Over a hundred proton-induced reactions have been studied at the University of
Wisconsin Medical Physics department since the installation of the first CTI RDS
112 in 1985. The focus has been to measure thick target yields at 11 MeV, in an
effort to concentrate on the practical production of positron emitting
radionuclides that have favorable decay characteristics, high yields and the
potential for labeling pivotal biological tracers. This review covers our recent
advances to scale-up the production of the heavy halogens and transition metals
as feed-stock for non-conventional PET tracers that are currently attracting
increased attention in oncology.
PMID- 18043542
TI - Radionuclide production and yields at Washington University School of Medicine.
AB - Washington University School of Medicine has carried out the production of ''non
standard'' nuclides for the positron emission tomography (PET) community since
1999 under the Radionuclide Resource for Cancer Applications grant R24 CA 86307
funded by the National Cancer Institute. With the support from the grant, we have
successfully developed procedures for the high yield production of a wide range
of radionuclides and made them available to the research community. The following
non-standard PET nuclides, (60)Cu, (61)Cu, (64)Cu, (76)Br, (77)Br, (124)I,
(94m)Tc, and (86)Y are routinely produced on Washington University on-site
Cyclotron Corporation CS-15 or Japan Steel Works 16/8 cyclotrons. Additionally, a
technique to produce (45)Ti has been developed and lately, (89)Zr is being
investigated. This paper describes the production techniques and presents the
performance results in terms of yields and radionuclidic purity. Sufficient
yields for distribution are achieved and high radionuclide purity is also
achieved yielding high quality product for medical research.
PMID- 18043543
TI - NCI Cancer Imaging Program efforts and their application to non-conventional
radionuclides.
AB - The US National Institute of Health (NIH) National Cancer Institute's Cancer
Imaging Program funds research in cancer imaging. The article describes funding
initiatives, such as Requests for Application and Program Announcement, funding
mechanisms such as the R01 and R21, the Institutes of the NIH that fund imaging
research, the application process, the application review process, and on-line
resources to assist applicants for research funding for non-conventional
radionuclides for imaging and therapy.
PMID- 18043544
TI - Workshop on the production, application and clinical translation of ''non
standard'' PET nuclides: a meeting report.
AB - A one-day satellite workshop was organized to coincide with the 17(th)
International Symposium on Radiopharmaceutical Sciences held in Aachen, Germany,
April 30-May 4, 2007. The workshop, ''Production and application of non-standard'
PET nuclides'', was held on Sunday April 29, 2007 at the Eurogress Aachen and was
organized by J. Lewis, PhD, L. Tang, and M. Welch, PhD. The workshop was designed
for the radiopharmaceutical community discussing the production, use and
dissemination of the ''non-standard'' PET nuclides. The definition of ''non
standard'' positron emission tomography (PET) nuclides included (45)Ti, (60)Cu,
(61)Cu, (64)Cu, (66)Ga, (72)As, (74)As, (76)Br, (86)Y, (89)Zr, (94)mTc and
(124)I. The workshop was supported by the grant Research Resource for Cancer
Applications (R24 CA86307) funded by the National Cancer Institute at the
National Institutes of Health. The workshop was attended by over 110 scientists
and engineers from over 20 countries from all over the world and was designed
with an open forum style to allow for discussions and interactions by all
participants. All of the invited speakers were asked to make a contribution to
this edition of the Quarterly Journal of Nuclear Medicine. The individual
articles following this introduction are reviews of their area of expertise and
the current state-of-the-art. This introduction briefly describes the role of the
workshop, the aims and the general outcome. Also, the translation of these
nuclides to the clinic, perhaps the most important goal of this work is discussed
in this introductory article.
PMID- 18043545
TI - The need of appropriate brain SPECT templates for SPM comparisons.
AB - AIM: Statistical parametric mapping (SPM) is used worldwide to compare brain
perfusion single photon emission computed tomography (SPECT) data. The default
template within the SPM package used for SPECT image normalization includes
images of a group of healthy subjects studied with [(99m)Tc]HMPAO. Since
[(99m)Tc]HMPAO and [(99m)Tc]ECD have shown to distribute differently in SPECT
studies, we formulated the hypothesis that comparing set of [(99m)Tc]ECD data
normalized by means of a [(99m)Tc]HMPAO template may lead to incorrect results.
METHODS: A customized [(99m)Tc]ECD template was built with SPECT and magnetic
resonance imaging (MRI) images of 22 neurologically healthy women. Then, two sets
of subjects, i.e. a group of patients with very early Alzheimer's disease (eAD)
and a matched control group, studied by means of [(99m)Tc]ECD SPECT, were chosen
for comparisons. The same statistical approach (t-test between eAD patients and
controls and correlation analysis between brain SPECT and a cognitive score) was
applied twice, i.e. after normalization with either the default [(99m)Tc]HMPAO
template or the customized [(99m)Tc]ECD template. RESULTS: In the comparison
between eAD and controls, a cluster of difference in the posterior cingulate
gyrus of both hemispheres was only highlighted when using the customized
[(99m)Tc]ECD template, but was missed when using the default [(99m)Tc]HMPAO
template. In the correlation between brain perfusion and a cognitive score, the
significant cluster was more significant and far more extended, also including
the right superior temporal gyrus, using the customized [(99m)Tc]ECD template
than using the default [(99m)Tc]HMPAO template. CONCLUSION: These data suggest
the need of customized, radiopharmaceutical-matched SPECT templates to be used
within the SPM package. The present customized [(99m)Tc]ECD template is now
freely available on the web.
PMID- 18043548
TI - Meaning of elevated CA 19-9 serum levels in chronic hepatitis and HCV-related
cirrhosis.
AB - AIM: Carbohydrate 19-9 antigen (CA 19-9) has been used in the diagnosis and
follow-up of gastrointestinal tumors. However, a remarkable reduction of
specificity has been described in subjects with chronic diseases. Elevated CA 19
9 serum levels have been described in non neoplastic liver diseases, such as
hepatic cirrhosis, where they correlate with the fibrosis grade and the disease
severity. The aim of the study is to evaluate CA 19-9 levels in chronic hepatitis
patients (CH) and hepatic cirrhosis patients, Hepatitis C Virus (HCV)-correlated.
Our goal was to establish whether elevated CA 19-9 levels can be considered a non
casual event in chronic liver disease and whether a correlation can be found
between CA 19-9 levels and the severity of the disease. METHODS: 116 patients
have been recruited (76 m, 40 f, average 54 years); 56 patients were affected by
CH and 60 by hepatic cirrhosis (Child A). All patients were HCV+, genotype 1b.
Patients positive to CA 19-9 high levels were subjected to abdominal echography,
EGDS, colonscopy, abdominal CT. RESULTS: Fifty two percent presented high levels
of CA 19-9. None was affected by intestinal or pancreatic neoplasia, or
colestatic icterus. CA 19-9 levels were elevated in 46% of patients with chronic
hepatitis, and in 54% in patients with hepatic cirrhosis. Furthermore, CA 19-9
levels in hepatic cirrhosis compared to CA 19-9 levels in chronic hepatitis was
statistically significant (P>0.007). CONCLUSION: Increased serum levels of CA 19
9 are frequent in chronic viral hepatitis; this often does not indicate a
contemporary neoplastic disease and correlates in a statistically significant way
(P>0.007) with the severity of the disease.
PMID- 18043550
TI - DLCO correlates with intestinal inflammation in ulcerative colitis, but
albuminuria does not.
AB - AIM: The aim of this study was to evaluate the frequency of carbon monoxide
diffusing capacity (DLCO) impairment and microalbuminuria in patients with active
ulcerative colitis (UC) and to assess whether these nonexpensive and noninvasive
tests correlate with intestinal inflammation. METHODS: A prospective
observational study was set up at the Fiorenzuola Hospital and performed during a
4-year period. We enrolled 30 consecutive subjects with clinical and histological
diagnosis of active UC and 20 healthy subjects matched for age and sex. After
full colonscopic assessment with multiple mucosal biopsies, the clinical disease
activity of each patient was quantified. A global spirometry and 24-h urine
collection at rest to measure microalbuminuria were performed. Each biopsy
specimen was assessed blindly by a histopathologist, who assigned a score
according to the severity of enterocyte damage, cryptitis and acute and chronic
inflammation of the lamina propria. RESULTS: A latent pulmonary involvement with
a reduction in DLCO was present in 20 patients (67%). A subclinical renal
involvement with microalbuminuria was detected in 19 subjects (63%). The mean
DLCO was 78.2+/-15.2 in Group 1 vs 94.7+/-13.1 in Group 2 (P<0.001).
Microalbuminuria was 103.6+/-90.8 in Group 1 vs 57+/-31.7 in the control group
(P=0.062). DLCO reduction correlated significantly with intestinal
histopathological grading in Group 1 (r = -0.742, P< 0.001), although there was
no correlation between microalbuminuria and histological grading (r = -0.273, P=
0.143). CONCLUSION: Our data confirm that latent pulmonary involvement (DLCO
impairment) and microalbuminuria are frequent in UC. The DLCO may provide a
useful noninvasive indicator of colonic inflammation in subjects with UC and
concomitant subclinical lung involvement.
PMID- 18043549
TI - Prognostic factors of survival in complicated viral and alcoholic cirrhosis
without hepatocellular carcinoma. A retrospective study.
AB - AIM: In several studies, attention is needed to one specific complication, in
particularly to hepatocellular carcinoma, which modifies the natural history of
liver cirrhosis. Thus, we performed a retrospective cohort analysis to clarify
which complications, alone or in combination, are predictive factors of mortality
in patients with viral or alcoholic cirrhosis without hepatocellular carcinoma.
METHODS: Case records of 255 patients with decompensated viral or alcoholic
cirrhosis between January 1990 and December 2000 were retrospectively analyzed.
Relevant clinical and laboratory parameters, and their relationship to mortality,
were studied. RESULTS: The mean duration of follow-up period was 29 months in
which 178 patients (69.8%) died and 77 (31.8%) survived. None of the patients
underwent liver transplantation. The cumulative mortality rate of patients with
complicated cirrhosis was 38.8% after 1 year, 51.7% after 2 years, 61.1% after 3
years and 65.1% after 8 years. A multivariate Cox's model identified the
following variables as significant: age (P=0.001), gastrointestinal bleeding (GB)
ascites combination (P=0.000), encephalopathy-GB-ascites (P=0.028), hepatorenal
syndrome (HRS) (P=0.000), GB-spontaneous bacterial peritonitis (SBP) (P=0.001),
alkaline phosphatase (ALP) (P=0.004) and the Child-Pugh score (P=0.000).
CONCLUSION: The mortality in a group of patients with alcoholic cirrhosis is
longer than in those with viral cirrhosis . Moreover, ascites in combination with
other complications, HRS and hemorrage-SBP association are independent predictors
of mortality in patients with complicated liver cirrhosis.
PMID- 18043551
TI - Trace element levels in adult patients with proteinuria.
AB - AIM: Trace elements are involved in many metabolic processes. They circulate
prevalently bound to protein. In literature few studies deal with metal
metabolism in adult patients with proteinuria, so we decided to further
investigate metal metabolism in proteinuric patients. METHODS: We studied 27
patients (14 male, 13 female), mean age 61.6+/-17 years with different degrees of
renal function, serum albumin and proteinuria. Metal concentrations of copper
(Cu), zinc (Zn) and aluminum (Al) were measured in serum and urine. No patient
had environmental exposure to these metals. RESULTS: The serum Zn level was below
the normal range in 11 patients. The serum Cu level was reduced in 5 patients.
The Al serum level was elevated in 4 patients. Six patients had reduced and 6
patients had elevated Zn excretion. The urinary Cu excretion was elevated in 6
patients. The urinary Al excretion was elevated in 1 patient. Trace metal
concentrations were related neither to renal function nor to total serum protein
or albumin levels. Serum zinc was directly correlated with proteinuria and
urinary zinc and negatively correlated with testosterone levels in both sexes.
CONCLUSION: Adult patients with proteinuria have several modification of trace
metal concentration in serum and urine. Serum concentration of metals did not
depend on renal function or serum protein levels. Urinary Zn excretion was
directly related to proteinuria and serum Zn levels. A negative correlation
between serum Zn levels and testosterone was found in both sexes. Renal failure
reduced urinary excretion of Cu and Al.
PMID- 18043552
TI - Viral hepatitis after liver transplantation. Which immunosuppressive drugs should
be recommended?
AB - Treatment of hepatitis C after liver transplantation can be challenging as graft
rejection and graft hepatitis caused by hepatitis C virus (HCV) may be difficult
to distinguish. Immunosuppressive medications may significantly alter the course
of hepatitis C in liver transplant recipients. Moreover, single substances have
been shown to display antiviral effects in vitro while others are believed to
have antifibrotic properties. This review summarizes the modes of action of
different classes of immunosuppressive drugs used after liver transplantation and
discusses pros and cons of individual drugs in the setting of HCV infection. No
definite recommendation for an optimal immunosuppressive regimen can be given at
this stage. The most important lesson learned during the last two decades is that
acute rejection episodes have to be avoided in particular in hepatitis C since
these are associated with reduced graft and patient survival. Further trials are
urgently needed to clarify the role of different immunosuppressive compounds in
hepatitis C after transplantation.
PMID- 18043553
TI - From colonic polyps to colon cancer: pathophysiology, clinical presentation,
screening and colonoscopic therapy.
AB - Colon cancer is the most common nondermatologic cancer in Italy and throughout
Europe, with about 250,000 cases annually in Europe, about half of whom die. Yet,
colon cancer is largely preventable through intensive, mass screening programs to
remove premalignant colonic polyps. The persistently high incidence and mortality
is largely due to ineffective implementation of established screening protocols
due to patient fears about screening tests, physician under-referral for
screening, and test costs. Colon cancer mostly arises from adenomas, recognized
as colonic polyps, but may occasionally arise from the sessile serrated adenoma.
Adenomatous polyposis coli (APC) gene mutation is the key molecular step in
adenoma formation. Mismatch repair gene mutation is a less common alternative
pathway. Progression from adenomas to colon cancer is a multistep process,
involving mutations of the DCC, k-ras, and p53 genes; loss of heterozygosity in
which cells loose one allele of some genes from chromosomal loss; and DNA
methylation which can silence DNA expression. Numerous environmental factors can
increase the risk of colon cancer, presumably by modulating these molecular
pathways. While colon cancer in an advanced and incurable stage often produces
clinical findings, premalignant adenomatous polyps and early, highly curable,
colon cancer are often asymptomatic. This phenomenon renders adenomas or early
cancers difficult to detect by clinical presentation and provides the rationale
for mass screening of asymptomatic adults over 50 years old for early detection
and prevention of colon cancer. Colonoscopy is the primary screening test. All
polyps identified at colonoscopy are removed by colonoscopic polypectomy.
Endoscopic mucosal resection is required for deeply penetrating noncancerous
polyps. Colonoscopy is repeated every ten years if the index colonoscopy revealed
no lesions, but is repeated more frequently if adenomatous polyps were identified
at this colonoscopy due to an increased risk of subsequent polyps or colon
cancer. Flexible sigmoidoscopy every few years with annual fecal occult blood
testing is a significantly less sensitive screening protocol. Virtual colonoscopy
is controversial as a screening test due to widely variable reported RESULTS:
Computerized tomography is standardly used to preoperatively detect distant colon
cancer metastases, while endosonography is being increasingly used for
locoregional staging of rectal cancer. Stool genetic markers and videocapsule
endoscopy are promising, but currently experimental, screening tests.
PMID- 18043554
TI - Nutrition and fertility.
AB - The first studies about fertility and nutrition date back to the 70ies and
already showed a strict relation among female fertility, weight and body
composition. However, the mechanisms of this connection started to be explained
only after leptin's discovery. According to some authors' opinion, leptin could
interact with reproductive axis at multiple sites with stimulatory effects at the
hypothalamus and pituitary and stimulatory or inhibitory actions at the gonads.
Leptin could play a role in other physiologic processes such as menstruation and
pregnancy, and could initiate the complex process of puberty. It has been showed
that conditions in which nutritional status is suboptimal, such as eating
disorders, exercise induced amenhorrea, functional hypothalamic amenhorrea and
polycystic ovarian syndrome, are associated with abnormal leptin levels. These
conditions, are characterized by severe changes in body composition and dietary
habits. Since leptin is regulated by body composition and dietary factors, (such
as energy intake and macronutrient composition), a strict connection between
nutritional intake and fertility regulated by leptin is confirmed. This review
focuses on the current knowledge about nutritional factors that influence leptin
levels. Since clinical and subclinical nutritional imbalance can determine the
development and the maintenance of neuroendocrine and metabolic aberrations,
studies on fertility need a deeper attention about dietary habits and nutritional
status.
PMID- 18043555
TI - Clostridium difficile-associated disease with lethal outcome in a 77-year-old
woman. A case report.
AB - Clostridium difficile-associated disease (CDAD) ranges in severity from mild
diarrhoea to fulminant colitis and death. Antimicrobial use is the primary risk
factor for development of CDAD. C. difficile typically affects older or severely
ill patients, hospitalized or residents in long-term-care facilities. We report a
case of CDAD in a 77-year-old woman operated on for cholecystitis calculosa and
treated with cephalosporins and aminoglycosides. Symptoms and signs of CDAD were
watery diarrhoea, mucus stool, high fever and, weakness. Sigmoidoscopy was
provided on the second day after hospitalization. It revealed diffuse
pseudomembranes throughout the distal colon, confirming C. difficile infection.
Antimicrobial agents administered at the admission were stopped and treatment
with oral vancomycin, metronidazole and famotidine was initiated. Despite this
the patient's status worsened and she died because of cardiogenic shock.
PMID- 18043556
TI - Is a gluten-free diet necessary in patients with potential celiac disease?
AB - Potential celiac disease is characterized by a normal duodenal mucosa despite
high intraepithelial lymphocytes count and/or positive endomysial antibodies
while on a gluten-containing diet. An agreement about the management of this
condition is still lacking. A 68-year-old lady complaining of weight loss and
epigastric pain was found to be affected by potential celiac disease. Although
she maintained a gluten-containing diet, epigastric pain and weight loss
disappeared. If she had started a gluten-free diet, the improvement would have
been considered a demonstration of the beneficial effect of the diet. Potential
celiac patients can be maintained on a gluten-containing diet providing they are
closely followed up.
PMID- 18043557
TI - Coronary heart disease in women: battle is won, but the war remains.
AB - According to the most recent report of the US National Heart, Lung, and Blood
Institute, mortality from coronary heart disease has declined in women from one
in three to one in four. Due to massive campaigning efforts in educating the
medical community and the general public, coronary heart disease has become
increasingly recognized as a woman's disease. Indeed, it is the number one killer
in women, exceeding cancer and infectious diseases. Numerous observational
studies, clinical trials, and reports have indicated that there are gender
specific differences in the presentation, diagnosis, treatment, and outcomes of
coronary heart disease. One common theme, not only in United States, but world
wide is the underutilization of known and validated medical and interventional
therapies in women compared to men. Even though previously conducted large,
randomized controlled trials had limited numbers of women, recent large scale
cardiac trials in women have enabled the development of evidence-based guidelines
for coronary heart disease diagnosis and management in women. Importantly,
menopausal hormone therapy and antioxidant vitamin therapy do not protect post
menopausal women from coronary heart disease. Aggressive life-style and
pharmacologic management of known coronary risk factors in women should be a top
priority to improve coronary heart disease morbidity and mortality. Research data
continue to emerge to fill the gaps of how gender affects atherosclerosis; in the
meantime, continued patient and physician education to increase awareness of
coronary heart disease may help to eliminate some of the gender-based disparities
in the delivery of coronary care to women.
PMID- 18043558
TI - [Mechanical reperfusion in patients with acute myocardial infarction].
AB - Natural history of patients with acute myocardial infarction has significantly
improved in the last 20 years. The main reason for this progress was the
introduction of effective reperfusion strategies. It has been clearly documented
that the speed, extension, and duration of reperfusion are key prognostic
factors. Mechanical reperfusion during primary percutaneous coronary
interventions has been shown to be superior to fibrinolysis in order to achieve
these RESULTS: All the techniques able to positively interact with the ischemia
reperfusion process and that have been evaluated so far (stent, adjunctive
pharmacological therapy, anti-embolic devices, etc.) will be discussed.
PMID- 18043559
TI - Electrical storm: clinical manifestations and management.
AB - Electrical storm is the clustering of hemodynamically destabilizing ventricular
tachycardia or ventricular fibrillation that typically requires multiple
electrical cardioversions or defibrillations within a 24-hour period. Electrical
storm is frequently seen in the acute phase of myocardial infarction, in patients
with the genetic arrhythmia syndromes, and in patients with implanted
cardioverters-defibrillators. The evaluation and management should focus on the
immediate suppression of the arrhythmia, a search for possible reversible causes,
and attempts to prevent recurrences. In this review we present the most common
conditions associated with electrical storm, therapeutic options for suppression
of electrical storm, and new investigational techniques emerging for the
treatment of electrical storm in refractory cases. The management of this life
threatening arrhythmia typically requires the coordinated efforts of emergency
medicine, critical care, cardiology, cardiac electrophysiology, and pacemaker
experts.
PMID- 18043560
TI - Update in the treatment of neurally-mediated syncope.
AB - Syncope is a transient, self-limited loss of consciousness, usually leading to
falling. The underlying mechanism is a transient global cerebral hypoperfusion.
Since there are many causes of syncope, a specific treatment cannot be
administered without knowing of the exact mechanism responsible of loss of
consciousness. Drugs are ineffective. The main therapeutic innovations of the
most recent years are isometric counter-pressure maneuvres, lower limb
compression bandage and therapy guided by implantable loop recorder in patients
with recurrent suspected neurally-mediated syncope.
PMID- 18043561
TI - Management of urological hemorrhage and the role of transarterial
angioembolization.
AB - Urological hemorrhage is an important problem in contemporary urological practice
with significant associated morbidity and mortality. Furthermore, these
emergencies present a number of challenges to clinicians as current practice has
evolved due to the increased availability of new imaging techniques and
transarterial embolisation (TAE). In this review we have explored the
epidemiology, etiology and management of both renal and bladder hemorrhage. Renal
bleeding secondary to accidental or iatrogenic trauma and neoplastic disease
requires careful but expeditious assessment and treatment. We have described
current conservative, surgical and radiological approaches to the management of
this challenging problem. Moreover, bladder hemorrhage due to hemorrhagic
cystitis, boadder cancer and infection represents a significant problem in
current practice. Advances in technology have changed the management options and
again we have explored the literature in order to determine the optimum treatment
approaches.
PMID- 18043562
TI - Emergency psychiatry.
AB - Up to 15% of people that are visited in the Emergency Department of a Hospital
have a mental disorder and/or a psychiatric symptom: often this is not recognized
or not properly treated. The reasons for this are more than one and involve: the
emergency physicians that are not always prepared and sensible to face this kind
of disorders; the psychiatrists that are not always well tuned with the language
and the clinic of the emergency; and the patients, that can ignore or deny the
psychiatric nature of their problems. After an initial definitions of the most
important terms and concepts (Psychiatric Emergency and Urgency, Behavioral
Emergency, Acute Presentations of Mental Disorders, and Crisis) the Medical and
Psychiatric Assessment are discussed with different Clinical Presentations and
Classifications, Psychosocial Evaluation and Risk Assessment. Finally the
Clinical Management and the Pharmacological Treatment are presented with special
attention to the underlying medical causes and to the use of new drugs,
especially second generation antipsychotics, alone or combined with
benzodiazepines.
PMID- 18043563
TI - The evaluation and management of acute poisoning emergencies.
AB - Emergency physicians will regularly be called upon to care for poisoned patients.
The purpose of this article is to review the general approach to the poisoned
patient. Specific signs and symptoms will be identified that may clue the
clinician into a specific toxin class as a diagnosis. Necessary testing in
poisonings will be highlighted. This article will also introduce the basics of
gastrointestinal decontamination and antidotes against select poisons.
PMID- 18043564
TI - Current approach to burn critical care.
AB - Burn trauma is a frequent cause of morbidity and mortality all over the world.
Advancements in resuscitation, surgical tecniques, infection control and
nutritional/metaolic support decreased mortality and morbidity. This article
intends to review current outlines for initial treatment and resuscitation
nutritional/metabolic support and wound management peculiar to burn patients.
PMID- 18043565
TI - Meningococcal disease: a review on available vaccines and vaccines in
development.
AB - Meningococcal disease continue to have a major public health impact in many
countries. Five major groups of Neisseria meningitidis (A, B, C, Y and W135) are
responsible for most meningococcal diseases. Plain polysaccharides vaccines for
Neisseria meningitidis groups A, C, Y and W-135 have been in use for
approximately 20 years, both to prevent invasive disease in high-risk population
and to control disease outbreaks. However, these conventional meningococcal
vaccines induce a relatively short-lasting T-cell independent immune response,
are not effective in children under two years of age and can induce
hyporesponsiveness. New meningococcal group C conjugate vaccines have since been
developed, which offer solid advantages over the currently licensed plain
polysaccharide vaccines. Tetravalent serogroup A, C, Y and W135 meningococcal
vaccines are under development and one has already been licensed. There is still
no universal vaccine available against the serogroup B, which is a major cause of
invasive disease. This report summarises the different approaches to the
development of vaccines against the pathogenic meningococci.
PMID- 18043566
TI - [The role of natriuretic peptides in heart failure].
AB - Over the last decades, there has been a significant increase in incidence and
prevalence of heart failure, a major cause of cardiac morbidity and mortality.
Measurements of neurohormones, in particular B-type natriuretic peptide (BNP),
can significantly improve diagnostic accuracy, and also correlate with long-term
morbidity and mortality in patients with chronic heart failure presenting to the
emergency department. BNP is secreted by cardiac ventricles mainly in response to
wall stress and neurohormonal factors like the sympathetic nervous system,
endothelins, and the rennin-angiotensin-aldosterone system. BNP increases
myocardial relaxation and oppose the vasoconstrictive, sodium retaining, and
natriuretic effects caused by vasoconstrictive factors. BNP is the first
biomarker to prove its clinical value for the diagnosis of left ventricular
systolic and diastolic dysfunction but also for the right ventricular
dysfunction, guiding prognosis and therapy management. Emerging clinical data
will help further refine biomarker-guided therapeutic and monitoring strategies
involving BNP.
PMID- 18043567
TI - Targeted therapies in solid tumours: results and promises.
AB - In these last few years novel approaches to the treatment of solid tumours have
been proposed. Therapeutic agents addressed to specific functions of the
neoplastic cells seems to be very promising tools, with a low grade of
toxicities. These agents are the basis of the so called targeted therapies. Small
molecules inhibiting the proliferative cascade of the cancer cells and monoclonal
specific antibodies against growth factor or vascular endothelial growth factor
have been claimed as the promise in cancer therapy. Unfortunately, the very good
results obtained in preclinical experiments have not been completely confirmed in
the clinical practice. A selection of patients who could have beneficial effects
from the novel agents is mandatory to avoid inappropriate therapies and also
unjustified expenses.
PMID- 18043568
TI - Mini-invasive techniques for the treatment of female stress urinary incontinence.
AB - AIM: The aim of this study was to review recent literature on mini-invasive
surgical technique for the treatment of female stress urinary incontinence (SUI).
Surgical aspects, intraoperative and perioperative complications and objective
and subjective outcomes were analyzed and compared. METHODS: The PubMed databank
from 2000 to February 2007 was searched for original prospective and randomized
studies in English, on surgical treatment of female SUI, which avoided a
laparotomic access to the female pelvis. Studies had to investigate at least 40
women with a minimum follow-up of 12 months. RESULTS: A total of 38 prospective
studies were found: 27 of them were on mid-urethral slings; 8 assessed urethral
injections; and 3 radiofrequency treatment. Fifteen studies were randomized.
Follow-ups ranged from 12 to 60 months, except for sexual function which had a 6
month follow-up. Ten out of 38 studies assessed patients who did not refer pelvic
organ prolapse or detrusor overactivity and had not undergone any previous anti
incontinence procedure. CONCLUSION: Mid-urethral slings showed good outcomes and
are safe and brief to perform and have a relatively short learning curve.
Urethral injections showed discouraging results, as they have poor outcomes and
repetitive treatments are frequently necessary. Injections can be used in women
with contraindications to major surgical procedures, with intrinsic sphincter
deficiency as the main cause of incontinence. Radiofrequency showed worse results
than mid-urethral slings and is a valuable choice in women who refuse more
invasive procedures. The development of studies with longer follow-ups on mini
invasive surgical techniques are encouraged.
PMID- 18043569
TI - Twin pregnancy: not only a medical event.
AB - AIM: Twin pregnancies are at greater risk of obstetrical and perinatal adverse
outcome compared to singletons. In addition, expecting twins can have particular
psychological consequences on both parents. The aim of our study was to interview
women with a twin pregnancy and their partners in order to assess their feelings
and emotions related to the twins and to evaluate the opportunity to activate an
information group about the theme of twin pregnancy, and a development of twins
and family management. METHODS: Twenty patients with an uncomplicated twin
pregnancy and their partners answered 9 questions in a semistructured interview,
set on the basis of the psychological and social issues reported in the
literature on couples expecting twins. Emerging themes and key words were
extracted from the interviews and analysed. RESULTS: Quantitative analysis showed
that women were, in most cases, shocked at the time of the diagnosis of twinning,
while men tried to minimize the worries of their partners. Women reported some
fears related to the practical management of the future life, but they declared
to feel not different from women expecting singleton, confirming the data
reported in the literature. Seventy percent of the women were interested in
meeting other parents with twins. Qualitative analysis frequently indicated the
defence mechanism of rationalisation and negation of the worries concerning the
pregnancy risks and the future care of their babies. Their answers seem to hide
fears and doubts that are confessed with difficulty. CONCLUSION: Our study
suggests the importance for hospital staff to create an atmosphere of calm and to
demonstrate empathy and understanding, with the aim to help and allow the mothers
to express their fears.
PMID- 18043570
TI - Relationship between Helicobacter pylori seropositivity and hyperemesis
gravidarum with the use of questionnaire.
AB - AIM: The aim of the present study was to investigate the relationship between
Helicobacter pylori (HP) infection and Hyperemesis gravidarum (HG) by using a
questionnaire. METHODS: Twenty-five pregnant women with HG and 85 asymptomatic
pregnant women (aged 14-40) of matching gestational age were enrolled between
October 2004 and January 2006. Anti-HP immunoglobin G (IgG) serum antibody was
tested to establish seropositivity. In our study we used a multi variable
questionnaire (name, age, gravida, number of vomits daily etc.). The results were
analyzed using chi squared and Mann-Whitney U- test. RESULTS: The prevalence of
HP infection was 56% (14 of 25) among patients with Hyperemesis gravidarum and
48.2% (41 of 85) among control subjects (P>0.05, chi squared test). In the same
study the HP seropositivity is not related to age of the woman (50%, 55 of 110
were HP positive, P>0.05 chi squared test) but there was a significantly
association between number of deliveries and HP seropositivity (primigravida [+]
34.2% versus 65.8%, multigravida [+] 6.1% versus 38.9%, P<0.05 chi squared test).
The history for gastrointestinal problems of the tested women and their husbands
is not related to HP seropositivity(38.1% positive for HP and with
gastrointestinal disorders she/he or both versus 61.8% positive and without
problems both, P>0.05, Mann-Whitney U- test). Finally there was no relativity
between the number of vomits daily and the HP seropositivity (48.2% with HP [+]
and 0-3 vomits daily versus 56% with HP [+] and 4-8 vomits daily, P>0.05 chi
squared). CONCLUSIONS: Our findings do not support any direct correlation between
HP seropositivity and Hyperemesis gravidarum, number of vomits daily, age of
woman, history for gastrointestinal problems but only with the number of
deliveries.
PMID- 18043571
TI - [Comparison between lymphocytic infiltration in early spontaneous abortions and
in elective abortions with signs of disruption at the chorio-decidual interface].
AB - AIM: The aim of the study was to compare lymphocytic infiltrations in early
spontaneous abortions with those with signs of disruption at the chorio-decidual
interface in elective abortions. Determinations were performed on preparations
received at the Anatomy-Pathology Services of Ospedale San Paolo, Savona (Italy)
in 2005. METHODS: Immunohistochemistry studies were performed using antisera CD3,
CD4 and CD14 with a DAB detection kit on a Ventana BenchMark automated slide
staining system. The material was grouped into three classes: early spontaneous
abortions (class 1); elective abortions with signs of disruption at the chorio
decidual interface (class 2); elective abortions without such signs (class 3).
RESULTS: Preparations from classes 1 and 2 shared a similar picture of
lymphocytic activation and the presence of macrophagic elements. The test results
demonstrated that the proportion of the T cell population increased with the rise
in CD8+ lymphocytes in both class 1 and class 2 preparations. CONCLUSION: The
results indicate that T-cell-mediated immune activation may the cause or one of
the causes of spontaneous abortion and that the effects of disruption at the
chorio-decidual interface observed in elective abortion provide a clue to initial
signs of loss of pregnancy. From the discovery of a population without evident
signs of active abortion (elective abortion with a disturbed chorio-decidual
interface) but with evidence of initial lymphocytic activation compared with that
devoid of such signs (elective abortion) one can conjecture that lymphocytic
activation is a major factor in the process leading to early spontaneous
abortion.
PMID- 18043572
TI - Fertility after non-surgical management of the symptomatic first-trimester
spontaneous abortion.
AB - AIM: The aim of this study was to assess clinical outcome after a non-surgical
management of the symptomatic spontaneous abortion in the first-trimester of
pregnancy in a level III maternity hospital and to assess the subsequent
fertility of the study population. METHODS: A prospective study in which 70 women
with a symptomatic early pregnancy loss undergone an expectant management of
miscarriage was performed. If resolution was not obtained after 4 days, medical
treatment with misoprostol was administered. The patients were followed-up after
the first menstrual cycle with a medical examination and an ultrasound scan.
After 12 months, the patients were interviewed by phone to investigate on
possible complications of abortion and on the occurrence of new pregnancies.
RESULTS: The non-surgical management of miscarriage was successful in 68 out of
70 women (97%). Surgical intervention was necessary in 2 of 70 women (3%).
Bleeding and pain were described as slightly more than a normal menstrual cycle.
Among the 60 patients that answered at the 12-month follow-up (85.7%), none
reported gynecological troubles and the subsequent pregnancy rate of the women
was 81%. CONCLUSION: Expectant management of selected cases of spontaneous
abortion, associated to medical treatment in indicated cases, could offer a valid
alternative to dilatation and curettage.
PMID- 18043573
TI - [Cesarean section, techniques and skin suture materials].
AB - AIM: The aim of the study was to compare the outcome, complications and costs of
three skin suture techniques after Caesarean section. METHODS: The study sample
was 310 women who underwent caesarean section between 2003 and 2007. The sample
was divided into three groups: an intradermal suture with non-reabsorbable thread
was applied in 98; metallic clips were placed in 90; 2-octyl-cyanoacrylate (2
OCA) glue was used for wound closure in 112. The sutures were checked at 4 days
and 2 months after the operation to determine cosmetic outcome, patient
compliance, strength of incision closure, allergic reactions, suture infection,
and total cost of each technique. RESULTS: No substantial differences in strength
of incision closure or cosmetic outcome between the techniques were found.
Compliance was better in the group that received 2-OCA, while the total cost of
suture alone was lower in the group that received the non-reabsorbable
intradermal suture. CONCLUSION: The results suggests that following Caesarean
section according to Stark, skin suture with 2-OCA glue has the advantage of
greater patient compliance, while intradermal thread suture is less costly with a
cosmetic outcome comparable to that of the other two techniques.
PMID- 18043574
TI - Pelvic organ prolapse: a review of the current literature.
AB - Pelvic organ prolapse has afflicted women since the beginning of mankind. Even
though we have been faced with this problem for so many centuries, we still have
not found a way to overcome gravity and prevent prolapse. We continue to make
efforts to manage prolapse, modifying our techniques based on burgeoning
research. This knowledge helps us to avoid repetitive complications from surgery
and to improve techniques to prevent recurrent prolapse. In addition, we are
constantly adapting our methods depending on available technology. With an aging
population, the demand for physicians and surgeons trained in management of
pelvic organ prolapse will increase. The rapidly evolving literature on pelvic
organ prolapse makes a comprehensive review difficult. However, based on the
current literature, randomized controlled trials are needed to compare new
prolapse repair techniques to traditional techniques. Physicians specializing in
surgical management of pelvic organ prolapse will need to work together to
complete quality clinical trials. The primary focus of this review will be on the
recent research concerning the epidemiology, etiology, presenting symptoms, and
diagnosis of pelvic organ prolapse. We will also discuss the different types of
prolapse by compartments of the vagina in which they occur and how each of these
types of prolapse can be managed. Finally, we will review recent literature
evaluating surgical repairs using transvaginal mesh kits.
PMID- 18043575
TI - Doctors and the chronic pelvic pain patient.
AB - Many women with chronic pelvic pain (CPP) turn out not to have any identifiable
pathology despite having undergone multiple investigations. There is no consensus
as to the best management for women in this group. Although a multidisciplinary
approach to diagnosis and care has been advocated as best practice, it is costly
and not practical in most units in the United Kingdom, and many other countries.
Clinicians need to be aware of the importance of attitude and medical
consultation as factors influencing patients' outcome from investigation and
treatment. While consulting styles reflect the individual personality of the
doctor, we need to be aware of our own underlying attitudes and how these might
enter into the dynamics of the consultation. Some patients may want to have open,
non-directive consultations, some more directive consultation styles. It is,
therefore, essential for the physicians to identify patients' expectations or
preferences and then try to meet them, in order to attain "concordance" in
communication. In this chapter, we will examine some studies that relate to the
doctor-patient relationship in women with CPP.
PMID- 18043576
TI - Surgical options in the treatment of stress urinary incontinence in women.
AB - The aim of this review is to provide an update on the surgical options for
treatment of stress incontinence in women. The efficiency of different procedures
and the safety associated with each procedure are evaluated, mainly by reviewing
the randomised controlled trials. The open retropubic colposuspension and the
sling procedures are the most efficacious for treatment stress urinary
incontinence especially in the long term. The laparoscopic colposuspension may be
as good as the open colposuspension, but the long-term performance remains
uncertain. The newer minimal access vaginal sling procedures appear to offer
benefits of minimal access surgery with success rate similar to the
colposuspension and the traditional slings and minor morbidity in the short and
median-term. However long term data is still awaited. The transobturator
technique does not seem to provide advantages compared to the classical TVT
procedure. Urethral injection therapy does not seem to have the same efficacy as
conventional surgery and long term data is still scanty. However, because of low
operative morbidity it represents a favourable alternative to standard surgery in
patients who prefer less invasive treatment, the frail elderly and other selected
women where conventional surgery is problematic.
PMID- 18043577
TI - Umbilical Richter's hernia after minimally invasive laparoscopy. A case report.
AB - A case of Richter's hernia in the umbilical trocar site following laparoscopic
radiofrequency thermal ablation of uterine myomas is presented. A 10-mm trocar
was inserted through the umbilical site and the radiofrequency needle was
introduced percutaneously into the uterine fibroid. Trocar was extracted under
direct visual control after carbonic gas deflation. The fascial layer of
umbilical port was not sutured. The umbilical Richter's hernia presented 13 days
later required bowel resection. This case stresses the importance of suturing the
fascial defects of 5-mm larger ports also in diagnostic and in minimally invasive
laparoscopic procedures.
PMID- 18043578
TI - Immunohistochemical categorisation of ductal carcinoma in situ of the breast.
AB - The aim of this study is to analyse whether immunohistochemistry (IHC) applying a
broad set of markers could be used to categorise ductal carcinoma in situ (DCIS)
of the breast in distinct subgroups corresponding to the recently defined
molecular categories of invasive carcinoma. Immunohistochemistry of pure DCIS
cases constructed in tissue arrays was performed with 16 markers (oestrogen
receptor (ER), progesterone receptor (PR), androgen receptor (AR), Bcl-2, p53,
Her2, insulin-like growth factor receptor, E-cadherin, epithelial membrane
antigen (EMA), CA125, keratins 5/6, 14, 19, epidermal growth factor receptor,
S100, and CD31). Results in 163 cases were analysed by unsupervised hierarchical
clustering. Histological classification was performed by review of whole tissue
sections and identified 36 well-, 55 intermediately, and 72 poorly differentiated
DCISs. Unsupervised hierarchical cluster analysis categorised DCIS into two major
groups that could be further subdivided into subgroups based on the expression of
six markers (ER, PR, AR, Bcl-2, p53, and Her2). In the major predominantly ER/Bcl
2-positive (luminal) group, three subgroups (AR-positive (n=33), AR-negative
(n=40), and mixed (n=34)) could be identified and included 34 well-differentiated
DCISs. Within the major predominantly ER/Bcl-2-negative (nonluminal) group, a
Her2-positive subgroup (n=34) was characterised by 31 poorly differentiated
lesions. Eight triple-negative lesions, including one positive for keratin 5/6
and two positive for p53, were encountered. Intermediately differentiated DCIS
shared a comparable IHC staining pattern with well-differentiated DCIS that was
distinct from poorly differentiated DCIS (P<0.001). Ductal carcinoma in situ
could be categorised by IHC into two major groups and five subgroups using six
markers. Morphologically, intermediately differentiated DCIS seems to have more
biological similarities with well-differentiated lesions as compared to poorly
differentiated lesions.
PMID- 18043579
TI - Quality of life and quality-adjusted survival (Q-TWiST) in patients receiving
dose-intensive or standard dose chemotherapy for high-risk primary breast cancer.
AB - Quality of life (QL) is an important consideration when comparing adjuvant
therapies for early breast cancer, especially if they differ substantially in
toxicity. We evaluated QL and Q-TWiST among patients randomised to adjuvant dose
intensive epirubicin and cyclophosphamide administered with filgrastim and
progenitor cell support (DI-EC) or standard-dose anthracycline-based chemotherapy
(SD-CT). We estimated the duration of chemotherapy toxicity (TOX), time without
disease symptoms and toxicity (TWiST), and time following relapse (REL). Patients
scored QL indicators. Mean durations for the three transition times were weighted
with patient reported utilities to obtain mean Q-TWiST. Patients receiving DI-EC
reported worse QL during TOX, especially treatment burden (month 3: P<0.01), but
a faster recovery 3 months following chemotherapy than patients receiving SD-CT,
for example, less coping effort (P<0.01). Average Q-TWiST was 1.8 months longer
for patients receiving DI-EC (95% CI, -2.5 to 6.1). Q-TWiST favoured DI-EC for
most values of utilities attached to TOX and REL. Despite greater initial
toxicity, quality-adjusted survival was similar or better with dose-intensive
treatment as compared to standard treatment. Thus, QL considerations should not
be prohibitive if future intensive therapies show superior efficacy.
PMID- 18043581
TI - Keeping protests within the law.
PMID- 18043580
TI - Identification of Lck-derived peptides applicable to anti-cancer vaccine for
patients with human leukocyte antigen-A3 supertype alleles.
AB - The identification of peptide vaccine candidates to date has been focused on
human leukocyte antigen (HLA)-A2 and -A24 alleles. In this study, we attempted to
identify cytotoxic T lymphocyte (CTL)-directed Lck-derived peptides applicable to
HLA-A11(+), -A31(+), or -A33(+) cancer patients, because these HLA-A alleles
share binding motifs, designated HLA-A3 supertype alleles, and because the Lck is
preferentially expressed in metastatic cancer. Twenty-one Lck-derived peptides
were prepared based on the binding motif to the HLA-A3 supertype alleles. They
were first screened for their recognisability by immunoglobulin G (IgG) in the
plasma of prostate cancer patients, and the selected candidates were subsequently
tested for their potential to induce peptide-specific CTLs from peripheral blood
mononuclear cells of HLA-A3 supertype(+) cancer patients. As a result, four Lck
peptides were frequently recognised by IgGs, and three of them - Lck(90-99),
Lck(449-458), and Lck(450-458) - efficiently induced peptide-specific and cancer
reactive CTLs. Their cytotoxicity towards cancer cells was mainly ascribed to HLA
class I-restricted and peptide-specific CD8(+) T cells. These results indicate
that these three Lck peptides are applicable to HLA-A3 supertype(+) cancer
patients, especially those with metastasis. This information could facilitate the
development of peptide-based anti-cancer vaccine for patients with alleles other
than HLA-A2 and -A24.
PMID- 18043583
TI - Dopamine: at the intersection of reward and action.
PMID- 18043584
TI - Microgliosis: the questions shape the answers.
PMID- 18043585
TI - A sharper view from the top.
PMID- 18043586
TI - The main olfactory bulb and innate behavior: different perspectives on an
olfactory scene.
PMID- 18043590
TI - Is duodenal biopsy required in all patients with suspected celiac disease?
PMID- 18043591
TI - Should clinicians integrate medical and psychological interventions for the
treatment of functional dyspepsia?
PMID- 18043592
TI - Screening for chronic kidney disease in patients with diabetes: are we missing
the point?
PMID- 18043593
TI - Urine neutrophil gelatinase-associated lipocalin as a marker of acute kidney
injury in critically ill children.
PMID- 18043594
TI - Outcome in childhood cerebral venous thrombosis--new insights.
PMID- 18043595
TI - Long-term left-ventricular-assist-device therapy is here to stay.
PMID- 18043596
TI - Pediatric rheumatic heart disease in the developing world: echocardiographic
versus clinical screening.
PMID- 18043597
TI - New percutaneous mechanical left ventricular support for acute MI: the AMC MACH
program.
PMID- 18043598
TI - Should aspirin be used as a preventive therapy for thrombosis in patients with
antiphospholipid antibodies?
PMID- 18043599
TI - Emerging viral infections and arthritis: the role of the rheumatologist.
PMID- 18043600
TI - Should patients with RA be aggressively monitored for hypertension?
PMID- 18043601
TI - Low-risk prostate cancer can and should often be managed with active surveillance
and selective delayed intervention.
PMID- 18043602
TI - Are metastatic testicular tumors curable with high-dose chemotherapy and stem
cell rescue?
PMID- 18043603
TI - Cisplatin alone or in combination versus hydroxyurea during pelvic irradiation
for cervical cancer.
PMID- 18043604
TI - Adjuvant use of interferon alpha 2b is not justified in patients with stage
IIb/III melanoma.
PMID- 18043605
TI - Physical fitness in childhood and adolescence: a powerful marker of health.
AB - This review aims to summarize the latest developments with regard to physical
fitness and several health outcomes in young people. The literature reviewed
suggests that (1) cardiorespiratory fitness levels are associated with total and
abdominal adiposity; (2) both cardiorespiratory and muscular fitness are shown to
be associated with established and emerging cardiovascular disease risk factors;
(3) improvements in muscular fitness and speed/agility, rather than
cardiorespiratory fitness, seem to have a positive effect on skeletal health; (4)
both cardiorespiratory and muscular fitness enhancements are recommended in
pediatric cancer patients/survivors in order to attenuate fatigue and improve
their quality of life; and (5) improvements in cardiorespiratory fitness have
positive effects on depression, anxiety, mood status and self-esteem, and seem
also to be associated with a higher academic performance. In conclusion, health
promotion policies and physical activity programs should be designed to improve
cardiorespiratory fitness, but also two other physical fitness components such us
muscular fitness and speed/agility. Schools may play an important role by
identifying children with low physical fitness and by promoting positive health
behaviors such as encouraging children to be active, with special emphasis on the
intensity of the activity.
PMID- 18043606
TI - The ISME journal: multidisciplinary journal of microbial ecology.
PMID- 18043607
TI - The ISME journal: a major milestone for the International Society for Microbial
Ecology and the microbial ecology community.
PMID- 18043608
TI - Microbial ecology and environmental biotechnology.
PMID- 18043609
TI - Microbial ecology meets electrochemistry: electricity-driven and driving
communities.
AB - Bio-electrochemical systems (BESs) have recently emerged as an exciting
technology. In a BES, bacteria interact with electrodes using electrons, which
are either removed or supplied through an electrical circuit. The most-described
type of BES is microbial fuel cells (MFCs), in which useful power is generated
from electron donors as, for example, present in wastewater. This form of charge
transport, known as extracellular electron transfer, was previously extensively
described with respect to metals such as iron and manganese. The importance of
these interactions in global biogeochemical cycles is essentially undisputed. A
wide variety of bacteria can participate in extracellular electron transfer, and
this phenomenon is far more widespread than previously thought. The use of BESs
in diverse research projects is helping elucidate the mechanism by which bacteria
shuttle electrons externally. New forms of interactions between bacteria have
been discovered demonstrating how multiple populations within microbial
communities can co-operate to achieve energy generation. New environmental
processes that were difficult to observe or study previously can now be simulated
and improved via BESs. Whereas pure culture studies make up the majority of the
studies performed thus far, even greater contributions of BESs are expected to
occur in natural environments and with mixed microbial communities. Owing to
their versatility, unmatched level of control and capacity to sustain novel
processes, BESs might well serve as the foundation of a new environmental
biotechnology. While highlighting some of the major breakthroughs and addressing
only recently obtained data, this review points out that despite rapid progress,
many questions remain unanswered.
PMID- 18043610
TI - New processes and players in the nitrogen cycle: the microbial ecology of
anaerobic and archaeal ammonia oxidation.
AB - Microbial activities drive the global nitrogen cycle, and in the past few years,
our understanding of nitrogen cycling processes and the micro-organisms that
mediate them has changed dramatically. During this time, the processes of
anaerobic ammonium oxidation (anammox), and ammonia oxidation within the domain
Archaea, have been recognized as two new links in the global nitrogen cycle. All
available evidence indicates that these processes and organisms are critically
important in the environment, and particularly in the ocean. Here we review what
is currently known about the microbial ecology of anaerobic and archaeal ammonia
oxidation, highlight relevant unknowns and discuss the implications of these
discoveries for the global nitrogen and carbon cycles.
PMID- 18043611
TI - Microbial ecology of biological invasions.
AB - Invasive microbes, plants and animals are a major threat to the composition and
functioning of ecosystems; however, the mechanistic basis of why exotic species
can be so abundant and disruptive is not well understood. Most studies have
focused on invasive plants and animals, although few have considered the effects
of invasive microbes, or interactions of invasive plant and animal species with
microbial communities. Here, we review effects of invasive plants on soil
microbial communities and discuss consequences for plant performance, plant
community structure and ecosystem processes. In addition, we briefly discuss
effects of invasive soil microbes on plant communities, which has been less well
studied, and effects of invasive animals on soil decomposers and ecosystem
functioning. We do this by considering each of three important functional groups
of microbes, namely soil microbial parasites and pathogens, mutualistic symbionts
and decomposers. We conclude that invasive plants, pathogenic and symbiotic soil
microbes will have strongest effects on the abundance of individual species,
community diversity and ecosystem functioning. Invasive decomposer microbes
probably have little impact, because of limited specificity and great functional
redundancy. However, invasive plants and animals can have major effects on
microbial decomposition in soil. We propose that understanding, predicting and
counteracting consequences of enhanced global homogenization of natural
communities through introducing exotic plants, animals and microbes will require
future studies on how pathogenic, symbiotic and decomposer soil microbes
interact, how they are influenced by higher trophic level organisms and how their
combined effects are influencing the composition and functioning of ecosystems.
PMID- 18043612
TI - Synchrony in aquatic microbial community dynamics.
AB - Population dynamics are influenced by drivers acting from outside and from within
an ecosystem. Extrinsic forces operating over broad spatial scales can impart
synchronous behavior to separate populations, while internal, system-specific
drivers often lead to idiosyncratic behavior. Here, we demonstrate synchrony in
community-level dynamics among phytoplankton and bacteria in six north temperate
humic lakes. The influence of regional meteorological factors explained much of
the temporal variability in the phytoplankton community, and resulted in
synchronous patterns of community change among lakes. Bacterial dynamics, in
contrast, were driven by system-specific interactions with phytoplankton. Despite
the importance of intrinsic factors for determining bacterial community
composition and dynamics, we demonstrated that biological interactions
transmitted the signal of the regional extrinsic drivers to the bacterial
communities, ultimately resulting in synchronous community phenologies for
bacterioplankton communities as well. This demonstrates how linkages between the
components of a complex biological system can work to simplify the dynamics of
the system and implies that it may be possible to predict the behavior of
microbial communities responsible for important biogeochemical services in the
landscape.
PMID- 18043613
TI - Adaptation and spectral tuning in divergent marine proteorhodopsins from the
eastern Mediterranean and the Sargasso Seas.
AB - Proteorhodopsins (PRs) phototrophy was recently discovered in oceanic surface
waters. PRs have been observed in different marine environments and in diverse
taxa, including the ubiquitous marine alphaproteobacterial SAR11 group and the
uncultured gammaproteobacterial SAR86 group. Previously, two SAR86 PR subgroups,
discovered in the Pacific Ocean, were shown to absorb light with different
maxima, lambda max 527 nm (green) and lambda max 490 nm (blue) and their
distribution was explained by prevailing light conditions - green pigments at the
surface and blue in deeper waters. Here, we show that PRs display high diversity
in geographically distinct patterns despite similar physical water column
properties such as mixing and light penetration. We compared summer and winter
samples representing stratified and mixed conditions from both the Mediterranean
and Sargasso Sea. As expected, in the Mediterranean Sea, green pigments were
mainly confined to the surface and the percentage of blue pigments increased
toward deeper samples; in the Sargasso Sea, unexpectedly, all PRs were of the
blue type. As an additional result, both locations show seasonal dependence in
the distribution of different PR families. Finally, spectral tuning was not
restricted to a single PR family as previously reported but occurs across the
sampled PR families from various microbial taxa. The distribution of tunable PRs
across the PR tree suggests that ready adaptability has been distributed widely
among microorganisms, and may be a reason that PRs are abundant and taxonomically
widely dispersed.
PMID- 18043614
TI - Long-term ecological impacts of antibiotic administration on the human intestinal
microbiota.
AB - Antibiotic administration is known to cause short-term disturbances in the
microbiota of the human gastrointestinal tract, but the potential long-term
consequences have not been well studied. The aims of this study were to analyse
the long-term impact of a 7-day clindamycin treatment on the faecal microbiota
and to simultaneously monitor the ecological stability of the microbiota in a
control group as a baseline for reference. Faecal samples from four clindamycin
exposed and four control subjects were collected at nine different time points
over 2 years. Using a polyphasic approach, we observed highly significant
disturbances in the bacterial community that persisted throughout the sampling
period. In particular, a sharp decline in the clonal diversity of Bacteroides
isolates, as assessed by repetitive sequence-based PCR (rep-PCR) and long-term
persistence of highly resistant clones were found as a direct response to the
antibiotic exposure. The Bacteroides community never returned to its original
composition during the study period as assessed using the molecular
fingerprinting technique, terminal restriction fragment length polymorphism (T
RFLP). Furthermore, using real-time PCR we found a dramatic and persistent
increase in levels of specific resistance genes in DNA extracted from the faeces
after clindamycin administration. The temporal variations in the microbiota of
the control group were minor compared to the large and persistent shift seen in
the exposed group. These results demonstrate that long after the selection
pressure from a short antibiotic exposure has been removed, there are still
persistent long term impacts on the human intestinal microbiota that remain for
up to 2 years post-treatment.
PMID- 18043615
TI - GeoChip: a comprehensive microarray for investigating biogeochemical, ecological
and environmental processes.
AB - Owing to their vast diversity and as-yet uncultivated status, detection,
characterization and quantification of microorganisms in natural settings are
very challenging, and linking microbial diversity to ecosystem processes and
functions is even more difficult. Microarray-based genomic technology for
detecting functional genes and processes has a great promise of overcoming such
obstacles. Here, a novel comprehensive microarray, termed GeoChip, has been
developed, containing 24,243 oligonucleotide (50 mer) probes and covering >10,000
genes in >150 functional groups involved in nitrogen, carbon, sulfur and
phosphorus cycling, metal reduction and resistance, and organic contaminant
degradation. The developed GeoChip was successfully used for tracking the
dynamics of metal-reducing bacteria and associated communities for an in situ
bioremediation study. This is the first comprehensive microarray currently
available for studying biogeochemical processes and functional activities of
microbial communities important to human health, agriculture, energy, global
climate change, ecosystem management, and environmental cleanup and restoration.
It is particularly useful for providing direct linkages of microbial
genes/populations to ecosystem processes and functions.
PMID- 18043616
TI - Diazotrophic bacterioplankton in a coral reef lagoon: phylogeny, diel nitrogenase
expression and response to phosphate enrichment.
AB - We investigated diazotrophic bacterioplankton assemblage composition in the Heron
Reef lagoon (Great Barrier Reef, Australia) using culture-independent techniques
targeting the nifH fragment of the nitrogenase gene. Seawater was collected at 3
h intervals over a period of 72 h (i.e. over diel as well as tidal cycles). An
incubation experiment was also conducted to assess the impact of phosphate
(PO(4)3*) availability on nifH expression patterns. DNA-based nifH libraries
contained primarily sequences that were most similar to nifH from sediment,
microbial mat and surface-associated microorganisms, with a few sequences that
clustered with typical open ocean phylotypes. In contrast to genomic DNA
sequences, libraries prepared from gene transcripts (mRNA amplified by reverse
transcription-polymerase chain reaction) were entirely cyanobacterial and
contained phylotypes similar to those observed in open ocean plankton. The
abundance of Trichodesmium and two uncultured cyanobacterial phylotypes from
previous studies (group A and group B) were studied by quantitative-polymerase
chain reaction in the lagoon samples. These were detected as transcripts, but
were not detected in genomic DNA. The gene transcript abundance of these
phylotypes demonstrated variability over several diel cycles. The PO(4)3*
enrichment experiment had a clearer pattern of gene expression over diel cycles
than the lagoon sampling, however PO(4)3* additions did not result in enhanced
transcript abundance relative to control incubations. The results suggest that a
number of diazotrophs in bacterioplankton of the reef lagoon may originate from
sediment, coral or beachrock surfaces, sloughing into plankton with the flooding
tide. The presence of typical open ocean phylotype transcripts in lagoon
bacterioplankton may indicate that they are an important component of the N cycle
of the coral reef.
PMID- 18043617
TI - Frequency-dependent advantages of plasmid carriage by Pseudomonas in homogeneous
and spatially structured environments.
AB - The conditions promoting the persistence of a plasmid carrying a trait that may
be mutually beneficial to other cells in its vicinity were studied in structured
and unstructured environments. A large plasmid encoding mercury resistance in
Pseudomonas fluorescens was used, and the mercury concentration allowing invasion
from rare for both plasmid-bearing and plasmid-free cells was determined for
different initial inoculum densities in batch-culture structured (filter surface)
and unstructured (mixed broth) environments. A range of mercury concentrations
were found where both cell types could coexist, the regions being relatively
similar in the two types of environment although density-dependent in the
unstructured environment. The coexistence is explained in terms of frequency
dependent selection of the mutually beneficial mercury resistance trait, and the
dynamics of bacterial growth under batch culture conditions. However, the region
of coexistence was complicated by conjugation which increased plasmid spread in
the mixed broth culture but not the structured environment.
PMID- 18043618
TI - Culture clash: challenging the dogma of microbial diversity.
PMID- 18043619
TI - What's the 'meta' with metagenomics?
PMID- 18043620
TI - Who eats what, where and when? Isotope-labelling experiments are coming of age.
AB - Isotope-labelling experiments have changed the way microbial ecologists
investigate the ecophysiology of microbial populations and cells in the
environment. Insight into the 'uncultivated majority' accompanies methodology
that involves the incorporation of stable isotopes or radioisotopes into sub
populations of environmental samples. Subsequent analysis of labelled biomarkers
of sub-populations with stable-isotope probing (DNA-SIP, RNA-SIP, phospholipid
derived fatty acid-SIP) or individual cells with a combination of fluorescence in
situ hybridization and microautoradiography reveals linked phylogenetic and
functional information about the organisms that assimilated these compounds.
Here, we review some of the most recent literature, with an emphasis on
methodological improvements to the sensitivity and utility of these methods. We
also highlight related isotope techniques that are in continued development and
hold promise to transform the way we link phylogeny and function in complex
microbial communities.
PMID- 18043621
TI - Recovery in culture of viable but nonculturable Vibrio parahaemolyticus: regrowth
or resuscitation?
AB - The objective of this study was to explore the recovery of culturability of
viable but nonculturable (VBNC) Vibrio parahaemolyticus after temperature upshift
and to determine whether regrowth or resuscitation occurred. A clinical strain of
V. parahaemolyticus Vp5 was rendered VBNC by exposure to artificial seawater
(ASW) at 4 degrees C. Aliquots of the ASW suspension of cells (0.1, 1 and 10 ml)
were subjected to increased temperatures of 20 degrees C and 37 degrees C.
Culturability of the cells in the aliquots was monitored for colony formation on
a rich medium and changes in morphology were measured by scanning (SEM) and
transmission (TEM) electron microscopy. Samples of VBNC cells were fixed and
examined by SEM, revealing a heterogeneous population comprising small cells and
larger, flattened cells. Forty-eight hours after temperature upshift to 20
degrees C or 37 degrees C, both elongation and division by binary fission of the
cells were observed, employing SEM and TEM, but only in the 10-ml aliquots. The
results suggest that a portion of VBNC cells is able to undergo cell division. It
is concluded that a portion of VBNC cells of V. parahaemolyticus subjected to
cold temperatures remain viable. After temperature upshift, regrowth of those
cells, rather than resuscitation of all bacteria of the initial inoculum, appears
to be responsible for recovery of culturability of VBNC cells of V.
parahaemolyticus. Nutrient in filtrates of VBNC cells is hypothesized to allow
growth of the temperature-responsive cells, with cell division occurring via
binary fission, but also including an atypical, asymmetric cell division.
PMID- 18043622
TI - Differences in the composition of vaginal microbial communities found in healthy
Caucasian and black women.
AB - The maintenance of a low pH in the vagina through the microbial production of
lactic acid is known to be an important defense against infectious disease in
reproductive age women. Previous studies have shown that this is largely
accomplished through the metabolism of lactic acid bacteria, primarily species of
Lactobacillus. Despite the importance of this defense mechanism to women's
health, differences in the species composition of vaginal bacterial communities
among women have not been well defined, nor is it known if and how these
differences might be linked to differences in the risk of infection. In this
study, we defined and compared the species composition of vaginal bacterial
communities in 144 Caucasian and black women in North America. This was carried
out based on the profiles of terminal restriction fragments of 16S rRNA genes,
and phylogenetic analysis of 16S rRNA gene sequences of the numerically dominant
microbial populations. Among all the women sampled, there were eight major kinds
of vaginal communities ('supergroups') that occurred in the general populace at a
frequency of at least 0.05 (P=0.99). From the distribution of these supergroups
among women, it was possible to draw several conclusions. First, there were
striking, statistically significant differences (P=0.0) in the rank abundance of
community types among women in these racial groups. Second, the incidence of
vaginal communities in which lactobacilli were not dominant was higher in black
women (33%) as compared to Caucasian women (7%). Communities not dominated by
lactobacilli had Atopobium and a diverse array of phylotypes from the order
Clostridiales. Third, communities dominated by roughly equal numbers of more than
one species of Lactobacillus were rare in black women, but common in Caucasian
women. We postulate that because of these differences in composition, not all
vaginal communities are equally resilient, and that differences in the vaginal
microbiota of Caucasian and black women may at least partly account for known
disparities in the susceptibility of women in these racial groups to bacterial
vaginosis and sexually transmitted diseases.
PMID- 18043624
TI - Honey and royal jelly, like human milk, abrogate lectin-dependent infection
preceding Pseudomonas aeruginosa adhesion.
AB - Pseudomonas aeruginosa antibiotic resistance has led to the search of natural
compounds, which would competitively block its fucose>fructose/mannose-binding
lectin (PA-IIL) that mediates its biofilm formation and adhesion to animal cells.
Such compounds were found in human milk (HM) and avian egg whites. The present
research has revealed that honey and royal jelly (RJ), which are assigned to
protect beehive progeny and are applied for human infection therapy, match HM in
PA-IIL blocking. The function of their fructose (higher in honey) and
mannosylated glycoproteins (higher in RJ) as powerful decoys in PA-IIL
neutralization is of ecological/biological importance and implementability for
the antibacterial adhesion therapeutic strategy.
PMID- 18043623
TI - Biphenyl-utilizing bacteria and their functional genes in a pine root zone
contaminated with polychlorinated biphenyls (PCBs).
AB - Bacteria and functional genes associated with biphenyl (BP) degradation in the
root zone of an Austrian pine (Pinus nigra L.) growing naturally in
polychlorinated-BP (PCB)-contaminated soil were identified using stable isotope
probing (SIP) integrated with comprehensive functional gene analyses. SIP
revealed 75 different genera that derived carbon from 13C-BP, with
Pseudonocardia, Kribella, Nocardiodes and Sphingomonas predominating carbon
acquisition. Rhodococcus spp. were not detected with SIP, despite being the most
abundant BP utilizers isolated from agar plates. Only one organism, an
Arthrobacter spp., was detected as a BP utilizer by both cultivation and SIP
methods. Time-course SIP analyses indicated that secondary carbon flow from BP
utilizing bacteria into other soil organisms may have occurred largely between 4
and 14 days incubation. Functional gene contents of the BP-utilizing metagenome
(13C-DNA) were explored using the GeoChip, a functional gene array containing
6465 probes targeting aromatic degradative genes. The GeoChip detected 27 genes,
including several associated with catabolism of BP, benzoate and a variety of
aromatic ring hydroxylating dioygenase (ARHD) subunits. Genes associated with the
beta-ketoadipate pathway were also detected, suggesting a potential role for this
plant aromatic catabolic pathway in PCB degradation. Further ARHD analyses using
targeted polymerase chain reaction primers and sequence analyses revealed novel
dioxygenase sequences in 13C-DNA, including several sequences that clustered
distantly from all known ARHDs and others that resembled known Rhodococcus ARHDs.
The findings improve our understanding of BP degradation and carbon flow in soil,
reveal the extent of culture bias, and may benefit bioremediation research by
facilitating the development of molecular tools to detect, quantify and monitor
populations involved in degradative processes.
PMID- 18043625
TI - Inter-species transplantation of gut microbiota from human to pigs.
AB - Direct research on gut microbiota for understanding its role as 'an important
organ' in human individuals is difficult owing to its vast diversity and host
specificity as well as ethical concerns. Transplantation of human gut microbiota
into surrogate hosts can significantly facilitate the research of human gut
ecology, metabolism and immunity but rodents-based model provides results with
low relevance to humans. A new human flora-associated (HFA) piglet model was
hereby established taking advantage of the high similarity between pigs and
humans with respect to the anatomy, physiology and metabolism of the digestive
system. Piglets were delivered via cesarean section into a SPF-level barrier
system and were inoculated orally with a whole fecal suspension from one healthy
10-year-old boy. The establishment and composition of the intestinal microbiota
of the HFA piglets were analyzed and compared with that of the human donor using
enterobacterial repetitive intergenic consensus sequence-PCR fingerprinting-based
community DNA hybridization, group-specific PCR-temperature gradient gel
electrophoresis and real-time PCR. Molecular profiling demonstrated that
transplantation of gut microbiota from a human to germfree piglets produced a
donor-like microbial community with minimal individual variation. And the
microbial succession with aging of those ex-germfree piglets was also similar to
that observed in humans. This HFA model provides a significantly improved system
for research on gut ecology in human metabolism, nutrition and drug discovery.
PMID- 18043626
TI - Functional microarray analysis of nitrogen and carbon cycling genes across an
Antarctic latitudinal transect.
AB - Soil-borne microbial communities were examined via a functional gene microarray
approach across a southern polar latitudinal gradient to gain insight into the
environmental factors steering soil N- and C-cycling in terrestrial Antarctic
ecosystems. The abundance and diversity of functional gene families were studied
for soil-borne microbial communities inhabiting a range of environments from 51
degrees S (cool temperate-Falkland Islands) to 72 degrees S (cold rock desert
Coal Nunatak). The recently designed functional gene array used contains 24,243
oligonucleotide probes and covers >10,000 genes in >150 functional groups
involved in nitrogen, carbon, sulfur and phosphorus cycling, metal reduction and
resistance and organic contaminant degradation (He et al. 2007). The detected N-
and C-cycle genes were significantly different across different sampling
locations and vegetation types. A number of significant trends were observed
regarding the distribution of key gene families across the environments examined.
For example, the relative detection of cellulose degradation genes was correlated
with temperature, and microbial C-fixation genes were more present in plots
principally lacking vegetation. With respect to the N-cycle, denitrification
genes were linked to higher soil temperatures, and N2-fixation genes were linked
to plots mainly vegetated by lichens. These microarray-based results were
confirmed for a number of gene families using specific real-time PCR, enzymatic
assays and process rate measurements. The results presented demonstrate the
utility of an integrated functional gene microarray approach in detecting shifts
in functional community properties in environmental samples and provide insight
into the forces driving important processes of terrestrial Antarctic nutrient
cycling.
PMID- 18043627
TI - Parenteral long-acting amoxicillin reduces intestinal bacterial community
diversity in piglets even 5 weeks after the administration.
AB - We investigated the long-term effects of a single intramuscular administration of
amoxicillin (15 mg kg(-1)) 1 day after birth, on piglet intestinal microbiota.
Animals received no creep feed before weaning on day 28 of age. For the next 11
days, the piglets received a wheat-barley-based diet. Colon digesta samples were
collected on day 39 and subjected to denaturing gradient gel electrophoresis
(DGGE) of PCR-amplified 16S rRNA gene fragments. DGGE fingerprint diversity
indices differed between the group treated with amoxicillin and the untreated
group (0.8+/-0.19 and 1.03+/-0.17, respectively, P=0.012). Reamplification and
sequencing of two bands present in all samples revealed that a Roseburia faecalis
related population was strongly reduced in relative abundance (98% identity) in
the treated group, while an enterobacterial population with 100% identity to
Shigella spp., Escherichia coli and Salmonella enterica serovar Typhi was
enriched. A band corresponding to Lactobacillus sobrius was present only in the
control group. The protective effect of prophylactic antibiotic administration
may be outweighed by the long-lasting disturbance of the gut ecosystem.
PMID- 18043628
TI - Metagenomics and the global ocean survey: what's in it for us, and why should we
care?
PMID- 18043629
TI - Soaking it up: the complex lives of marine sponges and their microbial
associates.
PMID- 18043630
TI - The effects of subtherapeutic antibiotic use in farm animals on the proliferation
and persistence of antibiotic resistance among soil bacteria.
AB - The use of antibiotics at subtherapeutic concentrations for agricultural
applications is believed to be an important factor in the proliferation of
antibiotic-resistant bacteria. The goal of this study was to determine if the
application of manure onto agricultural land would result in the proliferation of
antibiotic resistance among soil bacteria. Chlortetracycline-resistant bacteria
were enumerated and characterized from soils exposed to the manure of animals fed
subtherapeutic concentrations of antibiotics and compared to the
chlortetracycline-resistant bacteria from soils at farms with restricted
antibiotic use (dairy farms) and from non-agricultural soils. No significant
differences were observed at nine different study sites with respect to the
numbers and types of cultivated chlortetracycline-resistant bacteria. Genes
encoding for tetracycline resistance were rarely detected in the resistant
bacteria from these sites. In contrast, soils collected from a tenth farm, which
allowed manure to indiscriminately accumulate outside the animal pen, had
significantly higher chlortetracycline-resistance levels. These resistant
bacteria frequently harbored one of 14 different genes encoding for tetracycline
resistance, many of which (especially tet(A) and tet(L)) were detected in
numerous different bacterial species. Subsequent bacterial enumerations at this
site, following the cessation of farming activity, suggested that this farm
remained a hotspot for antibiotic resistance. In conclusion, we speculate that
excessive application of animal manure leads to the spread of resistance to soil
bacteria (potentially by lateral gene transfer), which then serve as persistent
reservoir of antibiotic resistance.
PMID- 18043631
TI - Survival of genetically marked Escherichia coli O157:H7 in soil as affected by
soil microbial community shifts.
AB - A loamy sand soil sampled from a species-rich permanent grassland at a long-term
experimental site (Wildekamp, Bennekom, The Netherlands) was used to construct
soil microcosms in which the microbial community compositions had been modified
by fumigation at different intensities (depths). As expected, increasing depth of
fumigation was shown to result in progressively increasing effects on the
microbiological soil parameters, as determined by cultivation-based as well as
cultivation-independent (PCR-DGGE, PLFA) methods. Both at 7 and at 60 days after
fumigation, shifts in the bacterial, fungal and protozoan communities were noted,
indicating that altered community compositions had emerged following a transition
phase. At the level of bacteria culturable on plates, an increase of the
prevalence of bacterial r-strategists was noted at 7 days followed by a decline
at 60 days, which also hinted at the effectiveness of the fumigation treatments.
The survival of a non-toxigenic Escherichia coli O157:H7 derivative, strain T,
was then assessed over 60 days in these microcosms, using detection via colony
forming units counts as well as via PCR-DGGE. Both data sets were consistent with
each other. Thus, a clear effect of fumigation depth on the survival of the
invading strain T was noted, as a progressive increase of depth coincided with a
progressively enhanced inoculant survival rate. As fumigation depth was
presumably inversely related to community complexity, this was consistent with
the hypothesis that soil systems with reduced biological complexity offer
enhanced opportunities for invading microbial species to establish and persist.
The significance of this finding is discussed in the light of the ongoing
discussion about the complexity-invasiveness relationship within microbial
communities, in particular regarding the opportunities of pathogens to persist.
PMID- 18043632
TI - The introduction of genetically modified microorganisms designed for
rhizoremediation induces changes on native bacteria in the rhizosphere but not in
the surrounding soil.
AB - A 168-day microcosms experiment was used to assess the possible functional and
structural shifts occurring in the bacterial community of a site with a
historical record of polychlorinated biphenyl (PCB) contamination, after the
introduction of plants whose roots have been inoculated with genetically modified
(GM) microorganisms, designed for rhizoremediation. Salix sp. plants were
inoculated with two different GM Pseudomonas fluorescens strains or with their
parental wild-type strain. Both bulk soil and rhizosphere samples were analyzed.
Physiological profiles based on 31 ecologically relevant carbon sources were used
to detect differences in bacterial community functions. The community structure
of eubacteria, alpha and beta-proteobacteria, actinobacteria and acidobacteria
communities were analyzed via a polymerase chain reaction-thermal gradient gel
electrophoresis (TGGE) approach. The introduced transgenes had no effect on the
function and structure of the bacterial community in bulk soil, although they
enhanced biodegradation of PCBs as determined by chemical analysis. However, the
transgenes effected the development of functionally and genetically distinct
bacterial communities in the rhizosphere. Moreover, structural and functional
differences were detected between planted and unplanted soils and between soil
and rhizosphere samples. In the case of the different group-specific structures
studied, differences were observed between groups because of time-dependant
shifts, rhizosphere effect and bacterial strain introduced.
PMID- 18043633
TI - Functional metaproteome analysis of protein extracts from contaminated soil and
groundwater.
AB - Using proteins from soil or groundwater as functional biomarkers requires
efficient extraction. We developed an extraction method in which the separation
of proteins from the inorganic and organic constituents of the soil matrix was
achieved by a combination of 0.1 M NaOH treatment and phenol extraction.
Incubation with NaOH released humic acids and proteins from soil minerals, and
simultaneously, disrupted microorganisms. The subsequent phenol extraction
separated the proteins from the humic organic matter. Protein extracts were
applied to sodium dodecyl sulfate polyacrylamide gel electrophoresis (SDS-PAGE)
and 2D-electrophoresis (2-DE). Spots and bands were excised and individual
proteins identified by liquid chromatography online linked to mass spectrometry
(MS) via electrospray ionization source (LC-ESI-MS). To assess the suitability of
the method for the functional analysis of environmental metaproteomes, it was
applied to soil that had been enriched in chlorophenoxy acid-degrading bacteria
by incubation with 2,4-dichlorophenoxy acetic acid (2,4-D) for 22 days. The
method was also used to analyze groundwater from the aquifer of a chlorobenzene
contaminated site. The identification of enzymes such as chlorocatechol
dioxygenases was consistent with bacterial metabolic pathways expected to be
expressed in these samples. The protocol enabled thus the analysis of the
metaproteome of soil and groundwater samples. It thereby provides a means to
study the diversity of environmental microbial communities while addressing
functional aspects more directly than metagenome or even metatranscriptome
analysis.
PMID- 18043634
TI - Genomic plasticity in prokaryotes: the case of the square haloarchaeon.
AB - The variability in genome content among closely related strains of prokaryotes
has been one of the most remarkable discoveries of genomics. One way to approach
the description of this so-called pan-genome is to compare one reference strain
genome with metagenomic sequences from the environment. We have applied this
approach to one extreme aquatic habitat, saturated brines in a solar saltern. The
genome of Haloquadratum walsbyi strain DSM 16790 was compared to an environmental
metagenome obtained from the exact site of its isolation. This approach revealed
that some regions of the strain genome were scarcely represented in the
metagenome. Here we have analyzed these genomic islands (GI) in the genome of DSM
16790 and compared them with the complete sequence of some fosmids from the
environmental library. Two of the islands, GI 2 and GI 4, overlapped with two
large guanine and cytosine (GC)-rich regions that showed evidence of high
variability through mobile elements. GI 3 seemed to be a phage or phage-remnant
acquired by the reference genome, but not present in most environmental lineages.
Most differential gene content was related to small molecule transport and
detection, probably reflecting adaptation to different pools of organic
nutrients. GI 1 did not possess traces of mobile elements and had normal GC
content. This island contained the main cluster of cell envelope glycoproteins
and the variability found was different from the other GIs. Rather than
containing different genes it consisted of homologs with low similarity. This
variation might reflect a phage evasion strategy.
PMID- 18043635
TI - Characterization of filamentous bacteria, belonging to candidate phylum KSB3,
that are associated with bulking in methanogenic granular sludges.
AB - A fatal bulking phenomenon was found to occur occasionally in the methanogenic
granular sludge of a mesophilic (35-40 degrees C), full-scale upflow anaerobic
sludge blanket (UASB) reactor treating organic wastewater discharged from a sugar
manufacturing factory. A vast number of filamentous cells were observed in the
bulking sludge that were morphologically distinct from the previously recognized
anaerobic bulking agent Anaerolinea thermophila. 16S rRNA gene-based analyses of
the microbial populations in the bulking sludge revealed that the dominant
filamentous organisms were members of proposed candidate bacterial phylum, KSB3.
Fluorescence in situ hybridization (FISH) analysis of the healthy sludge granules
showed that the KSB3 filaments were the dominant granule surface population
suggesting that they are fundamental constituents of the sludge granules and that
they occasionally overgrow in the reactor, possibly triggering the filamentous
bulking. We surveyed 10 additional mesophilic and thermophilic anaerobic sludges
for the presence and diversity of KSB3 populations. Bacteria closely related to
the characterized KSB3 filaments were present in two types of mesophilically
grown UASB sludge granules treating actual wastewater discharged from sugar
processing industries.
PMID- 18043636
TI - Public computer surfaces are reservoirs for methicillin-resistant staphylococci.
AB - The role of computer keyboards used by students of a metropolitan university as
reservoirs of antibiotic-resistant staphylococci was determined. Putative
methicillin (oxacillin)-resistant staphylococci isolates were identified from
keyboard swabs following a combination of biochemical and genetic analyses. Of 24
keyboards surveyed, 17 were contaminated with staphylococci that grew in the
presence of oxacillin (2 mg l(-1)). Methicillin (oxacillin)-resistant
Staphylococcus aureus (MRSA), -S. epidermidis (MRSE) and -S. hominis (MRSH) were
present on two, five and two keyboards, respectively, while all three
staphylococci co-contaminated one keyboard. Furthermore, these were found to be
part of a greater community of oxacillin-resistant bacteria. Combined with the
broad user base common to public computers, the presence of antibiotic-resistant
staphylococci on keyboard surfaces might impact the transmission and prevalence
of pathogens throughout the community.
PMID- 18043637
TI - Witnessing the last supper of uncultivated microbial cells with Raman-FISH.
PMID- 18043638
TI - Colorful niches of phototrophic microorganisms shaped by vibrations of the water
molecule.
AB - The photosynthetic pigments of phototrophic microorganisms cover different
regions of the solar light spectrum. Utilization of the light spectrum can be
interpreted in terms of classical niche theory, as the light spectrum offers
opportunities for niche differentiation and allows coexistence of species
absorbing different colors of light. However, which spectral niches are available
for phototrophic microorganisms? Here, we show that the answer is hidden in the
vibrations of the water molecule. Water molecules absorb light at specific
wavebands that match the energy required for their stretching and bending
vibrations. Although light absorption at these specific wavelengths appears only
as subtle shoulders in the absorption spectrum of pure water, these subtle
shoulders create large gaps in the underwater light spectrum due to the
exponential nature of light attenuation. Model calculations show that the
wavebands between these gaps define a series of distinct niches in the underwater
light spectrum. Strikingly, these distinct spectral niches match the light
absorption spectra of the major photosynthetic pigments on our planet. This
suggests that vibrations of the water molecule have played a major role in the
ecology and evolution of phototrophic microorganisms.
PMID- 18043639
TI - Pyrosequencing enumerates and contrasts soil microbial diversity.
AB - Estimates of the number of species of bacteria per gram of soil vary between 2000
and 8.3 million (Gans et al., 2005; Schloss and Handelsman, 2006). The highest
estimate suggests that the number may be so large as to be impractical to test by
amplification and sequencing of the highly conserved 16S rRNA gene from soil DNA
(Gans et al., 2005). Here we present the use of high throughput DNA
pyrosequencing and statistical inference to assess bacterial diversity in four
soils across a large transect of the western hemisphere. The number of bacterial
16S rRNA sequences obtained from each site varied from 26,140 to 53,533. The most
abundant bacterial groups in all four soils were the Bacteroidetes,
Betaproteobacteria and Alphaproteobacteria. Using three estimators of diversity,
the maximum number of unique sequences (operational taxonomic units roughly
corresponding to the species level) never exceeded 52,000 in these soils at the
lowest level of dissimilarity. Furthermore, the bacterial diversity of the forest
soil was phylum rich compared to the agricultural soils, which are species rich
but phylum poor. The forest site also showed far less diversity of the Archaea
with only 0.009% of all sequences from that site being from this group as opposed
to 4%-12% of the sequences from the three agricultural sites. This work is the
most comprehensive examination to date of bacterial diversity in soil and
suggests that agricultural management of soil may significantly influence the
diversity of bacteria and archaea.
PMID- 18043640
TI - Contrasting patterns of seed and root colonization by bacteria from the genus
Chryseobacterium and from the family Oxalobacteraceae.
AB - Microbial colonization of plant seeds and roots is a highly complex process in
which soil and plant type can influence the composition of the root-associated
and rhizosphere microbial communities. Amendment of compost, a common
agricultural technique, introduces exogenous nutrients and microorganisms to the
soil-plant environment, and can further influence microbial community composition
in the plant environment. Although compost amendments can strongly influence soil
and rhizosphere microbial communities, there is evidence that with increasing
proximity to the root, plant influences predominate over soil effects. We
hypothesized that the 'rhizosphere effect' observed with proximity to plant
surfaces does not act equally on all microorganisms. To explore this issue, we
examined two bacterial taxa that reproducibly colonized seed and root surfaces in
an experiment examining the influence of compost amendment on plant-associated
bacterial communities. Population-specific analyses revealed striking differences
in the ecology of bacteria from the genus Chryseobacterium and the family
Oxalobacteraceae in potting mix and plant-associated environments. Seed- and root
colonizing Oxalobacteraceae populations were highly sensitive to plant effects,
and phylogenetic analyses of root-colonizing Oxalobacteraceae revealed the
presence of root-associated populations that were highly similar, regardless of
treatment, and differed from the potting mix populations detected at the same
sampling points. Conversely, Chryseobacterium community composition was found to
be essentially invariant within treatments, but was strongly influenced by
compost amendment. This persistence and stable nature of the Chryseobacterium
community composition demonstrates that rhizosphere selection is not the
exclusive factor involved in determining the composition of the cucumber
spermosphere and rhizosphere communities.
PMID- 18043641
TI - Is there a cost of virus resistance in marine cyanobacteria?
AB - Owing to their abundance and diversity, it is generally perceived that viruses
are important for structuring microbial communities and regulating biogeochemical
cycles. The ecological impact of viruses on microbial food webs, however, may be
influenced by evolutionary processes, including the ability of bacteria to evolve
resistance to viruses and the theoretical prediction that this resistance should
be accompanied by a fitness cost. We conducted experiments using phylogenetically
distinct strains of marine Synechococcus (Cyanobacteria) to test for a cost of
resistance (COR) to viral isolates collected from Mount Hope Bay, Rhode Island.
In addition, we examined whether fitness costs (1) increased proportionally with
'total resistance', the number of viruses for which a strain had evolved
resistance, or (2) were determined more by 'compositional resistance', the
identity of the viruses to which it evolved resistance. A COR was only found in
half of our experiments, which may be attributed to compensatory mutations or the
inability to detect a small COR. When detected, the COR resulted in a
approximately 20% reduction in relative fitness compared to ancestral strains.
The COR was unaffected by total resistance, suggesting a pleiotropic fitness
response. Under competitive conditions, however, the COR was dependent on
compositional resistance, suggesting that fitness costs were associated with the
identity of a few particular viruses. Our study provides the first evidence for a
COR in marine bacteria, and suggests that Synechococcus production may be
influenced by the composition of co-occurring viruses.
PMID- 18043642
TI - Symbiont recognition of mutualistic bacteria by Acromyrmex leaf-cutting ants.
AB - Symbiont choice has been proposed to play an important role in shaping many
symbiotic relationships, including the fungus-growing ant-microbe mutualism. Over
millions of years, fungus-growing ants have defended their fungus gardens from
specialized parasites with antibiotics produced by an actinomycete bacterial
mutualist (genus Pseudonocardia). Despite the potential of being infected by
phylogenetically diverse strains of parasites, each ant colony maintains only a
single Pseudonocardia symbiont strain, which is primarily vertically transmitted
between colonies by the founding queens. In this study, we show that Acromyrmex
leaf-cutter ants are able to differentiate between their native actinomycete
strain and a variety of foreign strains isolated from sympatric and allopatric
Acromyrmex species, in addition to strains originating from other fungus-growing
ant genera. The recognition mechanism is sufficiently sensitive for the ants to
discriminate between closely related symbiont strains. Our findings suggest that
symbiont recognition may play a crucial role in the fungus-growing ant-bacterium
mutualism, likely allowing the ants to retain ecological flexibility necessary
for defending their garden from diverse parasites and, at the same time, resolve
potential conflict that can arise from rearing competing symbiont strains.
PMID- 18043643
TI - Distribution and diversity of phytate-mineralizing bacteria.
AB - Phytate, the most abundant organic phosphorus compound in soil, dominates the
biotic phosphorus input from terrestrial runoffs into aquatic systems. Microbial
mineralization of phytate by phytases is a key process for recycling phosphorus
in the biosphere. Bioinformatic studies were carried out on microbial genomes and
environmental metagenomes in the NCBI and the CAMERA databases to determine the
distribution of the four known classes of phytase in the microbial world. The
beta-propeller phytase is the only phytase family that can be found in aquatic
environments and it is also distributed in soil and plant bacteria. The beta
propeller phytase-like genes can be classified into several subgroups based on
their domain structure and the positions of their conserved cysteine residues.
Analysis of the genetic contexts of these subgroups showed that beta-propeller
phytase genes exist either as an independent gene or are closely associated with
a TonB-dependent receptor-like gene in operons, suggesting that these two genes
are functionally linked and thus may play an important role in the cycles of
phosphorus and iron. Our work suggests that beta-propeller phytases play a major
role in phytate-phosphorus cycling in both soil and aquatic microbial
communities.
PMID- 18043644
TI - Sequence-based analysis of pQBR103; a representative of a unique, transfer
proficient mega plasmid resident in the microbial community of sugar beet.
AB - The plasmid pQBR103 was found within Pseudomonas populations colonizing the leaf
and root surfaces of sugar beet plants growing at Wytham, Oxfordshire, UK. At 425
kb it is the largest self-transmissible plasmid yet sequenced from the
phytosphere. It is known to enhance the competitive fitness of its host, and
parts of the plasmid are known to be actively transcribed in the plant
environment. Analysis of the complete sequence of this plasmid predicts a coding
sequence (CDS)-rich genome containing 478 CDSs and an exceptional degree of
genetic novelty; 80% of predicted coding sequences cannot be ascribed a function
and 60% are orphans. Of those to which function could be assigned, 40% bore
greatest similarity to sequences from Pseudomonas spp, and the majority of the
remainder showed similarity to other gamma-proteobacterial genera and plasmids.
pQBR103 has identifiable regions presumed responsible for replication and
partitioning, but despite being tra+ lacks the full complement of any previously
described conjugal transfer functions. The DNA sequence provided few insights
into the functional significance of plant-induced transcriptional regions, but
suggests that 14% of CDSs may be expressed (11 CDSs with functional annotation
and 54 without), further highlighting the ecological importance of these novel
CDSs. Comparative analysis indicates that pQBR103 shares significant regions of
sequence with other plasmids isolated from sugar beet plants grown at the same
geographic location. These plasmid sequences indicate there is more novelty in
the mobile DNA pool accessible to phytosphere pseudomonas than is currently
appreciated or understood.
PMID- 18043645
TI - Biological and chemical sulfide oxidation in a Beggiatoa inhabited marine
sediment.
AB - The ecological niche of nitrate-storing Beggiatoa, and their contribution to the
removal of sulfide were investigated in coastal sediment. With microsensors a
clear suboxic zone of 2-10 cm thick was identified, where neither oxygen nor free
sulfide was detectable. In this zone most of the Beggiatoa were found, where they
oxidize sulfide with internally stored nitrate. The sulfide input into the
suboxic zone was dominated by an upward sulfide flux from deeper sediment,
whereas the local production in the suboxic zone was much smaller. Despite their
abundance, the calculated sulfide-oxidizing capacity of the Beggiatoa could
account for only a small fraction of the total sulfide removal in the sediment.
Consequently, most of the sulfide flux into the suboxic layer must have been
removed by chemical processes, mainly by precipitation with Fe2+ and oxidation by
Fe(III), which was coupled with a pH increase. The free Fe2+ diffusing upwards
was oxidized by Mn(IV), resulting in a strong pH decrease. The nitrate storage
capacity allows Beggiatoa to migrate randomly up and down in anoxic sediments
with an accumulated gliding distance of 4 m before running out of nitrate. We
propose that the steep sulfide gradient and corresponding high sulfide flux, a
typical characteristic of Beggiatoa habitats, is not needed for their metabolic
performance, but rather used as a chemotactic cue by the highly motile filaments
to avoid getting lost at depth in the sediment. Indeed sulfide is a repellent for
Beggiatoa.
PMID- 18043646
TI - Carbon and nitrogen fixation and metabolite exchange in and between individual
cells of Anabaena oscillarioides.
AB - Filamentous nitrogen fixing cyanobacteria are key players in global nutrient
cycling, but the relationship between CO2- and N2-fixation and intercellular
exchange of these elements remains poorly understood in many genera. Using high
resolution nanometer-scale secondary ion mass spectrometry (NanoSIMS) in
conjunction with enriched H13CO3- and 15N2 incubations of Anabaena
oscillarioides, we imaged the cellular distributions of C, N and P and 13C and
15N enrichments at multiple time points during a diurnal cycle as proxies for C
and N assimilation. The temporal and spatial distributions of the newly fixed C
and N were highly heterogeneous at both the intra- and inter-cellular scale, and
indicative of regions performing active assimilation and biosynthesis.
Subcellular components such as the neck region of heterocycts, cell division
septae and putative cyanophycin granules were clearly identifiable by their
elemental composition. Newly fixed nitrogen was rapidly exported from heterocysts
and was evenly allocated among vegetative cells, with the exception of the most
remote vegetative cells between heterocysts, which were N limited based on lower
15N enrichment. Preexisting functional heterocysts had the lowest levels of 13C
and 15N enrichment, while heterocysts that were inferred to have differentiated
during the experiment had higher levels of enrichment. This innovative approach,
combining stable isotope labeling and NanoSIMS elemental and isotopic imaging,
allows characterization of cellular development (division, heterocyst
differentiation), changes in individual cell composition and cellular roles in
metabolite exchange.
PMID- 18043648
TI - The marine microbial world: a voyage of discovery.
PMID- 18043647
TI - Improvements of high-throughput culturing yielded novel SAR11 strains and other
abundant marine bacteria from the Oregon coast and the Bermuda Atlantic Time
Series study site.
AB - The introduction of high-throughput dilution-to-extinction culturing (HTC) of
marine bacterioplankton using sterilized natural sea water as media yielded
isolates of many abundant but previously uncultured marine bacterial clades. In
early experiments, bacteria from the SAR11 cluster (class Alphaproteobacteria),
which are presumed to be the most abundant prokaryotes on earth, were cultured.
Although many additional attempts were made, no further strains of the SAR11
clade were obtained. Here, we describe improvements to the HTC technique, which
led to the isolation of 17 new SAR11 strains from the Oregon coast and the
Sargasso Sea, accounting for 28% and 31% of all isolates in these experiments.
Phylogenetic analysis of the internal transcribed spacer (ITS) region showed that
the isolates from the Oregon coast represent three different subclusters of
SAR11, while isolates from the Sargasso Sea were more uniform and represented a
single ITS cluster. A PCR assay proved the presence of proteorhodopsin (PR) in
nearly all SAR11 isolates. Analysis of PR amino-acid sequences indicated that
isolates from the Oregon coast were tuned to either green or blue light, while
PRs from strains obtained from the Sargasso Sea were exclusively tuned to maximum
absorbance in the blue. Interestingly, phylogenies based on PR and ITS did not
correlate, suggesting lateral gene transfer. In addition to the new SAR11
strains, many novel strains belonging to clusters of previously uncultured or
undescribed species of different bacterial phyla, including the first strain of
the highly abundant alphaproteobacterial SAR116 clade, were isolated using the
modified methods.
PMID- 18043649
TI - Reductive dechlorination of chloroethenes by Dehalococcoides-containing cultures
enriched from a polychlorinated-dioxin-contaminated microcosm.
AB - The reductive dechlorinating abilities for chloroethenes of seven enrichment
cultures from polychlorinated-dioxin-dechlorinating microcosm were investigated
using culture-independent and -dependent methods. These cultures were constructed
and maintained with 1,2,3-trichlorobenzene (1,2,3-TCB) or fthalide as an electron
acceptor and hydrogen as an electron donor. Denaturing gradient gel
electrophoresis (DGGE) analysis of the amplified fragments targeting the 16S rRNA
gene showed one or two major bands, whose nucleotide sequences were then analyzed
and were found to suggest that Dehalococcoides was one of the dominant bacteria
in all enrichment cultures. The nucleotide sequence data revealed that the
identity of the major band was 100% identical to the 16S rRNA gene sequence of
the Pinellas subgroup of the Dehalococcoides clusters, that is, strains CBDB1 and
FL2. Genetic diagnosis targeting the pceA, tceA, bvcA, vcrA and reductive
dehalogenase homologous (rdh) gene was performed to investigate the potential for
reductive chloroethene dechlorination of cultures. The required length of PCR
amplified fragments was not observed, suggesting that these cultures are not
capable of reductively dechlorinating chloroethenes. However, a culture-dependent
test indicated that two cultures, TUT1903 and TUT1952, reductively dechlorinated
tetrachloroethene (PCE) to trichloroethene (TCE), although not completely. While,
TUT2260 and TUT2264 completely converted PCE to TCE and dichloroethenes, but not
further. These results suggest that these TUT cultures might include a novel type
of bacteria belonging to the Dehalococcoides group and that currently available
information on both the 16S rRNA gene and rdh gene sequences is insufficient to
definitively evaluate the potential abilities for reductive dechlorination.
PMID- 18043650
TI - Stable-isotope probing implicates Methylophaga spp and novel Gammaproteobacteria
in marine methanol and methylamine metabolism.
AB - The metabolism of one-carbon (C(1)) compounds in the marine environment affects
global warming, seawater ecology and atmospheric chemistry. Despite their global
significance, marine microorganisms that consume C(1) compounds in situ remain
poorly characterized. Stable-isotope probing (SIP) is an ideal tool for linking
the function and phylogeny of methylotrophic organisms by the metabolism and
incorporation of stable-isotope-labelled substrates into nucleic acids. By
combining DNA-SIP and time-series sampling, we characterized the organisms
involved in the assimilation of methanol and methylamine in coastal sea water
(Plymouth, UK). Labelled nucleic acids were analysed by denaturing gradient gel
electrophoresis (DGGE) and clone libraries of 16S rRNA genes. In addition, we
characterized the functional gene complement of labelled nucleic acids with an
improved primer set targeting methanol dehydrogenase (mxaF) and newly designed
primers for methylamine dehydrogenase (mauA). Predominant DGGE phylotypes, 16S
rRNA, methanol and methylamine dehydrogenase gene sequences, and cultured
isolates all implicated Methylophaga spp, moderately halophilic marine
methylotrophs, in the consumption of both methanol and methylamine. Additionally,
an mxaF sequence obtained from DNA extracted from sea water clustered with those
detected in (13)C-DNA, suggesting a predominance of Methylophaga spp among marine
methylotrophs. Unexpectedly, most predominant 16S rRNA and functional gene
sequences from (13)C-DNA were clustered in distinct substrate-specific clades,
with 16S rRNA genes clustering with sequences from the Gammaproteobacteria. These
clades have no cultured representatives and reveal an ecological adaptation of
particular uncultured methylotrophs to specific C(1) compounds in the coastal
marine environment.
PMID- 18043651
TI - Viral photosynthetic reaction center genes and transcripts in the marine
environment.
AB - Cyanobacteria of the genera Synechococcus and Prochlorococcus are important
contributors to photosynthetic productivity in the open ocean. The discovery of
genes (psbA, psbD) that encode key photosystem II proteins (D1, D2) in the
genomes of phages that infect these cyanobacteria suggests new paradigms for the
regulation, function and evolution of photosynthesis in the vast pelagic
ecosystem. Reports on the prevalence and expression of phage photosynthesis
genes, and evolutionary data showing a potential recombination of phage and host
genes, suggest a model in which phage photosynthesis genes help support
photosynthetic activity in their hosts during the infection process. Here, using
metagenomic data in natural ocean samples, we show that about 60% of the psbA
genes in surface water along the global ocean sampling transect are of phage
origin, and that the phage genes are undergoing an independent selection for
distinct D1 proteins. Furthermore, we show that different viral psbA genes are
expressed in the environment.
PMID- 18043652
TI - High specificity but contrasting biodiversity of Sphagnum-associated bacterial
and plant communities in bog ecosystems independent of the geographical region.
AB - Mosses represent ecological niches that harbor a hitherto largely uncharacterized
microbial diversity. To investigate which factors affect the biodiversity of
bryophyte-associated bacteria, we analyzed the bacterial communities associated
with two moss species, which exhibit different ecological behaviors and
importance in bog ecosystems, Sphagnum magellanicum and Sphagnum fallax, from six
temperate and boreal bogs in Germany and Norway. Furthermore, their surrounding
plant communities were studied. Molecular analysis of bacterial communities was
determined by single-strand conformation polymorphism (SSCP) analysis using
eubacterial and genus-specific primers for the dominant genera Burkholderia and
Serratia as well as by sequence analysis of a Burkholderia 16S rRNA gene clone
library. Plant communities were analyzed by monitoring the abundance and
composition of bryophyte and vascular plant species, and by determining
ecological indicator values. Interestingly, we found a high degree of host
specificity for associated bacterial and plant communities of both Sphagnum
species independent of the geographical region. Calculation of diversity indices
on the basis of SSCP gels showed that the S. fallax-associated communities
displayed a statistically significant higher degree of diversity than those
associated with S. magellanicum. In contrast, analyses of plant communities of
Sphagnum-specific habitats resulted in a higher diversity of S. magellanicum
specific habitats for all six sites. The higher content of nutrients in the S.
fallax-associated ecosystems can explain higher diversity of microorganisms.
PMID- 18043653
TI - Phytoplankton carbon fixation gene (RuBisCO) transcripts and air-sea CO(2) flux
in the Mississippi River plume.
AB - River plumes deliver large quantities of nutrients to oligotrophic oceans, often
resulting in significant CO(2) drawdown. To determine the relationship between
expression of the major gene in carbon fixation (large subunit of ribulose-1,5
bisphosphate carboxylase/oxygenase, RuBisCO) and CO(2) dynamics, we evaluated
rbcL mRNA abundance using novel quantitative PCR assays, phytoplankton cell
analyses, photophysiological parameters, and pCO(2) in and around the Mississippi
River plume (MRP) in the Gulf of Mexico. Lower salinity (30-32) stations were
dominated by rbcL mRNA concentrations from heterokonts, such as diatoms and
pelagophytes, which were at least an order of magnitude greater than haptophytes,
alpha-Synechococcus or high-light Prochlorococcus. However, rbcL transcript
abundances were similar among these groups at oligotrophic stations (salinity 34
36). Diatom cell counts and heterokont rbcL RNA showed a strong negative
correlation to seawater pCO(2). While Prochlorococcus cells did not exhibit a
large difference between low and high pCO(2) water, Prochlorococcus rbcL RNA
concentrations had a strong positive correlation to pCO(2), suggesting a very low
level of RuBisCO RNA transcription among Prochlorococcus in the plume waters,
possibly due to their relatively poor carbon concentrating mechanisms (CCMs).
These results provide molecular evidence that diatom/pelagophyte productivity is
largely responsible for the large CO(2) drawdown occurring in the MRP, based on
the co-occurrence of elevated RuBisCO gene transcript concentrations from this
group and reduced seawater pCO(2) levels. This may partly be due to efficient
CCMs that enable heterokont eukaryotes such as diatoms to continue fixing CO(2)
in the face of strong CO(2) drawdown. Our work represents the first attempt to
relate in situ microbial gene expression to contemporaneous CO(2) flux
measurements in the ocean.
PMID- 18043654
TI - Links between bacterial production, amino-acid utilization and community
composition in productive lakes.
AB - Influence of distribution and abundance of bacterial taxa on ecosystem function
are poorly understood for natural microbial communities. We related 16S rRNA
based terminal restriction fragment length polymorphism to bacterial production
and arginine uptake kinetics to test if functional features of bacterioplankton
in four lakes could be predicted from community composition. Maximum arginine
uptake rate (arginine V(max)) ranged from 10% to 100% of bacterial production.
Owing to high growth efficiencies on arginine (63-77%), the bacterial community
could potentially saturate its carbon demand using this single organic substrate,
for example, during sudden surges of free amino acids. However, due to low in
situ concentrations of arginine in these lakes (<0.9 microg l(-1)), actual uptake
rates at ambient concentrations rarely exceeded 10% of V(max). Bacterial
production and arginine V(max) could be predicted from a subset of bacterial
ribotypes, tentatively affiliated with several bacterial divisions
(Cyanobacteria, Actinobacteria, Bacteroidetes and Proteobacteria). Multivariate
statistical analysis indicates that there were both highly important and less
important ribotypes for the prediction of bacterial production and arginine
V(max). These populations were either negatively or positively related to the
respective functional feature, indicating contrasting ecological roles. Our study
provides a statistically robust demonstration that, apart from environmental
conditions, patterns in bacterial community composition can also be used to
predict lake ecosystem function.
PMID- 18043655
TI - Effect of organic forms of phosphorus and variable concentrations of sulfide on
the metabolic generation of soluble-reactive phosphate by sulfur
chemolithoautotrophs: a laboratory study.
AB - In aquatic systems, recycling of phosphorus (P) is strongly influenced by
microbial metabolic responses. This study was designed to look at the generation
of P (as soluble-reactive phosphate (SRP)) from organic P-enriched sediments by
benthic sulfur chemolithoautotrophs. The study revealed that microbial density
was greater in organic P-enriched sediments when compared to sediments without
added organic P and the presence of organic P significantly influenced
mobilization of SRP from sediment. Generation of SRP by sediment microbes was
cumulative, dependent on time. The results indicated dissolved sulfide (S(2-)) to
be necessary for initiation of the metabolism-related mobilization of P: there
was no statistically significant effect of variations in ambient concentrations
of sulfide (S(2-)). The results elucidate possible outcomes of addition of
organic P in aquatic ecosystems.
PMID- 18043656
TI - Hydrogen is the central free intermediate during lignocellulose degradation by
termite gut symbionts.
AB - The key role of free hydrogen in the digestion of lignocellulose by wood-feeding
lower termites and their symbiotic gut microbiota has been conceptually outlined
in the past decades but remains to be quantitatively analyzed in situ. Using
Reticulitermes santonensis, Zootermopsis nevadensis and Cryptotermes secundus, we
determined metabolite fluxes involved in hydrogen turnover and the resulting
distribution of H(2) in the microliter-sized gut. High-resolution hydrogen
microsensor profiles revealed pronounced differences in hydrogen accumulation
among the species (from <1 kPa to the saturation level). However, flux
measurements indicated that the hydrogen pool was rapidly turned over in all
termites, irrespective of the degree of accumulation. Microinjection of
radiotracers into intact guts confirmed that reductive acetogenesis from CO(2)
dominated hydrogen consumption, whereas methanogenesis played only a minor role.
Only negligible amounts of H(2) were lost by emission, documenting an overall
equilibrium between hydrogen production and consumption within the gut.
Mathematical modeling revealed that production dominates in the gut lumen and
consumption in the gut periphery for R. santonensis and Z. nevadensis, explaining
the large accumulation of H(2) in these termites, whereas the moderate hydrogen
accumulation in C. secundus indicated a more balanced radial distribution of the
two processes. Daily hydrogen turnover rates were 9-33 m(3) H(2) per m(3) hindgut
volume, corresponding to 22-26% of the respiratory activity of the termites. This
makes H(2) the central free intermediate during lignocellulose degradation and
the termite gut-with its high rates of reductive acetogenesis-the smallest and
most efficient natural bioreactor currently known.
PMID- 18043657
TI - Labile associations between fungus-growing ant cultivars and their garden
pathogens.
AB - The distribution of genetic and phenotypic variation in both hosts and parasites
over their geographic ranges shapes coevolutionary dynamics. Specifically,
concordant host and parasite distributions facilitate localized adaptation and
further specialization of parasite genotypes on particular host genotypes. We
here compare genetic population structure of the cultivated fungi of the fungus
growing ant Apterostigma dentigerum and of the cultivar-attacking fungus,
Escovopsis, to determine whether these microbial associations have evolved or are
likely to evolve genotype-genotype specialization. Analyses based on amplified
fragment length polymorphism (AFLP) genotyping of host cultivars and pathogenic
Escovopsis from 77 A. dentigerum colonies reveal that populations of hosts and
pathogens are not similarly diverged and that host and pathogen genetic distances
are uncorrelated, indicating that genetically similar parasites are not infecting
genetically similar hosts. Microbial bioassays between pathogens and cultivars of
different genotypes and from different populations show little pairwise
specificity; most Escovopsis strains tested can successfully infect all cultivar
strains with which they are paired. These molecular and experimental data suggest
that Escovopsis genotypes are not tightly tracking cultivar genotypes within the
A. dentigerum system. The diffuse nature of this host-pathogen association, in
which pathogen genotypes are not interacting with a single host genotype but
instead with many different hosts, will influence evolutionary and ecological
disease dynamics of the fungus-growing ant-microbe symbiosis.
PMID- 18043658
TI - Experimental demonstration of chaotic instability in biological nitrification.
AB - Biological nitrification (that is, NH(3) --> NO(2)(-) --> NO(3)(-)) is a key
reaction in the global nitrogen cycle (N-cycle); however, it is also known
anecdotally to be unpredictable and sometimes fails inexplicably. Understanding
the basis of unpredictability in nitrification is critical because the loss or
impairment of this function might influence the balance of nitrogen in the
environment and also has biotechnological implications. One explanation for
unpredictability is the presence of chaotic behavior; however, proving such
behavior from experimental data is not trivial, especially in a complex microbial
community. Here, we show that chaotic behavior is central to stability in
nitrification because of a fragile mutualistic relationship between ammonia
oxidizing bacteria (AOB) and nitrite-oxidizing bacteria (NOB), the two major
guilds in nitrification. Three parallel chemostats containing mixed microbial
communities were fed complex media for 207 days, and nitrification performance,
and abundances of AOB, NOB, total bacteria and protozoa were quantified over
time. Lyapunov exponent calculations, supported by surrogate data and other
tests, showed that all guilds were sensitive to initial conditions, suggesting
broad chaotic behavior. However, NOB were most unstable among guilds and
displayed a different general pattern of instability. Further, NOB variability
was maximized when AOB were most unstable, which resulted in erratic
nitrification including significant NO(2)(-) accumulation. We conclude that
nitrification is prone to chaotic behavior because of a fragile AOB-NOB
mutualism, which must be considered in all systems that depend on this critical
reaction.
PMID- 18043659
TI - Comparative microbiota of Rickettsia felis-uninfected and -infected colonized cat
fleas, Ctenocephalides felis.
AB - Fleas serve as arthropod vectors for several emerging and re-emerging infectious
disease causing agents including, Rickettsia felis. Although the prevalence of R.
felis infection in colonies of fleas has been examined, the influence of the R.
felis infection on flea microbiota has not been investigated. We identified three
colonies of cat fleas, Ctenocephalides felis, with varying prevalence of R. felis
infection (Louisiana State University (LSU), 93.8%; Professional Laboratory and
Research Services Inc. (PLRS), 16.4%; Elward II (EL), 0%) and subsequently
utilized polymerase chain reaction amplification, restriction fragment length
polymorphism analysis and sequencing of the 1.4-kb portions of 16S rRNA genes to
examine the diversity of bacteria in the flea populations. A total of 17
different bacterial 16S rRNA gene sequences were identified among the C. felis
colonies. The prevalence of two Wolbachia species that were identified in each
flea colony differed between colonies and R. felis-uninfected and -infected
fleas. Species richness was unchanged among the R. felis-uninfected (LSU, PLRS
and EL colonies) and -infected (LSU and PLRS colonies) fleas; however, between R.
felis-uninfected and -infected fleas within both the LSU and PLRS colonies, R.
felis-uninfected fleas have greater species richness. Diversity indices did not
identify a difference in diversity between any of the flea samples. The
interaction of endosymbionts within arthropods can widely impact the
dissemination of vertically transmitted pathogenic bacteria; and the reciprocal
may be true. These results suggest that carriage of R. felis has an impact on the
richness of flea microbiota.
PMID- 18043661
TI - Fosmids of novel marine Planctomycetes from the Namibian and Oregon coast
upwelling systems and their cross-comparison with planctomycete genomes.
AB - Planctomycetes are widely distributed in marine environments, where they
supposedly play a role in carbon recycling. To deepen our understanding about the
ecology of this sparsely studied phylum six planctomycete fosmids from two marine
upwelling systems were investigated and compared with all available planctomycete
genomic sequences including the as yet unpublished near-complete genomes of
Blastopirellula marina DSM 3645(T) and Planctomyces maris DSM 8797(T). High
numbers of sulfatase genes (41-109) were found on all marine planctomycete
genomes and on two fosmids (2). Furthermore, C1 metabolism genes otherwise only
known from methanogenic Archaea and methylotrophic Proteobacteria were found on
two fosmids and all planctomycete genomes, except for 'Candidatus Kuenenia
stuttgartiensis'. Codon usage analysis indicated high expression levels for some
of these genes. In addition, novel large families of planctomycete-specific
paralogs with as yet unknown functions were identified, which are notably absent
from the genome of 'Candidatus Kuenenia stuttgartiensis'. The high numbers of
sulfatases in marine planctomycetes characterizes them as specialists for the
initial breakdown of sulfatated heteropolysaccharides and indicate their
importance for recycling carbon from these compounds. The almost ubiquitous
presence of C1 metabolism genes among Planctomycetes together with codon usage
analysis and information from the genomes suggest a general importance of these
genes for Planctomycetes other than formaldehyde detoxification. The notable
absence of these genes in Candidatus K. stuttgartiensis plus the surprising lack
of almost any planctomycete-specific gene within this organism reveals an
unexpected distinctiveness of anammox bacteria from all other Planctomycetes.
PMID- 18043662
TI - Anaerobic phenanthrene mineralization by a carboxylating sulfate-reducing
bacterial enrichment.
AB - Information on the susceptibility of higher molecular weight polynuclear aromatic
hydrocarbons to anaerobic biodegradation is relatively rare. We obtained a
sulfate-reducing bacterial enrichment capable of phenanthrene metabolism from a
hydrocarbon-contaminated marine sediment. Phenanthrene degradation was in
stoichiometric agreement with the theoretically expected amount of sulfate
reduction and inhibited by molybdate. Mineralization of (14)C-phenanthrene by the
enrichment was confirmed by the recovery of the expected amount of (14)CO(2).
Stable isotope studies with protonated or deuterated phenanthrene resulted in the
detection of the correspondingly labeled phenanthrene carboxylic acid by gas
chromatography-mass spectrometry. Comparison of the metabolite profile with a
synthesized standard confirmed that the parent molecule was carboxylated at the C
2 position. Incorporation of (13)C-bicarbonate into the carboxyl group implicated
a direct carboxylation of phenanthrene as a likely key initial reaction.
Denaturing gradient gel electrophoresis analysis of the enrichment showed only
two major bands and 16S rRNA sequences obtained by cloning clustered with known
hydrocarbon-degrading sulfate-reducing delta-proteobacteria, indicating their
possible involvement in the anaerobic oxidation of phenanthrene via carboxylation
as the initial activation reaction.
PMID- 18043663
TI - Effects of cattle husbandry on abundance and activity of methanogenic archaea in
upland soils.
AB - In the present study, we tested the hypothesis that animal treading associated
with a high input of organic matter would favour methanogenesis in soils used as
overwintering pasture. Hence, methane emissions and methanogen populations were
examined at sections with different degree of cattle impact in a Farm in South
Bohemia, Czech Republic. In spring, methane emission positively corresponded to
the gradient of animal impact. Applying phospholipid etherlipid analysis, the
highest archaeal biomass was found in section severe impact (SI), followed by
moderate impact (MI) and no impact. The same trend was observed for the
methanogens as showed by real-time quantitative PCR analyses of methyl coenzyme M
reductase (mcrA) genes. The detection of monounsaturated isoprenoid side chain
hydrocarbons (i20:1) indicated the presence of acetoclastic methanogens in the
cattle-impacted sites. This result was corroborated by the phylogenetic analysis
of mcrA gene sequences obtained from section SI, which showed that 33% of the
analysed clones belonged to the genus Methanosarcina. The majority of the
sequenced clones (41%) showed close affiliations with uncultured rumen archaeons.
This leads to the assumption that a substantial part of the methanogenic
community in plot SI derived from the grazing cattle itself. Compared to the
spring sampling, in autumn, a significant reduction in archaeal biomass and
number of copies of mcrA genes was observed mainly for section MI. It can be
concluded that after 5 months without cattle impact, the severely impact section
maintained its methane production potential, whereas the methane production
potential under moderate impact returned to background values.
PMID- 18043660
TI - Culture independent analysis of ileal mucosa reveals a selective increase in
invasive Escherichia coli of novel phylogeny relative to depletion of
Clostridiales in Crohn's disease involving the ileum.
AB - Intestinal bacteria are implicated increasingly as a pivotal factor in the
development of Crohn's disease, but the specific components of the complex
polymicrobial enteric environment driving the inflammatory response are
unresolved. This study addresses the role of the ileal mucosa-associated
microflora in Crohn's disease. A combination of culture-independent analysis of
bacterial diversity (16S rDNA library analysis, quantitative PCR and fluorescence
in situ hybridization) and molecular characterization of cultured bacteria was
used to examine the ileal mucosa-associated flora of patients with Crohn's
disease involving the ileum (13), Crohn's disease restricted to the colon (CCD)
(8) and healthy individuals (7). Analysis of 16S rDNA libraries constructed from
ileal mucosa yielded nine clades that segregated according to their origin
(P<0.0001). 16S rDNA libraries of ileitis mucosa were enriched in sequences for
Escherichia coli (P<0.001), but relatively depleted in a subset of Clostridiales
(P<0.05). PCR of mucosal DNA was negative for Mycobacterium avium subspecies
paratuberculosis, Shigella and Listeria. The number of E. coli in situ correlated
with the severity of ileal disease (rho 0.621, P<0.001) and invasive E. coli was
restricted to inflamed mucosa. E. coli strains isolated from the ileum were
predominantly novel in phylogeny, displayed pathogen-like behavior in vitro and
harbored chromosomal and episomal elements similar to those described in
extraintestinal pathogenic E. coli and pathogenic Enterobacteriaceae. These data
establish that dysbiosis of the ileal mucosa-associated flora correlates with an
ileal Crohn's disease (ICD) phenotype, and raise the possibility that a selective
increase in a novel group of invasive E. coli is involved in the etiopathogenesis
to Crohn's disease involving the ileum.
PMID- 18043664
TI - High diversity of bacterial mercuric reductase genes from surface and sub-surface
floodplain soil (Oak Ridge, USA).
AB - DNA was extracted from different depth soils (0-5, 45-55 and 90-100 cm below
surface) sampled at Lower East Fork Poplar Creek floodplain (LEFPCF), Oak Ridge
(TN, USA). The presence of merA genes, encoding the mercuric reductase, the key
enzyme in detoxification of mercury in bacteria, was examined by PCR targeting
Actinobacteria, Firmicutes or beta/gamma-Proteobacteria. beta/gamma
Proteobacteria merA genes were successfully amplified from all soils, whereas
Actinobacteria were amplified only from surface soil. merA clone libraries were
constructed and sequenced. beta/gamma-Proteobacteria sequences revealed high
diversity in all soils, but limited vertical similarity. Less than 20% of the
operational taxonomic units (OTU) (DNA sequences > or = 95% identical) were
shared between the different soils. Only one of the 62 OTU was > or = 95%
identical to a GenBank sequence, highlighting that cultivated bacteria are not
representative of what is found in nature. Fewer merA sequences were obtained
from the Actinobacteria, but these were also diverse, and all were different from
GenBank sequences. A single clone was most closely related to merA of alpha
Proteobacteria. An alignment of putative merA genes of genome sequenced mainly
marine alpha-Proteobacteria was used for design of merA primers. PCR
amplification of soil alpha-Proteobacteria isolates and sequencing revealed that
they were very different from the genome-sequenced bacteria (only 62%-66%
identical at the amino-acid level), although internally similar. In light of the
high functional diversity of mercury resistance genes and the limited vertical
distribution of shared OTU, we discuss the role of horizontal gene transfer as a
mechanism of bacterial adaptation to mercury.
PMID- 18043665
TI - The geomicrobiology of gold.
AB - Microorganisms capable of actively solubilizing and precipitating gold appear to
play a larger role in the biogeochemical cycling of gold than previously
believed. Recent research suggests that bacteria and archaea are involved in
every step of the biogeochemical cycle of gold, from the formation of primary
mineralization in hydrothermal and deep subsurface systems to its solubilization,
dispersion and re-concentration as secondary gold under surface conditions.
Enzymatically catalysed precipitation of gold has been observed in thermophilic
and hyperthermophilic bacteria and archaea (for example, Thermotoga maritime,
Pyrobaculum islandicum), and their activity led to the formation of gold- and
silver-bearing sinters in New Zealand's hot spring systems. Sulphate-reducing
bacteria (SRB), for example, Desulfovibrio sp., may be involved in the formation
of gold-bearing sulphide minerals in deep subsurface environments; over
geological timescales this may contribute to the formation of economic deposits.
Iron- and sulphur-oxidizing bacteria (for example, Acidothiobacillus
ferrooxidans, A. thiooxidans) are known to breakdown gold-hosting sulphide
minerals in zones of primary mineralization, and release associated gold in the
process. These and other bacteria (for example, actinobacteria) produce
thiosulphate, which is known to oxidize gold and form stable, transportable
complexes. Other microbial processes, for example, excretion of amino acids and
cyanide, may control gold solubilization in auriferous top- and rhizosphere
soils. A number of bacteria and archaea are capable of actively catalysing the
precipitation of toxic gold(I/III) complexes. Reductive precipitation of these
complexes may improve survival rates of bacterial populations that are capable of
(1) detoxifying the immediate cell environment by detecting, excreting and
reducing gold complexes, possibly using P-type ATPase efflux pumps as well as
membrane vesicles (for example, Salmonella enterica, Cupriavidus (Ralstonia)
metallidurans, Plectonema boryanum); (2) gaining metabolic energy by utilizing
gold-complexing ligands (for example, thiosulphate by A. ferrooxidans) or (3)
using gold as metal centre in enzymes (Micrococcus luteus). C. metallidurans
containing biofilms were detected on gold grains from two Australian sites,
indicating that gold bioaccumulation may lead to gold biomineralization by
forming secondary 'bacterioform' gold. Formation of secondary octahedral gold
crystals from gold(III) chloride solution, was promoted by a cyanobacterium (P.
boryanum) via an amorphous gold(I) sulphide intermediate. 'Bacterioform' gold and
secondary gold crystals are common in quartz pebble conglomerates (QPC), where
they are often associated with bituminous organic matter possibly derived from
cyanobacteria. This may suggest that cyanobacteria have played a role in the
formation of the Witwatersrand QPC, the world's largest gold deposit.
PMID- 18043666
TI - Effects of ciprofloxacin on salt marsh sediment microbial communities.
AB - Fluoroquinolones, a widely used class of antibiotics, are frequently detected in
sediments and surface waters. Given their antimicrobial properties, the presence
of these compounds may alter the composition of microbial communities and promote
antibiotic resistance in the environment. The purpose of this study was to
measure sorption, and effects of ciprofloxacin on microbial community
composition, in sediment samples from three California salt marshes. Sediments
were exposed to a ciprofloxacin concentration gradient (0-200 microg ml(-1)
ciprofloxacin) and microbial community composition characterized using
phospholipid fatty acid (PLFA) analysis. Sorption coefficients, expressed as log
K(d), were calculated from fits using the Freundlich isotherm model.
Ciprofloxacin strongly sorbed to all sediments and had log K(d) values, ranging
from 2.9 to 4.3. Clay content was positively (r(2)=0.98) and pH negatively
(r(2)=0.99) correlated to K(d) values. Biomass, PLFA richness, sulfate reducer
and Gram-negative bacteria markers increased with ciprofloxacin concentrations,
while the 17 cy/precursor and saturated/unsaturated biomarker ratios, indicators
of starvation stress, decreased. The magnitude of the effect of ciprofloxacin on
microbial communities was inversely correlated to the degree of sorption to the
sediments. Despite the fact that ciprofloxacin is a wide-spectrum antibiotic, its
impact on sediment microbial communities was selective and appeared to favor
sulfate-reducing bacteria and Gram-negative bacteria.
PMID- 18043667
TI - The biogeographical distribution of closely related freshwater sediment bacteria
is determined by environmental selection.
AB - The role of environmental selection in governing the structure of communities of
freshwater sulfur bacteria (Achromatium spp) was experimentally tested by mixing
sediments from two geographically separated lakes (Rydal Water (RY) and Hell
Kettles (HK)) that harboured Achromatium spp. Community profiles of Achromatium
spp in sediment microcosms at day 0 and after 60 days were compared to determine
whether initial Achromatium community composition or subsequent selection by the
sediment environment had greater influence in dictating the final Achromatium
community structure. It was found that Achromatium spp from the HK community
became established in mixed sediments at the expense of members of the RY
community. This selection for the HK Achromatium community was more pronounced
when sediment composition was manipulated to resemble HK sediments. Our findings
definitively demonstrate that environmental selection is the primary determinant
of Achromatium community structure in these sediments.
PMID- 18043668
TI - Modeled contributions of three types of diazotrophs to nitrogen fixation at
Station ALOHA.
AB - A diagnostic model based on biomass and growth was used to assess the relative
contributions of filamentous nonheterocystous Trichodesmium and unicellular
cyanobacteria, termed Groups A and B, to nitrogen fixation at the North Pacific
Station ALOHA over a 2-year period. Average (and 95% confidence interval, CI)
annual rates of modeled monthly values for Trichodesmium, Group B and Group A
were 92 (52), 14 (4) and 12 (8) mmol N per m(2) per year, respectively. The
fractional contribution to modeled instantaneous nitrogen fixation by each
diazotroph fluctuated on interannual, seasonal and shorter time scales.
Trichodesmium fixed substantially more nitrogen in year 1 (162) than year 2 (12).
Group B fixed almost two times more nitrogen in year 1 (17) than year 2 (9). In
contrast, Group A fixed two times more nitrogen in year 2 (16) than year 1 (8).
When including uncertainties in our estimates using the bootstrap approach, the
range of unicellular nitrogen fixation extended from 10% to 68% of the total
annual rate of nitrogen fixation for all three diazotrophs. Furthermore, on a
seasonal basis, the model demonstrated that unicellular diazotrophs fixed the
majority (51%-97%) of nitrogen during winter and spring, whereas Trichodesmium
dominated nitrogen fixation during summer and autumn (60%-96%). Sensitivity of
the modeled rates to some parameters suggests that this unique attempt to
quantify relative rates of nitrogen fixation by different diazotrophs may need to
be reevaluated as additional information on cell size, variability in biomass and
C:N, and growth characteristics of the different cyanobacterial diazotrophs
become available.
PMID- 18043669
TI - Differential interaction of Salmonella enterica serovars with lettuce cultivars
and plant-microbe factors influencing the colonization efficiency.
AB - The availability of knowledge of the route of infection and critical plant and
microbe factors influencing the colonization efficiency of plants by human
pathogenic bacteria is essential for the design of preventive strategies to
maintain safe food. This research describes the differential interaction of human
pathogenic Salmonella enterica with commercially available lettuce cultivars. The
prevalence and degree of endophytic colonization of axenically grown lettuce by
the S. enterica serovars revealed a significant serovar-cultivar interaction for
the degree of colonization (S. enterica CFUs per g leaf), but not for the
prevalence. The evaluated S. enterica serovars were each able to colonize soil
grown lettuce epiphytically, but only S. enterica serovar Dublin was able to
colonize the plants also endophytically. The number of S. enterica CFU per g of
lettuce was negatively correlated to the species richness of the surface
sterilized lettuce cultivars. A negative trend was observed for cultivars Cancan
and Nelly, but not for cultivar Tamburo. Chemotaxis experiments revealed that S.
enterica serovars actively move toward root exudates of lettuce cultivar Tamburo.
Subsequent micro-array analysis identified genes of S. enterica serovar
Typhimurium that were activated by the root exudates of cultivar Tamburo. A sugar
like carbon source was correlated with chemotaxis, while also pathogenicity
related genes were induced in presence of the root exudates. The latter revealed
that S. enterica is conditioned for host cell attachment during chemotaxis by
these root exudates. Finally, a tentative route of infection is described that
includes plant-microbe factors, herewith enabling further design of preventive
strategies.
PMID- 18043670
TI - Soil eukaryotic functional diversity, a metatranscriptomic approach.
AB - To appreciate the functional diversity of communities of soil eukaryotic micro
organisms we evaluated an experimental approach based on the construction and
screening of a cDNA library using polyadenylated mRNA extracted from a forest
soil. Such a library contains genes that are expressed by each of the different
organisms forming the community and represents its metatranscriptome. The
diversity of the organisms that contributed to this library was evaluated by
sequencing a portion of the 18S rDNA gene amplified from either soil DNA or
reverse-transcribed RNA. More than 70% of the sequences were from fungi and
unicellular eukaryotes (protists) while the other most represented group was the
metazoa. Calculation of richness estimators suggested that more than 180 species
could be present in the soil samples studied. Sequencing of 119 cDNA identified
genes with no homologues in databases (32%) and genes coding proteins involved in
different biochemical and cellular processes. Surprisingly, the taxonomic
distribution of the cDNA and of the 18S rDNA genes did not coincide, with a
marked under-representation of the protists among the cDNA. Specific genes from
such an environmental cDNA library could be isolated by expression in a
heterologous microbial host, Saccharomyces cerevisiae. This is illustrated by the
functional complementation of a histidine auxotrophic yeast mutant by two cDNA
originating possibly from an ascomycete and a basidiomycete fungal species. Study
of the metatranscriptome has the potential to uncover adaptations of whole
microbial communities to local environmental conditions. It also gives access to
an abundant source of genes of biotechnological interest.
PMID- 18043671
TI - The use of stable isotope probing to identify key iron-reducing microorganisms
involved in anaerobic benzene degradation.
AB - Here, we present a detailed functional and phylogenetic characterization of an
iron-reducing enrichment culture maintained in our lab with benzene as sole
carbon and energy source. We used DNA-stable isotope probing to identify microbes
within the enrichment most active in the assimilation of (13)C-label. When
(12)C(6)- and (13)C(6)-benzene were added as comparative substrates, marked
differences in the quantitative buoyant density distribution became apparent
especially for uncultured microbes within the Gram-positive Peptococcaceae,
closely related to environmental clones retrieved from contaminated aquifers
world wide and only distantly related to cultured representatives of the genus
Thermincola. Prominent among the other constituents of the enrichment were
uncultured Deltaproteobacteria, as well as members of the Actinobacteria.
Although their presence within the enrichment seems to be stable they did not
assimilate (13)C-label as significantly as the Clostridia within the time course
of our experiment. We hypothesize that benzene degradation in our enrichment
involves an unusual syntrophy, where members of the Clostridia primarily oxidize
benzene. Electrons from the contaminant are both directly transferred to ferric
iron by the primary oxidizers, but also partially shared with the
Desulfobulbaceae as syntrophic partners. Alternatively, electrons may also be
quantitatively transferred to the partners, which then reduce the ferric iron.
Thus our results provide evidence for the importance of a novel clade of Gram
positive iron-reducers in anaerobic benzene degradation, and a role of syntrophic
interactions in this process. These findings shed a totally new light on the
factors controlling benzene degradation in anaerobic contaminated environments.
PMID- 18043672
TI - The diversity of the bacterial communities associated with the azooxanthellate
hexacoral Cirrhipathes lutkeni.
AB - This study examined the symbiotic microbiota of the hexacoral Cirrhipathes
lutkeni using traditional plate culture, fluorescence in situ hybridization
(FISH) and 16S rDNA characterization. FISH counts for the whole coral (holobiont)
showed a major presence of gamma-Proteobacteria (22%) and Actinobacteria (19%),
followed by alpha-Proteobacteria (14%), Firmicutes (9%), Cytophaga-Flavobacterium
(7%), beta-Proteobacteria (6%) and Chloroflexi (2%). In contrast to the diversity
observed by FISH, plate cultures were found to be selective for gamma
Proteobacteria (22 cultures) with the exception of an Actinobacterium. The
methods employed in this study detected 76% of all microbes estimated by DAPI
staining of C. lutkeni homogenates. The absence of zooxanthellae in this
particular hexacoral was confirmed by PCR and spectrophotometry using fresh
tissue isolated from the holobiont. This is the first study describing the
microbial associations of shallow-water hexacorallia, which opens further insight
into coral microbial ecology and may enhance the search for novel natural
products in the near future.
PMID- 18043674
TI - My favorite topic...health.
PMID- 18043673
TI - Ammonia oxidation and ammonia-oxidizing bacteria and archaea from estuaries with
differing histories of hypoxia.
AB - Nitrification, the oxidation of NH(4)(+) to NO(2)(-) and subsequently to NO(3)(
), plays a central role in the nitrogen cycle and is often a critical first step
in nitrogen removal from estuarine and coastal environments. The first and rate
limiting step in nitrification is catalyzed by the enzyme ammonia monooxygenase
(AmoA). We evaluate the relationships between the abundance of ammonia-oxidizing
archaea (AOA) and ammonia-oxidizing bacteria (AOB) amoA genes; potential
nitrification rates and environmental variables to identify factors influencing
AOA abundance and nitrifier activity in estuarine sediments. Our results showed
that potential nitrification rates increased as abundance of AOA amoA increased.
In contrast, there was no relationship between potential nitrification rates and
AOB amoA abundance. This suggests that AOA are significant in estuarine nitrogen
cycling. Surprisingly, more of the variability in potential nitrification rates
was predicted by salinity and pore water sulfide than by dissolved oxygen
history.
PMID- 18043675
TI - How to manage refractory GERD.
AB - Patients who are unresponsive to 4-8 weeks' treatment with PPIs twice daily might
have so-called refractory GERD. The first investigation these patients should
undergo is upper endoscopy to exclude a diagnosis of peptic ulcer disease or
cancer and identify the presence of esophagitis. The presence of esophagitis in
these patients is suggestive of a pill-induced injury, an autoimmune skin disease
involving the esophagus, eosinophilic esophagitis or, less likely, a
hypersecretory syndrome or a genotype that confers altered metabolism of PPIs.
Refractory reflux syndromes associated with normal endoscopy findings are more
problematic to diagnose and further testing may be required, including prolonged
48 h pH testing, impedance measurements (for nonacid reflux), esophageal
manometry and gastric function tests. For patients with refractory GERD who do
not have esophagitis, possible etiologies include nocturnal gastric acid
breakthrough, nonacid GER, missed GER or other diseases such as achalasia,
gastroparesis or functional heartburn.
PMID- 18043676
TI - Imaging and management of rectal cancer.
AB - Local staging and management of rectal cancer has evolved during the past decade.
Imaging modalities used for staging rectal cancer include CT, endoscopic
ultrasound, pelvic phased-array coil MRI, endorectal MRI, and PET. Each modality
has its strengths and limitations. Evidence supports the use of both endoscopic
ultrasound and CT in staging rectal cancer. MRI is the only reliable tool for
determining the status of the circumferential resection margin, which is
important for the assessment of the risk of local recurrence.
PMID- 18043677
TI - Minimal hepatic encephalopathy.
AB - Minimal hepatic encephalopathy (MHE), formerly known as subclinical hepatic
encephalopathy, is the mild cognitive impairment commonly seen in patients who
have cirrhosis. Current understanding suggests that MHE forms part of the
spectrum of hepatic encephalopathy, although this remains to be proven. Although
traditionally viewed as having negligible clinical significance, MHE has a
significant impact on quality of life. MHE often goes undiagnosed because in many
patients there is no evidence of clinically overt signs of impaired cognition. In
addition, the diagnostic criteria for MHE have not been standardized, which means
that the exact characteristics of MHE remain in question. This Review focuses on
the pathogenesis and neuropsychological findings (incorporating neuroimaging) of
MHE, as well as the effect of MHE on quality of life and survival, and
developments in treatment.
PMID- 18043678
TI - Drug Insight: pharmacology and toxicity of thiopurine therapy in patients with
IBD.
AB - Thiopurines are frequently used for the treatment of IBD. The complex
pharmacology, metabolism, mechanism of action and toxicity profile of these
immunosuppressive drugs have now been partly elucidated. The activity of
thiopurines is partly mediated by the metabolite 6-thioguanosine 5'-triphosphate,
which inhibits the function of the small GTPase Rac1, leading to apoptosis of
activated T cells, and influences the conjugation of T cells with antigen
presenting cells. The activity of the enzyme thiopurine S-methyltransferase has a
major influence on the bioavailability and toxicity of thiopurines, and several
thiopurine metabolites might have adverse effects in patients. Myelotoxicity can
be caused by grossly elevated levels of 6-thioguanine nucleotides, and elevated
levels of 6-methylmercaptopurine ribonucleotides have been associated with
hepatotoxicity. The sensitivity and specificity of these methylated metabolites
for predicting thiopurine-induced liver enzyme abnormalities are, however, poor.
6-Thioguanine has been suggested as an alternative to the classical thiopurines
azathioprine and 6-mercaptopurine for the treatment of IBD, but there are
concerns about its toxicity profile, especially with regard to the induction of
nodular regenerative hyperplasia of the liver. Data now suggest that the
induction of nodular regenerative hyperplasia of the liver during 6-thioguanine
therapy might be dose-dependent or dependent on the level of 6-thioguanine
nucleotides.
PMID- 18043679
TI - A case of acute loss of vision as the presenting symptom of Crohn's disease.
AB - BACKGROUND: An adolescent boy aged 17 years presented with sudden onset of visual
impairment, which was rapidly diagnosed as bilateral anterior uveitis by an
ophthalmologist. A systemic review noted episodes of nonbloody diarrhea, weight
loss of 3 kg and a diminished appetite during the previous 10 months. The
patient's family history revealed an older brother with Crohn's disease.
INVESTIGATIONS: Visual acuity test, slit-lamp examination, ophthalmologic
fundoscopy and endoscopic evaluation of the upper and lower gastrointestinal
tract with biopsy. DIAGNOSIS: Multifocal Crohn's disease, involving the terminal
ileum and cecum, in addition to the stomach and duodenum. MANAGEMENT: Treatment
with topical corticosteroids, in the form of ophthalmic drops and oral budesonide
ileal-release capsules. Once remission was achieved, it was maintained with
mercaptopurine.
PMID- 18043680
TI - Coronary heart disease in women: update 2008.
AB - Coronary heart disease (CHD) remains the leading cause of mortality for US women,
responsible for almost 250,000 deaths annually. Preventive heart-health
behavioral changes by women and aggressive coronary risk reduction can decrease
the number of women disabled and killed by CHD. Angina is the predominant initial
and subsequent presentation of CHD in women; categorization of chest pain and
risk stratification of women assume pivotal roles. A robust evidence-based
algorithm can guide cardiovascular imaging techniques to evaluate women with
suspected myocardial ischemia to detect those with worsened survival. Restricted
functional capacity (<5 METs) is a consistent marker of worsened prognosis.
Younger women have substantially higher mortality rates than men following
myocardial infarction and coronary bypass surgery. Although these women have more
comorbidity and risk factors, other issues including biological differences,
treatment differences, and psychosocial factors require management strategies
tailored to the unique needs of women.
PMID- 18043681
TI - Emergency contraception update: a Canadian perspective.
AB - Barriers to hormonal emergency contraceptive (EC) access in Canada and the United
States led professional and lay groups to lobby for levonorgestrel (LNG) (PLAN B,
Barr Pharmaceuticals, Pomona, New York) to be made available over-the-counter. In
December 2000, British Columbia, Canada, granted EC prescriptive authority to
pharmacists, followed by Quebec in December 2001 and Saskatchewan in September
2003. In April 2005, Health Canada placed LNG on non-prescription, behind-the
pharmacy-counter status with no age restriction. After much controversy, in
August 2006, the Food and Drug Administration (FDA) approved over-the-counter
access to LNG by adults in the United States. Results of our experience in Canada
and recent information regarding mechanisms of action, effectiveness, adverse
effects, and the effect of increased availability on reproductive health outcomes
are presented here to help inform clinical practice.
PMID- 18043682
TI - Post-marketing strategies for medicines.
PMID- 18043684
TI - The polymorphisms Asn130Asp and Val174Ala in OATP1B1 and the CYP2C9 allele *3
independently affect torsemide pharmacokinetics and pharmacodynamics.
PMID- 18043688
TI - Blunting of the cardiovascular effect of aspirin by ibuprofen: what is the
evidence?
PMID- 18043689
TI - Methadone-induced QTc prolongation: is it due to stereoselective block of hERG or
to inappropriate QT interval correction?
PMID- 18043690
TI - Thorough QT study with recommended and supratherapeutic doses of tolterodine.
PMID- 18043691
TI - Development of CYP2D6 and CYP3A4 in the first year of life.
PMID- 18043692
TI - The implications of population admixture in race-based drug prescription.
PMID- 18043693
TI - No influence of ABCB1 haplotypes on methadone dosage requirement.
PMID- 18043696
TI - Design of the "Thorough QT Study".
PMID- 18043697
TI - Upregulation of multidrug resistance-associated protein 3 by acetaminophen may
help to increase its own clearance.
PMID- 18043698
TI - Processed EEG (bispectral index) is not appropriate for characterizing opioid
sedation.
PMID- 18043699
TI - Neuropeptide Y polymorphism significantly magnifies diabetes and cardiovascular
disease risk in obesity: the Hoorn Study.
AB - The leucine7 to proline7 (Leu7Pro) polymorphism in preproneuropeptide Y
(preproNPY) has been associated with accelerated atherosclerosis and type II
diabetes, both of which are obesity-related diseases. The current study evaluated
the impact of obesity on the disease risk linked to the Leu7Pro polymorphism of
preproNPY in 393 elderly subjects. In 6 years follow-up, the polymorphism alone
did not change the risk for abnormal glucose regulation, while obesity was
associated with a significant 3-fold risk (odds ratio (OR) 2.95; 95% confidence
interval (CI) 1.81-4.81, P<0.001) and the Leu7Pro polymorphism-obesity
interaction, with a remarkable 12-fold risk (OR 12.33; 95% CI 1.18-128.35,
P<0.05). The Leu7Pro polymorphism modified significantly the 10-year incidence of
cardiovascular events, causing a 7.6-fold increase in the hazard ratio (HR 7.58;
95% CI 2.87-20.03, P<0.001) in the obese but not in the nonobese subjects. The
results indicate that obesity may be a pivotal factor in multiplying the disease
risk associated with the Leu7Pro polymorphism in preproNPY.
PMID- 18043700
TI - Multivitamin supplementation improves nutritional status and bone quality in aged
care residents.
AB - OBJECTIVES: To assess the effectiveness of a multivitamin (MV) tablet on
nutritional status, quantitative heel ultrasound (QUS), mobility, muscle strength
and falls. The design comprised two groups matched on mobility levels, randomized
to receive a daily MV or placebo (P) tablet for 6 months. The setting was an
Australian residential care facility. SUBJECTS: A total of 92 aged care
residents. Serum micronutrients, body weight, QUS, rate of falls, hand grip
strength, and the timed up and go test were assessed at baseline and 6 months.
RESULTS: A total of 49 participants consumed a MV and 43, a matched P for 6
months. There was a greater increase in the MV vs P group for serum 25(OH)D (mean
difference+/-standard error, 33.4+/-2.6 nmol l(-1)), folate (13.4+/-2.8 nmol l(
1)), and vitamin B12 (178.0+/-40.3 pmol l(-1)) (all P<0.001). Adequate 25(OH)D
concentrations (> or =50 nmol l(-1)) were found among 77% of participants in the
MV group vs 10% taking P (P<0.001). Adjusting for baseline levels, the increase
in QUS was greater in the MV vs P group (3.0+/-2.0 dB MHz(-1) vs -2.9+/-2.1 dB
MHz(-1), respectively, P=0.041). There was a trend towards a 63% lower mean
number of falls in the MV vs P group (0.3+/-0.1 falls vs 0.8+/-0.3 falls,
P=0.078). CONCLUSIONS: MV supplementation raised serum vitamin B12 and folate
concentrations and increased serum 25(OH)D, which was accompanied by an apparent
positive effect on bone density. We also found a trend towards a reduction in
falls and this could contribute to a reduction in fractures.
PMID- 18043701
TI - Vitamin A deficiency among Brazilian school-aged children in a healthy child
service.
AB - BACKGROUND/OBJECTIVES: Vitamin A deficiency (VAD) is a world public health
problem contributing to the increase in childhood morbidity and mortality in
developing countries and severe deficiency of vitamin A may lead to xerophthalmia
and blindness. The objective of this study was to determine the prevalence of VAD
among Brazilian school-aged children attended at a primary health unit and to
verify if some considered risk factor was associated with VAD in this group.
SUBJECTS/METHODS: A descriptive prospective transverse study was conducted on 103
randomly selected children. A total of 54 boys and 49 girls aged 5.5-11 years had
the relative dose-response (RDR) test performed on. Possible ocular alterations
related to vitamin A and the status of anemia, serum zinc, some acute-phase
proteins, and anthropometric situation were determinate by an analytic design.
RESULTS: No child presented xerophthalmia. Serum retinol values lower than 1.05
and 0.7 micromol l(-1), respectively were found in 26.2 and 5.8% of the children.
The prevalence of hypovitaminosis detected by RDR test was 20.4%. The following
variables and their relationship with VAD were evaluated: sex (P=0.33; 95%
confidence interval 0.61-4.34), weight and height (P> or =0.5), hemoglobin
(P=0.15), C-reactive protein (P=0.56; 95% confidence interval 0.75-18.26), alpha
1-acid-glycoprotein (P=0.56; 95% confidence interval 0.15-15.42) and serum zinc
(P=0.31). None of these variables was related to VAD. CONCLUSIONS: In this
population, the prevalence of VAD detected could be considered a public health
problem. School-aged children can be considered at risk for VAD mainly of a
subclinical level, even without some associated risk factors.
PMID- 18043702
TI - Does early enteral feeding of very low birth weight infants increase the risk of
necrotizing enterocolitis?
AB - BACKGROUND/OBJECTIVE: In this retrospective study, we intended to test whether
early enteral feeding (EEF) of very low birth weight (VLBW) preterm babies
increases the risk of necrotizing enterocolitis (NEC) or not. SUBJECTS AND
METHODS: Overall, 297 VLBW preterm babies admitted to the neonatal intensive care
unit (NICU) between April 2003 and April 2006 were included. The study consisted
of two periods: the first period was between April 2003 and October 2004, when
babies were not fed enterally until they were extubated (167 preterm VLBWs). The
second period was between November 2004 and April 2006, when babies were fed even
when they were intubated, starting preferably on the first day of life (130
preterm VLBWs). Criteria for withholding enteral feeding in both periods were
hypotension necessitating vasopressor agent use, abdominal distention, abdominal
tenderness and suspected or proven NEC. Possible risk factors for NEC were also
recorded. RESULTS: The overall incidence of NEC in VLBW preterm babies was 6.7%
and did not differ between the two study periods: 7.2% in the late and 6.2% in
the EEF regimens. On logistic regression analysis, the most important risk
factors associated with NEC were sepsis (P<0.001) and blood culture positivity
(P<0.001). The average daily weight gain was significantly higher in the early
fed babies (P=0.011). CONCLUSIONS: The EEF of VLBW preterm babies does not
increase the risk of NEC. Increased daily weight gain is an important reason to
feed these babies earlier.
PMID- 18043703
TI - Skipping breakfast, alcohol consumption and physical inactivity as risk factors
for overweight and obesity in adolescents: results of the E-MOVO project.
AB - OBJECTIVE/BACKGROUND: To investigate the association between skipping breakfast,
alcohol consumption and physical inactivity with overweight and obesity in
adolescents. The design comprises cross-sectional electronic health survey (E
MOVO). SUBJECTS/METHODS: Over 35 000 Dutch adolescents in grade 2 (13-14 years of
age) and grade 4 (15-16 years of age) of secondary educational schools were
recruited by seven community health services. Analyses were performed on 25 176
adolescents. Body mass index was calculated from self-reported body weight and
height. Frequency of skipping breakfast per week, amount of alcoholic drinks
consumed per occasion, and numbers of physical active days per week were
considered as determinants for overweight and obesity. RESULTS: In grade 2,
adjusted odds ratios for the association with overweight were 2.17 (95% CI: 1.66
2.85) for skipping breakfast, 1.86 (1.36-2.55) for alcohol consumption and 1.73
(1.19-2.51) for physical inactivity. Statistically significant associations with
overweight were also found in grade 4. In grade 2, dose-response relations (P for
trend <0.05) were present between all risk factors and overweight. In a
multivariate model containing all risk factors, breakfast skipping showed the
strongest relation with overweight (OR 1.68, 95% CI 1.43-1.97 for grade 2, OR
1.32 95% CI 1.14-1.54 for grade 4) and obesity. CONCLUSIONS: Skipping breakfast,
alcohol consumption and physical inactivity were associated with overweight in
second and fourth grade adolescents. The associations were strongest for younger
adolescents. The most important risk factor for overweight and obesity was
skipping breakfast.
PMID- 18043707
TI - Heterotrimeric G protein activation by G-protein-coupled receptors.
AB - Heterotrimeric G proteins have a crucial role as molecular switches in signal
transduction pathways mediated by G-protein-coupled receptors. Extracellular
stimuli activate these receptors, which then catalyse GTP-GDP exchange on the G
protein alpha-subunit. The complex series of interactions and conformational
changes that connect agonist binding to G protein activation raise various
interesting questions about the structure, biomechanics, kinetics and specificity
of signal transduction across the plasma membrane.
PMID- 18043709
TI - Multiple variants of the DRD3, but not BDNF gene, influence age-at-onset of
schizophrenia.
PMID- 18043710
TI - KATP channels confer survival advantage in cocaine overdose.
PMID- 18043711
TI - Variants in PDE11A and PDE1A are not associated with citalopram response.
PMID- 18043712
TI - No evidence of association between a functional polymorphism in the MTHFR gene
and childhood-onset mood disorders.
PMID- 18043713
TI - Dissecting the molecular mechanisms in craniofrontonasal syndrome: differential
mRNA expression of mutant EFNB1 and the cellular mosaic.
AB - Craniofrontonasal syndrome (CFNS) is an X-linked malformation syndrome with
variable phenotype that is caused by mutations in the ephrin-B1 gene (EFNB1).
Over 50% of EFNB1 mutations result in premature termination codons that may
elicit mRNA degradation by the nonsense-mediated decay pathway. To assess the
effects of various mutations at the transcript level, expression of EFNB1 mRNA
was studied by RT-PCR in fibroblast cultures established from CFNS female
patients. Compared to the wild-type and two missense mutation alleles, severe
depletion of transcripts was observed for mutant alleles harbouring either splice
site mutation c.407-2A>T at the exon 2/3 boundary or frameshift mutation
c.377_384delTCAAGAAG in exon 2. In contrast, escape from mRNA decay was observed
for mutation c.614_615delCT, which generates a premature termination codon close
to the 3'-end of the penultimate exon 4 disobeying the '50-55 bp' rule. These
results suggest differential degradation of mutant EFNB1 transcripts by the
nonsense-mediated mRNA decay pathway. Although the clinical phenotypes of the
patients were not highly suggestive of a phenotype-genotype correlation, the two
female patients were diagnosed with diaphragmatic hernia harbouring putative
ephrin-B1 truncating mutations. Previously, disease manifestation in heterozygous
females had been attributed mainly to cellular interference of divergent cell
populations expressing wild-type or mutant EFNB1, depending on the pattern of X
inactivation. Upon clonal expansion of patient cells with either the wild-type or
mutant EFNB1 on the active X-chromosome, we were able to separate mutant and wild
type EFNB1-expressing cells in vitro, further supporting the concept of cellular
interference in CFNS.
PMID- 18043714
TI - Identification of a nonsense mutation in the very low-density lipoprotein
receptor gene (VLDLR) in an Iranian family with dysequilibrium syndrome.
AB - We have investigated a consanguineous Iranian family with eight patients who
suffer from mental retardation, disturbed equilibrium, walking disability,
strabismus and short stature. By autozygosity mapping we identified one region
with a significant LOD score on chromosome 9(p24.2-24.3). The interval contains
the VLDLR gene, which codes for the very low-density lipoprotein receptor. This
protein is part of the reelin signalling pathway, which is involved in neuroblast
migration in the cerebral cortex and cerebellum. A homozygous deletion
encompassing VLDLR has previously been found to cause a syndrome of cerebellar
ataxia and mental retardation associated with cerebellar hypoplasia in the
Hutterite population known as dysequilibrium syndrome (DES). The reported
deletion however, contains an additional brain expressed gene of unknown
function, whose involvement in the aetiology of the phenotype could so far not be
excluded. We screened the coding region of VLDLR for mutations in our patients
and found a homozygous c.1342C>T nucleotide substitution, which leads to a
premature stop codon in exon 10. This is the first report of a mutation in
patients with DES that affects VLDLR exclusively, confirming the central role of
the very low-density lipoprotein receptor in the aetiology of this condition.
PMID- 18043715
TI - Low prevalence of APP duplications in Swedish and Finnish patients with early
onset Alzheimer's disease.
AB - Familial early-onset Alzheimer's disease with cerebral amyloid angiopathy
(EOAD/CAA) was recently associated with duplications of the gene for the amyloid
beta precursor protein (APP). In this study, we have screened for duplications of
APP in patients with EOAD from Sweden and Finland. Seventy-five individuals from
families with EOAD and 66 individuals with EOAD without known familial
inheritance were screened by quantitative PCR. On the basis of the initial
results, a portion of the samples was also investigated with quantitative
multiplex PCR. No duplications of APP were identified, whereby we conclude that
this is not a common cause of EOAD in the Swedish and Finnish populations, at
least not in our collection of families and cases.
PMID- 18043716
TI - The ACTN3 R577X nonsense allele is under-represented in elite-level strength
athletes.
AB - Previous reports have shown a lower proportion of the ACTN3 X/X genotype (R577X
nonsense polymorphism) in sprint-related athletes compared to the general
population, possibly attributed to impairment of muscle function related to alpha
actinin-3 deficiency. In the present study, we examined the frequency of the X/X
genotype in both Black and White elite-level bodybuilders and strength athletes
in comparison to the general population. A reference population of 668 Whites
(363 men and 305 women) and 208 Blacks (98 men and 110 women) was genotyped for
the ACTN3 R577X polymorphism. Strength athletes (52 white and 23 black; 4 women)
consisting predominantly of world class and locally competitive bodybuilders, and
elite powerlifters were recruited and similarly genotyped. Significantly lower
X/X genotype frequencies were observed in the athletes (6.7%) vs controls (16.3%;
P=0.005). The X/X genotype was significantly lower in White athletes (9.7%) vs
controls (19.9%; P=0.018). No black athletes (0%) were observed with the X/X
genotype, though this finding only approached statistical significance vs
controls (4.8%; P=0.10). The results indicate that the ACTN3 R577X nonsense
allele (X) is under-represented in elite strength athletes, consistent with
previous reports indicating that alpha-actinin-3 deficiency appears to impair
muscle performance.
PMID- 18043717
TI - Population genetic diversity of the NAT2 gene supports a role of acetylation in
human adaptation to farming in Central Asia.
AB - The arylamine N-acetyltransferase 2 (NAT2) enzyme detoxifies a wide spectrum of
naturally occurring xenobiotics including carcinogens and drugs. Variation at the
NAT2 gene has been linked to the human acetylation capacity, either 'slow' or
'fast', which modifies susceptibility to cancer and adverse drug reactions. We
investigated the possible influence of natural selection in shaping the
acetylation phenotype and the NAT2 gene variability in six Central Asian
populations, who are either long-term sedentary agriculturalists (two Tajik
populations), recent sedentary agriculturalists (Kazakhs, Uzbeks) or nomad
pastoralists (two Kirghiz populations). To this end, we sequenced the entire NAT2
coding exon, as well as genotyping nine intergenic SNPs covering a 200-kb region.
Our results revealed that the two Tajik populations exhibited significantly
higher proportions of slow acetylators than the nomadic populations. In addition,
sequence-based neutrality tests yielded significantly positive values in Central
Asian populations following an agriculturalist lifestyle, due to an excess of
haplotypes at intermediate frequencies. Taken together, our data suggest that
balancing selection, and/or directional selection on standing low-frequency
alleles, have shaped NAT2 genetic diversity and the human acetylation phenotype
in Central Asian agriculturalists. These results further support the hypothesis
that a major transition in human lifestyle, such as the emergence of farming has
dramatically changed human chemical environments and the selective pressures they
imposed.
PMID- 18043718
TI - ALS predisposition modifiers: knock NOX, who's there? SOD1 mice still are.
PMID- 18043719
TI - Look before you leap. Carrier screening for type 1 Gaucher disease: difficult
questions.
PMID- 18043720
TI - The novel hyperekplexia allele GLRA1(S267N) affects the ethanol site of the
glycine receptor.
AB - Mutations in the GLRA1 gene, which encodes the alpha1-subunit of the inhibitory
glycine receptor (GlyR), are the underlying causes in the majority of cases of
hereditary startle disease (OMIM no. 149400). GlyRs are modulated by alcohols and
volatile anesthetics, where a specific amino acid at position 267 has been
implicated in receptor modulation. We describe a hyperekplexia family carrying
the novel dominant missense allele GLRA1(S267N), that affects agonist responses
and ethanol modulation of the mutant receptor. This study implies that a disease
related receptor allele carries the potential to alter drug responses in affected
patients.
PMID- 18043721
TI - Instability of expanded CAG/CAA repeats in spinocerebellar ataxia type 17.
AB - Trinucleotide repeat expansions are dynamic mutations causing many neurological
disorders, and their instability is influenced by multiple factors. Repeat
configuration seems particularly important, and pure repeats are thought to be
more unstable than interrupted repeats. But direct evidence is still lacking.
Here, we presented strong support for this hypothesis from our studies on
spinocerebellar ataxia type 17 (SCA17). SCA17 is a typical polyglutamine disease
caused by CAG repeat expansion in TBP (TATA binding protein), and is unique in
that the pure expanded polyglutamine tract is coded by either a simple
configuration with long stretches of pure CAGs or a complex configuration
containing CAA interruptions. By small pool PCR (SP-PCR) analysis of blood DNA
from SCA17 patients of distinct racial backgrounds, we quantitatively assessed
the instability of these two types of expanded alleles coding similar length of
polyglutamine expansion. Mutation frequency in patients harboring pure CAG
repeats is 2-3 folds of those with CAA interruptions. Interestingly, the pure CAG
repeats showed both expansion and deletion while the interrupted repeats
exhibited mostly deletion at a significantly lower frequency. These data strongly
suggest that repeat configuration is a critical determinant for instability, and
CAA interruptions might serve as a limiting element for further expansion of CAG
repeats in SCA17 locus, suggesting a molecular basis for lack of anticipation in
SCA17 families with interrupted CAG expansion.
PMID- 18043722
TI - Treating substance abuse in primary care: a demonstration project.
AB - PURPOSE: The purpose of this project was to implement the delivery of a full
range of substance abuse services in a primary care setting. Implementation and
logistical issues including confidentiality and communication are discussed. The
delivery of services, types of patients, and contextual and policy factors that
influenced project implementation are described. CONTEXT: Substance use disorders
are associated with significant morbidity and mortality worldwide. Patients with
alcohol/drug problems frequently present in primary care. Effective and brief
treatments are available and recommended for primary care but infrequently
implemented. Institutional and provider barriers to implementation have been
identified. DATA SOURCE: Project documentation, data from the patient tracking
system, and clinical case notes were used for description and analyses.
CONCLUSION: Addressing substance abuse problems in primary care is important.
Behavioral health professionals with training in substance abuse can provide a
range of services that are likely to enhance the quality and quantity of care
available to patients. Although contextual factors needed to be addressed,
integration of services was manageable and seemed acceptable to both providers
and patients in this project.
PMID- 18043723
TI - The challenges of joint working: lessons from the Supporting People Health Pilot
evaluation.
AB - PURPOSE: This paper reports the findings of the evaluation of the Supporting
People Health Pilots programme, which was established to demonstrate the policy
links between housing support services and health and social care services by
encouraging the development of integrated services. The paper highlights the
challenges of working across housing, health and social care boundaries. METHOD:
THE EVALUATION OF THE SIX HEALTH PILOTS RESTED ON TWO MAIN SOURCES OF DATA
COLLECTION: Quarterly Project Evaluation Reports collected process data as well
as reporting progress against aims and objectives. Semi-structured interviews
conducted across all key professional stakeholder groups and agencies and with
people who used services-explored their experiences of these new services.
RESULTS: THE ABILITY OF PILOTS TO WORK ACROSS ORGANISATIONAL BOUNDARIES TO
ACHIEVE THEIR AIMS AND OBJECTIVES WAS ASSOCIATED NOT ONLY WITH AGENCIES SHARING
AN UNDERSTANDING OF THE PURPOSE OF THE JOINT VENTURE, A HISTORY OF JOINT WORKING
AND CLEAR AND EFFICIENT GOVERNANCE ARRANGEMENTS BUT ON TWO OTHER CHARACTERISTICS:
the extent and nature of statutory sector participation and, whether or not the
service is defined by a history of voluntary sector involvement. In particular
the pilots demonstrated how voluntary sector agencies appeared to be less
constrained by organisational priorities and professional agenda and more able to
respond flexibly to meet the complex needs of individuals. CONCLUSION AND
DISCUSSION: The pilots demonstrate that integrating services to support people
with complex needs works best when the service is determined by the
characteristics of those who use the service rather than pre-existing
organisational structures.
PMID- 18043724
TI - Integrated inspection of services for people with learning disabilities in
Scotland: the way forward?
AB - PURPOSE: The article summarises the process and the results of the first,
integrated inspection of managed care services for people with learning
disabilities in Scotland. The multi-agency model used was developed to be
congruent with the existing performance inspection models, used by single agency
inspection. The inspection activities and main outcomes are described, and
suggestions are made for improvements. CONTEXT OF CASE: In 2006 an inspection
model was devised to assess the quality of health, social services and education
services for people with learning disabilities in one geographical area of
Scotland, as a precursor to a programme of inspections nationally. The first
joint, integrated inspection of all services for people with learning
disabilities in Scotland took place in June 2006, and the report was published in
March 2007. This was the first multi-agency inspection of its kind in the UK, and
the first to involve carers and people with learning disabilities on the
inspection team. DATA SOURCES: A number of data sources were used to check
existing practice against agreed Quality Outcome indicators. Primary sources of
data were social work records, health records, education records, staff surveys,
carer surveys, interviews with staff, family carers and people with learning
disabilities, and self evaluations completed by the services being inspected.
Eleven different domains, each with sub-indicators were investigated. CASE
DESCRIPTION: This paper summarises the process of an integrated, multi-agency
inspection, how the inspection activities were conducted and the main findings of
this inspection. Practical improvements to the process are suggested, and these
may be of use to other services and inspectorates. CONCLUSIONS AND DISCUSSION:
The integrated inspection was a qualified success. Most major objectives were
achieved. The sharing of data amongst inspection agencies, establishing the level
of commitment to integrated inspection and conducting multiple, integrated
inspections nationally in a reasonable timescale are the main barriers remaining.
The data were collected in an innovative way during this inspection, to make the
analysis directly relevant to services, by providing domain specific and area
specific details about how well local needs are being met. The lessons from this
integrated inspection may be of interest to other practitioners in the UK and
beyond, both in terms of process and outcomes.
PMID- 18043725
TI - Attending unintended transformations of health care infrastructure.
AB - INTRODUCTION: Western health care is under pressure from growing demands on
quality and efficiency. The development and implementation of information
technology, IT is a key mean of health care authorities to improve on health care
infrastructure. THEORY AND METHODS: Against a background of theories on human
computer interaction and IT-mediated communication, different empirical studies
of IT implementation in health care are analyzed. The outcome is an analytical
discernment between different relations of communication and levels of
interaction with IT in health care infrastructure. These relations and levels are
synthesized into a framework for identifying tensions and potential problems in
the mediation of health care with the IT system. These problems are also known as
unexpected adverse consequences, UACs, from IT implementation into clinical
health care practices. RESULTS: This paper develops a conceptual framework for
addressing transformations of communication and workflow in health care as a
result of implementing IT. CONCLUSION AND DISCUSSION: The purpose of the
conceptual framework is to support the attention to and continuous screening for
errors and unintended consequences of IT implementation into health care
practices and outcomes.
PMID- 18043726
TI - Telehomecare technology across sectors: claims of jurisdiction and emerging
controversies.
AB - PURPOSE: The purpose of this study was to explore and identify inter
organisational and inter-professional controversies that emerge when telehomecare
technology is implemented across healthcare sectors. THEORY: A combined inter
organisational and inter-professional perspective constitutes the conceptual
framework for this study. METHODS: The case study approach was applied as the
overall methodology of the study. A triangulation of data collection techniques
was used in order to provide multiple sources of evidence for exploring and
identifying controversies (documents, participant observation, qualitative
interviews, focus group interviews). FINDINGS: During the design and
implementation phases of a telehomecare system, several types of controversies
emerged as part of the inter-organisational and inter-professional agenda. These
controversies involved competing claims of jurisdiction, controversies over
knowledge technologies, or differences in network visions and network
architecture. DISCUSSION AND CONCLUSIONS: The identification of such
controversies and differences in the design and implementation process of the
concept of home hospitalisation for heart patients by means of telehomecare
technology can contribute to the uncovering of new knowledge. These issues should
be taken into account when initiating a telehomecare project and implementing
telehomecare technology. Technology in a network and across inter-professional
relations poses a challenge to this new field. There is a particular need to
precisely define the claims of jurisdiction, and the accompanying controversies
that can arise related to knowledge technologies, network visions and network
architecture.
PMID- 18043727
TI - Changing Mad2 levels affects chromosome segregation and spindle assembly
checkpoint control in female mouse meiosis I.
AB - The spindle assembly checkpoint (SAC) ensures correct separation of sister
chromatids in somatic cells and provokes a cell cycle arrest in metaphase if one
chromatid is not correctly attached to the bipolar spindle. Prolonged metaphase
arrest due to overexpression of Mad2 has been shown to be deleterious to the
ensuing anaphase, leading to the generation of aneuploidies and tumorigenesis.
Additionally, some SAC components are essential for correct timing of
prometaphase. In meiosis, we and others have shown previously that the Mad2
dependent SAC is functional during the first meiotic division in mouse oocytes.
Expression of a dominant-negative form of Mad2 interferes with the SAC in
metaphase I, and a knock-down approach using RNA interference accelerates
anaphase onset in meiosis I. To prove unambigiously the importance of SAC control
for mammalian female meiosis I we analyzed oocyte maturation in Mad2 heterozygote
mice, and in oocytes overexpressing a GFP-tagged version of Mad2. In this study
we show for the first time that loss of one Mad2 allele, as well as
overexpression of Mad2 lead to chromosome missegregation events in meiosis I, and
therefore the generation of aneuploid metaphase II oocytes. Furthermore, SAC
control is impaired in mad2+/- oocytes, also leading to the generation of
aneuploidies in meiosis I.
PMID- 18043728
TI - Haplotypes in the complement factor H (CFH) gene: associations with drusen and
advanced age-related macular degeneration.
AB - BACKGROUND: Age-related macular degeneration (AMD), the leading cause of
blindness in the Western world, is a complex disease that affects people over 50
years old. The complement factor H (CFH) gene has been repeatedly shown to be a
major factor in determining susceptibility to the advanced form of the condition.
We aimed to better understand the functional role of this gene in the AMD disease
process and assess whether it is associated with earlier forms of the disease.
METHODOLOGY/PRINCIPAL FINDINGS: WE genotyped SNPS at the cfh gene locus in three
independent populations with AMD: (a) extended families where at least 3 family
members had AMD; (b) sporadic cases of advanced AMD and (c) cases from the Age
Related Eye Disease Study (AREDS). We investigated polymorphisms and haplotypes
in and around the CFH gene to assess their role in AMD. CFH is associated with
early/intermediate and advanced AMD in both familial and sporadic cases. In our
populations, the CFH SNP, rs2274700, is most strongly associated with AMD and
when incorporated into a haplotype with the Y402H SNP and rs1061147, the
strongest association is observed (p<10(-9)). CONCLUSIONS/SIGNIFICANCE: Our
results, reproduced in three populations that represent the spectrum of AMD
cases, provide evidence that the CFH gene is associated with drusen as well as
with advanced AMD. We also identified novel susceptibility and protective
haplotypes in the AMD populations.
PMID- 18043729
TI - Epigenetic regulation of histone H3 serine 10 phosphorylation status by HCF-1
proteins in C. elegans and mammalian cells.
AB - BACKGROUND: The human herpes simplex virus (HSV) host cell factor HCF-1 is a
transcriptional coregulator that associates with both histone methyl- and
acetyltransferases, and a histone deacetylase and regulates cell proliferation
and division. In HSV-infected cells, HCF-1 associates with the viral protein VP16
to promote formation of a multiprotein-DNA transcriptional activator complex. The
ability of HCF proteins to stabilize this VP16-induced complex has been conserved
in diverse animal species including Drosophila melanogaster and Caenorhabditis
elegans suggesting that VP16 targets a conserved cellular function of HCF-1.
METHODOLOGY/PRINCIPAL FINDINGS: To investigate the role of HCF proteins in animal
development, we have characterized the effects of loss of the HCF-1 homolog in C.
elegans, called Ce HCF-1. Two large hcf-1 deletion mutants (pk924 and ok559) are
viable but display reduced fertility. Loss of Ce HCF-1 protein at reduced
temperatures (e.g., 12 degrees C), however, leads to a high incidence of
embryonic lethality and early embryonic mitotic and cytokinetic defects
reminiscent of mammalian cell-division defects upon loss of HCF-1 function. Even
when viable, however, at normal temperature, mutant embryos display reduced
levels of phospho-histone H3 serine 10 (H3S10P), a modification implicated in
both transcriptional and mitotic regulation. Mammalian cells with defective HCF-1
also display defects in mitotic H3S10P status. CONCLUSIONS/SIGNIFICANCE: These
results suggest that HCF-1 proteins possess conserved roles in the regulation of
cell division and mitotic histone phosphorylation.
PMID- 18043730
TI - Induction of human immunodeficiency virus (HIV-1) envelope specific cell-mediated
immunity by a non-homologous synthetic peptide.
AB - BACKGROUND: Cell mediated immunity, including efficient CTL response, is required
to prevent HIV-1 from cell-to-cell transmission. In previous investigations, we
have shown that B1 peptide derived by Fourier transformation of HIV-1 primary
structures and sharing no sequence homology with the parent proteins was able to
generate antiserum which recognizes envelope and Tat proteins. Here we have
investigated cellular immune response towards a novel non-homologous peptide,
referred to as cA1 peptide. METHODOLOGY/PRINCIPAL FINDINGS: The 20 amino acid
sequence of cA1 peptide was predicted using the notion of peptide hydropathic
properties; the peptide is encoded by the complementary anti-sense DNA strand to
the sense strand of previously described non-homologous A1 peptide. In this
report we demonstrate that the cA1 peptide can be a target for major
histocompatibility complex (MHC) class I-restricted cytotoxic T lymphocytes in
HIV-1-infected or envelope-immunized individuals. The cA1 peptide is recognized
in association with different MHC class I allotypes and could prime in vitro
CTLs, derived from gp160-immunized individuals capable to recognize virus
variants. CONCLUSIONS/SIGNIFICANCE: For the first time a theoretically designed
immunogen involved in broad-based cell-immune memory activation is described. Our
findings may thus contribute to the advance in vaccine research by describing a
novel strategy to develop a synthetic AIDS vaccine.
PMID- 18043731
TI - Individual assessment of arteriosclerosis by empiric clinical profiling.
AB - BACKGROUND: Arteriosclerosis is a common cause of chronic morbidity and
mortality. Myocardial infarction, stroke or other cardiovascular events identify
vulnerable patients who suffer from symptomatic arteriosclerosis. Biomarkers to
identify vulnerable patients before cardiovascular events occur are warranted to
improve care for affected individuals. We tested how accurately basic clinical
data can describe and assess the activity of arteriosclerosis in the individual
patient. METHODOLOGY/PRINCIPAL FINDINGS: 269 in-patients who were treated for
various conditions at the department of general medicine of an academic tertiary
care center were included in a cross-sectional study. Personal history and
clinical examination were obtained. When paraclinical tests were performed, the
results were added to the dataset. The numerical variables in the clinical
examination were statistically compared between patients with proven symptomatic
arteriosclerosis (n = 100) and patients who had never experienced cardiovascular
events in the past (n = 110). 25 variables were different between these two
patient groups and contributed to the disease activity score. The percentile
distribution of these variables defined the empiric clinical profile.
Anthropometric data, signs of arterial, cardiac and renal disease, systemic
inflammation and health economics formed the major categories of the empiric
clinical profile that described an individual patient's disease activity. The
area under the curve of the receiver operating curve for symptomatic
arteriosclerosis was 0.891 (95% CI 0.799-0.983) for the novel disease activity
score compared to 0.684 (95% CI 0.600-0.769) for the 10-year risk calculated
according to the Framingham score. In patients suffering from symptomatic
arteriosclerosis, the disease activity score deteriorated more rapidly after two
years of follow-up (from 1.25 to 1.48, P = 0.005) compared to age- and sex
matched individuals free of cardiovascular events (from 1.09 to 1.19, P = 0.125).
CONCLUSIONS/SIGNIFICANCE: Empiric clinical profiling and the disease activity
score that are based on accessible, available and affordable clinical data are
valid markers for symptomatic arteriosclerosis.
PMID- 18043732
TI - Proteomic shifts in embryonic stem cells with gene dose modifications suggest the
presence of balancer proteins in protein regulatory networks.
AB - Large numbers of protein expression changes are usually observed in mouse models
for neurodegenerative diseases, even when only a single gene was mutated in each
case. To study the effect of gene dose alterations on the cellular proteome, we
carried out a proteomic investigation on murine embryonic stem cells that either
overexpressed individual genes or displayed aneuploidy over a genomic region
encompassing 14 genes. The number of variant proteins detected per cell line
ranged between 70 and 110, and did not correlate with the number of modified
genes. In cell lines with single gene mutations, up and down-regulated proteins
were always in balance in comparison to parental cell lines regarding number as
well as concentration of differentially expressed proteins. In contrast, dose
alteration of 14 genes resulted in an unequal number of up and down-regulated
proteins, though the balance was kept at the level of protein concentration. We
propose that the observed protein changes might partially be explained by a
proteomic network response. Hence, we hypothesize the existence of a class of
"balancer" proteins within the proteomic network, defined as proteins that buffer
or cushion a system, and thus oppose multiple system disturbances. Through
database queries and resilience analysis of the protein interaction network, we
found that potential balancer proteins are of high cellular abundance, possess a
low number of direct interaction partners, and show great allelic variation.
Moreover, balancer proteins contribute more heavily to the network entropy, and
thus are of high importance in terms of system resilience. We propose that the
"elasticity" of the proteomic regulatory network mediated by balancer proteins
may compensate for changes that occur under diseased conditions.
PMID- 18043733
TI - A biological model for influenza transmission: pandemic planning implications of
asymptomatic infection and immunity.
AB - BACKGROUND: The clinical attack rate of influenza is influenced by prior immunity
and mixing patterns in the host population, and also by the proportion of
infections that are asymptomatic. This complexity makes it difficult to directly
estimate R(0) from the attack rate, contributing to uncertainty in
epidemiological models to guide pandemic planning. We have modelled multiple wave
outbreaks of influenza from different populations to allow for changing immunity
and asymptomatic infection and to make inferences about R(0). DATA AND METHODS:
On the island of Tristan da Cunha (TdC), 96% of residents reported illness during
an H3N2 outbreak in 1971, compared with only 25% of RAF personnel in military
camps during the 1918 H1N1 pandemic. Monte Carlo Markov Chain (MCMC) methods were
used to estimate model parameter distributions. FINDINGS: We estimated that most
islanders on TdC were non-immune (susceptible) before the first wave, and that
almost all exposures of susceptible persons caused symptoms. The median R(0) of
6.4 (95% credibility interval 3.7-10.7) implied that most islanders were exposed
twice, although only a minority became ill in the second wave because of
temporary protection following the first wave. In contrast, only 51% of RAF
personnel were susceptible before the first wave, and only 38% of exposed
susceptibles reported symptoms. R(0) in this population was also lower [2.9 (2.3
4.3)], suggesting reduced viral transmission in a partially immune population.
INTERPRETATION: Our model implies that the RAF population was partially protected
before the summer pandemic wave of 1918, arguably because of prior exposure to
interpandemic influenza. Without such protection, each symptomatic case of
influenza would transmit to between 2 and 10 new cases, with incidence initially
doubling every 1-2 days. Containment of a novel virus could be more difficult
than hitherto supposed.
PMID- 18043734
TI - An obligatory role of mind bomb-1 in notch signaling of mammalian development.
AB - BACKGROUND: The Notch signaling pathway is an evolutionarily conserved
intercellular signaling module essential for cell fate specification that
requires endocytosis of Notch ligands. Structurally distinct E3 ubiquitin
ligases, Neuralized (Neur) and Mind bomb (Mib), cooperatively regulate the
endocytosis of Notch ligands in Drosophila. However, the respective roles of the
mammalian E3 ubiquitin ligases, Neur1, Neur2, Mib1, and Mib2, in mammalian
development are poorly understood. METHODOLOGY/PRINCIPAL FINDINGS: Through
extensive use of mammalian genetics, here we show that Neur1 and Neur2 double
mutants and Mib2(-/-) mice were viable and grossly normal. In contrast,
conditional inactivation of Mib1 in various tissues revealed the representative
Notch phenotypes: defects of arterial specification as deltalike4 mutants,
abnormal cerebellum and skin development as jagged1 conditional mutants, and
syndactylism as jagged2 mutants. CONCLUSIONS/SIGNIFICANCE: Our data provide the
first evidence that Mib1 is essential for Jagged as well as Deltalike ligand
mediated Notch signaling in mammalian development, while Neur1, Neur2, and Mib2
are dispensable.
PMID- 18043735
TI - Transforming growth factor: beta signaling is essential for limb regeneration in
axolotls.
AB - Axolotls (urodele amphibians) have the unique ability, among vertebrates, to
perfectly regenerate many parts of their body including limbs, tail, jaw and
spinal cord following injury or amputation. The axolotl limb is the most widely
used structure as an experimental model to study tissue regeneration. The process
is well characterized, requiring multiple cellular and molecular mechanisms. The
preparation phase represents the first part of the regeneration process which
includes wound healing, cellular migration, dedifferentiation and proliferation.
The redevelopment phase represents the second part when dedifferentiated cells
stop proliferating and redifferentiate to give rise to all missing structures. In
the axolotl, when a limb is amputated, the missing or wounded part is regenerated
perfectly without scar formation between the stump and the regenerated structure.
Multiple authors have recently highlighted the similarities between the early
phases of mammalian wound healing and urodele limb regeneration. In mammals, one
very important family of growth factors implicated in the control of almost all
aspects of wound healing is the transforming growth factor-beta family (TGF
beta). In the present study, the full length sequence of the axolotl TGF-beta1
cDNA was isolated. The spatio-temporal expression pattern of TGF-beta1 in
regenerating limbs shows that this gene is up-regulated during the preparation
phase of regeneration. Our results also demonstrate the presence of multiple
components of the TGF-beta signaling machinery in axolotl cells. By using a
specific pharmacological inhibitor of TGF-beta type I receptor, SB-431542, we
show that TGF-beta signaling is required for axolotl limb regeneration. Treatment
of regenerating limbs with SB-431542 reveals that cellular proliferation during
limb regeneration as well as the expression of genes directly dependent on TGF
beta signaling are down-regulated. These data directly implicate TGF-beta
signaling in the initiation and control of the regeneration process in axolotls.
PMID- 18043736
TI - On hemangioblasts in chicken.
AB - Hemangioblasts are bi-potential precursors for blood and endothelial cells (BCs
and ECs). Existence of the hemangioblast in vivo by its strict definition, i.e. a
clonal precursor giving rise to these two cell types after division, is still
debated. Using a combination of mitotic figure analysis, cell labeling and long
term cell tracing, we show that, in chicken, cell division does not play a major
role during the entire ventral mesoderm differentiation process after
gastrulation. One eighth of cells do undergo at least one round of division, but
mainly give rise to daughter cells contributing to the same lineage.
Approximately 7% of the dividing cells that contribute to either the BC or EC
lineage meet the criteria of true hemangioblasts, with one daughter cell becoming
a BC and the other an EC. Our data suggest that hemangioblast-type generation of
BC/EC occurs, but is not used as a major mechanism during early chicken
development. It remains unclear, however, whether hemangioblast-like progenitor
cells play a more prominent role in later development.
PMID- 18043738
TI - Beyond the gene.
AB - This paper is a response to the increasing difficulty biologists find in agreeing
upon a definition of the gene, and indeed, the increasing disarray in which that
concept finds itself. After briefly reviewing these problems, we propose an
alternative to both the concept and the word gene-an alternative that, like the
gene, is intended to capture the essence of inheritance, but which is both richer
and more expressive. It is also clearer in its separation of what the organism
statically is (what it tangibly inherits) and what it dynamically does (its
functionality and behavior). Our proposal of a genetic functor, or genitor, is a
sweeping extension of the classical genotype/phenotype paradigm, yet it appears
to be faithful to the findings of contemporary biology, encompassing many of the
recently emerging-and surprisingly complex-links between structure and
functionality.
PMID- 18043737
TI - A novel multi-antigen virally vectored vaccine against Mycobacterium avium
subspecies paratuberculosis.
AB - BACKGROUND: Mycobacterium avium subspecies paratuberculosis causes systemic
infection and chronic intestinal inflammation in many species including primates.
Humans are exposed through milk and from sources of environmental contamination.
Hitherto, the only vaccines available against Mycobacterium avium subspecies
paratuberculosis have been limited to veterinary use and comprised attenuated or
killed organisms. METHODS: We developed a vaccine comprising a fusion construct
designated HAV, containing components of two secreted and two cell surface
Mycobacterium avium subspecies paratuberculosis proteins. HAV was transformed
into DNA, human Adenovirus 5 (Ad5) and Modified Vaccinia Ankara (MVA) delivery
vectors. Full length expression of the predicted 95 kDa fusion protein was
confirmed. PRINCIPAL FINDINGS: Vaccination of naive and Mycobacterium avium
subspecies paratuberculosis infected C57BL/6 mice using DNA-prime/MVA-boost or
Ad5-prime/MVA-boost protocols was highly immunogenic resulting in significant IFN
gamma ELISPOT responses by splenocytes against recombinant vaccine antigens and a
range of HAV specific peptides. This included strong recognition of a T-cell
epitope GFAEINPIA located near the C-terminus of the fusion protein. Antibody
responses to recombinant vaccine antigens and HAV specific peptides but not
GFAEINPIA, also occurred. No immune recognition of vaccine antigens occurred in
any sham vaccinated Mycobacterium avium subspecies paratuberculosis infected
mice. Vaccination using either protocol significantly attenuated pre-existing
Mycobacterium avium subspecies paratuberculosis infection measured by qPCR in
spleen and liver and the Ad5-prime/MVA-boost protocol also conferred some
protection against subsequent challenge. No adverse effects of vaccination
occurred in any of the mice. CONCLUSIONS/SIGNIFICANCE: A range of modern
veterinary and clinical vaccines for the treatment and prevention of disease
caused by Mycobacterium avium subspecies paratuberculosis are needed. The present
vaccine proved to be highly immunogenic without adverse effect in mice and both
attenuated pre-existing Mycobacterium avium subspecies paratuberculosis infection
and conferred protection against subsequent challenge. Further studies of the
present vaccine in naturally infected animals and humans are indicated.
PMID- 18043739
TI - Correlation index-based responsible-enzyme gene screening (CIRES), a novel DNA
microarray-based method for enzyme gene involved in glycan biosynthesis.
AB - BACKGROUND: Glycan biosynthesis occurs though a multi-step process that requires
a variety of enzymes ranging from glycosyltransferases to those involved in
cytosolic sugar metabolism. In many cases, glycan biosynthesis follows a glycan
specific, linear pathway. As glycosyltransferases are generally regulated at the
level of transcription, assessing the overall transcriptional profile for glycan
biosynthesis genes seems warranted. However, a systematic approach for assessing
the correlation between glycan expression and glycan-related gene expression has
not been reported previously. METHODOLOGY: To facilitate genetic analysis of
glycan biosynthesis, we sought to correlate the expression of genes involved in
cell-surface glycan formation with the expression of the glycans, as detected by
glycan-recognizing probes. We performed cross-sample comparisons of gene
expression profiles using a newly developed, glycan-focused cDNA microarray. Cell
surface glycan expression profiles were obtained using flow cytometry of cells
stained with plant lectins. Pearson's correlation coefficients were calculated
for these profiles and were used to identify enzyme genes correlated with glycan
biosynthesis. CONCLUSIONS: This method, designated correlation index-based
responsible-enzyme gene screening (CIRES), successfully identified genes already
known to be involved in the biosynthesis of certain glycans. Our evaluation of
CIRES indicates that it is useful for identifying genes involved in the
biosynthesis of glycan chains that can be probed with lectins using flow
cytometry.
PMID- 18043740
TI - Acute sleep deprivation and circadian misalignment associated with transition
onto the first night of work impairs visual selective attention.
AB - BACKGROUND: Overnight operations pose a challenge because our circadian biology
promotes sleepiness and dissipates wakefulness at night. Since the circadian
effect on cognitive functions magnifies with increasing sleep pressure, cognitive
deficits associated with night work are likely to be most acute with extended
wakefulness, such as during the transition from a day shift to night shift.
METHODOLOGY/PRINCIPAL FINDINGS: To test this hypothesis we measured selective
attention (with visual search), vigilance (with Psychomotor Vigilance Task [PVT])
and alertness (with a visual analog scale) in a shift work simulation protocol,
which included four day shifts followed by three night shifts. There was a
nocturnal decline in cognitive processes, some of which were most pronounced on
the first night shift. The nighttime decrease in visual search sensitivity was
most pronounced on the first night compared with subsequent nights (p = .04), and
this was accompanied by a trend towards selective attention becoming 'fast and
sloppy'. The nighttime increase in attentional lapses on the PVT was
significantly greater on the first night compared to subsequent nights (p<.05)
indicating an impaired ability to sustain focus. The nighttime decrease in
subjective alertness was also greatest on the first night compared with
subsequent nights (p<.05). CONCLUSIONS/SIGNIFICANCE: These nocturnal deficits in
attention and alertness offer some insight into why occupational errors,
accidents, and injuries are pronounced during night work compared to day work.
Examination of the nighttime vulnerabilities underlying the deployment of
attention can be informative for the design of optimal work schedules and the
implementation of effective countermeasures for performance deficits during night
work.
PMID- 18043741
TI - Nogo Receptor 1 (RTN4R) as a candidate gene for schizophrenia: analysis using
human and mouse genetic approaches.
AB - BACKGROUND: NOGO Receptor 1 (RTN4R) regulates axonal growth, as well as axon
regeneration after injury. The gene maps to the 22q11.2 schizophrenia
susceptibility locus and is thus a strong functional and positional candidate
gene. METHODOLOGY/PRINCIPAL FINDINGS: We evaluate evidence for genetic
association between common RTN4R polymorphisms and schizophrenia in a large
family sample of Afrikaner origin and screen the exonic sequence of RTN4R for
rare variants in an independent sample from the U.S. We also employ animal model
studies to assay a panel of schizophrenia-related behavioral tasks in an Rtn4r
deficient mouse model. We found weak sex-specific evidence for association
between common RTN4R polymorphisms and schizophrenia in the Afrikaner patients.
In the U.S. sample, we identified two novel non-conservative RTN4R coding
variants in two patients with schizophrenia that were absent in 600 control
chromosomes. In our complementary mouse model studies, we identified a
haploinsufficient effect of Rtn4r on locomotor activity, but normal performance
in schizophrenia-related behavioral tasks. We also provide evidence that Rtn4r
deficiency can modulate the long-term behavioral effects of transient postnatal N
methyl-D-aspartate (NMDA) receptor hypofunction. CONCLUSIONS: Our results do not
support a major role of RTN4R in susceptibility to schizophrenia or the cognitive
and behavioral deficits observed in individuals with 22q11 microdeletions.
However, they suggest that RTN4R may modulate the genetic risk or clinical
expression of schizophrenia in a subset of patients and identify additional
studies that will be necessary to clarify the role of RTN4R in psychiatric
phenotypes. In addition, our results raise interesting issues about evaluating
the significance of rare genetic variants in disease and their role in causation.
PMID- 18043742
TI - Gene organization in rice revealed by full-length cDNA mapping and gene
expression analysis through microarray.
AB - Rice (Oryza sativa L.) is a model organism for the functional genomics of
monocotyledonous plants since the genome size is considerably smaller than those
of other monocotyledonous plants. Although highly accurate genome sequences of
indica and japonica rice are available, additional resources such as full-length
complementary DNA (FL-cDNA) sequences are also indispensable for comprehensive
analyses of gene structure and function. We cross-referenced 28.5K individual
loci in the rice genome defined by mapping of 578K FL-cDNA clones with the 56K
loci predicted in the TIGR genome assembly. Based on the annotation status and
the presence of corresponding cDNA clones, genes were classified into 23K
annotated expressed (AE) genes, 33K annotated non-expressed (ANE) genes, and 5.5K
non-annotated expressed (NAE) genes. We developed a 60mer oligo-array for
analysis of gene expression from each locus. Analysis of gene structures and
expression levels revealed that the general features of gene structure and
expression of NAE and ANE genes were considerably different from those of AE
genes. The results also suggested that the cloning efficiency of rice FL-cDNA is
associated with the transcription activity of the corresponding genetic locus,
although other factors may also have an effect. Comparison of the coverage of FL
cDNA among gene families suggested that FL-cDNA from genes encoding rice- or
eukaryote-specific domains, and those involved in regulatory functions were
difficult to produce in bacterial cells. Collectively, these results indicate
that rice genes can be divided into distinct groups based on transcription
activity and gene structure, and that the coverage bias of FL-cDNA clones exists
due to the incompatibility of certain eukaryotic genes in bacteria.
PMID- 18043743
TI - Lambs with scrapie susceptible genotypes have higher postnatal survival.
AB - BACKGROUND: Prion protein (PrP) alleles associated with scrapie susceptibility
persist in many sheep populations even with high frequencies despite centuries of
selection against them. This suggests that scrapie susceptibility alleles have a
pleiotropic effect or are associated with fitness or other traits that have been
subject to selection. METHODOLOGY/PRINCIPAL FINDINGS: We genotyped all lambs in
two scrapie-free Scottish Blackface sheep flocks for polymorphisms at codons 136,
154 and 171 of the PrP gene. We tested potential associations of the PrP genotype
with lamb viability at birth and postnatal survival using a complementary log-log
link function and a Weibull proportional hazard model, respectively. Here we show
there is an association between PrP genotype, as defined by polymorphisms at
codons 154 ad 171, and postnatal lamb survival in the absence of scrapie. Sheep
carrying the wild-type ARQ allele have higher postnatal survival rates than sheep
carrying the more scrapie-resistant alleles (ARR or AHQ). CONCLUSION: The PrP
genotypes associated with higher susceptibility to scrapie are associated with
improved postnatal survival in the absence of the disease. This association helps
to explain the existence, and in many instances the high frequency, of the ARQ
allele in sheep populations.
PMID- 18043744
TI - Tumor spectrum, tumor latency and tumor incidence of the Pten-deficient mice.
AB - BACKGROUND: Pten functionally acts as a tumor suppressor gene. Lately, tissue
specific ablation of Pten gene in mice has elucidated the role of Pten in
different tumor progression models. However, a temporally controlled Pten loss in
all adult tissues to examine susceptibility of various tissues to Pten-deficient
tumorigenesis has not been addressed yet. Our goal was to explore the genesis of
Pten-deficient malignancies in multiple tissue lineages of the adult mouse.
METHODS AND FINDINGS: We utilized an inducible Cre/loxP system to delete Pten
exon 5 in the systemic organs of ROSA26 (R26)-CreER(T);Pten(fx/fx) mice. On
reaching 45 weeks 4OHT-induced Pten loss, we found that the R26
CreER(T);Pten(fx/fx) mice developed a variety of malignancies. Overall tumor mean
latency was 17 weeks in the Pten-deficient mice. Interestingly, mutant females
developed malignancies more quickly at 10 approximately 11 weeks compared with a
tumor latency of 21 weeks for mutant males. Lymphoma incidence (76.9% in females;
40.0% in males) was higher than the other malignancies found in the mutant mice.
Mutant males developed prostate (20.0%), intestinal cancer (35.0%) and squamous
cell carcinoma (10.0%), whereas the mutant females developed squamous cell
carcinoma (15.4%) and endometrial cancer (46.1%) in addition to lymphomas.
Furthermore, we tested the pharmacological inhibition of the PTEN downstream
effectors using LY294002 on Pten-deficient prostate hyperplasia. Our data
revealed that, indeed, the prostate hyperplasia resulting from the induced Pten
loss was significantly suppressed by LY294002 (p = 0.007). CONCLUSIONS: Through
monitoring a variety of Pten-deficient tumor formation, our results revealed that
the lymphoid lineages and the epithelium of the prostate, endometrium, intestine
and epidermis are highly susceptible to tumorigenesis after the Pten gene is
excised. Therefore, this R26-CreER(T); Pten(fx/fx) mouse model may provide an
entry point for understanding the role of Pten in the tumorigenesis of different
organs and extend the search for potential therapeutic approaches to prevent Pten
deficient malignancies.
PMID- 18043745
TI - High CO2 levels impair alveolar epithelial function independently of pH.
AB - BACKGROUND: In patients with acute respiratory failure, gas exchange is impaired
due to the accumulation of fluid in the lung airspaces. This life-threatening
syndrome is treated with mechanical ventilation, which is adjusted to maintain
gas exchange, but can be associated with the accumulation of carbon dioxide in
the lung. Carbon dioxide (CO2) is a by-product of cellular energy utilization and
its elimination is affected via alveolar epithelial cells. Signaling pathways
sensitive to changes in CO2 levels were described in plants and neuronal
mammalian cells. However, it has not been fully elucidated whether non-neuronal
cells sense and respond to CO2. The Na,K-ATPase consumes approximately 40% of the
cellular metabolism to maintain cell homeostasis. Our study examines the effects
of increased pCO2 on the epithelial Na,K-ATPase a major contributor to alveolar
fluid reabsorption which is a marker of alveolar epithelial function. PRINCIPAL
FINDINGS: We found that short-term increases in pCO2 impaired alveolar fluid
reabsorption in rats. Also, we provide evidence that non-excitable, alveolar
epithelial cells sense and respond to high levels of CO2, independently of
extracellular and intracellular pH, by inhibiting Na,K-ATPase function, via
activation of PKCzeta which phosphorylates the Na,K-ATPase, causing it to
endocytose from the plasma membrane into intracellular pools. CONCLUSIONS: Our
data suggest that alveolar epithelial cells, through which CO2 is eliminated in
mammals, are highly sensitive to hypercapnia. Elevated CO2 levels impair alveolar
epithelial function, independently of pH, which is relevant in patients with lung
diseases and altered alveolar gas exchange.
PMID- 18043746
TI - The gene transformer of anastrepha fruit flies (Diptera, tephritidae) and its
evolution in insects.
AB - In the tephritids Ceratitis capitata and Bactrocera oleae, the gene transformer
acts as the memory device for sex determination, via an auto-regulatory function;
and functional Tra protein is produced only in females. This paper investigates
the evolution of the gene tra, which was characterised in twelve tephritid
species belonging to the less extensively analysed genus Anastrepha. Our study
provided the following major conclusions. Firstly, the memory device mechanism
used by this gene in sex determination in tephritids likely existed in the common
ancestor of the Ceratitis, Bactrocera and Anastrepha phylogenetic lineages. This
mechanism would represent the ancestral state with respect to the extant cascade
seen in the more evolved Drosophila lineage. Secondly, Transformer2-specific
binding intronic splicing silencer sites were found in the splicing regulatory
region of transformer but not in doublesex pre-mRNAs in these tephritids. Thus,
these sites probably provide the discriminating feature for the putative dual
splicing activity of the Tra-Tra2 complex in tephritids. It acts as a splicing
activator in dsx pre-mRNA splicing (its binding to the female-specific exon
promotes the inclusion of this exon into the mature mRNA), and as a splicing
inhibitor in tra pre-mRNA splicing (its binding to the male-specific exons
prevents the inclusion of these exons into the mature mRNA). Further, a highly
conserved region was found in the specific amino-terminal region of the tephritid
Tra protein that might be involved in Tra auto-regulatory function and hence in
its repressive splicing behaviour. Finally, the Tra proteins conserved the SR
dipeptides, which are essential for Tra functionality.
PMID- 18043747
TI - DAF-2/insulin-like signaling in C. elegans modifies effects of dietary
restriction and nutrient stress on aging, stress and growth.
AB - BACKGROUND: Dietary restriction (DR) and reduced insulin/IGF-I-like signaling
(IIS) are two regimens that promote longevity in a variety of organisms. Genetic
analysis in C. elegans nematodes has shown that DR and IIS couple to distinct
cellular signaling pathways. However, it is not known whether these pathways
ultimately converge on overlapping or distinct targets to extend lifespan.
PRINCIPAL FINDINGS: We investigated this question by examining additional effects
of DR in wildtype animals and in daf-2 mutants with either moderate or severe IIS
deficits. Surprisingly, DR and IIS had opposing effects on these physiological
processes. First, DR induced a stress-related change in intestinal vesicle
trafficking, termed the FIRE response, which was suppressed in daf-2 mutants.
Second, DR did not strongly affect expression of a daf-2- and stress-responsive
transcriptional reporter. Finally, DR-related growth impairment was suppressed in
daf-2 mutants. CONCLUSIONS: These findings reveal that an important biological
function of DAF-2/IIS is to enhance growth and survival under nutrient-limited
conditions. However, we also discovered that levels of DAF-2 pathway activity
modified the effects of DR on longevity. Thus, while DR and IIS clearly affect
lifespan through independent targets, there may also be some prolongevity targets
that are convergently regulated by these pathways.
PMID- 18043748
TI - Subcellular distribution of mitochondrial ribosomal RNA in the mouse oocyte and
zygote.
AB - Mitochondrial ribosomal RNAs (mtrRNAs) have been reported to translocate extra
mitochondrially and localize to the germ cell determinant of oocytes and zygotes
in some metazoa except mammals. To address whether the mtrRNAs also localize in
the mammals, expression and distribution of mitochondrion-encoded RNAs in the
mouse oocytes and zygotes was examined by whole-mount in situ hybridization
(ISH). Both 12S and 16S rRNAs were predominantly distributed in the animal
hemisphere of the mature oocyte. This distribution pattern was rearranged toward
the second polar body in zygotes after fertilization. The amount of mtrRNAs
decreased around first cleavage, remained low during second cleavage and
increased after third cleavage. Staining intensity of the 12S rRNA was weaker
than that of the 16S rRNA throughout the examined stages. Similar distribution
dynamics of the 16S rRNA was observed in strontium-activated haploid parthenotes,
suggesting the distribution rearrangement does not require a component from
sperm. The distribution of 16S rRNAs did not coincide with that of mitochondrion
specific heat shock protein 70, suggesting that the mtrRNA is translocated from
mitochondria. The ISH-scanning electron microscopy confirms the extra
mitochondrial mtrRNA in the mouse oocyte. Chloramphenicol (CP) treatment of late
pronuclear stage zygotes perturbed first cleavage as judged by the greater than
normal disparity in size of blastomeres of 2-cell conceptuses. Two-third of the
CP-treated zygotes arrested at either 2-cell or 3-cell stage even after the CP
was washed out. These findings indicate that the extra-mitochondrial mtrRNAs are
localized in the mouse oocyte and implicated in correct cytoplasmic segregation
into blastomeres through cleavages of the zygote.
PMID- 18043749
TI - Physical analyses of E. coli heteroduplex recombination products in vivo: on the
prevalence of 5' and 3' patches.
AB - BACKGROUND: Homologous recombination in Escherichia coli creates patches (non
crossovers) or splices (half crossovers), each of which may have associated
heteroduplex DNA. Heteroduplex patches have recombinant DNA in one strand of the
duplex, with parental flanking markers. Which DNA strand is exchanged in
heteroduplex patches reflects the molecular mechanism of recombination. Several
models for the mechanism of E. coli RecBCD-mediated recombinational double-strand
end (DSE) repair specify that only the 3'-ending strand invades the homologous
DNA, forming heteroduplex in that strand. There is, however, in vivo evidence
that patches are found in both strands. METHODOLOGY/PRINCIPLE FINDINGS: This
paper re-examines heteroduplex-patch-strand polarity using phage lambda and the
lambdadv plasmid as DNA substrates recombined via the E. coli RecBCD system in
vivo. These DNAs are mutant for lambda recombination functions, including orf and
rap, which were functional in previous studies. Heteroduplexes are isolated,
separated on polyacrylamide gels, and quantified using Southern blots for
heteroduplex analysis. This method reveals that heteroduplexes are still found in
either 5' or 3' DNA strands in approximately equal amounts, even in the absence
of orf and rap. Also observed is an independence of the RuvC Holliday-junction
endonuclease on patch formation, and a slight but statistically significant
alteration of patch polarity by recD mutation. CONCLUSIONS/SIGNIFICANCE: These
results indicate that orf and rap did not contribute to the presence of patches,
and imply that patches occurring in both DNA strands reflects the molecular
mechanism of recombination in E. coli. Most importantly, the lack of a
requirement for RuvC implies that endonucleolytic resolution of Holliday
junctions is not necessary for heteroduplex-patch formation, contrary to
predictions of all of the major previous models. This implies that patches are
not an alternative resolution of the same intermediate that produces splices, and
do not bear on models for splice formation. We consider two mechanisms that use
DNA replication instead of endonucleolytic resolution for formation of
heteroduplex patches in either DNA strand: synthesis-dependent-strand annealing
and a strand-assimilation mechanism.
PMID- 18043750
TI - Multiple origins of knockdown resistance mutations in the Afrotropical mosquito
vector Anopheles gambiae.
AB - How often insecticide resistance mutations arise in natural insect populations is
a fundamental question for understanding the evolution of resistance and also for
modeling its spread. Moreover, the development of resistance is regarded as a
favored model to study the molecular evolution of adaptive traits. In the malaria
vector Anopheles gambiae two point mutations (L1014F and L1014S) in the voltage
gated sodium channel gene, that confer knockdown resistance (kdr) to DDT and
pyrethroid insecticides, have been described. In order to determine whether
resistance alleles result from single or multiple mutation events, genotyping of
the kdr locus and partial sequencing of the upstream intron-1 was performed on a
total of 288 A. gambiae S-form collected from 28 localities in 15 countries.
Knockdown resistance alleles were found to be widespread in West Africa with co
occurrence of both 1014S and 1014F in West-Central localities. Differences in
intron-1 haplotype composition suggest that kdr alleles may have arisen from at
least four independent mutation events. Neutrality tests provided evidence for a
selective sweep acting on this genomic region, particularly in West Africa. The
frequency and distribution of these kdr haplotypes varied geographically, being
influenced by an interplay between different mutational occurrences, gene flow
and local selection. This has important practical implications for the management
and sustainability of malaria vector control programs.
PMID- 18043751
TI - Admixture mapping provides evidence of association of the VNN1 gene with
hypertension.
AB - Migration patterns in modern societies have created the opportunity to use
population admixture as a strategy to identify susceptibility genes. To implement
this strategy, we genotyped a highly informative ancestry marker panel of 2270
single nucleotide polymorphisms in a random population sample of African
Americans (N = 1743), European Americans (N = 1000) and Mexican Americans (N =
581). We then examined the evidence for over-transmission of specific loci to
cases from one of the two ancestral populations. Hypertension cases and controls
were defined based on standard clinical criteria. Both case-only and case-control
analyses were performed among African Americans. With the genome-wide markers we
replicated the findings identified in our previous admixture mapping study on
chromosomes 6 and 21 [1]. For case-control analysis we then genotyped 51 missense
SNPs in 36 genes spaced across an 18.3 Mb region. Further analyses demonstrated
that the missense SNP rs2272996 (or N131S) in the VNN1 gene was significantly
associated with hypertension in African Americans and the association was
replicated in Mexican Americans; a non-significant opposite association was
observed in European Americans. This SNP also accounted for most of the evidence
observed in the admixture analysis on chromosome 6. Despite these encouraging
results, susceptibility loci for hypertension have been exceptionally difficult
to localize and confirmation by independent studies will be necessary to
establish these findings.
PMID- 18043752
TI - A conceptual framework for mapping quantitative trait Loci regulating ontogenetic
allometry.
AB - Although ontogenetic changes in body shape and its associated allometry has been
studied for over a century, essentially nothing is known about their underlying
genetic and developmental mechanisms. One of the reasons for this ignorance is
the unavailability of a conceptual framework to formulate the experimental design
for data collection and statistical models for data analyses. We developed a
framework model for unraveling the genetic machinery for ontogenetic changes of
allometry. The model incorporates the mathematical aspects of ontogenetic growth
and allometry into a maximum likelihood framework for quantitative trait locus
(QTL) mapping. As a quantitative platform, the model allows for the testing of a
number of biologically meaningful hypotheses to explore the pleiotropic basis of
the QTL that regulate ontogeny and allometry. Simulation studies and real data
analysis of a live example in soybean have been performed to investigate the
statistical behavior of the model and validate its practical utilization. The
statistical model proposed will help to study the genetic architecture of complex
phenotypes and, therefore, gain better insights into the mechanistic regulation
for developmental patterns and processes in organisms.
PMID- 18043753
TI - An intronic signal for alternative splicing in the human genome.
AB - An important level at which the expression of programmed cell death (PCD) genes
is regulated is alternative splicing. Our previous work identified an intronic
splicing regulatory element in caspase-2 (casp-2) gene. This 100-nucleotide
intronic element, In100, consists of an upstream region containing a decoy 3'
splice site and a downstream region containing binding sites for splicing
repressor PTB. Based on the signal of In100 element in casp-2, we have detected
the In100-like sequences as a family of sequence elements associated with
alternative splicing in the human genome by using computational and experimental
approaches. A survey of human genome reveals the presence of more than four
thousand In100-like elements in 2757 genes. These In100-like elements tend to
locate more frequent in intronic regions than exonic regions. EST analyses
indicate that the presence of In100-like elements correlates with the skipping of
their immediate upstream exons, with 526 genes showing exon skipping in such a
manner. In addition, In100-like elements are found in several human caspase genes
near exons encoding the caspase active domain. RT-PCR experiments show that these
caspase genes indeed undergo alternative splicing in a pattern predicted to
affect their functional activity. Together, these results suggest that the In100
like elements represent a family of intronic signals for alternative splicing in
the human genome.
PMID- 18043754
TI - Brain responses to violet, blue, and green monochromatic light exposures in
humans: prominent role of blue light and the brainstem.
AB - BACKGROUND: Relatively long duration retinal light exposure elicits nonvisual
responses in humans, including modulation of alertness and cognition. These
responses are thought to be mediated in part by melanopsin-expressing retinal
ganglion cells which are more sensitive to blue light than violet or green light.
The contribution of the melanopsin system and the brain mechanisms involved in
the establishment of such responses to light remain to be established.
METHODOLOGY/PRINCIPAL FINDINGS: We exposed 15 participants to short duration (50
s) monochromatic violet (430 nm), blue (473 nm), and green (527 nm) light
exposures of equal photon flux (10(13)ph/cm(2)/s) while they were performing a
working memory task in fMRI. At light onset, blue light, as compared to green
light, increased activity in the left hippocampus, left thalamus, and right
amygdala. During the task, blue light, as compared to violet light, increased
activity in the left middle frontal gyrus, left thalamus and a bilateral area of
the brainstem consistent with activation of the locus coeruleus.
CONCLUSION/SIGNIFICANCE: These results support a prominent contribution of
melanopsin-expressing retinal ganglion cells to brain responses to light within
the very first seconds of an exposure. The results also demonstrate the
implication of the brainstem in mediating these responses in humans and speak for
a broad involvement of light in the regulation of brain function.
PMID- 18043755
TI - A tale of four stories: soil ecology, theory, evolution and the publication
system.
AB - BACKGROUND: Soil ecology has produced a huge corpus of results on relations
between soil organisms, ecosystem processes controlled by these organisms and
links between belowground and aboveground processes. However, some soil
scientists think that soil ecology is short of modelling and evolutionary
approaches and has developed too independently from general ecology. We have
tested quantitatively these hypotheses through a bibliographic study (about 23000
articles) comparing soil ecology journals, generalist ecology journals,
evolutionary ecology journals and theoretical ecology journals. FINDINGS: We have
shown that soil ecology is not well represented in generalist ecology journals
and that soil ecologists poorly use modelling and evolutionary approaches.
Moreover, the articles published by a typical soil ecology journal (Soil Biology
and Biochemistry) are cited by and cite low percentages of articles published in
generalist ecology journals, evolutionary ecology journals and theoretical
ecology journals. CONCLUSION: This confirms our hypotheses and suggests that soil
ecology would benefit from an effort towards modelling and evolutionary
approaches. This effort should promote the building of a general conceptual
framework for soil ecology and bridges between soil ecology and general ecology.
We give some historical reasons for the parsimonious use of modelling and
evolutionary approaches by soil ecologists. We finally suggest that a publication
system that classifies journals according to their Impact Factors and their level
of generality is probably inadequate to integrate "particularity" (empirical
observations) and "generality" (general theories), which is the goal of all
natural sciences. Such a system might also be particularly detrimental to the
development of a science such as ecology that is intrinsically multidisciplinary.
PMID- 18043756
TI - Mosaic genome architecture of the Anopheles gambiae species complex.
AB - BACKGROUND: Attempts over the last three decades to reconstruct the phylogenetic
history of the Anopheles gambiae species complex have been important for
developing better strategies to control malaria transmission. METHODOLOGY: We
used fingerprint genotyping data from 414 field-collected female mosquitoes at 42
microsatellite loci to infer the evolutionary relationships of four species in
the A. gambiae complex, the two major malaria vectors A. gambiae sensu stricto
(A. gambiae s.s.) and A. arabiensis, as well as two minor vectors, A. merus and
A. melas. PRINCIPAL FINDINGS: We identify six taxonomic units, including a clear
separation of West and East Africa A. gambiae s.s. S molecular forms. We show
that the phylogenetic relationships vary widely between different genomic
regions, thus demonstrating the mosaic nature of the genome of these species. The
two major malaria vectors are closely related and closer to A. merus than to A.
melas at the genome-wide level, which is also true if only autosomes are
considered. However, within the Xag inversion region of the X chromosome, the M
and two S molecular forms are most similar to A. merus. Near the X centromere,
outside the Xag region, the two S forms are highly dissimilar to the other taxa.
Furthermore, our data suggest that the centromeric region of chromosome 3 is a
strong discriminator between the major and minor malaria vectors. CONCLUSIONS:
Although further studies are needed to elucidate the basis of the phylogenetic
variation among the different regions of the genome, the preponderance of
sympatric admixtures among taxa strongly favor introgression of different genomic
regions between species, rather than lineage sorting of ancestral polymorphism,
as a possible mechanism.
PMID- 18043757
TI - Active hippocampal networks undergo spontaneous synaptic modification.
AB - The brain is self-writable; as the brain voluntarily adapts itself to a changing
environment, the neural circuitry rearranges its functional connectivity by
referring to its own activity. How the internal activity modifies synaptic
weights is largely unknown, however. Here we report that spontaneous activity
causes complex reorganization of synaptic connectivity without any external (or
artificial) stimuli. Under physiologically relevant ionic conditions, CA3
pyramidal cells in hippocampal slices displayed spontaneous spikes with bistable
slow oscillations of membrane potential, alternating between the so-called UP and
DOWN states. The generation of slow oscillations did not require fast synaptic
transmission, but their patterns were coordinated by local circuit activity. In
the course of generating spontaneous activity, individual neurons acquired
bidirectional long-lasting synaptic modification. The spontaneous synaptic
plasticity depended on a rise in intracellular calcium concentrations of
postsynaptic cells, but not on NMDA receptor activity. The direction and amount
of the plasticity varied depending on slow oscillation patterns and synapse
locations, and thus, they were diverse in a network. Once this global synaptic
refinement occurred, the same neurons now displayed different patterns of
spontaneous activity, which in turn exhibited different levels of synaptic
plasticity. Thus, active networks continuously update their internal states
through ongoing synaptic plasticity. With computational simulations, we suggest
that with this slow oscillation-induced plasticity, a recurrent network converges
on a more specific state, compared to that with spike timing-dependent plasticity
alone.
PMID- 18043758
TI - Potent activity of the HIV-1 maturation inhibitor bevirimat in SCID-hu Thy/Liv
mice.
AB - BACKGROUND: The HIV-1 maturation inhibitor, 3-O-(3',3'-dimethylsuccinyl)
betulinic acid (bevirimat, PA-457) is a promising drug candidate with 10 nM in
vitro antiviral activity against multiple wild-type (WT) and drug-resistant HIV-1
isolates. Bevirimat has a novel mechanism of action, specifically inhibiting
cleavage of spacer peptide 1 (SP1) from the C-terminus of capsid which results in
defective core condensation. METHODS AND FINDINGS: Oral administration of
bevirimat to HIV-1-infected SCID-hu Thy/Liv mice reduced viral RNA by >2 log(10)
and protected immature and mature T cells from virus-mediated depletion. This
activity was observed at plasma concentrations that are achievable in humans
after oral dosing, and bevirimat was active up to 3 days after inoculation with
both WT HIV-1 and an AZT-resistant HIV-1 clinical isolate. Consistent with its
mechanism of action, bevirimat caused a dose-dependent inhibition of capsid-SP1
cleavage in HIV-1-infected human thymocytes obtained from these mice. HIV-1 NL4-3
with an alanine-to-valine substitution at the N-terminus of SP1 (SP1/A1V), which
is resistant to bevirimat in vitro, was also resistant to bevirimat treatment in
the mice, and SP1/AIV had replication and thymocyte kinetics similar to that of
WT NL4-3 with no evidence of fitness impairment in in vivo competition assays.
Interestingly, protease inhibitor-resistant HIV-1 with impaired capsid-SP1
cleavage was hypersensitive to bevirimat in vitro with a 50% inhibitory
concentration 140 times lower than for WT HIV-1. CONCLUSIONS: These results
support further clinical development of this first-in-class maturation inhibitor
and confirm the usefulness of the SCID-hu Thy/Liv model for evaluation of in vivo
antiretroviral efficacy, drug resistance, and viral fitness.
PMID- 18043759
TI - Transcriptional networks in S. cerevisiae linked to an accumulation of base
excision repair intermediates.
AB - Upon exposure to DNA damaging agents, Saccharomyces cerevisiae respond by
activating a massive transcriptional program that reflects the fact that "DNA
damaging" agents also damage other cellular macromolecules. To identify the
transcriptional response that is specific to DNA damage, we have modulated the
first two enzymes in the base excision repair (BER) pathway generating yeast
strains with varied levels of the repair intermediates, abasic sites or strand
breaks. We show that the number of abasic sites is significantly increased when
the 3-methyladenine DNA glycosylase (Mag): AP endonuclease (Apn1) ratio is
increased and that spontaneous frame shift mutation is considerably elevated when
either Mag, or Mag plus Apn1, expression is elevated. Expression profiling
identified 633 ORFs with differential expression associated with BER modulation.
Analysis of transcriptional networks associated with the accumulation of DNA
repair intermediates identifies an enrichment for numerous biological processes.
Moreover, most of the BER-activated transcriptional response was independent of
the classical yeast environmental stress response (ESR). This study highlights
that DNA damage in the form of abasic sites or strand breaks resulting from BER
modulation is a trigger for substantial genome-wide change and that this response
is largely ESR-independent. Taken together, these results suggest that a branch
point exists in the current model for DNA damage-signaled transcription in S.
cerevisiae.
PMID- 18043760
TI - The effect of predictability on subjective duration.
AB - Events can sometimes appear longer or shorter in duration than other events of
equal length. For example, in a repeated presentation of auditory or visual
stimuli, an unexpected object of equivalent duration appears to last longer.
Illusions of duration distortion beg an important question of time
representation: when durations dilate or contract, does time in general slow down
or speed up during that moment? In other words, what entailments do duration
distortions have with respect to other timing judgments? We here show that when a
sound or visual flicker is presented in conjunction with an unexpected visual
stimulus, neither the pitch of the sound nor the frequency of the flicker is
affected by the apparent duration dilation. This demonstrates that subjective
time in general is not slowed; instead, duration judgments can be manipulated
with no concurrent impact on other temporal judgments. Like spatial vision, time
perception appears to be underpinned by a collaboration of separate neural
mechanisms that usually work in concert but are separable. We further show that
the duration dilation of an unexpected stimulus is not enhanced by increasing its
saliency, suggesting that the effect is more closely related to prediction
violation than enhanced attention. Finally, duration distortions induced by
violations of progressive number sequences implicate the involvement of high
level predictability, suggesting the involvement of areas higher than primary
visual cortex. We suggest that duration distortions can be understood in terms of
repetition suppression, in which neural responses to repeated stimuli are
diminished.
PMID- 18043761
TI - Identification of novel Drosophila melanogaster microRNAs.
AB - MicroRNAs (miRNAs) are small non-coding RNAs with important regulatory roles in
post-transcriptional regulation of metazoan development, homeostasis and disease.
The full set of miRNAs is not known for any species and it is believed that many
await discovery. The recent assembly of 15 insect genomes has provided the
opportunity to identify novel miRNAs in the fruit fly, Drosophila melanogaster.
We have performed a computational screen for novel microRNAs in Drosophila
melanogaster by searching for phylogenetically conserved putative pre-miRNA
structures. The ability of predicted novel miRNA precursors to be processed to
produce miRNAs was experimentally verified in S2 cells and in several cases their
endogenous expression at was validated by Northern blots. After experimental
validation, the predictions were cross-checked with reference to a newly released
set of small RNA sequences. Combining both datasets allowed us to identify 53
novel miRNA loci in the fruit fly genome 22 of which we had predicted
computationally. This significantly expands the set of known miRNAs in Drosophila
melanogaster. Most novel miRNAs contain unique seed sequences not found in other
Drosophila miRNAs and are therefore expected to regulate novel sets of target
genes. This data provides the basis for future genetic analysis of miRNA function
and will aid the discovery of orthologous sequences in other species.
PMID- 18043762
TI - How to increase serotonin in the human brain without drugs.
PMID- 18043763
TI - Dopaminergic regulation of limbic-striatal interplay.
AB - Neurochemical, electrophysiological and behavioural evidence indicates that
certain forms of goal-directed behaviours are mediated by complex and reciprocal
interactions between limbic and dopamine (DA) inputs in the nucleus accumbens
(NAc). Mesoaccumbens DA transmission appears to be compartmentalized; synaptic DA
transmission is mediated by phasic burst firing of DA neurons, whereas
extrasynaptic tonic DA levels are regulated by DA neuron population activity and
limbic glutamatergic inputs to the NAc. DA release facilitated by limbic inputs
and acting on D1 receptors can either potentiate or suppress neural activity
driven by separate limbic inputs converging on the same postsynaptic NAc neurons.
In turn, D1 receptors in the NAc mediate accuracy of search behaviour regulated
by hippocampal-ventral striatal circuitries; D2 receptors appear to mediate
motivational aspects of task performance. These findings suggest that
dopaminergic modulation of limbic afferents to the NAc may be a cellular
mechanism for input selection that governs the smooth coordination of behaviour
by permitting information processed by one limbic region to temporarily exert
control over the type and intensity of adaptive behavioural responses.
PMID- 18043764
TI - Association of alpha4beta2 nicotinic receptor and heavy smoking in schizophrenia.
AB - INTRODUCTION: Previously we suggested that the CHRNA7 polymorphism in nicotinic
receptor genes, in particular the D15S1360 in CHRNA7, is associated with smoking
in schizophrenia. Schizophrenia patients are usually heavy smokers. In this study
we hypothesized that high-affinity nicotinic receptors are associated with
smoking in such patients. OBJECTIVE: To investigate the role of alpha4 (Ch 20)
and beta2 (Ch 1) genes in conferring a risk for smoking and for smoking a large
number of cigarettes daily in subjects with schizophrenia. METHODS: Our study
sample consisted of 241 white European schizophrenia patients (157 smokers and 84
nonsmokers) from the Toronto area. Current smoking status was assessed by the
medical history. We investigated 4 markers located in the CHRNA4 gene and 3
markers located in the CHRNB2 gene. RESULTS: There was no difference in age or
ethnicity between the 2 groups and the population was not stratified
(lambda=0.4527). We found a significant association between the CHRNA4 rs3746372
allele 1 and a large number of cigarettes smoked daily (p=0.0203). The intragenic
interaction between rs3787116 and rs3746372 (p = 0.0050) in CHRNA4 showed a
significant interaction for the number of cigarettes smoked. CONCLUSION: Although
our findings suggest an association between rs3746372 allele 1 and heavy smoking,
further study is warranted to investigate the relation between smoking and high
affinity nicotinic receptor genes in schizophrenia.
PMID- 18043765
TI - Corpus callosum abnormalities in women with borderline personality disorder and
comorbid attention-deficit hyperactivity disorder.
AB - OBJECTIVE: Decreased brain volumes in prefrontal, limbic and parietal areas have
been found in women with borderline personality disorder (BPD). Recent models
suggest impaired structural and functional connectivity in this condition. To
investigate this, we studied the thickness of the corpus callosum, the largest
connecting fibre bundle in the human brain. METHODS: We acquired magnetic
resonance imaging scans from 20 healthy women and 20 women with BPD and comorbid
attention-deficit hyperactivity disorder. A novel computational mesh-based method
was applied to measure callosal thickness at high spatial resolution. RESULTS:
Women with BPD had a thinner isthmus of the corpus callosum, compared with
healthy women. In the patient group, a history of childhood sexual abuse was
associated with a thinner posterior body of the corpus callosum. CONCLUSION:
Interhemispheric structural connectivity involving parietal and temporal areas
may be impaired in women with BPD and comorbid attention-deficit hyperactivity
disorder.
PMID- 18043766
TI - Amygdala reactivity to masked negative faces is associated with automatic
judgmental bias in major depression: a 3 T fMRI study.
AB - OBJECTIVE: In a previous study, we demonstrated that amygdala reactivity to
masked negative facial emotions predicts negative judgmental bias in healthy
subjects. In the present study, we extended the paradigm to a sample of 35
inpatients suffering from depression to investigate the effect of amygdala
reactivity on automatic negative judgmental bias and clinical characteristics in
depression. METHODS: Amygdala activity was recorded in response to masked
displays of angry, sad and happy facial expressions by means of functional
magnetic resonance imaging at 3 T. In a subsequent experiment, the patients
performed an affective priming task that characterizes automatic emotion
processing by investigating the biasing effect of subliminally presented
emotional faces on evaluative ratings to subsequently presented neutral stimuli.
RESULTS: Significant associations between (right) amygdala reactivity and
automatic negative judgmental bias were replicated in our patient sample (r=
0.59, p<0.001). Further, negatively biased evaluative processing was associated
with severity and longer course of illness (r=-0.57, p=0.001). CONCLUSION:
Amygdala hyperactivity is a neural substrate of negatively biased automatic
emotion processing that could be a determinant for a more severe disease course.
PMID- 18043767
TI - In vivo measurements of brain trapping of C-labelled alpha-methyl-L-tryptophan
during acute changes in mood states.
AB - BACKGROUND: Little is known about the specific contribution of serotonin (5-HT)
to the neurobiology of emotion and mood in healthy people. In an exploratory
study, we sought to investigate the effect of rapid and sustained changes of
emotional state on the trapping of 11C-labelled alpha-methyl-L-tryptophan (11C
alphaMtrp) used as a proxy of 5-HT synthesis, using positron emission tomography
(PET). METHOD: In a within-subject repeated-measure design, participants recalled
autobiographical memories to self-induce sadness, happiness and a neutral
emotional state during scanning to measure brain trapping of 11C-alphaMtrp. Three
separate scan acquisitions, counterbalanced for order across subjects, took place
at the McConnell Brain Imaging Center, Montreal. RESULTS: Whole brain analysis
revealed positive and negative correlations between experienced levels of
emotions and 11C-alphaMtrp trapping in the right anterior cingulate cortex.
CONCLUSION: These findings point to a mechanism whereby state-related changes in
a proxy of 5-HT synthesis underscore aspects of the self-regulation of normal
mood.
PMID- 18043770
TI - Sitting on the edge: when to treat symptoms of inattention without the full DSM
IV criteria of ADHD.
PMID- 18043768
TI - Malondialdehyde levels in adult attention-deficit hyperactivity disorder.
AB - OBJECTIVE: To evaluate the biochemical basis of adult attention-deficit
hyperactivity disorder (A-ADHD), we compared lipid peroxidation status in the
plasma of A-ADHD patients, and that of control subjects without A-ADHD by
quantifying the levels of malondialdehyde (MDA), an end product of fatty acid
oxidation. We aimed to examine the association between MDA and A-ADHD. METHOD:
The study comprised 20 A-ADHD patients from Gaziantep University Sahinbey
Research Hospital Psychiatry Clinic, diagnosed by 2 psychiatrists (H.A.S. and
S.S.) according to the Turkish version of the adult ADD/ADHD DSM-IV-Based
Diagnostic Screening and Rating Scale, and 21 healthy volunteers. Malondialdehyde
levels were measured in plasma samples of both study groups. RESULTS: The mean
(standard deviation [SD]) MDA levels in patients (2.44 [0.84] nmol/mL) were
significantly higher than those of control subjects (0.36 [0.20] nmol/mL)
(t=11.013, df=39, p<0.01). MDA levels were correlated with overall number of
criteria met (n=20, p=0.01, Ro=0.56) and total hyperactivity/impulsivity score
(n=20, p=0.02, Ro=0.51). CONCLUSION: The fact that MDA levels were increased in A
ADHD could be an indication of increased oxidative stress in this disease. We
suggest that such changes may have a pathological role in A-ADHD. This is the
first study evaluating the MDA levels in A-ADHD, and our findings may provide a
scientific guide for the further clinical enzymologic and biochemical studies on
this disorder.
PMID- 18043771
TI - Reducing the impact of stroke.
PMID- 18043772
TI - Management of stroke in general practice.
AB - Between April 2002 and March 2007, there were 1171 consultations where stroke was
managed, occurring at over 2 per 1000 encounters. This suggests that general
practitioners manage stroke on about 237 000 occasions nationally each year.
PMID- 18043773
TI - Time is brain--acute stroke management.
AB - BACKGROUND: In recent years, four specific strategies have emerged which have
proven clinical benefit in treating acute stroke. These are the administration of
tissue plasminogen activator (tPA), aspirin, management in a stroke care unit
(SCU) and the use of hemicraniectomy in patients with severe cerebral oedema.
OBJECTIVE: This article discusses current management strategies and evidence with
emphasis on the role of the general practitioner in initial diagnosis and
hospitalisation. DISCUSSION: Although tPA has been shown to be remarkably
clinically effective, less than 5% of eligible stroke patients receive this
therapy. The main obstacle is its very narrow therapeutic time window of 3 hours.
This necessitates the immediate recognition of stroke and rapid transfer to
hospital. Computerised tomography is mandatory to rule out cerebral haemorrhage.
Starting aspirin within 48 hours of stroke onset in patients with ischaemic
stroke results in a significant reduction in mortality and morbidity. Management
in a SCU is the most useful intervention with significant reductions in mortality
and morbidity for all stroke subtypes. The management of stroke is changing, and
the role of the GP is crucial in facilitating the rapid transfer of patients to a
SCU together with subsequent risk factor control and community support.
PMID- 18043774
TI - Stroke in children.
AB - BACKGROUND: Stroke is a major cause of disability and death in children. It can
have devastating consequences for families and enormous costs to society.
Although considered rare, stroke is more common in children than brain tumours.
Ten percent of children suffering stroke will die as a result, and at least 50%
of survivors are left with significant neurological disabilities, learning
difficulties or seizures. OBJECTIVE: This article discusses risk factors,
investigation, management and outcomes of ischaemic and haemorrhagic stroke in
neonates and children. DISCUSSION: Arteriopathies and cardiac disease are the
commonest risk factors for childhood arterial ischaemic stroke (AIS). The cause
of perinatal AIS is poorly understood, despite affecting 1 in 4000 newborns.
Sinovenous thrombosis is commonly associated with head and neck infections, and
haemorrhagic stroke with arterio-venous malformations. Magnetic resonance imaging
is the diagnostic investigation of choice. Treatment recommendations are
extrapolated from adults due to a lack of prospective interventional studies.
PMID- 18043775
TI - Acute stroke patients--early hospital management.
AB - BACKGROUND: Patients with acute stroke have improved outcomes when managed in a
stroke unit providing multidisciplinary care, including early rehabilitation.
OBJECTIVE: This article provides an overview of early hospital management and
rehabilitation for stroke patients. DISCUSSION: Stroke and transient ischaemic
attack are medical emergencies. Both have time dependent therapy and the risk of
a recurrent event is highest in the hours to days after the initial event.
Important aspects of early hospital management include: rapid confirmation of the
stroke diagnosis with computerised tomography or magnetic resonance imaging,
urgent investigations for the cause of stroke, acute therapy, early institution
of specific secondary prevention strategies, comprehensive risk factor management
including antihypertensive therapy, early rehabilitation, and discharge planning.
Investigation and management needs to be tailored to the individual patient,
taking into account presentation and comorbidities.
PMID- 18043776
TI - Community care after stroke.
AB - BACKGROUND: The key to life after stroke is to harness the best secondary
preventive strategies and maximise functional outcome. OBJECTIVE: This article
discusses key assessment and secondary prevention strategies for the initial, and
subsequent, general practitioner visits by patients poststroke. DISCUSSION: Key
to successful community medical treatment is an accurate diagnosis of the index
event, as secondary preventive strategies differ depending on the pathology of
stroke. There are hundreds of evidence based rehabilitation strategies to help
improve aspects of stroke disability, and there is now good evidence to be more
optimistic about brain recovery. Rehabilitation strategies can be effective after
hospital discharge, and can help improve function should this deteriorate long
after the initial stroke. Sadly, some people are left very disabled after stroke.
When there is no prospect of further functional recovery, priorities should
switch to overseeing complex care plans and providing appropriate comfort
measures.
PMID- 18043777
TI - Stroke resources for GPs.
PMID- 18043778
TI - Complementary therapies for cerebrovascular disease.
AB - Cardiovascular disease (CVD) is still the major cause of death in Australia,
although it is slowly being overtaken by cancer with regard to mortality, and
depression with regard to total burden of disease. There are many well known
medical and surgical options for managing CVD but, as with other chronic
conditions, an increasing number of people are exploring complementary therapies
as an adjunct to medical treatment. This article explores some of the issues and
therapies relating to cerebrovascular disease.
PMID- 18043779
TI - The prescribing dilemma of benzodiazepines.
AB - BACKGROUND: Benzodiazepines are the most commonly prescribed psychoactive drug in
western societies. While associated with risk and harm, they have a legitimate
place in therapeutics. Prescribing practice does not reflect guidelines and
guidelines rarely provide the practical strategies required to manage the complex
clinical management of conditions such as anxiety, insomnia and drug dependence.
OBJECTIVE: This article proposes a model for rational prescribing of
benzodiazepines that may be transferable to other therapeutic situations
requiring the consideration of complex health, social and system factors.
DISCUSSION: Benzodiazepines, like all psychoactive drugs, have their 'good',
'bad' and 'ugly' side. Prescribing decisions are complex along the spectrum of
use. Many subtle factors influence how each of us prescribe such as our knowledge
of our patients, their medical histories, their personal situations and the
individual doctor-patient relationship.
PMID- 18043780
TI - Oral corticosteroids--their place in the management of adhesive capsulitis.
AB - The incidence of intrinsic disorders of the shoulder presenting in general
practice has been estimated to be 14.7/1000 per year with adhesive capsulitis
(also termed frozen shoulder, stiff painful shoulder or periarthritis) accounting
for around 20% of cases (incidence 2.4/1000 per year), but occurring more
frequently in the middle aged and those with diabetes. The aetiology of
pathological findings of intra-articular inflammation and fibrosis is not known.
Adhesive capsulitis is diagnosed clinically based on characteristic symptoms and
signs: spontaneous onset of severe shoulder pain that interferes with activities
of daily living and sleep, and progressive stiffness or restriction of both
active and passive movements, especially elevation and external rotation.
PMID- 18043781
TI - Diagnostic dilemmas in unusual presentations of gout.
AB - BACKGROUND: Gout is known to mimic conditions as diverse as joint and soft tissue
infections, skin malignancies, nerve compression syndromes and soft tissue
tumours. Upper limb involvement is unusual. OBJECTIVE: We discuss four cases of
gout in the hand and wrist masquerading as a soft tissue tumour, nodular extensor
tenosynovitis, septic arthritis of the wrist and acute and chronic median nerve
compression. These cases illustrate that gout in the hand and wrist can resemble
more sinister conditions, often posing a diagnostic challenge even to the
experienced clinician. DISCUSSION: In the management of hand and wrist pain,
swelling and neuropathy, emphasis must be placed on actively excluding limb and
life threatening conditions such as septic arthritis and neoplasia. Laboratory
and radiological investigations cannot accurately distinguish these pathologies
from gout. Invasive surgical exploration or tissue sampling is often needed to
establish the correct diagnosis.
PMID- 18043782
TI - Impacts of alcohol use in pregnancy--the role of the GP.
AB - BACKGROUND: Fetal alcohol syndrome (FAS) is a preventable cause of developmental
delay and growth failure. OBJECTIVE: This article discusses the clinical features
of fetal alcohol spectrum disorders (FASD) and the role of the general
practitioner in prevention and management. DISCUSSION: Early diagnosis of and
intervention for problems associated with FAS reduce adverse long term outcomes.
Most health professionals have limited knowledge of FASD and lack confidence in
the diagnosis and management of children with FASD. General practitioners have an
important role in identifying women and children at risk of harm from alcohol and
arranging referral for assessment and management when necessary. Educational
materials for health professionals are currently under development.
PMID- 18043783
TI - Failure to follow up CT reports.
AB - Case histories are based on actual medical negligence claims or medicolegal
referrals, however certain facts have been omitted or changed by the author to
ensure the anonymity of the parties involved. A failure to follow up test results
is a common underlying cause of medical negligence claims and complaints
involving general practitioners. This article examines a case in which an
incidental finding of an aneurysm on cerebral computerised tomography scan was
not followed up with disastrous consequences for the patient.
PMID- 18043784
TI - One family's stroke experience.
AB - Eilish Rose Jukes was a healthy 3 1/2 year old. She contracted chicken pox mid
April 2005 and recovered unremarkably, although her attention span and behaviour
were out of character afterward.
PMID- 18043785
TI - Occupational violence in general practice.
AB - The risk of occupational violence is a cause for considerable concern in
Australian general practice. Emerging evidence from Australian general practice
is consistent with evidence from the United Kingdom that occupational violence is
common and has important effects on practitioner welfare and delivery of care.
This article provides an overview of the evidence of prevalence and impact of
violence directed against GPs as a context for measures to increase the safety of
GPs and their staff.
PMID- 18043786
TI - An audit of INR control in the Australian indigenous setting.
AB - BACKGROUND: Warfarin management can be difficult; many factors can impact on INR
control with some factors being unique to the Australian indigenous setting.
METHODS: An audit at an urban Aboriginal community controlled health service
calculated the time all patients on warfarin were in the target INR therapeutic
range. Those patients with the best and the worst values for time in therapeutic
range (TTR) were then compared. RESULTS: The 26 identified patients on warfarin
were in the target INR therapeutic range 44.9% of the time. Patients with better
INR control were older than those with the worst control. There appeared to be no
difference between the two groups when comparing other factors. DISCUSSION: INR
control was below the cited benchmark for TTR of 60%. However, this may be better
than expected in this clinical setting. The small number of patients included in
the audit means that any discussion of the causes of better and poorer control
must be treated with caution.
PMID- 18043787
TI - Promoting patient centred palliative care through case conferencing.
AB - BACKGROUND: What are the characteristics of case conferences between general
practitioners and specialised palliative care services (SPCS)? METHODS: Study
participants were adults (N=461) with pain in the preceding 3 months who were
referred to a SPCS and their GPs (N=230). Patients were randomised to case
conferences or routine care by SPCS. RESULTS: One hundred and sixty-seven
conferences were held; 46 patients withdrew and 142 died before the conference
could be conducted. Medicare payment was requested for 72 (43%) conferences.
Median time from randomisation to case conference was 52 days (SD: 55), and from
case conference to death/end of study was 79 days (SD: 166). Twenty-five percent
of conferences had over three health professionals participant; patients and/or
their caregivers participated in 91%. Average conference duration was 39 minutes
(SD: 13). Mean conference length did not increase when more health professionals
were present (3 vs. >3, 39 [SD: 14] vs. 42 [SD 11] minutes, p=0.274), nor when
patients/caregivers were present (present vs. absent, 39 [SD: 13] vs. 44 [SD: 14]
minutes, p=0.159). DISCUSSION: Case conferencing involving SPCS, the GP, other
health professionals and the patient can be an efficient part of routine care.
PMID- 18043788
TI - The psychosocial experience of women with PCOS--a case control study.
AB - Polycystic ovary syndrome (PCOS) is a common endocrine disorder affecting 5-10%
of women. It is characterised by androgenisation and anovulation, with sufferers
being at increased risk of metabolic problems such as noninsulin dependent
diabetes mellitus and dyslipidaemia. An increased risk of cardiovascular disease
is speculated. Clinically, sufferers may experience acne, obesity, hirsutism
and/or male pattern baldness.
PMID- 18043789
TI - A risk score to diagnose depression in general practice.
AB - BACKGROUND: Depression is a common mental health problem often seen in primary
care. This study sought to determine the accuracy of five screening questions for
diagnosing common mental disorders in a general practice clinic and to develop a
risk score. METHODS: Three hundred and fifty patients not taking psychotropic
drugs were evaluated using a combination of screening and confirmatory questions,
a total symptom score, and a points system (employing multivariate statistical
models) to quantify the ability of each question to detect a common mental
disorder. RESULTS: Different screening and confirmatory strategies resulted in
different sensitivities and specificities. There was good agreement between the
risk estimate produced by the point system and that produced directly by the
multivariate models. DISCUSSION: The point system developed to diagnose
depression in the primary care setting is an alternative approach to currently
available screening methods. It is easy to use but requires changes to the
physician's approach to diagnostic certainty. The study needs to be replicated
and the approach refined.
PMID- 18043790
TI - Cancer education and training in primary health care--a national audit of
training providers.
AB - BACKGROUND: Primary care professionals play a critical role in cancer care but
relatively little is known about their education and training. This article
presents the results of a national audit of education and training providers in
relation to primary care and cancer. METHOD: A semistructured telephone
questionnaire. RESULTS: The response rate was very high (96%) with 210
organisations participating. Forty-two percent provided cancer education and
training. Evidence of good adult education practice was demonstrated, and 95% of
organisations ran accredited programs. Although pharmaceutical industry support
was not favoured, the majority (78%) described this as their main source of
funding. DISCUSSION: There is optimism and strong commitment among primary care
cancer education and training providers. Their content seems appropriate and
their approach is consistent with good adult learning principles and
multidisciplinary care, but this could be enhanced with increased funding and
improved collaboration and communication between organisations.
PMID- 18043791
TI - Generation, basic chemistry, and detection of N-nitrosotryptophan derivatives.
AB - N-Terminal blocked tryptophan derivatives like melatonin or tryptophan residues
in peptides are easily nitrosated at the nitrogen atom of the indole ring to give
the corresponding N-nitrosotryptophan derivatives. This article provides a
comprehensive view of the synthesis, chemical properties, and detection methods
of this class of N-nitroso compounds of potential importance in biological
systems.
PMID- 18043793
TI - Nucleophilic activity of a linked bis{guanidine} leading to formation of a
dicationic C4N4-heterocycle.
AB - The methylene-linked bis{guanidine}, H(2)C{hpp}(2) (hppH = 1,3,4,6,7,8-hexahydro
2H-pyrimido[1,2-a]pyrimidine), displays nucleophilic activity towards organic
halides, including the activation of dichloromethane under ambient conditions
affording the cyclic dication, [H(2)C{hpp}(2)CH(2)](2+)[Cl](2).
PMID- 18043792
TI - Alpha-substituted organic peroxides: synthetic strategies for a biologically
important class of gem-dihydroperoxide and perketal derivatives.
AB - In this paper we review the recent developments in the synthesis of alpha
substituted hydroperoxides. Alpha-substituted hydroperoxides are interesting
compounds due to their chemistry and bioactivity and as intermediates for the
synthesis of other peroxides, of which cyclic peroxides are of major importance.
Although the emphasis of this report will be on the derivatives of gem
dihydroperoxides, perketals, as well as the less studied nitrogen and sulfur
derivatives, will also be covered.
PMID- 18043794
TI - Chemical properties of fatty acid derivatives as inhibitors of DNA polymerases.
AB - In this study, the chemical properties of organic acids as DNA polymerase
inhibitors were examined. In total, we assayed the inhibitory activities of 23
compounds. We found that the DNA synthesis activity of DNA polymerase was usually
reduced to less than 50% in the presence of 100 microM monoprotic acids, which
have a Clog P value greater than 7.0 and a pK(a) value less than 5.4. With a
minor modification these chemical properties applied to several organic fatty
acids previously reported as DNA polymerase inhibitors. Moreover, we also
examined the inhibitory activities of perfluorooctadecanoic acid (PFOdA) and
perfluorooctanesulfonic acid (PFOS) against DNA polymerase beta in detail. These
compounds inhibited the polymerase activity of pol beta competitively with
template-primer DNA, and non-competitively with dNTPs. In addition, the 8 kDa
domain-defective pol beta was also sensitive to these compounds. Our results
suggest that the inhibitory mode of action of PFOdA and PFOS is different from
that mediated by the classic fatty acid inhibitors against DNA polymerase beta.
PMID- 18043795
TI - Asymmetric synthesis of beta-amino-gamma-substituted-gamma-butyrolactones: double
diastereoselective conjugate addition of homochiral lithium amides to homochiral
alpha,beta-unsaturated esters.
AB - Chiral alpha,beta-unsaturated esters, containing a single, gamma-stereogenic
centre, show modest levels of substrate control upon conjugate addition of
lithium dibenzylamide. Double diastereoselective conjugate additions of
homochiral lithium N-benzyl-N-(alpha-methylbenzyl)amide to the homochiral
alpha,beta-unsaturated esters display "matching" and "mismatching" effects. In
each case, however, these additions proceed under the dominant stereocontrol of
the lithium amide to give the corresponding beta-amino esters in high de. A
remarkable reversal in stereoselectivity is noted by changing the ester
functionality to an oxazolidinone. Subsequent O-deprotection and cyclisation of
the resultant beta-amino adducts gives access to the corresponding beta-amino
gamma-substituted-gamma-butyrolactones in good yield and high de.
PMID- 18043796
TI - Enantioselective Henry reaction catalyzed by a C2-symmetric bis(oxazoline)
Cu(OAc)2.H2O complex.
AB - A C(2)-symmetric diethyl (i)Pr-bis(oxazoline)-Cu(OAc)(2).H(2)O was found to be an
efficient catalyst for catalyzing an enantioselective Henry reaction between
nitromethane and various aldehydes to provide beta-hydroxy nitroalkanes with high
chemical yields (up to 95%) and enantiomeric excesses (up to 97%).
PMID- 18043797
TI - Multi-component orbital interactions during oxyacyl radical addition reactions
involving imines and electron-rich olefins.
AB - Ab initio and DFT calculations reveal that oxyacyl radicals add to imines and
electron-rich olefins through simultaneous SOMO-pi*, SOMO-pi and pi*-HOMO
interactions between the radical and the radicalophile. At the BHandHLYP/aug-cc
pVDZ level, energy barriers of 20.3 and 22.0 kJ mol(-1) are calculated for the
attack of methoxycarbonyl radical at the carbon and nitrogen ends of methanimine,
respectively. In comparison, barriers of 22.0 and 8.6 kJ mol(-1) are calculated
at BHandHLYP/aug-cc-pVDZ for reaction of methoxycarbonyl radical at the 1- and 2
positions in aminoethylene, respectively. Natural bond orbital (NBO) analysis at
the BHandHLYP/6-311G** level of theory reveals that SOMO-pi*, SOMO-pi and pi*-LP
interactions are worth 111, 394 and 55 kJ mol(-1) respectively in the transition
state (8) for reaction of oxyacyl radical at the nitrogen end of methanimine;
similar interactions are observed for the chemistry involving aminoethylene.
These multi-component interactions are responsible for the unusual motion vectors
associated with the transition states involved in these reactions.
PMID- 18043798
TI - Kinetics and mechanism of the aminolysis of aryl ethyl chloro and chlorothio
phosphates with anilines.
AB - The reactions of ethyl Y-phenyl chloro (1) and chlorothio (2) phosphates with X
anilines in acetonitrile at 55.0 degrees C are studied kinetically and
theoretically. Kinetic results yield the primary kinetic isotope effects
(k(H)/k(D) = 1.07-1.80 and 1.06-1.27 for 1 and 2, respectively) with deuterated
aniline (XC(6)H(4)ND(2)) nucleophiles, and the cross-interaction constants
rho(XY) = -0.60 and -0.28 for and , respectively. A concerted mechanism involving
a partial frontside attack through a hydrogen-bonded, four-center-type transition
state is proposed. The large rho(X) (rho(nuc) = -3.1 to -3.4) and beta(X)
(beta(nuc) = 1.1-1.2) values seem to be characteristic of the anilinolysis of
phosphates and thiophosphates with the Cl leaving group. Because of the
relatively large size of the aniline nucleophile, the degree of steric hindrance
could be the decisive factor that determines the direction of the nucleophilic
attack to the phosphate and thiophosphate substrates with the relatively small
sized Cl leaving group.
PMID- 18043799
TI - Nitroxyl radicals: electrochemical redox behaviour and structure-activity
relationships.
AB - Comparative study of electrochemical redox behaviour of five different nitroxyl
radicals leads to the direct correlation between one-electron redox potentials
and group electronegativity of the beta-substituent on the ring. Beta
substituents with an electron-donating effect caused a negative shift in the one
electron oxidation and one-electron reduction potentials of the nitroxyl
radicals. In a similar aspect, beta-substituents with an electron-withdrawing
effect behaved oppositely.
PMID- 18043800
TI - Intramolecular cyclization of beta,beta-difluorostyrenes bearing an iminomethyl
or a diazenyl group at the ortho position: synthesis of 3-fluorinated
isoquinoline and cinnoline derivatives.
AB - o-Formyl-substituted beta,beta-difluorostyrenes readily react with NH(2)OH.HCl or
NH(4)OAc to afford 3-fluoroisoquinoline derivatives in good yield via (i) the
formation of the corresponding oximes or imines and (ii) subsequent
intramolecular replacement of a vinylic fluorine by the sp(2) nitrogen of the
iminomethyl group (HON=CH- or HN=CH-). Beta,beta-Difluorostyrenes bearing an o
diazenyl group (HN=N-), generated by reduction of the corresponding diazonium
ions, undergo a similar substitution to afford 3-fluorinated cinnolines.
PMID- 18043801
TI - Pyrazole carboxamides and carboxylic acids as protein kinase inhibitors in
aberrant eukaryotic signal transduction: induction of growth arrest in MCF-7
cancer cells.
AB - Densely functionalised pyrazole carboxamides and carboxylic acids were
synthesised in an expedient manner through saponification and transamidation,
respectively, of ester-functionalised pyrazoles. This synthetic protocol allowed
for three diversifying steps in which appendages on the pyrazole scaffold were
adjusted to optimise inhibition of protein kinases. Thirty-five analogues were
tested in CK2, AKT1, PKA, PKCalpha, and SAPK2a (p38) kinase inhibition bioassays.
Blocking of these kinases may lead to effective therapies for treating
inflammatory diseases and cancer. In order to investigate potential biological
activity, MCF-7 human breast cancer cells were incubated with the most promising
derivatives. Two analogues caused changes in MCF-7 cell growth, one of them
through cell cycle arrest demonstrated by cell cycle analysis.
PMID- 18043802
TI - Glycosynthase activity of hybrid aspen xyloglucan endo-transglycosylase PttXET16
34 nucleophile mutants.
AB - Glycosynthases are active-site mutants of glycoside hydrolases that catalyse
glycosyl transfer using suitable activated donor substrates without competing
product hydrolysis (S. M. Hancock, M. D. Vaughan and S. G. Withers, Curr. Opin.
Chem. Biol., 2006, 10, 509-519). Site-directed mutagenesis of the catalytic
nucleophile, Glu-85, of a Populus tremula x tremuloides xyloglucan endo
transglycosylase (PttXET16-34, EC 2.4.1.207) into alanine, glycine, and serine
yielded enzymes with glycosynthase activity. Product analysis indicated that
PttXET16-34 E85A in particular was able to catalyse regio- and stereospecific
homo- and hetero-condensations of alpha-xylogluco-oligosaccharyl fluoride donors
XXXGalphaF and XLLGalphaF to produce xyloglucans with regular sidechain
substitution patterns. This substrate promiscuity contrasts that of the Humicola
insolens Cel7B E197A glycosynthase, which was not able to polymerise the di
galactosylated substrate XLLGalphaF. The production of the PttXET16-34 E85A
xyloglucosynthase thus expands the repertoire of glycosynthases to include those
capable of synthesising structurally homogenenous xyloglucans for applications.
PMID- 18043803
TI - Pericosines, antitumour metabolites from the sea hare-derived fungus Periconia
byssoides. Structures and biological activities.
AB - Pericosines A-E 1-5 have been isolated from a strain of Periconia byssoides
originally separated from the sea hare Aplysia kurodai. Among them, pericosines C
3 and E 5 were separated as enantiomeric mixtures. Their stereostructures, except
for compound 1, have been elucidated or identified on the basis of spectroscopic
analyses, including 1D and 2D NMR techniques, and X-ray analysis. In addition,
conformation for all the compounds has been discussed. Compounds 1-3 exhibited
significant growth inhibition against tumour cell lines. Pericosine A 1 also
showed significant in vivo tumour inhibitory activity. In addition, compound
inhibited the protein kinase EGFR and topoisomerase II.
PMID- 18043804
TI - Synthesis, photophysical properties and in vitro photodynamic activity of axially
substituted subphthalocyanines.
AB - A new series of subphthalocyanines substituted axially with an oligoethylene
glycol chain [SPcB(OCH(2)CH(2))(n)OH, n = 3 (2) or 4 (3)] or a p-phenoxy
oligoethylene glycol methyl ether chain [SPcBOC(6)H(4)(OCH(2)CH(2))(n)OCH(3), n =
2 (4) or 3 (5)] have been synthesised by substitution reactions of boron
subphthalocyanine chloride SPcBCl (1) with the corresponding oligoethylene
glycols, and characterised with various spectroscopic methods and elemental
analysis. The molecular structure of one of these compounds (subphthalocyanine 4)
has also been determined. As revealed by absorption spectroscopy, these compounds
are essentially non-aggregated in DMF. The low aggregation tendency of these
compounds results in a strong fluorescence emission and high efficiency to
generate singlet oxygen. All these subphthalocyanines, being formulated with
Cremophor EL, function as efficient photosensitisers and exhibit a high
photocytotoxicity against HepG2 human hepatocarcinoma and HT29 human colon
adenocarcinoma cells. The phenoxy analogues 4 and 5 show a relatively high
photostability and are particularly potent towards these cell lines, with IC(50)
values down to 0.02 microM.
PMID- 18043805
TI - Reactivity and selectivity in the inhibition of elastase by 3-oxo-beta-sultams
and in their hydrolysis.
AB - 3-oxo-beta-sultams are both beta-sultams and beta-lactams and are a novel class
of time-dependent inhibitors of elastase. The inhibition involves formation of a
covalent enzyme-inhibitor adduct with transient stability by acylation of the
active-site serine resulting from substitution at the carbonyl centre of the 3
oxo-beta-sultam, C-N fission, and expulsion of the sulfonamide. The lead
compound, N-benzyl-4,4-dimethyl-3-oxo-beta-sultam 1 is a reasonably potent
inhibitor against porcine pancreatic elastase with a second-order rate constant
of 768 M(-1) s(-1) at pH 6, but also possesses high chemical reactivity with a
half-life for hydrolysis of only 6 mins at the same pH in water. Interestingly,
the hydrolysis of 3-oxo-beta-sultams occurs at the sulfonyl centre with S-N
fission and expulsion of the amide leaving group, whereas the enzyme reaction
occurs at the acyl centre. Increasing selectivity between these two reactive
centres was explored by examining the effect of substituents on the reactivity of
3-oxo-beta-sultam towards hydrolysis and enzyme inhibition. The inhibition
activity against porcine pancreatic elastase has a much higher sensitivity to
substituent variation than does the rate of alkaline hydrolysis. A difference of
2000-fold is observed in the second-order rate constants, k(i), for inhibition
whereas there is only a 100-fold difference in the second-order rate constants,
k(OH), for alkaline hydrolysis within the series. The higher sensitivity of
enzyme inhibition to substituents than that of simple chemical reactivity
indicates a significant degree of molecular recognition of the 3-oxo-beta-sultams
by the enzyme.
PMID- 18043807
TI - Iodine assisted palladium catalyzed ring opening of bicyclic hydrazines with
organoboronic acids: stereoselective synthesis of functionalized cyclopentenes
and alkylidene cyclopentenes.
AB - A novel reactivity of organoboronic acids with bicyclic hydrazines leading to the
stereoselective formation of trans-vicinal disubstituted cyclopentenes in good to
excellent yield is discussed. The reaction of cyclopentadiene and fulvene derived
azabicyclic alkenes with organoboronic acids afforded the trans-3,4-disubstituted
cyclopentenes and alkylidene cyclopentenes in good to excellent yields. The
products, having a broad range of substituents, are important intermediates in
the synthesis of a number of pharmaceutically important molecules.
PMID- 18043806
TI - Experimental and computational evidence for alpha-lactone intermediates in the
addition of aqueous bromine to disodium dimethyl-maleate and -fumarate.
AB - Structural analysis of the bromo-beta-lactones obtained by addition of bromine to
aqueous solutions of disodium 2,3-dimethylmaleate and 2,3-dimethylfumarate
reveals stereochemistries opposite to those originally assigned in 1937: cis
alkene yields erythro lactone, and trans alkene yields threo lactone. B3LYP/6
31+G(d) calculations using a PCM description of aqueous solvation confirm the
validity of our proposed mechanism, in which the first-formed intermediate in
each case is an alpha-lactone. The cyclic bromonium species is not an
intermediate. An alternative pathway leading directly from cis alkene to cis
lactone, via an unusual frontside displacement mechanism, is over 20 kJ mol(-1)
higher in free energy. Hydrolysis of the bromo-beta-lactones yields bromohydrins
whose stereochemistries as determined by X-ray crystallography indicate
stereospecific formation by acyl-oxygen cleavage of the lactone ring, again
contrary to the original view.
PMID- 18043809
TI - Novel enzyme/DNA/inorganic nanomaterials: a new generation of biocatalysts.
AB - The design, synthesis and properties of a new class of enzyme/DNA/inorganic
nanobiomaterials are described here. DNA has been used to stabilize the enzymes
intercalated in the galleries of the inorganic solid, alpha-Zr(iv) phosphate
(alpha-Zr(HPO(4))(2).H(2)O, abbreviated as alpha-ZrP). Interestingly, the
presence of DNA improved the activity and stability of the bound enzymes. Key
studies leading to the current strategy are presented initially, and these are
followed by more recent developments. Several enzymes and proteins, including
horseradish peroxidase, lysozyme, glucose oxidase, chymotrypsin, bovine serum
albumin, cytochrome c, met-hemoglobin and met-myoglobin are successfully
intercalated in the galleries of alpha-ZrP, under benign ambient conditions
(aqueous buffered solutions, at room temperature and neutral pH). These novel
materials are characterized by XRD, SEM and TEM as well as by biochemical,
calorimetric and spectroscopic methods. Spectroscopic studies (circular
dichroism, CD), for example, indicated that co-intercalation of DNA improved the
retention of bound enzyme structure. The activity was enhanced markedly (five
fold) when DNA is co-intercalated, when compared to the activity in the absence
of DNA. Addition of DNA to the sample, after enzyme intercalation, did not make
any improvements. Our hypothesis is that enzyme-DNA supramolecular complex binds
to the solid and the unfavorable interactions between the enzyme and the solid
are minimized. These novel nanobiocomposite materials provide a simple method for
packaging DNA and aid in engineering more effective synthetic materials for
gene/RNA-delivery and drug delivery applications.
PMID- 18043810
TI - Design and understanding of heterogeneous alkene metathesis catalysts.
AB - This review outlines the molecular insights provided by surface organometallic
chemistry in the area of alkene metathesis, and particularly in the development
and the understanding of heterogeneous catalysts.
PMID- 18043811
TI - Phosphinines as ligands in homogeneous catalysis: recent developments, concepts
and perspectives.
AB - Phosphinines belong to an intriguing class of phosphorus-containing heterocycles
with interesting steric, electronic and coordinating properties. This article
focuses on recent developments, concepts and perspectives in the field of
phosphinines and phosphinine-based ligands and their application as ligands in
homogeneous catalytic reactions.
PMID- 18043812
TI - Pd-catalysed methoxycarbonylation of vinylarenes using chiral monodentate
phosphetanes and phospholane as ligands. Effect of substrate substituents on
enantioselectivity.
AB - Palladium complexes bearing phospholane 1 and phosphetane 2-4 ligands have been
synthesised to be used as catalyst precursors in the asymmetric
methoxycarbonylation of vinyl arenes. Single crystals of the complex [PdCl2(2)2]
II were obtained from a toluene solution and analysed by X-ray crystallography.
Using these complexes, excellent regioselectivity (up to 99%) to the branched
esters was obtained. Phosphetane ligands provide higher enantioselectivity than
the phospholane under the same reaction conditions and an important influence of
the substrate was observed. Enantioselectivity up to 50% was obtained using 4
methoxystyrene.
PMID- 18043813
TI - "Solventless" continuous flow homogeneous hydroformylation of 1-octene.
AB - The hydroformylation of 1-octene under continuous flow conditions is described.
The system involves dissolving the catalyst, made in situ from [Rh(acac)(CO)(2)]
(acacH=2,4-pentanedione) and [RMIM][TPPMS] (RMIM=1-propyl (Pr), 1-pentyl (Pn) or
1-octyl (O) -3-methyl imidazolium, TPPMS=Ph(2)P(3-C(6)H(4)SO(3))), in a mixture
of nonanal and 1-octene and passing the substrate, 1-octene, together with CO and
H(2) through the system dissolved in supercritical CO(2) (scCO(2)).
[PrMIM][TPPMS] is poorly soluble in the medium so heavy rhodium leaching (as
complexes not containing phosphine) occurs in the early part of the reaction.
[PnMIM][TPPMS] affords good rates at relatively low catalyst loadings and
relatively low overall pressure (125 bar) with rhodium losses <1 ppm, but the
catalyst precipitates at higher catalyst loadings, leading to lower reaction
rates. [OMIM][TPPMS] is the most soluble ligand and promotes high reaction rates,
although preliminary experiments suggested that rhodium leaching was high at 5-10
ppm. Optimisation aimed at balancing flows so that the level within the reactor
remained constant involved a reactor set up based around a reactor fitted with a
sight glass and sparging stirrer with the CO(2) being fed by a cooled head HPLC
pump, 1-octene by a standard HPLC pump and CO/H(2) through a mass flow
controller. The pressure was controlled by a back pressure regulator. Using this
set up, [OMIM][TPPMS] as the ligand and a total pressure of 140 bar, it was
possible to control the level within the reactor and obtain a turnover frequency
of ca. 180 h(-1). Rhodium losses in the optimised system were 100 ppb. Transport
studies showed that 1-octene is preferentially transported over the aldehydes at
all pressures, although the difference in mol fraction in the mobile phase was
less at lower pressures. Nonanal in the mobile phase suppresses the extraction of
1-octene to some extent, so it is better to operate at high conversion and low
pressure to optimise the extraction of the products relative to the substrate. CO
and H(2) in the mobile phase also suppress the extraction efficiency by as much
as 80%.
PMID- 18043814
TI - Chiral manganese complexes with pinene appended tetradentate ligands as
stereoselective epoxidation catalysts.
AB - A novel family of chiral manganese complexes Lambda-1(CF(3)SO(3)) and Delta
2(CF(3)SO(3)), have been stereoselectively prepared, characterized and studied as
epoxidation catalysts. The complexes are structurally related to
[Mn(II)(CF(3)SO(3))(2)(alpha-MCP)] (MCP=N,N'-dimethyl-N,N'-bis(2
pyridylmethyl)cyclohexane-trans-1,2-diamine), recently reported as a very
efficient epoxidation catalyst in combination with peracetic acid. Pinene rings
have been fused to the 4 and 5 positions of the two pyridine groups of the
ligand, giving rise to complexes where the two labile binding sites of the
manganese ion are confined in a better-defined chiral pocket than in the parent
[Mn(II)(CF(3)SO(3))(2)(alpha-MCP)]. Chirality in these complexes arises from the
stereochemistry of the trans-diaminocyclohexane ring, from the pinene ring and
also from the topological chirality adopted by the ligand upon binding to the
manganese ion. While previous studies have demonstrated that small modifications
in the structure of the MCP ligand result in a dramatic loss of efficiency,
Lambda-1(CF(3)SO(3)) and Delta-2(CF(3)SO(3)) exhibit comparable catalytic
activity to [Mn(II)(CF(3)SO(3))(2)(alpha-MCP)]. In addition, the complexes
exhibit a remarkable stereoselectivity (up to 46% ee) in the epoxidation of
selected substrates. The results reported in this work point towards modification
of the 4 and 5 positions of the pyridine groups as a new strategy towards the
design of stereoselective versions of this family of highly active and
environmentally benign epoxidation catalysts.
PMID- 18043815
TI - Access to well-defined isolated Fe(II) centers on silica and their use in
oxidation.
AB - Well-defined Fe(II) isolated sites are obtained by reaction of diaryl-N,N'
diazadiene bis(neosilyl) iron (1) with an aerosil silica, SiO(2-(700)). This
system can be used as a precursor for the catalytic oxidation of cyclohexene into
cyclohexene oxide, cyclohexenol and cyclohexenone in the presence of H(2)O(2).
PMID- 18043816
TI - Supported ionic liquid phase rhodium nanoparticle hydrogenation catalysts.
AB - Rh(0) nanoparticles (ca. 4 nm) dispersed in an ionic liquid (1-n-butyl-3
methylimidazolium tetrafluoroborate) were immobilized within a silica network,
prepared by the sol-gel method. The effect of the sol-gel catalyst (acid or base)
on the encapsulated ionic liquid and Rh(0) content, on the silica morphology and
texture, and on the catalyst alkene hydrogenation activity was investigated. The
Rh(0) content in the resulting xerogels (ca. 0.1 wt% Rh/SiO(2)) was shown to be
independent of the sol-gel process. However, acidic conditions afforded higher
contents of encapsulated ionic liquid and xerogels with larger pore diameters,
which in turn might be responsible for the higher catalyst activity in
hydrogenation of the alkenes.
PMID- 18043817
TI - On the involvement of NHC carbenes in catalytic reactions by iridium complexes,
nanoparticle and bulk metal dispersed in imidazolium ionic liquids.
AB - D/H exchange reactions at C2, C4 and C5 of the imidazolium cation were observed
in catalytic hydrogenation reactions promoted by classical Ir(I) colloid
precursors and [Ir(0)](n) nanoparticles dispersed in deuterated imidazolium ionic
liquids indicating the participation of carbene species in this media. However,
no D/H exchange reaction was observed in cyclohexene hydrogenation promoted by
iridium bulk metal dispersed in the ionic liquid [BMI]-d(3).NTf(2). The D/H
labeling experiments suggest that the ionic liquids interact with the metal
centers preferentially as aggregates rather than isolated ions.
PMID- 18043818
TI - Lithium complexes supported by amine bis-phenolate ligands as efficient catalysts
for ring-opening polymerization of L-lactide.
AB - Lithium complexes bearing dianionic amine bis(phenolate) ligands are described.
Reactions of ligand precursors H(2)O(2)NN(Me), H(2)O(2)NN(Py) or H(2)O(2)NO(Me)
[H(2)O(2)NN(Me)=Me(2)NCH(2)CH(2)N-(CH(2)-2-HO-3,5-C(6)H(2)((t)Bu)(2))(2);
H(2)O(2)NN(Py)=(2-C(5)H(4)N)CH(2)N-(CH(2)-2-HO-3,5-C(6)H(2)((t)Bu)(2))(2);
H(2)O(2)NO(Me)=MeOCH(2)CH(2)N-(CH(2)-2-HO-3,5-C(6)H(2)((t)Bu)(2))(2)] with 2.2
molar equivalents of (n)BuLi in diethylether afford (Li(2)O(2)NN(Me))(2) (1),
(Li(2)O(2)NN(Py))(2) (2) and (Li(2)O(2)NO(Me))(2) (3) as tetra-nuclear lithium
complexes. The crystalline solids of partially hydrolyzed product,
(LiO(HO)NN(Py)) (4), were obtained from recrystallization of 2 in diethylether
solution for three months. The synthesis of (LiO(HO)NO(Me))(2) (5) was carried
out at ambient temperature by carefully layering a solution of water in hexane on
top of a solution of 3 in Et(2)O. Crystalline solids of were obtained after two
months. Molecular structures are reported for compounds 1, 3, 4 and 5. Compounds
1-3 show excellent catalytic activities toward the ring-opening polymerization of
L-lactide in the presence of benzyl alcohol.
PMID- 18043819
TI - Ansa-bridged eta5-cyclopentadienyl molybdenum and tungsten complexes: synthesis,
structure and application in olefin epoxidation.
AB - Ansa-bridged eta(5)-cyclopentadienyl molybdenum and tungsten tricarbonyl
complexes of formula [M(eta(5)-C(5)H(4)(CH(2))(3)-eta(1)-CH(2))(CO)(3)] (M=Mo or
W) were synthesized and the X-ray crystal structure of the tungsten complex is
reported. In the epoxidation of cyclooctene the molybdenum compound shows a high
catalytic activity, approaching the observed activities for the most reactive
unbridged complexes of composition CpMo(CO)(3)X (X=Cl, CH(3)). The activity of
the tungsten complex is also amongst the highest catalytic activities for the
olefinic epoxidation of complexes with the composition CpW(CO)(3)X and
WO(2)X(2)L(2), reported so far. The low ring strain of the ansa-bridged system
improves the stability of the complexes under oxidative conditions considerably
in comparison to derivatives with a shorter bridge and therefore paves the way to
introduction of chirality in these systems.
PMID- 18043820
TI - Palladium catalyzed Suzuki C-C couplings in an ionic liquid: nanoparticles
responsible for the catalytic activity.
AB - A new family of functionalized ligands derived from norborn-5-ene-2,3
dicarboxylic anhydride has been used in Suzuki C-C cross-couplings between aryl
boronic acids and aryl bromide derivatives in [BMI][PF(6)] (BMI=1-n-butyl-3
methyl-imidazolium), using palladium acetate as catalytic precursor. High
conversions and yields are obtained when amine ligands containing hydroxy groups
are involved. TEM analyses after catalysis show the formation of small
nanoparticles, in contrast to the agglomerates observed when nanoparticles are
intentionally preformed, with a consequent decrease in the catalytic activity in
the latter case. Some tests, including the correlation effect between solvent and
ligand, are carried out to try to identify the true nature of the catalyst. All
the results obtained suggest that formation of nanoparticles is required to lead
to a catalytically active system.
PMID- 18043821
TI - Evaluation of C4 diphosphine ligands in rhodium catalysed methanol carbonylation
under a syngas atmosphere: synthesis, structure, stability and reactivity of
rhodium(I) carbonyl and rhodium(III) acetyl intermediates.
AB - The carbonylation of methanol to acetic acid is a hugely important catalytic
process, and there are considerable cost and environmental advantages if a
process could be designed that was tolerant of hydrogen impurities in the CO feed
gas, while eliminating by-products such as propionic acid and acetaldehyde
altogether. This paper reports on an investigation into the application of
rhodium complexes of several C(4) bridged diphosphines, namely BINAP, 1,4
bis(diphenylphosphino)butane (dppb), bis(diphenylphosphino)xylene (dppx) and 1,4
bis(dicyclohexylphosphino)butane (dcpb) as catalysts for hydrogen tolerant
methanol carbonylation. An investigation into the structure, reactivity and
stability of pre-catalysts and catalyst resting states of these complexes has
also been carried out in order to understand the observations in catalysis. Rh(I)
carbonyl halide complexes of each of the ligands have been prepared from both
[Rh(2)(CO)(4)Cl(2)] and dimeric mu-Cl-[Rh(L)Cl](2) complexes. These Rh(I)
carbonyl complexes are either dimeric with bridging phosphine ligands (dppb,
dcpb, dppx) or monomeric chelate complexes. The reaction of the complexes with
methyl iodide at 140 degrees C has been studied, which has revealed clear
differences in the stability of the corresponding Rh(III) complexes.
Surprisingly, the dimeric Rh(I) carbonyls react cleanly with MeI with
rearrangement of the diphosphine to a chelate co-ordination mode to give stable
Rh(III) acetyl complexes. The Rh acetyls for L=dppb and dppx have been fully
characterised by X-ray crystallography. During the catalytic studies, the more
rigid dppx and BINAP ligands were found to be nearly 5 times more hydrogen
tolerant than [Rh(CO)(2)I(2)](-), as revealed by by-product analysis. The origin
of this hydrogen tolerance is explained based on the differing reactivities of
the Rh acetyls with hydrogen gas, and by considering the structure of the
complexes.
PMID- 18043822
TI - Ligand effects in the non-alternating CO-ethylene copolymerization by
palladium(II) catalysis.
AB - In this paper we report on a comparative study of the non-alternating CO-C(2)H(4)
copolymerization catalyzed by neutral Pd(II) complexes with the phosphine
sulfonate ligands bis(o-methoxyphenyl)phosphinophenylenesulfonate and bis(o
methoxyphenyl)phosphino-ethylenesulfonate. The former ligand, featuring a lower
skeletal flexibility, has been found to form more active catalysts as well as
produce polyketones with higher molecular weight and higher extra-ethylene
incorporation. Operando high-pressure NMR studies have allowed us to intercept,
for the first time, Pd(II)(phosphine-sulfonate) beta-chelates in the non
alternating copolymerization cycle, while model organometallic reactions have
contributed to demonstrate that Pd(II) (phosphine-sulfonate) fragments do not
form stable carbonyl complexes. The opening of the beta-chelates has been found
to be a viable process by either comonomer, which contrasts with the behaviour of
Pd(II) (chelating diphosphine) catalysts for the perfectly alternating
copolymerization.
PMID- 18043823
TI - Development of pinene-derived N,P ligands and their utility in catalytic
asymmetric hydrogenation.
AB - New diastereomeric N,P-ligands, derived from the natural product (+)-alpha
pinene, have been synthesized and evaluated in iridium-catalyzed asymmetric
hydrogenation. The ligands are tetrahydroquinoline derivatives synthesized
directly from commercially available alpha-pinene utilizing resolution or
recrystallization to separate diastereomers. In reduction of a range of different
trisubstituted alkenes the catalysts express very different activities ranging
from no activity to high activity. One of the catalysts gives good ee values for
some substrates.
PMID- 18043824
TI - Primary umbilical adenocarcinoma.
AB - Primary umbilical tumours are extremely rare. We report primary serous
adenocarcinoma arising from the coelomic mesothelium of the hernial sac. A 60
year-old woman presented with an umbilical swelling of six months duration that
became painful in the last three days. Examination revealed a tender umbilical
swelling diagnosed as obstructive hernia that needed surgery. When dissecting the
sac during surgery, a small subcutaneous abscess was encountered. The sac
contained an omentum with a hard nodule at the surface which was excised.
Umbilical hernia repair was performed. Histology of the omental nodule revealed
serous papillary adenocarcinoma. Chest and abdomen computed tomography, pelvic
magnetic resonance imaging, gastroscopy, colonoscopy and laparotomy did not
reveal the primary site of the tumour.
PMID- 18043825
TI - Adenocarcinoma of the rete testis with uncommon presentation as haematocele.
AB - Adenocarcinoma of the rete testis was encountered in a 62-year-old man. The
tumour was localised in the region of the testicular hilum as a greyish-white
nodule that showed no involvement of the adjacent testicular parenchyma or the
epididymis. On microscopical examination, there was a well-differentiated
adenocarcinoma separated by fibrovascular stroma, entirely confined to the
testicular hilum. This primary carcinoma of the rete testis presented as a
haematocele.
PMID- 18043826
TI - The patella as an unusual site of renal cell carcinoma metastasis.
AB - We report a rare case of renal cell carcinoma with metastasis to the patella in a
49-year-old man, who presented with seven months of left knee pain after a fall.
Only two similar cases have been reported. Patellar metastasis is rare because it
has a relatively poor blood supply and microemboli would have been sieved out by
the pulmonary circulation. Patellectomy is the usual treatment for such cases. We
suspect that the preferential metastasis in our patient is a result of tropism.
Our treatment for this patient is unique. We opted for a patella-preserving
operation involving the use of cryotherapy, as this treatment modality preserved
the quality of life. An opportunistic biopsy one year later confirmed the absence
of active disease within the patella. This case uniquely provides human in vivo
histological confirmation that an intralesional procedure with local and systemic
adjuvant therapy effectively controls local disease.
PMID- 18043827
TI - Malignant pancreatic carcinoid tumour.
AB - Pancreatic carcinoid tumours are rare, particularly within the paediatric
population. The clinical presentation is largely dependent on the functionality
of the tumour. Although the tumour is generally slow-growing, surgical resection
is still the mainstay of curative treatment. Morbidity is, however, significantly
contributed by secretion of excess hormones; in view of this, biotherapy is an
important treatment strategy. Octreotide, a somatostatin analogue, has been shown
to be successful in both symptomatic control and stability of tumour progression.
We report a 12-year-old girl, who presented with hypertensive crisis, and showed
good response to a combination of chemotherapy and octreotide.
PMID- 18043828
TI - Management of extensive subcutaneous emphysema and pneumomediastinum by micro
drainage: time for a re-think?
AB - Extensive subcutaneous emphysema (ESE) is not only disfiguring, uncomfortable and
alarming for the patient, but can rarely be associated with airway compromise,
respiratory failure and death. Traditionally considered a cosmetic nuisance, few
reports on interventions to relieve ESE exist. Most interventions are too
invasive and have not been widely used. Fenestrated catheters have been reported
to be effective in ESE. We report our experience on microdrainage with a
fenestrated catheter and compressive massage in a 50-year-old man with ESE
following pigtail insertion for drainage of lung abscess. The apparatus is easily
constructed and the procedure is simple, painless, minimally invasive, highly ef
fective and cosmetically aesthetic. Placement of an underwater trap and
visualisation of bubbling can be used as end-points for adequate compressive
massage. Routine management with this catheter can be considered as the procedure
of choice for ESE.
PMID- 18043829
TI - Pulmonary infections mimicking cancer: report of four cases.
AB - Lung infections infrequently simulate cancer, and their differentiation, based on
imaging findings, can sometimes be difficult. The infections may be fungal,
mycobacterial, parasitic or, rarely, viral. A biopsy is required to prove the
infectious nature of the lesions. A specific diagnosis is necessary for
initiation of appropriate therapy. We report four cases of chronic pulmonary
infections, which were wrongly diagnosed as bronchogenic carcinoma based on
radiological features. We also reviewed the existing literature.
PMID- 18043830
TI - Arched left gonadal artery over the left renal vein associated with double left
renal artery.
AB - Variations in the anatomical relationship of the gonadal arteries to the renal
vessels are frequently reported. We present, on a male cadaver, an unusual origin
and course of a left testicular artery arching over the left renal vein along
with double renal arteries. The development of this anomaly is discussed in
detail. Compression of the left renal vein between the abdominal aorta and the
superior mesenteric artery usually induces left renal vein hypertension,
resulting in varicocele. We propose that the arching of left testicular artery
over the left renal vein could be an additional possible cause of the left renal
vein compression. Therefore, knowledge of the possible existence of arching
gonadal vessels in relation to the renal vein could be of paramount importance to
vascular surgeons and urologists during surgery in the retroperitoneal region.
PMID- 18043831
TI - Twin reverse arterial perfusion sequence.
AB - Twin reverse arterial perfusion sequence occurs in approximately one percent of
monochorionic twins. This condition is always fatal for the recipient twin and
carries a high mortality rate for the pump twin. Various treatment options are
described, but management is continually evolving with the publication of new
data. We report an acardiac acephalic monochorionic twin who was diagnosed at 31
weeks gestation. Serial ultrasonographical examinations of the normal pump twin
showed intrauterine growth restriction but with no evidence of heart failure. A
healthy pump twin was delivered by caesarean section at 34 weeks.
PMID- 18043832
TI - Duplicate publication: a joint statement from the Singapore Medical Journal and
the Medical Journal of Malaysia.
PMID- 18043833
TI - Physician leadership.
AB - Doctors, because of the nature of their training and their profession, have to be
leaders. Subsequently, when they specialise, leadership qualities are even more
important if they are to be effective in leading the specialty team. It is common
knowledge that doctors have become leaders in various sectors of society. One of
the fundamental advantages a doctor has over others in leadership positions is
his basic training in studying and understanding human nature. With years of
practice and experience, the doctor, a student of human nature, has a good grasp
of human behaviour which enables him to become a better leader. The six universal
and timeless characteristics of great leaders are: ability to share a vision,
surrounding oneself with great people, ability to coach other team members,
ability to focus on perfection, developing emotional intelligence and ability to
train effective leaders. I would like to see three essential qualities in a
strong leader: ability to secure an "envisioned future", ability to sacrifice in
order to cultivate loyalty, and courage to do the right thing and protect his
people. An effective leader positions himself in a situation to ensure survival.
Having secured the leadership position, one must take certain steps to strengthen
one's leadership so that it will survive. Six strategies from the Art of War by
Sun Tzu which are of great practical value are: walk the ground, have trusted
lieutenants, information gathering, confuse the enemy, win most while doing
nothing, and that which is too good will not last forever. Sometimes we have to
change in order to survive. We need to get rid of outmoded practices and shed old
burdens to take advantage of the present. The task of exiting from leadership is
facilitated if one has groomed a successor. The longer one is in a leadership
position, the more difficult it is for one to step down. Some organisations
retain old leaders as advisors or mentors. They should be just seen and not heard
and go about their work quietly, contributing to the department. A retired leader
should be able to command respect from his peers and preserve his dignity.
PMID- 18043834
TI - Non-surgical interventions for threatened and recurrent miscarriages.
AB - Many surgical and non-surgical interventions are used in the management of
threatened and recurrent miscarriages. Evidence-based management of recurrent
miscarriages requires investigations into the underlying aetiology. When a
specific cause is identified, directed treatment may reduce miscarriage rates.
Combined aspirin and heparin for antiphospholipid syndrome, and screening and
treatment of bacterial vaginosis between ten and 22 weeks of pregnancy with
clindamycin, are the only interventions proven to be useful in randomised
controlled trials (RCTs). The use of periconceptional metformin for polycystic
ovarian (PCO) syndrome is promising, though data from RCTs are still required.
The use of heparin in inherited thrombophilias, bromocriptine in
hyperprolactinaemia and luteinising hormone suppression in fertile patients with
PCO syndrome are more controversial. In threatened miscarriages, or when no cause
is found, treatment becomes empirical. Supportive care may reduce miscarriage
rates. Dydrogesterone, a progesterone derivative, may further reduce miscarriage
rates. Bed rest and avoidance of sexual intercourse, though commonly advised, are
of no proven benefit. Use of uterine relaxing agents, human chorionic
gonadotrophin, immunotherapy and vitamins remain controversial in idiopathic
recurrent miscarriages.
PMID- 18043835
TI - Educational inequalities associated with health-related behaviours in the adult
population of Singapore.
AB - INTRODUCTION: Socioeconomic differences in health-related behaviours have been
widely studied in the Western populations, but are seldom considered in Asian
populations. We examined the effect of education attainment on health-related
behaviours (physical activity, cigarette smoking and alcohol consumption) among
non-institutionalised Singaporeans aged 18-69 years. METHODS: We used data from
the Singapore National Health Survey 2004. Information on physical activity,
cigarette smoking, alcohol consumption and sociodemographic characteristics was
collected from 4,084 adults. Age-adjusted prevalence of the three health-related
behaviours by educational attainment was calculated by the direct method.
Logistic regression models, adjusted for age, gender, ethnic group and employment
status, were used to estimate the odds ratio and 95% confidence interval, in
order to study the prevalence of health-related behaviours according to
educational attainment. RESULTS: The prevalence of physical inactivity, daily
smoking and regular alcohol consumption was found to be consistently highest
among men and women with the least education. Prevalence of physical inactivity
and smoking was inversely related to educational attainment for both genders.
However, no clear gradient was found between education and alcohol consumption
for men and women. CONCLUSION: Less-educated Singaporeans were more likely to
smoke daily, drink alcohol regularly or not to exercise regularly. Health
promotion policies or programmes aimed at encouraging healthy lifestyles in the
Singapore population should take into account the educational inequalities in
these health-related behaviours.
PMID- 18043836
TI - Emotional and behavioural problems in Singaporean children based on parent,
teacher and child reports.
AB - INTRODUCTION: This study aims to determine the prevalence of emotional and
behavioural problems in a community sample of Singaporean children aged 6-12
years, and its agreement according to parent, teacher and child reports. METHODS:
The Child Behaviour Checklist (CBCL), Teacher Rating Form (TRF) and child report
questionnaires for depression and anxiety were administered to a community sample
of primary school children. 60 percent of the children sampled (n = 2,139) agreed
to participate. Parents of a sub-sample of 203 children underwent a structured
clinical interview. RESULTS: Higher prevalence of emotional and behavioural
problems was identified by CBCL (12.5 percent) than by TRF (2.5 percent).
According to parent reports, higher rates of internalising problems (12.2
percent) compared to externalising problems (4.9 percent), were found. Parent
teacher agreement was higher for externalising problems than for internalising
problems. Correlations between child-reported depression and anxiety, and parent
and teacher reports were low to moderate, but were better for parent reports than
for teacher reports. CONCLUSION: The prevalence rates of emotional and
behavioural problems in Singaporean children based on CBCL ratings are comparable
to those in the West, but the low response rate and exclusion of children with
special needs limit the generalisability of our findings. Singaporean children
have higher rates of internalising problems compared to externalising problems,
while Western children have higher rates of externalising problems compared to
internalising problems.
PMID- 18043837
TI - Recall of discharge advice given to patients with minor head injury presenting to
a Singapore emergency department.
AB - INTRODUCTION: Most patients presenting to the emergency department (ED) with
minor head injury (HI) can be discharged, provided a caregiver is present and
careful discharge instructions are given. The study ED uses an advice leaflet
with verbal reinforcement to patients and caregivers detailing post-discharge
instructions and warning symptoms of worsening HI. We aim to evaluate local
patients' and caregivers' compliance to discharge instructions and their ability
to recall HI advice. METHODS: A prospective study was conducted in an adult ED
between April 10, 2006 and May 1, 2006. All patients with minor HI discharged
from the ED or its 24-hour observation ward were included in the study. A
telephone survey was conducted within 48 hours of discharge using a standardised
questionnaire. RESULTS: During the study period, 292 patients had HI, of which
182 were eligible for the study. 71 were uncontactable and one refused to
participate, leaving 110 patients in the study. Patients' age ranged between 7
and 109 years (median 41 years). 100 confirmed receiving HI advice (57 percent
received by patients, 26 percent caregivers, 16 percent both patients and
caregivers). 29 percent of respondents reported non-compliance to discharge
advice. Mean HI-symptom recall score was 1.9 (SD 1.6) (total 9 symptoms). 30
percent cited other symptoms not part of the HI advice, which they believed
necessitated a return to the ED. Recall scores were not statistically different,
regardless of mode of instruction (verbal or printed) or the recipient (patient,
caregiver or both). CONCLUSION: Our study raises concerns about the reliability
of discharge advice for minor HI patients.
PMID- 18043838
TI - Metabolic syndrome in the Hong Kong community: the United Christian Nethersole
Community Health Service primary healthcare programme 2001-2002.
AB - INTRODUCTION: With recognition of the important role of central obesity in
metabolic syndrome (MES), the International Diabetes Federation (IDF) has
proposed a revised definition for MES in early 2005. Information of MES in
Chinese by IDF criteria is limited. METHODS: This was a cross-sectional
observation survey. A sample of 7,473 subjects (2,660 men and 4,813 women) was
examined. They presented voluntarily in the period between August 2001 and
September 2002 for health assessment at the three health centres of the United
Christian Nethersole Community Health Service. RESULTS: The mean age and standard
deviation was 50.4 +/- 10.6 years (range 19-93 years, median 48.0 years). Among
them, 30 percent had central obesity, 34 percent had low high-density lipoprotein
cholesterol, 20 percent had hypertriglyceridaemia, 47 percent had high blood
pressure, and 23 percent had dysglycaemia. The age-standardised percentages of
MES by National Cholesterol Education Programme and IDF criteria were 18.3 and
13.9 percent, respectively. CONCLUSION: MES is not uncommon among the Hong Kong
Chinese community. Further studies on the management and prevention of MES are
indicated.
PMID- 18043839
TI - Inadequate dietary calcium intake in elderly patients with hip fractures.
AB - INTRODUCTION: Calcium supplementation and pharmacotherapy are recommended in the
preventive management of osteoporosis. Many previous studies report of
underdiagnosis and undertreatment of osteoporosis among elderly patients with hip
fractures. We undertook this study to determine the dietary calcium levels in our
local elderly population who were admitted with hip fractures. METHODS: 77
patients, between the ages of 60 and 98 years of age, and admitted to our
department between January 2001 and September 2001 for hip fractures, were
studied. The dietary calcium intakes of these patients were determined by a food
frequency questionnaire and a detailed diet history. Bone mineral density (BMD)
studies were performed on 55 of these patients to confirm the diagnosis of
osteoporosis. RESULTS: The mean daily calcium intake was found to be 650 mg. Only
six of our hip fracture patients (7.8 percent) had a daily calcium intake above
the recommended levels of 1,000 mg per day. For the 55 patients who had BMD
performed, only one patient had a BMD within the normal range. 34 patients (64.2
percent) had hip T-scores in the osteoporotic range and 18 patients (33.9
percent) had hip T-scores in the osteopenic range. We found that the patients
with BMD in the osteoporotic and osteopenic ranges had no significant difference
in the dietary calcium intake. CONCLUSION: The dietary calcium intake of our
elderly patients with hip fractures is insufficient. They would benefit from
dietary education and calcium supplements to prevent deterioration in bone
density and subsequent osteoporotic fractures.
PMID- 18043840
TI - Clinical features and outcome of emergency percutaneous intervention of left main
coronary artery occlusion in acute myocardial infarction.
AB - INTRODUCTION: Acute myocardial infarction (AMI) due to left main coronary artery
(LMCA) occlusion carries a grave prognosis. Large series reporting on the outcome
of emergency revascularisation (percutaneous or surgical) of acute LMCA occlusion
have been scarce. We seek to identify, in our local population, the clinical
presentation and outcome of this group of patients. METHODS: From January 2000 to
December 2005, a total of 1,539 patients underwent primary percutaneous coronary
angioplasty for AMI in our institution. 11 patients (0.8 percent) underwent
percutaneous intervention to the LMCA. These patients became the subjects of our
study. Data on demography, clinical features and outcome was collected from all
in-hospital and clinical notes. One sub-investigator, who was blinded to the
study outcome, assessed the angiographical features. RESULTS: The overall
inpatient mortality was 82 percent (9 out of 11). Nine out of 11 patients
presented with cardiogenic shock. All patients presented with shock died during
the hospital stay. All patients required intra-aortic balloon counter pulsation
insertion. Of the two patients who survived till discharge, one had angioplasty
followed by bypass surgery. The remaining one had angioplasty and stenting to the
left main artery. Both survivors have been doing well without further major
adverse cardiac event after an average of 64 months of follow-up. CONCLUSION:
Percutaneous revascularisation of acute LMCA occlusion is feasible and the best
outcome is seen in stable patients. Prognosis is still poor in patients with
unfavourable clinical features.
PMID- 18043841
TI - Clinical usefulness of per-rectal portal scintigraphy by Tc-99m pertechnetate in
evaluation of the severity of portal hypertension in cirrhotic patients.
AB - INTRODUCTION: Variceal haemorrhage is a potentially life-threatening complication
in cirrhotic patients. Identification of patients at high risk for bleeding is
particularly important. The aim of this study was to determine the clinical
usefulness of per-rectal portal scintigraphy (PPS) in the evaluation of the
severity of portal hypertension in cirrhotic patients, in terms of correlation
between cirrhosis and the parameters of hepatic functional reserve, and
identifying the difference of the portal shunt index (PSI) of the bleeding
oesophageal variceal (BEV) patients and non-BEV patients. METHODS: Portal
circulations in 67 patients with cirrhosis and oesophageal varices were evaluated
by Tc-99m pertechnetate PPS. Tc-99m pertechnetate (550 MBq) was instilled into
the upper rectum, and dynamic images of upper abdomen were taken. Radioactivity
curves for the liver and the heart were generated sequentially. Through the
analysis of these curves, the PSI was determined. RESULTS: The results, expressed
as PSI, were: 11.4 +/- 98.4 percent (mean 66.8) in all 67 cirrhotic patients,
56.4 +/- 27.1 percent in cirrhotic patients without history of BEV, and 74.9 +/-
13.6 percent in cirrhotic patients with history of BEV. The PSI was significantly
lower in cirrhotic patients without BEV than those with BEV (p-value equals
0.001). The PSI calculated with this method was correlated with the serum
albumin, the serum bilirubin, the prothrombin time, and the Child-Turcotte-Pugh
score. CONCLUSION: Tc-99m pertechnetate PPS has clinical usefulness as a
noninvasive method of choice for quantitatively evaluating the severity of portal
hypertension in cirrhotic patients.
PMID- 18043842
TI - Comparison of the results of open carpal tunnel release and KnifeLight carpal
tunnel release.
AB - INTRODUCTION: The study compares the results of open release of carpal tunnel
syndrome with a release done with a proprietary instrument, the KnifeLight, which
uses a minimal access approach. METHODS: A retrospective study was conducted on
two groups of patients operated on by the same surgeon between January 1998 and
August 2002. All cases presented with numbness of six months duration or more,
and a positive Phalen's test. Open carpal tunnel release was done in the first
group of 26 consecutive patients before the KnifeLight was introduced in January
2000. The KnifeLight technique was used in a second consecutive group of 49
patients. In two patients, the KnifeLight procedure was abandoned because the
median nerve could not be safely separated from the transverse carpal ligament.
RESULTS: The two groups were shown to be comparable with respect to clinical
presentation and nerve conduction studies. There was no complication in both
groups. However, no advantage could be demonstrated in the use of the KnifeLight
procedure as compared to the open procedure in respect to improvement in pain,
numbness or patient satisfaction. The study also showed that the severity of
nerve conduction changes is not related to the severity of numbness. It is also
not a good guide to the improvement of numbness and patient satisfaction after
the operation. CONCLUSION: The method was found to be acceptable to patients as
an office procedure. The cost of doing either procedure is reduced when done as
an office procedure, but there is a cost incurred in the use of the KnifeLight
instrument.
PMID- 18043843
TI - Cryoglobulinaemia in hepatitis C-positive patients in Iran.
AB - INTRODUCTION: Cryoglobulins are found in a wide spectrum of disorders but are
often transient and without clinical implications. The so-called essential mixed
cryoglobulinaemia shows a striking association with hepatitis C virus (HCV)
infection (greater than 90 percent). Type II cryoglobulinaemia is the major
extrahepatic manifestation of HCV infection. This study aims to investigate the
frequency of cryoglobulinaemia in HCV-positive patients in central Iran. METHODS:
50 HCV-positive patients referred to the Shaheed Sadoughi Hospital in Yazd, Iran
from May 2004 to December 2005, were included in the study. Their sera were
assessed for cryoglobulins. The sera were separated by centrifugation at 37
degrees Celsius and placed in a four degrees Celsius refrigerator in two tubes,
one simple and the other, a Wintrobe tube, to see if precipitation occurs during
a 48-hour up to a seven-day period. RESULTS: In this study, 50 HCV positive
patients were evaluated. Only two patients were female. Mean age was 32 years
(ranging from 17 to 52 years). In eight patients, the cryoglobulin test was
positive. In seven patients, the test became positive less than 72 hours after
sampling. Only one patient who was positive for cryoglobulinaemia, had clinical
manifestation of frank vasculitis. CONCLUSION: The prevalence of
cryoglobulinaemia in Iran may be lower than other areas, and it may be due to a
higher prevalence of cryoglobulinaemia in females and lower prevalence of
cryoglobulinaemia in HCV genotype-1a. Most of the cases in our study were male
and the more prevalent genotype in Iran is genotype-1a.
PMID- 18043844
TI - Male infertility: polymerase chain reaction-based deletion mapping of genes on
the human chromosome.
AB - INTRODUCTION: Y chromosome microdeletions are common in about 10-15 percent of
men with azoospermia or severe oligospermia. These microdeletions are too small
to be detected by karyotyping. They can be easily identified using polymerase
chain reaction (PCR). Most of the microdeletions that cause azoospermia or
oligospermia occur in the non-overlapping regions of the long arm of the Y
chromosome. These regions, also called azoospermia factor regions (AZF), are
responsible for spermatogenesis. The loci are termed AZFa, AZFb and AZFc from
proximal to distal Yq. Several genes located in AZF regions for spermatogenesis
is viewed as "AZF candidate genes". This study aims at PCR-based rapid analysis
of Y chromosome microdeletion, which is a cause for male infertility. METHODS:
PCR amplification using Y-specific STS (sequence tagged sites) of AZF regions for
AZFa: DBY and sY84, AZFb: RBM1 and sY127, and AZFc: BPY2 and sY254, were
conducted. RESULTS: Of the 30 infertile men, 17 were azoospermic and 13 were
severely oligospermic. Severe oligospermia was diagnosed in those patients who
produced only one-third the concentrations of the sperm of that found in fertile
men. Four patients showed a deletion of one or more STS. Two patients had
complete deletion of AZFc loci, three patients had complete deletion of AZFa loci
and two patients had complete deletion of AZFb loci. CONCLUSION: The frequency
involving the microdeletion in the AZF region was found in four out of 30
azoospermic and severely oligospermic infertile men, i.e. 13.3 percent of the
total deletions.
PMID- 18043845
TI - The relative position of the greater palatine foramen in dry Indian skulls.
AB - INTRODUCTION: We evaluated the relative position of the greater palatine foramen
(GPF) for precise injection of local anaesthetics, for optimal pain control in
maxillofacial and dental surgeries. METHODS: The study was conducted in 132 adult
dried unsexed skulls of the west coast region of Southern India. All the skulls
studied were normal with fully erupted third molars and free from any
pathological changes. RESULTS: The statistical analysis indicated there was no
significant difference in the measurement between the right and left sides with
regard to the distance of GPF to the midline, GPF to the incisive fossa, GPF to
the posterior border of the hard palate. The angle made by the line joining the
GPF to the incisive foramen with the palatal midline (GIM angle) on two sides
showed statistical significance. The mean angle on the left side was 21.2 +/- 4.2
degrees and 20.1 +/- 4.2 degrees on the right side. In 74.6 percent of skulls,
the GPF was located opposite the third maxillary molars, whereas 24.2 percent
showed the GPF between the second and third molars. In 0.4 percent of skulls, the
GPF was opposite to the second molar and in 0.8 percent of skulls, the GPF was
situated beyond the third molar. 46.2 percent of the GPF was directed forward and
medially, whereas 41.3 percent was directed forward, and 12.5 percent as directed
forward and laterally. CONCLUSION: The perpendicular distance of the GPF in
Indian skulls was about 15 mm, the distance of GPF to incisive fossa was
approximately 37 mm, and the GIM angle was 21 degrees.
PMID- 18043846
TI - A case of scurvy in Singapore in the year 2006.
AB - Scurvy is an ancient disease. Over the years, with advances in the understanding
of the disease, general improvement in health standards and nutrition, scurvy is
now rarely encountered. The few cases of scurvy reported in the 21st century
mainly occurred in the neglected elderly, alcoholics and food faddist. We
describe scurvy due to food selection in a 37-year-old woman with underlying
eating and obsessive-compulsive disorders. With vitamin C replacement,
psychiatric medication and cognitive behavioural therapy, there was a dramatic
improvement in her condition. This case serves as a reminder to the clinician
that, even though rare in today's practice, ascorbic acid deficiency is still
encountered, and when recognised, is an easily treatable disease.
PMID- 18043847
TI - A variation of the phrenic nerve: case report and review.
AB - During routine dissection in the department of anatomy, the following anatomical
variations of the phrenic nerve were observed on the right side of the neck of a
30-year-old male cadaver. The phrenic nerve, in its early course close to its
origin, gave a communicating branch to the C5 root of the brachial plexus. At the
level of the root of neck just before entering the thorax, the phrenic nerve was
located anterior to the subclavian vein. This unique case of phrenic nerve
variation gains tremendous importance in the context of subclavian vein
cannulation, implanted venous access portals, and supraclavicular nerve block for
regional anaesthesia.
PMID- 18043848
TI - Management of pyogenic liver abscesses - percutaneous or open drainage?
AB - This pictorial essay aims to review the literature on the management of pyogenic
liver abscess, focusing on the choice of drainage. Articles on the treatment of
pyogenic liver abscess, accessed through a MEDLINE search using PubMed, were
reviewed. A case series of the authors' experience with clinicopathological
correlation is presented to highlight the indication and outcome of each modality
of drainage. Intravenous antibiotic is the first line, and mainstay, of
treatment. Drainage is necessary for large abscesses, equal to or larger than 5
cm in size, to facilitate resolution. While percutaneous drainage is appropriate
as first-line surgical treatment in most cases, open surgical drainage is prudent
in cases of rupture, multiloculation, associated biliary or intra-abdominal
pathology. Percutaneous drainage may help to optimise clinical condition prior to
surgery. Laparoscopic drainage is a feasible surgical option with promising
results in the future. Liver resection is reserved for concomitant localised
intrahepatic disease and tumour, after control of sepsis. The final verdict on
the outcome of percutaneous versus open surgical drainage of pyogenic liver
abscesses requires further studies in a controlled trial setting. Nevertheless,
in current good clinical practices, the choice of therapy needs to be
individualised according to patient's clinical status and abscess factors. They
are complementary in the management of liver abscesses.
PMID- 18043854
TI - Skeletal differences in bone mineral area and content before and after cure of
endogenous Cushing's syndrome.
AB - We examined bone densitometric data in a four-year follow-up period before and
after the cure of CS. Plasma cortisol concentrations were similar, but the
duration of estimated glucocorticoid excess was longer in patients with prevalent
bone fractures compared to those without fractures. After therapy of CS, bone
area, BMC and BMD increased significantly at the LS and femur during follow-up,
but they decreased at the forearm, suggesting redistribution of bone minerals
from the peripheral to the axial skeleton. INTRODUCTION: Only a few studies
report the changes in bone mineral density (BMD) after the cure of Cushing's
syndrome (CS). METHODS: Forty-one patients with Cushing's disease, 21 patients
with adrenal CS and 6 patients with ectopic CS were prospectively enrolled. BMD,
bone mineral content (BMC) and bone area were measured by DXA. RESULTS: No
significant correlations were found between serum cortisol concentrations and
baseline bone densitometric data. After successful therapy of CS, bone area and
BMD increased significantly at the lumbar spine (LS) and femur during follow-up,
but they decreased at the forearm. The progressive increase in BMC at the LS had
a significant negative correlation with the change of the BMC of radius in the
first and second follow-up years. The change in the body mass index was an
independent predictor for changes in BMC both at the LS and at the forearm at the
second year of remission. CONCLUSIONS: The regional differences and the time
dependent changes of BMC suggest that the source of marked increase in axial BMC
after the cure of CS is, at least partly, due to the redistribution of bone
minerals from the peripheral to the axial skeleton.
PMID- 18043855
TI - Evolutionary analysis of the TPP-dependent enzyme family.
AB - The evolutionary relationships of the thiamine pyrophosphate (TPP)-dependent
family of enzymes was investigated by generation of a neighbor joining
phylogenetic tree using sequences from the conserved pyrophosphate (PP) and
pyrimidine (Pyr) binding domains of 17 TPP-dependent enzymes. This represents the
most comprehensive analysis of TPP-dependent enzyme evolution to date. The
phylogeny was shown to be robust by comparison with maximum likelihood trees
generated for each individual enzyme and also broadly confirms the evolutionary
history proposed recently from structural comparisons alone (Duggleby 2006). The
phylogeny is most parsimonious with the TPP enzymes having arisen from a
homotetramer which subsequently diverged into an alpha(2)beta(2) heterotetramer.
The relationship between the PP- and Pyr-domains and the recruitment of
additional protein domains was examined using the transketolase C-terminal (TKC)
domain as an example. This domain has been recruited by several members of the
family and yet forms no part of the active site and has unknown function. Removal
of the TKC-domain was found to increase activity toward beta-hydroxypyruvate and
glycolaldehyde. Further truncations of the Pyr-domain yielded several variants
with retained activity. This suggests that the influence of TKC-domain
recruitment on the evolution of the mechanism and specificity of transketolase
(TK) has been minor, and that the smallest functioning unit of TK comprises the
PP- and Pyr-domains, whose evolutionary histories extend to all TPP-dependent
enzymes.
PMID- 18043856
TI - Morphological studies of pulmonary arteriovenous shunting in a lamb model of
superior cavopulmonary anastomosis.
AB - We sought to identify and characterize the abnormal vascular structures
responsible for pulmonary arteriovenous shunting following the Glenn
cavopulmonary shunt. Superior cavopulmonary shunt is commonly performed as part
of the staged pathway to total cavopulmonary shunt to treat univentricular forms
of congenital heart disease, however, clinically significant pulmonary
arteriovenous malformations develop in some patients after the procedure. The
causes of pulmonary arteriovenous malformations and other pulmonary vascular
changes that occur after cavopulmonary shunt are not known. Using a juvenile lamb
model of superior cavopulmonary anastomosis that reliably produces pulmonary
arteriovenous malformations, we performed echocardiography and morphological
analyses to determine the anatomic site of shunting and to identify the vascular
structures involved. Pulmonary arteriovenous shunting was identified by contrast
echocardiography in all surviving animals (n = 40) following superior
cavopulmonary anastomosis. Pulmonary vascular corrosion casts revealed abnormal
tortuous vessels joining pulmonary arteries and veins in cavopulmonary shunt
animals but not control animals. In conclusion, unusual channels that bridged
pulmonary arteries and veins were identified. These may represent the vascular
structures responsible for arteriovenous shunting following the classic Glenn
cavopulmonary shunt. Detailed analysis of these structures may elucidate factors
responsible for their development.
PMID- 18043857
TI - Magnetic resonance imaging for endomyocardial fibrosis.
AB - Magnetic resonance images (MRI) of a pediatric patient with endomyocardial
fibrosis secondary to idiopathic hypereosinophilic syndrome are presented.
Previously reported in adults, MRI findings of endomyocardial fibrosis in this
case include right ventricular diastolic dysfunction, mild systolic dysfunction
and extensive subendocardial delayed contrast enhancement. Right atrial
enlargement and organized right ventricular thrombus are also demonstrated.
PMID- 18043858
TI - D-transposition of the great arteries in a case of microduplication 22q11.2.
AB - The 22q11.2 deletion syndrome is one of the most frequent genetic syndromes,
mainly characterized by cleft palate, facial dysmorphism, conotruncal heart
malformations and immune deficiencies. Microduplication of the 22q11.2 region is
a quite recently characterized genetic entity comprising a variable phenotype
including some overlapping features with the 22q11.2 deletion syndrome. So far
only few reports of patients with this microduplication and heart defects have
been published. To our knowledge this is the first description of a patient with
genetically confirmed duplication of the 22q11.2 region and d-transposition of
the great arteries (d-TGA) as well as Ebstein's anomaly.
PMID- 18043859
TI - Acute coronary artery dilation due to Kawasaki disease and subsequent late
calcification as detected by electron beam computed tomography.
AB - We wanted to clarify the relationships between the degree of acute coronary
artery dilation caused by Kawasaki disease and subsequent late calcification.
Electron beam computed tomography (EBCT) was used to study 79 patients who had
previously undergone selective coronary angiograms less than 100 days after the
onset of Kawasaki disease. The EBCT was performed using an Imatron C-150 with a
100-ms exposure time and consecutive images at 6-mm intervals. The interval from
the onset of Kawasaki disease to EBCT ranged from 2 to 242 months (median, 103
months). The maximum diameters of the right coronary, the left anterior
descending, and the left circumflex arteries, as well as the bifurcation of the
left coronary artery were measured in the initial coronary angiograms. A total of
250 branches, including 53 left coronary arteries, were measured, and the
relationship between the degree of the initial coronary artery dilation and
subsequent calcification in the branches and left coronary artery was analyzed.
The coronary arterial diameter of all branches that eventually calcified was 6 mm
or greater. The incidence of calcification in branches measuring 6 mm or greater
on the initial coronary angiogram was 12% at 5 years, 44% at 10 years, and 94% at
20 years (n = 141). Dilation greater than 6 mm is associated with a high
probability of late calcification.
PMID- 18043861
TI - A new selective pre-column ninhydrin-based derivatization for a RP-HPLC
determination of plasma asymmetric dimethyl-L-arginine (ADMA) by fluorescence
detection.
AB - We report a new selective and direct pre-column ninhydrin-based derivatization
reaction for determination of plasma ADMA levels. This original derivatization
procedure matched to a validated and rapid RP-HPLC method can be a useful
alternative to other assays in which time consuming and expensive extraction
and/or purification steps are required.
PMID- 18043860
TI - Balloon angioplasty for native aortic coarctation in different anatomic variants.
AB - Balloon angioplasty for native coarctation of the aorta in infants and children
is gaining acceptance as an alternative to surgery in discrete membranous
obstruction. The aim of this study was to assess the immediate and intermediate
term effectiveness and safety of balloon angioplasty in infants and children with
discrete membranous obstruction and mild complex arch anomalies. We performed a
retrospective study evaluating the immediate and intermediate-term results of
balloon angioplasty in 46 consecutive patients with native coarctation of the
aorta done between March 1998 and June 2003. Isolated discrete fibromembranous
obstruction occurred in 32 patients, and 14 patients had mild complex arch
anomalies. Follow-up was obtained in 40 patients. There was no early mortality.
The procedure was initially successful in 43 patients (93%). There were three
immediate failures. Of the 40 patients who were followed, 32 (80%) had maintained
a cuff pressure gradient of 20 mmHg across the dilated area. Four patients
developed restenosis, which was successfully treated by repeated balloon
angioplasty. The other four patients continued to have mild gradient (20-22 mmHg)
with systolic hypertension and without angiographic evidence of restenosis but
with isthmus hypoplasia; they received atenolol and captopril. Serial
echocardiographic measurement of left ventricular dimension and function revealed
significant improvement after balloon angioplasty of aortic coarctation in
patients with the echocardiographic picture of hypertensive cardiomyopathy.
Balloon angioplasty may be considered as a tool in the armamentarium of
management of aortic coarctation in different anatomic variants, taking into
consideration the clinical presentation and patient age.
PMID- 18043862
TI - CHROMSCAN: genome-wide association using a linkage disequilibrium map.
AB - CHROMSCAN implements a composite likelihood model for the analysis of association
data. Disease-gene localisation is on a linkage disequilibrium unit (LDU) map,
and locations and standard errors, for putatively causal polymorphisms, are
determined by the programme. Distortions of the probability distribution created
by auto-correlation are avoided by implementation of a permutation test. We
evaluated the relative efficiency of the LDU map by simulating pseudo-phenotypes
in real genotype samples. We observed that multi-locus mapping on an underlying
LDU map reduces location error by approximately 46%. Furthermore, there is a
small, but significant, increase in power of approximately 5%. Effective meta
analysis across multiple samples, increasingly important to combine evidence from
genome-wide and other association data, is achieved through the weighted
combination of location evidence provided by the programme.
PMID- 18043863
TI - A novel R198H mutation in the glucose-6-phosphate dehydrogenase gene in the
tribal groups of the Nilgiris in Southern India.
AB - Glucose-6-phosphate dehydrogenase (G6PD) deficiency is the most common red cell
enzymopathy among humans. In India, G6PD Mediterranean, G6PD Orissa, and G6PD
Kerala-Kalyan are the three common mutations which account almost 90% of G6PD
deficiency. Here we describe G6PD Coimbra, an unreported variant from India, and
a novel 593 G --> A mutation in exon 6 with an amino acid change of Arg 198 His,
among the tribal groups of the Nilgiris in Southern India. Further, this novel
mutation was structurally characterized and it was found that the mutation is
located at the end of the coenzyme domain, which may cause enzyme instability.
PMID- 18043864
TI - HapMap coverage for SNPs in the Japanese population.
AB - The coverage of human genomic variations is known to substantially affect the
success of genome-wide association studies. We therefore assessed the SNP
coverage in the HapMap database for a total of 1,304 subjects from the Japanese
population by combining resequencing and high-density genotyping approaches.
First, we resequenced 48 Japanese subjects in 86 genes (572 kb in total), and we
then genotyped the subset of tag SNPs and also imputed genotypes for all of the
detected SNPs in an additional panel of 1,256 subjects. Subsequently, we
genotyped 555,352 tag SNPs selected from the HapMap in 72 Japanese subjects (from
the panel of 1,256 subjects) and further imputed genotypes for all SNPs currently
included in the HapMap. Of 738 common genic SNPs (1.3 per kb) that we detected by
resequencing, 58% had already been genotyped in the HapMap, and 31% were not
genotyped but had a proxy SNP in the HapMap with a linkage disequilibrium
coefficient r (2) > or = 0.8, whereas 11% were not represented in the current
HapMap database. Thus, the HapMap coverage appears to be high although not
thorough for SNPs in the Japanese population as compared to its coverage reported
in Caucasians, and this needs to be considered when we interpret association
results.
PMID- 18043865
TI - Evaluation of resequencing on number of tag SNPs of 13 atherosclerosis-related
genes in Thai population.
AB - In the candidate gene approach, information about the distribution of single
nucleotide polymorphisms (SNPs) is a crucial requirement for choosing efficient
markers necessary for a case-control association study. To obtain such
information, we discovered SNPs in 13 genes related to atherosclerosis by
resequencing exon-flanking regions of 32 healthy Thai individuals. In total, 194
polymorphisms were identified, 184 of them SNPs, four insertions, and the rest
deletions. Fifty-nine of the SNPs were characterized as novel polymorphisms, and
these accounted for 30% of the identified SNPs. Comparing allele frequency
distributions of the Thai population with other Asian populations shows similar
patterns. In contrast, a low correlation pattern (r = 0.521) was found when
comparing with either Caucasian or African populations. However, some rare
alleles (rs11574541 and rs10874913) are found in the Thai population but not in
other Asian populations. Most of the novel SNPs found were located outside the
haplotype blocks generated by known SNPs in the Thai population. Only 5.77% of
the novel SNPs lies in these defined haplotype blocks. The selection of haplotype
tagging SNPs shows that 8 of 13 genes benefited from the ethnic-specific genotype
information. That is, when at least one novel SNP was present, the tagging SNPs
chosen were altered. Functional prediction of 16 nonsynonymous SNPs (nsSNPs) by
three different algorithm tools demonstrated that five nsSNPs possibly alter
their corresponding protein functions. These results provide necessary
information for conducting further genetic association studies involving the Thai
population and demonstrate that resequencing of candidate genes provides more
complete information for full genetic studies.
PMID- 18043866
TI - Sympathetic nerve activity restrains reflex vasodilatation in heart failure.
AB - BACKGROUND: Blunted reflex muscle vasodilatory response during exercise in heart
failure (HF) patients may be secondary to augmented vasoconstriction. We tested
the hypothesis that the exaggerated sympathetic nerve activity restrains the
reflex muscle vasodilatation during exercise in HF patients. METHODS: We studied
the reflex vasodilatory response (plethysmography) during 3 min static handgrip
exercise at 30% maximal voluntary contraction in 10 advanced HF patients (45 +/-
3 year, NYHA Functional Class III/IV) and 10 age-matched normal controls (NC, 40
+/- 3 year, P = 0.23) during intra-arterial infusion of: (1) saline control; and
(2) alpha-adrenergic blocker (phentolamine). RESULTS: Baseline forearm vascular
conductance (FVC) was lower in HF patients than in NC (2.07 +/- 0.2 vs. 4.26 +/-
0.6 units, respectively; P = 0.002). FVC responses during exercise increased
significantly in NC, but not in HF patients (delta changes: 1.05 +/- 0.4 vs. 0.05
+/- 0.2 units, respectively). Phentolamine significantly increased resting FVC in
HF patients (from 2.07 +/- 0.2 to 5.74 +/- 0.7 units, P = 0.00004) and restored
reflex vasodilatory responses during exercise (delta changes: from 0.05 +/- 0.2
to 1.82 +/- 0.9 units) eliminating the difference in FVC between both groups.
CONCLUSIONS: The blunted reflex muscle vasodilatory response during exercise in
advanced HF patients is, at least in part, due to the increase in sympathetic
nerve activity.
PMID- 18043868
TI - Efficient expression in E. coli of an enantioselective nitrile hydratase from
Rhodococcus erythropolis.
AB - The genes encoding an enantioselective nitrile hydratase (NHase) from Rhodococcus
erythropolis AJ270 have been cloned and an active NHase has been produced in
Escherichia coli. Maximal activity was found when the genes encoding the alpha-
and beta-subunits were transcribed as one unit and the gene encoding the P44k
activator protein as a separate ORF on a single replicon. Addition of n-butyric
acid and FeSO(4 )could improve NHase activity. Coexpression of the GroEL-GroES
chaperone proteins increased activity in the absence of P44k protein but had no
effect in the presence of P44k. The recombinant enzyme was highly
enantioselective in the synthesis of S-(+)-3-benzoyloxy- 4-cyanobutyramide from
the prochiral substrate 3-benzoyloxyglutaronitrile.
PMID- 18043867
TI - Post-transcriptional gene regulation: from genome-wide studies to principles.
AB - Post-transcriptional regulation of gene expression plays important roles in
diverse cellular processes such as development, metabolism and cancer
progression. Whereas many classical studies explored the mechanistics and
physiological impact on specific mRNA substrates, the recent development of
genome-wide analysis tools enables the study of post-transcriptional gene
regulation on a global scale. Importantly, these studies revealed distinct
programs of RNA regulation, suggesting a complex and versatile post
transcriptional regulatory network. This network is controlled by specific RNA
binding proteins and/or non-coding RNAs, which bind to specific sequence or
structural elements in the RNAs and thereby regulate subsets of mRNAs that partly
encode functionally related proteins. It will be a future challenge to link the
spectra of targets for RNA-binding proteins to post-transcriptional regulatory
programs and to reveal its physiological implications.
PMID- 18043869
TI - Characterization of secreted recombinant Toxoplasma gondii surface antigen 2
(SAG2) heterologously expressed by the yeast Pichia pastoris.
AB - The surface antigen 2 (SAG2) gene of the protozoan parasite, Toxoplasma gondii,
was cloned and extracellularly expressed in the yeast Pichia pastoris. The
effectiveness of the secreted recombinant SAG2 (rSAG2-S) as a serodiagnosis
reagent was assessed by western blots and ELISA. In the western blot assay, rSAG2
S reacted with all Toxoplasma-antibody positive human serum samples but not with
Toxoplasma-negative samples. In the ELISA, rSAG2-S yielded sensitivity rates
ranging from 80% (IgG negative, IgM positive) to 100% (IgG positive, IgM
negative). In vivo experiments showed that serum from mice immunized with rSAG2-S
reacted specifically with the native SAG2 of T. gondii. These mice were protected
when challenged with live cells of T. gondii.
PMID- 18043870
TI - Entrapment of beta-galactosidase in polyvinylalcohol hydrogel.
AB - beta-Galactosidase isolated from Aspergillus oryzae was immobilized in lens
shaped polyvinylalcohol capsules (with activity 25 U g(-1)) giving 32% of its
original activity. Immobilization did not change the pH optimum (4.5) of lactose
hydrolysis. The relative enzyme activity during product inhibition testing was,
in average, 10% higher for immobilized enzyme. No decrease of activity was
observed after 35 repeated batch runs and during 530 h of continuous hydrolysis
of lactose (10%, w/v) at 45 degrees C. The immobilized enzyme was stable for 14
months without any change of activity during the storage at 4 degrees C and pH
4.5.
PMID- 18043871
TI - Structure discrimination for the C-terminal domain of Escherichia coli trigger
factor in solution.
AB - NMR measurements can give important information on solution structure, without
the necessity for a full-scale solution structure determination. The C-terminal
protein binding domain of the ribosome-associated chaperone protein trigger
factor is composed of non-contiguous parts of the polypeptide chain, with an
interpolated prolyl isomerase domain. A construct of the C-terminal domain of
Escherichia coli trigger factor containing residues 113-149 and 247-432, joined
by a Gly-Ser-Gly-Ser linker, is well folded and gives excellent NMR spectra in
solution. We have used NMR measurements on this construct, and on a longer
construct that includes the prolyl isomerase domain, to distinguish between two
possible structures for the C-terminal domain of trigger factor, and to assess
the behavior of the trigger factor C-terminal domain in solution. Two X-ray
crystal structures, of intact trigger factor from E. coli (Ferbitz et al., Nature
431:590-596, 2004), and of a truncated trigger factor from Vibrio cholerae
(Ludlam et al., Proc Natl Acad Sci USA 101:13436-13441, 2004) showed significant
differences in the structure of the C-terminal domain, such that the two
structures could not be superimposed. We show using NMR chemical shifts and long
range nuclear Overhauser effects that the secondary and tertiary structure of the
E. coli C-terminal domain in solution is consistent with the crystal structure of
the E. coli trigger factor and not with the V. cholerae protein. Given the
similarity of the amino acid sequences of the E. coli and V. cholerae proteins,
it appears likely that the structure of the V. cholerae protein has been
distorted as a result of truncation of a 44-amino acid segment at the C-terminus.
Analysis of residual dipolar coupling measurements shows that the overall
topology of the solution structure is completely inconsistent with both
structures. Dynamics analysis of the C-terminal domain using T1, T2 and
heteronuclear NOE parameters show that the protein is overall rather flexible.
These results indicate that the structure of this domain in solution resembles
the X-ray crystal structure of the E. coli protein in secondary structure and at
least some tertiary contacts, but that the overall topology differs in solution,
probably due to structural fluctuation.
PMID- 18043872
TI - Tumor microenvironment, a dangerous society leading to cancer metastasis. From
mechanisms to therapy and prevention.
AB - Cancer is no longer considered by scientists just a jumble of mutated cells. To
grow, invade and metastasize, a treacherous society between cancer and host cells
must be formed, and this association provides novel and effective clinical
targets for cancer control and prevention. This collection of reviews at the
front-edge of scientific knowledge focuses on host-tumor cell interactions, the
disastrous consequences they can produce and approaches the ways to break up
these cellular conspiracies, to leave the tumor cells unattended and vulnerable.
PMID- 18043873
TI - Recent applications of Kirkwood-Buff theory to biological systems.
AB - The effect of cosolvents on biomolecular equilibria has traditionally been
rationalized using simple binding models. More recently, a renewed interest in
the use of Kirkwood-Buff (KB) theory to analyze solution mixtures has provided
new information on the effects of osmolytes and denaturants and their
interactions with biomolecules. Here we review the status of KB theory as applied
to biological systems. In particular, the existing models of denaturation are
analyzed in terms of KB theory, and the use of KB theory to interpret computer
simulation data for these systems is discussed.
PMID- 18043874
TI - The elderly in the emergency department: a critical review of problems and
solutions.
AB - The elderly are an ever increasing population in overcrowded emergency
departments (EDs) in many countries. They have multiple health problems and
consume more time and resources than younger patients. They are more frequently
admitted and experience adverse outcomes after they are discharged from the ED.
These frail patients could require specific skills, instruments and
organisational models of emergency care in order to look after their complex
needs. As such, several approaches have been tried and tested to improve
emergency care for them. This article analyses the epidemiological load and
problems faced when confronted with elder ED patients. We critically review
organisational models, clinical approaches and methodologies in order to reduce
ED physicians' difficulties and to improve quality of care and outcomes for elder
patients. Triage, clinical assessment and discharge are identified as critical
moments during an emergency care process, and interesting and useful instruments
are proposed as possible solutions.
PMID- 18043875
TI - Please, sir, pull down your socks!
PMID- 18043879
TI - [Epidemiologic "paradox"--Why do 'obese' patients have a better prognosis?].
PMID- 18043876
TI - CD40/CD40L system and vascular disease.
AB - Several distinct lines of investigation in the context of atherosclerosis dealing
with low-grade inflammation, oxidative stress and platelet activation are now
emerging, with CD40/CD40L system as the missing link. CD40 ligand is a
transmembrane glycoprotein structurally related to tumour necrosis factor-alpha
and more than 95% of the circulating CD40L derives from platelets. CD40L appears
as a multiplayer of several cell types in the inflammatory network. The
peculiarity of CD40L as an inflammatory mediator derived from platelets expands
the functional repertoire of platelets from players of haemostasis and thrombosis
to powerful amplifiers of inflammation by promoting the release of cytokines and
chemokines, cell activation and cell-cell interactions. The multifunctional role
of CD40L, as a simultaneous activator of all these systems, further blurs the
intricate relationship between such events both in the physiological systems and
the pathological derangement occurring in atherothrombosis.
PMID- 18043880
TI - [Why do obese dialysis patients live longer--a hypothesis].
PMID- 18043881
TI - Lethal midline granuloma: a case of T-cell lymphoma.
PMID- 18043882
TI - Prediction of clinical outcome in patients with non-ST-elevation acute coronary
syndrome (NSTE-ACS) using the TIMI risk score extended by N-terminal pro-brain
natriuretic peptide levels.
AB - BACKGROUND: N-terminal pro-brain natriuretic peptide (Nt-proBNP) is a strong
independent predictor of death in acute coronary syndromes. In order to improve
risk assessment in patients with unstable coronary artery disease we investigated
the role of the additional determination of Nt-proBNP levels in patients sub
grouped into high-, medium- and low-risk groups according to the TIMI risk score.
METHODS: Nt-proBNP was determined in 145 consecutive patients admitted to our
clinic with typical anginal pain in the past 24 hours and normal left ventricular
function. Using classification and regression tree analysis, we investigated
whether Nt-proBNP levels provide clinically relevant prognostic information in
addition to the TIMI risk score. Nt-proBNP concentrations were determined using a
commercially available assay from Biomedica, Austria. The normal range of this
assay is <2827 pg/ml. RESULTS: Multivariate logistic regression analysis revealed
that TIMI scores and Nt-proBNP levels are independent predictors of mortality (P
= 0.001 and P < 0.001, respectively). Patients with Nt-proBNP levels >5225 pg/ml
had the highest mortality rate, independent of their TIMI risk classification. In
the subset of patients with Nt-proBNP < or =5225 pg/ml, patients at TIMI medium
risk but with Nt-proBNP above 2827 pg/ml had significantly higher mortality than
patients with lower levels of Nt-proBNP (P = 0.03). Accordingly, we developed a
combined risk score consisting of four risk groups: very high (Nt-proBNP > or
=5225 pg/ml), high (TIMI high-risk group or TIMI medium-risk group and Nt-proBNP
>2827 pg/ml), medium (TIMI medium-risk group and Nt-proBNP < or =2827 pg/ml) and
low (TIMI low-risk group). The area under the receiver operating characteristic
curve was 0.772 for the TIMI score alone and 0.863 for the combined risk score (P
< 0.001). CONCLUSION: Determination of plasma Nt-proBNP levels and incorporation
of these into TIMI risk classification by creating a combined risk score
significantly improves risk assessment of patients with unstable coronary artery
disease.
PMID- 18043883
TI - Abdominal wall pain--classification, diagnosis and treatment suggestions.
AB - BACKGROUND AND OBJECTIVE: Abdominal pain is generally believed to be a symptom of
intra-abdominal disease. When no pathological findings are evident, abdominal
pain is considered functional. Abdominal pain, however, may also originate in the
abdominal wall. METHODS: Consecutive patients with unexplained abdominal pain
were enrolled in a prospective observational study in a gastroenterologic clinic
during a period of three years. The following criteria were tested: Can abdominal
pain be elicited by physical movement? Is the source of pain localized to a
circumscribed site in the abdominal wall? Can pain be provoked by digital
palpation in this area and does pain increase when the abdominal muscles are
tensed? RESULTS: Clinical examination of 55 patients revealed small painful pits
in the abdominal wall with varying intensity of pain when the abdominal muscles
were contracted: in 39 patients the painful area was located in the semilunar
line, in seven patients the pain originated in the linea alba between xiphoid and
umbilicus, in five cases the painful spots were situated within the rectus
muscle, and in four patients the pain was localized along the costal arch. Of the
39 patients with pain in the semilunar line, 16 had a BMI > 30, 12 presented with
anxiety and depression, and 10 reported bloating and straining during defecation.
Pain in the rectus muscle was associated with exercise. The patients with pain in
the linea alba and at the costal arch suffered from obesity, anxiety or
depression. CONCLUSIONS: These findings suggest that repeated forceful
contractions of the abdominal wall muscles during exercise and straining, as well
as chronic inflation of the abdominal wall by fat pads and by bloating, may cause
strain and pain in the abdominal wall at sites predestined by anatomy. Symptom
specific anxiety may be a consequence of chronic unexplained abdominal pain. A
novel classification of abdominal wall pain is proposed. The correct diagnosis
will avoid repeated and costly investigations and relieve the patient's anxiety.
PMID- 18043884
TI - Prevalence and risk factors for osteopenia/osteoporosis in an HIV-infected male
population.
AB - The objective of our investigation was to estimate the prevalence of
osteopenia/osteoporosis in men with HIV/AIDS and evaluate the role of
antiretroviral treatment (ART), HIV and other risk factors in reducing bone
mineral density (BMD). All known Slovenian HIV-infected ART-naive and treated
males (infected or treated > 12 months) were invited to participate in a cross
sectional study. Data were collected on age, BMI, waist-hip ratio, family history
of hip fracture, duration of infection, duration of ART, smoking, alcohol,
exercise, viral load and CD4+ cells. BMD was measured using dual X-ray
absorptiometry. A total of 96 patients (out of 133 who fulfilled the inclusion
criteria) were assessed and allocated into three groups: group A (n = 24), ART
naive; group B1 (n = 37), treated with non-protease-inhibitor (PI) containing
ART; and group B2 (n = 35), treated with PI-containing ART. The prevalence of
osteopenia/osteoporosis was 57/96 (59%): osteopenia 45/96 (47%) and osteoporosis
12/96 (12%). Significantly lower BMD was detected in group A (P = 0.020).
Multiple logistic regression analysis showed ART to be an independent negative
predictor for reduced BMD (P = 0.037; OR = 0.29, 95%CI 0.09-0.93). Vitamin D(3)
deficiency was detected in 79 (82%) of the patients. The study group represented
72% of the national HIV-infected male population; this proportion being higher
than in any other study reported to date. The prevalence of reduced BMD was
notably higher than the national prevalence among men of comparable age. There
was no association between reduced BMD and any specific ART. According to our
results, absence of ART was confirmed as an independent predictor of
osteopenia/osteoporosis. Targeted screening and early treatment present a
reasonable strategy for preventing reduced BMD in HIV-infected patients, but
correcting vitamin D(3) levels could also be an important component.
PMID- 18043885
TI - [Permanent interstitial brachytherapy (seeds) for patients with primary localized
prostate cancer: analysis of 100 patients].
AB - INTRODUCTION: The aim of this retrospective study was to evaluate late toxicity
and biochemical disease-free survival of patients with primary localised prostate
cancer, who had been treated with permanent seed implantation at the radiotherapy
department of the Medical University of Vienna. METHODS AND MATERIALS: Between
08/1999 and 11/2006 100 patients were treated with ultrasound guided
transperineal seed implantation (94 patients with Iodine and 6 patients with
Palladium). 53 patients received additional hormone therapy. According to T
stage, Gleason Score and PSA, patients were divided into three risk groups (low,
intermediate and high risk). Late gastrointestinal and genitourinary side effects
and biochemical disease-free survival were evaluated. RESULTS: The patients were
followed up at a median time of 28 months (3-88 months). The median patient age
was 67.5 years (47-79 years). The distribution of low, intermediate and high risk
group patients was 63%, 35% and 2%. The 2-year actuarial rates of late Grade > or
=2 gastrointestinal and genitourinary side effects were 5% and 65%. The 2-year
biochemical disease-free survival rate was 88% (all patients), 90% (low risk) and
88% (intermediate risk), respectively. Multivariate analysis demonstrated T-stage
(p = 0.03), PSA (p = 0.02) and patient age (p = 0.02) to be significant factors
influencing biochemical disease-free survival. CONCLUSION: Ultrasound guided
transperineal seed implantation in patients with low-risk prostate cancer is an
additional treatment option showing promising results.
PMID- 18043886
TI - [Evaluation of therapy outcome on a psychiatric admission ward. Background,
methods and first results of a project on quality management].
AB - BACKGROUND: Quality management is an important management tool in modern health
care systems. This applies also to the mental health care system, where in the
past decade many concepts have been developed on how to implement quality
management appropriately and successfully. However, for the German speaking
countries there are only very few studies on the evaluation of therapy outcome in
psychiatric inpatient populations available, furthermore they deal primarily with
diagnostic subgroups. The aim of this study was to develop a method to assess the
quality of therapy on regular psychiatric admission wards. An important aspect
was to include all diagnostic subgroups of a psychiatric inpatient population.
METHODS: In an explorative field study and by means of a specially designed
evaluation method, therapy courses of a psychiatric inpatient population were
assessed. Indicators of therapy outcome were: psychopathology, level of
psychosocial functioning, motivation of the patient for therapy, suicide
attempts, legal status of the patient, patient violence and coercive treatment of
the patient. The following assessment and rating scales were used: Brief
Psychiatric Rating Scale (BPRS), Hamilton Depression Rating Scale (HAMD), Global
Assessment of Function (GAF), Social and Occupational Functioning Assessment
Scale (SOFAS) and the Symptom-Checklist SCL-9. RESULTS: Changes in the courses of
therapy of a psychiatric inpatient population in all diagnostic subgroups in the
dimensions psychopathology and level of social functioning could be reproduced
significantly using BPRS, HAMD and GAF scales. Difference values T(1)-T(2) were
6.6 +/- 6.9 (p = 0.019) in BPRS, 5.1 +/- 8.1 (p = 0.029) in HAMD and -5.5 +/-
10.1 (p = 0.028) in GAF. The entire battery of rating scales was successfully
applied in 32% of all patients (drop out rate: 68%). In the subgroup of immigrant
patients the entire battery of rating scales could be applied only in 17.4%,
which accounts for a significantly higher drop out rate (82.6%; p = 0.067).
DISCUSSION: Using the presented evaluation system therapy outcome and quality of
therapy are easy to assess. The results of the quality assessment can be used in
further therapeutic processes.
PMID- 18043887
TI - [Dr. Rosa Maria Rossler (Wien 1901 - Istanbul 1954): her work in Istanbul and her
contribution to Turkish medicine].
AB - During the Turkish University Reform in 1933 the Turkish Government invited many
foreign scientists in order to assign them to Istanbul University. Almost all of
them were scientists who had escaped from Hitler's Germany. In 1938, also some
Austrian scientists who had to leave their country were included into the staff
of Istanbul University. Dr. Rosa Maria Rossler, born in Vienna in 1901, came to
Turkey in 1934, earlier than the other Austrians did. She worked in the Institute
of Pathologic Anatomy of the Medical Faculty of Istanbul University permanently
from 1937 under Prof. Dr. Philipp Schwartz; after ten years she moved to the
2(nd) Clinic for Internal Diseases under Prof. Dr. Erich Frank, in the same
faculty. She participated in training sessions in both departments and translated
books of both her superiors from German into Turkish. One of the most important
reform goals of Turkish University was to provide students with modern textbooks.
Rossler died in 1954, at a young age, in Turkey, where she had lived alone for
twenty years. The article illustrates the impression she left in Turkish
medicine.
PMID- 18043888
TI - Contralateral stroke during carotid endarterectomy due to abnormalities in the
circle of Willis.
AB - Approximately 10-20% of patients will not tolerate cross-clamping of the common
carotid artery for carotid endarterectomy procedures. The most frequent causes of
neurological deficits are either embolization of particulate matter or cerebral
hypoperfusion. Insufficient blood flow through primary collaterals of the circle
of Willis is the main reason for hypoperfusion that requires immediate shunt
placement. Although excessive preoperative imaging is not indicated in many
patients undergoing disobliteration of a stenosed internal carotid artery, there
are some patients with particular anatomic constellations who would benefit from
a more detailed preoperative work-up. In these cases, the specific risk should be
evaluated prior to surgery in order to make plans for appropriate intraoperative
management regarding neurologic monitoring and shunt insertion. As regional
anesthesia permits early detection of ischemic symptoms, it is advantageous in
these patients. We report a case where regional anesthesia allowed early
detection of rapidly progressing signs of bi-hemispheric brain ischemia in a
patient with diabetes and with at that time unknown severe abnormalities of the
circle of Willis. Lack of adequate collateralization was detected only after
surgery, in a combined perfusion-magnetic resonance imaging study. In symptomatic
diabetics with low-grade stenosis of the internal carotid artery, preoperative
assessment of the function of the circle of Willis may therefore be helpful in
predicting any increased risk for intraoperative cerebral ischemia.
PMID- 18043889
TI - Catheter-related Leuconostoc bacteremia secondary to pulmonary Mycobacterium
xenopi infection.
AB - Infection caused by Leuconostoc spp. is very rare. We report a case of
Leuconostoc bacteremia in a patient receiving antimycobacterial chemotherapy for
pulmonary Mycobacterium xenopi infection. In addition, the patient presented
several known characteristic predisposing factors associated with Leuconostoc
infection, such as severe underlying disease, previous long-term antibiotic
treatment, indwelling intravascular catheter, prolonged parenteral feeding,
previous methicillin-resistant Staphylococcus epidermidis (MRSE) bacteremia with
subsequent vancomycin treatment, and prolonged hospitalization. Leuconostoc spp.
were isolated from several blood cultures and from a retracted intravascular
catheter. After removal of the intravascular catheter the patient's condition
improved without additional antibiotic treatment. To our knowledge, this is the
first report of a patient with Leuconostoc spp. infection secondary to pulmonary
non-tuberculous mycobacteriosis.
PMID- 18043890
TI - Is women scientists' approach to science more interdisciplinary?
PMID- 18043891
TI - Headspace volatile markers for sensitivity of cocoa (Theobroma cacao L.) somatic
embryos to cryopreservation.
AB - The mechanisms that reduce the viability of plant somatic embryos following
cryopreservation are not known. The objective of the present study was to
evaluate the sensitivity of cocoa (Theobroma cacao L.) somatic embryos at
different stages of an encapsulation-dehydration protocol using stress-related
volatile hydrocarbons as markers of injury and recovery. The plant stress hormone
ethylene and volatile hydrocarbons derived from hydroxyl radicals (methane) and
lipid peroxidation (ethane) were determined using gas chromatography headspace
analysis. Ethylene and methane were the only volatiles detected, with both being
produced after each step of the cryogenic protocol. Ethylene production was
significantly reduced following exposure to liquid nitrogen, but then increased
in parallel with embryo recovery. In contrast, the production of methane was
cyclic during recovery, with the first cycle occurring earlier for embryos
recovered from liquid nitrogen and desiccation than those recovered from earlier
steps in the protocol. These results suggest that loss of somatic embryo
viability during cryopreservation may be related to the oxidative status of the
tissue, and its capacity to produce ethylene. This study has demonstrated that
headspace volatile analysis provides a robust non-destructive analytical approach
for assessing the survival and recovery of plant somatic embryos following
cryopreservation.
PMID- 18043892
TI - Quantitative plaque characterization with coronary CT angiography (CTA): current
challenges and future application in atherosclerosis trials and clinical risk
assessment.
PMID- 18043893
TI - MRI of great vessel morphology and function in Ehlers-Danlos syndrome type IV.
AB - The purpose of this study was to investigate whether large arteries in subjects
with Ehlers-Danlos Syndrome Type IV (EDS IV) exhibit altered morphological and
functional characteristics that might indicate the risk of complications.
Subjects with EDS IV, an inherited disorder of type III collagen, have a
significant lifetime risk of arterial rupture. Magnetic Resonance Imaging (MRI)
of the aorta and carotid artery was used to measure diameter, wall thickness,
pulse propagation velocity, and spin-spin relaxation time constant (T(2)) of the
artery walls. These measurements were made and compared by a two-sided t-test in
17 subjects with EDS IV and in eight age and gender matched sibling controls.
Additionally, Spearman correlation was computed between measurements and the
average longevity of affected relatives. Comparing controls to 15 subjects with
no known prior aortic complications, we found no differences in the diameters of
the descending thoracic and abdominal aortas, but did find ascending aorta
dilatation in two subjects (13%). We also found a trend in EDS IV subjects toward
higher wall thicknesses after normalizing by body surface area for both the
abdominal aorta (P=0.05) and the common carotid artery (P=0.07). Finally, we
found a significant negative correlation (R= -0.82, P=0.02) between age-adjusted
pulse propagation velocity and familial longevity. In conclusion, preliminary
evidence suggests that elevated pulse propagation velocity, which indicates
reduced vessel distensibility, may be a risk factor for complications of EDS IV.
Ascending aorta dilatation and increased vessel wall thickness were also found in
subjects with EDS IV and represent potential risk factors for future study.
PMID- 18043895
TI - TGFbeta2 and TbetaRII are valid molecular biomarkers for the antiproliferative
effects of tamoxifen and tamoxifen metabolites in breast cancer cells.
AB - Response to treatment with the antiestrogen tamoxifen is variable and at least
partially due to its highly complex metabolism. Tamoxifen is transformed by
polymorphic and inducible cytochrome P450 enzymes to a large number of
metabolites with varying biological activities. The estrogen receptor dependent
growth inhibitory effect of antiestrogens is mediated by activation of
antiproliferative Transforming Growth Factor beta (TGFbeta) signal transduction
pathways. The aim of the present study was to establish if TGFbeta2 or TGFbeta
receptor II (TbetaRII), could be used as markers to assess the pharmacological
potency of tamoxifen and its metabolites. Consequently, we analyzed the growth
inhibitory effect of tamoxifen and its major metabolites and explored whether it
correlated with their capacity to induce TGFbeta2 and TbetaRII expression. Human
breast cancer cells (MCF-7 and T47D) were treated with tamoxifen and tamoxifen
metabolites and mRNA expression of TGFbeta2 and TbetaRII was analyzed by
quantitative RT-PCR. Only two metabolites 4-hydroxytamoxifen and N-desmethyl-4
hydroxytamoxifen had significant antiproliferative activity and were able to
induce TGFbeta2 and TbetaRII. Plasma concentrations of these metabolites are
usually very low in patients. However, even minor growth inhibitory effects at
concentrations which are below the limit of quantification in plasma samples
resulted in clearly discernible effects on expression of TGFbeta2 and TbetaRII.
Taken together, our data demonstrate that TGFbeta2 and TbetaRII are very specific
and sensitive biomarkers for the antiestrogenic activity of tamoxifen metabolites
in breast cancer.
PMID- 18043894
TI - MRI compared to conventional diagnostic work-up in the detection and evaluation
of invasive lobular carcinoma of the breast: a review of existing literature.
AB - PURPOSE: The clinical diagnosis and management of invasive lobular carcinoma
(ILC) of the breast presents difficulties. Magnetic resonance imaging (MRI) has
been proposed as the imaging modality of choice for the evaluation of ILC. Small
studies addressing different aspects of MRI in ILC have been presented but no
large series to date. To address the usefulness of MRI in the work-up of ILC, we
performed a review of the currently published literature. MATERIALS AND METHODS:
We performed a literature search using the query "lobular AND (MRI OR MR OR MRT
OR magnetic)" in the Cochrane library, PubMed and scholar.google.com, to retrieve
all articles that dealt with the use of MRI in patients with ILC. We addressed
sensitivity, morphologic appearance, correlation with pathology, detection of
additional lesions, and impact of MRI on surgery as different endpoints. Whenever
possible we performed meta-analysis of the pooled data. RESULTS: Sensitivity is
93.3% and equal to overall sensitivity of MRI for malignancy in the breast.
Morphologic appearance is highly heterogeneous and probably heavily influenced by
interreader variability. Correlation with pathology ranges from 0.81 to 0.97;
overestimation of lesion size occurs but is rare. In 32% of patients, additional
ipsilateral lesions are detected and in 7% contralateral lesions are only
detected by MRI. Consequently, MRI induces change in surgical management in 28.3%
of cases. CONCLUSION: This analysis indicates MRI to be valuable in the work-up
of ILC. It provides additional knowledge that cannot be obtained by conventional
imaging modalities which can be helpful in patient treatment.
PMID- 18043896
TI - Long-term pattern of disease recurrence among patients with early-stage breast
cancer according to estrogen receptor status and use of adjuvant tamoxifen.
AB - PURPOSE: Recent studies on the pattern of gene expression in estrogen receptor
positive and negative tumours have revealed profound differences according to
receptor status. However, it remains unclear if these differences reflect
phenotypic traits in addition to sensitivity to endocrine therapy. This paper
describes the long-term pattern of disease recurrence among ca. 2,600 pre- and
post-menopausal patients with primary breast cancer according to estrogen
receptor status. MATERIAL AND METHODS: The study was based on patients with an
operable, invasive breast cancer entered in one of three controlled clinical
trials conducted by the Stockholm Breast Cancer Group. We selected those 2,562
patients who had been randomly allocated between adjuvant tamoxifen and no
adjuvant systemic therapy. These patients had a known estrogen receptor status.
RESULT: Tamoxifen reduced locoregional (8.8% vs. 12.4%, hazard ratio (HR), 0.66;
95% CI, 0.52-0.83; P = 0.001, distant recurrences (17.2% vs. 20.2%, HR, 0.81; CI,
0.68-0.97; P = 0.018, as well as breast cancer death (18.7% vs. 23.7%, HR, 0.78;
CI, 0.67-0.92; P = 0.002). Among patients not allocated to tamoxifen there was no
significant differences in term of neither locoregional (12.4% vs. 12.4%, HR, 1;
CI, 0.72-1.41; P = 0.98), nor distant metastases (18.5% vs. 20.7%, HR, 1.11;CI,
0.85-1.45; P = 0.46) according to ER status. The pattern of metastases was not
different in ER positive comparison with ER negative. CONCLUSION: The results
showed that the mentioned substantial differences in terms of gene expression
appeared mainly to be related to endocrine sensitivity and not to metastatic
potential. However, a slight advantage during the first five years for the ER
positive versus ER negative patients in terms of cumulative incidence of events,
suggested that ER negativity in some cases is correlated with an increased tumour
growth rate.
PMID- 18043897
TI - Multicenter phase II trial of neoadjuvant exemestane for postmenopausal patients
with hormone receptor-positive, operable breast cancer: Saitama Breast Cancer
Clinical Study Group (SBCCSG-03).
AB - This multicenter phase II trial evaluated the efficacy and tolerability of 4
months of neoadjuvant exemestane in 44 postmenopausal patients with estrogen
receptor (ER)-positive and/or progesterone receptor-positive, stage II to IIIB
breast cancer measuring >or=3 cm. Pathological response was assessed by a central
review board using response criteria proposed by the Japanese Breast Cancer
Society. Clinical response [complete or partial response (PR)] was assessed by
caliper, mammography, or ultrasound. Rates of breast-conserving surgery (BCS) and
adverse events were also evaluated. A pathological response was observed in 13
(43%) of 30 patients who underwent surgery at 4 months. Fourteen patients were
excluded from the pathological analysis: eight continued exemestane because of PR
or stable disease (SD) at 4 months, three underwent chemotherapy because of
progressive disease, and three underwent surgery within 2 months because of
adverse events. A clinical response was seen in 27 (66%) of 41 evaluable
patients. BCS was performed in 27 (90%) of 30 patients who underwent surgery at 4
months. Of the ten patients eligible for mastectomy at baseline, six chose to
continue exemestane treatment without surgery because of a PR or SD at 4 months.
Adverse events, most of which were grade 1, occurred in or=45 years old with no history of cardiovascular disease
or cancer who participated in the Women's Health Study, a randomised trial of
vitamin E and aspirin. RESULTS: Over a median of 10 years of follow-up, 706 women
died. Proportional hazards models adjusted for age, smoking, hypertension, blood
lipids, exercise, postmenopausal hormone use, multivitamin use and C-reactive
protein were used to estimate the relative risk of mortality. Among women without
a diagnosis of diabetes and HbA1c<5.60%, those in the top quintile (HbA1c 5.19
5.59%) had a relative risk of mortality of 1.28 (95% CI 0.98-1.69, p value for
linear trend=0.14) compared with those with HbA1c 2.27-4.79%. Women with HbA1c
5.60-5.99% and no diagnosis of diabetes had a 54% increased risk of mortality
(95% CI 1-136%) compared with those with HbA1c 2.27-4.79%. HbA1c was
significantly associated with mortality across the range 4.50-7.00% (p value for
linear trend=0.02); a test of deviation from linearity was not statistically
significant (p=0.67). Diabetic women had more than twice the mortality risk of
non-diabetic women. CONCLUSIONS/INTERPRETATION: This study provides further
evidence that chronic mild hyperglycaemia, even in the absence of diagnosed
diabetes, is associated with increased risk of mortality. ClinicalTrials.gov ID
no.: NCT00000479.
PMID- 18043906
TI - A technique for arthroscopic fasciotomy for the chronic exertional tibialis
anterior compartment syndrome.
AB - Chronic exertional anterior compartment syndrome (CECS) is a condition that
causes pain over the front of the shin bone that usually occurs in physically
active people, especially runners. It may require sometimes an immediate
fasciotomy. A longitudinal incision just over the fibula has used as surgical
treatment bad cosmetic appearance. Although nowadays mini surgical incisions are
preferred. The aim of this study to evaluate a simple fasciotomy technique with a
minimal incision by using arthroscope. Nine legs of six patients that diagnosed
as CECS has underwent fasciotomy operation with a 1 cm incision. Complaints had
disappeared after operation. The mean follow-up time is 2 years. The results were
good all of the patients and they never experienced exertional pain episodes. The
arthroscopic assisted mini incisional fasciotomy technique has advantage such as
early rehabilitation and good cosmetic appearance.
PMID- 18043907
TI - Transcriptional activity of Pseudomonas aeruginosa fhp promoter is dependent on
two regulators in addition to FhpR.
AB - The regulation of flavohemoglobin expression is complex and depending on its host
organism requires a wide variety of different transcriptional regulators. In
Pseudomonas aeruginosa, the flavohemoglobin (Fhp) and its cognate regulator FhpR
form an NO-sensing and detoxifying system regulated by their common bidirectional
promoter Pfhp/PfhpR. The intergenic fhp-fhpR region of P. aeruginosa PAO1 was
used as a bait to isolate proteins affecting the transcription of fhp and fhpR.
In addition to the FhpR, we identified two previously uncharacterized P.
aeruginosa proteins, PA0779 and PA3697. Both PA0779 and PA3697 were found to be
essential for NO3(-) and NO2(-) induced Pfhp activity under aerobic and low
oxygen conditions, and needed for the full function of Pfhp/PfhpR as NO
responsive regulatory circuit under aerobic conditions. In addition, we show that
the transcriptional activity of PfhpR is highly inducible upon addition of SNP
under aerobic conditions, but not by NO3(-), NO2(-) or under low-oxygen
conditions, supporting the findings that FhpR is not the only factor affecting
flavohemoglobin expression in P. aeruginosa.
PMID- 18043908
TI - Effects of intranasal methamphetamine on metacognition of agency.
AB - BACKGROUND: Although methamphetamine abuse has been associated with cognitive
deficits, few studies have investigated the acute effects of the drug on complex
cognitive performance. This study evaluated the acute effects of intranasal
methamphetamine on a computerized task measuring metacognition of agency.
PROCEDURE: Ten nontreatment seeking methamphetamine abusers (2F, 8M) completed
this four-session, within-participant, double-blind laboratory study; during each
session, participants received one of four doses (0, 12, 25, or 50 mg/70 kg) and
completed the metacognition of agency task. In this task, participants were
instructed to "catch" falling targets with a mouse and then provide metacognitive
judgments about their feelings of control. RESULTS: Following placebo, judgments
of agency were greater under optimal task conditions compared with less than
optimal task conditions. Relative to placebo, the 12-mg dose improved task
performance, increased judgments of agency under the optimal condition, and
decreased judgments of agency under the less than optimal condition. By contrast,
the larger doses (25 and 50 mg) increased judgments of agency only under the
optimal condition but disrupted performance under the less than optimal
condition. CONCLUSION: These data show that a low intranasal methamphetamine dose
enhanced judgments of agency and performance, while larger doses produced limited
effects.
PMID- 18043909
TI - Studies on the analytical performance of a non-covalent coating with N,N
didodecyl-N,N-dimethylammonium bromide for separation of basic proteins by
capillary electrophoresis in acidic buffers in 25- and 50-microm capillaries.
AB - Capillaries (25- and 50-microm inner diameter) coated with a double-alkyl-chain
cationic surfactant N,N-didodecyl-N,N-dimethylammonium bromide (DDAB) were used
for the separation of four basic standard proteins in buffers of pH 4 at various
ionic strengths. The choice of buffer is critical for the analytical performance.
Ammonium ions must be avoided in the buffer used in the non-covalent coating
procedure owing to competition with the surfactant. Phosphate buffer gave a
better separation performance than some volatile buffers; the reason seems to be
a complex formation between the proteins and dihydrogenphosphate ions, which
decreases tendencies for adsorption to the capillary surface. The DDAB coating
was easy to produce and stable enough to permit, without recoating, consecutive
separations of the proteins for up to 100 min with good precision in migration
times and peak areas. A strong electroosmotic flow gives rapid separations, which
is of special importance when commercial instruments are used, since the choice
of the length of the capillary is restricted.
PMID- 18043910
TI - Attenuation of N2 amplitude of laser-evoked potentials by theta burst stimulation
of primary somatosensory cortex.
AB - Theta burst stimulation (TBS) is a special repetitive transcranial magnetic
stimulation (rTMS) paradigm, where bursts of low-intensity stimuli are applied in
the theta frequency. The aim of this study was to investigate the effect of
neuronavigated TBS over primary somatosensory cortex (SI) on laser-evoked
potentials (LEPs) and acute pain perception induced with Tm : YAG laser
stimulation. The amplitude changes of the N1, N2, and P2 components of LEPs and
related subjective pain rating scores of 12 healthy subjects were analyzed prior
to and following continuous TBS (cTBS), intermittent TBS (iTBS), intermediate TBS
(imTBS), and sham stimulation. Our results demonstrate that all active TBS
paradigms significantly diminished the amplitude of the N2 component, when the
hand contralateral to the site of TBS was laser-stimulated. Sham stimulation
condition had no significant effect. The subjective pain perception also
decreased during the experimental sessions, but did not differ significantly from
the sham stimulation condition. The main finding of our study is that TBS over SI
diminished the amplitude of the N2 component evoked from the contralateral side
without any significant analgesic effects. Furthermore, imTBS produced responses
similar to those observed by other forms of TBS induced excitability changes in
the SI.
PMID- 18043912
TI - Haemodynamic simulation of aneurysm coiling in an anatomically accurate
computational fluid dynamics model: technical note.
AB - INTRODUCTION: Computational fluid dynamics (CFD) is a numerical technique that is
used for studying haemodynamic parameters in cerebral aneurysms. As it is now
possible to represent an anatomically accurate intracranial aneurysm in a
computational model, we have attempted to simulate its endosaccular occlusion
with coils and demonstrate the haemodynamic changes induced. This is the first
attempt to use this particular porous medium-based method for coiling simulation
in a CFD model, to our knowledge. METHODS: Datasets from a rotational 3-D digital
subtraction angiogram of a recently ruptured anterior communicating aneurysm were
converted into a 3-D geometric model and the discretized data were processed
using the computational technique developed. Coiling embolisation simulation was
achieved by impediment of flow through a porous medium with characteristics
following a series of embolisation coils. Haemodynamic parameters studied were:
pressure distribution on the vessel wall, blood velocity and blood flow patterns.
RESULTS: Significant haemodynamic changes were detected after deployment of the
first coil. Similar, but less dramatic changes occurred during subsequent stages
of coiling. The blood flow patterns became less vortical in the aneurysm sac as
velocity decreased to stagnation and the wall pressure at the fundus was
gradually reduced. Furthermore, the haemodynamic characteristics developed at the
area of the neck remnant could form the basis for assessing the likelihood of
delayed coil compaction and aneurysm regrowth. CONCLUSION: Appropriate
computational techniques show great promise in simulating the haemodynamic
behaviour of the various stages in coil embolisation and may be a potentially
valuable tool in interventional planning and procedural decision-making.
PMID- 18043913
TI - Metals and membranes in neuroscience.
PMID- 18043911
TI - Inhibition of metoprolol metabolism and potentiation of its effects by paroxetine
in routinely treated patients with acute myocardial infarction (AMI).
AB - OBJECTIVE: To investigate the influence of paroxetine on metoprolol
concentrations and its effect in patients treated for acute myocardial infarction
(AMI) who are routinely given paroxetine as a co-treatment of depression.
METHODS: We recruited 17 depressed AMI patients who received metoprolol as a
routine part of their therapy (mean dose 75 +/- 39 mg/day). Patients were
genotyped for CYP2D6 3, 4 and gene duplication. Metoprolol and alpha-hydroxy
metoprolol were analyzed in plasma 0, 2, 6 and 12 h post-dose. Heart rates (HR)
at rest were registered after each sampling. Paroxetine 20 mg daily was then
administered, and all measurements were repeated on day 8. RESULTS: All patients
were genotypically extensive metabolizers (EMs) (nine with 1/1 and eight with 1/3
or 4). Following the administration of paroxetine, mean metoprolol areas under
the concentration-time curve (AUC) increased (1064 +/- 1213 to 4476 +/- 2821 nM x
h/mg per kg, P = 0.0001), while metabolite AUCs decreased (1492 +/- 872 to 348 +/
279 n M x h/mg per kg, P < 0.0001), with an increase of metabolic ratios (MR)
(0.9 +/- 1.3 to 26 +/- 29; P < 0.0001). Mean HRs were significantly lower after
the study week at each time point. Mean area under the HR versus time curve
(AUEC) decreased (835 +/- 88 to 728 +/- 84 beats x h/min; P = 0.0007). Metoprolol
AUCs correlated with patients' AUECs at the baseline (Spearman r = -0.64, P <
0.01), but not on the eighth day of the study. A reduction of metoprolol dose was
required in two patients due to excessive bradycardia and severe orthostatic
hypotension. No other adverse effects of the drugs were identified. CONCLUSION: A
pronounced inhibition of metoprolol metabolism by paroxetine was observed in AMI
patients, but without serious adverse effects. We suggest, however, that the
metoprolol dose is controlled upon initiation and withdrawal of paroxetine.
PMID- 18043914
TI - Studies of distribution, location and dynamic properties of EGFR on the cell
surface measured by image correlation spectroscopy.
AB - In this work, we have studied the distribution and dynamic properties of
Epidermal Growth Factor (EGF) receptors in the plasma membrane of fixed and live
cells as well as the extent of co-localization of this transmembrane protein with
proteins specific for three-membrane microdomains: membrane rafts, caveolae and
clathrin-coated pits. This was achieved using a family of image-processing tools
called image correlation spectroscopy (ICS), image cross-correlation spectroscopy
(ICCS) and dynamic image correlation spectroscopy (DICS). Our results indicate
that EGFR is diffusely distributed on the cell surface at 37 degrees C and
aggregates as the temperature is lowered to 4 degrees C. This aggregation takes
place within 15 min and is reversible. Changes in temperature also affect the
diffusion of EGFR by two orders of magnitude. The dynamic properties of EGFR are
similar to the dynamic properties of a GPI-anchored protein known to be present
in membrane rafts, which motivated us to explore the extent of co-localization of
EGFR with this membrane raft protein using ICCS. Our results indicate that more
than half of the EGFR population is present in membrane rafts and smaller
percentages are present in caveolae and clathrin-coated pits.
PMID- 18043915
TI - Marine lysozyme from a marine bacterium that inhibits angiogenesis and tumor
growth.
AB - Recent studies suggest that lysozyme, rich in hen egg, has an antitumor function.
In the present study, we investigated the antitumor and antiangiogenesis effects
of a newly isolated marine lysozyme both in vitro and in vivo. First, we showed
that this marine-derived lysozyme specifically inhibits the proliferation of
endothelial cells (ECV304) in a dose-dependent manner with no cytotoxicity
(IC(50) = 3.64 microM). Second, we showed that this marine lysozyme directly
suppresses neovascularization in chicken embryos using chorioallantoic membrane
assay. Third, we demonstrated that this marine lysozyme markedly inhibits tumor
growth in mice bearing either sarcoma 180 or hepatoma 22. Unexpectedly, hen egg
lysozyme has no effects on the proliferation of endothelial cells in vitro or
neovascularization in chicken embryos or tumor growth in nude mice at the same
dosage range. Taken together, our studies clearly show that the newly identified
marine lysozyme is a potent antitumor molecule, which may inhibit tumor growth
and inhibit angiogenesis. We believe that this marine lysozyme may have a
therapeutic value in antitumor drug development.
PMID- 18043916
TI - Pathway, inhibition and regulation of methyl tertiary butyl ether oxidation in a
filamentous fungus, Graphium sp.
AB - The filamentous fungus Graphium sp. (ATCC 58400) co-metabolically oxidizes the
gasoline oxygenate methyl tertiary butyl ether (MTBE) after growth on gaseous n
alkanes. In this study, the enzymology and regulation of MTBE oxidation by
propane-grown mycelia of Graphium sp. were further investigated and defined. The
trends observed during MTBE oxidation closely resembled those described for
propane-grown cells of the bacterium Mycobacterium vaccae JOB5. Propane-grown
mycelia initially oxidized the majority ( approximately 95%) of MTBE to tertiary
butyl formate (TBF), and this ester was biotically hydrolyzed to tertiary butyl
alcohol (TBA). However, unlike M. vaccae JOB5, our results collectively suggest
that propane-grown mycelia only have a limited capacity to degrade TBA. None of
the products of MTBE exerted a physiologically relevant regulatory effect on the
rate of MTBE or propane oxidation, and no significant effect of TBA was observed
on the rate of TBF hydrolysis. Together, these results suggest that the
regulatory effects of MTBE oxidation intermediates proposed for MTBE-degrading
organisms such as Mycobacterium austroafricanum are not universally relevant
mechanisms for MTBE-degrading organisms. The results of this study are discussed
in terms of their impact on our understanding of the diversity of aerobic MTBE
degrading organisms and pathways and enzymes involved in these processes.
PMID- 18043917
TI - Heterologous expression of heterodimeric laccase from Pleurotus ostreatus in
Kluyveromyces lactis.
AB - Among the laccases produced by the white-rot fungus Pleurotus ostreatus, there
are two closely related atypical isoenzymes, POXA3a and POXA3b. These isoenzymes
are endowed with quaternary structure, consisting of two subunits very different
in size. The POXA3 large subunit is clearly homologous to other known laccases,
while the small subunit does not show significant homology with any protein in
data banks. To investigate on the singular structure of the POXA3 complex, a new
system for recombinant expression of heterodimer proteins in the yeast
Kluyveromyces lactis has been set up. A unique expression vector has been used
and the cDNAs encoding the two subunits have been cloned under the control of the
same bi-directionally acting promoter. Expression of the large subunit alone and
co-expression of both subunits in the same host have been demonstrated and the
properties of the recombinant proteins have been compared. Clones expressing the
large subunit alone exhibited always notably lower activity than those expressing
both subunits. In addition to the activity increase, the presence of the small
subunit led to a significant increase of laccase stability. Therefore, a role of
the small subunit in POXA3 stabilisation is suggested.
PMID- 18043918
TI - Radioguided occult lesion localisation in breast cancer using an intraoperative
portable gamma camera: first results.
AB - PURPOSE: The role of the radioguided occult lesion localisation (ROLL) technique
in breast cancer has been increasing in recent years. One of the important
drawbacks of such a technique is radiotracer spillage within the mammary gland
that makes the precise lesion resection difficult, and this requires the use of a
hook-wire collocation to reach the lesion. The possibility of obtaining an
intraoperative image of the specimen could help to confirm whether the lesion is
correctly removed. Some types of portable gamma cameras have been designed, but
up to now, intraoperative use has been confined to surgery of parathyroid
adenomas and sentinel lymph node location. The aim of the study was to value the
usefulness of an intraoperative gamma camera to assess the resection of non
palpable breast lesions. MATERIALS AND METHODS: The study involved 42 women
diagnosed with non-palpable early breast cancer. Lymphoscintigraphy was performed
on the day before surgery by injection of (99m)Tc-labelled nanocolloid. During
surgery a gamma probe was used to guide the surgeon, and afterwards images of the
surgical bed and the tumoral specimen were acquired by means of a portable gamma
camera, fitted with a pinhole collimator. A (99m)Tc pointer was used to draw an
outline image around the specimen. RESULTS: On lymphoscintigraphy, radiotracer
was concentrated in 31 cases. During surgery, all lesions were removed. In the
images acquired by the portable gamma camera, the lesion was centred inside the
surgical specimen in 23 of 42 cases, non-centred in 15 and in contact in 4 cases.
Congruence of 60% was found between the intraoperative images and the
histopathological results. The posterior margin was the most frequently involved.
The whole acquisition time for the tumoral specimen with its margins was 5 min at
most. CONCLUSION: The use of portable gamma cameras in theatre is in an early
phase. The short period of time required during the surgical procedure will allow
the surgical team to improve this technique until it can replace hand-held
probes. The intraoperative acquisition of such images can predict the involvement
of surgical margins, avoiding future surgical procedures.
PMID- 18043919
TI - I-123-mIBG myocardial imaging for assessment of risk for a major cardiac event in
heart failure patients: insights from a retrospective European multicenter study.
AB - PURPOSE: Single-center experiences have shown that myocardial meta
iodobenzylguanidine (mIBG) uptake has prognostic value in heart failure (HF)
patients. To verify these observations using a rigorous clinical trial
methodology, a retrospective review and prospective quantitative reanalysis was
performed on a series of cardiac (123)I-mIBG scans acquired during a 10-year
period at six centers in Europe. METHODS: (123)I-mIBG scans obtained on 290 HF
patients [(262 with left ventricular ejection fraction (LVEF) < 50%)] from 1993
to 2002 were reanalyzed using a standardized methodology to determine the heart
to-mediastinum ratio (H/M) on delayed planar images. All image results were
verified by three independent reviewers. Major cardiac events [MCEs; cardiac
death, cardiac transplant, potentially fatal arrhythmia (including implantable
cardioverter-defibrillator discharge)] during 24-month follow-up were confirmed
by an adjudication committee. RESULTS: MCEs occurred in 67 patients (26%): mean
H/M ratio was 1.51 +/- 0.30 for the MCE group and 1.97 +/- 0.54 for the non-MCE
group (p < 0.001). Two-year event-free survival using an optimum H/M ratio
threshold of 1.75 was 62% for H/M ratio less than 1.75, 95% for H/M ratio greater
than or equal to 1.75 (p < 0.0001). Logistic regression showed H/M ratio and LVEF
as the only significant predictors of MCE. Using the lower and upper H/M
quartiles of 1.45 and 2.17 as high- and very low-risk thresholds, 2-year event
free survival rates were 52% and 98%, respectively. Among patients with LVEF < or
= 35% and H/M > or = 1.75 (n = 73), there were nine MCEs because of progressive
HF and only one because of an arrhythmia. CONCLUSION: Application of a clinical
trial methodology via the retrospective reanalysis of (123)I-mIBG images confirms
the previously reported prognostic value of this method in HF patients, including
potential identification of a quantitative threshold for low risk for cardiac
mortality and potentially fatal ventricular arrhythmias.
PMID- 18043920
TI - Emergence of the alumina matrix composite in total hip arthroplasty.
AB - Pure alumina ceramic has been in clinical use in orthopaedics since 1971 and,
currently, up to 5 million components have been implanted. Alumina offers
advantages like stability, biocompatibility and low wear; however, it has limited
strength. Applications are limited by design considerations. Engineers in
biomaterials have worked on improving the performance of the material by
optimising the manufacturing process. To fulfil surgeons' and patients'
increasingly exacting requirements, ceramists have also developed a new ceramic
composite, the alumina matrix composite (AMC). This material combines the great
principles of the reinforcement of ceramics with its tribological qualities and
presents a better mechanical resistance than alumina. The examination of the
tribological situation of AMC, especially under the challenging conditions of
hydrothermal ageing, shows the aptitude of this material in wear applications.
The US Food and Drug Administration (FDA) has approved ceramic ball heads
articulating against polyethylene inserts. Since its introduction, more than
65,000 ball heads and 40,000 inserts of AMC have been implanted. With a 6-year
follow up, no complication has been reported to the manufacturer. Improved
toughness and the excellent wear of AMC makes it a potentially more flexible
alternative to the more traditional alumina for hip prostheses.
PMID- 18043921
TI - Isokinetic evaluation of knee extensor/flexor muscle strength in patients with
hypermobility syndrome.
AB - Benign joint hypermobility syndrome (BJHS) is a syndrome with musculoskeletal
pain originating from the increased laxity of the joints and the ligaments. The
study was to compare the isokinetic strength of knee extensor/flexor muscles of
BJHS patients with healthy controls. Forty patients diagnosed as having BJHS with
Brighton criteria and 45 years of age, height and weight-matched healthy controls
were recruited for the study. Isokinetic testing was performed with isokinetic
dynamometry of Biodex System 3Pro and measurements were recorded at knee
extension/flexion pattern concentrically at 60, 180, and 240 degrees/s angular
velocities. The study group was also evaluated for functional impairment and pain
by HAQ and VAS respectively. Knee extensor muscle strength was significantly
lower in the patient group compared with the controls. It was hypothesized that
the muscle weakness in the study group was related to lengthening of the
quadriceps muscle and pain-related inactivity as well as joint instability and
proprioception defect.
PMID- 18043922
TI - The role of frequency, phase and time for processing of amplitude modulated
signals by grasshoppers.
AB - Acoustic signals consist of pressure changes over time and can thus be analyzed
in the frequency- or in the time-domain. With behavioural experiments we
investigated which frequency components (FC) are necessary for the recognition of
the periodic envelope of the conspecific song by females of the grasshopper
Chorthippus biguttulus. Further, we determined up to which frequency component
phase information is required which would indicate processing in the time domain.
Responses of females revealed that signals composed of FC between 10 and 50 Hz
are sufficient for recognition of the song envelope. A systematic reduction in
the number of FC showed that no single frequency component was required; signals
without the fundamental frequency were still highly attractive and only three FC
may be sufficient for song recognition. Phase changes for frequencies up to 40 Hz
strongly changed the attractiveness of song signals but only little at 50 Hz.
Females were also tested with rectangular signals in which pause duration was
varied. Evidently, and despite the high attractiveness of song signals with a
"missing fundamental", females evaluated the attractiveness of signals in the
time-domain, since the selectivity for pause duration predicted the responses to
signals composed from FC well.
PMID- 18043923
TI - Adult tethered cord syndrome mimicking lumbar disc disease.
AB - OBJECT: This paper reports four tethered cord cases who initially applied with
lumbar disk disease symptoms. MATERIALS AND METHODS: All of them were
investigated by magnetic resonance imaging (MRI) and somatosensory evoked
potential (SSPE). In two patients, MRI revealed thick and fatty filum terminale,
while in remaining two, the filum terminale appeared as normal in thickness. SSEP
revealed pathological conduction values in all, and it was the main indicator for
surgical sectioning in patients especially with normal MRI investigations. All
patients had benefit from the surgical sectioning of the fila terminalia with
either thick and fatty or normal appearance. CONCLUSION: A particular patient
with lumbar disc disease symptoms having normal MRI should also be investigated
for tight filum terminale. If there is no pathological appearance in MRI
investigation (both in regard to disc herniation and thick and fatty filum
terminale), SSEP investigation should be done to check whether a conduction block
or delay is present, indicating cord tethering.
PMID- 18043924
TI - Multiple short-segment laminoplasties in children: a novel technique to avoid
postoperative spinal deformity.
AB - INTRODUCTION: Spinal deformity is a recognized important complication after
laminectomies, especially in children. Even with laminoplasties, spinal deformity
is a concern, especially when laminoplasties involve multiple levels. The author
reports a novel technique to avoid postoperative spinal deformity using multiple
short-segment replacement laminoplasties to access pathology over a long segment
of the intraspinal space. REPORT: The technique was used to evacuate an organized
extradural hematoma from C4 to T9 in a 9-month-old infant with incomplete
quadriplegia. Three separate, short-segment, and superiorly reflected
laminoplasties (C5-C7; T2-T4; T6-T8) were performed, leaving intact the laminae
(T1; T5) between each laminoplasty flap. At 1 year postoperatively, there was
complete neurological recovery and no spinal deformity. The laminae re-fused
anatomically. CONCLUSIONS: Multiple short-segment laminoplasties allow good
access to a long intraspinal segment, may preserve the stability of the spine,
prevent spinal deformity, allow good reconstitution of the laminar anatomy, and
facilitate surgical stabilization should that be required in the future. This
technique may be applicable to other intraspinal lesions, such as tumors.
PMID- 18043925
TI - Recurrent nephrogenic adenoma in a 10-year-old boy with prune belly syndrome : a
case presentation.
AB - Nephrogenic adenoma is a rare benign lesion of the urinary tract that is
associated with a history of irritation or injury of the urothelium. Predisposing
factors include infection, calculi, surgery, trauma, and renal transplantation.
Nephrogenic adenoma commonly presents with lower urinary tract symptoms or
hematuria. We present the case of recurrent nephrogenic adenoma in a 10-year-old
boy with a history of prune belly syndrome and discuss management of this disease
in the pediatric population. To our knowledge this represents the first reported
case of recurrent nephrogenic adenoma associated with prune belly syndrome.
PMID- 18043926
TI - Life-threatening rectal necrosis after injection sclerotherapy for haemorrhoids.
PMID- 18043927
TI - Doxycycline-coated sutures improve mechanical strength of intestinal anastomoses.
AB - BACKGROUND AND AIMS: After resection and repair of the intestines, tissue
degradation leads to weakening of the repair site and risk of postoperative
leakage. Matrix metalloproteinases (MMPs) are thought to be responsible for
collagenolysis in the direct vicinity of surgical sutures in many tissues.
Several experimental studies show that MMP inhibitors administered systemically
alleviate postoperative weakening of intestinal anastomoses. We hypothesised that
local delivery of MMP inhibitors would achieve a similar effect. MATERIALS AND
METHODS: Implementing a novel method for the coating of biomaterials, we coated
sutures with a cross-linked fibrinogen film and bound the MMP inhibitor
doxycycline into this film. The sutures were then used in a standard rat model
for evaluating mechanical properties of colonic anastomoses 3 days after surgery.
RESULTS: The breaking strength of the anastomoses on the critical third day after
operation was 17% higher with doxycycline-coated sutures compared to controls (P
= 0.026). Energy uptake at failure was enhanced by 20% (P = 0.047). CONCLUSION:
Drug delivery by means of MMP-inhibitor-coated sutures appears to improve tissue
integrity during anastomotic repair and may reduce postoperative complications.
PMID- 18043928
TI - Lack of thrombospondin-1 increases angiogenesis in a model of chronic
inflammatory bowel disease.
AB - BACKGROUND AND AIMS: Vascular abnormalities and expression of pro-angiogenic
factors are observed in inflammatory bowel diseases (IBD). In this study, the
role of thrombospondin-1 (TSP-1), an antiangiogenic protein, was analyzed using
the dextran sulfate sodium (DSS) model for IBD. MATERIALS AND METHODS: Wild-type
(WT) and thrombospondin-1-deficient (TSP-1(-/-)) mice were subjected to four
cycles (7 days) of DSS. Basic fibroblast growth factor (bFGF), vascular
endothelial growth factor (VEGF), transforming growth factor beta 1 (TGFbeta-1),
and pro-apoptotic proteins such as Fas and its ligand (FasL) were determined by
enzyme-linked immunosorbent assay. Double immunohistochemistry for cluster of
differential 31 (CD31) and panendothelial cell antigen-32 antibodies was
performed for detecting blood vessels. The terminal deoxynucleotidyl transferase
mediated dUTP nick end-labeling assay was also performed for identifying
apoptotic cells. Inflammation, dysplasia, microvascular density (MVD), apoptotic
indices (AI), protein 53 (p53), and beta-catenin expression were determined.
RESULTS: VEGF and bFGF protein levels and MVD were higher in the TSP-1(-/-) mice
(p = 0.0312, p = 0.0246, and p = 0.0085, respectively). AI in the endothelial
cells (EC) and FasL levels were significantly lower in TSP-1(-/-) compared to WT
mice (p = 0.0042 and p = 0.0362, respectively). Dysplasia was detected in 66% of
TSP-1(-/-) mice compared to 14% in WT mice. Hscores of ss-catenin and areas
overexpressing p53 were higher in TSP-1(-/-) mice (p = 0.0002 and p = 0.0339,
respectively). CONCLUSION: TSP-1 may decrease angiogenesis by reducing the levels
of pro-angiogenic factors and inducing apoptosis in EC through the Fas or FasL
pathway. These findings, along with the increased overexpression of p53 and beta
catenin in TSP-1(-/-) mice, underline its role in carcinogenesis.
PMID- 18043929
TI - Time and rate of sinus formation in pilonidal sinus disease.
AB - BACKGROUND: and aims To elicit mechanisms and timing of sinus development, the
role of age at onset of symptoms, symptomatic disease duration, and consecutive
number of sinuses were investigated. MATERIALS AND METHODS: Analysis of 1,962
medical records of patients admitted for primary surgical pilonidal sinus
treatment. RESULTS: Sinus number ranged from 1 to 16 (median 2), with chronic
pilonidal disease showing more sinuses than acute disease (mean 2.6 vs 2.1
sinuses; p < 0.0001; Kolmogorov-Smirnov). Disease duration in chronic pilonidal
disease was not linked to sinus formation (p = 0.98; Spearman). In acute
pilonidal disease, duration was linked to the development of six sinuses per
1,000 symptomatic disease years (p = 0.0001; Spearman). A larger sinus number
correlated with earlier onset of symptoms (p = 0.009; Spearman). CONCLUSION: Long
standing chronic disease does not produce sinus per se. As sinus does not
substantially arise during the course of symptomatic disease, there must be a
time before the start of symptomatic disease when the sinus originates. These
findings suggest that sinus can only be acquired up to a certain age, even if
occupational exposure continues.
PMID- 18043930
TI - Sagittal alignment of the lower extremity while standing in Japanese male.
AB - There is little information available regarding the sagittal mechanical axis of
the lower extremity of normal subjects under weight-bearing conditions. The
purpose of this study was to determine the sagittal alignment of the lower
extremity under such conditions. Anteroposterior and lateral radiographs were
taken of the 20 lower extremities of 10 healthy male Japanese volunteers (mean
age, 27 years) while standing. The coronal mechanical axis passed through 33.9%
medial to the proximal tibial articulating surface. The sagittal mechanical axis
passed through 38.0% anterior to the distal femoral condyle and 27.9% anterior to
the proximal tibial articulating surface, and also passed 5.2 mm anterior to the
intercondylar notch. Our study therefore showed that the coronal and sagittal
mechanical axes of the lower extremity do not always pass through the center of
the knee. This has important implications for alignment in surgery of lower
extremities such as total knee arthroplasty and osteotomy.
PMID- 18043931
TI - Recordings of the optical intrinsic signal from the middle turbinate in response
to olfactory and trigeminal stimulation: a pilot study.
AB - Responses from the middle turbinate elicited by olfactory and trigeminal stimuli
were studied using the intrinsic optical signal (IOS) recording technique. Nasal
cavity was illuminated by 617 nm light. Olfactory (H2S) or trigeminal (CO2)
stimuli of 5-s duration were presented using a computer-controlled olfactometer;
IOS responses were captured by a special camera. Averages across five individual
IOS recordings were analyzed. When the nasal cavity was exposed to H2S, a
significant change of the IOS was found; responses to CO2 were even more
pronounced. The present results argue for the idea that the IOS is an indicator
of intranasal chemosensory activation.
PMID- 18043932
TI - Clinical subgroups and antifungal susceptibilities in fungal culture-positive
patients with chronic rhinosinusitis.
AB - The goal of this study was to investigate clinical characteristics of chronic
rhinosinusitis (CRS) in patients with positive fungal cultures. Fungal cultures
were taken from 55 CRS patients and 20 healthy volunteers. Susceptibilities of
isolated fungi to fluconazole, amphotericin B, itraconazole, voriconazole, and
caspofungin were determined in CRS patients. Fungi grew in the cultures from 44
(80%) CRS patients and 17 (85%) healthy volunteers. Of the patients with positive
fungal cultures, 5 (11.3%) had fungal hypersensitivity (FH), and 21 (47.7%) had
eosinophilic mucin (EM). Fungal culture-positive patients with EM were more
likely to be associated with presence of polyps and higher CT scores than those
without EM (P < 0.05). All the patients with FH had EM and polyps, and CT scores
of those patients were highest. The sensitivity rates of fungal isolates were
97.8% for amphotericin B, caspofungin, and voriconazole; 74.4% for itraconazole;
and 6.4% for fluconazole. The presence of EM with or without FH leaded to more
extended CRS, but a part of positive fungal cultures were together with EM in
patients with CRS. Sensitivity to antifungal agents, except fluconazole, was
high. Because many factors can contribute to the pathogenesis of CRS, medical
treatment should be considered on a case-by-case basis.
PMID- 18043933
TI - Short-term effects of normobaric hypoxia on the human spleen.
AB - Spleen contraction resulting in an increase in circulating erythrocytes has been
shown to occur during apnea. This effect, however, has not previously been
studied during normobaric hypoxia whilst breathing. After 20 min of horizontal
rest and normoxic breathing, five subjects underwent 20-min of normobaric hypoxic
breathing (12.8% oxygen) followed by 10 min of normoxic breathing. Ultrasound
measurements of spleen volume and samples for venous hemoglobin concentration
(Hb) and hematocrit (Hct) were taken simultaneously at short intervals from 20
min before until 10 min after the hypoxic period. Heart rate, arterial oxygen
saturation (SaO(2)) and respiration rate were recorded continuously. During
hypoxia, a reduction in SaO(2) by 34% (P < 0.01) was accompanied by an 18%
reduction in spleen volume and a 2.1% increase in both Hb and Hct (P < 0.05).
Heart rate increased 28% above baseline (P < 0.05). Within 3 min after hypoxia
SaO(2) had returned to pre-hypoxic levels, and spleen volume, Hb and Hct had all
returned to pre-hypoxic levels within 10 min. Respiratory rate remained stable
throughout the protocol. This study of short-term exposure to eupneic normobaric
hypoxia suggests that hypoxia plays a key role in triggering spleen contraction
and subsequent release of stored erythrocytes in humans. This response could be
beneficial during early altitude acclimatization.
PMID- 18043934
TI - Effects of anabolic androgenic steroids on sleep patterns of individuals
practicing resistance exercise.
AB - Anabolic androgenic steroid (AAS) abuse has become a public health problem in
many countries, and is associated with many psychiatric disorders.
Epidemiological studies have also found increasing numbers of sleep disorders
reported by individuals using AASs. The purpose of this study was to evaluate
sleep patterns and disorders in anabolic androgenic users who practice resistance
exercise. The sample comprised 58 males divided into three groups: (1) 20 current
AAS users aged 26 +/- 1.2, (2) 21 controls with no history of AAS use, aged 26 +/
1 and (3) 17 sedentary men with no sleep disorders aged 27.2 +/- 0.34. The
volunteers spent a night in the sleep laboratory for polysomnography. Comparing
the three groups, the user group showed reduced sleep efficiency and more wakings
after sleep onset than the sedentary group (P = 0.001). The sedentary group
showed a higher percentage of stage 4 than the non-users group. We suggest that
using of anabolic steroids reduced sleep efficiency and alters sleep
architecture.
PMID- 18043936
TI - Evaluation of a cycling pre-load time trial protocol in recreationally active
humans.
AB - The need for greater sensitivity in exercise performance measures is of
particular importance in nutritional intervention studies and such measures have
been investigated in trained cyclists, but not in those who have no experience of
laboratory testing and/or the need to pace their effort. The aim of the present
study was to evaluate a mixed design approach (constant load then time-trial)
endurance type cycle ergometer protocol using recreationally active participants
not well accustomed to cycling. Seven participants including one female (age 25
+/- 5 years; body mass 74.4 +/- 9.3 kg; peak VO(2) 3.91 +/- 0.96 l) completed
four repeat cycle tests. The test consisted of a "pre-load" (60 min at 65% of
peak VO(2)) followed by a 20 min time trial (performance measure). Reliability
for the performance measure was assessed by calculating the individual
participant coefficient of variation (CV) and a mean CV for the group. Excluding
a familiarisation trial, mean CV for the group was 3.4% (95% confidence interval
between 2.0 and 10.1%). It is concluded that the performance test described can
be used in recreationally active young adults with lower variation after one
familiarisation trial. The protocol might be used to evaluate an intervention if
changes in performance are expected to be greater than 3.4%, or greater than
around 7% if a signal to noise ratio of 2: 1 was to be considered appropriate.
PMID- 18043935
TI - Changes in the haemostatic system after thermoneutral and hyperthermic water
immersion.
AB - Warm water bathing is a popular recreational activity and is frequently used in
rehabilitation medicine. Although well tolerated in most cases, there are reports
indicating an increased risk of thrombotic events after hot tub bathing. The
effects of a 45 min thermoneutral bath followed by a 50 min bath with increasing
water temperature (maximum 41 degrees C) until reaching a body core temperature
of 39 degrees C on factors of blood coagulation and fibrinolysis were studied in
eight healthy male volunteers. Blood was obtained after a 45-min resting period
as control and after the thermoneutral and hyperthermic bath as well as after
another 45 min recovery period at the end of the study. Hyperthermic immersion
(HI) lead to a shortening of activated partial thromboplastin time (aPTT) (P <
0.05). Fibrinogen concentration decreased immediately after HI (P < 0.05) but
increased during recovery (P < 0.05). Plasminogen activator inhibitor (PAI)
activity decreased during HI (P < 0.05), D-dimer concentration was not found to
change. Thrombocyte count increased (P < 0.05) during HI. The increases in tissue
type plasminogen activator concentration as well as leucocyte count during HI
were due to haemoconcentration. Prothrombin time, PAI-activity and granulocyte
count decreased during thermoneutral immersion (P < 0.05). Warm water bathing
leads to haemoconcentration and minimal activation of coagulation. The PAI-1
activity is decreased. A marked risk for thrombotic or bleeding complications
during warm water bathing in healthy males could not be ascertained.
PMID- 18043937
TI - Liver transplantation as curative approach for advanced hepatocellular carcinoma:
is it justified?
AB - BACKGROUND: Liver transplantation is considered as one of therapeutic approaches
to hepatocellular carcinoma (HCC). The present study aims to evaluate the
efficacy of various therapeutic options for HCC. MATERIALS AND METHODS: One
hundred twenty patients with known HCC in various tumour stages were evaluated in
the present study. Patients were treated either with primary tumour resection,
transarterial chemoembolisation (TACE) or liver transplantation (LTx) by an
interdisciplinary team. RESULTS: The overall 1-year and 5-year survivals of
patients in LTx group were 95 and 57%, respectively, which were significantly
higher than those in primary tumour resection group (65 and 33%, P < 0.01) and
those in TACE group (44 and 4%, P < 0.01). In parallel, 1-year and 5-year tumour
free survivals of patients in LTx group (75 and 62%) were significantly higher
than those in primary tumour resection group (50 and 11%, P < 0.01). There were
no significant differences in 1- and 5-year survivals of patients with early
tumour stage received LTx or primary tumour resection, whereas patients in
advanced tumour stage based on pathological findings of explanted liver
significantly benefited from LTx as compared to primary resection. CONCLUSIONS:
LTx can be a curative approach for patients with advanced HCC without
extrahepatic metastasis. However, organ shortage is a major limiting factor in
the selection of HCC patients for LTx.
PMID- 18043938
TI - Hepatobiliary resection with inferior vena cava resection and reconstruction
using an autologous patch graft for intrahepatic cholangiocarcinoma.
AB - BACKGROUND: In patients with advanced cholangiocarcinoma involving the inferior
vena cava (IVC), an extended hepatobiliary resection with combined resection and
reconstruction of the IVC is often prerequisite to obtain a clear resection
margin. MATERIALS AND METHODS: We present our approach to repair of approximately
half of a cross-sectional wall defect of the IVC using an autologous external
iliac venous patch graft during extended hepatobiliary resection with a total
hepatic vascular exclusion technique. The harvested external iliac vein graft was
incised longitudinally and trimmed to fit the IVC defect. After multiple stay
sutures, a continuous running suture using 4-0 prolene was made. RESULTS: Two
patients who underwent this complex surgery survive 20 and 27 months after
surgery, respectively. Morbidity of transient edema of the ipsilateral lower leg
potentially related to graft harvesting was noted in one patient after surgery.
CONCLUSIONS: The external iliac vein patch graft for IVC resection and
reconstruction during hepatobiliary resection is technically simple, produces no
stenosis or caliber change in the reconstructed IVC, and is applicable for at
least half or less of a cross-sectional defect of the IVC wall to be
reconstructed.
PMID- 18043940
TI - Organization of the mycobacterial cell wall: a nanoscale view.
AB - The biosynthesis of the Mycobacterium tuberculosis cell wall is targeted by some
of the most powerful antituberculous drugs. To date, the molecular mechanisms by
which these antibiotics affect the cell wall characteristics are not well
understood. Here, we used atomic force microscopy - in three different modes - to
probe the nanoscale surface properties of live mycobacteria and their
modifications upon incubation with four antimycobacterial drugs: isoniazid,
ethionamide, ethambutol, and streptomycine. Topographic imaging, combined with
quantitative surface roughness analysis, demonstrated that all drugs induce a
substantial increase of surface roughness to an extent that correlates with the
localization of the target (i.e., synthesis of mycolic acids, arabinogalactans,
or proteins). Chemical force microscopy with hydrophobic tips revealed that the
structural alterations induced by isoniazid and ethambutol were correlated with a
dramatic decrease of cell surface hydrophobicity, reflecting the removal of the
outermost mycolic acid layer. Consistent with this finding, tapping mode imaging,
combined with immunogold labeling, showed that the two drugs lead to the massive
exposure of hydrophilic lipoarabinomannans at the surface. Taken together, these
structural, chemical, and immunological data provide novel insight into the
action mode of antimycobacterial drugs, as well as into the spatial organization
of the mycobacterial cell wall.
PMID- 18043939
TI - Test of blockers of AQP1 water permeability by a high-resolution method: no
effects of tetraethylammonium ions or acetazolamide.
AB - The effects of putative water channel blockers were tested on AQP1-expressing
Xenopus laevis oocytes by a fast optical method with a time resolution of 1 s and
a volume resolution of 20 pl. The oocytes were exposed to external hyposmolarity
and the osmotic water permeability (Lp) derived from the initial 10 s of volume
change. For longer durations, the effective osmotic gradient across the membrane
was reduced significantly because of dilution of the intracellular contents and
of ion transport across the membrane. The latter was monitored by voltage clamp
of the oocytes. In contrast to previous reports based on slower and less
sensitive assays, we found no effects of tetraethylammonium ions (TEA+) and
acetazolamide on Lp. We have no single explanation for this, but several factors
are considered: (a) If the osmotic gradient is assumed to be constant for periods
longer than 10 s, the Lp will be underestimated. (b) Hyposmotic gradients
implemented by dilution with water will entail changes in the ionic strength as
well; this may enhance loss of salt from the oocyte. (c) By voltage clamping the
AQP1-expressing oocytes during hyposmotic challenges, we found that TEA+-treated
oocytes were more electrically leaky than untreated ones. This may obscure
comparisons between the Lp of treated and untreated oocytes. (d) The nature of
the ion transport mechanisms in the plasma membrane depends on how oocytes have
been prepared for experiments and on their viability as indicated by the membrane
potential. These parameters may vary between laboratories.
PMID- 18043942
TI - A yeast-based phenotypic screen for aquaporin inhibitors.
AB - Aquaporins mediate transport of water or small, uncharged solutes across cellular
membranes according to the prevailing osmotic and chemical gradients. Because of
their implication in human diseases and pathophysiological states, aquaporins are
considered as potential drug targets. Yet, specific aquaporin inhibitors for in
vivo studies are not available. Common functional aquaporin assays that monitor
biophysical parameters related to volume changes, such as light scattering or
fluorescence quenching, are time consuming and require costly equipment. Hence,
they are not well geared for screening large numbers of compounds. In this paper,
we describe a less demanding phenotypic yeast-based assay on 96-well microplates.
The assay uses a methylamine-sensitive yeast strain in which a methylamine
permeable test aquaporin is expressed to rescue proliferation on selection
plates. Specific inhibition of the aquaporin directly correlates to reduced cell
proliferation.
PMID- 18043941
TI - H2O 2-stimulated Ca2+ influx via TRPM2 is not the sole determinant of subsequent
cell death.
AB - Activation of transient receptor potential melastatin 2 (TRPM2), a non-selective,
Ca(2+)-permeable cation channel, is implicated in cell death. Channel opening is
stimulated by oxidative stress, a feature of numerous disease states. The wide
expression profile of TRPM2 renders it a potentially significant therapeutic
target in a variety of pathological settings including cardiovascular and
neurodegenerative diseases. HEK293 cells transfected with human TRPM2
(HEK293/hTRPM2) were more vulnerable to H(2)O(2)-mediated cell death than
untransfected controls in which H(2)O(2)-stimulated Ca(2+) influx was absent.
Flufenamic acid partially reduced Ca(2+) influx in response to H(2)O(2) but had
no effect on viability. N-(p-Amylcinnamoyl) anthranilic acid substantially
attenuated Ca(2+) influx but did not alter viability. Poly(adenosine diphosphate
ribose) polymerase inhibitors (N-(6-oxo-5,6-dihydro-phenanthridin-2-yl)-N,N
dimethylacetamide, 3,4-dihydro-5-[4-(1-piperidinyl)butoxy]-1(2H)-isoquinolinone
and nicotinamide) reduced Ca(2+) influx and provided a degree of protection but
also had some protective effects in untransfected controls. These data suggest
H(2)O(2) triggers cell death in HEK293/hTRPM2 cells by a mechanism that is in
part Ca(2+) independent, as blockade of channel opening (evidenced by suppression
of Ca(2+) influx) did not correlate well with protection from cell death.
Determining the underlying mechanisms of TRPM2 activation is pertinent in
elucidating the relevance of this channel as a therapeutic target in
neurodegenerative diseases and other pathologies associated with Ca(2+)
dysregulation and oxidative stress.
PMID- 18043943
TI - Gating of the shaker potassium channel is modulated differentially by N
glycosylation and sialic acids.
AB - N-linked glycans, including sialic acids, are integral components of ion channel
complexes. To determine if N-linked sugars can modulate a rapidly inactivating K+
channel, the glycosylated Drosophila melanogaster Shaker K+ channel (ShB) and the
N-glycosylation-deficient mutant (ShNQ), were studied under conditions of full
and reduced sialylation. Through an apparent electrostatic mechanism, full
sialylation induced uniform and significant hyperpolarizing shifts in all
measured voltage-dependent ShB gating parameters compared to those measured under
conditions of reduced sialylation. Steady-state gating of ShNQ was unaffected by
changes in sialylation and was nearly identical to that observed for ShB under
conditions of reduced sialylation, indicating that N-linked sialic acids were
wholly responsible for the observed effects of sialic acid on ShB gating.
Interestingly, the rates of transition among channel states and the voltage
independent rates of activation and inactivation were significantly slower for
ShNQ compared to ShB. Both effects were independent of sialylation, indicating
that N-linked sugars other than sialic acids alter ShB gating kinetics but have
little to no effect on the steady-state distribution of channels among states.
The effect of sialic acids on channel gating, particularly inactivation gating,
and the impact of other N-linked sugars on channel gating kinetics are unique to
the ShB isoform. Thus, ShB gating is modulated by two complementary but distinct
sugar-dependent mechanisms, (1) an N-linked sialic acid-dependent surface charge
effect and (2) a sialic acid-independent effect that is consistent with N-linked
sugars affecting the stability of ShB among its functional states.
PMID- 18043944
TI - First report of two Balantidium species from the Chinese giant salamander,
Andrias davidianus: Balantidium sinensis Nie 1935 and Balantidium andianusis n.
sp.
AB - Two ciliated protozoa, Balantidium sinensis Nie 1935 and Balantidium andianusi n.
sp., were isolated from the feces of a wild Chinese giant salamander (Andrias
davidianus) captured from the mountainous area of Shiyan, Hubei Province, Central
China in October 2006. It is the first report of Balantidium species inhabiting
Cryptobranchoidea amphibians. The occurrence of B. sinensis in A. davidianus
should be a new record because the type specimens were first discovered and named
by Nie in 1935 from Rana nigromaculata and Rana plancyi. For the lack of enough
descriptions of taxonomic features in the previous report, it was re-described in
detail and compared with Nie's type specimens and B. giganteum to complete the
morphological descriptions in the present work. B. andianusi n. sp. was
considered to be a new species based on its unique morphological characteristics,
especially the high length/width ratio of the vestibulum (8:1). Comparisons were
also made among Balantidium species that were found from urodele amphibians.
PMID- 18043945
TI - Trichomonas adhere and phagocytose sperm cells: adhesion seems to be a prominent
stage during interaction.
AB - Tritrichomonas foetus and Trichomonas vaginalis are extracellular parasites of
the urogenital tract of cattle and humans, respectively. They cause infertility
and abortion, but there is no documented information on the susceptibility of
bovine sperm cells to this cattle parasite. The aim of this present work was to
study the effects provoked by T. foetus and T. vaginalis when in interaction with
bovine and human sperm cells. The bovine and human spermatozoa were obtained from
uninfected bulls and men, respectively, and were exposed to living trichomonads
over different periods of time. Light microscopy, video microscopy, scanning, and
transmission electron microscopy first revealed a tropism, then a close proximity
followed by a tight adhesion between these two different cells. A decrease in the
spermatozoa motility was observed as well intense semen agglutination. The
adhesion between trichomonads to the sperm cell occurred either by the flagella
or sperm head. Motile parasites were observed during the next 12 h, whereas sperm
cells in contact with the parasites rapidly became immotile. The parasites were
able to maintain the sperm cells attached to their cell surface, followed by
phagocytosis. This process began with a tight membrane-membrane adhesion and the
incorporation of the sperm cell within an intracellular vacuole. Afterwards, the
sperm cell was gradually digested in lysosomes. Many trichomonads were injured
and/or died on making contact with the spermatozoa possibly due to necrosis.
Results from this study demonstrated that both T. foetus and T. vaginalis
interact with sperm cells provoking damage and death of these reproductive cells.
Differences in the behavior of both trichomonads were evident, showing that T.
vaginalis was much more virulent than T. foetus. The possible role of
trichomonads in reproductive failure is discussed.
PMID- 18043946
TI - Does attraction to frugivores or defense against pathogens shape fruit pulp
composition?
AB - Fruit traits evolve in response to an evolutionary triad between plants, seed
dispersers, and antagonists that consume fruits but do not disperse seeds. The
defense trade-off hypothesis predicts that the composition of nutrients and of
secondary compounds in fruit pulp is shaped by a trade-off between defense
against antagonists and attraction to seed dispersers. The removal rate model of
this hypothesis predicts a negative relationship between nutrients and secondary
compounds, whereas the toxin-titration model predicts a positive relationship. To
test these alternative models, we evaluated whether the contents of nutrients and
secondary compounds can be used to predict fruit removal by mutualists and
pathogens in 14 bird-dispersed plants on a subtropical island in Sao Paulo state,
southeastern Brazil. We selected eight to ten individuals of each species and
prevented fruit removal by covering four branches with a net and left fruits on
four other branches available to both, vertebrate fruit consumers and pathogens.
The persistence of ripe fruits was drastically different among species for bagged
and open fruits, and all fruit species persisted longer when protected against
seed dispersers. We found that those fruits that are quickly removed by
vertebrates are nutrient-rich, but although the attack rate of pathogens is also
high, these fruits have low contents of quantitative defenses such as tannins and
phenols. Thus, we suggest that the fruit removal rate by seed dispersers is the
primary factor selecting the levels of fruit defense. Likewise, nutrient-poor
fruits have low removal of seed dispersers and low probability of attack by
pathogens. These species retain ripe fruits in an intact condition for a
prolonged period because they are highly defended by secondary compounds, which
reduce overall attractiveness. However, this strategy might be advantageous for
plants that depend on rare or unreliable dispersers.
PMID- 18043947
TI - What parathyroid hormone levels should we aim for in children with stage 5
chronic kidney disease; what is the evidence?
AB - The bone disease that occurs as a result of chronic kidney disease (CKD) is not
only debilitating but also linked to poor growth and cardiovascular disease. It
is suspected that abnormal bone turnover is the main culprit for these poor
outcomes. Plasma parathyroid hormone (PTH) levels are used as a surrogate marker
of bone turnover, and there is a small number of studies in children that have
attempted to identify the range of PTH levels that correlates with normal bone
histology. It is clear that high PTH levels are associated with high bone
turnover, although the range is wide. However, the ability of PTH levels to
distinguish between low and normal bone turnover is less clear. This is an
important issue, because current guidelines for calcium and phosphate management
are based upon there being an "optimum" range for PTH. This editorial takes a
critical look at the evidence upon which these recommendations are based.
PMID- 18043948
TI - Exploring the differential experience of breast cancer treatment-related
symptoms: a cluster analytic approach.
AB - INTRODUCTION: Cancer patients experience multiple concurrent symptoms. This
exploratory analysis assessed symptom burden among patients undergoing
chemotherapy for breast cancer to identify distinct subgroups of patients who
experience differential symptom burden and assessed whether the patient subgroups
were associated with deleterious quality of life (QOL) outcomes. MATERIALS AND
METHODS: Women (N = 133) with stage I and II breast cancer undergoing adjuvant
chemotherapy after primary surgery were evaluated at baseline and at the end of
chemotherapy using the Memorial Symptom Assessment Scale (MSAS) and the SF-36 QOL
questionnaire. Post treatment MSAS symptoms were included in hierarchical cluster
analysis. Two patient subgroups were identified that corresponded to a high
symptom prevalence group and a low-symptom group. RESULTS AND DISCUSSION: No
marked, statistically significant differences were found between groups on
demographic, symptoms, QOL, or treatment variables at baseline. Patients in the
high-symptom cluster were more likely to have stage I disease (p < 0.05). The two
groups of patients showed significant differences in end-of-treatment symptoms
and QOL scores (p < 0.05). The high-symptom burden group was more likely to
report greater symptom prevalence and poorer QOL. CONCLUSIONS: Future research
needs to examine why these differences occur despite similarities in treatment
and how symptom burden can be reduced for the high-symptom prevalence group.
PMID- 18043950
TI - Family physicians' perceptions, beliefs, and attitudes regarding information
sharing with prostate cancer patients throughout the course of the disease.
AB - OBJECTIVES: This study aimed to describe family physicians' perceptions regarding
the concerns of men with prostate cancer as well as their beliefs and attitudes
toward information sharing and decision making with prostate cancer patients
throughout the course of their disease. MATERIALS AND METHODS: A questionnaire
(44 items) was mailed to 780 board-certified family physicians registered in the
2003 computerized database of Clalit Health Services, Israel's largest health
maintenance organization. RESULTS: Three hundred eighty-two (50%) physicians
completed the questionnaires. Overall, respondents believed that patients have
substantial concerns throughout the cancer trajectory and that the most troubling
issue is the fear of disease progression. There were no significant differences
in the amount of information physicians were willing to share with patients
throughout the course of the disease. Sixty-four percent of respondents stated
that they would tailor the information to the patients' wishes and
characteristics. Seventy percent felt competent at breaking bad news and 74%
would discuss emotions with patients. Fifty-five percent of respondents preferred
that patients be autonomous in their decision making. CONCLUSIONS: Family
physicians in Israel are aware of patients' concerns and recognize the importance
of sharing information with prostate cancer patients. Further studies are needed
to examine the practice of these attitudes and to verify whether they are
compatible with patients' expectations in the relevant cultural and ethnic
context.
PMID- 18043951
TI - Synthesis, in vitro and in vivo characterization of (64)Cu(I) complexes derived
from hydrophilic tris(hydroxymethyl)phosphane and 1,3,5-triaza-7
phosphaadamantane ligands.
AB - Four novel (64)Cu complexes ([(64)Cu(thp)(4)](+) (1), [(64)Cu(TPA)(4)](+) (2),
[HC(CO(2))(pz(Me2))(2) (64)Cu(thp)(2)] (3) and [HC(CO(2))(tz)(2) (64)Cu(thp)(2)]
(4), [where thp is tris(hydroxymethyl)phosphine, TPA is 1,3,5-triaza-7
phosphaadamantane, pz(Me2) is 3,5-dimethylpyrazole and tz is 1,2,4-triazole] were
successfully synthesized and characterized. The complexes were produced in high
radiochemical purity and yield (more than 98%) without the need for further
purification. Their logP values and serum stabilities were measured and in vitro
behavior was observed in cultured EMT-6 cells. The logP values (+/- standard
deviation) obtained were -2.26 +/- 0.04 (1), 0.01 +/- 0.01 (2), -1.24 +/- 0.03
(3) and -2.06 +/- 0.03 (4). Complex 3 demonstrated the highest serum stability,
with approximately 33% of the complex still intact after 1-h incubation. Complex
2 showed a rapid cell-association with EMT-6 cells, with more than 8.5%
association at 2 h. This association was significantly higher (P < 0.001) than
for the other three compounds after a 2-h incubation (1, 1.21%; 3, 0.63%; 4,
2.75%). Biodistribution and small-animal positron emission tomography/computed
tomography was undertaken with 1 in mice bearing EMT-6 tumors. EMT-6 tumor uptake
was high at 1 h (7.71 +/- 2.17 %ID/g) and decreased slowly over 24 h (4 h, 4.90
+/- 0.78 %ID/g; 24 h, 3.74 +/- 0.73 %ID/g). The PET/CT images show that the EMT-6
tumors can be visualized at all time points. In this proof-of-concept study, we
have successfully synthesized and characterized a novel series of versatile water
soluble Cu(I) complexes containing monophosphine ligands. We also report the use
of 1 as a building block for new radiopharmaceuticals, perhaps the first time
such a method has been used in the production of copper radiopharmaceuticals.
PMID- 18043953
TI - The societal cost of alcohol consumption: an estimation of the economic and human
cost including health effects in Sweden, 2002.
AB - This article estimates the societal cost of alcohol consumption in Sweden in
2002, as well as the effects on health and quality of life. The estimation
includes direct costs, indirect costs and intangible costs. Relevant cost-of
illness methods are applied using the human capital method and prevalence-based
estimates, as suggested in existing international guidelines, allowing cautious
comparison with prior studies. The results show that the net cost (i.e. including
protective effects of alcohol consumption) is 20.3 billion Swedish kronor (SEK)
and the gross cost (counting only detrimental effects) is 29.4 billion (0.9 and
1.3% of GDP). Alcohol consumption is estimated to cause a net loss of 121,800
QALYs. The results are within the range found in prior studies, although at the
low end. A large number of sensitivity analyses are performed, indicating a
sensitivity range of 50%.
PMID- 18043952
TI - Identification of differentially expressed genes associated with cotton fiber
development in a chromosomal substitution line (CS-B22sh).
AB - One of the impediments in the genetic improvement of cotton fiber is the paucity
of information about genes associated with fiber development. Availability of
chromosome arm substitution line CS-B22sh (chromosome 22 short arm substitution
from 3-79 (Gossypium barbadense) into a TM-1 (Gossypium hirsutum) background)
provides a novel opportunity to study fiber-associated genes because previous
studies revealed this line was associated with some superior fiber quality traits
compared to TM-1. We used an integrated approach of suppression subtractive
hybridization (SSH), microarray, and real-time reverse transcription-polymerase
chain reaction (RT-PCR) technologies to identify the potential genes associated
with fiber development. Utilizing mRNAs from 15 days post-anthesis (dpa) fibers,
we constructed a SSH cDNA library with chromosome substitution line CS-B22sh as
the tester and TM-1 as the driver. The SSH cDNA library was screened using
microarrays. Microarray analysis showed that 36 genes were differentially
expressed in CS-B22sh 15-dpa fiber compared to TM-1 as confirmed by real time RT
PCR. These genes include two beta-tubulins, an actin, a putative kinesin light
chain, a cellulose synthase, glycosyl hydrolase family 3 protein, pyruvate
decarboxylase, glycoside hydrolase family 5, GDP-mannose pyrophosphorylase,
dynamin-like protein, annexin, and a number of genes involved in signal
transduction, and protein, nucleic acid, and lipid metabolisms. To our knowledge,
this is the first report on identification of differentially expressed fiber
associated genes in a cotton chromosomal substitution line.
PMID- 18043954
TI - Evidence for the role of zinc on the performance of dibenzothiophene
desulfurization by Gordonia alkanivorans strain 1B.
AB - Gordonia alkanivorans strain 1B is able to desulfurize dibenzothiophene (DBT) to
2-hydroxybiphenyl (2-HBP), the final product of the 4S pathway. However, both the
cell growth and the rate of desulfurization can be largely affected by the
nutrient composition of the growth medium due to cofactor requirements of many
enzymes involved in the biochemical pathways. In this work, the effect of several
metal ions on the growth and DBT desulfurization by G. alkanivorans was studied.
From all the metal ions tested, only the absence of zinc significantly affected
the cell growth and the desulfurization rate. By increasing the concentration of
Zn from 1 to 10 mg L(-1), 2-HBP productivity was improved by 26%. The absence of
Zn(2+), when sulfate was also used as the only sulfur source, did not cause any
difference in the bacterial growth. Resting cells grown in the presence of Zn(2+)
exhibited a 2-HBP specific productivity of 2.29 micromol g(-1) (DCW) h(-1), 7.6
fold higher than the specific productivity obtained by resting cells grown in the
absence of Zn(2+) (0.30 micromol g(-1) (DCW) h(-1)). These data suggests that
zinc might have a key physiological role in the metabolism of DBT
desulfurization.
PMID- 18043956
TI - [Erosion of the PSYCH-PV and the future financing of hospitals for psychiatry and
psychotherapy].
PMID- 18043955
TI - Autotitration positive airway pressure therapy in patients with obstructive sleep
apnea who are intolerant of fixed continuous positive airway pressure.
AB - Compliance with continuous positive airway pressure (CPAP) therapy is one of the
most difficult management problems for patients with obstructive sleep apnea
(OSA). We postulated that autotitration positive airway pressure (APAP) may be
effective in some patients who have an intolerance of fixed CPAP. The study was
done to estimate how often patients who cannot tolerate fixed CPAP can tolerate
APAP. We identified 25 patients seen in the Sleep Disorders Center who had been
treated with fixed CPAP for OSA and were intolerant of CPAP therapy despite
multiple efforts to improve tolerance. We substituted APAP therapy and measured
subjective and objective compliance with treatment 1 month later. The primary end
point was the number of patients who successfully tolerated the use of APAP at
the end of 30 days, measured objectively by the device's compliance monitor. A
positive outcome was defined as an average use of APAP that was greater than 3 h
per night on more than 70% of possible nights. Of the 25 patients (mean age, 68
years; mean apnea-hypopnea index, 35), 11 were able to tolerate APAP therapy. The
mean number of hours of use in these responders was 6.2; the mean percentage of
nights of use among responders was 89%. Determinants of successful APAP use were
an apnea-hypopnea index (AHI) less than 18, male sex, OSA related to rapid eye
movement, and a high body mass index. APAP therapy may be an effective option in
patients who do not tolerate fixed-CPAP therapy.
PMID- 18043957
TI - Variational study of the constituents of cholesterol stones by laser-induced
breakdown spectroscopy.
AB - The major and minor constituents of cholesterol gallstones were investigated by
Laser-induced breakdown spectroscopy. The elements detected in the center and in
the shell part were calcium (Ca), carbon (C), copper (Cu), hydrogen (H),
magnesium (Mg), nitrogen (N), sodium (Na), oxygen (O) and potassium (K), but Cu
was absent from the surface of the cholesterol gallstones. Our experimental
results revealed that calcium was a major constituent of cholesterol gallstones.
Our results also showed that the concentration of Ca, Cu and Mg were large in the
center in comparison with the shell. Laser-induced breakdown (LIB) spectra of
both portions of the surface (colored part and discolored part) of the
cholesterol gallstones were recorded. The concentrations of sodium and potassium
were higher in the non-pigmented (colored) part than in the pigmented part
(discolored/pigment), which showed that the deficiency of sodium and potassium
was playing a key role in the formation of discoloration at the different
locations on the outer surfaces of the cholesterol gallstones. Thus, laser
induced breakdown spectroscopy (LIBS) is a suitable technique for the analysis of
cholesterol gallstones without any sample preparation.
PMID- 18043958
TI - The age distribution, rates, and types of surgery for stress urinary incontinence
in the USA.
AB - The objective of this study was to describe the distribution of stress urinary
incontinence (SUI) surgery across age groups in the USA in 2003. Patients were
grouped into four age categories: reproductive, perimenopausal, postmenopausal,
and elderly. Data from the 2003 National Hospital Discharge Survey and National
Census were used to estimate surgical rates by age group. In 2003, 129,778 women
underwent 165,776 surgical procedures for SUI. Of these women, 12.2, 53.0, 30.4,
and 4.5% belonged to reproductive, perimenopausal, postmenopausal, and elderly
age groups, respectively. Surgical rates (per 10,000 women) were 4, 17, 19, and 9
in these age groups, respectively. Complications occurred most frequently in
reproductive age women. Overall, SUI surgical rates were similar for
perimenopausal and postmenopausal women and exceeded that in the elderly
population. The greatest percentage of surgical procedures occurred in
perimenopausal women. Women at all stages of reproductive life may seek surgical
treatment for SUI.
PMID- 18043959
TI - Royal Academy of Medicine in Ireland, Radiology Section Meeting. Abstracts.
PMID- 18043961
TI - Brachial plexus impingement: an unusual complication of bilateral breast
augmentation.
AB - Breast augmentation is one of the most commonly performed aesthetic procedures,
with many studies documenting the early and long-term complications that might be
expected. This report describes the case of an active young woman who experienced
severe pain, particularly with movement. Surgical exploration showed the cause of
this pain to be impingement of the patient's lower brachial plexus by the mammary
prosthesis. Such a complication has not, to the authors' knowledge, been reported
previously.
PMID- 18043962
TI - Striae distensae after subfascial breast augmentation.
AB - Striae distensae or stretch marks after breast augmentation are a rare
complication. To date, 10 cases have been published. In seven of these cases, the
implant was placed in a subglandular position and in the other three cases,
placement was submuscular. Two cases of stretch marks in two young nulliparous
women who underwent subfacial breast augmentation are presented. To the best of
the authors' knowledge, this is the first report of striae distensae after
subfascial breast augmentation.
PMID- 18043963
TI - Fish assemblage responses to forest cover.
AB - We investigated whether fish assemblage structure in southern Appalachian streams
differed with historical and contemporary forest cover. We compared fish
assemblages in 2(nd)-4(th) order streams draining watersheds that had increased
forest cover between 1950 and 1993 (i.e., reforesting watersheds). We sampled
fish in 50 m reaches during August 2001 and calculated catch-per-unit-effort
(CPUE) by taxonomic, distributional, trophic, reproductive, and thermal metrics.
We assigned streams to reforestation categories based on cluster analysis of
years 1950 and 1993 near-stream forest cover. The relationship between forest
cover and assemblage structure was assessed using analysis of variance to
identify differences in fish CPUE in five forest cover categories. Streams
contained 23 fish species representing six families, and taxa richness ranged
from 1 to 13 at 30 stream sites. Streams with relatively low near-stream forest
cover were different from streams having moderate to high near-stream forest
cover in 1950 and 1993. Fish assemblages in streams having the lowest amount of
forest cover (53-75%) were characterized by higher cosmopolitan, brood hider,
detritivore/herbivore, intermediate habitat breadths, run-pool dweller, and warm
water tolerant fish CPUE compared to streams with higher riparian forest cover.
Our results suggest that fish assemblage's structural and functional diversity
and/or richness may be lower in streams having lower recent or past riparian
forest cover compared to assemblages in streams having a high degree of near
stream forest cover.
PMID- 18043964
TI - Analyzing the impacts of dams on riparian ecosystems: a review of research
strategies and their relevance to the Snake River through Hells Canyon.
AB - River damming provides a dominant human impact on river environments worldwide,
and while local impacts of reservoir flooding are immediate, subsequent
ecological impacts downstream can be extensive. In this article, we assess seven
research strategies for analyzing the impacts of dams and river flow regulation
on riparian ecosystems. These include spatial comparisons of (1) upstream versus
downstream reaches, (2) progressive downstream patterns, or (3) the dammed river
versus an adjacent free-flowing or differently regulated river(s). Temporal
comparisons consider (4) pre- versus post-dam, or (5) sequential post-dam
conditions. However, spatial comparisons are complicated by the fact that dams
are not randomly located, and temporal comparisons are commonly limited by sparse
historic information. As a result, comparative approaches are often correlative
and vulnerable to confounding factors. To complement these analyses, (6) flow or
sediment modifications can be implemented to test causal associations. Finally,
(7) process-based modeling represents a predictive approach incorporating
hydrogeomorphic processes and their biological consequences. In a case study of
Hells Canyon, the upstream versus downstream comparison is confounded by a
dramatic geomorphic transition. Comparison of the multiple reaches below the dams
should be useful, and the comparison of Snake River with the adjacent free
flowing Salmon River may provide the strongest spatial comparison. A pre- versus
post-dam comparison would provide the most direct study approach, but pre-dam
information is limited to historic reports and archival photographs. We conclude
that multiple study approaches are essential to provide confident interpretations
of ecological impacts downstream from dams, and propose a comprehensive study for
Hells Canyon that integrates multiple research strategies.
PMID- 18043966
TI - Artificial neural networks: useful aid in diagnosing acute appendicitis.
AB - BACKGROUND: [corrected] The purpose of the study was to assess the role of
artificial neural networks (ANNs) in the diagnosis of appendicitis in patients
presenting with acute right iliac fossa (RIF) pain and comparing its performance
with the assessment made by experienced clinicians and the Alvarado score.
METHODS: After training and testing an ANN, data from 60 patients presenting with
suspected appendicitis over a 6-month period to a teaching hospital was collected
prospectively. Accuracy of diagnosing appendicitis by the clinician, the Alvarado
score, and the ANN was compared. RESULTS: The sensitivity, specificity, and
positive and negative predictive values of the ANN were 100%, 97.2%, 96.0%, and
100% respectively. The ability of the ANN to exclude accurately the diagnosis of
appendicitis in patients without true appendicitis was statistically significant
compared to the clinical performance (p=0.031) and Alvarado score of >or=6
(p=0.004) and nearly significant compared to the Alvarado score of >or=7
(p=0.063). CONCLUSIONS: ANNs can be an effective tool for accurately diagnosing
appendicitis and may reduce unnecessary appendectomies.
PMID- 18043968
TI - Complete clinical response after preoperative chemoradiation in rectal cancer: is
a "wait and see" policy justified?
AB - PURPOSE: A proportion of patients, who receive preoperative chemoradiation for
locally advanced (T3, T4, NX) rectal cancer achieve a complete clinical response
and a pathologic complete response in the region of 15 to 30 percent. Support is
growing in the United Kingdom for the concept of "waiting to see" and not
proceeding to radical surgery when a complete clinical response is observed. The
purpose of this review was to use a literature search to assess how often
complete clinical response is achieved after neoadjuvant chemoradiation, the
concordance of this finding with pathologic complete response, and to determine
whether it is feasible to observe patients who achieve complete clinical response
rather than proceed to surgery. RESULTS: In total, 218 Phase I/II or
retrospective studies and 28 Phase III trials of preoperative radiotherapy or
chemoradiation were identified: 96 percent of trials documented the pathologic
complete response, but only 38 trials presented data on the achievement of a
complete clinical response/partial clinical response. Only five studies were
found in which patients with clinically staged T2/T3 tumors were treated with
radiotherapy/chemoradiation and did not routinely proceed to surgery and also
reported on the long-term outcome of a "wait and see" policy. DISCUSSION: It
remains uncertain whether the degree of response to chemoradiation in terms of
complete clinical response or pathologic complete response is a useful clinical
end point. Studies that include T3 rectal cancer are associated with high local
recurrence rates after nonsurgical treatment. Few studies report long-term
outcome after achievement of a complete clinical response. CONCLUSIONS: The end
point of complete clinical response is inconsistently defined and seems
insufficiently robust with only partial concordance with pathologic complete
response. The rationale of a "wait and see" policy when complete clinical
response status is achieved relies on retrospective observations, which are
currently insufficient to support this policy except in patients who are
recognized to be unfit for or refuse radical surgery.
PMID- 18043969
TI - The gap in laparoscopic colorectal experience between colon and rectal and
general surgery residency training programs.
AB - PURPOSE: In this article, we review the laparoscopic experience of general
surgery and colorectal residency training programs in the United States during
the past 5 and 12 years, respectively. The purpose of this study was to determine
whether an adequate experience was being provided, and at what level of training,
to safely and effectively perform advanced laparoscopy. METHODS: General Surgery
Operative Reports from the training years 2000 to 2004 were obtained from the
Accreditation Council for Graduate Medical Education. Similarly, colorectal
operative performance logs from the training years 1994 to 2005 were obtained
from the American Board of Colon and Rectal Surgery. RESULTS: From 2000 to 2004,
basic and advanced laparoscopic cases (as designated by the Accreditation Council
for Graduate Medical Education) have increased from 10.1 to 12.2 percent and 2.1
to 3.7 percent, respectively. Within this period, the number of laparoscopic
colon cases/resident/career has increased from 1.8 to 4.6. The percentage of
cases performed laparoscopically increased from 3.9 to 22.5 percent from 1993
1994 to 2004-2005 training years. From 1993 to 2001, the average number of
laparoscopic cases/resident increased from 6.3 to 16.1. In 2004, the average
number of cases/resident increased to 45.3. Of this number, 30 were colon, 9.4
were rectal, and the remaining 5.9 were miscellaneous colorectal procedures.
CONCLUSIONS: Learning curves for laparoscopic colectomy are reported in the range
of 20 to 60 cases. Based on the most recent data reviewed, colon and rectal
resident experience is tending toward this threshold. Recent general surgery
graduates may be lacking the appropriate volume to reach proficiency in
laparoscopic colorectal surgery.
PMID- 18043970
TI - The lymphatic anatomy of the breast and its implications for sentinel lymph node
biopsy: a human cadaver study.
AB - BACKGROUND: Current understanding of the lymphatic system of the breast is
derived mainly from the work of the anatomist Sappey in the 1850s, with many
observations made during the development and introduction of breast lymphatic
mapping and sentinel node biopsy contributing to our knowledge. METHODS: Twenty
four breasts in 14 fresh human cadavers (5 male, 9 female) were studied. Lymph
vessels were identified with hydrogen peroxide and injected with a lead oxide
mixture and radiographed. The specimens were cross sectioned and radiographed to
provide three dimensional images. Lymph (collecting) vessels were traced from the
periphery to the first-tier lymph node. RESULTS: Lymph collecting vessels were
found evenly spaced at the periphery of the anterior upper torso draining
radially into the axillary lymph nodes. As they reached the breast some passed
over and some through the breast parenchyma, as revealed in the cross-section
studies. The pathways showed no significant difference between male and female
specimens. We found also perforating lymph vessels that coursed beside the
branches of the internal mammary vessels, draining into the ipsilateral internal
mammary lymphatics. In some studies one sentinel node in the axilla drained
almost the entire breast. In most more than one sentinel node was represented.
CONCLUSION: These anatomical findings are discordant with our current knowledge
based on previous studies and demand closer examination by clinicians. These
anatomical studies may help explain the percentage of false-negative sentinel
node biopsy studies and suggest the peritumoral injection site for accurate
sentinel lymph node detection.
PMID- 18043971
TI - Risk factors for lymph node metastasis in undifferentiated early gastric cancer.
AB - BACKGROUND: Endoscopic surgery has not been accepted as a curative treatment for
intramucosal undifferentiated early gastric cancer (EGC). The purpose of this
study was to evaluate the predictive factors of lymph node metastasis and explore
the possibility of using endoscopic surgery for undifferentiated EGC. METHODS: We
retrospectively analyzed 646 patients with undifferentiated EGC who had undergone
gastrectomy with D2 lymphadenectomy from January 2000 to March 2005. We used
univariate and multivariate analysis to identify clinicopathological features
that were predictive factors for lymph node metastasis. RESULTS: The incidence of
lymph node metastasis was 4.2% in intramucosal and 15.9% in submucosal
undifferentiated EGC. Multivariate analysis revealed that submucosal invasion,
larger tumor size (greater than 2 cm), and presence of lymphovascular invasion
(LVI), were significantly associated with lymph node metastasis in patients with
undifferentiated EGC. Tumor size and LVI were independent risk factors for lymph
node metastasis in cases of intramucosal EGC. Lymph node metastasis was found in
only one patient (0.5%) who had neither of the two risk factors for intramucosal
EGC. CONCLUSION: Complete endoscopic resection may be acceptable as a curative
treatment for intramucosal undifferentiated EGC when the tumor size is less than
or equal to 2 cm, and when LVI is absent in the postoperative histological
examination. Radical gastrectomy should be recommended if LVI or unexpected
submucosal invasion is present.
PMID- 18043972
TI - A novel use of botulinum toxin to ameliorate bone cancer pain.
PMID- 18043973
TI - VEGF expression predicts survival in patients with peritoneal surface metastases
from mucinous adenocarcinoma of the appendix and colon.
AB - BACKGROUND: High levels of vascular endothelial growth factor (VEGF) in ovarian
cancer metastases are associated with a worse prognosis in patients treated with
chemotherapy. VEGF-directed therapy improves survival for those with metastatic
colorectal cancer. Patients with mucinous adenocarcinomas metastatic to the
peritoneal surfaces can be treated with cytoreductive surgery, and both tumor
grade and cytoreduction status are prognostic. We hypothesized that angiogenic
indices may be prognostic in patients undergoing cytoreductive surgery for
mucinous adenocarcinoma of the appendix and colon. METHODS: Cytoreductive cases
from a 5-year period from the University of Cincinnati peritoneal malignancy
database were reviewed. CD 34 counts (blood vessels) and VEGF expression was
evaluated by means of immunohistochemistry on specimens from patients undergoing
cytoreductive surgery and intraperitoneal hyperthermic perfusion (IPHP) for
mucinous adenocarcinoma. RESULTS: A total of 26 males and 9 females, with a mean
age of 50 years, underwent cytoreductive surgery and IPHP for mucinous
adenocarcinoma of appendiceal (n = 32) or colonic (n = 3) origin. With a mean
follow-up of 18 months (range 1-63 months), 23 had disease recurrence and 12 were
alive without recurrence. The mean survival was 19 months (range 1-63 months).
CD34 counts did not correlate with recurrence or survival; however, average VEGF
counts correlated with survival (P = 0.017), and, for patients with recurrence,
this correlation was stronger (P = 0.002). CONCLUSIONS: These results suggest
that markers of tumor angiogenesis may predict survival in patients with
peritoneal surface metastases from mucinous adenocarcinoma. These findings
provoke the hypothesis that antiangiogenic therapies may be effective in patients
with this devastating disease.
PMID- 18043974
TI - Origin of cancer stem cells: the role of self-renewal and differentiation.
AB - BACKGROUND: Self-renewal and differentiation potential is the feature of stem
cells. Differentiation is usually considered to be a one-way process of
specialization as cells develop the functions of their ultimate fate and lose
their immature characteristics, such as self-renewal. Progenitor cells, the
products of stem cells losing the activity of self-renewal, could differentiate
to mature cells, which have the feature of differentiation and lose the activity
of self-renewal. The roles for cancer stem cells have been demonstrated for some
cancers. However, the origin of the cancer stem cells remains elusive. METHODS:
This review focuses on current scientific controversies related to the
establishment of the cancer stem cells--in particular, how self-renewal and
differentiation block might contribute to the evolution of cancer. RESULTS:
Cancer stem cells may be caused by transforming mutations occurring in multi
potential stem cells, tissue-specific stem cells, progenitor cells, mature cells
and cancer cells. Progenitor cells obtain the self-renewal activity by activating
the self-renewal-associated genes rather than dedifferentiate to tissue special
stem cells. The transform multi-potential stem cells gain the differentiation
feature of special tissue by differentiating to cancer cells. Mature cells and
cancer cells may dedifferentiate or reprogram to cancer stem cells by genetic and
/ or epigenetic events to gain the self-renewal activity and lose some features
of differentiation. The cancer-derived stem cells are not the "cause", but the
"consequence" of carcinogenesis. The genetic program controlling self-renewal and
differentiation is a key unresolved issue. CONCLUSION: Cancer stem cells may be
caused by disturbance of self-renewal and differentiation occurring in multi
potential stem cells, tissue-specific stem cells, progenitor cells, mature cells
and cancer cells.
PMID- 18043975
TI - S-classification of sentinel lymph node predicts axillary nonsentinel lymph node
status in patients with breast cancer.
AB - BACKGROUND: One-half of breast cancer patients with positive sentinel lymph node
(SN) have no further metastases in the axillary lymph node basin. The aim of the
present study was to identify patients with positive SN who are unlikely to have
further metastases in the axillary lymph node basin, using a new classification
of SN, namely the S-classification. METHODS: Specimens of positive SN were
subjected to a pathological review according to the previously published S
classification. S-stages of positive SN were correlated with the status of
further metastases in the axillary lymph node basin after axillary lymph node
dissection (ALND). RESULTS: Of 117 patients who underwent sentinel lymph node
biopsy, 36 (30.8%) had a positive SN and were subjected to level I and II ALND.
The occurrence of positive nonsentinel nodes was significantly related to the S
stage of SN. No patient with stage SI had additional metastases in the
nonsentinel lymph nodes, while 14.3% of patients with SII stage disease and 60.9%
of patients with SIII disease had other non-SN that were metastatic. CONCLUSION:
S-stages of positive SN are highly predictive for axillary nonsentinel node
status. Especially patients with SI sentinel node metastases appear to be at low
risk for further nonsentinel node metastases.
PMID- 18043976
TI - Pseudomyxoma peritonei: clinical pathological and biological prognostic factors
in patients treated with cytoreductive surgery and hyperthermic intraperitoneal
chemotherapy (HIPEC).
AB - BACKGROUND: Surgical cytoreduction combined with hyperthermic intraperitoneal
chemotherapy (HIPEC) has been recently advocated as the standard of care for
pseudomyxoma peritonei (PMP). We reviewed our 10-year monoinstitutional case
series to identify selection factors predicting postoperative outcome. METHODS:
One hundred and four patients with PMP were operated on with the aim of
performing adequate cytoreduction (residual tumor nodules < or =2.5 mm) and
closed-abdomen HIPEC with mytomicin-C and cisplatin. Previously, 26 patients had
systemic chemotherapy. PMP was histologically classified into disseminated
peritoneal adenomucinosis (DPAM), peritoneal mucinous carcinomatosis (PMCA), and
intermediate/discordant group (ID). Immunohistochemical stains were performed for
cytokeratin (CK)-7, CK-20, CDX-2, MUC-2, MUC-5AC, CD-44s. The significance of 22
potential clinical, pathological, and biological prognostic variables was
assessed by multivariate analysis. RESULTS: Adequate cytoreduction was performed
in 89 patients, suboptimal cytoreduction in six, palliative surgery in nine.
Operative mortality was 1%. Seventy-eight patients were diagnosed with DPAM, 26
with PMCA, and none with ID. Median follow-up was 37 months (range, 1-110) for
the overall series. Five-year overall survival (OS) and progression-free survival
(PFS) were 78.3% and 31.1%, respectively. At multivariate analysis, adequate
cytoreduction, no previous systemic chemotherapy, and DPAM correlated to better
OS and PFS, elevated serum CA19.9 correlated only to better PFS. In most cases,
CK20, CDX-2, and MUC-2 were diffusely positive, while CK-7, MUC-5AC, and CD44s
were variably expressed. CK20 expression correlated to prognosis at univariate
analysis. CONCLUSIONS: Favorable outcome after comprehensive treatment can be
expected in patients with DPAM, not treated with preoperative systemic
chemotherapy and amenable to adequate cytoreduction. MUC-2, CK-20, and CD44s
expression may be related to PMP unique biologic behavior.
PMID- 18043977
TI - Ductal carcinoma in situ: through a glass, darkly.
PMID- 18043978
TI - Development of new cancers in patients with DCIS: the M.D. Anderson experience.
AB - BACKGROUND: The purpose of this study was to describe clinical characteristics
and outcome of mammographically and clinically detected new cancers in patients
with previously diagnosed ductal carcinoma in situ (DCIS). METHOD: Our database
was searched to identify patients with a primary diagnosis of DCIS. Those with
prior evidence of invasive carcinoma were excluded from the analysis. Cumulative
incidence of new cancers was estimated according to the method of Gray. Survival
times were estimated using the Kaplan Meier product limit method. RESULTS: A
total of 799 patients diagnosed and treated for DCIS were included in the
analysis. Median age at diagnosis was 54 years (range 22-88 years) and median
tumor size was 1.4 cm (range 0.2-15 cm). After a median follow-up of 2.9 years,
45 patients (5.6%) had a second event: 14 (31%) with in-situ and 31 (69%) with
invasive disease. Median disease-free interval was 3.5 years (range 0.5-20.8
years). The majority of second events (63%) occurred in the opposite breast (P =
0.048) and the cumulative incidence at 5 years was 6.6%. Overall survival at 5
years was 97.4%; that for the second event was 76.1%. For mammography and self
palpation, respectively, the 5-year survival by method of detection of the second
event was 63.2% and 100% (P = 0.08 with a 33% power to detect a difference).
CONCLUSION: Second events following DCIS occurs primarily in the opposite breast
and have a negative impact on survival.
PMID- 18043979
TI - Perimembrane Aurora-A expression is a significant prognostic factor in
correlation with proliferative activity in non-small-cell lung cancer (NSCLC).
AB - PURPOSE: Aurora-A, also known as STK15/BTAK, is a member of the protein
serine/threonine kinase family, and experimental studies have revealed that
Aurora-A plays critical roles in cell mitosis and in carcinogenesis. However, no
clinical studies on Aurora-A expression in non-small-cell lung cancer (NSCLC)
have been reported. Thus, the present study was conducted to assess the clinical
significance of Aurora-A status. EXPERIMENTAL DESIGN: A total of 189 consecutive
patients with resected pathologic (p-)stage I-IIIA, NSCLC were retrospectively
reviewed, and immunohistochemical staining was used to detect Aurora-A
expression. RESULTS: Aurora-A expression was negative in 31 patients (16.4%);
among Aurora-A positive patients, 124 patients showed pure diffuse cytoplasmic
Aurora-A expression and the other 34 patients showed perimembrane Aurora-A
expression. Perimembrane Aurora-A tumors showed the highest proliferative index
(PI) (mean PIs for negative, diffuse cytoplasmic, and perimembrane tumors: 49.2,
41.7, and 63.5, respectively; P < .001). Five-year survival rates of Aurora-A
negative, diffuse cytoplasmic, and perimembrane patients were 67.8%, 66.7%, and
47.6%, respectively, showing the poorest postoperative survival in perimembrane
patients (P = .033). Subset analyses revealed that perimembrane Aurora-A
expression was a significant factor to predict a poor prognosis in squamous cell
carcinoma patients, not in adenocarcinoma patients. A multivariate analysis
confirmed that perimembrane Aurora-A expression was an independent and
significant factor to predict a poor prognosis. CONCLUSIONS: Perimembrane Aurora
A status was a significant factor to predict a poor prognosis in correlation with
enhanced proliferative activity in NSCLC.
PMID- 18043980
TI - The accuracy of clinician perceptions of "usual" blood pressure control.
AB - BACKGROUND: The term "clinical inertia" is used to describe the failure to manage
a chronic condition aggressively enough to bring it under control. The underlying
mechanisms for clinical inertia remain poorly understood. OBJECTIVE: To describe
one potential mechanism for clinical inertia, seen through the lens of clinician
responses to a computerized hypertension reminder. DESIGN: Cohort study.
PARTICIPANTS: A total of 509 hypertensive patients from 2 primary care clinics in
urban Veterans Health Administration (VA) Medical Centers. All patients had
elevated blood pressure (BP) values that triggered a computerized reminder. Given
a set of possible responses to the reminder, clinicians asserted at least once
for each patient that medication adjustments were unnecessary because the BP was
"usually well controlled". MEASUREMENTS: Using recent BP values from the
electronic medical record, we assessed the accuracy of this assertion. RESULTS:
In most instances (57%), recent BP values were not well controlled, with the
systolic BP (56%) much more likely to be elevated than the diastolic BP (13%).
Eighteen percent of recent systolic BP values were 160 mmHg or greater.
CONCLUSIONS: When clinicians asserted that the BP was "usually well controlled",
objective evidence frequently suggested otherwise. This observation provides
insight into one potential mechanism underlying clinical inertia.
PMID- 18043981
TI - Intimate partner violence exposure and change in women's physical symptoms over
time.
AB - OBJECTIVE: To examine the change in women's self-reported physical symptoms over
2 time points in relation to intimate partner violence (IPV) exposure. DESIGN:
Prospective interview study of 267 women recruited from 8 health care settings
and surrounding communities in Metropolitan Boston. METHODS: We created sums of
somatic symptoms at 2 separate time points (a mean of 9.5 months apart) using
items from a modified PHQ-15. A measure of symptom change was computed to measure
the net change in symptoms over time. A negative score indicated reduction in
total symptoms, or improvement. Exposure to IPV was measured at both time points.
RESULTS: Women who reported ongoing IPV across both time points experienced an
increase in their overall physical symptoms compared to women with past abuse (p
= .0054) and no abuse (p = .0006). In multivariate regression analysis, ongoing
IPV at both time points was a statistically significant predictor of symptom
change. This relationship persisted even after controlling for age, race,
education, depression, self-report of co-morbid illness, and history of child
abuse and prior sexual assault (p = .0076). CONCLUSIONS: Women exposed to ongoing
IPV report increased physical symptoms over time. Clinicians should consider the
possibility of IPV in patients who remain persistently symptomatic over time in
addition to employing more traditional means of detecting IPV.
PMID- 18043982
TI - Does physicians' case volume impact inpatient care costs for pneumonia cases?
AB - BACKGROUND: Increasing physician case volumes are documented to reduce costs and
improve outcomes for many surgical procedures but not for medical conditions such
as pneumonia that consume significant health care resources. OBJECTIVE: This
study explored the association between physicians' inpatient pneumonia case
volume and cost per discharge. DESIGN: The design was a retrospective, population
based, cross-sectional study, using National Health Insurance administrative
claims data. SETTING: The setting was Taiwan. PARTICIPANTS: The participants were
a universal sample of 270,002 adult, acute pneumonia hospitalizations, during
2002-2004, excluding transferred cases and readmissions. MEASUREMENTS:
Hierarchical linear regression modeling was used to examine the association of
physician's volume (three volume groups, designed to classify patients into
approximately equal sized groups) with cost, adjusting for hospital random
effects, case severity, physician demographics and specialty, hospital
characteristics, and geographic location. RESULTS: Mean cost was NT$2,255 (US$1 =
NT$33 in 2004) for low-volume physicians (< or =100 cases) and NT$1,707 for high
volume physicians (> or =316 cases). The adjusted patient costs for low-volume
physicians were higher (US$264 and US$235 than high- and medium-volume
physicians, respectively; both P < .001), with no difference between high- and
medium-volume physicians. High-volume physicians had lower in-hospital mortality
and 14-day readmission rates than low-volume physicians. CONCLUSIONS: Data
support an inverse volume-cost relationship for pneumonia care. Decision
processes and clinical care of high-volume physicians versus low-volume
physicians should be studied to develop effective care algorithms to improve
pneumonia outcomes and reduce costs.
PMID- 18043983
TI - The dilemma of disclosure: patient perspectives on gay and lesbian providers.
AB - BACKGROUND: Discrimination toward gay and lesbian patients by health care
providers has been documented. No study has determined if patient behavior would
change when seeing a gay/lesbian provider. OBJECTIVE: The objective of the study
was to examine whether a provider's sexual orientation would affect the choice of
provider, practice, or preference for a chaperone during genital exams. DESIGN:
The design of the study was an anonymous, cross-sectional survey. PARTICIPANTS:
The participants were a random national sample of persons 18 years or older
residing in the USA able to read English. MEASUREMENTS: The measurements were
self-reported perceptions and chaperone preference based on provider gender and
sexual orientation. RESULTS: The response rate was 32% (n = 502). Many
respondents indicated they would change providers upon finding out their provider
was gay/lesbian (30.4%) or change practices if gay/lesbian providers were
employed there (35.4%). Female respondents preferred chaperones most with
heterosexual male providers (adjusted odds ratio [OR] 1.50, 95% confidence
interval [CI] = 1.15 to 1.95) followed by homosexual male (OR 1.17, 95% CI = 0.93
to 1.47), lesbian (reference), and heterosexual female providers (OR 0.63, 95% CI
= 0.51 to 0.77). Male respondents showed an increased preference for chaperones
with gay/lesbian providers of either gender (OR 1.52, 95%, CI = 1.22 to 1.90, for
gay male provider, [reference] for lesbian provider) than with either
heterosexual male (OR 0.36, 95% CI = 0.26 to 0.52) or heterosexual female
providers (OR 0.39, 95% CI = 0.29 to 0.54). CONCLUSIONS: Patients may change
providers, practices, or desire for chaperone based on a provider's gender and
sexual orientation. Although the low response rate may limit generalizability,
these findings have the potential to impact aspects of practice structure
including chaperone use and provider-patient relationships.
PMID- 18043984
TI - Acute myocardial infarction length of stay and hospital mortality are not
associated with language preference.
AB - BACKGROUND: Language barriers between patients and providers may influence the
process and quality of care. OBJECTIVE: To examine the association of language
preference with length of stay (LOS) and in-hospital mortality for patients
admitted for acute myocardial infarction (AMI). DESIGN, SETTING, AND
PARTICIPANTS: Electronic administrative hospital discharge data for all non
disabled Medicaid beneficiaries age 35 years and older admitted to all acute care
California hospitals with a diagnosis of AMI between 1994 and 1998. METHODS: We
used multivariate regression to explore whether observed differences in the
hospital LOS and in-hospital mortality between non-English preference (NEP) and
English preference (EP) individuals could be explained by individual and/or
hospital level factors. We adjusted for patient level characteristics using 24
covariates from a previously validated prediction model of mortality after
hospitalization for AMI. RESULTS: Of 12,609 Medicaid patients across 401
California hospitals, 2,757 (22%) had NEP. NEP was associated with a 3.9%
increased LOS (95% CI 0.7, 7.1; p = 0.02) in unadjusted analysis and a 3.8%
increased LOS (95% CI 0.3, 7.3; p = 0.03) after controlling for patient level
characteristics. Differences in LOS were no longer significant after adjusting
receipt of cardiac procedure/ surgery (2.8%; 95% CI -0.6, 6.2; p = 0.1) or after
adjusting for hospital (0.9%; 95% CI -2.5, 4.3; p = 0.6). Non-English language
preference was associated with lower in-hospital mortality in unadjusted analysis
(odds ratio [OR] = 0.80; 95% CI 0.69, 0.94; p = 0.005), but was not significant
after adjusting for patient level characteristics (adjusted OR [AOR] 0.95; 95% CI
0.78, 1.27; p = 0.6). Adjusting for receipt of cardiac procedure/ surgery (AOR
0.97; 95% CI 0.79, 1.18; p = 0.7) and hospital (AOR 0.97; 95% CI 0.78; 1.21; p =
0.8) did not alter this finding. CONCLUSIONS: Language preference is not
associated with AMI mortality, and the small increase in length of stay
associated with non-English preference is accounted for by hospital level
factors. Our results suggest that system level differences are important to
consider in studies of the effect of language barriers in the health care
setting.
PMID- 18043985
TI - Resident perceptions of work hour limitations: has the 80-hour work week doomed
the 3-year Internal Medicine residency?
PMID- 18043986
TI - Re: Preoperative nutritional status of patients undergoing Roux-en-Y gastric
bypass for morbid obesity.
PMID- 18043987
TI - Surrogate markers of resectability in patients undergoing exploration of
potentially resectable pancreatic adenocarcinoma.
AB - Despite extensive preoperative staging, a significant number of pancreatic
cancers are unresectable at surgical exploration. Patients undergoing pancreatic
exploration with a view to resection were studied and comparisons are then made
between those undergoing resection and a bypass procedure to identify surrogate
markers of unresectability. One hundred thirteen consecutive patients underwent
pancreatic exploration for head-of-pancreas (HOP) adenocarcinoma with curative
intent. Fifty-five underwent pancreaticoduodenectomy and 58 underwent a bypass
procedure. Student's t test, receiver operator characteristics (ROC) and logistic
regression were used to compare the predictive value of preoperative patient
variables collected retrospectively. The bypass group had a significantly higher
median CA19.9 than the resection group (P = 0.003). Platelet count and neutrophil
lymphocyte ratio (NLR) were also significantly different (P = 0.013 and P =
0.026, respectively). ROC analysis indicated that age < or =65, platelet count
>297 x 10(9)/l, CA19.9 < or =473 Ku/l, and CA19.9-bilirubin ratio were predictive
variables for resectable disease. NLR and CA19.9-bilirubin ratio had specificity
values of 92.9 and 97.0%, respectively. From logistic regression, a raised CA19.9
was found to be an independent risk factor for unresectable disease (P = 0.031).
A significant proportion of patients with HOP adenocarcinoma are understaged
preoperatively. Preoperative serology including platelet count, NLR, CA19.9, and
CA19.9-bilirubin ratio may be used as additional discriminators of resectability
particularly for high-risk patients.
PMID- 18043988
TI - Acute gastric dilatation, necrosis and perforation complicating restrictive-type
anorexia nervosa.
PMID- 18043990
TI - Intussusception after laparoscopic Roux-en-Y gastric bypass.
AB - Intussusception after open Roux-en-Y gastric bypass procedure (RYGBP) is a rare
complication. We present a retrospective review of three cases of antegrade
intussusception occurring after laparoscopic RYGBP. To our knowledge, these are
the first documented cases of intussusception after laparoscopic RYGBP. We
describe the clinical presentation and our management of these three cases.
Furthermore, we believe that the initial clinical presentation, radiographic
findings, and management of these patients may be different than those patients
who have undergone an open RYGBP. With increasing popularity of laparoscopic
RYGBP, we are likely to see more of this entity.
PMID- 18043989
TI - Postoperative complications have little influence on long-term quality of life in
Crohn's patients.
AB - PURPOSE: The purpose of the study was to determine the influence of postoperative
complications on long-term quality of life in patients after abdominal operations
for Crohn's disease. MATERIALS AND METHODS: From 1996 to 2002, 305 Crohn's
patients underwent abdominal surgery, and 66 patients developed postoperative
complications. Quality of life was studied using a standardized questionnaire and
four quality of life instruments. Sixty-six Crohn's patients with uneventful
postoperative course matched for age, and follow-up time served as controls.
RESULTS: Forty-eight patients (81%) in the complication group (32 major and 16
minor) and 43 patients (75%) in the control group answered the questionnaire.
Postoperative follow-up time was 42 (10-94) and 41 months (13-94; median
(range)). Quality of life was comparable between groups, except on the subscale
"physical functioning" of the Short-form 36 on which patients with minor and
major complications showed impaired quality of life compared to controls (67+/-6,
69+/-4, and 84+/-2%; mean+/-standard error of the mean; both p<0.05 vs controls).
The incidence of Crohn's disease-related symptoms at follow-up was unaffected by
complications (minor 63%, major 56% vs controls 70%; both not significant).
CONCLUSION: Postoperative complications after abdominal operations for Crohn's
disease do not impair long-term quality of life in general but may affect
specific dimensions of quality of life like patients' physical function.
PMID- 18043991
TI - DNA repair gene XRCC1 and XPD polymorphisms and their association with coronary
artery disease risks and micronucleus frequency.
AB - Coronary artery disease (CAD) is a multifactorial process that appears to be
caused by the interaction of environmental risk factors with multiple
predisposing genes. In this study, we investigated the effects of the XPD
Lys751Gln and XRCC1 Arg399Gln polymorphisms on the presence and the severity of
CAD. We also investigated the presence of DNA damage in the peripheral
lymphocytes of patients with CAD by using the micronucleus (MN) test and the
effect of XPD Lys751Gln and XRCC1 Arg399Gln polymorphisms on this damage. The
study population consisted of 147 patients with angiographically documented CAD
and 48 healthy controls. No association between XPD Lys751Gln or XRCC1 Arg399Gln
polymorphisms and the presence or the severity of CAD was observed. On the other
hand, a significantly higher frequency of MN was observed in CAD patients
compared with controls (5.7 +/- 1.9 vs 5.0 +/- 2.1, respectively, P = 0.018). We
found an elevated frequency of MN in CAD patients with the XPD 751Gln allele
(Gln/Gln genotype) or the XRCC1 399Gln (Arg/Gln or Gln/Gln genotypes) allele
compared with the XPD 751Lys (Lys/Lys genotype) allele or XRCC1 399 Arg (Arg /Arg
genotype) allele, respectively. These preliminary results suggest that XPD
Lys751Gln and XRCC1 Arg399Gln polymorphisms may not be a significant risk factor
for developing CAD. In addition, our results indicate that the MN frequency is
associated with presence, but not severity, of CAD and is related to the XRCC1
Arg399Gln and XPD Lys751Gln polymorphisms, suggesting an elevated frequency of MN
in CAD patients with the XPD 751Gln or XRCC1 399Gln alleles.
PMID- 18043992
TI - Comparison between metalloproteinases-2 and -9 in healthy subjects, diabetics,
and subjects with acute coronary syndrome.
AB - We hypothesized that matrix metalloproteinase (MMP)-2, -9, and tissue inhibitor
metalloproteinase-1, -2 (TIMP-1, -2) would be abnormal in diabetes and in acute
coronary syndromes (ACS). We measured MMP-2, -9, and TIMP-1, -2 plasma levels in
healthy subjects (controls), in type 2 diabetic patients, in nondiabetic patients
with ACS (ACS) and in diabetic patients with ACS (DACS). We enrolled 165
controls, 181 diabetic patients, 78 ACS, and 46 DACS. We measured also BMI (body
mass index), HbA(1c) (glycated hemoglobin) FPG (fasting plasma glucosa), FPI
(fasting plasma insulin), HOMA index (homeostasis model assessment index), SBP
(systolic blood pressure), DBP (diastolic blood pressure), TC (total
cholesterol), LDL-C (low density lipoprotein cholesterol), HDL-C (high-density
lipoprotein cholesterol), Tg (triglycerides), Lp(a) (lipoprotein(a)) PAI-1
(plasminogen activator inhibitor-1), Hct (homocysteine), Fg (fibrinogen), and hs
CRP (high-sensitivity C-reactive protein). A significant increase of BMI was
observed in the diabetic group, in ACS and DACS patients compared to controls. A
significant increase of SBP and DBP resulted in the diabetic and DACS groups,
while only SBP improvement was present in ACS patients with respect to controls.
A decrease in SBP and DBP was observed in the ACS group, while SBP variation was
present in DACS patients compared to diabetics, and DBP increase was obtained in
the DACS group with respect to ACS patients. TC, LDL-C, Tg, and Lp(a) increase
was present in diabetics, while TC, Tg, and Lp(a) improvement was present in ACS
and DACS patients with a significant decrease of HDL-C levels in diabetic, ACS,
and DACS groups compared to controls. A decrease in LDL-C was obtained in ACS and
DACS groups, while HDL-C increase was observed in these patients with respect to
diabetics. Tg levels were higher in the DACS group compared to diabetics and ACS
patients, respectively. Increases in PAI-1, Hct, Fg, and hs-CRP were present in
diabetic and DACS groups, while PAI-1, Hct, and hs-CRP improvement was obtained
in ACS patients with respect to controls. Higher PAI-1 levels came about in ACS
and DACS groups, while HCT and Fg levels were lower in ACS patients compared to
diabetics. An increase in Fg was present in the DACS group with respect to ACS
patients. A decrease in Hs-CRP was observed in DACS patients compared to
diabetics and the ACS group, respectively. Higher MMP-2, MMP-9, TIMP-1, and TIMP
2 levels were present in diabetic, ACS, and DACS patients compared to controls.
Significant MMP-2, TIMP-1, and TIMP-2 increases were observed in ACS and DACS
groups, while MMP-9 decreased in these patients compared to diabetics. In
conclusion, MMP-2, MMP-9, TIMP-1, and TIMP-2 plasma levels were higher in
diabetic, ACS, and DACS patients, which may reflect abnormal extracellular matrix
metabolism in diabetes and in acute coronary syndrome.
PMID- 18043993
TI - Interleukin-18: a strong predictor of the extent of coronary artery disease in
patients with unstable angina.
AB - The aim of this study was to confirm that plasma interleukin (IL)-18 level is
associated with the extent of coronary artery disease in unstable angina
patients. Previous studies have shown that patients with unstable angina have
significantly higher plasma IL-18 levels than healthy volunteers. However, the
association between IL-18 and the extent of coronary artery atherosclerosis in
patients with unstable angina remains unclear. Plasma concentrations of IL-18 and
high-sensitivity C-reactive protein (hs-CRP) were measured in 166 consecutive
patients admitted for coronary arteriography. One hundred and eighteen patients
with unstable angina had coronary artery disease (coronary artery disease group;
severity score: 2.32 +/- 1.47; Gensini score: 31.3 +/- 25.9), and 48 patients
with coronary risk factors and without coronary artery lesions served as the risk
control group. Plasma levels of IL-18 were higher in the coronary artery disease
group than in the risk control group (P = 0.062). Additionally, plasma levels of
IL-18 were significantly higher in 77 coronary artery disease patients with
severity score > or =2 than in the risk control group (242.3 +/- 110.6 vs 209.8
+/- 120.3 pg/ml, P = 0.016). By univariate analysis, log-transformed plasma IL-18
concentration was positively correlated with coronary artery disease severity
score (r = 0.244, P = 0.009). By multiple regression analyses, the association
between coronary artery disease severity score and IL-18 remained significant
(beta = 0.733, P = 0.017) when controlling for age, diabetes mellitus and left
ventricular ejection fraction. Additionally, coronary artery disease severity
score was greater in the highest tertile (>246 pg/ml) of plasma IL-18 levels than
in the middle (176-246 pg/ml) and the lowest (<176 pg/ml) tertiles (2.79 +/- 1.52
vs 2.05 +/- 1.08 vs 2.13 +/- 1.66, P = 0.028). Of note, plasma hs-CRP level had
no significant correlation with coronary artery severity. Plasma IL-18 level is
associated with the extent of coronary artery disease in unstable angina
patients, suggesting the link between IL-18 and coronary artery atherosclerosis
in these patients.
PMID- 18043994
TI - Circulating platelet and neutrophil activation correlates with the clinical
course of unstable angina.
AB - Recent studies have suggested important roles of inflammation in the
pathophysiology of unstable angina (UA). We investigated whether activation of
the circulating platelets and neutrophils were implicated in inflammatory
reactions associated with unstable angina Expressions of platelet P-selectin and
neutrophil CD11b, and neutrophil-platelet aggregates were evaluated by flow
cytometry in anticoagulated peripheral venous blood from 71 patients with UA and
22 patients with stable angina (SA). Expressions of platelet P-selectin and
neutrophil CD11b, and neutrophil-platelet aggregates on the admission day were
all significantly higher in 71 patients with UA than 22 with SA (median, mean
fluorescence intensity [MFI]: 7.00 vs 4.51, P < 0.01, 64.68 vs 47.75, P = 0.0007;
and % of 10 000 neutrophils: 7.84 vs 3.40, P = 0.0001, respectively). These three
parameters in 43 patients with UA were significantly decreased (MFI: 4.23, P =
0.003, 50.82, P = 0.0003; and % of 10 000 neutrophils: 5.04, P = 0.0001,
respectively) 7 days after the first measurement. These results indicate that
circulating activated platelets and neutrophils are more strongly implicated in
the acute phase of UA. These findings also suggest that thrombus formation after
rupture of atherosclerotic plaques as well as plaque formation involves
inflammatory reactions.
PMID- 18043995
TI - Impact of anemia on nonfatal coronary events after percutaneous coronary
interventions.
AB - Anemia is associated with higher rates of cardiovascular events in patients with
heart failure, acute myocardial infarction, and in patients undergoing coronary
artery bypass graft surgery. Although studies have focused on fatal coronary
events in anemic patients following percutaneous coronary intervention (PCI),
data is lacking regarding nonfatal coronary events. The aim of our study was to
analyze the incidence of anemia in patients who developed nonfatal events after
successful PCI, and to make a comparison with event-free patients. Forty-nine
consecutive patients with and 51 without nonfatal coronary events (nonfatal
myocardial infarction, coronary artery bypass grafting, or repeat PCI) during the
1-year follow-up after the index PCI procedure were included in the study. Anemia
was defined using World Health Organization (WHO) criteria as a hematocrit value
at initial presentation <39% for men and <36% for women. Baseline hematocrit
levels were measured before the procedure. Baseline clinical, lesion, and
procedural characteristics were comparable in both groups. Anemia was present in
33.8% of men and 30.4% of women. The incidence of anemia in patients with
nonfatal coronary events was 46.9% and 15.7% in the event-free group, which was
significantly different (P = 0.001). Anemia was found to be an independent risk
factor for nonfatal coronary events in PCI patients (odds ratio: 2.24, 95%
confidence interval: 1.05-4.79; P = 0.036). In conclusion, anemia is an important
risk factor for predicting nonfatal coronary events after PCI. Although previous
studies have shown its impact on fatal events, this study has demonstrated that
anemia also has a role in nonfatal thrombotic coronary events and restenosis.
PMID- 18043996
TI - Sinus arrest caused by occlusion of the sinus node artery during percutaneous
coronary intervention for lesions of the proximal right coronary artery.
AB - We examined the frequency of side-branch occlusion of the sinus node (SN) artery
and of the subsequent sinus arrest in 80 consecutive patients who underwent
percutaneous coronary intervention (PCI) for proximal right coronary artery (RCA)
lesions. Side-branch occlusion of the SN artery occurred during PCI in 14 (17.5%)
patients. Sinus arrest with junctional escape rhythm developed in 4 (28.6%) of
these 14 patients. Temporary ventricular pacing was performed for one patient.
The junctional escape rhythm disappeared in all of the patients within 3 days of
the SN artery occlusion. The frequency of a single blood supply to the SN by the
SN artery originating from the RCA did not differ significantly between the
patients with and without sinus arrest (4/4 [100%]) vs 9/10 [90%]). In
conclusion, although side-branch occlusion of the SN artery often occurs during
PCI for proximal RCA lesions, where the SN artery originated, it does not always
produce sinus arrest even in cases of a single blood supply to the SN by the SN
artery originating from the RCA. Even though sinus arrest is caused by the
occlusion of the SN artery, this bradyarrhythmia seems to disappear in the short
term.
PMID- 18043997
TI - The echocardiographic Tei-index reflects early myocardial damage induced by
anthracyclines in patients with hematological malignancies.
AB - Anthracyclines are antineoplastic agents that are effective against solid tumors
and hematological malignancies. However, drug-induced cardiotoxicity imposes dose
limitations. Myocardial damage due to anthracyclines has been assessed by
measuring left ventricular ejection fraction (LVEF) or fraction shortening (FS)
by echocardiography and criteria for discontinuing treatment have been
established based on these indexes. However, cardiotoxicity is already
irreversible when either LVEF or FS fulfills these criteria. The Tei-index has
recently been established to assess combined systolic and diastolic myocardial
function during echocardiography. It can also detect small changes in cardiac
function. We therefore surmised that the Tei-index would reflect early myocardial
damage induced by anthracyclines. We treated 23 patients with the anthracycline,
doxorubicin (DXR), and examined them at least twice during the treatment. An
additional dose of DXR significantly correlated with a change in the Tei-index
(DeltaTei-index). In contrast, a change in LVEF did not correlate with increased
doses of DXR. The DeltaTei-index did not correlate with either LVEF or the Tei
index before treatment. These results suggested that the DeltaTei-index is a more
sensitive indicator of early cardiotoxicity induced by anthracyclines than LVEF
regardless of its value before treatment.
PMID- 18043998
TI - Plasma brain natriuretic peptide and systemic ventricular function in
asymptomatic patients late after the Fontan procedure.
AB - While increased plasma brain natriuretic peptide (BNP) levels have been
documented late after the Fontan procedure, its significance remains unclear. We
sought to test the hypothesis that plasma BNP levels reflect systemic ventricular
function after the Fontan procedure by interrogating the relationship between
plasma BNP level and indices of ventricular function. The plasma BNP levels and
systemic ventricular function, as determined by conventional and tissue Doppler
assessments, acoustic quantification (AQ), and myocardial performance index
(MPI), of 35 asymptomatic Fontan patients were compared to those of 34 control
subjects who had previous repair of ventricular septal defect. When compared with
controls, Fontan patients had significantly higher plasma BNP levels (median 21
pg/ml, range 5-397 vs median 15 pg/ml, range 5-62, P = 0.04). Their systolic and
diastolic ventricular function was impaired as evidenced by reduced systemic
ventricular early diastolic (E) inflow velocity, early to late (A) diastolic
inflow velocity ratio, left and right annular early diastolic (e), late diastolic
(a), and systolic (s) velocities, AQ-derived ventricular fractional area change,
peak emptying rate, and peak filling rate, and increased AQ-derived atrial
filling fraction and MPI (all P < 0.05). Plasma BNP levels correlated negatively
with E and A velocities, left-sided annular a velocity, and right-sided annular
e, a, and s velocities, and positively with AQ-derived atrial filling fraction
(all P < 0.05). In conclusion, our findings suggest that plasma BNP levels
reflect primarily the diastolic function of the systemic ventricle in
asymptomatic Fontan patients.
PMID- 18043999
TI - Influence of cilnidipine or nisoldipine on sympathetic activity in healthy male
subjects.
AB - The aim of this study was to investigate whether cilnidipine, an N- and L-type
calcium channel blocker, and nisoldipine, an L-type calcium channel blocker, have
different effects on sympathetic activity, using an identical group of healthy
male subjects. Eight healthy men (22-28 years) were given 10 mg of cilnidipine or
10 mg of nisoldipine in a randomized crossover design. In each trial, in subjects
without medication on day 1 (control) and with medication on day 2, we measured
heart rate (HR), low frequency (LF)/high frequency (HF) of HR variability, and
plasma noradrenaline (NA) in a resting supine position and during head-up tilt,
and palmar sweating during a mental arithmetic test, before and at 1, 2, 4, 6,
and 8 h after administration. Time-plasma concentration profiles of the two drugs
were similar. Measurements in controls on the two days showed no significant
difference in any of these parameters. Nisoldipine, but not cilnidipine, slightly
increased HR and LF/HF at rest. Head-up tilt increased HR, LF/HF, and plasma NA.
As evaluated with repeated-measures analysis of variance, head-up tilt induced a
significant increase in LF/HF, but not HR or plasma NA, and the effect of
cilnidipine was significantly less than that of nisoldipine (P = 0.017). Postural
hypotension was not observed. There was no difference in mental arithmetic
induced sweating between the two drugs. Cilnidipine, but not nisoldipine, might
have a weak inhibitory effect on reflex sympathetic activity.
PMID- 18044000
TI - Relationship between blood pressure obtained from the upper arm with a cuff-type
sphygmomanometer and central blood pressure measured with a catheter-tipped
micromanometer.
AB - Recently, the importance of central blood pressure for cardiovascular risk
stratification has been emphasized. Accordingly, the differences in peak systolic
and bottom diastolic pressures between the ascending aorta and the brachial
artery should be clarified. Study subjects consisted of 82 consecutive patients
with suspected coronary artery disease who underwent cardiac catheterization, and
in whom ascending aortic pressure waveform was obtained using a catheter-tipped
micromanometer, and at the same time systolic and diastolic pressures were
measured (single measurement) from the right upper arm with a cuff-type
sphygmomanometer based on the oscillometric technique. No significant systematic
difference (bias) was found between the peak pressure obtained in the ascending
aorta and the systolic pressure from the right upper arm (133.6 +/- 25.1 vs 131.8
+/- 21.5 mmHg, not significant). Bland-Altman analysis showed only a small bias
of +1.8 mmHg, and the limits of agreement were 25.4 mmHg and -21.8 mmHg. In
contrast, the bottom pressure in the ascending aorta was significantly lower
compared with the diastolic pressure from the upper arm (68.5 +/- 10.7 vs 73.0 +/
12.4 mmHg, P < 0.0001). Bland-Altman analysis showed a small but significant
bias of -4.5 mmHg, and the limits of agreement were 14.1 mmHg and -23.1 mmHg. The
observed biases seemed to remain within practical range. However, random
variation in the two measurements was rather large. This is considered to be
caused by the random error in the single measurement with the cuff-type
sphygmomanometer.
PMID- 18044001
TI - Enhanced expression of TLR4 in smooth muscle cells in human atherosclerotic
coronary arteries.
AB - Toll-like receptors (TLRs) play an essential role in innate immunity as
components of the primary defense system against microbial infections. It has
become evident that TLRs are also involved in the pathogenesis of various
cardiovascular diseases. However, the expression patterns of TLRs in the human
coronary arteries of coronary artery disease (CAD) patients and the regulatory
mechanisms of their expression remain unknown. The TLR4 expression patterns were
invstigated by immunohistochemical analysis of coronary specimens obtained from
autopsy cases or CAD patients by using directional coronary atherectomy. In
atherosclerotic coronary arteries (n = 8), TLR4 immunoreactivity was colocalized
with infiltrating inflammatory cells. Interestingly, vascular smooth muscle cells
of atherosclerotic coronary arteries intensely expressed TLR4 even in the regions
that had few inflammatory cells. In contrast, TLR4 expression was barely detected
in the vascular smooth muscle cells of nonatherosclerotic coronary arteries (n =
4). Furthermore, intense expression of smooth muscle TLR4 was observed in the
coronary arteries of CAD patients (n = 52). Stimulation with tumor necrosis
factor alpha and angiotensin II increased the expression of TLR4 mRNA in cultured
human vascular smooth muscle cells. Candesartan, an antagonist of the angiotensin
II type 1 receptor (AT1), and N-acetylcystine inhibited angiotensin II-induced
TLR4 mRNA expression in these cells. These findings suggest that the vascular
smooth muscle cells of atherosclerotic coronary arteries may be activated to
express TLR4. Furthermore, proinflammatory cytokines and oxidative stress in the
inflammatory lesions might contribute to the enhanced expression of TLR4 in
vascular smooth muscle cells of atherosclerotic arteries.
PMID- 18044003
TI - Cardiac imaging in a symptomatic patient with multiple coronary artery-left
ventricular microfistulae.
AB - We present the case of a 44-year-old woman with acute coronary syndrome and
multiple coronary artery-left ventricular microfistulae at angiography. To
evaluate the clinical significance of this observation and to obtain further
insights into this rare disease, the patient was further examined with
echocardiography and both magnetic resonance imaging and single-photon emission
computed tomography, which showed considerable abnormalities.
PMID- 18044002
TI - Shear stress inhibition of H(2)O(2) induced p66(Shc) phosphorylation by ASK1-JNK
inactivation in endothelium.
AB - Shear stress protects endothelium from a variety of risk factors for vascular
disease. Here, we demonstrate a novel mechanism whereby shear stress inhibited
reactive oxygen species (ROS)-triggered signaling cascades in endothelial cells.
Stimulation of bovine aortic endothelial cells (BAECs) with H(2)O(2) induced a
3.07-fold increase in p66(Shc) phosphorylation. This response was fully blocked
by pretreatment of cells with specific JNK but not p38 or ERK MAP kinase
inhibitor. Further study showed that knocking down of apoptosis signal-regulating
kinase 1 (ASK1) by siRNA transfection in cells dramatically inhibited
phosphorylation of JNK and p66(Shc) elicited by H(2)O(2). Pre-perfusion of BAECs
cultured in silastic tubes with laminar flow generated by a servo-pump system for
30 min also significantly suppressed H(2)O(2)-induced phosphorylation of
p66(Shc). This was accompanied by quantitatively similar inhibition of ASK1 and
JNK phosphorylation and activation. These results suggested that shear stress
protects endothelium against oxidant stress by suppression of ASK1-JNK-mediated
p66(Shc) phosphorylation.
PMID- 18044004
TI - Cattle ticks of the genera Rhipicephalus and Amblyomma of economic importance in
Tanzania: distribution assessed with GIS based on an extensive field survey.
AB - In order to implement a robust integrated tick and tick-borne disease control
programme in Tanzania, based on ecological and epidemiological knowledge of ticks
and their associated diseases, a national tick and sero-surveillance study was
carried out in all 21 regions of the mainland, as well as on Mafia Island,
between 1998 and 2001. The current distributions of Rhipicephalus appendiculatus,
R. pravus, Amblyomma variegatum, A. gemma, and A. lepidum are illustrated and
discussed. Tick distribution maps were assessed using the Weights-of-Evidence
method (WofE), and employing temperature, humidity, NDVI, rainfall, and land
cover predictive data. Ground-truthing was done to check correspondence both of
the data employed in prediction with land-cover characteristics discerned in the
field as well as of the surveyed and predicted tick distributions. Statistical
methods were used to analyse associations of the tick species with their
environment, cattle density, and other ticks. Except for R. appendiculatus, no
appreciable changes were demonstrated in the predicted and observed tick
distributions compared to the existing maps that originated in the 1950-1960s.
Cattle density influenced the distribution of A. variegatum and, to a certain
extent, of A. lepidum, but had no appreciable influence on the distribution of
any of the other ticks discussed in this paper, neither did livestock movement.
Distinct differences for environmental requirements where observed between
different tick species within the same genus. The predictive maps of R.
appendiculatus and R. pravus suggest their mutually exclusive distribution in
Tanzania, and simultaneous statistical analysis showed R. pravus as a greater
specialist. Of the three Amblyomma species, A. variegatum is the most catholic
tick species in Tanzania, while both A. gemma and A. lepidum belong to the more
specialized species. Despite dissimilar habitat preferences, all three Amblyomma
spp. co-exist in central Tanzania, where very heterogeneous habitats may
simultaneously satisfy the environmental requirements of all three species. The
current study, conducted about 4 decades after the last major survey activities,
has shown that changing livestock policies, unrestricted livestock movement and a
continuous change in climatic/environmental conditions in Tanzania have brought
about only limited changes in the distribution patterns of R. appendiculatus, R.
pravus and the three Amblyomma species investigated. Whether this observation
indicates a relative indifference of these ticks to environmental and/or climate
changes allows room for speculation.
PMID- 18044006
TI - Vertical characterization of soil contamination using multi-way modeling--a case
study.
AB - This study describes application of chemometric multi-way modeling approach to
analyze the dataset pertaining to soils of industrial area with a view to assess
the soil/sub-soil contamination, accumulation pathways and mobility of
contaminants in the soil profiles. The three-way (sampling depths, chemical
variables, sampling sites) dataset on heavy metals in soil samples collected from
three different sites in an industrial area, up to a depth of 60 m each was
analyzed using three-way Tucker3 model validated for stability and goodness of
fit. A two component Tucker3 model, explaining 66.6% of data variance, allowed
interpretation of the data information in all the three modes. The interpretation
of core elements revealing interactions among the components of different modes
(depth, variables, sites) allowed inferring more realistic information about the
contamination pattern of soils both along the horizontal and vertical
coordinates, contamination pathways, and mobility of contaminants through soil
profiles, as compared to the traditional data analysis techniques. It concluded
that soils at site-1 and site-2 are relatively more contaminated with heavy
metals of both the natural as well as anthropogenic origins, as compared to the
soil of site-3. Moreover, the accumulation pathways of metals for upper shallow
layers and deeper layers of soils in the area were differentiated. The
information generated would be helpful in developing strategies for remediation
of the contaminated soils for reducing the subsequent risk of ground-water
contamination in the study region.
PMID- 18044005
TI - Pesticide residues in river Yamuna and its canals in Haryana and Delhi, India.
AB - Yamuna, a prominent river of India covers an extensive area of 345,843 km(2) from
Yamunotri glacier through six Indian states. Residues of organochlorine
pesticides (OCPs) namely, isomers of HCH and endosulfan, DDT and its metabolites,
aldrin, dieldrin, were analysed in water of river Yamuna along its 346 km stretch
passing through Haryana-Delhi-Haryana and the canals originating from it. beta
HCH, p.p'-DDT, p.p'-DDE and p.p'-DDD had maximum traceability in test samples (95
100%) followed by gamma-HCH, alpha-HCH and o.p'-DDD (60-84%) and o.p'-DDT, delta
HCH and o.p'-DDE (7-30%) while aldrin, dieldrin, alpha and beta endosulfan
remained below detection limits (BDL). The concentration of SigmaHCH and SigmaDDT
at different sites of the river ranged between 12.76-593.49 ng/l (with a mean of
310.25 ng/l) and 66.17-722.94 ng/l (with a mean of 387.9 ng/l), respectively. In
canals the values were found between 12.38-571.98 ng/l and 109.12-1572.22 ng/l
for SigmaHCH and SigmaDDT, respectively. Water of Gurgaon canal and Western
Yamuna canal contained maximum and minimum concentration, respectively both of
SigmaHCH and SigmaDDT residues. Sources of these pesticides and suggested
measures to check pesticide pollution of this major Indian river, keeping in view
its vital link with life, are discussed in this paper.
PMID- 18044007
TI - Quality assessment of treated tannery wastewater with special emphasis on
pathogenic E. coli detection through serotyping.
AB - The present study was undertaken to assess the quality of treated tannery
effluent discharged from Common Effluent Treatment Plant (CETP), Unnao, India, to
various water bodies and agricultural lands. The physico-chemical analyses
revealed that the effluent was yellowish-brown in colour, having alkaline pH,
high BOD, COD values and contained large quantities of organic and inorganic
constituents well above the prescribed standards. The bacteriological quality was
assessed in terms of the presence of heterotrophs and total as well as faecal
coliforms. All faecal coliforms were identified as Escherichia coli, of which 50%
were found pathogenic after serotyping. Most of the serotypes were uropathogenic
Escherichia coli (UPEC) 04. The potential risks of the contamination of different
ecosystems situated to the vicinity of such sites are obvious. The observations
of this investigation indicate that the treated tannery effluent released for
various purposes is not satisfactory, and is far from optimal in attaining the
safe limits of disposal.
PMID- 18044008
TI - Changes in health-related quality of life in patients of self-care vs. in-center
dialysis during the first year.
AB - OBJECTIVE: In the Lorraine area (France), dialysis centers propose an educational
program to improve patient's ability to perform dialysis by themselves. The
objective was to assess changes in health-related quality of life (HRQoL) during
the first year of dialysis, comparing independent patients with patients on in
center dialysis. METHOD: All patients aged between 18 and 70 and having started
their first dialysis between June 1997 and June 1999 in the Lorraine area were
included. Socio-demographic, medical data and HRQoL (KDQoL) were assessed for
each patient at enrollment, at 6 and 12 months. RESULTS: At 12 months, 195
patients were in dialysis, 147 were non-autonomous, and 48 were autonomous.
Independent patients were younger, were more often in occupational activity, had
a lower body mass index and had fewer comorbidities. Several dimensions of the
HRQoL were significantly higher in autonomous patients at baseline: physical
functioning (60.4 vs. 50.7) and work status (30.9 vs. 18.4); and at 12 months:
less burden of kidney disease (51.7 vs. 37.3), fewer effects of kidney disease
(65.9 vs. 54.0), cognitive function (72.0 vs. 62.7) and role-emotional (53.0 vs.
34.5). CONCLUSION: These results show improved HRQoL among independent patients.
Our regional care network may be a particularly useful model for undertaking
actions motivating the healthcare teams and for enhancing the human resources
devoted to patient education.
PMID- 18044009
TI - Collective openness and other recommendations for the promotion of research
integrity.
PMID- 18044010
TI - The anti-secretory and anti-ulcer activities of esomeprazole in comparison with
omeprazole in the stomach of rats and rabbits.
AB - Proton pump inhibitors (PPIs) are widely used to treat hyperacid secretion and
stomach ulcers. The study investigated the anti-secretory and anti-ulcer effects
of esomeprazole, the S-isomer of omeprazole on dimaprit, histamine and dibutyryl
adenosine 3, 5 cyclic monophosphate (dbcAMP)-evoked gastric acid secretion,
acidified ethanol (AE) and indomethacin (INDO)-induced haemorrhagic lesions and
on prostaglandin E2 (PGE2) level in the rat in vivo and rabbit in vitro
preparations. The effect of omeprazole was also investigated for comparison.
Dimaprit-induced acid secretion was significantly (P < 0.05) inhibited by both
PPIs in a dose-dependent manner. In the isolated rabbit gastric glands, both PPIs
elicited marked reductions in histamine- and dbcAMP-evoked acid secretion with
similar potency. The lesions induced by either AE or INDO were significantly (P <
0.05) reduced in the presence of either esomeprazole or omeprazole compared to
control values. Increasing doses of esomeprazole before AE treatment resulted in
a marked degree of cytoprotection and an elevation in the concentration of bound
PGE2 in the stomach tissue homogenate. The results show that esomeprazole and
omeprazole were equally effective against gastric haemorrhagic lesions induced by
either AE or INDO and in inhibiting dimaprit-, dbcAMP- and histamine-induced
gastric acid secretion in the rat and rabbit stomach both in vivo and in vitro.
The gastro-protective effect of esomeprazole was found to be proportional to the
bound PGE2 levels in the glandular area of the stomach.
PMID- 18044011
TI - Competitive protein adsorption to polymer surfaces from human serum.
AB - Surface modification by "soft" plasma polymerisation to obtain a hydrophilic and
non-fouling polymer surface has been validated using radioactive labelling.
Adsorption to unmodified and modified polymer surfaces, from both single protein
and human serum solutions, has been investigated. By using different
radioisotopes, albumin and Immunoglobulin G (IgG) adsorption has been monitored
simultaneously during competitive adsorption processes, which to our knowledge
has not been reported in the literature before. Results show that albumin and IgG
adsorption is dependent on adsorption time and on the presence and concentration
of other proteins in bulk solutions during adsorption. Generally, lower albumin
and IgG adsorption was observed on the modified and more hydrophilic polymer
surfaces, but otherwise the modified and unmodified polymer surfaces showed the
same adsorption characteristics.
PMID- 18044012
TI - Modeling and simulation of hepatic drug disposition using a physiologically
based, multi-agent in silico liver.
AB - PURPOSE: Validate a physiologically based, mechanistic, in silico liver (ISL) for
studying the hepatic disposition and metabolism of antipyrine, atenolol,
labetalol, diltiazem, and sucrose administered alone or in combination. MATERIALS
AND METHODS: Autonomous software objects representing hepatic components such as
metabolic enzymes, cells, and microarchitectural details were plugged together to
form a functioning liver analogue. Microarchitecture features were represented
separately from drug metabolizing functions. Each ISL component interacts
uniquely with mobile objects. Outflow profiles were recorded and compared to wet
lab data. A single ISL structure was selected, parameterized, and held constant
for all compounds. Parameters sensitive to drug-specific physicochemical
properties were tuned so that ISL outflow profiles matched in situ outflow
profiles. RESULTS: ISL simulations were validated separately and together against
in situ data and prior physiologically based pharmacokinetic (PBPK) predictions.
The consequences of ISL parameter changes on outflow profiles were explored.
Selected changes altered outflow profiles in ways consistent with knowledge of
hepatic anatomy and physiology and drug physicochemical properties. CONCLUSIONS:
A synthetic, agent-oriented in silico liver has been developed and successfully
validated, enabling us to posit that static and dynamic ISL mechanistic details,
although abstract, map realistically to hepatic mechanistic details in PBPK
simulations.
PMID- 18044013
TI - Na,K-ATPase and the role of alpha isoforms in behavior.
AB - The Na,K-ATPase is composed of multiple isoforms and the isoform distribution
varies with the tissue and during development. The alpha1 isoform for example, is
the major isoform in the kidney and many other tissues, while the alpha2 isoform
is the predominate one in skeletal muscle. All three isoforms are found in the
brain although in adult rodent brain, the alpha 3 isoform is located essentially
in neurons while the alpha2 isoform is found in astrocytes and some limited
neuronal populations. Interestingly the alpha 4 isoform is found exclusively in
the mid region of the sperm tail. The distribution of the isoforms of the Na,K
ATPase has been extensively studied in many tissues and during development. The
examples cited above provide some indication to the diversity of Na,K-ATPase
isoform expression. In order to understand the significance of this distribution,
we have developed animals which lack the alpha1, alpha2, and alpha 3 isoforms. It
is anticipated that these studies will provide insight into the role that these
isoforms play in driving various biological processes in specific tissues. Here
we describe some of our studies which deal with the behavioral aspects of the
alpha1, alpha2, and alpha 3 deficient mice, particularly those that are
haploinsufficient in one isoform i.e. lacking one functional gene for the alpha1,
alpha2, or alpha 3 isoforms. Such studies are important as two human diseases are
associated with deficiency in the alpha2 and alpha 3 isoforms. These are Familial
Hemiplegic Migraine type 2 and Rapid-Onset Dystonia Parkinsonism, these diseases
result from alpha2 and alpha 3 isoform haploinsufficiency, respectively. We find
that the haploinsufficiency of both alpha2 and alpha 3 isoforms result in
behavioral defects.
PMID- 18044014
TI - Mechanism of recurrence after radiofrequency catheter ablation of atrial
fibrillation guided by complex fractionated atrial electrograms.
AB - BACKGROUND: A better understanding of the mechanisms of recurrent atrial
fibrillation (AF) after radiofrequency ablation of complex, fractionated atrial
electrograms (CFAEs) may be helpful for refining AF ablation strategies. METHODS
AND RESULTS: Electrogram-guided ablation (EGA) was repeated in 30 consecutive
patients (mean age = 59 +/- 8 years) for recurrent paroxysmal AF, 10 +/- 4 months
after the first ablation. During the first procedure, CFAEs were targeted without
isolating all pulmonary veins (PVs). During repeat ablation, all PVs and the
superior vena cava (SVC) were mapped with a circular catheter and the left atrium
was mapped for CFAEs. EGA was performed until AF was rendered noninducible or all
identified CFAEs were eliminated. During repeat ablation, > or =1 PV tachycardia
was found in 83 PVs in 29 of the 30 patients (97%). Among these 83 PVs, 63 (76%)
had not been completely isolated previously. During repeat ablation, drivers
originating in a PV or PV antrum were identified only after infusion of
isoproterenol (20 mug/min) in 12 patients (40%). At 9 +/- 4 months of follow-up
after the repeat ablation procedure, 21 of the 30 patients (70%) were free from
recurrent AF and flutter without antiarrhythmic drugs. CONCLUSIONS: Recurrence of
AF after EGA is usually due to PV tachycardias. Therefore, it may be preferable
to systematically map and isolate all PVs during the first procedure. High-dose
isoproterenol may be helpful to identify AF drivers.
PMID- 18044015
TI - The Comparison of Medical Therapy, Pacing, and Defibrillation in Heart Failure
(COMPANION) trial in perspective.
AB - The Comparison of Medical Therapy, Pacing, and Defibrillation in Heart Failure
(COMPANION) trial is one of several randomized trials that has demonstrated an
improvement in morbidity and mortality with biventricular pacemakers and/or
implantable defibrillators. Although the results of the COMPANION trial overlap
with other trials investigating cardiac resynchronization therapy (CRT) and
implantable cardioverter-defibrillators (ICDs), there are several unique aspects
of the trial that warrant special attention. This review focuses on COMPANION in
light of other CRT trials, and includes a discussion on the patient population
enrolled, design challenges of the trial, the cost-effectiveness of CRT and CRT
D, and results of subanalyses using data collected during the trial.
PMID- 18044016
TI - Inferring connection proximity in networks of electrically coupled cells by
subthreshold frequency response analysis.
AB - Electrical synapses continuously transfer signals bi-directionally from one cell
to another, directly or indirectly via intermediate cells. Electrical synapses
are common in many brain structures such as the inferior olive, the subcoeruleus
nucleus and the neocortex, between neurons and between glial cells. In the
cortex, interneurons have been shown to be electrically coupled and proposed to
participate in large, continuous cortical syncytia, as opposed to smaller spatial
domains of electrically coupled cells. However, to explore the significance of
these findings it is imperative to map the electrical synaptic microcircuits, in
analogy with in vitro studies on monosynaptic and disynaptic chemical coupling.
Since "walking" from cell to cell over large distances with a glass pipette is
challenging, microinjection of (fluorescent) dyes diffusing through gap-junctions
remains so far the only method available to decipher such microcircuits even
though technical limitations exist. Based on circuit theory, we derive analytical
descriptions of the AC electrical coupling in networks of isopotential cells. We
then suggest an operative electrophysiological protocol to distinguish between
direct electrical connections and connections involving one or more intermediate
cells. This method allows inferring the number of intermediate cells,
generalizing the conventional coupling coefficient, which provides limited
information. We validate our method through computer simulations, theoretical and
numerical methods and electrophysiological paired recordings.
PMID- 18044018
TI - Brain storm.
PMID- 18044020
TI - A quest for fun.
PMID- 18044019
TI - Proceedings of the Inaugural ASTRO/ASCO/AHNS Multidisciplinary Head and Neck
Cancer Symposium. January 18-20, 2007. Rancho Mirage, California, USA.
PMID- 18044017
TI - Cytokines: Important for implantation?
AB - PROBLEM: Cytokines are obviously very important in an established pregnancy, but
what about human embryo implantation? METHODS: Literature review. RESULTS: We
first discuss the necessity and limits of animal models, and then review the few
cytokines which have been demonstrated by knock-out methods to be absolutely
necessary for embryo implantation using in animal models. We then review what is
known or discussed about the role of other cytokines as deduced from quantitative
and/or qualitative dysregulation in animals and in humans. CONCLUSIONS: Cytokines
are indeed involved in implantation as they are in ongoing pregnancy and
delivery. Relevance to infertility and recurrent pregnancy loss is discussed.
PMID- 18044022
TI - Liquid NMR probes: Oh so many choices.
PMID- 18044021
TI - Electron tomography: a 3D view of the subcellular world.
PMID- 18044023
TI - Proceedings of the LX Annual Meeting of the Italian Society for Veterinary
Sciences (SISVET), Palermo, Italy, 2006.
PMID- 18044024
TI - In response to Point:Counterpoint: "Satellite cell addition is/is not obligatory
for skeletal muscle hypertrophy".
PMID- 18044025
TI - Small and thin: the controversy over the fetal origins of adult health.
AB - Examines the Barker hypothesis that fetal environment and low birth weight are
related to heart disease later in life.
PMID- 18044026
TI - [X-ray neurodiagnosis of thalamic tumors].
PMID- 18044027
TI - Re. From my perspective. The practice of surgery--of medicine in general--seems
more a business enterprise than a service-dedicated profession.
PMID- 18044028
TI - Patient education Web site.
PMID- 18044029
TI - Economics of managed care.
PMID- 18044030
TI - Economics of medicine.
PMID- 18044031
TI - Macular degeneration.
PMID- 18044032
TI - Medicare program; revisions to payment policies under the physician fee schedule,
and other Part B payment policies for CY 2008; revisions to the payment policies
of ambulance services under the ambulance fee schedule for CY 2008; and the
amendment of the e-prescribing exemption for computer generated facsimile
transmissions. Final rule with comment period.
AB - This final rule with comment period addresses certain provisions of the Tax
Relief and Health Care Act of 2006, as well as making other proposed changes to
Medicare Part B payment policy. We are making these changes to ensure that our
payment systems are updated to reflect changes in medical practice and the
relative value of services. This final rule with comment period also discusses
refinements to resource-based practice expense (PE) relative value units (RVUs);
geographic practice cost indices (GPCI) changes; malpractice RVUs; requests for
additions to the list of telehealth services; several coding issues including
additional codes from the 5-Year Review; payment for covered outpatient drugs and
biologicals; the competitive acquisition program (CAP); clinical lab fee schedule
issues; payment for renal dialysis services; performance standards for
independent diagnostic testing facilities; expiration of the physician scarcity
area (PSA) bonus payment; conforming and clarifying changes for comprehensive
outpatient rehabilitation facilities (CORFs); a process for updating the drug
compendia; physician self referral issues; beneficiary signature for ambulance
transport services; durable medical equipment (DME) update; the chiropractic
services demonstration; a Medicare economic index (MEI) data change; technical
corrections; standards and requirements related to therapy services under
Medicare Parts A and B; revisions to the ambulance fee schedule; the ambulance
inflation factor for CY 2008; and amending the e-prescribing exemption for
computer-generated facsimile transmissions. We are also finalizing the calendar
year (CY) 2007 interim RVUs and are issuing interim RVUs for new and revised
procedure codes for CY 2008. As required by the statute, we are announcing that
the physician fee schedule update for CY 2008 is -10.1 percent, the initial
estimate for the sustainable growth rate for CY 2008 is -0.1 percent, and the
conversion factor (CF) for CY 2008 is $34.0682.
PMID- 18044033
TI - Medicare program: changes to the hospital outpatient prospective payment system
and CY 2008 payment rates, the ambulatory surgical center payment system and CY
2008 payment rates, the hospital inpatient prospective payment system and FY 2008
payment rates; and payments for graduate medical education for affiliated
teaching hospitals in certain emergency situations Medicare and Medicaid
programs: hospital conditions of participation; necessary provider designations
of critical access hospitals. Interim and final rule with comment period.
AB - This final rule with comment period revises the Medicare hospital outpatient
prospective payment system to implement applicable statutory requirements and
changes arising from our continuing experience with this system. We describe the
changes to the amounts and factors used to determine the payment rates for
Medicare hospital outpatient services paid under the prospective payment system.
These changes are applicable to services furnished on or after January 1, 2008.
In addition, the rule sets forth the applicable relative payment weights and
amounts for services furnished in ASCs, specific HCPCS codes to which the final
policies of the ASC payment system apply, and other pertinent rate setting
information for the CY 2008 ASC payment system. Furthermore, this final rule with
comment period will make changes to the policies relating to the necessary
provider designations of critical access hospitals and changes to several of the
current conditions of participation requirements. The attached document also
incorporates the changes to the FY 2008 hospital inpatient prospective payment
system (IPPS) payment rates made as a result of the enactment of the TMA,
Abstinence Education, and QI Programs Extension Act of 2007, Public Law 110-90.
In addition, we are changing the provisions in our previously issued FY 2008 IPPS
final rule and are establishing a new policy, retroactive to October 1, 2007, of
not applying the documentation and coding adjustment to the FY 2008 hospital
specific rates for Medicare-dependent, small rural hospitals (MDHs) and sole
community hospitals (SCHs). In the interim final rule with comment period in this
document, we are modifying our regulations relating to graduate medical education
(GME) payments made to teaching hospitals that have Medicare affiliation
agreements for certain emergency situations.
PMID- 18044034
TI - Effect of increased body mass index on oocyte and embryo quality in IVF patients.
AB - Obesity may have an adverse effect on the outcome of IVF/intracytoplasmic sperm
injection (ICSI) treatment. In this study, the effects of increased body mass
index (BMI) on oocyte and embryo quality during IVF cycles were studied. A
retrospective analysis of 426 IVF/ICSI cycles was performed. Cycles were
classified according to the BMI: normal BMI (19-24.9 kg/m(2)), overweight (25
29.9 kg/m(2)) and obese (> or = 30 kg/m(2)). Cycles were further stratified based
on age (group 1, < 35 years; group 2, > or = 35 years). Markers of oocyte quality
(number of oocytes inseminated and fertilization rate) and embryo quality
(utilization rate, number of embryos discarded and cryopreserved, and mean embryo
grade) were examined. In group 1, obesity had a significant adverse effect on the
mean embryo grade (P = 0.02), the embryo utilization rate (P = 0.01), number of
embryos discarded (P = 0.007) and cryopreserved (P < 0.05). In group 2, there was
no difference in markers of embryo quality between the three BMI ranks. Obesity
did not have any significant effect on markers of oocyte quality or clinical
pregnancy rates. In conclusion, obesity may adversely affect embryo quality in
young women (<35 years) undergoing IVF/ICSI, while the oocyte quality is not
affected.
PMID- 18044035
TI - [108th French Congress of Surgery, Paris 2-4 October, 2006. Primary
retroperitoneal sarcoma].
PMID- 18044036
TI - Tomorrow's professor: objectives as guides to learning.
PMID- 18044037
TI - Can it work? Three New England states have made bold reforms in how we provide
health care in America. What can we learn from their lead?
PMID- 18044038
TI - GAO: improve pandemic prep.
PMID- 18044039
TI - Detecting epidemic malaria, Uganda.
PMID- 18044040
TI - Extensively drug-resistant tuberculosis, Italy and Germany.
PMID- 18044041
TI - Buruli ulcer, Nigeria.
PMID- 18044042
TI - Acetobacter cibinongensis bacteremia in human.
PMID- 18044043
TI - Risk for epidemics after natural disasters.
PMID- 18044044
TI - Recombinant sapovirus gastroenteritis, Japan.
PMID- 18044045
TI - Salmonella typhimurium in Hihi, New Zealand.
PMID- 18044046
TI - Travel-related Salmonella agama, Gabon.
PMID- 18044047
TI - Small anellovirus infections in Korean children.
PMID- 18044048
TI - Antibodies against Leptospira spp. in captive collared peccaries, Peru.
PMID- 18044049
TI - High tuberculosis and HIV coinfection rate, Johannesburg.
PMID- 18044050
TI - Tuberculosis trends, Vietnam.
PMID- 18044051
TI - Vancomycin-resistant enterococci, Mexico City.
PMID- 18044052
TI - Disseminated bacillus Calmette-Guerin infection and immunodeficiency.
PMID- 18044053
TI - Clindamycin-resistant Streptococcus pneumoniae.
PMID- 18044054
TI - Expanded-spectrum beta-lactamase and plasmid-mediated quinolone resistance.
PMID- 18044055
TI - Viral load and Crimean-Congo hemorrhagic fever.
PMID- 18044056
TI - Inactivated whole virus influenza A (H5N1) vaccine.
PMID- 18044057
TI - Resistance to dihydroartemisinin.
PMID- 18044058
TI - Reproducibility of exhaled biomarkers in COPD--the road less traveled.
PMID- 18044059
TI - Active smoking among asthmatic youth--how concerned we need to be.
PMID- 18044060
TI - Occasional review: influenza in COPD: pathogenesis, prevention, and treatment.
AB - Influenza viruses cause respiratory tract infections that in patients with
underlying lung diseases such as chronic obstructive pulmonary disease (COPD) are
associated with exacerbations and excess morbidity and mortality. Typically,
influenza B is associated with relatively mild, local outbreaks, whereas
influenza A is the cause of world-wide pandemics. Upon infection, two antigens
present on the viral surface, hemagglutinin and neuraminidase result in human
immunity, but since many subtypes of these antigens exist that vary over time,
immunity in the population is blunted. Vaccination is advocated in high-risk
groups including patients with underlying (lung) diseases and in the elderly, and
needs to be repeated annually with vaccines expected to cover the expected change
in viral antigenicity. When started early, antiviral drugs, especially
neuraminidase-inhibitors can be prescribed in adjunct to nonspecific
interventions in an attempt to shorten disease duration and to prevent
complications in case of an influenza infection. Currently, the effectiveness of
antiviral drugs specifically in patients with COPD has not been proven.
PMID- 18044061
TI - Bronchodilators in COPD: impact of beta-agonists and anticholinergics on severe
exacerbations and mortality.
AB - This review summarizes the long-term clinical outcomes associated with beta
agonist and anticholinergic bronchodilator use in patients with chronic
obstructive pulmonary disease (COPD). Pooled data from randomized placebo
controlled trials of at least three months duration were used to evaluate the
risk for COPD hospitalizations, respiratory mortality, and total mortality. The
results show that anticholinergic use is associated with a 30% reduction in COPD
hospitalizations, a 70% reduction in respiratory mortality, and without a
significant effect on total mortality. In contrast, beta-agonist use had no
effect on COPD hospitalizations and was associated with a two-fold increased risk
for respiratory death compared with placebo. When the two bronchodilators were
directly compared with each other, beta-agonists were associated with a two-fold
increased risk for COPD hospitalization and a five-fold increased risk for total
mortality compared with anticholinergics. When beta-agonists were added to either
anticholinergic use or inhaled corticosteroid use alone, there was no significant
improvement in any long-term clinical outcome. These results indicate that
anticholinergics should be the bronchodilator of choice in COPD, while beta
agonists may be associated with poorer disease control.
PMID- 18044062
TI - Respiratory muscles training in COPD patients.
AB - It is known that respiratory muscles undergo adaptation in response to overload
stimuli during exercise training in stable COPD patients, thus resulting in
significant increase of respiratory muscle function as well as the individual's
improvements. The present article reviews the most updated evidence with regard
to the use of respiratory muscle training (RMT) methods in COPD patients.
Basically, three types of RMT (resistive training, pressure threshold loading,
and normocapnic hyperpnea) have been reported. Frequency, duration, and intensity
of exercise must be carefully considered for a training effect. In contrast with
the plentitude of existing data inherent to inspiratory muscle training (IMT),
literature is still lacking in showing clinical and physiological studies related
to expiratory muscle training (EMT). In particular, while it seems that IMT is
slightly superior to EMT in providing additional benefits other than respiratory
muscle function such as a reduction in dyspnea, both the effects and the safety
of EMT is still to be definitively elucidated in patients with COPD.
PMID- 18044063
TI - Prulifloxacin: a brief review of its potential in the treatment of acute
exacerbation of chronic bronchitis.
AB - Exacerbations of chronic bronchitis (AECB) are a major cause of morbidity and
mortality in patients with chronic obstructive pulmonary disease (COPD), and
their impact on public health is increasing. The new fluoroquinolones have an
excellent spectrum providing cover for the most important respiratory pathogens,
including atypical and "typical" pathogens. Not surprisingly, different
guidelines have inserted these agents among the drugs of choice in the empirical
therapy of AECB. The pharmacokinetic and dynamic properties of the new
fluoroquinolones have a significant impact on their clinical and bacteriological
efficacy. They cause a concentration-dependent killing with a sustained post
antibiotic effect. This review discusses the most recent data on the new
fluoroquinolone prulifloxacin and critically analyses its activity and safety in
the management of AECB.
PMID- 18044064
TI - The development of anticholinergics in the management of COPD.
AB - Anticholinergics have been used to treat obstructive respiratory disease for many
years from historical preparations of the deadly nightshade genus, to the more
recent developments ofipratropium, oxitropium, and tiotropium. The medical
treatment of airways obstruction has focused on achieving maximal airway function
through bronchodilators. Of the two main bronchodilators, beta2-agonists are
often the first treatment choice although there is evidence of equivalence and
some suggestions of the superiority of anticholinergics in chronic obstructive
pulmonary disease (COPD). The following review looks at the background of
anticholinergics, their pharmacological properties, and the evidence for use with
suggestions for their place in the treatment of COPD.
PMID- 18044065
TI - Prevention and control of influenza in persons with chronic obstructive pulmonary
disease.
AB - Despite recommendations for annual vaccination against influenza, more than half
of patients with chronic obstructive pulmonary disease (COPD) in developed
countries do not receive this vaccine. Influenza is characterized by its
potentially of causing epidemics and by excess morbidity and mortality in
patients with COPD and other chronic medical conditions. Good evidence of the
efficacy, effectiveness, and cost-effectiveness of influenza vaccination
underlines the recommendation of use in patients with COPD. Influenza vaccination
could reduce influenza-related complications and exacerbations in patients with
COPD, therefore reducing hospitalizations and deaths. Each year, all persons with
COPD should be vaccinated with the inactivated trivalent influenza vaccine
containing the most frequent two influenza A viral strains and one influenza B
viral strain detected in the influenza season of the previous year. To achieve a
100% vaccination rate in patients with COPD, all patients with COPD registered in
health insurance companies and attended in health centers and specialized clinics
should be vaccinated during the immunization period (October-December). Antiviral
therapies could be used as an adjunct to vaccination and to reduce influenza
transmission in outbreaks. Antiviral therapies could reduce the duration and
complications of influenza when administered within two days of the onset of
illness. Research is necessary for new antiviral therapies that could prevent
influenza with cost-effectiveness similar to the influenza vaccine.
PMID- 18044066
TI - Influenza infection and COPD.
AB - Influenza is a disease with global impact that causes enormous morbidity and
mortality on an annual basis. It primarily infects the respiratory tract and
causes a broad range of illness ranging from symptomless infection to fulminant
primary viral and secondary bacterial pneumonia. The severity of infection
depends on both the virus strain and a number of host factors, primarily age and
the presence of comorbid conditions such as cardiopulmonary disease. The
mortality and utilization of healthcare resources associated with influenza is
concentrated in the elderly and those with coexisting disease such as chronic
obstructive pulmonary disease (COPD). Increasing use of vaccination and the
development of new antiviral drugs hold out hope that the burden of disease
associated with influenza can be reduced. However the constant emergence of new
influenza strains and the current risk of avian influenza pandemic serve as
warnings that influenza will remain a serious pathogen for the foreseeable
future.
PMID- 18044067
TI - Effects of active tobacco smoking on the prevalence of asthma-like symptoms in
adolescents.
AB - The prevalence of asthma in adolescents markedly varies between different
localities as found by the International Study of Asthma and Allergies in
Childhood (ISAAC) and this may be due to environmental factors. Although tobacco
smoke exposure is related to an increase in the prevalence of asthma, there is
lack of information on that respect in children from developing countries, where
active tobacco smoking usually starts early in adolescence. This study was
undertaken to assess the effect of tobacco smoking on the prevalence of asthma
symptoms in a random sample of 4738 adolescents aged 13.4 +/- 1.05 years who
responded the ISAAC video questionnaires plus questions on tobacco smoking. The
prevalence of tobacco smoking in the last 12 months was 16.2%, with significant
female predominance. The persistent smokers had a significantly higher prevalence
of asthma-like symptoms ever and in the last 12 months (wheezing, wheezing with
exercise, nocturnal wheezing, severe wheezing, and dry nocturnal cough) than ex
smokers and nonsmokers. More than 27% of asthma symptoms in our adolescents are
attributable to active tobacco consumption (population attributable risk). This
study strongly suggests that potent and more effective campaigns against tobacco
smoking should be implemented in developing countries, where active tobacco
smoking is dramatically increasing in children.
PMID- 18044068
TI - Variability of exhaled breath condensate leukotriene B4 and 8-isoprostane in COPD
patients.
AB - The reproducibility of exhaled breath condensate (EBC) mediators is not well
documented in chronic obstructive pulmonary disease (COPD). This study assessed
within assay (WA), within (WD) and between day (BD) reproducibility of EBC
leukotriene B4 (LTB4) and 8-isoprostane. Three EBC samples were collected from 24
COPD patients separated by 1 h and 1 wk, to assess WD and BD reproducibility. WA
reproducibility was assessed by sample analysis by enzyme immunoassay in
triplicate. WA coefficient of variation for LTB4 and 8-isoprostane (18.2% and
29.2%, respectively) was lower than corresponding values for WD (47.7% and 65.3%,
respectively) and BD (75.7% and 79.1%, respectively). Repeatability coefficient
for 8-isoprostane and LTB4 assays were 18.6 pg/ml and 13.2 pg/ml, respectively.
Group mean differences for WD and BD were small and statistically nonsignificant.
Using the Bland Altman method, there were wide limits of agreement for WD (-51.6
to 47.2 for 8-isoprostane and -31.8 to 31.4 for LTB4) and BD reproducibility (
61.4 to 75.7 for 8-isoprostane and -29.3 to 38.6 for LTB4). This is the first
study to fully report the variability of EBC 8-isoprostane and LTB4 in COPD. WA
variability and group mean changes were small. However, we observed considerable
WD and BD variability for these biomarkers.
PMID- 18044069
TI - Effects of acute hypoxia on left and right ventricular contractility in chronic
obstructive pulmonary disease.
AB - The purpose of this investigation was to assess the effects of acute hypoxia on
left (LV) and right ventricular (RV) contractility in clinically stable chronic
obstructive pulmonary disease (COPD) patients. Eleven male patients (mean age
52.4 +/- 12.6 years) who were diagnosed to have COPD were included into the
study. All of the patients underwent left and right heart catheterization. RV
contractility was measured according to the method of Ferlinz and LV
contractility according to the method of Kennedy and colleagues using indirect
digital substraction angiography. Mean pulmonary artery pressures (Mean PPA) and
oxygen saturation of the pulmonary artery (SaO2) were measured before and at each
stage of graded hypoxic exposure 14%, 12%, and 10% of O2. Right atrial pressures
(PRA,syst, PRA,diast, PRA,mean), RV pressures (PRV,syst, PRV,diast, PRV,mean,
PRV,end-diast), RV and LV end-diastolic volume index (EDVI), end-systolic volume
index (ESVI), stroke volume index (SVI), cardiac index (CI), ejection fraction
(EF), and heart rate (HR) were calculated before and after breathing a hypoxic
mixture of 10% of O2 for 30 minutes. Acute hypoxia induced significant elevation
of mean PPA, PRA,syst, PRA,diast, PRA,mean, PRV,syst, PRV,mean, PRV,end-diast, RV
EDVI, RV ESVI, LV EDVI, LV ESVI, confidence interval, and HR (p < 0.05). Whereas
SaO2 decreased significantly after acute hypoxia (p < 0.05). These findings
suggest that the systolic performance of the right and left ventricles were well
maintained during acute hypoxia in patients with COPD.
PMID- 18044071
TI - What's in a name?
PMID- 18044070
TI - Stress distribution in a three dimensional, geometric alveolar sac under normal
and emphysematous conditions.
AB - Pulmonary emphysema is usually the result of chronic exposure to cigarette smoke
in at risk individuals. To investigate the hypothesis that lung damage in
emphysema results from coincident weakening in the structural properties of the
tissue and increased mechanical forces--as one explanation of the continued
development of pulmonary emphysema after smoking cessation--we developed a three
dimensional, geometric dodecahedron-based acinar model. Using the model numerical
analysis of the stress distribution in normal conditions could be compared with
those resulting in emphysematous conditions. Finite element analysis was used to
evaluate the model at a number of lung inflation levels, using quasi-static
loading of the alveolar pressure. When internal alveolar pressure was increased
along with the adjustment of the material properties to represent a weakening of
one wall in the acinus, increased stress resulted at the perimeters of the
weakened area. In particular this increased stress was localized at the junction
points of the internal alveolar septa. It was also found that under the proposed
simulated emphysematous conditions, a significant disruption in the stress
distribution within the acinus model occurred at low, rather than high, lung
volumes. This is supportive of the physiological observation that destruction of
the diseased tissue can occur under less stress than those existing in the normal
state.
PMID- 18044072
TI - Immunological outcomes of exercise in older adults.
AB - Aging is associated with a dysregulation of the immune system known as
immunosenescence. Immunosenescence involves cellular and molecular alterations
that impact both innate and adaptive immunity, leading to increased incidences of
infectious disease morbidity and mortality as well as heightened rates of other
immune disorders such as autoimmunity, cancer, and inflammatory conditions. While
current data suggests physical activity may be an effective and logistically easy
strategy for counteracting immunosenescence, it is currently underutilized in
clinical settings. Long-term, moderate physical activity interventions in
geriatric populations appear to be associated with several benefits including
reduction in infectious disease risk, increased rates of vaccine efficacy, and
improvements in both physical and psychosocial aspects of daily living. Exercise
may also represent a viable therapy in patients for whom pharmacological
treatment is unavailable, ineffective, or inappropriate. The effects of exercise
impact multiple aspects of immune response including T cell phenotype and
proliferation, antibody response to vaccination, and cytokine production.
However, an underlying mechanism by which exercise affects numerous cell types
and responses remains to be identified. Given this evidence, an increase in the
use of physical activity programs by the healthcare community may result in
improved health of geriatric populations.
PMID- 18044073
TI - Rivastigmine in the treatment of Alzheimer's disease: an update.
AB - Alzheimer's disease is the most common form of dementia in industrialized
countries. In the European Union, about 54% of dementia cases are believed to be
due to Alzheimer's disease. The condition is an age-related neurodegenerative
disorder characterized by multiple cognitive deficiencies, including loss of
memory, judgment, and comprehension. These manifestations are accompanied by
behavioral and mood disturbances. Although no cure has yet been discovered for
Alzheimer's disease, symptomatic therapies are now widely available and offer
significant relief to patients and benefits to caregivers in terms of reduced
care burden. At the start of the 21st century, health technology assessments
recommended three agents for the symptomatic treatment of mild to moderate
Alzheimer disease: rivastigmine, donepezil, and galantamine. Rivastigmine
(Exelon, Novartis Basel-Switzerland) is a slowly reversible inhibitor of
acetylcholinesterase (AChE) and butyrylcholinesterase (BuChE), while donepezil
(Aricept, Pfizer, New York, USA) and galantamine (Reminyl, Janssen, New Jersey,
USA) show no functional inhibition of BuChE, and are considered AChE-selective,
rapidly-reversible inhibitors. The efficacy of all three agents has been
evaluated in large, double-blind, placebo-controlled clinical trials of up to 6
months' duration. Rivastigmine treatment in mild to moderate Alzheimer's disease
improves cognition, activities of daily living, and global function.
PMID- 18044076
TI - Quarterly intravenous injection of ibandronate to treat osteoporosis in
postmenopausal women.
AB - Osteoporosis is a chronic condition that generally requires long-term therapy for
fracture risk reduction to become apparent. Although the bisphosphonates have
made a major contribution to how clinicians manage osteoporosis, compliance with
therapy has generally been less in the real-world setting than seen in clinical
trials. Less-frequently administered dosage regimens or nonoral routes may
enhance compliance and so maximize the therapeutic benefit of bisphosphonates.
Ibandronate is a nitrogen-containing bisphosphonate, whose high potency allows it
to be administered orally or intravenously with extended dosing intervals. This
paper will review the role of intravenous ibandronate in the treatment of
postmenopausal osteoporosis.
PMID- 18044075
TI - The differential effects of bisphosphonates, SERMS (selective estrogen receptor
modulators), and parathyroid hormone on bone remodeling in osteoporosis.
AB - Osteoporosis is a skeletal metabolic disease characterized by a compromised bone
fragility, leading to an increased risk of developing spontaneous and traumatic
fractures. Osteoporosis is considered a multifactorial disease and fractures are
the results of several different risk factors both extra- and intraskeletal. Thus
bone fragility can be the end point of several different causes: a) failure to
reach an optimal peak bone mass during growth; b) excessive bone resorption
resulting in decreased bone mass and microarchitectural deterioration; c)
inadequate formation upon an increased resorption during the process of bone
remodeling. The pharmacological therapeutical options, available to date, are
directed on prevention of fractures. The aim of this paper is to describe the
activities and the mechanisms of action, as known at present, of the most used
therapies for osteoporosis and their clinical implications. Improvement of
knowledge in this field will allow us to further improve therapeutical choices
and pharmacological interventions.
PMID- 18044077
TI - Activity and potential role of licofelone in the management of osteoarthritis.
AB - Osteoarthritis is the most common form of arthritis. It is a progressive joint
disease associated with aging. It may be found in the knees, hips, or other
joints. It is estimated that costs associated with osteoarthritis exceed 2% of
the gross national product in developed countries. Nonsteroidal anti-inflammatory
drugs (NSAIDs) are a mainstay in the treatment of inflammatory disease and are
among the most widely used drugs worldwide. The main limitation in using NSAIDs
consists in their side-effects, including gastrointestinal ulcerogenic activity
and bronchospasm. The mechanism of action of these drugs is attributed to the
inhibition of cyclooxygenase (COX), and, consequently, the conversion of
arachidonic acid into prostaglandins. It is hypothesized that the undesirable
side-effects of NSAIDs are due to the inhibition of COX-1 (constitutive isoform),
whereas the beneficial effects are related to the inhibition of COX-2 (inducible
isoform). Arachidonic acid can also be converted to leukotrienes (LTs) by the
action of 5-lipoxygenase (5-LOX). Licofelone, a LOX/COX competitive inhibitor,
decreases the production ofproinflammatory leukotrienes and prostaglandins (which
are involved in the pathophysiology of osteoarthritis and in gastrointestinal
(GI) damage induced by NSAIDs) and has the potential to combine good analgesic
and anti-inflammatory effects with excellent GI tolerability. Preliminary data
with this drug seem promising, but further well-designed clinical trials of this
agent in the elderly will be necessary before a final evaluation is possible.
PMID- 18044078
TI - Cosmetic use of botulinum toxin type A in the elderly.
AB - Botulinum toxin type A injections are one of the most popular cosmetic procedures
for diminishing the appearance of facial lines caused by habitual facial muscle
contractions. Although the manufacturer's labeling recommends botulinum toxin
only for the treatment of glabellar lines among adults younger than 65 years of
age, there is widespread use of the toxin for other cosmetic purposes and for
patients who may be older than 65. Evidence-based safety and efficacy data on
botulinum toxin use in elderly patients is limited. However, given the age
related skin changes and multifactorial causes of wrinkles in the elderly, as
well as the higher risk for potential side effects due to concomitant diseases
and medications, a careful risk-benefit assessment should precede the decision to
use botulinum toxin in the elderly patient.
PMID- 18044074
TI - Immunosupportive therapies in aging.
AB - The primary role of the immune system is to protect the organism against
pathogens, but age-associated alterations to immunity increase the susceptibility
of the elderly to infectious disease. The exact nature of these changes is still
controversial, but the use of screening procedures, such as the SENIEUR protocol
to exclude underlying illness, helped to better characterize the changes actually
related to physiological aging rather than pathology. It is generally agreed that
the most marked changes occur in the cellular immune response reflecting profound
alterations in T cells. Much of this is due to thymic involution as well as
changes in the proportions of T cell subpopulations resulting from antigen
exposure, and altered T cell activation pathways. However, a body of data
indicates that innate immune responses, including the critical bridge between
innate and adaptive immunity, and antigen presenting capacity are not completely
resistant to senescence processes. The consequences of all these alterations are
an increased incidence of infections, as well as possibly cancers, autoimmune
disorders, and chronic inflammatory diseases. The leading question is what, if
anything, can we do to prevent these deleterious changes without dangerously
dysregulating the precarious balance of productive immunity versus
immunopathology? There are many potential new therapeutic means now available to
modulate immunosenescence and many others are expected to be available shortly.
One main problem in applying these experimental therapies is ethical: there is a
common feeling that as ageing is not a disease; the elderly are not sick and
therefore do not require adventurous therapies with unpredictable side-effects in
mostly frail individuals. Animal models are not helpful in this context. In this
chapter we will first briefly review what we think we know about human
immunosenescence and its consequences for the health status of elderly
individuals. We will then discuss possible interventions that might one day
become applicable in an appropriate ethical environment.
PMID- 18044079
TI - Long-term management of GERD in the elderly with pantoprazole.
AB - The prevalence of gastroesophageal reflux disease (GERD) increases with age and
elderly are more likely to develop severe disease. Older patients often complain
of less severe or frequent heartburn than younger patients and they may present
with atypical symptoms such as dysphagia, weight loss, or extraesophageal
symptoms. Proton pump inhibitors (PPIs) are central in the management of GERD and
are unchallenged with regards to their efficacy. They are considered safe and
more effective than histamine receptor antagonists for healing esophagitis and
for preventing its recurrence using a long term maintenance treatment. PPI have
minimal side effects and few slight drug interactions and are considered safe for
long term treatment. Pantoprazole is significantly effective both for acute and
long-term treatment with excellent control of relapse and symptoms. It is well
tolerated even for long-term therapy and its tolerability is optimal.
Pantoprazole shows to have minimal interactions with other drugs because of a
lower affinity for cytocrome P450 than older PPIs. Although the majority of
elderly has concomitant illnesses and receive other drugs, this does not
adversely effect the efficacy of pantoprazole because of its pharmacokinetics,
which are independent of patient age. Clinical practice suggests that a low dose
maintenance of PPIs should be used in older patients with GERD.
PMID- 18044080
TI - A review of a bi-layered living cell treatment (Apligraf) in the treatment of
venous leg ulcers and diabetic foot ulcers.
AB - Apligraf (Organogenesis, Canton, MA) is a bi-layered bioengineered skin
substitute and was the first engineered skin US Food and Drug Administration
(FDA)-approved to promote the healing of ulcers that have failed standard wound
care. Constructed by culturing human foreskin-derived neonatal fibroblasts in a
bovine type I collagen matrix over which human foreskin-derived neonatal
epidermal keratinocytes are then cultured and allowed to stratify, Apligraf
provides both cells and matrix for the nonhealing wound. Its exact mechanism of
action is not known, but it is known to produce cytokines and growth factors
similar to healthy human skin. Initially approved by the FDA in 1998 for the
treatment of venous ulcers greater than one-month duration that have not
adequately responded to conventional therapy, Apligraf later received approval in
2000 for treatment of diabetic foot ulcers of greater than three weeks duration.
Herein, we review the use of Apligraf in the treatment of chronic venous leg
ulcers and diabetic foot ulcers. Our goal is to provide a working understanding
of appropriate patient selection and proper use of the product for any physician
treating this segment of the aging population.
PMID- 18044081
TI - Update on the use of dutasteride in the management of benign prostatic
hypertrophy.
AB - Benign prostatic hyperplasia (BPH) is a frequent cause of lower urinary symptoms,
with a prevalence of 50% by the sixth decade of life. Hyperplasia of stromal and
epithelial prostatic elements that surround the urethra cause lower urinary tract
symptoms (LUTS), urinary tract infection, and acute urinary retention. Medical
treatments of symptomatic BPH include; 1) the 5alpha-reductase inhibitors, 2) the
alpha1-adrenergic antagonists, and 3) the combination of a 5alpha-reductase
inhibitor and a alpha1-adrenergic antagonist. Selective alpha1-adrenergic
antagonists relax the smooth muscle of the prostate and bladder neck without
affecting the detrussor muscle of the bladder wall, thus decreasing the
resistance to urine flow without compromising bladder contractility. Clinical
trials have shown that alpha1-adrenergic antagonists decrease LUTS and increase
urinary flow rates in men with symptomatic BPH, but do not reduce the long-term
risk of urinary retention or need for surgical intervention. Inhibitors of 5alpha
reductase decrease production of dihydrotestosterone within the prostate
resulting in decreased prostate volumes, increased peak urinary flow rates,
improvement of symptoms, and decreased risk of acute urinary retention and need
for surgical intervention. The combination of a 5alpha-reductase inhibitor and a
alpha1-adrenergic antagonist reduces the clinical progression of BPH over either
class of drug alone.
PMID- 18044082
TI - Cataract surgery and quality of life implications.
AB - Cataract surgery in the developed world has undergone a revolution over the last
20 years. An operation which used to require a stay in hospital and long visual
rehabilitation is now a quick day-case procedure with immediate benefits. As with
any surgery there is an associated morbidity, but there is now the potential to
provide cataract surgery at an earlier stage of cataract maturation and save
patients from a period of severe visual impairment. This article reviews the new
techniques available to measure the impact that cataracts have not only on a
patient's visual acuity but also their general physical health, function,
cognition, and emotional well-being. New research is described that takes into
account these more holistic tests and how they can be used to judge the best time
to refer and operate on a patient with cataracts.
PMID- 18044083
TI - Mediterranean diet and prevention of coronary heart disease in the elderly.
AB - There are now several scientific studies that relate this traditional dietary
pattern with the incidence of coronary heart disease, various types of cancer,
and other diseases. The past years have several observational and clinical
studies suggested the mechanisms by which this traditional diet may affect
coronary risk. This review underlines the importance of the Mediterranean dietary
patterns in the prevention of coronary heart disease.
PMID- 18044084
TI - Can health promotion programs save Medicare money?
AB - The impact of an aging population on escalating US healthcare costs is influenced
largely by the prevalence of chronic disease in this population. Consequently,
preventing or postponing disease onset among the elderly has become a crucial
public health issue. Fortunately, much of the total burden of disease is
attributable to conditions that are preventable. In this paper, we address
whether well-designed health promotion programs can prevent illness, reduce
disability, and improve the quality of life. Furthermore, we assess evidence that
these programs have the potential to reduce healthcare utilization and related
expenditures for the Medicare program. We hypothesize that seniors who reduce
their modifiable health risks can forestall disability, reduce healthcare
utilization, and save Medicare money. We end with a discussion of a new Senior
Risk Reduction Demonstration, which will be initiated by the Centers for Medicare
and Medicaid Services in 2007, to test whether risk reduction programs developed
in the private sector can achieve health improvements among seniors and a
positive return on investment for the Medicare program.
PMID- 18044085
TI - Walker use, but not falls, is associated with lower physical functioning and
health of residents in an assisted-living environment.
AB - The relationship between perceived health and walker use has seldom been
addressed. Concerns over falls and falls risk are precursors to walker use. We
compared the SF-36 scores of 26 women and 14 men, mean age 86.8 +/- 6.0 years
based on walker use and faller status. An analysis of covariance (ANCOVA) with
age as the covariate, compared groups for the SF-36 constructs and totals score.
Significant differences were noted between walker users and nonusers in physical
functioning, role limitations due to physical problems, general health, and the
total SF-36 score. Pairwise comparisons favored nonusers, while no differences
were seen due to faller status. Walker use is associated with lower self
perceptions of physical functioning, role limitations due to physical problems,
and general health in assisted-living residents. Faller status is not associated
with self-perceived health status. Although walker use aids mobility and lowers
the probability of falls, further research is needed to determine if the
prescription of assistive devices has a more negative impact on self-perceived
health than does falling. This possibility could be explained, in part, by the
greater activity levels of those individuals who do not depend on walkers.
PMID- 18044086
TI - Factors contributing to fecal incontinence in older people and outcome of routine
management in home, hospital and nursing home settings.
AB - OBJECTIVE: Fecal loading, cognitive impairment, loose stools, functional
disability, comorbidity and anorectal incontinence are recognized as factors
contributing to loss of fecal continence in older adults. The objective of this
project was to assess the relative distribution of these factors in a variety of
settings along with the outcome of usual management. METHODS: One hundred and
twenty adults aged 65 years and over with fecal incontinence recruited by
convenience sampling from four different settings were studied. They were either
living at home or in a nursing home or receiving care on an acute or
rehabilitation elderly care ward. A structured questionnaire was used to elicit
which factors associated with fecal incontinence were present from subjects who
had given written informed consent or for whom assent for inclusion in the study
had been obtained. RESULTS: Fecal loading (Homes 6 [20%]; Acute care wards 17
[57%]; Rehabilitation wards 19 [63%]; Nursing homes 21 [70%]) and functional
disability (Homes 5 [17%]; Acute care wards 25 [83%]; Rehabilitation wards 25
[83%]; Nursing homes 20 [67%]) were significantly more prevalent in the hospital
and nursing home settings than in those living at home (P < 0.01). Loose stools
were more prevalent in the hospital setting than in the other settings (Homes 11
[37%]; Acute care wards 20 [67%]; Rehabilitation wards 17 [57%]; Nursing homes 6
[20%]) (P < 0.01). Cognitive impairment was significantly more common in the
nursing home than in the other settings (Nursing homes 26 [87%], Homes 5 [17%],
Acute care wards 13 [43%], Rehabilitation wards 14 [47%]) (P < 0.01). Loose
stools were the most prevalent factor present at baseline in 13 of the 19 (68%)
subjects whose fecal incontinence had resolved at 3 months. CONCLUSION: The
distribution of the factors contributing to fecal incontinence in older people
living at home differs from those cared for in nursing home and hospital wards
settings. These differences need to be borne in mind when assessing people in
different settings. Management appears to result in a cure for those who are not
significantly disabled with loose stools as a cause for their fecal incontinence,
but this would need to be confirmed by further research.
PMID- 18044087
TI - Effect of vitamin C supplementation on stroke recovery: a case-control study.
AB - BACKGROUND AND PURPOSE: Epidemiological studies have associated increased dietary
intake of antioxidants (vitamin C, E, and beta-carotene) in preventing and
decreasing the extent of ischemic brain injury. The effect of vitamin C
supplementation on functional recovery after stroke has not been studied. METHOD:
In this retrospective, case-control study of 23 patients with ischemic stroke
taking vitamin C were identified and matched for age, sex, onset to admission,
and admission total functional independence measure (TFIM) with 23 patients with
ischemic stroke not taking Vitamin C supplementation. Vitamin C 1000 mg daily was
prescribed on admission to our unit mainly to patients who were undernourished
(defined as significant weight loss and/or 90% or less ideal body weight for age
and sex) and those with pressure sores. The outcome measures were: change in the
TFIM, FIM-Cognition (FIM-Cog), and FIM-Motor sub-scores, discharge disposition,
and length of stay (LOS). RESULTS: The change in TFIM (20 +/- 13 standard
deviation [SD] vs. 26 +/- 6, p = 0.20), FIM-Cog (3 +/- 3 SD vs. 4 +/- 5, p =
0.41), FIM-Motor (15 +/- 11 SD vs. 20 +/- 13, p = 0.21) sub-scores were less in
the vitamin C treated group, but these differences did not reach statistical
significance. Similarly, no significant differences were found in LOS (21 +/- 9
SD vs. 23 +/- 9, p = 0.59), and discharge disposition (home/institution) (9/10
vs. 13/9, p = 0.60) between the vitamin C and the control groups. CONCLUSION:
This study suggests vitamin C supplementation did not enhance functional recovery
in undernourished ischemic stroke patients.
PMID- 18044088
TI - Is it necessary to cure prostate cancer when it is possible? (Understanding the
role of prostate inflammation resolution to prostate cancer evolution).
AB - OBJECTIVE: Definitive therapy with radical prostatectomy, cryotherapy, or
radiation therapy generally follows the initial diagnosis of prostate cancer,
particularly when men have at least 10 additional years of life expectancy. There
is growing concern regarding the optimal conservative treatment for patients who
decline or do not otherwise qualify for such definitive curative treatment. For
those patients who choose a watchful waiting approach, it would be beneficial to
know what specific dietary and nutritional methods could potentially slow the
progression of their disease. In this prospective study, it was our goal to
analyze the efficacy and safety of treating prostate cancer conservatively using
the principles of a Mediterranean diet in association with a specific prostate
nutritional supplement. METHOD: Twenty-three men aged 43-74 (median age: 64) with
biopsy proven, organ-confined prostate cancer who had already declined immediate
hormonal therapy and attempts at a curative cancer treatment agreed to
participate in a Chronic Disease Management (CDM) protocol highlighted by diet
with a specific prostate nutritional supplement. The diet recommended was a
modified Mediterranean diet while a patented nutritional prostatitis formula
(Peenuts) was the supplement common to all patients. Prostate specific antigen
(PSA), a recognized marker of prostate disease and prostate cancer activity, was
the primary indicator to validate exacerbation or suppression of disease. All men
were followed with serial PSA testing, a digital rectal exam, an International
Prostate Symptom Score index (IPSS-Index) and an expressed prostatic secretion
(EPS) examination. The primary Gleason sum/score represented in this study was 6
(n = 11), while Gleason sum patterns 5, 5/6, 6/7, and 7 were also evaluated.
Referencing the Partin Tables, organ confinement was predicted to be 66%.
RESULTS: Eighty-seven percent of men (n = 20) noted a 58% reduction (range of
improvement: 13%-90%) in PSA over an average of 38.5 months (range: 13-84
months). The remaining 13% of men included three men who experienced a mild
elevation in PSA of 0.3 ng/ml, 0.7 ng/ml, and 0.9 ng/ml over 14 months, 42
months, and 34 months, respectively. Fifteen men had completed an initial and
secondary IPSS-Index while 14 men had undergone an initial and secondary EPS. The
mean percentage reduction in IPSS-Index was 61% (range: 20%-100% with a median of
55%), while men evaluated with EPS examinations noted a mean percentage reduction
in white blood cells of 77.5% (range: 33%-99% with a median of 82%). These
results were evaluated using the t-test, Wilcoxon Analysis and the Null
Hypothesis and found to be statistically significant. CONCLUSION: Clearly there
is a need to develop effective alternative conservative therapies for the
increasing numbers of prostate cancer patients who will not tolerate definitive
curative measures or simply choose a conservative approach. Although this
prospective study had no control arm, was of limited duration and included only
23 participants, it did appear to show significant benefit to the majority of
prostate cancer patients treated with selective nutritional and dietary therapy
alone. Such treatments may provide a safe and effective long-term treatment
alternative for some patients. Further study is encouraged.
PMID- 18044089
TI - Full length parathyroid hormone (1-84) in the treatment of osteoporosis in
postmenopausal women.
AB - OBJECTIVE: To review the pharmacological properties and the available clinical
data of full length parathyroid hormone (PTH) in post-menopausal osteoporosis.
SOURCES: A MEDLINE search was completed, together with a review of information
obtained from the manufacturer and from the medicine regulatory agencies. STUDY
AND DATA SELECTION: Studies were selected according to relevance and
availability. Relevant information (design, objectives, patients'
characteristics, outcomes, adverse events, dosing, etc) was analyzed. RESULTS:
Different studies have shown that, when administered intermittently as a
subcutaneous injection in the abdomen, PTH increases bone mineral density (BMD)
and prevents vertebral fractures. On completion of PTH therapy (up to 24 months),
there is evidence that sequential treatment with alendronate is associated with a
therapeutic benefit in terms of increase in BMD. Further trials are necessary to
determine long-term safety and the role of PTH in combination with other
treatments for osteoporosis and the effect of repeated cycles of PTH followed by
an anti-catabolic agent. There are currently no completed comparative trials with
other osteoporosis treatments. CONCLUSIONS: Full length PTH, given intermittently
as an abdominal subcutaneous injection, appears to be a safe and efficacious
treatment option for high risk osteoporosis. More data are needed to determine
its specific role in osteoporosis treatment.
PMID- 18044090
TI - Diagnosing COPD.
PMID- 18044091
TI - The role of combination therapy with corticosteroids and long-acting beta2
agonists in the prevention of exacerbations in COPD.
AB - Acute exacerbations of COPD can complicate the course of the disease in patients
with severe airway obstruction. Reduction of exacerbations is an important
clinical outcome in evaluating new therapies in COPD. Combination therapies with
long-acting beta-agonists and inhaled corticosteroids have now been approved for
use. Three 1-year randomized clinical trials, which studied the effect of
combining a long-acting beta2-agonist with an inhaled corticosteroid in COPD,
documented that exacerbation frequency was lower with therapy than placebo.
Combination therapy had a similar effect to its monocomponents in the trial
evaluating salmeterol/fluticasone combination. However, when patients with more
severe COPD were studied using a combination of budesonide and formoterol, a
clear improvement was seen in the overall exacerbation rates compared with the
use of a long-acting beta2-agonist alone.
PMID- 18044092
TI - Is there any treatment other than drugs to alleviate dyspnea in COPD patients?
AB - Patients with chronic obstructive pulmonary disease (COPD) are often limited in
their activities by breathlessness. In these patients, exercise training may
result in significant improvements in dyspnea, exercise tolerance, and health
related quality of life (HRQoL). Further possibilities are to reduce ventilatory
demand by decreasing the central respiratory drive or to lessen the perceived
breathing effort by increasing respiratory muscle strength through specific
respiratory muscle training. Upper limb training may also improve exercise
capacity and symptoms in these patients through the modulation of dynamic
hyperinflation. Ventilatory assistance during exercise reduces dyspnea and work
of breathing and enhances exercise tolerance, although further studies should be
required to define their applicability in the routine pulmonary rehabilitation
programs. Lung volume resection surgery and lung transplantation in selected
patients may control symptoms and improve HRQoL.
PMID- 18044093
TI - Respiratory disorders during sleep in chronic obstructive pulmonary disease.
AB - Patients with COPD may show slow, progressive deteriorations in arterial blood
gases during the night, particularly during rapid eye movement (REM) sleep. This
is mainly due to hypoventilation, while a deterioration of ventilation/perfusion
mismatch plays a minor role. The severity of gas exchanges alterations is
proportional to the degree of impairment of diurnal pulmonary function tests,
particularly of partial pressure of oxygen (PaO2) and of carbon dioxide (PaCO2)
in arterial blood, but correlations between diurnal and nocturnal blood gas
levels are rather loose. Subjects with diurnal PaO2 of 60-70 mmHg are
distinguished in "desaturators" and "nondesaturators" according to nocturnal
oxyhemoglobin saturation behavior. The role of nocturnal hypoxemia as a
determinant of alterations in sleep structure observed in COPD is dubious.
Effects of the "desaturator" condition on pulmonary hemodynamics, evolution of
diurnal blood gases, and life expectancy are also controversial. Conversely, it
is generally accepted that occurrence of sleep apneas in COPD is associated with
a worse evolution of the disease. Nocturnal polysomnographic monitoring in COPD
is usually performed when coexistence of sleep apnea ("overlap syndrome") is
suspected, while in most other cases nocturnal oximetry may be enough. Nocturnal
oxygen attenuates sleep desaturations among stable patients, without increases in
PaCO2 of clinical concern. Nocturnal treatment with positive pressure ventilators
may give benefit to some stable hypercapnic subjects and patients with the
overlap syndrome.
PMID- 18044094
TI - Evaluation of PDE4 inhibition for COPD.
AB - Targeting type 4 phosphodiesterase (PDE4) for treatment of COPD has multilevel
benefits to patients by reducing inflammation, relieving bronchoconstriction, and
improving pulmonary circulation. The isoenzyme-specific narrow spectrum PDE4
inhibitors such as cilomilast and roflumilast may have limited clinical efficacy
in managing severe and very severe COPD. Development of dual therapy by combining
PDE4 inhibition with Ca2+ channel antagonism may introduce an effective novel
armory for physicians to manage patients with severe COPD.
PMID- 18044096
TI - Immediate and early discharge for patients with exacerbations of chronic
obstructive pulmonary disease: is there a role in "real life"?
AB - An exacerbation of chronic obstructive pulmonary disease (COPD) is the most
common respiratory condition necessitating admission to hospital. Many of these
are relatively mild in nature and as a consequence, there is increasing interest
in immediate and early discharge of patients with nonsevere exacerbations.
Following initial assessment, "hospital at home" or "assisted discharge" schemes
enable suitable patients with COPD to be discharged into the community earlier
than normally anticipated. The putative implication is that substantial financial
savings can be made in addition to increasing the availability of in-patient
beds, without compromising patient care or satisfaction. We highlight the current
literature which has evaluated the role of hospital at home and assisted
discharge schemes and discuss our own "real life" service operating in a large
teaching hospital in Scotland.
PMID- 18044095
TI - Hyperinflation and its management in COPD.
AB - Chronic obstructive pulmonary disease (COPD) is characterized by poorly
reversible airflow limitation. The pathological hallmarks of COPD are
inflammation of the peripheral airways and destruction of lung parenchyma or
emphysema. The functional consequences of these abnormalities are expiratory
airflow limitation and dynamic hyperinflation, which then increase the elastic
load of the respiratory system and decrease the performance of the respiratory
muscles. These pathophysiologic features contribute significantly to the
development of dyspnea, exercise intolerance and ventilatory failure. Several
treatments may palliate flow limitation, including interventions that modify the
respiratory pattern (deeper, slower) such as pursed lip breathing, exercise
training, oxygen, and some drugs. Other therapies are aimed at its amelioration,
such as bronchodilators, lung volume reduction surgery or breathing mixtures of
helium and oxygen. Finally some interventions, such as inspiratory pressure
support, alleviate the threshold load associated to flow limitation. The degree
of flow limitation can be assessed by certain spirometry indexes, such as vital
capacity and inspiratory capacity, or by other more complexes indexes such as
residual volume/total lung capacity or functional residual capacity/total lung
capacity. Two of the best methods to measure flow limitation are to superimpose a
flow-volume loop of a tidal breath within a maximum flow-volume curve, or to use
negative expiratory pressure technique. Likely this method is more accurate and
can be used during spontaneous breathing. A definitive definition of dynamic
hyperinflation is lacking in the literature, but serial measurements of
inspiratory capacity during exercise will document the trend of end-expiratory
lung volume and allow establishing relationships with other measurements such as
dyspnea, respiratory pattern, exercise tolerance, and gas exchange.
PMID- 18044097
TI - Pharmacological treatment of chronic obstructive pulmonary disease.
AB - None of the drugs currently available for chronic obstructive pulmonary disease
(COPD) are able to reduce the progressive decline in lung function which is the
hallmark of this disease. Smoking cessation is the only intervention that has
proved effective. The current pharmacological treatment of COPD is symptomatic
and is mainly based on bronchodilators, such as selective beta2-adrenergic
agonists (short- and long-acting), anticholinergics, theophylline, or a
combination of these drugs. Glucocorticoids are not generally recommended for
patients with stable mild to moderate COPD due to their lack of efficacy, side
effects, and high costs. However, glucocorticoids are recommended for severe COPD
and frequent exacerbations of COPD. New pharmacological strategies for COPD need
to be developed because the current treatment is inadequate.
PMID- 18044099
TI - Diagnosis of airway obstruction in primary care in the UK: the CADRE (COPD and
Asthma Diagnostic/management REassessment) programme 1997-2001.
AB - OBJECTIVE: Asthma and COPD require different management strategies, but
differentiation in primary care is difficult. This primary care support
initiative observed the impact of spirometry and clinical assessment on the
diagnosis of airway disease. MATERIALS AND METHODS: Of 61,191 patients aged > or
=40 years being treated for respiratory conditions within 1003 UK primary care
practices, 43,203 underwent a diagnostic review including standardized
spirometric assessment. The proportion of patients in whom the diagnosis was
changed by the additional information was determined. The relationship of various
patient characteristics was compared with the baseline and review diagnoses and
with any change in diagnosis. RESULTS: Asthma was initially diagnosed in 43% of
patients, COPD in 35%, mixed disease in 9%, and other respiratory condition in
13%. Patients initially diagnosed with asthma, mixed disease, or another
condition were more likely to have their diagnosis changed at review (54%, 46%,
and 63%, respectively) than those initially diagnosed with COPD (14%). A change
from asthma to COPD was associated with male gender, smoking, older age, and
reduced lung function, the opposite being associated with a change from COPD to
asthma. CONCLUSION: In this study, a clinical review supplemented by additional
information including spirometry highlights apparent mislabeling of significant
numbers of patients with chronic obstructive disease in general practice with
significant implications for individual treatment and healthcare provision. This
study shows that the addition of more clinical information can have a major
effect on diagnostic tendency in patients with airway disease. An initial
diagnosis of COPD seems less likely to change following review than an asthma
diagnosis. While it is likely that greater information leads to a more accurate
diagnosis, the differential effect of new information on diagnostic labeling
highlights the insecurity of the diagnostic process in primary care in the UK.
PMID- 18044098
TI - Role of N-acetylcysteine in the management of COPD.
AB - The importance of the underlying local and systemic oxidative stress and
inflammation in chronic obstructive pulmonary disease (COPD) has long been
established. In view of the lack of therapy that might inhibit the progress of
the disease, there is an urgent need for a successful therapeutic approach that,
through affecting the pathological processes, will influence the subsequent
issues in COPD management such as lung function, airway clearance, dyspnoea,
exacerbation, and quality of life. N-acetylcysteine (NAC) is a mucolytic and
antioxidant drug that may also influence several inflammatory pathways. It
provides the sulfhydryl groups and acts both as a precursor of reduced
glutathione and as a direct reactive oxygen species (ROS) scavenger, hence
regulating the redox status in the cells. The changed redox status may, in turn,
influence the inflammation-controlling pathways. Moreover, as a mucolytic drug,
it may, by means of decreasing viscosity of the sputum, clean the bronchi leading
to a decrease in dyspnoea and improved lung function. Nevertheless, as successful
as it is in the in vitro studies and in vivo studies with high dosage, its
actions at the dosages used in COPD management are debatable. It seems to
influence exacerbation rate and limit the number of hospitalization days,
however, with little or no influence on the lung function parameters. Despite
these considerations and in view of the present lack of effective therapies to
inhibit disease progression in COPD, NAC and its derivatives with their multiple
molecular modes of action remain promising medication once doses and route of
administration are optimized.
PMID- 18044100
TI - Creatine supplementation and physical training in patients with COPD: a double
blind, placebo-controlled study.
AB - STUDY OBJECTIVES: Patients with chronic obstructive pulmonary disease (COPD) have
low exercise capacity and low content of high energetic phosphates in their
skeletal muscles. The aim of the present study was to investigate whether
creatine supplementation together with exercise training may increase physical
performance compared with exercise training in patients with COPD. DESIGN: In a
randomized, double-blind, placebo-controlled study, 23 patients with COPD (forced
expiratory volume in one second [FEV1] < 70% of predicted) were randomized to
oral creatine (n = 13) or placebo (n = 10) supplementation during an 8-week
rehabilitation programme including exercise training. Physical performance was
assessed by Endurance Shuttle Walking Test (ESWT), dyspnea and leg fatigue with
Borg CR- 10, quality of life with St George's Respiratory Questionnaire (SGRQ).
In addition, lung function test, artery blood gases, grip strength test, muscle
strength and fatigue in knee extensors were measured. RESULTS: COPD patients
receiving creatine supplementation increased their average walking time by 61%
(ESWT) (p < 0.05) after the training period compared with 48% (p = 0.07) in the
placebo group. Rated dyspnea directly after the ESWT decreased significantly from
7 to 5 (p < 0.05) in the creatine group. However, the difference between the
groups was not statistically significant neither in walking time nor in rated
dyspnea. Creatine supplementation did not increase the health related quality of
life, lung function, artery blood gases, grip strength and knee extensor
strength/fatigue. CONCLUSIONS: Oral creatine supplementation in combination with
exercise training showed no significant improvement in physical performance,
measured as ESWT, in patients with COPD compared with exercise training alone.
PMID- 18044101
TI - Use of accelerometers to characterize physical activity patterns with COPD
exacerbations.
AB - PURPOSE: To determine the feasibility of using an accelerometer to characterize
physical activity patterns (PA) surrounding chronic obstructive pulmonary disease
(COPD) exacerbations (AECOPD) in patients with COPD for 16 weeks. METHODS:
Patients with COPD (n = 8) wore the RT3, a triaxial accelerometer (Stayhealthy,
Monrovia, CA) during waking hours and kept daily symptom diaries. The mean vector
magnitude unit (VMU) per minute was calculated by dividing the total VMU for the
day by the number of minutes the device was worn. Descriptive statistics were
used and plots were made showing PA for each subject with AECOPD markers based on
symptom diaries and health resource utilization. RESULTS: Sample characteristics
were: age 71 +/- 4; 5 Females; forced expiratory volume in one second (FEV1)%
predicted: 40% +/- 16%; FEV1/forced vital capacity: 45 +/- 7; and Medical
Research Council dyspnea scale: 2.3 +/- 0.9. Overall adherence to the monitoring
protocol was 97.6% (Range 92%-100%) while adherence to wearing the device for at
least 10 hours per day was 91.5% (Range 75%-99%). Mean vector magnitude units per
minute was 117.8 +/- 47 (Range 61.4-184.1). Seven exacerbations were captured
over a total of 896 person-days of monitoring. There were substantial intra
individual fluctuations in daily PA during both the stable state and with
outpatient treated exacerbations. CONCLUSIONS: Patients with COPD were able to
adhere to a 16-week activity monitoring protocol and reported a willingness to
wear such a device for an extended period of time if the data yield important and
useful information for themselves and their health provider. Future work will
need to focus first, on validating other promising devices that produce higher
quality PA data and second, replicate this monitoring protocol with a larger
sample of COPD patients over a longer period.
PMID- 18044103
TI - Comparison of oxygenation in peripheral muscle during submaximal aerobic
exercise, in persons with COPD and healthy, matched-control persons.
AB - OBJECTIVE: The purpose of this study was to compare peripheral muscle oxygenation
in persons with chronic obstructive pulmonary disease (COPD) to healthy control
persons, during submaximal exercise. METHODS: Eight persons with COPD (forced
expiratory volume in one second [FEV1] = 1.00 +/- 0.27 L) and eight healthy
control persons (FEV, = 1.88 +/- 0.55L) performed a submaximal graded exercise
test (GXT), and completed 4 min of constant load exercise (CON) at 50% of peak
GXT. Measurements included oxygen uptake, heart rate, arterial oxygen saturation
and peripheral muscle oxygenation (%StO2) at rest, during exercise, and recovery.
RESULTS: Significantly greater workloads were attained for controls compared with
COPD for peak GXT and CON. No significant differences in %StO2 were observed
between groups at: rest (GXT: 29.5 +/- 22.8 vs 30.4 +/- 17.3%; CON: 33.3 +/- 15.4
vs 35.1 +/- 17.2%); peak GXT (29.4 +/- 19.4 vs 26.5 +/- 15.9%); 4 min of CON
(25.9 +/- 13.5 vs 34.5 +/- 21.8%); and recovery (GXT: 46.6 +/- 29.1 vs 44.3 +/-
21.7%; CON: 40.9 +/- 21.5 vs 44.5 +/- 23.2%). CONCLUSION: These results suggest
that peripheral skeletal muscle oxygenation is not compromised in COPD during
submaximal exercise, and limitations in exercise capacity are most likely a
result of muscle disuse and poor lung function.
PMID- 18044102
TI - Randomized placebo controlled assessment of airway inflammation due to racemic
albuterol and levalbuterol via exhaled nitric oxide testing.
AB - STUDY OBJECTIVES: The S-stereoisomer found in racemic albuterol may have
associated proinflammatory properties. We tested the hypothesis that airway
inflammation as assessed by exhaled nitric oxide is no different in patients with
COPD when using racemic albuterol relative to levalbuterol or placebo.
MEASUREMENTS: Twelve mild to moderate COPD patients were assigned to five days
each of nebulized racemic albuterol, levalbuterol, and saline placebo. Before and
after each course of treatment, airway inflammation was assessed via exhaled
nitric oxide breath testing. Secondary functional outcomes that were measured
included spirometry, a functional assessment utilizing a six-minute walk, and
symptoms score using the University of California, San Diego Shortness of Breath
Questionnaire. RESULTS: There was no statistically significant difference in pre
and post FeNO levels within and between treatment groups (p = 0.121). There were
also no significant differences within or between treatment groups for the
secondary outcome measurements of FEV1 (p = 0.913), functional assessment
utilizing a six-minute walk (p = 0.838) and the symptom scores using Shortness of
Breath Questionnaire (p = 0.500). CONCLUSION: We found no difference in mild to
moderate COPD patients treated with racemic albuterol, levalbuterol or placebo
for measurement of exhaled nitric oxide or the secondary outcomes that were
measured.
PMID- 18044104
TI - Effect of bronchodilatation on single breath pulmonary uptake of carbon monoxide
in chronic obstructive pulmonary disease.
AB - We studied 21 COPD patients in stable clinical conditions to evaluate whether
changes in lung function induced by cumulative doses of salbutamol alter
diffusing capacity for carbon monoxide (DL(CO)), and whether this relates to the
extent of emphysema as assessed by high resolution computed tomography (HRCT)
quantitative analysis. Spirometry and DL(CO) were measured before and after
cumulative doses of inhaled salbutamol (from 200 microg to 1000 microg).
Salbutamol caused significant increments of forced vital capacity (FVC), forced
expiratory volume in one second (FEV1), and flows at 30% of control FVC taken
from both partial and maximal forced expiratory maneuvers. Functional residual
capacity and residual volume were reduced, while total lung capacity did not
change significantly. DL(CO) increased progressively with the incremental doses
of salbutamol, but this became significant only at the highest dose (1000 microg)
and was independent of the extent of emphysema, as assessed by radiological
parameters. No significant changes were observed in CO transfer factor (DLCO/VA)
and alveolar volume (VA). The results suggest that changes in lung function
induced by cumulative doses of inhaled salbutamol are associated with a slight
but significant increase in DL(CO) irrespective of the presence and extent of
emphysema.
PMID- 18044105
TI - Risk factors for symptom onset in PI*Z alpha-1 antitrypsin deficiency.
AB - BACKGROUND: In an early study of highly symptomatic patients with PI*Z alpha-1
antitrypsin deficiency (AAT), tobacco smoking was identified as a risk factor by
comparing the age of symptom onset in smokers and nonsmokers. Age of symptom
onset has not been well studied in relationship to other environmental exposures.
METHODS: Environmental exposures were assessed in 313 PI*Z adults through
retrospective self-administered questionnaire. Age of onset of symptoms with and
without these exposures were analyzed through survival analysis. RESULTS:
Personal smoking was the most important risk factor, associated with earlier
onset of cough and wheeze, and showed a dose-dependent relationship with the
onset of dyspnea. Childhood environmental tobacco smoke (ETS) exposure was
independently associated with younger age of onset of cough. Earlier onset of
wheeze was also associated with childhood respiratory infections and family
history of emphysema. The report of childhood respiratory infections was
associated with childhood ETS exposure, but no statistically significant
interactions were noted. CONCLUSIONS: We conclude that both personal and
secondhand exposure to tobacco smoke in childhood are likely to accelerate the
onset of symptoms in AAT deficient patients. Respiratory infections in childhood
may also contribute to this risk.
PMID- 18044106
TI - Transdermal oxybutynin in the treatment of overactive bladder.
AB - Overactive bladder is a dreadful syndrome that affects a considerable number of
patients. Antimuscarinics are the mainstay of pharmacotherapy for this condition.
Transdermal (TD) oxybutynin (OXY) bypasses the first-pass metabolism and reduces
the formation of N-desethyloxybutynin, a compound believed to be associated with
anticholinergic side effects. The 3.9 mg matrix TD system is applied twice weekly
and transports OXY directly into the systemic circulation. The patch can be
applied to abdomen, buttock, and hip, and provides continuous OXY delivery that
minimizes peak and trough fluctuations in plasma levels. In clinical trials, TD
and oral OXY produced a significant reduction in incontinence episodes, with no
difference between oral and TD treatments. In addition, TDOXY was similar to
tolterodine, and it produced a significant improvement in the number of urinary
incontinence episodes, complete continence, and urodynamic and quality of life
parameters compared with placebo. The incidence of anticholinergic adverse events
with TDOXY was similar to placebo. Most common adverse events were mild-moderate
skin reactions. Treatment satisfaction survey suggested patients' preference to
use the TD system in the future. Counseling on healthy skin care and appropriate
product use can enhance patients' knowledge about TDOXY for overactive bladder
treatment.
PMID- 18044107
TI - Insulin use in elderly diabetic patients.
AB - The prevalence of type 2 diabetes is increasing among older adults as is their
diabetes-related mortality rate. Studies suggest that tighter glucose control
reduces complications in elderly patients. However, too low a glycosylated
hemoglobin (HbA1c) value is associated with increased hypoglycemia. Moreover, the
appropriateness of most clinical trial data and standards of care related to
diabetes management in elderly patients is questionable given their
heterogeneity. Having guidelines to safely achieve glycemic control in elderly
patients is crucial. One of the biggest challenges in achieving tighter control
is predicting when peak insulin action will occur. The clinician's options have
increased with new insulin analogs that physiologically match the insulin peaks
of the normal glycemic state, enabling patients to achieve the tighter diabetes
control in a potentially safer way. We discuss the function of insulin in
managing diabetes and how the new insulin analogs modify that state. We offer
some practical considerations for individualizing treatment for elderly patients
with diabetes, including how to incorporate these agents into current regimens
using several methods to help match carbohydrate intake with insulin
requirements. Summarizing guidelines that focus on elderly patients hopefully
will help reduce crises and complications in this growing segment of the
population.
PMID- 18044108
TI - Aging and cosmetic enhancement.
AB - Obsession with a youthful appearance has become commonplace in modern society and
has resulted in an upswing in cosmetic procedures trying to reverse the aging
process. We selectively review the literature on aging and cosmetic surgery, with
particular regard for the aging face. We pay attention to psychosocial aspects of
response to such cosmetic procedures, both in terms of outcome and with respect
to risk factors for a poor outcome.
PMID- 18044109
TI - Pharmacologic interventions in aging hair.
AB - The appearance of hair plays an important role in people's overall physical
appearance and self-perception. With today's increasing life-expectations, the
desire to look youthful plays a bigger role than ever. The hair care industry has
become aware of this and is delivering active products directed towards meeting
this consumer demand. The discovery of pharmacological targets and the
development of safe and effective drugs also indicate strategies of the drug
industry for maintenance of healthy and beautiful hair. Hair aging comprises
weathering of the hair shaft, decrease of melanocyte function, and decrease in
hair production. The scalp is subject to intrinsic and extrinsic aging. Intrinsic
factors are related to individual genetic and epigenetic mechanisms with
interindividual variation: prototypes are familial premature graying, and
androgenetic alopecia. Currently available pharmacologic treatment modalities
with proven efficacy for treatment of androgenetic alopecia are topical minoxidil
and oral finasteride. Extrinsic factors include ultraviolet radiation and air
pollution. Experimental evidence supports the hypothesis that oxidative stress
also plays a role in hair aging. Topical anti-aging compounds include
photoprotectors and antioxidants. In the absence of another way to reverse hair
graying, hair colorants remain the mainstay of recovering lost hair color.
Topical liposome targeting for melanins, genes, and proteins selectively to hair
follicles are currently under investigation.
PMID- 18044110
TI - Treatment of Alzheimer's disease across the spectrum of severity.
AB - Alzheimer's disease (AD) is the most common cause of dementia affecting nearly 18
million people around the world and 4.5 million in the US. It is a progressive
neurodegenerative condition that is estimated to dramatically increase in
prevalence as the elderly population continues to grow. As the cognitive and
neuropsychiatric signs and symptoms of AD progresses in severity over time,
affected individuals become increasingly dependent on others for assistance in
performing all activities of daily living. The burden of caring for someone
affected by the disorder is great and has substantial impact on a family's
emotional, social and financial well-being. In the US, the currently approved
medications for the treatment of mild to moderate stages of AD are the
cholinesterase inhibitors (ChEIs). Cholinesterase inhibitors have shown modest
efficacy in terms of symptomatic improvement and stabilization for periods
generally ranging from 6 to 12 months. There are additional data that have
emerged, which suggest longer-term benefits. For the moderate to severe stages of
AD, memantine, an N-methyl-D-aspartate (NMDA) receptor antagonist is in
widespread use and has shown modest benefit as monotherapy and in combination
with ChEIs. The cost effectiveness of the currently available therapeutic agents
for AD has undergone great scrutiny and remains controversial, especially outside
the US. Neuropsychiatric symptoms such as agitation and psychosis are common in
AD. Unfortunately, in the US there are no Food and Drug Administration (FDA)
approved agents for the treatment of these symptoms, although atypical
antipsychotics have shown some efficacy and have been widely used. However, the
use of these agents has recently warranted special caution due to reports of
associated adverse effects such as weight gain, hyperlipidemia, glucose
intolerance, cerebrovascular events, and an increased risk for death. Alternative
agents used to treat neuropsychiatric symptoms include serotonergic
antidepressants, benzodiazepines, and anticonvulsant medications.
PMID- 18044111
TI - Economic considerations in the management of Alzheimer's disease.
AB - Alzheimer's disease is a devastating chronic disease that significantly increases
healthcare costs and affects the quality of life (QoL) of the afflicted patients
and their caregivers. Population aging and other demographic changes may further
increase the already staggering costs of this devastating disease. While few
pharmacoeconomic studies have used a prospective health economics design to
assess resource utilization, most studies showed beneficial treatment effects and
suggested potential savings in healthcare costs and reductions in caregiver
burden. Various degrees of cost savings have been reported depending on the type
of economic model, treatment evaluated, and region used in the studies. Direct
comparisons of the results are difficult because different methods have been used
in these evaluations. The preference of patients and families for home care for
as long as possible suggests that promoting noninstitutional care for these
patients should become a priority. Continued home care for patients under
pharmacological treatment may reduce caregiver burden, healthcare costs, and
ultimately improve patients' and caregivers' QoL.
PMID- 18044112
TI - Telomerase confers resistance to caspase-mediated apoptosis.
AB - There is growing evidence that accelerated telomeric attrition and/or aberrant
telomerase activity contributes to pathogenesis in a number of diseases.
Likewise, there is increasing interest to develop new therapies to restore or
replace dysfunctional cells characterized by short telomeric length using
telomerase-positive counterparts or stem cells. While telomerase adds telomeric
repeats de novo contributing to enhanced proliferative capacity and lifespan, it
may also increase cellular survival by conferring resistance to apoptosis.
Consequently, we sought to determine the involvement of telomerase for reduced
apoptosis using ovarian surface epithelial cells. We found that expression of
hTERT, the catalytic component of telomerase, was sufficient and specific to
reduce caspase-mediated cellular apoptosis. Further, hTERT expression reduced
activation of caspases 3, 8, and 9, reduced expression of pro-apoptotic
mitochondrial proteins t-BID, BAD, and BAX and increased expression of the anti
apoptotic mitochondrial protein, Bcl-2. The ability of telomerase to suppress
caspase-mediated apoptosis was p-jnk dependent since abrogation of jnk expression
with jip abolished resistance to apoptosis. Consequently, these findings indicate
that telomerase may promote cellular survival in epithelial cells by suppressing
jnk-dependent caspase-mediated apoptosis.
PMID- 18044113
TI - Veterans' fall risk profile: a prevalence study.
AB - The Veterans Health Administration (VHA) serves the health care needs of an
adult, predominantly male, and aging population. The aging profile of VHA
patients is 25% greater than the civilian sector (DVA 2001). Aged patients are at
higher risk for falls. In February 2002, 6 VHA medical centers profiled their
inpatients' fall risk profile as one aspect of program initiatives targeted at
reducing veterans' fall risk and fall-related injuries, participating in a one
day collection of fall risk measurement using the Morse Fall Scale (MFS) for all
inpatients (n = 1819), acute and long-term care units. Data results are reported
for age, MFS score, and the relationship between age and score, and by type of
ward/unit, ie, predominately acute and critical care or long-term care. The
results of this prevalence study documented that the veteran inpatient population
are at high-risk for anticipated physiological falls. This Veteran Integrated
Services Network-wide Deployment of an Evidence-based Program to Prevent Patient
Falls study was completed as part of a nationally funded clinical initiative,
National Program Initiative 20-006-1.
PMID- 18044114
TI - Hypothesized predictors of patient-physician trust and distrust in the elderly:
implications for health and disease management.
AB - This study notes the differences between trust and distrust perceptions by the
elderly as compared with younger populations. Given the importance of trust and
distrust in compliance, changing behaviors, and forming partnerships for both
health and disease management, it is necessary to be able to measure patient
doctor trust and distrust (PDTD). Following recent conceptualizations on trust
and distrust as coexistent states, this study hypothesizes predictors of PDTD. We
are proposing that these predictors form the basis for designing, developing and
validating a PDTD scale (PDTDS). It is important to capture the trust-distrust
perceptions of older patients as they confront the complexities and
vulnerabilities of the modem healthcare delivery system. This is necessary if we
are to design interventions to change behaviors of both the healthcare provider
and the older patient.
PMID- 18044115
TI - Comparison of health and effective functioning in Russia and the United States.
AB - BACKGROUND: Global aging may increase the societal burden of providing more
resources to augment elders' disabilities. The implications of functional
disabilities can vary depending on the society in which they occur. OBJECTIVE: To
determine differences in US and Russian elder citizens' function. RESEARCH
DESIGN: Convenience sample of persons 60 years and older were surveyed and
evaluated. SUBJECTS: One hundred community dwelling residents, half from
Galesburg, Illinois and half from Moscow, Russia. MEASUREMENTS: An interviewer
administered questionnaire and functional assessment examination. RESULTS: The
Russian sample was younger than the American sample with a mean age of 67 years
versus 78 years, and less likely to be widowed or living alone. Sixty percent of
Russians took no medications compared with 14% of Americans, but Russians
reported more cardiovascular disease, angina, and hypertension. Forty-four
percent of Russians screened as being depressed and only 4% of the Americans.
Self-assessed health was good for 77% of Americans and only 6% of Russians. The
Medical Outcomes Study SF-36 Health Survey (MOS) eight health concepts showed
favorable results for the Americans except for physical functioning, which
indicated no difference. CONCLUSIONS: Marked health and functional differences
exist between our samples. Russians had more cardiovascular disease, took less
medication, drank and smoked more and were much more likely to be depressed than
the US subjects.
PMID- 18044116
TI - Creativity and the exceptional aging artist.
AB - Creativity in the aging visual artist is reviewed and modifying factors are
identified. A program of support for the artist is described with the goal of
regeneration of career with enhanced creativity and increased productivity.
PMID- 18044117
TI - Still practicing dentistry at 97.
PMID- 18044118
TI - 25th anniversary retrospective: experts assess important advances in dentistry.
PMID- 18044119
TI - Creating hygiene heaven: transform your practice with world-class, patient
focused service.
PMID- 18044120
TI - Ensuring optimal success with the Lava system, Part 2: Techno-clinical
perspectives from authorized Lava Milling Centers.
PMID- 18044121
TI - Using of bite-wings in the diagnosis of referred pain.
PMID- 18044122
TI - Audition: a better way to treat and communicate.
PMID- 18044123
TI - The standard of care for nonsurgical periodontal treatment for reducing the
dental risk for cardiac disease.
PMID- 18044124
TI - Achieving form and function for Class II restorations: a simplified technique.
PMID- 18044125
TI - A new technique for holding indirect restorations.
PMID- 18044126
TI - Creative uses for a creative curing light.
PMID- 18044127
TI - Radio microsurgery update: troughing and impression taking.
PMID- 18044129
TI - High-strength, aesthetic provisional restorations using a bis-acryl composite.
PMID- 18044128
TI - Dentistry's role in the diagnosis and treatment of obstructive sleep apnea.
PMID- 18044130
TI - Utilization review and P4P: what dentists need to know.
PMID- 18044131
TI - Demonstration models: a valuable tool in patient education.
PMID- 18044132
TI - A six-step plan to a paperless practice.
PMID- 18044133
TI - Challenges facing age-management/longevity medicine.
PMID- 18044134
TI - A brief review of moxifloxacin in the treatment of elderly patients with
community-acquired pneumonia (CAP).
AB - Community-acquired pneumonia (CAP) remains a common cause of morbidity and a
potentially life-threatening illness throughout the world mainly in elderly
patients. Initial antibacterial treatment, usually empirical, should be as
effective as possible in order to assure rapid clinical resolution and reduce
high rates of hospitalization and mortality especially affecting aged patients.
New fluoroquinolones with potent activity against the most important respiratory
pathogens including Streptococcus pneumoniae, a key pathogen mainly in old
patients with CAP, have been recently suggested by several international
guidelines as monotherapy for the treatment of most CAP patient categories. Among
newer derivatives, moxifloxacin, an advanced generation 8-methoxy quinolone, has
demonstrated good clinical and bacteriological efficacy in large, well designed
clinical trials both in adults and old patients with CAP, achieving also in aged
people efficacy comparable with that of standard treatments. Good pharmacokinetic
characteristics such as excellent penetration into respiratory tract tissues and
fluids, optimal bioavailability, simplicity of once-daily dosing, and good
tolerability, represent potential advantages of moxifloxacin over other
therapies. In addition, primarily due to a shorter length of hospital stay,
moxifloxacin has been shown to save costs compared with standard therapy.
PMID- 18044135
TI - Female pattern hair loss: current treatment concepts.
AB - Fewer than 45% of women go through life with a full head of hair. Female pattern
hair loss is the commonest cause of hair loss in women and prevalence increases
with advancing age. Affected women may experience psychological distress and
impaired social functioning. In most cases the diagnosis can be made clinically
and the condition treated medically. While many women using oral antiandrogens
and topical minoxidil will regrow some hair, early diagnosis and initiation of
treatment is desirable as these treatments are more effective at arresting
progression of hair loss than stimulating regrowth. Adjunctive nonpharmacological
treatment modalities such as counseling, cosmetic camouflage and hair
transplantation are important measures for some patients. The histology of female
pattern hair loss is identical to that of male androgenetic alopecia. While the
clinical pattern of the hair loss differs between men, the response to oral
antiandrogens suggests that female pattern hair loss is an androgen dependant
condition, at least in the majority of cases. Female pattern hair loss is a
chronic progressive condition. All treatments need to be continued to maintain
the effect. An initial therapeutic response often takes 12 or even 24 months.
Given this delay, monitoring for treatment effect through clinical photography or
standardized clinical severity scales is helpful.
PMID- 18044136
TI - Treating senile dementia with traditional Chinese medicine.
AB - Senile dementia is a syndrome in the elderly involving deficits in memory and
cognition. There has been a long history of research and medical practice in
dementia in China, during which the ancient Chinese people have formed a whole
theory and accumulated abundant experience in the treatment of dementia. During
recent decades, with new theories and technologies being digested and integrated,
progress has been made in the medical and pharmacy research on senile dementia in
China. In this review, we will focus on the traditional opinion, clinical
practice, and recent progress in pharmacological research in China towards the
treatment of dementia. We also discuss the potential trends of global
convergence.
PMID- 18044137
TI - Heart failure and cognitive impairment: challenges and opportunities.
AB - As populations age, heart failure (HF) is becoming increasingly common, and in
addition to a high burden of morbidity and mortality, HF has an enormous
financial impact. Though disproportionately affected by HF, the elderly are less
likely to receive recommended therapies, in part because clinical trials of HF
therapy have ignored outcomes of importance to this population, including
impaired cognitive function (ICF). HF is associated with ICF, manifested
primarily as delirium in hospitalized patients, or as mild cognitive impairment
or dementia in otherwise stable outpatients. This association is likely the
result of shared risk factors, as well as perfusion and rheological abnormalities
that occur in patients with HF. Evidence suggests that these abnormalities may be
partially reversible with standard HF therapy. The clinical consequences of ICF
in HF patients are significant. Clinicians should consider becoming familiar with
screening instruments for ICF, including delirium and dementia, in order to
identify patients at risk of nonadherence to HF therapy and related adverse
consequences. Preliminary evidence suggests that optimal HF therapy in elderly
patients may preserve or even improve cognitive function, though the impact on
related outcomes remains to be determined.
PMID- 18044139
TI - Prevention and treatment of venous thromboembolism in the elderly patient.
AB - Venous thromboembolism (VTE) is a common complication among hospitalized
patients. Pharmacological thromboprophylaxis has emerged as the cornerstone for
VTE prevention. As trials on thromboprophylaxis in medical patients have proven
the efficacy of both low-molecular-weight heparins (LMWHs) and unfractionated
heparin (UFH), all acutely medical ill patients should be considered for
pharmacological thromboprophylaxis. Unlike in the surgical setting where the risk
of associated VTE attributable to surgery is well recognized, and where
widespread use of pharmacological thromboprophylaxis and early mobilization has
resulted in significant reductions in the risk of VTE, appropriate VTE
prophylaxis is under-used in medical patients. Many reasons for this under-use
have been identified, including low perceived risk of VTE in medical patients,
absence of optimal tools for risk assessment, heterogeneity of patients and their
diseases, and fear of bleeding complications. A consistent group among
hospitalized medical patients is composed of elderly patients with impaired renal
function, a condition potentially associated with bleeding. How these patients
should be managed is discussed in this review. Particular attention is devoted to
LMWHs and fondaparinux and to measures to improve the safety and the efficacy of
their use.
PMID- 18044140
TI - A gero-informatics tool to enhance the care of hospitalized older adults with
cognitive impairment.
AB - Approximately 50% of hospitalized elders have cognitive impairment (CI) that
increases their vulnerability to hospital-acquired complications. Matching
geriatric evaluation and recommendations to the true pace of hospital care may
improve the care of elders in general, in particular those with CI. Integrating
information technology into geriatric services (gero-informatics) might allow
reduction of the time to implementation of geriatric recommendations and prevent
the initiation of potentially harmful medications and procedures during the
critical first 48 hours of hospitalization. This paper reviews our local gero
informatics early experience of developing a computerized decision support system
(CDSS) to enhance hospital care for elders with CI by reducing inappropriate use
of anticholinergic medications, urinary catheters, and physical restraints.
PMID- 18044141
TI - Bone mineral density scans in veterans.
AB - GOALS: Recent findings suggest the prevalence of osteoporosis among men is under
recognized. The patient population of the Veterans Health Administration (VA) is
predominantly male and many elderly veterans may be at risk of osteoporosis.
Given the lack of data on male osteoporosis, we provide initial insight into
diagnostic procedures for patients at one VA medical center. PROCEDURES: A review
and descriptive analysis of patients undergoing radiological evaluation for
osteoporosis at one VA medical center. RESULTS: We identified 4,919 patients who
had bone mineral density scans from 2001-2004. VA patients receiving bone mineral
density scans were commonly white, male, over age 70 and taking medications with
potential bone-loss side effects. CONCLUSIONS: While further research is needed,
preliminary evidence suggests that the VA screens the most vulnerable age groups
in both genders. Heightened awareness among primary care providers of elderly
male patients at risk of osteoporosis can lead to early intervention and improved
management of this age-related condition.
PMID- 18044138
TI - Studies on free radicals, antioxidants, and co-factors.
AB - The interplay between free radicals, antioxidants, and co-factors is important in
maintaining health, aging and age-related diseases. Free radicals induce
oxidative stress, which is balanced by the body's endogenous antioxidant systems
with an input from co-factors, and by the ingestion of exogenous antioxidants. If
the generation of free radicals exceeds the protective effects of antioxidants,
and some co-factors, this can cause oxidative damage which accumulates during the
life cycle, and has been implicated in aging, and age dependent diseases such as
cardiovascular disease, cancer, neurodegenerative disorders, and other chronic
conditions. The life expectancy of the world population is increasing, and it is
estimated that by 2025, 29% of the world population will be aged > or = 60 years,
and this will lead to an increase in the number of older people acquiring age
related chronic diseases. This will place greater financial burden on health
services and high social cost for individuals and society. In order to acheive
healthy aging the older people should be encouraged to acquire healthy life
styles which should include diets rich in antioxidants. The aim of this review is
to highlight the main themes from studies on free radicals, antioxidants and co
factors, and to propose an evidence-based strategy for healthy aging.
PMID- 18044142
TI - Nonpharmacological prevention of osteoporotic fractures.
AB - Osteoporosis is a systemic, metabolic disease that can result in debilitating
fractures. The lasting effects of vertebral and hip fractures can cause acute and
chronic pain, deformity, and emotional distress. Research evidence and clinical
experience have determined that weight bearing and strength training exercise,
fall prevention efforts, hip protectors, and some alternative therapies may
assist patients in avoiding the pervasive and lasting effects of osteoporotic
fractures. Clinicians should consider the recommendations ofnonpharmacological
measures to assist patients at risk for experiencing the culminating event of
this destructive disease.
PMID- 18044143
TI - Reducing the distance in distance-caregiving by technology innovation.
AB - Family caregivers are responsible for the home care of over 34 million older
adults in the United States. For many, the elder family member lives more than an
hour's distance away. Distance caregiving is a growing alternative to more
familiar models where: 1) the elder and the family caregiver(s) may reside in the
same household; or 2) the family caregiver may live nearby but not in the same
household as the elder. The distance caregiving model involves elders and their
family caregivers who live at some distance, defined as more than a 60-minute
commute, from one another. Evidence suggests that distance caregiving is a
distinct phenomenon, differs substantially from on-site family caregiving, and
requires additional assistance to support the physical, social, and contextual
dimensions of the caregiving process. Technology-based assists could virtually
connect the caregiver and elder and provide strong support that addresses the
elder's physical, social, cognitive, and/or sensory impairments. Therefore, in
today's era of high technology, it is surprising that so few affordable
innovations are being marketed for distance caregiving. This article addresses
distance caregiving, proposes the use of technology innovation to support
caregiving, and suggests a research agenda to better inform policy decisions
related to the unique needs of this situation.
PMID- 18044145
TI - [Clinical significance of anti-nuclear antibodies in diagnosis of collagen
diseases].
PMID- 18044144
TI - [Recent topics on diagnosis and therapy for collagen diseases].
PMID- 18044146
TI - [Clinical significance of anti-CCP antibody in diagnosis of collagen diseases].
PMID- 18044147
TI - [Clinical significance of antiphospholipid antibody in diagnosis of collagen
diseases].
PMID- 18044148
TI - [Clinical significance of KL-6 and SP-D in diagnosis of collagen diseases].
PMID- 18044149
TI - [Clinical significance of biomarkers in diagnosis of metabolic bone diseases].
PMID- 18044150
TI - [Diagnosis and therapy for systemic lupus erythematosus].
PMID- 18044151
TI - [Diagnosis and therapy for systemic sclerosis].
PMID- 18044152
TI - [Diagnosis and therapy for polymyositis and dermatomyositis].
PMID- 18044153
TI - [Diagnosis and therapy for vasculitis].
PMID- 18044154
TI - [Diagnosis and therapy for rheumatoid arthritis].
PMID- 18044155
TI - [Diagnosis and therapy for mixed connective tissue diseases].
PMID- 18044157
TI - [Diagnosis and therapy for adult Still's disease].
PMID- 18044156
TI - [Diagnosis and therapy for Sjogren syndrome].
PMID- 18044158
TI - [Diagnosis and therapy for polymyalgia rheumatica].
PMID- 18044160
TI - [Recent topics on rheumatism and collagen diseases in childhood].
PMID- 18044159
TI - [Diagnosis and therapy for Behcet disease].
PMID- 18044161
TI - [Present condition and problems in fibromyalgia].
PMID- 18044162
TI - [New concept on diagnosis and therapy for rheumatoid and collagen diseases
(discussion)].
PMID- 18044163
TI - [Pseudo-thrombocytopenia with uncountable platelets complicated by
retroperitoneal fibrosis and bleeding symptoms].
PMID- 18044164
TI - [Temporal arteritis demonstrating a utility of abdominal CT scan in
identification of aortic involvement].
PMID- 18044165
TI - [Congenital generalized lipodystrophy with diabetic triopathy].
PMID- 18044166
TI - [Marked hypoalbuminemia caused by Capillaria philippinensis].
PMID- 18044167
TI - [Lymphocytic hypophysitis associated with consciousness disturbance due to
hypernatremia and hypercalcemia].
PMID- 18044168
TI - [BOOP-like pulmonary lesions preceding polyarteritis nodosa].
PMID- 18044169
TI - [Complete recovery of central pontine myelinolysis by high dose pulse therapy
with methylprednisolone].
PMID- 18044170
TI - [Postpartum acquired hemophilia].
PMID- 18044171
TI - [Fulminant myocarditis: Cytokines and assisted circulation].
PMID- 18044172
TI - [Kidney diseases and bone morphogenetic protein].
PMID- 18044173
TI - [Diagnosis and therapy for multiple myeloma: Practice guidelines].
PMID- 18044174
TI - [Pitfalls in radiological diagnosis of pulmonary tuberculosis].
PMID- 18044175
TI - [Clinical path].
PMID- 18044176
TI - [Application of the Number Needed to Treat to evaluate therapeutic efficacy in
each patient].
PMID- 18044177
TI - Developing better therapies through collegial interaction.
PMID- 18044178
TI - Review of the safety and efficacy of risedronate for the treatment of male
osteoporosis.
AB - Osteoporosis in men is an increasingly recognized problem with associated
fracture morbidity and mortality. Treatment is limited, with the bisphosphonates
being the mainstay of therapy. Risedronate has demonstrated fracture efficacy in
women and efficacy has been recently been investigated in men. In men,
risedronate either maintains or increases bone mineral density. In placebo
controlled trials it has been shown to be safe and effective in preventing
fractures.
PMID- 18044180
TI - Update on bazedoxifene: a novel selective estrogen receptor modulator.
AB - In the elderly population, osteoporosis is a significant clinical problem leading
to disability and even death. Many patients remain untreated, despite effective
therapies, because of patients' unwillingness to take current therapies or
inability to tolerate the therapies. For this reason, ongoing research continues
to search for more effective and tolerable osteoporosis agents. Bazedoxifene is a
selective estrogen receptor modulator (SERM) currently in development for
osteoporosis prevention and treatment. A new drug application (NDA) for
postmenopausal osteoporosis prevention was recently submitted to the FDA.
Preclinical and clinical studies with bazedoxifene demonstrate more tissue
selectivity than other SERMs. In particular, bazedoxifene has minimal if any
agonist activity in the uterus and is able to antagonize effects of estrogen on
the uterus. Animal studies and early clinical studies suggest effects in the bone
similar to other SERMs with prevention of postmenopausal bone loss. Until more
data on efficacy and safety are published, however, its role in osteoporosis is
unknown.
PMID- 18044179
TI - Effect of estrogens on skin aging and the potential role of SERMs.
AB - In humans, structural and functional changes attributable to aging are more
visibly evident in the skin than in any other organ. Estrogens have significant
effects on skin physiology and modulate epidermal keratinocytes, dermal
fibroblasts and melanocytes, in addition to skin appendages including the hair
follicle and the sebaceous gland. Importantly, skin aging can be significantly
delayed by the administration of estrogen. This paper reviews the effects of
estrogens on skin and the mechanisms by which estrogens can alleviate the changes
due to aging that occur in human skin. The relevance of estrogen replacement
therapy (HRT) in postmenopausal women and the potential value of selective
estrogen receptor modulators (SERMs) as a therapy for diminishing skin aging are
also highlighted.
PMID- 18044181
TI - The osteoporotic male: overlooked and undermanaged?
AB - Age-related bone loss in men is a poorly understood phenomenon, although
increasing data on the pathophysiology of bone in men is becoming available. Most
of what we know on bone pathophysiology derives from studies on women. The well
known association between menopause and osteoporosis is far from been disproven.
However, male osteoporosis is a relatively new phenomenon. Its novelty is in part
compensated for by the number of studies on female osteoporosis and bone
pathophysiology. On the other hand, the deeper understanding of female
osteoporosis could lead to an underestimation of this condition in the male
counterpart. The longer life-span exposes a number of men to the risk of mild-to
severe hypogonadism which in turn we know to be one of the pathogenetic steps
toward the loss of bone mineral content in men and in women. Hypogonadism might
therefore be one among many corrigible risk factors such as cigarette smoking and
alcohol abuse against which clinicians should act in order to prevent
osteoporosis and its complications. Treatments with calcium plus vitamin D and
bisphophonates are widely used in men, when osteoporosis is documented and
hypogonadism has been excluded. The poor knowledge on male osteoporosis accounts
for the lack of well shared protocols for the clinical management of the disease.
This review focuses on the clinical approach and treatment strategy for
osteoporosis in men with particular attention to its relationship with male
hypogonadism.
PMID- 18044182
TI - Eszopiclone for late-life insomnia.
AB - Insomnia, the most common sleep disturbance in later life, affects 20%-50% of
older adults. Eszopiclone, a short-acting nonbenzodiazepine hypnotic agent
developed for the treatment of insomnia, has been available in Europe since 1992
and in the US since 2005. Although not yet evaluated for transient insomnia in
older adults, eszopiclone has been shown to be safe and efficacious for short
term treatment (2 weeks) of chronic, primary insomnia in older adults (64-91
years). Clinical studies in younger adults (mean = 44 years) have shown
eszopiclone can be used for 6-12 months without evidence of problems. Because the
oldest participant in these longer-term trials was 69, it not known whether
eszopiclone is effective for older adults [particularly the old old (75-84 years)
and oldest old (85+)] when used over longer periods. This is unfortunate, because
older individuals frequently suffer from chronic insomnia. Cognitive-behavioral
therapy for insomnia, which effectively targets the behavioral factors that
maintain chronic insomnia, represents an attractive treatment alternative or
adjuvant to eszopiclone for older adults. To date, no studies have compared
eszopiclone to other hypnotic medications or to nonpharmacological interventions,
such as cognitive-behavioral therapy for insomnia, in older adults. All of the
clinical trials reported herein were funded by Sepracor. This paper provides an
overview of the literature on eszopiclone with special emphasis on its use for
the treatment of late-life insomnia. Specific topics covered include
pharmacology, pharmacodynamics, pharmacokinetics, clinical trial data, adverse
events, drug interactions, tolerance/dependence, and economics/cost
considerations for older adults.
PMID- 18044183
TI - Vascular dementia: pharmacological treatment approaches and perspectives.
AB - Vascular dementia is a common condition for which there are no effective approved
pharmacological treatments available. Absence of effective treatments creates a
difficult situation for those suffering from the disease, their caregivers, and
healthcare providers. This review will address our current understanding of the
mechanisms of nerve cell damage due to ischemia and summarize available clinical
trial data on several commonly used compounds including memantine, donepezil,
galantamine, rivastigmine, nimodipine, hydergine, nicergoline, CDP-choline, folic
acid, as well as such nonpharmacological approaches as validation therapy.
PMID- 18044184
TI - Pharmacologic management of overactive bladder.
AB - Overactive bladder (OAB) is a prevalent and costly condition that can affect any
age group. Typical symptoms include urinary urgency, frequency, incontinence and
nocturia. OAB occurs as a result of abnormal contractions of the bladder detrusor
muscle caused by the stimulation of certain muscarinic receptors. Therefore,
antimuscarinic agents have long been considered the mainstay of pharmacologic
treatment for OAB. Currently, there are five such agents approved for the
management of OAB in the United States: oxybutynin, tolterodine, trospium,
solifenacin and darifenacin. This article summarizes the efficacy,
contraindications, precautions, dosing and common side effects of these agents.
All available clinical trials on trospium, solifenacin and darifenacin were
reviewed to determine its place in therapy.
PMID- 18044186
TI - The management of hemophilia in elderly patients.
AB - After the increasing rate of deaths observed during the 1980s due to human
immunodeficiency virus (HIV) infection, the health-related quality of life and
life expectancy of persons with hemophilia have improved, mainly due to the
progresses of replacement therapy and antiviral drugs and to the improvement of
the global comprehensive care provided by specialized centers. As a consequence,
an increasing number of hemophiliacs have reached an older age and nowadays
physicians in hemophilia centers find that they must handle age-related clinical
problems never previously observed in this population. The management of elderly
persons with congenital hemophilia is discussed in the first part of this review.
The second part describes the general aspects of acquired hemophilia due to anti
factor VIII autoantibodies, focusing on the clinical management of elderly
patients, one of the groups most frequently affected by this acquired bleeding
disorder.
PMID- 18044185
TI - Pathogenesis of Alzheimer's disease.
AB - Alzheimer's disease (AD) is incredibly common. Increasing longevity ensures its
prevalence will rise even further. Ongoing efforts to understand AD pathogenesis
reveal numerous tantalizing leads. Formulating a comprehensive AD pathogenesis
theory capable of incorporating these disparate leads, though, has proven
difficult. This review discusses current attempts to formulate a comprehensive AD
pathogenesis theory. In doing so, it focuses on clinical and molecular
relationships between AD and aging. A better understanding of these relationships
could inform and impact future development of AD-directed treatment strategies.
PMID- 18044187
TI - Use of hyaluronic acid fillers for the treatment of the aging face.
AB - Hyaluronic acid fillers have become popular soft tissue filler augmentation
agents over the past several years. They have helped revolutionize the filler
market with a number of new products available for use for our patients. The
purpose of this manuscript is to review the characteristics of the HA fillers and
to review each of the current products currently available for use in the US.
PMID- 18044189
TI - Osteoporosis screening and risk management.
AB - Osteoporosis is common among older adults and results in costly osteoporotic
fractures. Screening for this metabolic bone disorder is warranted in most older
adults and clinicians must be diligent in identifying persons at risk. The
evaluation should include an assessment of risk factors for falls, a bone density
test, and consideration of possible secondary causes of osteoporosis. Several
medications are available to improve bone density and decrease fractures.
Adequate calcium and vitamin D intake (and treatment of vitamin D deficiency) are
paramount in the management of osteoporosis.
PMID- 18044188
TI - Effects of antioxidant supplementation on the aging process.
AB - The free radical theory of aging hypothesizes that oxygen-derived free radicals
are responsible for the age-related damage at the cellular and tissue levels. In
a normal situation, a balanced-equilibrium exists among oxidants, antioxidants
and biomolecules. Excess generation of free radicals may overwhelm natural
cellular antioxidant defences leading to oxidation and further contributing to
cellular functional impairment. The identification of free radical reactions as
promoters of the aging process implies that interventions aimed at limiting or
inhibiting them should be able to reduce the rate of formation of aging changes
with a consequent reduction of the aging rate and disease pathogenesis. Even if
antioxidant supplementation is receiving growing attention and is increasingly
adopted in Western countries, supporting evidence is still scarce and equivocal.
Major limitations in literature are still needed to be addressed to better
evaluate the potential benefits from antioxidant supplementation: 1) an improved
understanding of oxidation mechanisms possibly at the basis of the aging process,
2) the determination of reliable markers of oxidative damage and antioxidant
status, 3) the identification of a therapeutic window in which an eventual
antioxidant supplementation may be beneficial, 4) a deeper knowledge of the
antioxidant molecules which in several conditions act as pro-oxidants. In the
present paper, after a preliminary introduction to the free radical theory of
aging and the rationale of antioxidant supplementation as an anti-aging
intervention, we will present an overview of evidence relating antioxidant
supplementations with clinical conditions typical of older age (ie,
cardiovascular disease, Alzheimer's disease, cancer). We will also discuss
studies that have evaluated whether antioxidant supplementation might improve
major outcomes of interest in older persons (ie, physical performance, muscle
strength, longevity). Given the large amount of data available on the antioxidant
supplementation topic, this overview is not intended to be exhaustive. The aim of
this paper is to provide the main basis from which future studies should start
and indicate which the main limitations that need to be addressed are.
PMID- 18044190
TI - Discharge destination from an acute care for the elderly (ACE) unit.
AB - Older adults age 65 and over account for a disproportional number of hospital
stays and discharges compared to other age groups. The objective of this paper is
to describe placement and characteristics of older patients discharged from an
acute care for the elderly (ACE) unit. The study sample consists of 1,351 men and
women aged 65 years or older that were discharged from the ACE Unit during a 12
month period. The mean number of discharges per month was 109.2 +/- 28.4. Most of
the subjects were discharged home or home with home health 841, 62.3%. The oldest
elderly and patients who had been admitted from long-term care institutions or
from skilled nursing facilities to the ACE unit were less likely to return to
home.
PMID- 18044191
TI - The aging process and potential interventions to extend life expectancy.
AB - Aging is commonly defined as the accumulation of diverse deleterious changes
occurring in cells and tissues with advancing age that are responsible for the
increased risk of disease and death. The major theories of aging are all specific
of a particular cause of aging, providing useful and important insights for the
understanding of age-related physiological changes. However, a global view of
them is needed when debating of a process which is still obscure in some of its
aspects. In this context, the search for a single cause of aging has recently
been replaced by the view of aging as an extremely complex, multifactorial
process. Therefore, the different theories of aging should not be considered as
mutually exclusive, but complementary of others in the explanation of some or all
the features of the normal aging process. To date, no convincing evidence showing
the administration of existing "anti-aging" remedies can slow aging or increase
longevity in humans is available. Nevertheless, several studies on animal models
have shown that aging rates and life expectancy can be modified. The present
review provides an overlook of the most commonly accepted theories of aging,
providing current evidence of those interventions aimed at modifying the aging
process.
PMID- 18044193
TI - Health benefits of Tai Chi for older patients with type 2 diabetes: the "Move It
For Diabetes study"--a randomized controlled trial.
AB - Older adults with type 2 diabetes have mobility impairment and reduced fitness.
This study aimed to test the efficacy of the "Tai Chi for Diabetes" form,
developed to address health-related problems in diabetes, including mobility and
physical function. Thirty-eight older adults with stable type 2 diabetes were
randomized to Tai Chi or sham exercise, twice a week for 16 weeks. Outcomes
included gait, balance, musculoskeletal and cardiovascular fitness, self-reported
activity and quality of life. Static and dynamic balance index (-5.8 +/- 14.2; p
= 0.03) and maximal gait speed (6.2 +/- 11.6%; p = 0.005) improved over time,
with no significant group effects. There were no changes in other measures. Non
specific effects of exercise testing and/or study participation such as outcome
expectation, socialization, the Hawthorne effect, or unmeasured changes in health
status or compliance with medical treatment may underlie the modest improvements
in gait and balance observed in this sham-exercise-controlled trial. This Tai Chi
form, although developed specifically for diabetes, may not have been of
sufficient intensity, frequency, or duration to effect positive changes in many
aspects of physiology or health status relevant to older people with diabetes.
PMID- 18044192
TI - Factors that influence exercise activity among women post hip fracture
participating in the Exercise Plus Program.
AB - Using a social ecological model, this paper describes selected intra- and
interpersonal factors that influence exercise behavior in women post hip fracture
who participated in the Exercise Plus Program. Model testing of factors that
influence exercise behavior at 2, 6 and 12 months post hip fracture was done. The
full model hypothesized that demographic variables; cognitive, affective,
physical and functional status; pain; fear of falling; social support for
exercise, and exposure to the Exercise Plus Program would influence self
efficacy, outcome expectations, and stage of change both directly and indirectly
influencing total time spent exercising. Two hundred and nine female hip fracture
patients (age 81.0 +/- 6.9), the majority of whom were Caucasian (97%),
participated in this study. The three predictive models tested across the 12
month recovery trajectory suggest that somewhat different factors may influence
exercise over the recovery period and the models explained 8 to 21% of the
variance in time spent exercising. To optimize exercise activity post hip
fracture, older adults should be helped to realistically assess their self
efficacy and outcome expectations related to exercise, health care providers and
friends/peers should be encouraged to reinforce the positive benefits of exercise
post hip fracture, and fear of falling should be addressed throughout the entire
hip fracture recovery trajectory.
PMID- 18044194
TI - Patterns of intimate partner homicide suicide in later life: strategies for
prevention.
AB - Intimate partner homicide suicide (IPHS) constitutes the most violent domestic
abuse outcome, devastating individuals, families, neighborhoods and communities.
This research used content analysis to analyze 225 murder suicide events (444
deaths) among dyads with at least one member 60 or older. Data were collected
from newspaper articles, television news transcripts, police reports and
obituaries published between 1999 and 2005. Findings suggest the most dangerous
setting was the home and the majority of perpetrators were men. Firearms were
most often employed in the violence. Relationship strife was present in some
cases, but only slightly higher than the divorce rate for that age group. Illness
was cited in just over half of the cases, but 30% of sick elderly couples had
only a perpetrator who was ill. Evidence of suicide pacts and mercy killings were
very rare and practitioners are encouraged to properly investigate these events.
Suicidal men in this age range must be recognized as a potential threat to
others, primarily their partner. Homicide was sometimes the primary motive, and
the perpetrators in those cases resembled the "intimate terrorist." Victims in
those cases were often terrorized before the murder. Clinicians are educated
about the patterns of fatal violence in later life dyads and provided with
strategies for prevention.
PMID- 18044196
TI - Treatment of specific phobia in older adults.
AB - Phobias are common in later life, yet treatment research in this population
remains scant. The efficacy of exposure therapy, in combination with other
Cognitive-Behavioral Therapy (CBT) components, in the treatment of specific
phobia with a middle and older aged sample was examined. Sixteen adults aged 45
68 with DSM-IV diagnosis of a specific phobia received a manualized intervention
over ten weeks, and were compared with a control group. Results indicated
significant time effects in the treatment group for the primary outcome variables
of phobic severity and avoidance as well as secondary outcome variables including
depression and anxiety. Symptom presence and severity also significantly declined
in the treatment group. No significant changes in state anxiety were noted across
the treatment period. Such results provide support for the efficacy of exposure
combined with CBT treatment for specific phobia in middle to older aged adults.
PMID- 18044197
TI - Effects of intergenerational Montessori-based activities programming on
engagement of nursing home residents with dementia.
AB - Fourteen nursing home residents on a dementia special care unit at a skilled
nursing facility took part in one-to-one intergenerational programming (IGP) with
15 preschool children from the facility's on-site child care center. Montessori
based activities served as the interface for interactions between dyads. The
amount of time residents demonstrated positive and negative forms of engagement
during IGP and standard activities programming was assessed through direct
observation using a tool developed for this purpose--the Myers Research Institute
Engagement Scale (MRI-ES). These residents with dementia displayed the ability to
successfully take part in IGP. Most successfully presented "lessons" to the
children in their dyads, similar to the way that Montessori teachers present
lessons to children, while persons with more severe cognitive impairment took
part in IGP through other methods such as parallel play. Taking part in IGP was
consistently related with higher levels of positive engagement and lower levels
of negative forms of engagement in these residents with dementia than levels seen
in standard activities programming on the unit. Implications of using this form
of IGP, and directions for future research, are discussed.
PMID- 18044195
TI - The therapeutic effects of the physician-older patient relationship: effective
communication with vulnerable older patients.
AB - There is growing evidence that the outcomes of health care for seniors are
dependent not only upon patients' physical health status and the administration
of care for their biomedical needs, but also upon care for patients' psychosocial
needs and attention to their social, economic, cultural, and psychological
vulnerabilities. Even when older patients have appropriate access to medical
services, they also need effective and empathic communication as an essential
part of their treatment. Older patients who are socially isolated, emotionally
vulnerable, and economically disadvantaged are particularly in need of the
social, emotional, and practical support that sensitive provider-patient
communication can provide. In this review paper, we examine the complexities of
communication between physicians and their older patients, and consider some of
the particular challenges that manifest in providers' interactions with their
older patients, particularly those who are socially isolated, suffering from
depression, or of minority status or low income. This review offers guidelines
for improved physician-older patient communication in medical practice, and
examines interventions to coordinate care for older patients on multiple
dimensions of a biopsychosocial model of health care.
PMID- 18044199
TI - [Clinical and electrophysiological findings in carpal tunnel syndrome].
AB - Carpal tunnel syndrome (CTS) is the most common nerve entrapment disorder. The
clinical features of CTS are variable, but usually include pain and paresthesia
in the thumb, first two fingers, and the radial-half of the ring finger.
Paresthesia and sensory deficits might involve the entire palm area in some
cases. Pain frequently radiate proximally into the forearm, and occasionally to
the shoulder. Many patients experience pain at night and are awakened by abnormal
sensations. Shaking hand relief the symptom. The two classic tests for nerve
compression at the wrist are the Tinel test and the Phalen maneuver, which
diagnostic value is limited. Golden standard for the diagnosis is the combination
of the clinical findings and the electrophysiological study. Routine median nerve
conduction study is valuable. Prolonged terminal latency of motor or sensory
nerve would be found in most CTS hands. If the routine study showed equivocal,
more sensitive methods are needed. Those include segmental sensory conduction
study across the carpal tunnel by median stimulation at midpalm, a comparison of
median and ulnar sensory nerve latencies at ring finger and a comparison of
median and radial sensory nerve latencies at thumb. A difference between the
median motor latency to the second lumbrical and the ulnar motor latency to the
interossei muscles has also diagnostic value in some cases. In addition, inching
method can localized the compression site. Using these techniques, the diagnosis
of CTS would become more reliable.
PMID- 18044198
TI - Predictors of adherence to physical activity in the Lifestyle Interventions and
Independence for Elders pilot study (LIFE-P).
AB - OBJECTIVES: A prospective design was used to examine predictors of adherence to a
physical activity intervention in older adults with compromised function.
METHODS: The sample included 213 men (31.1%) and women (68.9%) with an average
age of 76.53 years. RESULTS: The predictor variables accounted for 10% of the
variance in percent attendance during adoption and transition, respectively.
Adding percent attendance during adoption to the prediction of percent attendance
during transition increased the explained variance in this phase to 21%. During
maintenance, the predictors accounted for 13% of the variance in frequency of
physical activity; this estimate increased to 46% when adding in percent
attendance from the transition phase. DISCUSSION: These results are encouraging
in that the physical activity intervention appears to have been well tolerated by
diverse subgroups of older adults. The role of prior behavior in predicting
downstream adherence underscores the importance of developing proactive
interventions for treating nonadherence in older adult populations.
PMID- 18044200
TI - [Operative treatment for carpal tunnel syndrome].
AB - Carpal tunnel syndrome is an entrapment neuropathy where the median nerve is
compressed inside of the carpal canal. Causes of this syndrome include repetitive
strain, wrist fracture, rheumatoid arthritis, space-occupying lesion, dialysis
related amyloidosis, diabetes mellitus, and in addition, cases with no apparent
cause. Similar symptoms such as numbness, sensory disturbance of the median nerve
distribution area and weakness of thenar muscles also occur in patients who
suffer from cervical diseases. In cases where the patient suffers from both
carpal tunnel syndrome and cervical disease, the patient's complaints may not
disappear if treatment is only performed for one of them. Therefore, accurate
diagnosis of the cause of the symptoms, using electrophysiological test results
and/or carpal canal pressure measurement results is essential to the successful
treatment of such patients. The purpose of operative treatments for carpal tunnel
syndrome is to decompress the median nerve. A variety of operative treatment
techniques, i.e., standard open procedure, minimum incision open procedure, one
portal or two-portal endoscopic procedures, etc., are used. Every procedure has
different conditions such as equipment used, operative hand positions, location
and size of skin incisions, blind ways or no blind ways, approaches to target
tissues, tourniquet usage and others. I developed the world's first endoscopic
operative procedure for carpal tunnel syndrome using the Universal Subcutaneous
Endoscope (USE) system in 1986 and I have operated on over 7,300 hands during
these last 20 years. My technique has been proven by pre- and postoperative
carpal canal pressure and intraneural median nerve pressure measurement results
as an evidence-based medicine. Before an operative method is chosen, the most
important thing to consider is whether or not it will safely and completely
achieve the purpose as evidence-based medicine with minimal invasion of the
patient.
PMID- 18044201
TI - [Occupation and carpal tunnel syndrome].
AB - Carpal tunnel syndrome (CTS), compression of the median nerve at the carpal
tunnel of the wrist, is the most common of all entrapment syndromes. Diabetes,
Rheumatoid arthritis, hypothyroidism and pregnancy are known to cause CTS. And
certain occupations were been reported the risk factor of CTS. We report two
patients with occupationally induced CTS, and discuss the relation between the
development of CTS and occupation with reference to previous papers. Occupations
that appear to promote CTS were classified in to three groups: jobs entailing the
use of vibratory tools, assembly work and food processing and packing. These
occupations involved repeated flexion and extension of the wrist. The prevalence
of CTS was related to not only repetitive work but also forceful work involving
the wrist. Both occupational and non-occupational factors (gender, age, body mass
index, thyroid function and diabetes mellitus) were considered risk factors for
CTS. The prevalence of CTS in Visual Display Terminal (VDT) workers was not high
compared to that in control groups. If the cause of CTS is considered to be due
to an occupational factor, the patient would be eligible workmen's compensation,
and should be suspended from work as soon as possible. It has been reported that
assembly line workers showed resolution of symptoms and normal nerve conduction
studies after 2 years of a reduced work schedule. Treatment for CTS should begin
early. When these workers return to work, the environment and the condition of
work should be improved to prevent recurrence.
PMID- 18044202
TI - [Management of carpal tunnel syndrome--"bread and butter" in the United States].
AB - In the United States, carpal tunnel syndrome (CTS) is one of the most common
diseases and is well recognized by the general population. The number and amount
of workers' compensation claims in the U.S. are enormous and the news has been
received high degree of attention. Similar to Japan, the initial treatment is non
surgical, but the failure to non-surgical treatment usually leads to surgical
intervention without delay.
PMID- 18044203
TI - [DARPP-32 in the patients with endogenous psychosis].
AB - Dopamine- and cyclic AMP-regulated phosphoprotein with a relative molecular
weight of 32 kDa (DARPP-32) plays an important role in integrating information of
about several neurotransmitters arriving at dopaminoceptive neurons. DARPP-32 is
phosphorylated by dopamine D1 receptor at threonine 34 and converted to an
inhibitor of protein phosphatase I. It facilitates the phosphorylation of several
neurotransmitter receptors, including N-methyl-D-aspartic acid (NMDA)- and alpha
amino-3-hydroxy-5-methyl-isoxazole-4-propionate (AMPA)-type glutamate receptors
and gamma-aminobutyric acid (GABA)A receptors. In contrast, D2 receptor
stimulation induces dephosphorylation of DARPP-32, which results in
dephosphorylation of the glutamate and GABAA receptors. Thus, phosphorylation and
dephosphorylation of DARPP-32 regulates the functions of neurotransmitter
systems. Recent studies from our laboratory and elsewhere have demonstrated that
the amount of DARPP-32 in the dorsolateral prefrontal cortex (DLPFC) of subjects
with schizophrenia is lower than that in the DLPFC of control subjects. Thus, it
is plausible that DARPP-32 is associated with the concurrent alterations in
dopamine, glutamate, and GABA neurotransmitter systems in subjects with
schizophrenia. We have also found reduced levels of DARPP-32 in the DLPFC of
subjects with bipolar disorder. Thus, it is important to elucidate the role of
DARPP-32 in the pathophysiology of schizophrenia and bipolar disorder.
PMID- 18044204
TI - [Demographic characteristics of RBD patients at a sleep center--with special
emphasis on neurodegenerative diseases as the background condition].
AB - REM sleep behavior disorder (RBD) is characterized by loss of normal REM sleep
skeletal muscle atonia, resulting in complex motor behaviors associated with
dream mentation. Reports have been accumulated showing an association of RBD and
neurodegenerative diseases. However, in Japan, no data has been available about
demographic features of RBD in a large patient population. We describe
demographic characteristics of RBD patients presenting to our sleep center with
special emphasis on association of RBD and neurodegenerative diseases. METHODS:
The subjects were consecutive 10,745 patients who presented with sleep and/or
wake problems at our sleep center from April 1998 to March 2006. Diagnosis of RBD
was made based on ICSD-2 criteria. Medical and sleep histories with complementary
information from family members, and findings of neurological examination were
assessed retrospectively from the notes of RBD patients. RESULTS: Sixty-seven
patients (0.6%) were diagnosed as having RBD. There was strong male predominancy
(85.1%). The onset of RBD symptoms was at 61.4+/-8.8 years of age. Neurological
symptoms and signs were present in twelve (17.9 % of RBD patients) when they
firstly came to our sleep center: 4 patients with Parkinson disease, 4 with
multiple system atrophy and 1 with probable dementia with Lewy body. Thirteen
patients (43.3%) were aware of olfactory impairment when inquired (out of 30
patients). Clonazepam was administered in 29 patients, and 21 (72.4%) responded
well. CONCLUSION: Our study showed the similar demographic characteristics of RBD
to what was shown in the previous large case series. Although the association
between RBD and neurodegenerative diseases was not so strong in our cases, it may
be mainly because our sleep center was not run in the domain of neurology
department and we could not vigorously detect the possible coexistence of
neurodegenerative disease. The pathogenesis of RBD is still unclear; therefore,
neurologists and sleep specialists need to collaborate in following up RBD
patients to confirm whether they are at higher risk for developing a
neurodegenerative disease.
PMID- 18044205
TI - [A case of brainstem encephalitis following multiple cranial neuropathy in a
hepatocellular carcinoma patient--association with cytomegalovirus and varicella
zoster virus infection].
AB - A 72-year-old male with liver cirrhosis and hepatocellular carcinoma experienced
general fatigue. Four days later he was admitted to our hospital because of
dizziness, dysbasia and left facial palsy (day 1). On day 6, a neurological
examination revealed left trigeminal neuralgia, left medial longitudinal
fasciculus (MLF) syndrome, skew deviation, hypacusia, tongue deviation and left
limb ataxia. Magnetic resonance imaging of the brain including diffusion-weighted
imaging showed previous lacunar infarctions at the left thalamus and pons. The
immunological investigation for viral infection in his serum samples showed high
titers of IgM antibody against cytomegalovirus (CMV). Cerebrospinal fluid (CSF)
investigation revealed mononuclear pleocytosis, elevated protein levels and high
titers of IgG antibody against the varicella-zoster virus (VZV). Anti-CMV
antibody measurement and CMV-DNA detection by the polymerase chain reaction in
CSF revealed that the central nervous system (CNS) was not infected by CMV. We
diagnosed this case as brainstem encephalitis following multiple cranial
neuropathy associated with CMV and VZV infections. The neurological symptoms
gradually improved with aciclovir and prednisolone therapy. The titers of
antibody for CMV in his serum samples normalized 4 months later after onset.
Although there was no evidence of CMV infection in the CNS was obtained,
parainfection or autoimmune mediated responses followed by viral infections might
have led to brainstem encephalitis with multiple cranial nerve involvements in
our patient.
PMID- 18044206
TI - [A case of acute mountain sickness followed by globus pallidus syndrome].
AB - A 71-year-old female was suffered from acute mountain sickness at an altitude of
about 3,700 m. and became comatose. Although the patient recovered from the
symptom after coming down from the mountain, family members and friends began to
notice her personality changes in daily life. She became apathetic,
uncommunicative, expressionless, less interested in hobbies and less sociable and
was pointed it out by them. In neurological images, MRI revealed localized
lesions at the globus pallidus, bilaterally. It was conjectured that pallidal
lesions caused by hypobaric hypoxia at high elevation in the case induced
neurobehavioral syndrome (globus pallidus syndrome) similar to the frontal lobe
syndrome by way of the frontal-subcortical circuits. As, in recent years, the
transportation to high ground has become relatively easy for the elderly, it is
feared that the incidence of acute mountain sickness may increase. In acute
mountain sickness, while neurological symptoms at the acute phase, such as
headache, consciousness disturbances and ataxia, are emphasized, it is necessary,
in examination of patients who recovered from acute symptoms, to recognize the
presence of executive dysfunction as aftereffect, as seen in the present case.
PMID- 18044207
TI - [Acute urinary retention secondary to aseptic meningoencephalitis in an infant-
case report].
AB - We report the case of a 21-month-old boy with urinary retention secondary to
aseptic meningitis. After high fever for 10 days, appetite loss, somnolence,
acute transient urinary retention, constipation and mild dysesthesia in bilateral
lower limbs developed. Brisk reflexes were present in the lower extremities along
with a positive Babinski reflex. Cerebrospinal fluid (CSF) examination revealed
mild mononuclear cell-dominant pleocytosis. Human herpes virus (HHV)-6 was not
detected in the CSF by polymerase chain reaction (PCR) analysis; however, it was
detected in the throat, plasma, and mononuclear cells of the peripheral blood.
Virus-specific immunoglobulin M antibodies against HHV-6 were not detected by
enzyme immunoassay. Brain magnetic resonance imaging (MRI) yielded normal
results; however, T1-weighted MRI of the conus terminalis with contrast
enhancement showed region of high intensity from the lower thoracic to lumbar
meninges. In T2-weighted imaging, slight hyperintensity was observed in the
lumbar spinal cord without enhancement effect. The catheter was removed 1 week
after high-dose intravenous methyl-prednisolone treatment and the patient was
able to walk 3 weeks later without any sequelae. Vesicorectal disturbance and the
neurological symptoms observed in aseptic meningitis were similar to those of HSV
type 2-induced lumbosacral meningo-radiculitis, designated as Elsberg syndrome or
meningitis-retention syndrome in adults. The recurrence of HHV-6 might be
immunologically related to this meningitis-retention syndrome based on the
results of PCR analysis and enzyme immunoassay for virus-specific antibodies.
Several reports have described lumbosacral meningo-radiculitis in adults and
older children, but this appears to be particularly rare in infants.
PMID- 18044208
TI - [Growing skullbase fracture--a case report with special reference to its
pathogenesis].
AB - This report describes a growing skullbase fracture in a six-year-old girl. She
had a history of anterior skullbase fracture three years previously and
meningitis two years later. She was referred to our hospital because of bacterial
meningoencephalitis. Neuroimaging disclosed a bone defect and meningocele at the
same site as the previous fracture. Although a growing skull fracture of the
anterior cranial base is extremely rare, the reported cases include not only
pediatric patients but also adults. Furthermore, posttraumatic pseudo-meningocele
or encephalocele can be considered to be part of the same entity as growing
skullbase fracture. Therefore, "weakness of surrounding tissue" seems to be
another important factor as well as "the expanding force of the growing brain"
and "dural tear", which are well-known to be essential in the growth of a
fracture. Since a growing fracture at the anterior skullbase is difficult to
identify from the outside, it is very important to follow-up changes in the
fracture line from the acute stage of injury.
PMID- 18044209
TI - [An autopsied case of adult T-cell leukemia/lymphoma (ATLL) presenting with
specific MRI findings in the cerebral cortex].
PMID- 18044210
TI - [One hundred books which built up neurology (11)].
PMID- 18044211
TI - [Problem of illegal drugs in Japan].
PMID- 18044212
TI - [Standardized treatment of acute intoxication--present state in Japan].
PMID- 18044213
TI - [Survey on the initial management of acute poisoning in Japan].
PMID- 18044214
TI - [Gastric lavage].
PMID- 18044215
TI - [Whole bowel irrigation].
PMID- 18044216
TI - [Do you know the Practice Guideline of Japanese Society for Clinical Toxicology?
Do you seek consent from patients?].
PMID- 18044217
TI - [Activated charcoal].
PMID- 18044218
TI - [Forced diuresis].
PMID- 18044219
TI - [Clinical analysis of 104 cases of overdose in suicide attempts].
AB - Patients who have attempted suicide by taking medicines are frequently admitted
to emergency and critical care medical centers. These patients usually have both
physical and mental problems. Some try repeatedly to commit suicide. In this
study, to ascertain how to prevent repeated attempts of suicide, we investigated
the clinical characteristics of patients attempting suicide by taking overdoses
of medicine. One hundred and four cases of suicide attempted by taking an
overdose of medicine, seen at The Emergency and Critical Care Medical Center,
Tottori University Hospital, Tottori from April 2005 to March 2006, were
investigated in this retrospective study. Patients were 25 males (24%), and 79
females (76%). Eighty one patients (77.9%) had regularly been receiving
psychiatric care, with neurotic disorders being the most common psychiatric
condition. Thirty eight patients (36.5%) had repeated suicide attempts by taking
an overdose of medicine. In 2005, there were 9 patients (9.4%) who had repeatedly
consulted our critical care medical center. Most of these patients were females
who had previously consulted a psychiatric clinic and had diagnoses of stress
related or personality disorders. In this study, we demonstrated that it is
important to build a good relationship between psychiatrists and emergency
doctors. As well, to prevent repeated incidents of taking an overdose of
medicines in a suicide attempt, it is important to ensure that medical and
psychosocial support are positively applied to patients with such tendencies.
PMID- 18044220
TI - [Autopsy case of aspirin intoxication: distribution of salicylic acid and
salicyluric acid in body fluid and organs].
AB - A 52 year-old woman ingested approximately 300 tablets (325 mg) of aspirin in a
suicide attempt. We analyzed the concentrations of salicylic acid (SA) and
salicyluric acid (SUA) in body fluids and organs using a modified previous high
performance liquid chromatographic method. The concentrations of SA in heart and
femoral blood were 1.1 mg/mL and 1.3 mg/mL, respectively; the results were far
higher than the lethal level. The concentration of SA was 0.3-0.4 mg/g in brain,
0.9-1.4 mg/g in lung, 0.6-0.8 mg/g in liver and 0.9 mg/mL in kidney.
PMID- 18044221
TI - [Delayed neuropsychologic sequelae of carbon monoxide poisoning].
PMID- 18044222
TI - [Worry of health damage by lead in metal accessories and analogous products].
PMID- 18044223
TI - [Epilepsy surgery and focus detection utilizing imaging of preoperative multi
modal examinations].
PMID- 18044224
TI - [Minimally invasive anterior interhemispheric lamina terminalis approach for
craniopharyngiomas. Anatomical, hormonal, and neuropsychological considerations].
PMID- 18044225
TI - [Management of chiasmatic-hypothalamic gliomas in children: report of nine
pediatric cases].
AB - Radical resection of chiasmatic-hypothalamic glioma (CHG) carries a significant
risk of morbidity and the optimum treatment remains undecided. The authors
reported 9 children with CHG, who were treated with surgical resection with or
without postoperative chemotherapy. Age at the time of diagnosis ranged from 4
months to 7.7 years (mean 3.1 years), and no patient had evidence of
neurofibromatosis type 1. Surgical resections of the tumors were performed in all
patients because of severe visual impairment or intracranial hypertension caused
by large tumors. All of the surgical interventions resulted in partial
resections. Pathological examination revealed pilocytic astrocytomas in 7
patients, low grade astrocytoma in 1 and anaplastic astrocytoma in 1. Seven
patients with residual tumors received postoperative chemotherapy consisting of
cisplatin, cyclophosphamide, etoposide and vincristine. Reduction in tumor size
was noticed in 5 patients, although 2 patients had no response and switched to
local radiotherapy. Although minor complications of chemotherapy were noticed in
5 patients, severe sequelae such as neuropsychological deficits or
endocrinopathies did not occur, and all patients completed chemotherapy programs.
Additional treatments are recommended in case of incomplete tumor resections,
because our experience demonstrates that the majority of the residual tumors have
potential to progress. Our present data suggests that the chemotherapy of the
aforementioned regimen is effective in controlling CHGs after partial resections
and is relatively well tolerated even in young children who are vulnerable to
radiotherapy.
PMID- 18044227
TI - [Intracranial internal carotid artery aneurysm associated with extracranial
occlusion of the ipsilateral internal carotid artery in a patient with polycystic
kidney disease].
AB - We report a rare case with polycystic kidney disease (PKD) having an intracranial
internal carotid artery aneurysm associated with extracranial occlusion of the
ipsilateral internal carotid artery. A 55-year-old man with chronic renal failure
due to PKD presented with headache. CT scan and MRI showed no abnormal findings.
MRA showed cervical occlusion of the right internal carotid artery and an
ipsilateral intracranial carotid aneurysm. At surgery, the saccular aneurysm
protruded anterolaterally at the C2 portion of the right internal carotid and was
clipped. Hemodynamic stress of the blood flow through the posterior communicating
artery and the fragility of arteries because of PKD were considered to be two
main causes of aneurysmal formation in this case.
PMID- 18044226
TI - [The utility of presurgical simulation of microvascular decompression by MR
virtual endoscopy].
AB - OBJECTIVE: We conducted the present study to assess the utility of virtual
endoscopy (VE) created by volume rendering of MR images in presurgical simulation
for trigeminal neuralgia (TN) and hemifacial spasm (HFS). METHODS: In 12 patients
(six with TN and six with HFS), we presurgically evaluated the anatomy of the
cerebellopontine angle (CPA) region and simulated an appropriate surgical
approach by VE of heavy T2-weighted imaging and MR angiography. RESULTS: The
three-dimensional (3-D) relations of neurovascular structures in the CPA region
were compatible between on-VE and on-the-intraoperative view in all patients. The
compression sites and the major offending vessels were correctly depicted, except
for two small branches as the offending vessel. The other important structures
affecting the surgical procedure were identified as major petrosal veins in all
patients with TN, a vertebral artery in three with HFS, and a large cerebellar
flocculus in three with HFS. Transposition of the offending vessels was performed
as simulated in all patients with TN and in three patients with HFS. All patients
had an excellent surgical outcome. CONCLUSIONS: Presurgical simulation by VE in
patients with TN and HFS is a novel method that provides excellent visualization
of the 3-D relations of neurovascular structures in the CPA region and allows us
to accomplish successful and safe surgery.
PMID- 18044228
TI - [A case report of arteriovenous malformation of the tongue: vascular anatomy and
note for transarterial embolization].
AB - Arteriovenous malformation (AVM) of the tongue is a rare lesion of the cranio
facial vascular anomaly. Decision making concerning the vascular anomaly is not
established because there are complex classifications of diagnosis and many
treatment options. We report a case of AVM of the tongue, and review vascular
anatomy and knowledge for treating this lesion. A 50-year-old man presented with
dysarthria, sleep apnea and snoring because of a mass lesion of his sublingual
region that had become larger over a two-year period. Neuroradiological
examination revealed lingual arteriovenous fistula (AVF) associated with AVM of
the tongue base. We performed transfemoral transarterial embolization via both
lingual arteries using Polyvinyl alcohol particles and Eudragit-E as non-adhesive
glue material. Final angiograms after embolization revealed a small residual
nidus fed by the right facial artery mental branch, but shunt flow was markedly
reduced. This vascular malformation was removed after a day of TAE (transarterial
embolization). The patients symptom was improved and relapse has not been
confirmed though 18 months have passed since the surgery. Using classification
proposed by Mulliken and Glowacki, vascular anomalies are divided into two
groups: hemangiomas and vascular malformations (AVM/F, arterial, venous,
capillary, lymphatic and combined). AVM/F is classified into high flow
malformation. TAE is useful for high flow malformation and can be used as the
sole treatment or as an adjunct. Treatment should be to eradicate nidus or
fistula completely, which is the fundamental abnormality because even the
smallest residual nidus will expand to cause recurrence. The treatment of Cranio
facial AVM's requires rigorous differential diagnosis and appropriate management.
Inadequate treatment is thought to contribute to collateral flow and disease
progression in advanced AVM, making further management difficult.
PMID- 18044229
TI - [A case of spontaneous fusiform aneurysm in a middle cerebral artery branch which
causes rapidly thrombosed formation in a short period].
AB - A 61-year-old man presented with the complaint of headache. Investigations
revealed a fusiform middle cerebral artery aneurysm at the M2 part. The formation
of the aneurysm rapidly developed to a partially thrombosed aneurysm in the
course of four months. As regards the treatment of the aneurysm, at first we
tried surgery with a superficial temporal artery middle cerebral artery bypass
(STA-MCA bypass) and trapping of the aneurysm. However, during the procedure, it
was difficult to control bleeding from the temporal muscle, bone flap, and
subdural space. Because of this, we finished the STA-MCA bypass without trapping
of the aneurysm and then, four days later, we confirmed bypass patency and
treated the aneurysm using endovascular coil embolization. Based on both surgical
and interventional investigations in this case and a review of the reported
literature, the authors propose that there are two mechanisms causing the middle
cerebral artery fusiform aneurysm to develop thrombosed formation rapidly: (i)
Peripheral middle cerebral artery branches demand less blood flow than other
major trunk arteries. (ii) Bypass flow maintains perfusion to the distal
branches. On the other hand, this flow alteration caused by surgical vascular
bypass may promote the development of the aneurysm to thrombosed formation. The
treatment of a fusiform middle cerebral artery aneurysm at the M2 part is also
discussed.
PMID- 18044230
TI - [A case of lymphocytic hypophysitis successfully treated with steroid pulse
therapy].
AB - Lymphocytic hypophysitis is an autoimmune disorder of the pituitary gland. We
report a case of lymphocytic hypophysitis managed by high dose methylprednisolone
pulse therapy and review the literature. A 61-year-old woman presented with
severe headache. Magnetic resonance imaging (MRI) revealed a contrast enhancing
pituitary mass. The patient underwent endonasal-transsphenoidal surgery of the
pituitary lesion under the diagnosis of a non-secreting pituitary adenoma.
Intraoperative histological findings suggested an inflammatory lesion and we
performed subtotal resection of the mass. Histopathological examination confirmed
the diagnosis of lymphocytic hypophysitis. Postoperatively, she received
methylprednisolone pulse therapy and her severe headache resolved. This case
report suggests that methylprednisolone pulse therapy may improve the clinical
symptoms of lymphocytic hypophysitis.
PMID- 18044231
TI - New Zealand women living with HIV/AIDS: a feminist perspective.
AB - This paper draws on current and earlier literature, together with observational
and anecdotal data to reveal the situation of HIV positive women in New Zealand.
The present picture is examined in relation to data from a previously unpublished
qualitative study undertaken by the author in 2001 using a feminist perspective.
It would seem that dominant concerns of the women today are much the same as
those surfacing in the earlier study. These include stigma and the associated
problem of whether to conceal or reveal. Additionally these women are concerned
that health professionals do not always take their problems seriously. Their
distress is aggravated by fact of them being women suffering from what is
generally seen as a male disease. The feminist perspective highlights the
relative powerlessness of many women in the context of sexual relations whereby
much of the prophylactic advice--such as insistence on condom use--becomes
irrelevant. New women oriented education programmes are needed. It is argued that
nurses are well placed to make a positive contribution in this area of care, and
in fact are obligated to do so if their practice is to be consistent with the
profession's declared aims with respect to cultural safety. However it is noted
that there is still a degree of prejudice and ignorance to be overcome before the
desired results will be achieved.
PMID- 18044232
TI - New Zealand nurses' reports on hospital care: an international comparison.
AB - Despite the differences in health care systems, nursing shortages and their
contributing factors and consequences no longer seem to be solely country
specific. The present study replicated a cross-national study of nurses'
perceptions of staffing, work organisation and outcomes conducted in more than
700 hospitals in the United States, Canada, England, Scotland, and Germany. This
paper compares the 2001 New Zealand findings with the findings of the five
country study. New Zealand nurses report similar shortcomings in their work
environment as do the nurses in countries with distinctly different health care
systems. While they report similar high levels of competence and good relations
between doctors and nurses as the respondents in the other five countries, higher
numbers of New Zealand nurses 30 years of age or younger report their intention
to leave their current jobs. New Zealand nurses also report the highest levels of
job related stress, high levels of job dissatisfaction, and more than half report
receiving inadequate organisational support. The implications of these findings
are discussed in light of recent changes in the hospital environment.
PMID- 18044233
TI - Personal autonomy for older people living in residential care: an overview.
AB - Autonomy has significance for everyone, including those in long-term residential
care. This article looks at the concept of autonomy particularly in relation to
the population of older persons living in residential care settings. It examines
the values underpinning the exercise of personal autonomy and notes how an
individual's autonomy may be enhanced or restricted. The implications for
gerontological nursing practice are outlined and suggestions offered as to how
personal autonomy for older persons living in residential care may be preserved
and promoted.
PMID- 18044234
TI - From experience to definition: addressing the question 'what is qualitative
research?'.
AB - Most health professionals today have heard of 'qualitative research' but many
remain confused as to what it is and how to go about doing it. In this paper, two
experienced qualitative researchers become engaged in conversation exploring the
question 'what is qualitative research?' Lynne Giddings and Liz Smythe are
Associate Professors in the Faculty of Health & Environmental Sciences at the
Auckland University of Technology. They engage a reader in exploring issues such
as: What might draw you to qualitative research? How does qualitative research
make a difference to practice? How can reading a qualitative research article
inform practice? From a qualitative perspective, what is 'truth'? How many
participants? What happens to the data? What about the bias of the researcher?
Can qualitative findings be trusted? Stories and exemplars are used to highlight
the processes and issues involved in undertaking a qualitative research study.
PMID- 18044236
TI - Making an evidence based decision involves a process of inquiry.
PMID- 18044237
TI - Health care: for private profit or public good?
PMID- 18044238
TI - Access to cancer screening for women with long-term mental health problems.
PMID- 18044239
TI - Working life.
PMID- 18044240
TI - Effectiveness of interventions for under nourished older inpatients in the
hospital setting.
PMID- 18044241
TI - Study asks why alcohol and drug nurses smoke.
PMID- 18044242
TI - Not "just" a drug and alcohol nurse.
PMID- 18044243
TI - Reducing harm in the community.
PMID- 18044244
TI - Supporting people in recovery.
PMID- 18044245
TI - Building the alcohol and other drug workforce in New Zealand.
PMID- 18044247
TI - Education and workforce development issues associated with invisibility.
PMID- 18044246
TI - Pain: the new co-morbidity.
PMID- 18044248
TI - Inorganic: the other mercury.
AB - There is a broad array of mercury species to which humans may be exposed. While
exposure to methylmercury through fish consumption is widely recognized, the
public is less aware of the sources and potential toxicity of inorganic forms of
mercury. Some oral and laboratory thermometers, barometers, small batteries,
thermostats, gas pressure regulators, light switches, dental amalgam fillings,
cosmetic products, medications, cultural/religious practices, and gold mining all
represent potential sources of exposure to inorganic forms of mercury. The route
of exposure, the extent of absorption, the pharmacokinetics, and the effects all
vary with the specific form of mercury and the magnitude and duration of
exposure. If exposure is suspected, a number of tissue analyses can be conducted
to confirm exposure or to determine whether an exposure might reasonably be
expected to be biologically significant. By contrast with determination of
exposure to methylmercury, for which hair and blood are credible indicators,
urine is the preferred biological medium for the determination of exposure to
inorganic mercury, including elemental mercury, with blood normally being of
value only if exposure is ongoing. Although treatments are available to help rid
the body of mercury in cases of extreme exposure, prevention of exposure will
make such treatments unnecessary. Knowing the sources of mercury and avoiding
unnecessary exposure are the prudent ways of preventing mercury intoxication.
When exposure occurs, it should be kept in mind that not all unwanted exposures
will result in adverse health consequences. In all cases, elimination of the
source of exposure should be the first priority of public health officials.
PMID- 18044250
TI - A baseline assessment of U.S. Naval food facilities using the Food Code's new
risk-based inspection program.
AB - The Food and Drug Administration (FDA) has developed a new method for assessing
food safety in retail establishments using a risk factor-based approach for
prevention of foodborne illness. The study reported here surveyed facilities in
the Navy's San Diego jurisdiction (11 fast-food and 22 full-service facilities)
to establish a baseline rate of compliance with FDA risk factor categories. The
Navy facility baseline-data compliance rate was compared with FDA baseline data
from 1998. Fast-food facilities were less in compliance than they were in the FDA
baseline data (59 percent versus 74 percent were in compliance), while full
service establishments were more in compliance than they were in the FDA baseline
(73 percent versus 60 percent). The fact that compliance was greater among Navy
facility full-service establishments than in the FDA national baseline may be
partly due to staffing by military active-duty employees who receive incentives
that may encourage compliance. The establishment of this Navy baseline allows
comparison with the FDA baseline. Interventions can now be quantified.
PMID- 18044249
TI - Acute hazardous substance releases resulting in adverse health consequences in
children: Hazardous Substances Emergency Events Surveillance system, 1996-2003.
AB - Because of their small size and ongoing organ development, children may be more
susceptible than adults to the harmful effects of toxic chemicals. The objective
of the study reported here was to identify frequent locations, released
substances, and factors contributing to short-term chemical exposures associated
with adverse health consequences experienced by children. The study examined the
Hazardous Substances Emergency Events Surveillance (HSEES) system data from 1996
2003. Eligible events involved the acute release of a hazardous substance
associated with at least one child being injured. The study found that injured
children were predominantly at school, home, or a recreational center when events
took place. School-related events were associated with the accidental release of
acids and the release of pepper spray by pranksters. Carbon monoxide poisonings
occurring in the home, retail stores, entertainment facilities, and hotels were
responsible for about 10 percent of events involving child victims. Chlorine was
one of the top chemicals harmful to children, particularly at public swimming
pools. Although human error contributed to the majority of releases involving
child victims, equipment failure was responsible for most chlorine and ammonia
releases. The authors conclude that chemical releases resulting in injury to
children occur mostly in schools, homes, and recreational areas. Surveillance of
acute hazardous chemical releases helped identify contributing causes and can
guide the development of prevention outreach activities. Chemical accidents
cannot be entirely prevented, but efforts can be taken to provide safer
environments in which children can live, learn, and play. Wide dissemination of
safety recommendations and education programs is required to protect children
from needless environmental dangers.
PMID- 18044251
TI - The personal and general hygiene practices of food handlers in the delicatessen
sections of retail outlets in South Africa.
AB - This paper presents data on personal- and general-hygiene knowledge and practices
among food handlers in the delicatessens of a major retail group in the Western
Cape in South Africa. Food handlers were interviewed by means of a structured
questionnaire. Although the majority of food handlers adhered to basic hygiene
principles, there is definitely a need for proper and continuous training in
personal and general hygiene, not only for food handlers, but also for
management. The study reported here is of importance particularly in view of new
local regulations governing the application of the hazard analysis and critical
control point (HACCP) system. Management is responsible for the implementation of
this system, and where supervision is not adequate, the manager of the outlet
should intervene to ensure that staff conform to the requirements.
PMID- 18044252
TI - Mr. Blake's message.
PMID- 18044253
TI - Environmental data assessment for use in public health surveillance.
AB - Public health surveillance is the ongoing systematic collection, analysis,
interpretation, and dissemination of data on health-related events for use in
public health action to reduce morbidity and mortality and to improve health.
Total trihalomethanes are an example of a chemical in drinking water that is
regulated by the U.S. Environmental Protection Agency (U.S. EPA) but is not under
public health surveillance. The U.S. EPA database that stores this environmental
information is called the Safe Drinking Water Information System (SDWIS). Its
purpose is to collect data on noncompliance events in drinking-water utilities in
each state. For this discussion, the SDWIS data on TTHMs, which are an example of
environmental data, were assessed for public health surveillance system
attributes as defined by the Centers for Disease Control and Prevention:
simplicity, flexibility, data quality, acceptability, sensitivity, predictive
value positive, representativeness, timeliness, and stability.
PMID- 18044254
TI - Time to embrace smoke-free trend.
PMID- 18044255
TI - Revitalization: are we there yet?
PMID- 18044256
TI - It's not just Montezuma's revenge anymore...
PMID- 18044257
TI - Preparing for our profession's future.
PMID- 18044258
TI - Biomarkers of acute kidney injury: can we replace serum creatinine?
AB - Acute kidney injury (AKI) is frequent in hospitalized critically ill patients and
mortality associated with AKI is largely unchanged over many decades. The new
nomenclature, AKI, reflects the entire spectrum of acute renal failure,
recognizing that an acute decline in kidney function can be secondary to an
injury that causes functional or structural changes in the kidneys [Mehta et al.
2007]. An abrupt change in serum creatinine level has been the primary method for
diagnosing AKI for nearly 60 years despite its well recognized limitations [Addis
et al. 1947, Barrett and Addis 1947, Fisher and Wilhelmi 1937, Star 1998]. These
limitations are mainly related to the delayed diagnosis of AKI associated with
delayed rise in serum creatinine and the lack of specificity and sensitivity
associated with small changes in serum creatinine. It is believed that these
limitations associated with diagnosis of AKI have prevented progress by
interfering with the design of clinical trials for newer therapies. It is now
widely believed that the availability of accurate and objective early biomarkers
of AKI will stimulate progress in the development of early interventions in AKI.
Recognition of this concept has led to a surge in preclinical, translational and
clinical research for discovery and validation of biomarkers in AKI. In this
review we will discuss the role of biomarkers in AKI and the promising biomarkers
on the horizon.
PMID- 18044259
TI - Failure of ascorbic acid to prevent contrast-media induced nephropathy in
patients with renal dysfunction.
AB - AIMS: Contrast-media induced nephropathy (CIN) remains a common complication
after contrast dye exposure especially in patients with chronic renal impairment
(CRI). We sought to evaluate the efficacy of the antioxidant ascorbic acid as an
adjunct to hydration in limiting the incidence of contrast induced nephrotoxicity
after coronary procedures. MATERIALS AND METHODS: In a randomized, double-blind,
prospective, single center-study, 143 consecutive patients with CRI (creatinine
level > 120 micromol/l) referred to coronary angiography/intervention were
randomly assigned to receive 1 g ascorbic acid or placebo in adjunct to saline
hydration prior to and after angiography. Creatinine and urea nitrogen levels
were measured prior to and up to 6 days after exposure to contrast agent.
RESULTS: The development of CIN occurred totally in 8/143 (5.6%) patients.
Between the two groups no significant difference was detected (Vitamin C 5/74
(6.8%) patients; placebo 3/69 (4.3%) patients). After adjusting for the amount of
contrast dye, drug treatment, cardiovascular risk factors, ejection fraction, or
sex, again no differences were detected. No patient required dialysis. More
patients with diabetes had development of CIN (7/85; 8.2%) compared with
nondiabetic patients (1/58; 1.7%), although not significant (p = 0.14). The
incidence of CIN was elevated in patients with high amounts (> 140 ml) of
contrast volume used (6/8). CONCLUSIONS: Our study does not support the
prophylactic use of ascorbic acid in patients with renal dysfunction exposed to
contrast dye.
PMID- 18044260
TI - Combination therapy of pioglitazone with voglibose improves glycemic control
safely and rapidly in Japanese type 2-diabetic patients on hemodialysis.
AB - AIMS: Unfortunately, clinicians are diagnosing a growing number of patients on
hemodialysis (HD) with insulin-resistant, Type 2 diabetes in Japan. While alpha
glucosidase inhibitors (alpha-GI) such as oral antidiabetic agents are indicated
for Japanese diabetics on HD, pioglitazone and other PPARgamma agonists are now
contraindicated. No prospective study has evaluated the use of thiazolidinediones
in diabetics with end-stage renal disease (ESRD) in combination with alpha-GI. In
this study we evaluated the efficacy and safety of pioglitazone in Japanese
diabetics on HD. METHODS: An open-label randomized study was performed on 31 Type
2 diabetics on HD with unstable glycemic control receiving constant doses of
voglibose. The patients were randomly assigned to two groups: a combination
therapy group (pioglitazone group) administered pioglitazone (fixed dose 30 mg)
plus voglibose, and a monotherapy group (control group) administered voglibose
alone. The efficacy of the treatments was determined by monitoring glycemic
control (plasma glucose and HbA1c) and insulin resistance. Insulin resistance was
assessed using the homeostasis model assessment for insulin resistance (HOMA-R).
Safety and tolerance were determined by monitoring clinical and laboratory
parameters. RESULTS: The pioglitazone was effective in reducing plasma glucose
and HbA1c from the baseline levels from Week 4 onward. It was also effective in
reducing triglycerides. HOMA-R decreased significantly at 4 weeks in the
pioglitazone group, and the decrease continued up to the last measurement at Week
12. Systolic and diastolic blood pressures at 4 weeks were statistically lower in
the pioglitazone group than in the control group. No serious adverse effects such
as hypoglycemia, liver impairment or rhabdomyolysis were observed in any of the
patients. CONCLUSIONS: Pioglitazone was safe and effective as a treatment for
diabetics on dialysis therapy. The 30 mg daily dose of pioglitazone was
sufficient for Japanese HD patients, obese and nonobese alike. The combination
therapy of pioglitazone with voglibose will add to the list of first-line drug
treatments for glycemic control in uremic Type 2 diabetes.
PMID- 18044261
TI - A combination of a PPAR-gamma agonist and an angiotensin II receptor blocker
attenuates proinflammatory signaling and stimulates expression of Smad7 in human
peritoneal mesothelial cells.
AB - BACKGROUND: Human peritoneal mesothelial cells (HPMCs) have been shown to
regulate the inflammatory response and the subsequent peritoneal extracellular
matrix accumulation (ECM) induced by bio-incompatible peritoneal dialysis
solutions. Recently, attention has been given to the possible antiinflammatory
effect exhibited by angiotensin receptor blockers (ARB) or PPAR-gamma agonists in
several tissues including glomerular. As no data on the potential role of these
commonly used drugs in reducing peritoneal fibrosis exist, we examined the in
vitro effects of an ARB (losartan) and a PPAR-gamma agonist (rosiglitazone) on
inflammatory and profibrotic pathways in cultured HPMCs subjected to high
glucose. METHODS: HPMCs were incubated for 48 hours with 3 different
concentrations of glucose: 5 mM (G5), 50 mM (G50) and 100 mM (G100), as well as
G50 with either losartan (5 or 10 microM) and/or rosiglitazone (1 or 10 microM).
IL-6, IL-8, VEGF and TGF-beta1 in the supernatants were measured by cytokine
multiplex assays or ELISA. Smad7, the inhibitor of the TGF/Smad signaling
pathway, was measured using immunocytochemistry. RESULTS: All the measured
cytokines increased in proportion to increased concentration of glucose.
Unexpectedly, this effect was not inhibited, but rather further enhanced, by
rosiglitazone and losartan separately. However, only the combination of the two
drugs had an inhibitory effect on TGF- beta1 and IL-6, while the expression of
inhibitory Smad7 was increased. CONCLUSION: We conclude that high glucose
exposure stimulates an inflammatory response in HPMCs in a dose-dependent manner.
Rosiglitazone and losartan appear to have synergetic effects which could decrease
fibrosis by inhibiting inflammation and regulating the TGF/Smad signaling
pathway, but further studies are needed to elucidate the complex pathways
modulated by these drugs.
PMID- 18044262
TI - Efficacy and safety of intermittent hemodialysis using citrate as anticoagulant:
a prospective study.
AB - BACKGROUND: The use of trisodium-citrate for regional anticoagulation of the
extracorporal circuit during renal replacement therapy (RRT) has received
increased interest, particularly in critically ill patients with increased risk
of bleeding. Continuous renal replacement therapies are the most extensively
investigated and used procedures in this regard. However, when patients recover
from critical illness, RRT is often switched to intermittent procedures. In this
prospective study, we investigated the efficacy and safety of citrate
anticoagulation during intermittent hemodialysis (IHD) performed with a standard
roller blood pump device. METHODS: We treated 11 critically ill patients with
acute renal failure. These patients received a total of 31 intermittent IHD
treatments. The targeted IHD treatment time was 6 h (4.5 l/h treatment dose). For
anticoagulation, a 4% trisodium-citrate solution was continuously infused into
the arterial line of the extracorporeal circuit. A calcium-free, lactate-based
dialysis solution was used in all treatment procedures. Calcium was continuously
substituted via a separate central line. Electrolyte and acid-base changes as
well as the cardiovascular hemodynamics were analyzed. RESULTS: All patients
achieved the targeted filter life time. Filter clotting did not occur.
Electrolytes and acid base values were well-maintained throughout the study
period. Particularly metabolic derangements were not observed. All treatments
were hemodynamically well-tolerated. CONCLUSIONS: Intermittent hemodialysis with
citrate anticoagulation can be safely applied in critically ill patients at high
risk of bleeding.
PMID- 18044263
TI - Endocapillary proliferative glomerulonephritis with crescent formation and
concurrent tubulointerstitial nephritis complicating retroperitoneal fibrosis
with a high serum level of IgG4.
AB - Renal lesions of IgG4-related disease have been reported recently. Most of them
are tubulointerstitial nephritis, and a definite glomerulonephritis complicating
IgG4-related disease is very rare. We report here a case of definite
glomerulonephritis and concurrent tubulointerstitial nephritis complicating
retroperitoneal fibrosis with a high serum level of IgG4. A 68-year-old Japanese
woman was referred to our hospital for investigation of anasarca. We diagnosed
her disease as a nephrotic syndrome and left hydroureteronephrosis due to
retroperitoneal fibrosis. Her laboratory data revealed a high serum level of
IgG4, renal injury, hypoproteinemia, hypocomplementemia, a positive finding of
circulating immunocomplex (CIC), and negative findings ofautologous antibodies
suggesting systemic lupus erythematosus (SLE) or Sjogren's syndrome (SS). A
diagnosis of SLE or SS could not be made clinically. Right renal biopsy revealed
endocapillary proliferative glomerulonephritis with crescent formation and
concurrent tubulointerstitial nephritis. Infiltration of plasma cells in
interstitium was more conspicuous than seen with ordinary tubulointerstitial
nephritis, and in most of them IgG4 was positive. We placed a percutaneous
nephrostomy catheter in her left kidney, and prescribed prednisolone and
cyclosporine. The responses to prednisolone and cyclosporine therapies were very
good. Further studies are needed to clarify the relationship between
glomerulonephritis and IgG4-related disease. However, when considering renal
lesions of IgG4-related disease, we think that hypocomplementemia, a positive
finding of CIC, negative findings of autologous antibodies suggesting SLE or SS,
conspicuous interstitial infiltration of IgG4-positive plasma cells, and a good
response to steroid or immunosuppressant therapy are key points.
PMID- 18044264
TI - Analysis of MPO-ANCA subtypes in a patient with propylthiouracil-induced
vasculitis with multiple complications.
AB - BACKGROUND: We report a 16 year-old girl with propylthiouracil (PTU)-induced
antineutrophil cytoplasmic antibody (ANCA)-positive glomerulonephritis combined
with Henoch-Schonlein purpura nephritis (HSPN) and antiphospholipid syndrome
(APS). CASE AND METHODS: The patient had Graves' disease and had been treated
with PTU for about 6 years. She complained of arthralgia, epigastralgia, purpura
of the lower extremities, anemia, and abnormal urinalysis. Lupus anticoagulant
was positive. Additionally, a high level of anti-myeloperoxidase (MPO) antibodies
(IgG) and a low level of coagulation factor XIII were recognized. She had several
complications including lung bleeding, lacuna infarctions of the right frontal
and parietal brain lobes, and deep vein thrombosis of the left lower extremity.
We studied tissue histology and carried out MPO-ANCA subtype analysis by
immunofluorescence and flow cytometry and MPO-ANCA epitope analysis. RESULTS:
Histologically, purpura showed leukocytoclastic vasculitis with perivascular
depositions of IgA and complement C3. Renal biopsy showed necrotizing
glomerulonephritis with crescents and mesangial IgA deposits. Notably, IgG, IgM,
and IgA ANCA were detected in the patient's serum by flow cytometry and
immunofluorescence. We diagnosed an overlap syndrome of ANCA-positive vasculitis,
HSPN, and APS. A change in the reactivity of MPO-ANCA from recognition of only
the Hg epitope in the C-terminal region to recognition of multiple MPO epitopes
was accompanied by a remission of symptoms. CONCLUSIONS: This report may provide
a very rare description of an overlap syndrome of PTU-induced ANCA vasculitis,
HSPN, and APS in which not only IgG ANCA but also IgA and IgM ANCA were found.
Epitope analysis may be a useful marker for disease-monitoring of PTU-induced
ANCA-positive vasculitis. This case may provide insight into the pathological
mechanism underlying each of these diseases.
PMID- 18044265
TI - Successful therapeutic use of rituximab in refractory Wegener's granulomatosis
after renal transplantation.
AB - Wegener's granulomatosis is a significant cause of end-stage renal disease
requiring renal replacement therapy. Treatment of relapses is often difficult as
immunosuppressive therapy can be limited by various factors including graft
survival in renal transplantation. Rituximab is a novel therapeutic approach in
those conditions. We present the case of a 42 year-old Caucasian woman who had
been diagnosed with Wegener's granulomatosis 15 years ago. Predominantly affected
organs were kidneys and pituitary gland. Five years later she reached end-stage
renal failure and received a renal transplant soon after. She suffered from
continuous relapses involving pulmonary hemorrhage and treatment became
increasingly difficult. Symptoms resolved soon after single administration of low
dose rituximab.
PMID- 18044266
TI - Linezolid-induced interstitial nephritis in a kidney-transplant patient.
AB - Linezolid is a recent oral antibiotic used in drug-resistant Gram-positive cocci
infections. Herein, we report on the first case of linezolid-related acute renal
failure in a kidney-transplant patient. A 60-year-old male having autosomic
polycystic kidney disease with liver involvement, on cyclosporin A, mycophenolate
mofetil and very low dose prednisolone, presented with an Enterococcus faecium
abscess of a huge liver cyst, which was treated by percutaneous drainage and
linezolid therapy. Eight days after starting linezolid, he presented with acute
renal failure, i.e. serum creatinine increased from 136- 221 micromol/l,
associated with mild hypereosinophilia, anemia and thrombocytopenia. There was no
skin rash, arthralgia, eosinophiluria or proteinuria. The transplant kidney
biopsy, performed 15 days after the beginning of linezolid therapy, showed
interstitial nephritis and focal tubular atrophy. After linezolid withdrawal and
increasing prednisolone daily dose to 20 mg/d, within a few days, serum
creatinine had decreased; after 2 and 4 weeks post linezolid withdrawal, his
serum creatinine was 166 and 159 micromol/l, respectively. Because of the
potential side effects of linezolid, i.e. myelosuppression and possibly
nephrotoxicity, we recommend close monitoring of these parameters when linezolid
therapy is attempted in kidney transplant patients.
PMID- 18044267
TI - Proteinuria disappears promptly after simultaneous kidney-pancreas
transplantation in nephrotic diabetic patients with near-normal GFR.
AB - Preemptive simultaneous kidney-pancreas transplantation (SKPT) was performed in
two patients with Type 1 diabetes and nephrotic syndrome due to diabetic
nephropathy, although the native kidneys exhibited near-normal function. Before
and 3 months as well as 12 months after SKPT S-creatinine, creatinine clearance
(Cr-Cl) and urinary protein excretion were measured. Additionally, 99mTC
scintigraphic examinations of the kidneys were performed 3 and 12 months after
SKPT. Thereby, the injected 99mTC activities were assessed in the kidney graft as
well as in the patient's native kidneys. Aim of the study was to find out the
impact of successful SKPT on proteinuria and further functioning of the patient's
own kidneys after transplantation. Indication for pancreas transplantation was
severe diabetic autonomic neuropathy and Brittle diabetes, respectively. In
Patient 1, we registered 3 months after SKPT a near-normal protein excretion of
mean 0.20 g/24-h urine at a Cr-Cl of 82 ml/ min. The scintigraphic examinations
showed 60% of the radioactivity in the kidney graft and 40% in the patient's own
kidneys (22% right and 18% left). Data 1 year after SKPT were: mean protein
excretion 0.28 g/24-h urine, Cr-Cl 78 ml/min and in the scan, furthermore, 30% of
the activity in the patient's native kidneys (16% right and 14% left). In Patient
2 after 3 months we obtained a mean protein excretion of 0.18 g/24-h urine at a
Cr-Cl of 80 ml/min. Scintigram of the kidneys: 58% of the injected activity were
measured in the kidney graft and 42% in the patient's own kidneys (22% right and
20% left). After 12 months of SKPT we measured a mean protein of 0.26 g/24-h
urine and Cr-Cl 78 ml/min. Scintigram of the kidneys: 36% of the activity was in
the patient's native kidneys (18% right and left). We conclude that in diabetic
patients with nephrotic syndrome and near-normal function of the native kidneys
SKPT leads to rapid and nearly complete diminution of proteinuria although the
residual function of the patient's native kidneys was about 40% at 3 months after
transplantation and slightly lower at 12 months after SKPT.
PMID- 18044268
TI - Prognosis of mesangial glomerulonephritis in patients with rheumatoid arthritis.
PMID- 18044269
TI - Minimal change variants with mesangial IgA deposits.
PMID- 18044270
TI - Gross hematuria as a manifestation of membranous nephropathy.
PMID- 18044271
TI - Optimism, stress, life satisfaction, and job burnout in restaurant managers.
AB - Researchers have suggested that dispositional optimism is related to both stress
and stress outcomes. However, the nature of this relationship has not fully been
explained. The authors contributed to a better understanding of this relationship
by evaluating dispositional optimism, stress, overall life satisfaction, and job
burnout in a sample of restaurant managers. Results indicated that stress and job
burnout were significantly related; however, their relationship was not moderated
by dispositional optimism, as would be suggested by the results of past research.
The diminished personal accomplishment dimension of job burnout mediated the
relationship between optimism and life satisfaction. Also, stress significantly
impacted perceptions of diminished personal accomplishment and life satisfaction.
PMID- 18044272
TI - Values most extolled in Nobel Peace Prize speeches.
AB - The authors randomly selected 50 Nobel Peace Prize speeches and content analyzed
them to determine which values the speakers extolled most frequently. The 10 most
frequently mentioned values were peace (in 100% of the speeches), hope (92%),
security (86%), justice (85%), responsibility (81%), liberty (80%), tolerance
(79%), altruism (75%), God (49%), and truth (38%). The authors discuss the
interplay of these values in the modern world and implications regarding the
search for universal moral values.
PMID- 18044273
TI - The dynamic change of self-efficacy in information searching on the Internet:
influence of valence of experience and prior self-efficacy.
AB - The authors investigated the dynamic change of specific self-efficacy in
information searching on the Internet. In Study 1, the valence of experience was
manipulated by task difficulty to obtain the developmental curve of self-efficacy
change in consecutive information-searching trials. The results indicated that
positive task experiences in information searching elicited a linear increase in
self-efficacy. In contrast, negative task experiences elicited a more rapid
decrease in self-efficacy. Self-efficacy of participants decreased to a lower
level after the first negative experience and displayed a quadratic trend toward
a floor effect. In Study 2, the authors examined the moderating effect of initial
self-efficacy on the valence of experience. The enhancement effect of positive
task experience on self-efficacy was more pronounced for individuals with lower
levels of self-efficacy, whereas the deteriorating effect of negative experience
was more prominent for individuals with higher levels of self-efficacy.
PMID- 18044274
TI - Cognitive motivation correlates of coping style in decisional conflict.
AB - Can personality traits account for the handling of internal conflicts? The
authors explored how individual differences in information-processing style
affect coping patterns displayed before making important decisions. Need for
cognition and need for cognitive closure were linked to the major tendencies
identified in the conflict theory of decision making: vigilance, hypervigilance,
and defensive avoidance (buck passing and procrastination). A sample of 1,119
Belgian human resource professionals completed the Melbourne Decision Making
Questionnaire, the 18-item short-form Need for Cognition Scale, and the Need for
Closure Inventory. Ordinary least squares regression analysis indicated that
significant relationships existed between need for cognition, need for closure,
and conflict decision-making styles. The authors also found significant effects
of gender and age.
PMID- 18044275
TI - Predicting the decision to pursue mediation in civil disputes: a hierarchical
classes analysis.
AB - Clients (N = 185) involved in civil court cases completed the CPR Institute's
Mediation Screen, which is designed to assist in making a decision about pursuing
mediation. The authors modeled data using hierarchical classes analysis (HICLAS),
a clustering algorithm that places clients into 1 set of classes and CPRMS items
into another set of classes. HICLAS then links the sets of classes so that any
class of clients can be identified in terms of the classes of items they
endorsed. HICLAS-derived item classes reflected 2 underlying themes: (a)
suitability of the dispute for a problem-solving process and (b) potential
benefits of mediation. All clients who perceived that mediation would be
beneficial also believed that the context of their conflict was favorable to
mediation; however, not all clients who saw a favorable context believed they
would benefit from mediation. The majority of clients who agreed to pursue
mediation endorsed items reflecting both contextual suitability and perceived
benefits of mediation.
PMID- 18044276
TI - Perceptions of ambiguously unpleasant interracial interactions: a structural
equation modeling approach.
AB - Despite a general consensus in the United States that overtly racist acts are
unacceptable, many ambiguous situations in everyday life raise questions of
whether racism has influenced a person's behavior in an interracial encounter.
The authors of the present study sought to (a) examine simultaneously an array of
variables thought to be related to perceived racism and (b) investigate how the
contribution of these variables may differ with respect to the asymmetry
hypothesis, which suggests that acts of discrimination from a dominant person
toward a subordinate person will be viewed as more biased than if the situation
were reversed. The authors used a dual structural equation modeling approach.
Results indicated that ethnic identity significantly predicted perceived racism.
In addition, the extent to which cognitive interpretation style significantly
predicted perceived racism depended on the ethnicity of participants involved in
the interaction.
PMID- 18044277
TI - Cecil Gray the man who revolutionized anesthesia practice.
PMID- 18044278
TI - The accuracy of non-invasive nasal capnography in morbidly obese patients after
bariatric surgery.
AB - STUDY OBJECTIVE: to assess the accuracy of nasal capnography for the monitoring
of ventilation in extubated morbidly obese patients, following bariatric surgery.
DESIGN: prospective descriptive study. SETTING: Post-anesthesia care unit.
PATIENTS: 25 consecutive morbidly obese patients admitted to the PACU after open
bariatric surgery. INTERVENTION: Patients had a nasal cannula designed to
administer oxygen (3 L/min) and to sample expired CO2 by a coaxial catheter.
MEASUREMENTS: Capnographic waveform, end-tidal CO2 (ETCO2) and respiratory rate
(RRd) were displayed by a capnometer (Datex-Ohmeda). Arterial CO2 pressure
(PaCO2) was measured by blood gas analysis. Respiratory rate was measured by
visual inspection of chest breathing motions (RRm). Differences between PaCO2 and
ETCO2 and between RRd and RRm were calculated for every simultaneous set of
measurements. RESULTS: Bias, precision, limits of agreement (bias +/- 2
precisions) between PetCO2 and PaCO2 were respectively as follows: 3.1, 1.4, 0.3
to 5.9 mmHg with a Pearson correlation coefficient of 0.6 and a P value of 0.001.
As for RRd v/s RRm the values were: 2, 0.5, 1 to 3 breaths per minute and 0.8
with the same P value for the Pearson coefficient. CONCLUSION: Limits of
agreement between PaCO2 and ETCO2 pressure and between RRd and RRm are clinically
acceptable. Nasal capnography is accurate for the monitoring of ventilation in
extubated morbidly obese patients, following bariatric surgery.
PMID- 18044279
TI - Management of the patient reporting an allergy to penicillin.
AB - Recent emphasis on the prevention of surgical wound infection has highlighted the
role of the anesthesiologist as the physician responsible for administering
appropriate antibiotic prophylaxis. Patients often report a distant or unclear
history of penicillin allergy. Administering an antibiotic to which the patient
has a true allergy can provoke a life threatening reaction. The anesthesiologist
should be aware of the prevalence, severity, and manifestations of allergies to
antibiotics, as well as the available alternatives. Unnecessary administration of
more powerful broad-spectrum antibiotics leads to the development of
antimicrobial resistance and should be avoided. It is the anesthesiologists' duty
to balance these issues when selecting appropriate antibiosis.
PMID- 18044280
TI - Early graft function and carboxyhemoglobin level in liver transplanted patients.
AB - INTRODUCTION: Heme-Oxygenase-1 catalyzes hemoglobin into bilirubin, iron, and
carbon monoxide, a well known vasodilator. Heme-Oxygenase-1 expression and carbon
monoxide production as measured by blood carboxyhemoglobin levels, increase in
end stage liver disease patients. We hypothesized that there may be a correlation
between carboxyhemoglobin level and early graft function in patients undergoing
liver transplant surgeries. METHODS: In a descriptive retrospective study, 39
patients who underwent liver transplantation between the year 2005 and 2006 at
KFSH&RC, are included in the study. All patients received general anesthesia with
isoflurane in 50% oxygen and air. Levels of oxyhemoglobin, carboxyhemoglobin and
methemoglobin concentration in percentage were recorded at preoperative time,
anhepatic phase, end of surgery, ICU admission and 24 hr after surgery. The level
of lactic acid, prothrombin time (PT), partial thrombin time (PTT), serum total
bilirubin and ammonia were also recorded at ICU admission and 24 hr after
surgery. The numbers of blood units transfused were recorded. RESULTS: 39
patients were included in the study with 13/39 for living donor liver transplant
(LDLT) compared to 26/39 patients scheduled for deceased donor liver transplant
(DDLT). The mean age was 35.9 +/- 16.9 years while the mean body weight was 60.3
+/- 20.9 Kg. Female to male ratio was 21/18. The median packed red blood cell
(PRBC) units was 4 (Rang 0-40). There was a significant increase in
carboxyhemoglobin level during the anhepatic phase, end of surgery and on ICU
admission compared with preoperative value (p<0.005). However, there was
insignificant changes in methemoglobin level and significant decrease in
oxyhemoglobin levels throughout the study period compared to the preoperative
value (p<0.005). The changes in carboxyhemoglobin level on ICU admission and 24
hrs postoperatively were positively correlated with the changes in serum total
bilirubin and prothrombin time (R = 0.35, 0.382, 0.325 and 0.31) respectively
p<0.05) but not with the changes in serum lactic acid. The same strong
correlation was found when analysing LDLT and DDLT patients separately between
carboxyhemoglobin concentration and PT and total bilirubin while still the
correlation with lactic acid was weak. There was no correlation between average
perioperative carboxyhemoglobin concentration during different timing of
measurements and average units of transfused blood (R = -0.02) p>0.05.
CONCLUSION: The changes in carboxyhemoglobin level significantly correlate with
the Changes in graft functions particularly prothrombin time and serum total
bilirubin and may be used as an early, rapid and simple test for early evaluation
of graft function.
PMID- 18044281
TI - Progressive hypoxemia, hypercarbia and hyperthermia associated with prolonged
anesthesia--a case report.
AB - The authors report a case of 66-year-old female patient, 55 kg, ASA I who, under
general anesthesia in supine position, developed gradual hypoxemia (from a
baseline PaO2 of 250 to 91 mmHg), carbon dioxide build up (from a baseline PaCO2
31 to 41 mmHg) associated with gradual hyperthermia up to 38.3 degrees C over
seven hours, intraoperatively. These observations were noted while using a semi
closed carbon dioxide absorption circuit in conjunction with the Hygroster filter
at a fresh gas flow of 4 1/min of 50% nitrous oxide in oxygen. While the
ventilation pattern was unchanged throughout the procedure, there was a change in
exhaled tidal and minute ventilation volume with a net decrease of 28 ml and 0.4
l/min respectively. Findings are probably the result of pulmonary atelecatasis
under general anesthesia due to the use of a relatively high-inspired oxygen
concentration (50%). In addition, the use of a high humidity and temperature heat
moisture exchanger (HME) filter (Hygroster) in conjunction with the circle
absorber system may have resulted in over humidification and aggravated the
pulmonary atelecatasis over the long operative time.
PMID- 18044282
TI - Effects of dexmedetomidine in morbidly obese patients undergoing laparoscopic
gastric bypass.
AB - BACKGROUND: Obese patients may be sensitive to the respiratory depressant effect
of opioid analgesics. Alternative methods for analgesia may be beneficial for
management of bariatric surgery. We evaluated the effect of dexmedetomidine on
anesthetic requirements during surgery, hemodynamic, recovery profile and
morphine use in the postoperative period. METHODS: Eighty adult patients
scheduled for elective laparoscopic Roux-en-Y gastric bypass surgery were
randomly assigned to one of two study groups; Group D (40 patients) received
dexmedetomidine (0.8-microg/kg bolus, 0,4 microg kg(-1) h) and Group P (40
patients) received normal saline (placebo) in the same volume and rate.
Intraoperative and postoperative mean blood pressure and heart rate were
recorded. The total amount of intraoperative fentanyl and propofol required to
maintain anesthesia were measured. Recovery profile, pain score and total amount
of morphine used via patient controlled analgesia (PCA) were assessed. RESULTS:
During surgery, dexmedetomidine decreased the total amount of intraoperative
fentanyl and propofol required for maintenance of anesthesia compared to placebo.
Patients who received dexmedetomidine showed significant decrease of
intraoperative and postoperative mean blood pressure, heart rate. In the
postoperative period, dexmedetomidine decreased pain scores and PCA morphine use
significantly and showed better recovery profile as compared to the placebo
Group. There was no difference in the incidence of postoperative nausea and
vomiting (PONV) between both groups. CONCLUSION: The intraoperative infusion of
dexmedetomidine decreased the total amount of propofol and fentanyl required to
maintain anesthesia, offered better control of intraoperative and postoperative
hemodynamics, decreased postoperative pain level, decreased the total amount of
morphine used and showed better recovery profile compared with placebo.
PMID- 18044283
TI - Anesthesia for laparoscopic cholecystectomy: comparative evaluation-
desflurane/sevoflurane vs. propofol.
AB - Laparoscopic techniques, have rapidly increased in popularity because of its
various benefits. They are widely used in day-case surgical operations and are
extensively published. However, postoperative nausea vomiting (PONV) is a
commonly observed phenomenon after laparoscopic procedures. Its occurrence may
increase depending on the anesthetic techniques used. Despite the fact that the
use of propofol and the new low solubility inhalation anesthetics, lead to faster
induction and recovery, their effects on PONV is not sufficiently known.
Therefore, the aim of this study is to compare the effects of various anesthetic
drugs on recovery characteristics and PONV. Following informed consent, 300 ASA I
III patients scheduled for laparoscopic cholecystectomy were investigated.
Anesthesia was induced by 1.5 microgkg(-1) fentanyl, 0.03 mgkg(-1) midazolam, 1.5
mgkg(-1) propofol and 0.01 mgkg(-1) vecuronium for all patients. Anesthesia was
maintained with desflurane in group D (n = 100), sevoflurane in group S (n = 100)
and propofol infusion in group P (n = 100), beside 50% N20/O2 ventilation. All
patients were given 4 mg ondansetron and 8 mg dexamethazone iv for preventing
PONV, ten minutes before the end of surgery. At the end of the operation, times
for extubation, eye opening, orientation, sitting and walking, and the need of
ondansetrone in post anesthetic care unit, were recorded. Also, PONV was observed
and recorded as early period (first 4 hours) and late period (4-24 hours).
Extubation and eye opening times were meaningfully lower in group D. However, no
significant differences were observed in orientation, sitting and walking times
and PONV among the three groups. All patients who had PONV were women. A
correlation was found between PONV and body weight. Even though there were no
statistically significant differences among the groups regarding PONV, the number
of patients who had PONV in group P was lower. Early recovery time was shortest
in group D, while delayed recovery time had no differences. It may be said that
these anesthetic drugs have no statistically significant difference for PONV and
delayed recovery.
PMID- 18044284
TI - Cisatracurium in cardiac surgery--continuous infusion vs. bolus administration.
AB - The aim of this study was the comparison of infusion vs. intermittent bolus
administration of cisatracurium (CA) following cardiac surgery with regard to
total intraoperative dose and time of recovery from neuromuscular blockade. From
June 2005 to April 2006 sixty ASA II-III patients who were undergoing coronary
bypass graft and valve replacement surgery, were equally divided and randomized
to receive either intermittent bolus (Group A, n = 30) or continuous infusion
(Group B, n = 30) of CA in Madani Heart Center in the Tabriz (Iran). Total
intraoperative dose of CA and time to TOF ratio = 0.8 after operation were
measured. Anesthesia technique in two groups was the same. All of the patients
underwent cardiopulmonary bypass. Intensity of neuromuscular blockade maintained
on one train-of-four (TOF) twitch response of adductor pollicis during operation.
Mean received dose of CA was 32.8 +/- 20.6 micro/kg/hr in Group A and 89.7 +/-
39.4 micro/kg/hr in Group B (p = 0.003). Total intraoperative dose of CA was 23.6
+/- 4.9 mg in Group A and 39.2 +/- 10.1 mg in Group B (p = 0.001). Spontaneous
recovery from neuromuscular blockade in ICU (TOF ratio = 0.8) was reached in 43.8
+/- 9.2 min in Group A, and 64.2 +/- 15.1 min in Group B (p = 0.0001). Intubation
time in ICU was not significantly different (Group A = 8.3 +/- 5.1 hrs vs. Group
B = 10.2 +/- 6.2 hrs, p = 0.256). These results support the intermittent bolus
administration of cisatracurium in cardiac surgery following cardiopulmonary
bypass.
PMID- 18044285
TI - The impact of music on postoperative pain and anxiety following cesarean section.
AB - BACKGROUND: The relief of post-cesarean delivery pain is important. Good pain
relief improves mobility and reduces the risk of thromboembolic disease, which
may have been increased during pregnancy. Pain may impair the mother's ability to
optimally care for her infant in the immediate postpartum period and may
adversely affect early interactions between mother and infant. It is necessary,
therefore that pain relief be safe and effective and results in no adverse
neonatal effects during breast-feeding. Music may be considered as a potential
method of post cesarean pain therapy due to its noninvasiveness and lack of side
effects. In this study we evaluated the effect of intraoperative music under
general anesthesia for reducing the postoperative morphine requirements after
cesarean section. METHODS: In a double blind placebo-controlled trial, 100 women
(ASA I) scheduled for elective cesarean section under general anesthesia, were
randomly allocated into two groups of fifty. After standardization of anesthesia,
patients in the music group were exposed to a compact disk of Spanish guitar
after induction of anesthesia up to the time of wound dressing. In the control
group patients were exposed to white music. Post operative pain and anxiety were
evaluated by visual analog scale (VAS) up to six hours after discharge from PACU.
Morphine was given intravenously for reducing pain to VAS < or = 3
postoperatively. RESULTS: There was not statistically significant difference in
VAS for pain between two groups up to six hours postoperatively (P>0.05). In
addition, morphine requirements were not different between two groups at
different time intervals up to six hours postoperatively (P>0.05). There were not
statistically significant difference between two groups regarding postoperative
anxiety score and vomiting frequency (P>0.05). CONCLUSION: As per conditions of
this study, intraoperative Spanish music was not effective in reducing
postoperative pain after cesarean section. In addition postoperative morphine
requirement, anxiety, and vomiting were not affected by the music during general
anesthesia.
PMID- 18044286
TI - Intraoperative awareness--a three year prospective study using bispectral index
monitor (BIS).
AB - Patients who experience awareness under surgery may suffer from the post
traumatic stress disorder (PTSD) with its long-lasting psychological damage. In
addition, there are also media attention and legal consequences. In spite of
understanding its causes, it is still occurring worldwide. This prospective study
was conducted to determine the incidence of awareness using the bispectral index
monitor (BIS) when its causes are eliminated. There were 2328 patients admitted
to the study. Their ages ranged from 14-104 yr (mean 38.6 yr). All patients were
interviewed in the postoperative period. There was no report of awareness during
the course of surgery. Pre-and intraoperative anesthetic attention to patients
presented for surgery, together with the use of modern anesthetic delivery units
possessing facilities for monitoring BIS, and anesthetic gases, and the provision
of good analgesia, are the most important combination in eliminating awareness
during surgery.
PMID- 18044287
TI - Analgesia for retrobulbar block--comparison of remifentanil, alfentanil and
fentanyl.
AB - BACKGROUND: The injection of retrobulbar block is associated with significant
pain and discomfort. Therefore a short-acting IV analgesic before retrobulbar
injection has been advocated. OBJECTIVE: To compare remifentanil, alfentanil and
fentanyl in providing analgesia for retrobulbar block injection. METHODS: 69
patients were enrolled randomly into three groups of 23 each to receive either
Remifentanil 1 microg/kg, Alfentanil 20 microg/kg or Fentanyl 2 microg/kg as an
IV bolus dose prior to retrobulbar injection. Mean arterial pressure (MAP) and
heart rate (HR) were recorded and Numerical Pain Score (NPS) were assessed by a
blinded observer. RESULTS: Remifentanil prevented increase in MAP and HR while
alfentanil and fentanyl were ineffective in this purpose (p < 0.05). NPS was
significantly lower in remifentanil group (p < 0.05). CONCLUSION: Remifentanil 1
microg/kg prior to retrobulbar injection provide excellent hemodynamic stability
and ensure analgesia.
PMID- 18044288
TI - Hemodynamic variation following induction and tracheal intubation--thiopental vs
propofol.
AB - BACKGROUND/AIM: Hemodynamic variations are inevitable during induction of
anesthetic drugs. The present study, investigates the hemodynamic variations of
two different drugs used for induction; Thiopental vs. Propofol. MATERIALS AND
METHODS: In a prospective randomized double-blind study, from June 2003 to
November 2004, 120 (ASA I and II) patients scheduled for elective surgery, were
randomly divided into two equal groups. Patients were premedicated with midazolam
(0.05 mg/kg) and fentanyl (1 microg/kg). Anesthesia was induced with either
thiopental 5 mg/kg (group T) or propofol 2 mg/kg (group P). Neuromuscular
blockade was achieved with atracurium (0.5 mg/kg) and anesthesia was maintained
with halothane 1%, nitrous oxide (67%) in O2. Hemodynamic variable (systolic and
diastolic blood pressure, mean arterial pressure and heart rate) were measured
non-invasively in three periods: before drug administration, immediately after
injection, prior to intubation, and finally immediately after intubation.
RESULTS: the incidence of hemodynamic changes in systolic, diastolic, mean
arterial blood pressures and heart rate were significantly higher in group T
compared to group P. CONCLUSION: We conclude that Propofol causes less
hemodynamic changes compared to Thiopental. Therefore, we recommend Propofol
especially when dealing with hemodynamically compromised patients.
PMID- 18044289
TI - Laryngeal mask insertion--effect of age on hemodynamic responses.
PMID- 18044290
TI - Reverse LMA insertion in a neonate with Klippel-Feil syndrome--case report.
PMID- 18044291
TI - Painless injection of propofol: pretreatment with ketamine vs thiopental,
meperidine, and lidocaine.
AB - Propofol, a commonly used anesthetic, often causes pain on injection. Several
methods have been described to reduce this pain, however, complete inhibition has
not been achieved. Our randomized, placebo controlled, double blind study has
been conducted to compare the analgesic efficacy of iv pretreatment of ketamine,
meperidine, thiopental, lidocaine to minimize the injection pain of propofol. 125
patients ASA I and II were randomly allocated into 5 groups and received. Group
K, ketamine 0.4 mg/kg; Group T, thiopental 0.5 mg/kg; Group M, meperidine 0.5
[corrected] mg/kg; Group L, lidocaine 1 mg/kg; Group S, saline 3 ml. All
pretreatment drugs were made into 4 ml solutions and were accompanied by manual
venous occlusion for 1 min, followed by tourniquet release and slowly IV
administration of propofol. Pain was assessed with a four point scale. All
treatment groups had a significantly lower incidence of pain than placebo group
(p <0.05). However, it has been observed that pretreatment with ketamine was the
most effective in attenuating pain associated with propofol injection (p <0.05).
For painless injection of propofol, routine pretreatment with ketamine 0.4 mg/kg
along with venous occlusion is recommended.
PMID- 18044292
TI - Admission hypomagnesemia--impact on mortality or morbidity in critically ill
patients.
AB - BACKGROUND/OBJECTIVE: No previous study exists to evaluate admission serum
magnesium level as a predictor of morbidity or mortality. The aim of this study
was to define the prevalence of admission hypomagnesemia in critically ill
patients and to evaluate its relationship with organ dysfunction, length of stay,
and mortality. METHODS: A retrospective study was done on 100 patients > or =16
years old, admitted to the medical-surgical intensive care unit (ICU) at the
University Hospital over 2 years period. Observations were made on admission
total serum magnesium level, a variety of lab tests related to magnesium, need
for ventilator, duration of mechanical ventilation, hospital/ICU lengths of stay,
and general patient demographics. RESULTS: The serum magnesium level (normal
value, 1.3-2.1 mEq/L) was measured at admission. At admission, 51% of patients
had hypomagnesemia, 49% had normal magnesium levels. There was significant
difference in mortality rate (55% vs 35%), the length of hospital (15.29 +/- 0.66
vs 12.81 +/- 0.91), or ICU (9.16 +/- 0.53 vs 5.71 +/- 0.55) stay between these
two groups of patients (p < 0.05 for all). Hypomagnesemic patients more
frequently had total hypocalcemia, hypokalemia, and hyponatremia. A total of 51
patients developed hypomagnesemia during their ICU stay; these patients had
higher Acute Physiology And Chronic Health Evaluation II (APACHE II) (14.16 +/-
1.03 vs 10.80 +/- 0.94) and Sequential Organ Failure Assessment (SOFA; 10.89 +/-
0.90 vs 7.58 +/- 5.01) scores at admission (p < 0.01 for both), a higher maximum
SOFA score during their ICU stay (14.75 +/- 0.73 vs 8.08 +/- 0.52, p < 0.01), a
more need to ventilator (58.6% vs 41.4%, p < 0.05), and longer duration of
mechanical ventilation (7.2 vs 4.7 day, p < 0.01) than the other patients. The
ROC curve of SOFA score in the hypomagnesemia yields significantly better results
than APACHE II. An increase of 5 units in the APACHE II or SOFA measured on
admission increase relative probability of hypomagnesemia by a factor of 0.12 and
0.16 respectively. CONCLUSION: Development of hypomagnesemia during an ICU stay
is associated with guarded prognosis. Monitoring of serum magnesium levels may
have prognostic, and perhaps therapeutic, implications.
PMID- 18044293
TI - Relationship between serum magnesium level and arrythmias following post-coronary
artery bypass grafting.
AB - INTRODUCTION: Atrial and ventricular arrhythmias are among the most common
complications after coronary artery bypass graft (CABG) surgery. It is known that
cardiopulmonary bypass reduces serum magnesium level. In this study, we evaluated
the relationship between total blood magnesium level (TMG) and the incidence of
perioperative arrhythmias. METHODS: TMG was measured in patients who were
scheduled for CABG on three occasions: just before anesthesia, on intensive care
unit (ICU) arrival and on the first morning after operation. Patients were
evaluated for primary cardiac rhythm, serum creatinine, urine output in operating
room and diuretic therapy. Supplemental magnesium (SMG) was also recorded in
operating room and ICU. Patients were then evaluated for the rate and kind of
arrhythmia occurring during the next 3 days. RESULTS: Mean TMG level in 170 cases
was 2.2 (0.5), 2.6 (0.6) and 2.4 (0.6) mg/dl on three occasions respectively. 53
patients developed post-operative arrhythmia (31%) [Atrial Fibrillation (AF)
(7.1%), Non-AF Supraventricular arrhythmia (14.7%) and Ventricular arrhythmia
(16.5%)]. Although there was a significant difference between TMG on three
occasions (P <0.001), all values were within normal range. Although TMG was
higher in arrhythmic patients compared to non- arrhythmics (2.26 vs. 2.14), both
values were in normal range and there was no significant difference between two
groups. DISCUSSION: This study shows that routine magnesium administration has no
significant effect on serum magnesium level. We conclude that though routine
regimen of magnesium administration has no effect on incidence of perioperative
arrhythmia, it is probably necessary for maintaining normal magnesium level.
PMID- 18044294
TI - Prolonged muscle weakness following general anesthesia in a parturient on
combined antiretroviral therapy--a case report.
AB - We report a case of an otherwise healthy; ambulatory 32 year old parturient on
combined antiretroviral therapy that developed prolonged muscle weakness needing
postoperative artificial ventilation. Despite no preoperative indication of
muscle weakness, she developed respiratory insufficiency following general
anesthesia with drugs that are deemed safe for her condition. After ruling out
all the likely causes for her respiratory insufficiency that needed 12 hrs of
artificial ventilation, we address the issue of undiagnosed preoperative muscle
weakness as a likely cause for her problem. The role of a preoperative
neurological evaluation to caution the anesthesiologist of the likelihood of a
possible need for prolonged artificial ventilation following general anesthesia
in this subgroup of patients, emphasized.
PMID- 18044295
TI - Pheumocephalus in an infant with Ohtahara syndrome--a case report.
PMID- 18044296
TI - Intervertebral disc a source of pain? Low back pain: problems and future
directions--case reports.
AB - OBJECTIVE: The objective of this article is to provide evidence supporting the
idea that intervertebral disc is a source of low back pain. SUMMARY OF BACKGROUND
DATA: Diagnostic tests currently available for diagnosis of a painful disc are
inadequate. Treatment protocols for low back pain generally ignore the presence
of a painful disc. Pathological processes that may be responsible for discogenic
pain are incompletely understood. Without diagnosis and treatment, disc
disruption evolves to advanced stages of spinal dysfunction. New treatment
modalities are becoming available which if applied early may stop disc
disruption. CASE REPORTS: We describe here two case reports where discogenic
nature of patients' symptoms was suspected based on patients' history, MRI
findings and discography. We highlight the inadequacies of spinal imaging and
discography in detecting at painful disc. A treatment (Intradiscal electrothermal
therapy) was then directed exclusively to the intervertebral discs. We provide
arguments that link discal therapy to resolution of patients' symptoms.
Resolution of patients' symptoms after the discal treatment raised our suspicion
that pain emanated from the intervertebral discs. CONCLUSIONS: Intervertebral
disc is a source of low back pain that is often ignored. No diagnostic test
currently exists that can reliably confirm presence of a painful disc. Early
diagnosis and treatment of a painful disc may reduce enormous pain and suffering
from low back pain.
PMID- 18044298
TI - Radiology physics--where to now?
PMID- 18044297
TI - Does epidural increase the incidence of cesarean delivery or instrumental labor
in Saudi populations?
AB - BACKGROUND: This is a retrospective review of the medical records of 861 patients
admitted for vaginal delivery. Patients were randomized to either epidural
analgesia or other analgesic methods of pain relief for labor pains. The primary
purpose of this study was to evaluate the effect of epidural analgesia on the
rate of cesarean section delivery. METHODS: 861 patients were admitted to Saad
Specialist Hospital for vaginal delivery between May 1, to September 30, 2006.
Patients were divided into Nulliparous (334 patients) and Multiparous (527
patients) populations. Each population was then divided into two groups, epidural
and non-epidural group. Epidural analgesia was initiated by a bolus of
bupivacaine 0.25% (6 to 10 ml) plus fentanyl (50 to 100 microg), followed by
bupivacaine 0.125% plus fentanyl (1 to 2 microg/ml) at the rate of (6 to 12
ml/h). Non-epidural analgesia was initiated by one or mixture of I.M meperidine
50 to 100 mg I.M, promethazine hydrochloride 25 mg, or Ontonox inhalers. RESULTS:
In the Nulliparous population a total of 57 patients requested epidural (the
epidural gp), while 277 patients received other analgesic methods (the non
epidural gp). There was no difference in the rate of cesarean section deliveries
between the two analgesia groups (12 patients of 57 in the epidural group
(21.1%), versus 61 patients of 277 (22%) in the non-epidural gp). In the
Multiparous population, a total of 49 patients requested epidural analgesia
(epidural gp), while 478 patients received other analgesic methods (the non
epidural gp). There was no difference in the rate of cesarean section deliveries
between the two analgesia groups (5 of 49 patients (10.2%) in the epidural group
compared to 39 of 478 patients (8.2%) in the non-epidural gp). Significantly,
more patients in the epidural group had forceps or vacuum assisted deliveries
compared to the other analgesia group (the non-epidural gp). This was evident in
both the Nulliparous population (7 of 57 patients in the epidural gp (12.3%)
compared to 13 of 277 patients (4.7%) in the non-epidural gp, p<0.05), and in the
Multiparous population (4 of 49 patients (8.2%) in the epidural gp versus only 6
of 478 patients (1.3%) in the non-epidural gp, p<0.005). Patients in the epidural
gp had significantly lower pain scores compared to the other analgesia gp.
Nulliparous reported lower pain scores during the first stage (epidural 2 +/- 2
vs non-epidural 5 +/- 4, p<0.0001) and second stage of labor (epidural 3 +/- 3 vs
non-epidural 6 +/- 3, p<0.0001). Multiparous scores were (epidural 2 +/- 2 vs non
epidural 4 +/- 3, p<0.0001) in the first stage and (epidural 3 +/- 3 vs non
epidural 5 +/- 4, p = 0.0007) in the second stage. Higher satisfaction rates were
reported in epidural groups versus the non-epidural groups. 80% of Nulliparous
women who received epidural rated their satisfaction as excellent or good versus
45% in the non-epidural group p<0.001. In the Multiparous population 77% in the
epidural versus 43% in the non-epidural groups p<0.001 reported excellent to good
satisfaction rates. CONCLUSION: Epidural analgesia is an effective method of pain
relief during labor compared to the other analgesic methods of labor pain relief,
and it does not increase the incidence of cesarean section deliveries.
PMID- 18044299
TI - Radiation protection in Australia: a thirty year perspective.
AB - This review charts the changes in radiation protection philosophy, regulation and
practice over the thirty year period 1977-2007. During this time there have been
substantial changes both internationally and in Australia. Medical physicists
have been involved, and continue to be involved, in all aspects of radiation
protection in medicine at a national, State and hospital level.
PMID- 18044300
TI - Technical developments in radiology in Australasia dating from 1977.
AB - This article outlines the enormous technological advances that have taken place
in the practice of radiology in Australasia in the 30 years since approximately
1977. These developments have led to significant improvements in image quality
across all modalities, including even general radiography, which had as its
genesis Roentgen's ground-breaking discovery of X-rays in 1895. However, nowhere
has the development been more dramatic than in magnetic resonance imaging (MRI).
This may be brought into stark reality by noting that the first MRI image of a
human finger was produced in 1976 followed one year later by that of a human
chest and the first MRI units were not installed in Australia and New Zealand
until 1986 and 1991, respectively. The quality of these early images would be
judged as laughable by today's standards where the impressive isotropic imaging
that can be achieved at sub-millimetre level by both MRI and CT could not have
been dreamed of 30 years ago. The review also highlights some challenges for the
future of the medical physics profession.
PMID- 18044301
TI - Thermoluminescence dosimetry for in-vivo verification of high dose rate
brachytherapy for prostate cancer.
AB - It was the aim of the study to verify dose delivered in urethra and rectum during
High Dose Rate brachytherapy boost (HDRBB) of prostate cancer patients. During
the first fraction of HDRBB measurement catheters were placed in the urethra and
rectum of prostate cancer patients. These contained LiF:Mg,Ti Thermoluminescence
Dosimetry (TLD) rods of 1 mm diameter, with up to 11 detectors positioned every
16 mm separated by radio-opaque markers. A Lorentzian peak function was used to
fit the data. Measurements from 50 patients were evaluated and measured doses
were compared with predictions from the treatment planning system (Plato Vs 13.5
to 14.1). Prospective urinary and rectal toxicity scores were collected following
treatment. In more than 90% of cases, the Lorentzian peak function provided a
good fit to both experimental and planning urethral data (r2 > 0.9). In general
there was good agreement between measured and predicted doses with the average
difference between measured and planned maximum dose being 0.1 Gy. No significant
association between dose and any clinical endpoints was observed in 43 patients
available for clinical evaluation. An average inferior shift of 2 mm between the
plan and the measurement performed approximately 1 hour after the planning CT
scan was found for the dose distribution in the cohort of patients for the
urethra measurements. Rectal measurements proved to be more difficult to
interpret as there is more variability of TLD position between planning and
treatment. TLD in-vivo measurements are easily performed in urethra and rectum
during HDR brachytherapy of prostate patients. They verify the delivery and
provide information about the dose delivered to critical structures. The latter
may be of particular interest if higher doses are to be given per fraction such
as in HDR monotherapy.
PMID- 18044302
TI - Peristaltic transport of small particles--power law fluid suspension in a
channel.
AB - Peristaltic motion of a non-Newtonian, incompressible power law fluid with
suspension of small particles in a two-dimensional channel is analyzed. A
perturbation method has been employed to obtain the solution of the problem under
the long wavelength approximation. The formation and growth of trapping zone are
explained for variations in amplitude ratio and volume flow rate. The phenomenon
of reflux has been studied. The behaviour of the axial velocity of fluid and
solid particles has been discussed. The speed of the suspended particles is
observed to be less than that of the fluid particles.
PMID- 18044303
TI - Computed tomography phantom for radiochromic film dosimetry.
AB - To evaluate in detail the dose distribution during computed tomography (CT), a
sheet roll CT dosimetry phantom (SRCT-P) with a radiochromic film (RF) was
experimentally developed. The SRCT-P was made by rolling up a vinyl chloride
sheet in a cylindrical shape to arbitrarily select the SRCT-P diameter, dose
measurement position, and depth. The SRCT-P centre core consisted of a plastic
hose in which a 10 mm acrylic bar with a RF was inserted. To determine the
availability of the SRCT-P, the surface and centre doses (at a 5 mm radius) at
each SRCT-P diameter (6-16 cm; every 2 cm) were measured. The ratios of the
centre-to-surface doses (D(centre)/D(surface)) systematically increased, from 80
to 111%, for decreasing SRCT-P diameters, between 16 and 6 cm, respectively. The
centre dose approached the surface dose as the SRCT-P diameter decreased. To use
a RF for a CT dose measurement, further detailed research and analysis is
necessary. However, this study has shown that a SRCT-P is useful and beneficial
for the measurement of the dose distribution during a CT examination.
PMID- 18044304
TI - A cortical-bone structural geometry phantom: dental plaster as a convenient and
radiologically similar fabrication material.
AB - Areal bone mineral density (aBMD), derived from dual-energy X-ray absorptiometry
(DXA) scanners is used routinely to infer bone strength. With DXA hip scans there
is growing acceptance of the advantages of also measuring bone structural
geometric variables, that complement conventional aBMD to improve understanding
of bone modelling, remodelling and processes of metabolic bone disease. However,
phantoms for assessing structural geometric variables from DXA scans are not
widely available, unlike those for aBMD. This study describes the development of
such a phantom, simulating the cortical shell of the human femoral neck, using
dental plaster as a material radiologically similar to cortical bone. The mass
attenuation coefficient of the dental plaster differed by < 1% from cortical
bone, over the relevant energy range. Performance testing was carried out with
DXA, to determine accuracy and precision of the phantom structural geometry,
using its dimensions and composition as 'gold standards'. Accuracy and precision
of cortical structural geometry were poor when measured in a simulated 1 mm-thick
osteoporotic cortex (5.5% precision and 50% accuracy errors), but improved with
increasing cortical thickness. This study demonstrates the limitations of DXA
based Hip Structure Analysis when applied to femora with thin cortices, and
indicates improvements in the design of a phantom to better simulate such
cortical structures.
PMID- 18044305
TI - Respiratory regularity gated 4D CT acquisition: concepts and proof of principle.
AB - Four-dimensional CT images are generally sorted through a post-acquisition
procedure correlating images with a time-synchronized external respiration
signal. The patient's ability to maintain reproducible respiration is the
limiting factor during 4D CT, where artifacts occur in approximately 85% of scans
with current technology. To reduce these artifacts and their subsequent effects
during radiotherapy planning, a method for improved 4D CT image acquisition that
relies on gating 4D CT acquisition based on the real time monitoring of the
respiration signal has been proposed. The respiration signal and CT data
acquisition are linked, such that data from irregular breathing cycles, which
cause artifacts, are not acquired by gating CT acquisition by the respiratory
signal. A proof-of-principle application of the respiratory regularity gated 4D
CT method using patient respiratory signals demonstrates the potential of this
method to reduce artifacts currently found in 4D CT scans. Numerical simulations
indicate a potential reduction in motion within a respiratory phase bin by 20-40%
depending on tolerances chosen. Additional advantages of the proposed method are
dose reduction by eliminating unnecessary oversampling and obviating the need for
post-processing to create the 4D CT data set.
PMID- 18044306
TI - On the use of Kodak CR film for quality assurance of needle loading in I-125 seed
prostate brachytherapy.
AB - Low dose rate brachytherapy using implanted I-125 seeds as a monotherapy for
prostate cancer is now in use in many hospitals. In contrast to fractionated
brachytherapy treatments, where the effect of incorrect positioning of the source
in one treatment fraction can be diminished by correcting the position in
subsequent fractions, the I-125 seed implant is permanent, making correct
positioning of the seeds in the prostate essential. The seeds are inserted into
the prostate using needles. Correct configuration of seeds in the needles is
essential in order to deliver the planned treatment. A comparison of an
autoradiograph obtained by exposing film to the seed-loaded needles with the
patient treatment plan is a valuable quality assurance tool. However, the time
required to sufficiently expose Kodak XOMAT V film, currently used in this
department is significant. This technical note presents the use of Kodak CR film
for acquisition of the radiograph. The digital radiograph can be acquired
significantly faster, has superior signal-to-noise ratio and contrast and has the
usual benefits of digital film, e.g. a processing time which is shorter than that
required for non-digital film, the possibility of image manipulation, possibility
of paper printing and electronic storage.
PMID- 18044307
TI - Continuing professional development needs of Australian radiation oncology
medical physicists--an analysis of applications for CPD funding.
AB - In November 2004, the Australian federal government allocated $775,000 to
individual Australian radiation oncology medical physicists (ROMPs) to access
continuing professional development (CPD) activities. The funding was
administered by the Australasian College of Physical Scientists and Engineers in
Medicine (ACPSEM). In order to receive funding, individuals had to submit an
application to ACPSEM, which assessed each application and distributed funds to
successful applicants. 248 separate applications were received from 143
individuals in two rounds of applications. Information from the applications was
collated and analysed, with the aim of identifying patterns that will be of use
in future planning for CPD. This paper presents a summary of the information
extracted from the analysis.
PMID- 18044308
TI - [Doppler hemodynamic study in evaluation of liver metastases].
AB - The color Doppler spectral analyses can be usefully in description of the liver
circulation as one from non-invasive methods. Using color Doppler B mod
ultrasound (Toshiba Core Vision) with duplex ultrasound we founded difference in
liver circulation between patients with colon cancer and liver metastasis in
comparison with those without metastases. We suggested this non invasive method
for early detection of occult liver metastasis presence.
PMID- 18044309
TI - [Lead point as a poor prognostic factor in the therapy of invagination in
children].
AB - Invagination is specific form of bowel opstruction that is seen in 1-4 children
per 1000 births, usually in the period from 3 to 12 months of age. In 90-95 %
reason for invagination in unknown so we called this forms idiopathic. In 5-10%
invagination is caused by specific leading point. THE AIM of this retrospective
study was to determinate prognostic valye of used biochemical tests (hemograms,
glucosa, electrolites ( levels of Na+, K+, Ca+ and Cl-) and to prove bad
influence of existing "leading point" in therapy of invagination (weather it will
be surgical or hydrostatic desinvagination). METHODS: We analised 65 patients
with invagination. We devided all our patients into 2 groups: first group
consisted patients with idiopathic forms of invagination, and the other one were
patients with invagination coused by specific leading point. RESULTS proved that
leading point in invagination has great implications on clinical presentation,
laboratory results, diagnostic and therapeutic procedure, and finaly in
prognosis.
PMID- 18044310
TI - [Comparison of granisetron and metoclopramide for prevention of nausea and
vomiting following total cystectomy and ileal conduit].
AB - The objective of this study was to examine the use of granisetron in actual
clinical practice and to compare effect of dose of 1 mg granisetron after total
cystectomy plus ileal conduit with group of patients which received
metoclopramide. Granisetron established total contol of PONV in 93,33% patients.
Granisetron is 40% more effective in PONV control than metoclopramide. Only
minimal nausea epizodes were observed in early postoperative period in patients
who had received low dose of granisetron (1 mg i.v.).
PMID- 18044311
TI - [Relation of surgical results and proposed skull base meningioma grading system:
analysis of clinical series with 42 patients].
AB - Anatomical localisation of skull base meningioma link, their growth and relations
with neurovascular structures reduce possibility for radical operation, and
offten request additional preoperative or postoperative radiotherapy. We compared
personal results of extent surgical resection and skull base meningioma treating
outcome with predispose factors scale for surgical radicality and postoperative
outcome. We present 42 patients, who were operated in Institute of neurosurgery
CCS during the period from 2004 to 2006. RESULTS: Radical resection was possible
in 29 cases, and in 13 cases reduction of tumor mass has been performed.
Statistical significant predispose factor for radical resection were: absance of
preoperative radiotherapy, intact functions of n.III, n.VI, tumor borders inside
one skull base fossa and outside of magistral blood vessels. Karnofsky index at
the end of following period statistical significant higher in patients with
radical resection of skull base meningeioma. Preoperative radiological finding is
singificant guide in planning of therapeutic protocol for skull base meningioma.
Growth of tumor and relation with neurovascular structures restrict extent of
resection and often request additional postoperative radiotherapy or reoperation.
PMID- 18044312
TI - [Reconstruction of the alae nasi by folded nasolabial flap].
AB - Reconstruction of the nose is very old surgical procedure and, in fact,
represents the beginnings of plastic surgery. In reconstruction, an effort has to
be made in order to achieve a normal look. A surgeon must choose carefully the
method of reconstruction, taking into consideration the skin color, texture and
nasal topography. Full-thickness nasal defects in alar region are very difficult
for reconstruction due to anatomic characteristics and structures, which are very
important for normal breathing and cosmetic result. Our study analyzed 16
patients with full-thickness defect of alae nasi. Folded nasolabial flaps were
used for reconstruction of these defects. Good results were achieved in all
cases. The flap was vital. No flap necrosis was reported in any of these
patients. The lining of the nose was good. Postoperatively, the alar edge was
thickened, but it became thinned after six months. The incision in donor's region
was well placed in the natural line of nasolabial fold. It was concluded that
folded nasolabial flap was extremely good one-step procedure for reconstruction
of full-thickness defects of alar region.
PMID- 18044314
TI - [Therapeutically effect of the physical procedures on the elbow contractures in
children with supracondylar humerus fractures].
AB - Supracondylar humerus fractures are the most common fracture around the elbow in
the pediatric population. These fractures in children may lead to functional
disturbance with loss or reduction of range of motion in the elbow joint. The aim
of this study was to investigate the effect of the physical therapy on the range
of the motion of the elbow joint in the post-traumatic elbow contractures in the
childhood after supracondylar fractures. We analyzed in this research 34 children
(average age 8.57+/-2.94 years) with elbow contractures that were treated by
physical therapy after orthopedic treatment. Functional outcome was presented in
degree from 1 to 3 (Flynn). The difference in the grade at the beginning and the
end of the therapy is statistically significant (t=16.38, p<0.001). 91.18 %, of
the children had excellent result. Complex of various therapeutically physical
procedures can significant improve range of motion of the elbow joint.
PMID- 18044313
TI - [Treatment of femoral shaft fractures in polytrauma patients using Mitkovic type
internal fixator].
AB - Polytrauma remains a major social, economic and medicine affliction. Successful
surgical treatment of polytrauma patient's requires an approach predicated on
prioritizing injuries. An isoleted fractures rarely poses any threat but in
association with multiple injuries, a fractures assumes greater significance.
Proper management of femur fractures in po-lytrauma can gretly reduce the
mortality and morbidity. In this work the results of the operative treatments of
the femoral shaft fractures in polytrauma patients using Mitkovic type internal
fixator (14 patients) and Kuntscher nail (9 patients) are compared. The average
patients age was 28.43 years (range 16 to 61). Internal fixation using Mitkovic
type internal fixator can be method of choose in treatment of femur fractures in
polytrauma patient's. Its application is relatively simple. It doesn't damage the
periosteal and meduullary bone vascularization, which favors osteosynthesis and
provides considerable contribution in osteogensis.
PMID- 18044316
TI - Compliance and capacity of the normal human rectum--physical considerations and
measurement pitfalls.
AB - The assessment of parameters which adequately represent rectal and neorectal
compliance is complex. Biological properties of the rectum during distension and
relaxation show significant departures from in vitro physical compliance
measurements; as much dependent upon the viscoelastic charateristics of hollow
organ deformation as upon the technique of compliance calculation. This review
discusses the pressure/volume characteristics of importance in the rectum during
distension from a bioengineering perspective and outlines the disparities of such
measurements in living biological systems. Techniques and pitfalls of newer
methods to assess rectal wall stiffness (impedance planimetry and barostat
measurement) are discussed.
PMID- 18044315
TI - Retroperitoneal endoscopic adrenalectomy vs. conventional adrenalectomy in
treatment of benign adrenal lesions--comparative analysis.
AB - Minimally invasive adrenalectomy is considered to be the standard of care for the
surgical treatment of the adrenal gland' s pathology. Since the initial report of
laparoscopic adrenalectomy in 1992 and of retroperitoneal endoscopic
adrenalectomy in 1994, it has evolved into a feasible and safe minimally invasive
procedure for benign adrenal tumors. Clinical characteristics and outcomes of 63
retroperitoneal endoscopic adrenalectomies (REAs) and 45 conventional
adrenalectomies (CAs) from 1996 to 2004 were evaluated. 61 patients underwent 63
REAs. Tumor size varied from 2 to 8 cm. Median age was 48.6 years. Median
operative time was 135 min (45-240), median intraoperative blood loss - 85 ml (30
550). The complication rate was 17.77%. Median postoperative hospital stay was 5
days (2-10). 44 patients underwent 45 conventional adenalectomies. Median age was
44.5 years (16-71). Intraoperative complication rate was 17.77%, postoperative
22.22 %, Median operative time was 120 min (75-240). Median postoperative
hospital stay was 10 days (6-21). No statistically significant difference was
established between median operative times of REA- and CA-groups (p=0.91).
Conventional adrenalectomy was associated with a significantly increased
complication rate (p= 0.009). Hospitalization was also longer after the open
tecnique (d). Introduced in 1994 and displaying all advantages of minimal access
surgery REA has become the standard of care for benign adrenal tumors.
PMID- 18044317
TI - Olfactory groove meningiomas.
AB - Meningiomas, usually histologically benign tumors, are originating from the
arachnoidal cap cells normally present intracranially in varying sites. Olfactory
groove meningeomas arise from the medline of the anterior fossa between the
crista galli and the tuberculum sellae. The most common presenting symptoms are
the visual field defects, epilepsy, and psychological change. They are often
large before they are detected because of slowly asymptomatic growing in the
interhemispheric space of the frontal lobes. This series is consisted of 29
patients suffering from olfactory groove meningiomas treated surgicaly between
May 1992 and November 2003. The surgical results and complications are presented
and analized, comparing them by the other reported series.
PMID- 18044318
TI - Congenital anomalies of the mesonephric duct and fertility.
AB - Agenesia of deferential duct and the others congenital malformations of
mesonephronical duct are often encountering condition in andrological practice.
This study presents the possibilities of reproductive medicine to restore the
male fertility and concentrates on biological and clinical aspects of
malformations of mesonephronic duct like factors for excretory male infertility.
The investigations including 104 patients (mean age 30.25+/-1.91 years old) with
congenital anomalies of mesonephronical duct with: Agenesia of mesonephronical
derivates - case with unilateral agenesia of the deferent duct, kidney and left
urether (n=1); Dysgenesia of the epididymis (n=5); Cysts of the epididymis
(n=47); Agenesia of the deferential duct (n=48); Aplasia of the eja-culatorial
duct (n=3). The following methods were used: open testicular biopsy by Vilar;
objective findings in situ operation; bilateral epididymo - and
deferentovesiculography; morphological specimens of the testicular and epididymal
tissues; enzymohistochemical analysis of testicular specimens; the ejaculates and
morphological analysis of spermatozoa were assessed for quality parameters by the
standard protocol; enzymocytological research of spermatozoa in seminal fluid;
biochemical analysis of seminal fluid fructose and citric acid concentrations;
radioimmunoassay for measurements of blood FSH and testosterone concentration.
RESULTS: Most often observed localization of the malformations of mesonephronic
canal is bilateral at 54.81%. The testicular specimens proved preserved
testicular architecture and spermatogenesis, and the epididymal slides showed
dilatation of the epididymal tubules, which were overfilled with a lot of
spermatozoa. The strongest expression of the enzymichistochemical NADH-TR
reactions is in the Sertoli cells. We observed spermatozoa with disrup-ted
configuration among which the abnormalities in sperm head and acrosome were
predominated. The biochemical analysis of seminal fluid proves normal citric acid
and low or lack of fructose concentration in seminal fluid. There were no
breaches in endocrine regulation of the reproductive process. Patients with
congenital anomalies of mesonephronic canal have preserving fertility in 31.73%.
There are morpho-functional disorders of the testes, epididymis and seminal ducts
in 68.27%. CONCLUSION: There are normal spermatogenesis but definite sterility.
These results necessitate an application of plastic reconstructive operation for
recovery of fertilizing ability of the patients, or their involvement in ICSI
programme.
PMID- 18044319
TI - [The role of functional sinus surgery for treatment of antrochoanal polyp].
AB - Antrochoanal polyp (ACP) is a benign lesion which arises from the mucosa of
maxillary sinus, fills it and expands through natural ostium towards choana
protruding in the epipharynx. OBJECTIVE: to present our experience in endoscopic
surgery of ACP. MATERIAL AND METHODS: prospective study included 24 patients
operated at the Institute of Otorinolaryngology and Maxillofacial surgery,
Clinical Center of Serbia, Belgrade, in the period 2002-2004. Subjective
symptoms, endoscopic and CT findings were evaluated postoperatively, following up
the patients in the period 12-20 months. Subjective difficulties of patients were
tested by 100mm-analogue scale, while endoscopic and CT findings were
demonstrated by three-stage scale from 0 to 2. Applying the technique of ACP
extraction in Trendelenburg's position and approaching the part which protrudes
in the epipharynx, we successfully removed the endonasal part by means of curved
forceps for epipharyngeal biopsy. By endoscopic middle meatotomy, the natural
ostium of maxillary sinus was expanded and pathological process from the very
sinus was successfully removed. RESULTS: only one female patient had the majority
of symptoms after the operation, while all others had no complaints. Endoscopic
and CT findings were normal in all patients at the latest control, without any
signs of ACP recurrence. There were no intraoperative or postoperative
complications. CONCLUSION: minimal damage to sinus mucosa due to forceps is
lesser problem than complications developed upon creating even the miniature
opening in the canine fossa. Using this technique, a dexterous surgeon may
successfully extract patho-logical process with minimal incidence of recurrence,
and, more important, with no complications and maximally fast recovery of
patients.
PMID- 18044320
TI - [Amputation of the lower eyelid in the therapy of eyelid tumors].
AB - In carefully selected cases of tumors of the lower eyelid (tumors which destroy
more than 40% of the eyelid margin and are not higher then 3 mm, in old patients
in bad general condition and in the cases of multiple microrecurrences of a
tumor) authors suggest simple excision of a tumor without reconstruction of a
eyelid. It is an effective method of treatment of the tumor with good functional
effects and acceptable cosmetic results.
PMID- 18044321
TI - [Endometriosis in cesarean section surgical scar].
AB - INTRODUCTION: Endometriosis is the presence of endometrial glands and stroma
outside of uterine cavity. It may occur in the abdominal wall scar after the
operation in which uterus was opened. In cesarean section scar it occurs in 0.4%.
It is in 2/3 patients characterised with triad of: tumor, periodic pain
associated with menses and history of cesarean section. The mechanism of
endometriosis occuring in the cesarean scar is felt to be secondary to iatrogenic
transplantation of endometrium or extrauterine decidual tissue into the incision
during the cesarean section. CASE OUTLINE: Forty years old patient with tumor
4,5x4 cm that appeared in abdominal wall scar one year after second cesarean
section, followed by periodic pain and macroscopic changes associated with
menses. First diagnosis was granuloma in the surgical scar, but as she had
periodic simptoms, diferential diagnosis was endometriosis. Hormonal therapy with
contraceptiv drugs was ordered. As it was no improvement she was operated. The
surgical excision of the tumor including fascia and muscle tissue was done.
Sample revealed endometrium after histopathologic examination. Patient was
complitely recoverd and without relepse of simptoms during followup to date.
CONCLUSION: When there is a tumor in the cesarean section scar or scar after the
operation in which uterus or ovarial tube was opened, followed with periodical
pain and macroscopic changes associated with menses, endometriosis should be
considered. Surgical excision of the tumor is sufficient and patohistological
examination confirms diagnosis.
PMID- 18044322
TI - [Treatment of unstable closed tibial shaft fractures by external fixation].
AB - The fracture of tibia shaft is one of the most common fracture of long bones. The
authors bring out the results of the treatment of 90 patients with unstable
closed tibial shaft fractures treated by the use of Mitkovic external fixation
systems. Within the analayzed group there were 66 (73%) male and 24 (27%) female.
The average patients age was 43.9 years (range 15 to 82). Excellent result in
treatment of unstable closed tibial shaft fractures with external fixation were
achieved in 15 (16,7%) patients, very good in 38 (42,2%) patients, good in 24
(26,7%), poor in 9 (10%) and bad in 4 (4,4%) patients. With 4 (4,4%) patients
pseudoarthrosis was formed. Additional treatment of patients with pseudoarthrosis
(spongioplastic and placing of CD device) led to pseudoarthrosis healing. Within
the analyzed group in all patients treated with extenal fixation there were no
registered cases of postoperative osteitis. External fixation by the use of
Mitkovic external fixation is one of the method of choose in the treatment of
unstable closed tibial fractures, providing dynamic and balanced biomehanical
conditions for fracture healing.
PMID- 18044323
TI - Treatment of emergency surgical complications of kidney transplantations.
AB - Surgical complications subsequent to the kidney transplantation are not
infrequent and they are characterized by the high percentage of graft loss (596
18% in standard surgical procedures and up to 37% in atypically performed
transplantations). The study included 311 transplanted patients (206 (66.2%)
living donors and 105 (33.8%) cadaver donors). Surgical complications developing
during the immediate posttransplantation period as well as during the late period
(after a year and a half) were classified as: I urinary complications; II
vascular complications; III other complications. In majority of the cases urinary
complications (urinary fistulas, ureteral obstructions, vesico-ureteral reflux)
as well as other complications (cholecystopancreatitis and lymphocele) did not
necessitate urgent treatment, unlike most of the vascular complications. All the
vascular complications (29/311) developed during the immediate postoperative
period, except for occurrence of arterial stenoses which ensued later on, while
the development of symptoms was rapid. Severity of both symptoms and clinical
picture necessitated urgent surgical re-intervention in order to preserve the
graft and patient's life. Vascular complications were classified as: true
vascular complications, hemorrhages and kidney ruptures in order to distinguish
technical and other factors contributing to development of the complications.
Onset of the true vascular complications related to the graft and recipient blood
vessel changes was evidenced in 20 patients (69%/29 patients) while the incidence
of hemorrhages and ruptures was considerably lower (14%/29 patients and 17%/29
patients). As for the true vascular complications, vascular stem thrombosis
subsequent to cadaveric transplantations was the most frequent, and
transplantectomy was performed in all the cases in absence of any lethal
outcomes. Two cases with iliac artery rupture resulted in graft loss subsequent
to urgen exploration. In all cases with hemorrhages the applied therapy resulted
in positive responses, except in one case in which massive gastrointestinal
hemorrhage led to lethal outcome. The response to the urgent surgical treatment
of spontaneous kidney graft ruptures was positive in 60% of the cases, while in
the remaining 40% transplantectomy was necessitated due to the extensiveness of
the lesion in order to preserve patient's life.
PMID- 18044324
TI - ["I am sorry, I have bad news... "- principles in informing patients on the
gravity of a disease and the treatment].
AB - The discovery of the appearance of a serious disease, and the necessity for
diagnostics, treatment and rehabilitation, particularly when malignity is
involved, represents exceptionally stressful news for the patient and his family.
Most often this is a task for the physician. In this paper the authors consider
the meaning of bad news in unexpected life events which significantly disturb the
individual psycho-social ballance of the patient, as well as the familly dynamics
and structure. It presents a review of available literature on the subject of the
definition of so-called "bad news", the development of approaches in
announcement, and current practical approaches and models which assist physicians
in helping suffering patients in professional and humane ways. It points out
inadequacies in the education of phisicians for this kind of task, and the
variety of approaches. With the aim of improving the education of phisicians, and
especially clinicians in surgical fields, the authors recommend a number of
educational programs: education in the area od psychological and psychiatric
aspects of learning of a serious disease, including acute reactions such as
depressive states, reactive psychotic states, consumption of alcohol, etc.;
education in the area of the family life cycle, and the effect of the disease as
an unexpected life crisis on the family dinamic; education in the area of
fundamental principles of psycho-and pharmaco-therapy, as well as the provision
of support to the patient and his familly; training in the skills of announcing
bad news to the patient and his familly through the explanatory presentation of
the case and supervised simulation of sessions.
PMID- 18044325
TI - [Medicolegal aspects in gastroenterology].
AB - INTRODUCTION: Medical practice is under continual public scrutiny. There are
increasing concerns on medical malpractice and its consequences - further
deterioration of health and death. Public criticism of medical practice very
often outrageous, but sometimes medical negligence is obvious. AIM OF THE STUDY:
We are presenting basic medicolegal analysis of causation in cases of medical
malpractice with subsequent fatal outcome. CASE STUDY: We are reporting on two
cases from archives of Institute of Forensic Medicine. The selected cases
(biliary ileus and pseudomembranous colitis subsequent to elective
cholecistectomy) may reflect common gastroenterological pathology. The analysis
of medical practice and its consequences, i.e. analyse of causation has been
presented and commented in regard to the pertinent legislation. CONCLUSION:
Doctors ought to be familiar with medicolegal aspects of their practice. This
might affect them to improve the quality of health care, and to increase
protection, both of health care personal and patients.
PMID- 18044326
TI - [Current opinion in epidural analgesia influence on incidence of complications
after major abdominal surgery].
AB - Review article summarizes current knowledge of general and epidural anaesthesia
combination for major abdominal surgery and incidence of postoperative
complications. Continuous epidural local anaesthetics especially through thoracic
placed epidural catheter decrease opioids use and as part of "acute
rehabilitation" plays important role in postoperative recovery. Most of the
studies showed tion is not dependent on kind of anaesthesia and analgesia.
Successfully treated postoperative pain prevents chronic postoperative pain,
which is best achieved in abdominal surgery with thoracic epidural use.
PMID- 18044327
TI - [Perspective of mechanical ventilation of lungs].
AB - Mechanical ventilation of lungs during the long history has gone through
different phases, which have been associated with technological and scientific
development of its time. This is the most complex process and in spite of many
progress it does not solve all problems, maintained of respiratory homeostasis,
especially in patients with difficult lungs damage. Therefore, there is an
existing need for further development of mechanical ventilation of lungs. Over
the past time, a number of innovative approaches or adjuncts to mechanical
ventilation have been actively researched. Many of these have shown some promise
in improving our ability to provide ventilatory support. Which of these
innovations will outlast the future? Based on actual development at innovations
of mechanical ventilation, it can be assumed that it will be: protective lung
ventilation, special form of gas exchange, weaning from mechanical ventilation,
noninvasive and home use ventilators, development in fallowing patient's
condition and pharmacotherapy development.
PMID- 18044328
TI - Primary adrenal hydatid cyst: a case report.
AB - A rare case of primary adrenal hydatid cyst is reported in a 56-year-old male.
The cyst was discovered incidentally. The only symptom was hypertension. Partial
excision of the gland and pericystectomy were performed. Surgical treatment was
also therapeutic for the hypertension.
PMID- 18044329
TI - Allantoic remnants presenting as a giant retroperitoneal cyst.
AB - Urachal anomalies are usually found in early childhood or just after birth. These
usually involve patent ductus urachus, urachal cyst, umbilical-urachal sinus or
vesicourachal diverticulum. Very rarely are urachal anomalies found in adults,
usully as an infected urachal cyst. We are presenting a case of surgically
removed giant urachal retroperitoneal cyst that was found by chance during the
abdominal ultrasound examination of a 22 year old man who was initially treated
for idiopathic hypertension.
PMID- 18044330
TI - A case of transitional cell bladder carcinoma prolapsed from the external
urethral orifice: a 6-year follow-up after percutaneous suprapubic bladder.
AB - A 30-year-old female was admitted in emergency with acute urinary retention due
to bladder tumour prolapsed from the external urethral orifice. The patient
underwent immediate endoscopic surgery via suprapubic percutaneous approach. The
histological examination confirmed a superficial highly differentiated
transitional cell bladder carcinoma. During the 6-year follow-up no evidence of
local recurrence or metastatic tumour spread was revealed. The comprehensive
Medline search confirmed that this is the fourth case of bladder tumour prolapsed
from the external urethral orifice, reported so far. The case deserves the
interest of practising urologists because of the exceptional rarity of the entity
and the unconventional treatment approach which involves using a percutaneous
suprapubic endoscopic technique. Based on the results achieved, we can recommend
this unconventional endoscopic approach as specifically indicated in certain
situations.
PMID- 18044331
TI - [Massive GIST of the stomach--case report].
AB - Gastrointestinal stromal tumors (GIST) are rare mesenchimal neoplasmas of the
gastrointestinal tract. The diagnosis of this tumors are oftenly very difficult.
Patients with this tumor are ussualy addmited to the hospital cause of the
gastrointestinal bleeding, abdominal pain, abdominal distension, disphagia,
obstructive jaundice and bowel obsstruction. In this case report, we present a 86
year old patient with massive GIST of the stomach which was not preoperatively
diagnosed.
PMID- 18044332
TI - Evaluation and management of traumatic rupture of the corpus cavrnosum.
AB - Fracture of the penis, or rupture of the corpus c avernosum is an uncommon
injury, but probably under-reported entity. Only approximately 180 cases have
been reported in the literature. Penile fracture with urethral injury is even
more uncommon, accounting for approximately 10 to 20% of the cases reported.
Early reports on this injury suggest conservative therapy as the choice of
treatment. Recent reports emphasize immediate surgical repair to prevent late
sequelae of injury, especially those associated with urethral rupture. We review
5 cases with evaluation, treatment and followup. Delays in treatment lead to long
term complications.
PMID- 18044333
TI - [The role of the magnetic resonance in diagnosis of multiple myeloma].
AB - Multiple myeloma comprises syndromes and specific laboratory findings associated
with monoclonal proliferation of plasmocytes usually secreting monoclonal
protein, ultimately leading to the bone destruction. One of the main diagnostic
methods is conventional X-ray of the skeleton. Assessment of bone destruction is
mandatory to order a patient to Salmon and Durie clinical staging system.
Magnetic resonance (MR), especially sequences surveying the whole skeleton, is
the most precise diagnostic tool in imaging of bone marrow and pathologies
within. It is a more sensitive, specific and safer method than conventional
radiography, which is particularly suitable for detection of secondary osteolytic
changes Pattern and intensity of infiltrations in MR is an independent prognostic
factor and has been implemented in the modernized clinical staging of multiple
myeloma. Similarily, resolution of infiltration in MR due to the treatment is
considered as independent prognostic factor. Due to its multiple advantages MR
should be a settled diagnostic standard in multiple myeloma.
PMID- 18044334
TI - [Influence of the radiofrequency current on the left ventricular systolic
function].
AB - Radio frequency catheter ablation (RFCA)--induced myocardial injury is caused by
the thermal, electrical and biological effects of RF current. AIM OF THE STUDY:
To assess the changes in the left ventricular systolic function following RFCA.
MATERIAL AND METHODS: Ejection fraction (EF) and shortening fraction (FS) were
assessed in 24 pacing patients (10 females in age 44-79 years, mean age 64.8 +/-
11 years) before and 24 hours after successful RFCA of an atrio-ventricular node
area due to medical refractory chronic atrial fibrillation. RESULTS: The mean
number of RFCA applications was 5.5 +/- 5.0, total application time--237.5 +/-
188 sec, cumulative energy--8916.7 +/- 6886.2 J and temperature measured at the
tip of electrode--59.6 +/- 4.90 degrees C. We did not find the changes in EF and
FS after the ablation. In addition, a non-significant correlation between the
left ventricular systolic function and the cumulative RFCA energy was documented.
CONCLUSIONS: Non-significant correlation between the left ventricular
contractility and the cumulative RFCA energy was observed.
PMID- 18044335
TI - [Laparoscopic cholecystectomy for acute cholecystitis].
AB - Laparoscopic cholecystectomy is considered the "gold standard" for the planned
treatment of symptomatic cholecystolithiasis. Currently this method is used in
88% of cholecystectomy according to world database. There are evidences that
laparoscopic cholecystectomy for acute cholecystitis is a quite safe method which
is not connected with many complications. AIM OF THE STUDY: Assessment of the
post-operative complication risk and hospitalization time in cases of acute
cholecystitis had undergone laparoscopic cholecystectomy in comparison with
classic cholecystectomy. Evaluation of non-specific inflammation process based on
C-reactive protein (CRP) levels in patients' serum analysis. MATERIAL AND
METHODS: Eighty patients (58 women and 22 men) aged 22-74 (mean 53.6) were
included to the study. They were undergone cholecystectomy in the Department of
Gastroenterological, Oncological and General Surgery because of acute
cholecystitis. There were two groups of patients: 38 patients who underwent
laparoscopic cholecystectomy (group I) and 42 patients who underwent "classic"
cholecystectomy (group II). No statistical differences of clinical and
demographic characteristics were found in the studied groups. There was also the
third group of patients distinguished in the study - the control group of 42
patients who underwent "planned" laparoscopic cholecystectomy because of chronic
cholecystolithiasis. The patients were qualified for the groups I and II in
accordance with randomization rule. The CRP-level of the patients' serum was
evaluated in the group I and II twice: before and in the 2nd day after
cholecystectomy. RESULTS: The mean operating time was significantly shorter in
the group I (laparoscopic cholecystectomy) compared with the group II ("open"
cholecystectomy) 63.24 and 86.31 minutes respectively (p < 0.05) and 58 minutes
in the control group. The mean period of hospital stay was also significantly
shorter in the group I (4.12 day) compared with the group II (7.19), (p < 0.05),
however there was no statistically significant difference between group I and the
control group (3.1 day). The level of serum CRP measured before surgery was not
significantly different in groups I and II although in the 2nd day after the
operation statistically significant difference between studied groups was
obtained: patients who were undergone laparoscopy (group I) had a lower level of
serum CRP. CONCLUSIONS: Laparoscopic cholecystectomy is a safe method of
treatment both acute cholecystitis and chronic cholecystolithiasis.
PMID- 18044336
TI - [Comparison of test with antigen VlsE (C6) with tests with recombinant antigens
in patients with Lyme borreliosis].
AB - In diagnosis of Lyme borreliosis classic, recombinant antigens are used.
Introduced recombinant antigen VlsE increases hope to improve sensibility of the
tests. AIM OF THE STUDY: Serological results detecting antibodies against
Borrelia burgdorferi, recombinant antigens in both classes and test with VlsE
were compared. MATERIAL AND METHODS: Quick ELISA C6 Borrelia Assay Kit (U.S.A.)
for both classes simultaneusly were compared with two tests composed of
recombinant antigens Borrelia IgG recombinant, Borrelia IgM recombinant
(Biomedica, Austria)separate for IgM i IgG antibodies. Patients with borreliosis
as eryhtema migrans were evaluated (n=36) and group with chronic Lyme arthritis
(n=68). RESULTS: Statistical coincidence between results of test ELISA C6 and
presence of antibodies IgM (p < 0.0001) and IgG (p < 0.0001) obtained in tests
based on recombinant antigens in group erytema migrans. In group Lyme arthritis
coincidence (p < 0.0002), with IgM antibodies was stated. CONCLUSIONS: Test ELISA
C6 (with protein VlsE-C6) in group of patients with Lyme borreliosis had
statistically essential predictive values of presence antibodies in IgM i IgG
class, stated in tests performed separately for IgM and IgG classes with
recombinant antigens. Tests based on conservative part of protein VlsE (C6),
detecting antibodies in both classes are very promising in diagnosis of early
stages Lyme borreliosis.
PMID- 18044337
TI - [Cilliary neurotrophic factor in tick-borne encephalitis].
AB - THE PURPOSE OF THIS STUDY: To evaluate concentrations of cilliary neutrophic
factor (CNTF) in serum and cerebrospinal fluid of patients with tick-borne
encephalitis (TBE) and bacterial meningitis. MATERIAL AND METHODS: 49 patients
(14 females and 35 males), aged 19 to 62 were examined. Patients were divided
into three groups: group I--23 patients (47%) with diagnosed TBE, group II--16
patients (33%) with bacterial meningitis and 10 (20%) healthy individuals as
control group. The examination was performed twice before and after 4-weeks
treatment. In achieved results CNTF concentration in serum from group I and II in
both examinations was significantly higher compared to control group. RESULTS:
Patients with TBE showed higher serum CNTF concentration compared to group with
bacterial meningitis in both examinations as well. In examination 1 cerebrospinal
fluid CNTF concentration of both groups was significantly higher in comparison to
control group. Examined cytokine CSF concentration was higher in group with
bacterial meningitis. After treatment CNTF concentration decreased significantly
in group I and II. In group I CNTF concentration was comparable to control group.
CONCLUSION: Concentration of CNTF in csf could be used as a marker of the
inflammatory process in the central nervous system.
PMID- 18044338
TI - [Clinical forms of neuroborreliosis among hospitalized patients in the years 2000
2005].
AB - THE AIM OF THE STUDY: To evaluate the frequency of clinical forms as well as
laboratory and neuroimaging results of patients with diagnosed neuroborreliosis
in the years 2000-2005 due to neuroborreliosis. MATERIAL AND METHODS: The records
of 125 patients at the age of 21-83 (mean 49 years) treated in the years 2000
2005 in the Department of Infectious Diseases and Neuroinfections, Medical
University, Bialystok were subject to retrospective analysis. Diagnosis was based
on case history along with a clinical picture and presence of antibodies against
Borrelia burgdorferi, using ELISA test (Borrelia IgM and Borrelia IgG recombinant
Biomedica). The subject of the detailed analysis was demographic data, clinical
symptoms as well as subjective complaints, results of neurological examinations,
the results of cerebrospinal fluid (CSF) parameters and results of serologic
tests. RESULTS: The most frequent clinical symptoms observed were: headaches 71%,
vertigo 44%, meningeal symptoms 22% and neurological paresis 27% (including
facial palsy--23%). Inflammatory changes in CSF in the form of increased proteins
concentration and pleocytosis were present among 34% of patients. In all cases
the antibodies against B. burgdorferi were present in CSF in diagnostically
significant titer. Serum presence of antibodies antiborrelia IgM was found with
55% of patients and anibodies antiborrelia IgG with 76% of patients. 17% of
patients suffering from neuroborreliosis were also coinfected with tick-borne
encephalitis virus. Along with the neurological symptoms, which were crucial to
diagnosis, general symptoms coexisted, such as: weakness 35%, arthralgia 54% and
nausea 17%. In the analyzed period of time neuroborreliosis was diagnosed in a
13% of hospitalized patient suffering from borreliosis. CONCLUSIONS: Absence of
erythema migrans does not exclude existence of neuroborreliosis. Symptoms that
may suggest presence of neuroborreliosis are not only neurological symptoms such
as facial palsy, but also memory and concentration disorders and general
symptoms.
PMID- 18044339
TI - [Polymorphism Lys751Gln of XPD gene and breast cancer risk in women].
AB - Polymorphisms in DNA repair genes resulting in variation of DNA repair efficiency
may therefore be associated with cancer risk. AIM OF THE STUDY: In the present
work the distribution of genotypes and frequency of alleles of the Lys751Gln
polymorphism of XPD gene in subjects with breast cancer were investigated.
MATERIAL AND METHODS: Genomic DNA isolated from 92 breast cancer patients and
control (n=110) was used to genotype XPD Lys751Gln by means of polymerase chain
reaction and restriction fragment length polymorphism (PCR-RFLP) analysis.
RESULTS: The distribution of the genotypes of the Lys751Gln polymorphism of XPD
in both control and patients did not differ significantly (p > 0.05) from those
predicted by the Hardy-Weinberg distribution. There were no significant
differences (p > 0.05) in genotype distributions and allele frequencies between
subgroups assigned to histological stage. CONCLUSIONS: The results suggest that
the Lys751Gln polymorphism of XPD gene may not be linked with appearance and
development of breast cancer.
PMID- 18044340
TI - [The influence of delivery and perinatal risk factors on the concentration of
cystatine C in umbilical cord blood].
AB - Cystatin C--a low molecular protein, recognized as a sensitive marker of
glomerular filtration rate and inhibitor of the lisosomal proteolitic enzymes in
the organism. It is produced continuously and released to biological fluids. The
concentration of cystatin C in the cord blood is higher and more diverse than in
the peripheral blood with children and adults. THE AIM OF THIS STUDY: To
determinate the range of the concentrations cystatin C in the umbilical cord
blood and to research the influence of delivery and perinatal risk factors on
concentration of cystatin C in cord blood. MATERIAL AND METHODS: The study
included 444 newborns born by spontaneous deliveries and cesarean sections. In
both subgroups, term neonates, preterm and some amount of newborns with
additional perinatal risk factors (hipotrofia, infection, asphyxia) were found.
Clinical evaluation, blood tests and USG examination were performed. Blood cord
samples were analyzed entirely in the neonates with normal USG image of urinary
tract was normal. RESULTS: The mean cystatine C concentration in umbilical cord
blood were 1.65 +/- 0.44 mg/l. There were not differences in the mean cystatin C
concentration between term and preterm newborns without additional risk factors.
The most important factor influencing cystatin C concentration appeared to be the
kind of delivery In neonates born by spontaneous delivery mean cystatin C
concentration was significantly higher (1.82 mg/l) than in neonates born by
cesarean sections (1.48 mg/l). Mean cystatin C concentration was strongly
correlated with a lactic acid concentration and a length of delivery.
CONCLUSIONS: Statistically higher concentrations of cystatine C were found in
neonates born by spontaneous delivery. Laktacidemia is probably one of the
factors responsible for elevation of cystatin C concentration in the cord blood.
Higher cystatine C level can be recognized as a mechanism protecting the neonate
from local or/and systemic proteolytic activity.
PMID- 18044341
TI - [Effect of anthocyanins from Aronia melanocarpa on blood pressure, concentration
of endothelin-1 and lipids in patients with metabolic syndrome].
AB - THE AIM OF THE STUDY: To estimate the influence of anthocyanins from Aronia
melanocarpa on blood pressure, concentration of endothelin-1 (ET-1), serum
lipids, fasting glucose, uric acid and membrane cholesterol in erythrocytes of
patients (pts) with metabolic syndrome (MS). MATERIAL AND METHODS: The study
comprised 22 healthy volunteers and 25 pts with MS treated with anthocyanins (3 x
100 mg/d) for 2 months. Waist circumference (> or = 80 cm for women and > or =94
cm for men), triglicerydes (TG) level >150 mg/dl (1.7 mmol/l), cholesterol-HDL
(HDL-C) level < 40 mg/dl (1.0 mmol/l) for men and <50 mg/dl (1.3 mmol/l) for
women, systolic blood pressure (SBP) >130 mmHg and/or diastolic blood pressure
(DBP) >85 mmHg were inclusion criteria for patients with MS. Before and after 2
months of treatment the following parameters were determined: SBP, DBP, serum
lipids (total cholesterol--TC, cholesterol LDL--LDL-C, cholesterol HDL--HDL-C, TG
-by enzymatic method), membrane cholesterol in erythrocytes (method of IIcy), ET
1 (immunoenzymatic method), fasting glucose level was (colorimetric method), uric
acid (enzymatic--colorimetric method). RESULTS: After two months therapy of
anthocyanins from Aronia melanocarpa in comparison with baseline it was observed
a significant decrease of: SBP (144.20 +/- 9.97 vs. 131.83 +/- 12.24 mmHg, p <
0.001) and DBP (87.20 +/- 9.9 vs. 82.13 +/- 10.33 mmHg, p < 0.05), TC (242.80 +/-
34.48 vs. 227.96 +/- 33.07 mg/dl, p < 0.001), LDL-C (158.71 +/- 35.78 vs. 146.21
+/- 34.63 mg/dl, p < 0.01), TG (215.92 +/- 63.61 vs. 187.58 +/- 90 mg/dl, p <
0.05), ET-1 (2.44 +/- 0.51 vs. 1.74 +/- 0.42 pg/ml, p < 0.001) and membrane
cholesterol (4.85 +/- 0.65 vs. 2.81 +/- 0.54 mmol/Lpc, p < 0.001), uric acid and
fasting blood glucose levels did not change significantly after study cessation.
CONCLUSIONS: The results of our study show that anthocyanins from Aronia
melanocarpa may be of benefit to patients with MS as for as atherosclerosis
prevention is concerned. It seems to result from anthocyanins influence on blood
pressure, serum lipid and endothelin-1 level.
PMID- 18044342
TI - [Concomitant myeloid sarcoma and plasma cell myeloma--case report of a hitherto
undescribed association of two neoplasms].
AB - A 65-year-old male patient initially presented with a penile tumour, identified
as myeloid sarcoma. Subsequent bone marrow investigation revealed the presence of
a synchronous plasma cell myeloma, without any evidence of a bone marrow-based
myeloproliferative disorder. Although the course of both neoplasms was
progressive, with increasing bone marrow involvement by the plasma cell myeloma
and cutaneous dissemination of the myeloid sarcoma, both neoplasms remained
confined to their original tissue compartments. Different biology of both
tumours, including markedly divergent response to therapies, contributed to a
significant clinical dilemma and finally to the patient demise 16 months after
the presentation. While the association of plasma cell myeloma and various
myeloid leukaemias is well documented, this is a first report of simultaneous
occurrence of a myeloma and a myeloid sarcoma.
PMID- 18044343
TI - [Melatonin in the treatment of atherosclerosis].
AB - Atherosclerosis is currently concerned as a chronic inflammatory process, which
is response to an endothelial damage. Therapy of atherosclerosis should influence
on various mechanisms. Substances which can prevent and treat this disorder are
still being investigated. Melatonin exerts anti-inflammatory and antioxidative
properties, which implies that it can be useful in the treatment of
atherosclerosis. Melatonin neutralizes ROS (reactive oxygen species), increases
antioxidative enzymes activities and glutathione levels, prevents electron
leakage from mitochondrial respiratory chain, acts synergistically with vitamins
C, E, and glutathione. Melatonin reduces levels of proinflammatory cytokines: IL
6, IL-12, TNF-alpha, IFN-gamma. In vivo studies and experiments on animals
melatonin exerts beneficial effect on serum lipids, prevents LDL oxidation,
decreases TBARS levels, increases total antioxidant capacity. However, some
studies suggest that melatonin can exert atherogenic effects in animals. Clinical
studies on patients who are in risk of atherosclerosis development are required.
PMID- 18044344
TI - [The influence of melatonin on human reproduction].
AB - This paper reviews the possible participation of melatonin in the process of
human reproduction. The results of several studies have shown the clear
correlation between melatonin and gonadotropins and/or sexual steroids, which
suggest that melatonin may be involved in the sexual maturation, ovulation or
menopause. Decreased secretion of melatonin which coexists with increased
fertility in the summer is specific for women living on the north hemisphere.
Moreover, abnormal levels of melatonin in the blood are associated with several
disorders of the hypothalamus-pituitary-gonads axis activity, i.e., precocious or
delayed pubertas, hypogonadotrophic or hypergonadotrophic hypogonadism or
amenorrhoea. Melatonin binding sites have been demonstrated in the central
nervous system (mainly in the pars dystalis of the pituitary and hypothalamic
suprachiasmatic nucleus) as well as in the reproductive organs, e.g., human
granulosa cells, prostate and spermatozoa. Melatonin can, therefore, influence
the gonadal function indirectly--via its effect on gonadotropin-releasing hormone
and/or gonadotropins secretion. It may also act directly; several data show that
melatonin can be synthesized in gonads.
PMID- 18044345
TI - [Reactive oxygen and nitrogen species in inflammatory process].
AB - Reactive oxygen species (ROS) are generated in every cell during normal
oxidation. The most important ROS include: superoxide anion (O2*-), hydroxyl
radical (OH*), hydroperoxyl radical (HO2*), hydrogen peroxide (H2O2) and singlet
oxygen ((1)O2*-). Reactive oxygen species can react with key cellular structures
and molecules altering their biological function. Similarly reactive nitrogen
species (RNS) such as nitric oxide (NO) or peroxinitrite anion (ONOO-) have
physiological activity or reacts with different types of molecules to form toxic
products. ROS and RNS are important in process of energy generation, lipids
peroxidation, protein and DNA oxidation, nitration, nitrosation or nitrosylation
and catecholamine response. Reactive oxygen/nitrogen species are neutralized by
enzymatic activity or natural antioxidants that stop the initial formation of
radicals. Overproduction of ROS or RNS results in "oxidative" or "nitrosative"
stress which contributes to variety of pathological processes typical for
different cancer, neurodegenerative, viral, toxic or inflammatory diseases.
PMID- 18044346
TI - [CFQoL questionnaire as an assessment tool of quality of life in patients with
cystic fibrosis].
AB - The number of studies assessing quality of life, QOL, in patients with cystic
fibrosis is quite small in world's and Polish literature, when compared with
explosion of research concerning that topic in other disorders of the respiratory
system. It is due to the fact that usage of general questionnaires or measures
specific for other respiratory diseases turns out to be insufficient in the case
of cystic fibrosis. Therefore, studies aimed at development and utilization of
specific quality of life measures in cystic fibrosis are recommended. In this
paper, quality of life measurement tools in cystic fibrosis and rules of their
application are presented. Attention was paid to CFQoL, English questionnaire by
Gee et al., which was validated as a quality of life measurement tool in cystic
fibrosis (adults and adolescents). CFQoL, which Polish research proved to be a
reliable tool as far as its psychometric parameters were concerned, was
characterized here.
PMID- 18044347
TI - [Immunopathology of Lyme arthritis].
AB - Lyme borreliosis (Lyme disease) is the most prevalent tick-borne disease caused
by spirochaetes of the Borrelia species complex. Arthritis is one of the common
manifestations of B. burgdorferi infection. The pathomechanism of articular
changes in Lyme arthritis has not yet been elucidated. Histopathological studies
of synovia and immunological changes are similar to rheumatoid arthritis. In the
early stage of inflammation B. burgdorferi interact with polynuclear granulocytes
and epithelial cells, triggering production of reactive oxygen species, lipid
peroxidation products and other inflammatory mediators. The imbalance between
anabolic and catabolic processes in inflamed joints results in the progressive
destruction of articular cartilage and disintegration of extracellular matrix.
Molecular mimicry between OspA (outer surface protein A) and adhesion molecule
LFA-1alpha seems to be responsible for chronic arthritis.
PMID- 18044348
TI - [Parathyroid carcinoma].
AB - Parathyroid carcinoma is a rare endocrine tumour accounting for only about one of
every hundred cases of primary hyperparathyroidism. The aetiology of this cancer
remains obscure but the recent studies have identified that some gene mutations
may be involved in its pathogenesis. Most patients with parathyroid carcinoma
suffer from symptoms related to marked hypercalcemia; the incidence of associated
renal, bone, gastrointestinal, neuromuscular and psychological symptoms is much
more frequent than in those with benign parathyroid adenomas. The course of
patients with parathyroid carcinoma is variable. However, in more than 50% cases,
patients experience persistent or recurrent disease due to regional or distant
disease. The treatment of parathyroid malignancy is predominantly surgical,
comprising an initial en bloc resection of the tumour and adjacent neck
structures. Several studies have suggested the usefulness of pharmacotherapy in
the palliative treatment of the debilitating symptoms of hypercalcemia. The aim
of this paper is to summarise the present state of knowledge on the aetiology,
clinical presentation, diagnosis and treatment of parathyroid carcinoma.
PMID- 18044349
TI - [Problems connected with sexual activity in patients with heart disease].
AB - The paper presents some basic data on sexual activity in patients with heart
disease. The most typical problems of people with stable angina or after
myocardial infarction connected with sexual intercourse have been presented.
Modulation of risk of heart attack during sexual activity and main problems of
sexual dysfunction after acute coronary syndromes have been described.
PMID- 18044350
TI - [Developmental toxicity of naproxen].
AB - Naproxen is a nonsteroidal antiinflammatory drug (NSAID) with an
antiinflammatory, analgesic, antipyretic and tocolytic activity. Due to high
therapeutical potency and good adult toxicological profile it is available as
over-the-counter (OTC) drug. Experimental studies indicated that naproxen
inhibited ovulation and readily passed the placental barrier. However, its
developmental toxicity is not fully defined. Similar to other NSAIDs, naproxen
causes constriction of the ductus arteriosus and fetal anuria or oliguria that
could let to pulmonary arterial hypertension and oligohydramnion, respectively.
It may also induce lip and/or palate clefts and cardiac malformations, especially
the ventricular septal defect.
PMID- 18044351
TI - [Changes in bones in the aging males].
AB - The report contains a summary of results on bone changes in aging male, presented
during the 2nd CSSAM/ISAM North American Congress on the Aging Male. It was shown
that age-related osteoporosis can be slowed in men by substitutive treatment with
testosterone. Taking into account such therapy, one should remember about its
adverse effects.
PMID- 18044352
TI - Sustainability--will it remain a dream?
PMID- 18044353
TI - Wastewater disinfection alternatives: chlorine, ozone, peracetic acid, and UV
light.
AB - Disinfection tests were carried out at pilot scale to compare the disinfection
efficiency of ozone, sodium hypochlorite (NaOCl), peracetic acid (PAA), and UV
irradiation. Total coliforms, fecal coliforms, and Escherichia coli were
monitored as reference microorganisms. Total heterotrophic bacteria (THB) were
also enumerated by cytometry. At similar doses, NaOCl was more effective than
PAA, and its action was less affected by contact time. The results obtained by
ozonation were comparable for total coliforms, fecal coliforms, and E. coli. On
the contrary, some differences among the three indicators were observed for
NaOCl, PAA, and UV. Differences increased with increasing values of the
disinfectant concentration times contact time (C x t) and were probably the
result of different initial counts, as total coliforms include fecal coliforms,
which include E. coli. The UV irradiation lead to complete E. coli removals, even
at low doses (10 to 20 mJ/cm2). Total heterotrophic bacteria appeared to be too
wide a group to be a good disinfection indicator; no correlation was found among
THB inactivation, dose, and contact time.
PMID- 18044354
TI - Biological solids reduction using the Cannibal process.
AB - A laboratory study of the Cannibal process was undertaken to determine if the
Cannibal system would generate less sludge compared with a conventional activated
sludge system. Side-by-side sequencing batch reactors were operated--one using
the Cannibal configuration and the other as conventional activated sludge. It was
found that the Cannibal process generated 60% less solids than the conventional
activated sludge system, without any negative effect on the effluent quality or
the settling characteristics of the activated sludge. The oxygen uptake rate for
the centrate from the Cannibal bioreactor showed that readily biodegradable
organic matter was released from the recycled biomass in the Cannibal bioreactor.
It is proposed that the mechanism for reduced solids from the Cannibal system is
that, in the Cannibal bioreactor, iron is reduced, releasing iron-bound organic
material into solution. When the Cannibal biomass is recirculated back to the
aeration basin, the released organic material is rapidly degraded.
PMID- 18044355
TI - The effect of wastewater treatment on antibiotic resistance in Escherichia coli
and Enterococcus sp.
AB - The effects of wastewater treatment on the proportion of Escherichia coli and
Enterococcus sp. resistant to specific antibiotics were investigated at two
facilities in Davis County, Utah, one of which received hospital waste. Samples
were taken from the influent, effluent before disinfection, and secondary
anaerobic sludge digester effluent. There was very little difference in
antibiotic resistance among E. coli in the inflow waters of the plants but the
plant receiving hospital waste had a significantly higher proportion of
antibiotic resistant Enterococcus. The effect of wastewater treatment on
antibiotic resistance was more pronounced on enterococci than E. coli. Although
some increases in antibiotic resistance were observed, the general trend seemed
to be a decrease in resistance, especially in the proportion of multidrug
resistant Enterococcus sp.
PMID- 18044356
TI - Synthesis of polyhydroxyalkanoates in municipal wastewater treatment.
AB - Biologically derived polyesters known as polyhydroxyalkanoates (PHAs) represent a
potentially "sustainable" replacement to fossil-fuel-based thermoplastics.
However, current commercial practices that produce PHA with pure microbial
cultures grown on renewable, but refined, feedstocks (i.e., glucose) under
sterile conditions do not represent a sustainable commodity. Here, we report on
PHA production with a mixed microbial consortium indigenous to an activated
sludge process on carbon present in municipal wastewaters. Reactors operated
under anaerobic/aerobic and aerobic-only mode and fed primary solids fermenter
liquor maintained a mixed microbial consortium capable of synthesizing PHA at 10
to 25% (w/w), while reducing soluble COD by approximately 62 to 71%. More
critically, an aerobic batch reactor seeded from the anaerobic/aerobic reactor
and fed fermenter liquor achieved approximately 53% PHA (w/w). Results presented
suggest that environmentally benign production of biodegradable polymers is
feasible. We further used PHA-rich biomass to produce a natural fiber-reinforced
thermoplastic composite that can be used to offset advanced wastewater treatment
costs.
PMID- 18044357
TI - Nitrogen removal from urban stormwater runoff through layered bioretention
columns.
AB - Bioretention is a low-impact technology used for the treatment of stormwater
runoff in developed areas. The fates of mineral nitrogen compounds in two
bioretention columns (RP1 and RP2) with different media-layering characteristics
were investigated under multiple loadings of simulated urban runoff. The
immediate capture of nitrogen was evaluated, with nitrogen transformation
reactions that occurred during the drying periods between rainfall events. A
greater proportion of ammonium was removed from runoff in RP2 (68 +/- 16%), which
had a high permeability layer over a lower permeability layer, than in RP1 (12 +/
6%), which had the inverse configuration. Both column systems demonstrated
nitrate export (9 +/- 32% and 54 +/- 22% greater than input for RP1 and RP2,
respectively), attributed to washout of nitrate resulting from nitrification
processes between runoff loading events. Bioretention media with a less permeable
bottom soil layer could form an anoxic/anaerobic zone for promoting
nitrification/denitrification processes.
PMID- 18044358
TI - Effects of sludge properties on the thickening and dewatering of waste activated
sludge.
AB - The thickening and dewatering of waste activated sludge, from a pilot-scale
submerged membrane bioreactor and two bench-scale, complete-mix activated sludge
reactors (high-shear and low-shear aeration) treating the same municipal primary
effluent, were investigated. Solids settling and compaction were measured using
the diluted sludge volume index (DSVI) analysis and a batch centrifugation
analysis, respectively. Elevated levels of filamentous microorganisms resulted in
higher DSVI values and lower centrifuged pellet concentration. Elevated levels of
nocardioform bacteria resulted in lower solids float concentrations, and higher
colloidal material reduced solids recovery in batch flotation experiments. Sludge
filterability, measured as time-to-filter, was shown to be a function of
extracelluar polymeric substances and colloidal material, where higher levels of
either reduced sludge filterability. Additional research is necessary to confirm
these results using full- or demonstration-scale thickening and dewatering units.
PMID- 18044359
TI - Enhanced removal of lead(II) and cadmium(II) from water in alum coagulation by
ferrate(VI) pretreatment.
AB - A laboratory study demonstrated that ferrate pretreatment significantly enhanced
lead and cadmium removal in alum coagulation, under the conditions of natural
surface water. The enhancement of lead removal was approximately 21 to 37% by
ferrate pretreatment at a dosage of 1 to 5 mg/L. The enhanced removal of cadmium
by ferrate pretreatment at a dosage of 1 to 5 mg/L exceeded the removal by alum
coagulation alone 2-to 12-fold. Cadmium is much more difficult to remove than
lead in alum coagulation. The performance of ferrate in enhancing the removal of
lead and cadmium in alum coagulation was better than that of ferric chloride. The
removal of lead and cadmium was highly pH-dependent, following the general trend
of higher pH being related to higher removal. Satisfactory removal of cadmium
could be expected by ferrate pretreatment combined with adjusting the pH of the
water.
PMID- 18044360
TI - Degradation of X-ray contrast media compounds by combined ozone and ultrasound.
AB - The aqueous degradation of iodinated X-ray contrast media (ICM) by the
combination of ozone and ultrasound has been studied. Experiments were conducted
at a constant ultrasound frequency of 20 kHz, at five power densities up to 0.235
W/mL, and various ozone centrations. In experiments involving dissolved ozone in
solution, the addition of ultrasound significantly decreased the oxidation
performance of the dissolved ozone, while the combination of dissolved oxygen and
ultrasound gave a greater oxidation performance than ultrasound alone. However,
the combination of gaseous ozone and ultrasound was found to give a higher degree
of compound degradation than either ozone or ultrasound alone. In the experiments
with final effluent, the degradation of ICM compounds by gaseous ozone and
ultrasound was found to depend on the ozone dose applied. The degradation of ICM
compounds in final effluent was modeled, which was found to moderately
overestimate the observed compound degradation.
PMID- 18044361
TI - Determination of growth rate and yield of nitrifying bacteria by measuring carbon
dioxide uptake rate.
AB - Nitrifier growth parameters--the maximum growth rate (microAmax) and yield (YA)-
were estimated by measuring the rate of carbon dioxide uptake and additional
rates of oxygen uptake and ammonia (or nitrite) use. Batch tests in a combined
titrimetric and offgas analyzer with enriched Nitrobacter and Nitrosomonas
cultures and an activated sludge sample were performed. The measured microAmax
values for the Nitrobacter and Nitrosomonas cultures were 0.67 +/- 0.03 day(-1)
and 0.54 +/- 0.09 day(-1), while the YA values were 0.072 +/- 0.01 g volatile
suspended solids (VSS) x g nitrogen (N)(-1) and 0.14 +/- 0.02 gVSS x gN(-1),
respectively. For the activated sludge sample, microAmax was observed to increase
with pH (microAmax = 0.72 x 3.3(pH-7.1)) over the range 6.8 to 7.1. All microAmax
and YA values determined by this method were similar to those previously
reported. Compared with other microAmax and YA estimation methods, this method
allows for unique microAmax and YA estimations for given conditions from a single
experiment.
PMID- 18044362
TI - Biodecolorization of the azo dye Reactive Red 2 by a halotolerant enrichment
culture.
AB - The decolorization of the azo dye Reactive Red 2 (RR2) under anoxic conditions
was investigated using a mesophilic (35 degrees C) halotolerant enrichment
culture capable of growth at 100 g/L sodium chloride (NaCl). Batch decolorization
assays were conducted with the unacclimated halotolerant culture, and dye
decolorization kinetics were determined as a function of the initial dye,
biomass, carbon source, and an externally added oxidation-reduction mediator
(anthraquinone-2,6-disulphonic acid) concentrations. The maximum biomass
normalized RR2 decolorization rate by the halotolerant enrichment culture under
batch, anoxic incubation conditions was 26.8 mg dye/mg VSSxd. Although RR2
decolorization was inhibited at RR2 concentrations equal to and higher than 300
mg/L, the halotolerant culture achieved a 156-fold higher RR2 decolorization rate
compared with a previously reported, biomass-normalized RR2 decolorization rate
by a mixed mesophilic (35 degrees C) methanogenic culture in the absence of NaCl.
Decolorization kinetics at inhibitory RR2 levels were described based on the
Haldane model (Haldane, 1965). Five repetitive dyeing/decolorization cycles
performed using the halotolerant culture and the same RR2 dyebath solution
demonstrated the feasibility of biological renovation and reuse of commercial
strength spent reactive azo dyebaths.
PMID- 18044363
TI - Screening for mercury in aqueous environmental samples and urine samples using
thin layer chromatography.
AB - A method for screening based on thin layer chromatography (TLC) comprising silica
gel 'G' as a stationary phase and benzene as a mobile phase was found to be most
suitable for the detection of mercury in aqueous samples and spiked human urine,
without digesting the samples. A broad range for the detection of mercury, from
20 microg/L (20 ppb) to 1000 mg/L (1000 ppm), was established, by optimizing the
experimental conditions. In urine samples, mercury could be detected also, at
levels as low as 50 microg/L (50 ppb) or above. Mercury was detected by
complexation with dithizone followed by TLC, also in the presence of other heavy
metals, including arsenic, cadmium, lead, copper, iron, zinc, and nickel. The
method is simple, cheap, and has no interference of the matrix present in the
natural water and aqueous industrial effluent samples obtained from the field.
Further, no sophisticated instrument is needed for the detection of mercury.
PMID- 18044364
TI - Winning the battle for accurate, updated surgeon preference lists.
PMID- 18044365
TI - Implant makers make deal with prosecutors.
PMID- 18044366
TI - Making the break from 5 x 7 pref cards.
PMID- 18044367
TI - OR logistics: learning from FEDEX.
PMID- 18044368
TI - VHA project improves OR processes.
PMID- 18044369
TI - Knowing when to stop: DNR in the OR.
PMID- 18044370
TI - Benchmarking GI endoscope data.
PMID- 18044371
TI - Spine surgery migrates to outpatient setting.
PMID- 18044372
TI - An advanced spine surgery center.
PMID- 18044373
TI - Health illiteracy.
PMID- 18044374
TI - Kudos for the new AHA endocarditis prevention guidelines.
PMID- 18044375
TI - Possible connection periodontal bacteria has with systemic conditions.
PMID- 18044376
TI - Curing the silent epidemic: caries management in the 21st century and beyond.
AB - Caries is the most prevalent disease of children and is epidemic in some
populations. A risk-based approach to managing caries targets those in greatest
jeopardy for contracting the disease, as well as provides evidence-based
decisions to treat current disease and control it in the future. This paper
outlines key concepts necessary to effectively manage and reduce caries based on
the most current science to date. Subsequent articles will outline a roadmap to
success in curing dental caries.
PMID- 18044377
TI - Caries risk assessment appropriate for the age 1 visit (infants and toddlers).
AB - This article discusses caries management by risk assessment for children age 0-5.
Risk assessment is the first step in a comprehensive protocol for infant oral
care. The program includes opportunities to establish a "dental home" and provide
guidance for improved health outcomes. Risk assessment forms, instructions for
use, and guidance-related education points have been included. Collaboration
among all health professionals regarding early and timely intervention to promote
children's oral health and disease prevention is emphasized.
PMID- 18044378
TI - Caries risk assessment in practice for age 6 through adult.
AB - The aim of this article is to present a practical caries risk assessment
procedure and form for patients who are age 6 through adult. The content of the
form and the procedures have been validated by outcomes research after several
years of experience using the factors and indicators that are included.
PMID- 18044379
TI - Clinical protocols for caries management by risk assessment.
AB - This article seeks to provide a practical, everyday clinical guide for managing
dental caries based upon risk group assessment. It is based upon the best
evidence at this time and can be used in planning effective caries management for
any patient. In addition to a comprehensive restorative treatment plan, each
patient should have a comprehensive caries management treatment plan. Some sample
treatment plans are included.
PMID- 18044381
TI - Dental Spa-ahhhhh.
PMID- 18044380
TI - Products--old, new, and emerging.
AB - The paradigm shift in understanding the etiology, prevention, and treatment of
dental caries requires an understanding of the dental products that are currently
available to assist the clinician in prudent recommendations for patient
interventions. The purpose of this review is to present the evidence base for
current products and those that have recently appeared on the market.
PMID- 18044382
TI - The ICP: infection prevention and control practitioner or infection prevention
and control professional?
PMID- 18044383
TI - Single-use device reuse risks.
AB - Efforts to reduce both costs and medical waste have led many health systems to
start reusing single-use medical devices (SUDs) after cleaning and sterilizing
(i.e. reprocessing). There is a currently a wide range of SUD types being reused
in many health systems. The objective of this paper is to provide a brief summary
of risk issues associated with critical SUDs, based on a rapid review of the
available literature. The specific focus is on risk issues, but includes
discussion of economic and legal/ethical issues as well. The evidence in the
literature regarding the safety of reuse of SUDs indicates that for certain
devices (e.g. heart catheters) reuse can be safe (in terms of patient infection)
and cost-effective as long as stringent reprocessing protocols are followed.
However, potential risks associated with reusing SUDs are not just limited to
infection of patients. There are staff and environmental risks, plus important
legal, ethical, and financial issues to consider in a reuse policy. There are
currently no Canadian guidelines on reuse or reprocessing SUDs, although a
national Scientific Advisory Panel on Reprocessing of Medical Devices has made
recommendations. Additionally, reuse of SUDs is interwoven with the issue of
infection control and reprocessing procedures in general and as applied to
multiple-use devices. With limited healthcare resources, there will always be a
trade-off between the human resources and costs required to clean and sterilize
reused devices with costs associated with purchasing and disposing of non-reused
SUDs. Evaluation of complete operational pathways, especially for more expensive
and commonly used SUDs, will be useful to properly determine the balance of
benefits, risks, and costs under a reuse policy.
PMID- 18044384
TI - Acinetobacter baumannii in casualties returning from Afghanistan.
AB - Military personnel returning from Afghanistan and entering Canadian hospitals may
be infected with multidrug resistant Acinetobacter baumannii. The Public Health
Agency of Canada, in conjunction with the Canadian Forces, have developed an
alert to inform hospitals of the potential for importation of Acinetobacter
baumannii, and the appropriate precautionary measures that should be taken to
prevent secondary spread within hospitals.
PMID- 18044385
TI - Paramedic services workplace program improves influenza immunization rates among
paramedics.
PMID- 18044386
TI - Identification badges: a potential fomite?
AB - BACKGROUND: Staff identification badges are mandatory in all hospitals. The
purpose of this study was to assess microbial contamination of identification
badges at a Canadian tertiary centre. Risk factors for badge contamination were
also investigated. METHODS: Badges were cultured from 118 subjects including
secretaries, physicians, nurses, and allied health workers. Subjects also
completed a demographic questionnaire. Badge contamination was analyzed according
to profession, workplace, duration of badge use, presence of a plastic cover, how
the badge was worn, and cleaning frequency. RESULTS: 13.6% of the badges were
contaminated with significant pathogens. S. aureus was isolated in 6.8% of the
badges, gram-negative bacilli in 5.9%. Contamination was highest in nurses (21.4%
versus 9.4-14.3% in other professions) and in the ICU (22.6% versus 8.3%-14.3% at
other locations). Neither association was statistically significant. Covered and
non-covered badges had similar contamination rates (12% and 17.1%) as did badges
worn around the neck compared with those worn clipped to clothing (13.0% versus
14.6%). Contamination of recently cleaned badges was not statistically different
from those that had not. CONCLUSION: Identification badges do not appear to be a
major reservoir for pathogenic organisms. Badges can, however, harbour disease
causing organisms and should be cleaned regularly.
PMID- 18044387
TI - Drama: a venue for staff education.
PMID- 18044388
TI - Interference. Worst part of the best job in the world.
PMID- 18044389
TI - The power of polite. How something so simple can have a far-reaching impact.
PMID- 18044390
TI - Overseas delivery. Severe symptoms signal possible danger on an arriving
international flight.
PMID- 18044391
TI - Situational syncope. Why people faint, and what to do about it.
PMID- 18044392
TI - Managing a major incident. Essential elements of a successful outcome.
PMID- 18044393
TI - Bug patrol. Basic principles of cleaning, decontamination and disinfection for
EMS.
PMID- 18044394
TI - NHTSA releases EMS pandemic influenza guidelines.
PMID- 18044395
TI - Do you have an exposure control plan?
PMID- 18044396
TI - Should we fear the pandemic? New technology may make quick work of pandemic
influenza.
PMID- 18044397
TI - Prehospital pharmacology: anti-emetics.
AB - Although there is a paucity of literature on anti-emetic administration in the
prehospital setting, paramedic delivery of an appropriate agent according to
protocol can decrease the time to treatment for hundreds of thousands of
emergency department patients annually who access 9-1-1 and present with nausea
and vomiting.
PMID- 18044398
TI - The meth lab menace. What responders should know about these dangerous
environments.
PMID- 18044399
TI - Beyond the basics: endocrine emergencies. Part 2: hypothyroidism and myxedema
coma.
PMID- 18044400
TI - Straight to the heart. By understanding the heart's anatomy, you can understand
cardiac disorders and terminology.
PMID- 18044401
TI - Smooth handoffs. How to put the team focus back into ALS/BLS interactions.
PMID- 18044402
TI - [Pathogenesis of irritable bowel syndrome: current understanding].
AB - Irritable bowel syndrome is one of the most frequent functional bowel disorders.
The pathogenesis of the condition is not completely understood, but an alteration
of the neural circuits that regulate the sensory-motor homeostatic reflex
responses to visceral stimuli is involved. The causes of this alteration are
unknown and include both genetic and environmental factors, such as a previous
gastrointestinal infection. A more precise definition of the pathogenesis of the
condition might help to develop more effective treatments to correct the altered
sensitive and motor reflex responses in the patients.
PMID- 18044403
TI - [Immigration and hepatitis B virus in Italy. Current state and perspectives].
AB - This work underlines as many people arrive in our country by migratory phenomena
and as many of them come by areas, particularly Sub-Sahara Africa, endemic for
HBV infection. This could determine a higher prevalence of this infection in our
area. The most part of subjects could be infected by a non-D genotype (genotype D
is prevalent in Italy) characterized by a different natural history and response
to antiviral therapies with the necessity of a different clinical and therapeutic
approach.
PMID- 18044404
TI - [Acute and prolonged infectious diarrheas, of microbial and viral etiology:
methods of clinical and microbiological diagnosis].
AB - The Authors relate clinical-microbiological criteria for a rational diagnosis of
acute and prolonged enteritis, distinguishing between home and imported
diarrheas. During 2005, 381 subjects (192 children and 189 adults) with acute
diarrhea and 110 subjects (16 children and 94 adults) with prolonged diarrhea
were examined. In the first group Salmonella prevailed in 11.1% of cases (10.9%
among children and 11.1% among adults); Campylobacter in 9.2% (respectively 8.9%
and 9.5%); other bacteria were identified in 3.2% of cases (1.0% and 3.8%
respectively). Rotavirus were observed in 29.5% of children and Adenovirus in
6.2% of pediatric population. Pathogenic protozoa were observed in 1.6% of people
(0.5% in children and 2.7% in adults). Among second group pathogenic protozoa
prevailed in 6.4% (6.3% in children and 6.4% in adults); toxin A of C. difficile
were detected in 8.5% of total cases. The Authors emphasize the importance to
investigate always for Salmonella, Shigella and Campylobacter; in children with
vomiting (with acute non invasive enteritis) is necessary to investigate for
Rotavirus too; if the diarrhea is prolonged could be important investigate for
toxin A/B of C. difficile and for protozoa with specific stains. Based on
clinical and epidemiological findings other pathogens could be researched, if
possible for own resources.
PMID- 18044405
TI - [Infliximab in moderate to severe steroid-dependent or steroid-refractory
ulcerative colitis].
AB - Tumor Necrosis Factor alpha plays a main role in ulcerative colitis. Thirteen
male and 8 female affected by moderate to severe steroid-dependent or refractory
severe ulcerative colitis were treated with 5 mg/kg of infliximab (Remicade). At
12 week efficacy, steroid-sparing, colectomy and side effects were evaluated. In
steroid-dependent group (13 patients): 8 patients had a clinical benefit (7
obtained a clinical remission, 54%), 8 (61.5%) discontinued steroids, 1 patient
underwent surgery. In steroid-severe refractory group (8 patients): 3 patients
(37.5%) had a clinical remission, 2 (25%) had a clinical response and 3 (37.5%)
underwent colectomy. One mild infusion reaction and one adverse event (itch) were
observed. Infliximab is an effective and safe therapy in patients with moderate
to severe steroid dependent and refractory ulcerative colitis.
PMID- 18044406
TI - [Lipomatosis of interatrial septum and supraventricular arrhythmias].
AB - Lipomatosis of interatrial septum is a rare benign disorder characterized by fat
accumulation into interatrial septum. It may cause atrial arrhythmias and
hemodynamic complications. For this reason, it should be considered in
differential diagnosis of other cardiac masses. We report the typical case of
lipomatosis of interatrial septum and atrial flutter.
PMID- 18044407
TI - [Physicians working in internal medicine's ward: a survey on their role in the
management of the gastrointestinal endoscopy services in Emilia Romagna].
AB - We carried out a survey on the role played by the Wards of Internal Medicine in
the management of the Gastrointestinal Endoscopy (G.E.) Services operating in
Emilia Romagna. 45 G.E. Services were censored, for a total of 225 endoscopists:
105 out of them (46.7%) were gastroenterologists and 84 (37.3%) were surgeons,
whereas just 35 (15.6%) were working in Internal Medicine's Ward and 1 (0.4%) was
working in a Division of Geriatrics. A significant difference was observed
analyzing the composition of the G.E. staffs on the basis of the size and
activity of G.E. Services (p < 0.001). Physicians working in Wards of Internal
Medicine represented 9.2% and 24.5% of the endoscopists in the hospitals with or
without a Gastroenterology Unit, respectively (p = 0.003). Based on these
results, the physicians working in Internal Medicine's Ward seem to play a
secondary role in G.E. Services. Their role is greater in small hospitals, but
they are often compelled to operate under suboptimal circumstances.
PMID- 18044408
TI - [Familial intrahepatic cholestasis. Novel advances].
AB - In the last years hepatology has known remarkable improvement to understanding
the mechanisms involved in familial intrahepatic cholestasis. The role of genetic
anomalies is very important. Identification of genes involved in familial
intrahepatic cholestasis has proven to be an important strategy to unravel the
processes of bile acid synthesis and bile salt transport. The complexity of the
mechanisms of bile flow clearly suggests that many more genetic abnormalities
have yet to be identified.
PMID- 18044409
TI - [Treatment for Helicobacter pylori: current criteria].
AB - H. pylori eradication following standard triple therapies is decreasing
worldwide, mainly due to an increased prevalence of bacterial resistance against
antibiotics. Therefore, to cure such an infection remains a challenge for
clinicians. This paper aimed to review the currently available therapeutic
approaches, for which large and consistent data exist in literature, in order to
update H. pylori management in the clinical practice. According to the updated
European Guidelines, the first-line therapy should be chosen based on the
prevalence of clarithromycin resistance. A 7-day triple therapy should be
employed if clarithromycin resistance is lower than 15-20%, whilst this regimen
should be prolonged to 14 days where resistance is higher. A 7-day quadruple
therapy is suggested as second-line treatment. However, quadruple therapy is no
more available in Italy. According to the forthcoming Italian Guidelines, a new
"therapeutic package" could be used, including a 10-day sequential regimen as
first-line therapy and a 10-day levofloxacin-based regimen as re-treatment. The
sequential regimen (5-day dual plus 5-day triple therapy) achieved an eradication
rate constantly >90% in several Italian studies, being more effective than
standard triple therapy, even in patients with clarithromycin resistant strains.
PMID- 18044410
TI - [Pathophysiology of cholangiocytes: recent advances].
AB - A bulk of researches performed in the last decade highlighted the extraordinary
biological properties of cholangiocytes involved in a number of important
processes such as bile formation, proliferation, injury repair, fibrosis,
angiogenesis and regulation of blood flow. Cholangiocytes proliferation is a key
mechanism capable of conditioning the evolution of liver damage. Proliferating
cholangiocytes, in fact, acquire the phenotype of neuroendocrine cells and
secrete different substances which represent the tools of cross-talk with other
hepatic cells. Recent advances on the molecular and cell biology of
cholangiocytes are opening new potential therapeutic perspectives for the human
chronic liver diseases.
PMID- 18044411
TI - Upright and whole: an approach to suffering in the face of death.
PMID- 18044412
TI - Unexpectedly high prevalence of akathisia in cancer patients.
AB - OBJECTIVES: Complications of neuropsychiatric disorders are often detected in
cancer patients. Adjustment disorders, depression, or delirium are common
psychiatric disorders in these patients, and drug-induced neuropsychiatric
problems are sometimes referred for psychiatric consultation. Prochlorperazine
and other antiemetic drugs that are phenothiazine derivates are also reported to
cause akathisia due to the blockade of the dopamine receptor in the central
nervous system, but the, prevalence of akathisia in patients undergoing cancer
treatment has not been reported. This study seeks to explore the prevalence of
such drug-induced syndromes (e.g., akathisia) in this population. METHODS: This
present study was a prospective study. The subjects of this study were 483
consecutive patients with cancer who had been referred to the Department of
Psychiatry in Kanagawa Prefecture Cancer Center from February 1, 2004, to
November 30, 2005. Trained psychiatrists conducted a nonstructured psychiatric
interview and neurologic examination to establish psychiatric diagnoses according
to DSM-IV and the presence or absence of drug-induced extra pyramidal symptoms.
The past and current medications used in their cancer treatment were also
examined in detail for an accurate evaluation. RESULTS: A psychiatric diagnosis
was made in 420 (87.0%) of the 483 cancer patients examined, and akathisia, a
drug-induced movement disorder, was unexpectedly prevalent among the patients; 20
of 420 (4.8%) patients had developed akathisia from an antiemetic drug,
prochlorperazine. SIGNIFICANCE OF RESULTS: Diagnosing such adverse drug reactions
may be difficult due to complicating factors in cancer treatment, and the inner
restlessness observed in akathisia is likely to be regarded as a symptom of a
primary psychiatric disorder. The authors suggest that oncologists should
optimize the use of antiemetic drugs and be aware of akathisia as a possible
complication of cancer treatment.
PMID- 18044414
TI - Facing uncertainty: the lived experience of palliative care.
AB - OBJECTIVE: This qualitative research study listens to the narratives of people
experiencing the dying process who attended the Otago Community Hospice, Dunedin,
New Zealand. METHODS: Ten people, aged between 51 and 65, were approached; two
declined and one died sooner than expected. All were women (although this was not
part of the original design) and all had carcinoma. Data for the study was sought
through qualitative research interviews, considering the development of each
participant's illness in relation to her perception of her embodiment in the
palliative care setting, and concluding with questions about what she wants the
people who care for and about her to learn from her experience. Consistent with
this phenomenological approach, the method of analysis was thematic and
interpretive. RESULTS: The main theme was the uncertainty that all participants
felt throughout the diagnostic process and during treatment. Uncertainty, too,
was a factor in how they managed their day, whether they would be able to sustain
an outing or an activity or not and whether they would be pain free. None were
afraid of dying but hoped that when they did die, they would do so comfortably.
The relationship with their general practitioners varied. Where fatigue or the
effects of medication were not an issue, they could think clearly, but their
bodies were experienced as letting them down and limiting their activities. The
ideal of "living until you die" was not able to be fulfilled. The increasing
approach of social death as they withdrew from their employment and social
responsibilities affected them. SIGNIFICANCE OF RESULTS: Whether one has a "good
death" or not is determined not only by the progression and management of the
disease process by health professionals, but also by the way in which one is
perceived, by self and others. There are no guidelines for the dying role;
everybody dies differently and individually.
PMID- 18044413
TI - Parent and child perspectives on physician communication in pediatric palliative
care.
AB - OBJECTIVE: Despite growing recognition of the importance of communication with
children with life-limiting illnesses and their families, there has been limited
research that includes the child's perspective. The purpose of the current study
was to identify the aspects of physician communication that children with life
limiting illnesses and their parents perceived to be facilitative or obstructive
in pediatric palliative care. METHODS: This qualitative study reports on the
first 20 parent and child pairs of pediatric oncology and cardiology patients
(mean age 14.25 years, range 9-21 years) with a poor prognosis (physician
reported likely < 20% chance of survival beyond 3 years) from two children's
hospitals and one pediatric hospice in Los Angeles, California. Perspectives on
physician communication were elicited from children's and parents' individual
narratives, recorded, coded, and analyzed using qualitative grounded theory
methodology. RESULTS: Both children and parents identified five domains of
physician communication deemed to be highly salient and influential in quality of
care. These included relationship building, demonstration of effort and
competence, information exchange, availability, and appropriate level of child
and parent involvement. Parents identified coordination of care as another
important communication domain. The characteristics of physicians that were
deemed most harmful to satisfying communication included having a disrespectful
or arrogant attitude, not establishing a relationship with the family, breaking
bad news in an insensitive manner, withholding information from parents and
losing their trust, and changing a treatment course without preparing the patient
and family. SIGNIFICANCE OF RESULTS: The six positive communication domains are
areas for clinicians to recognize and monitor in communicating with children and
families in the pediatric palliative care setting. Knowledge of the qualities of
communication that are satisfying to and valued by children and their parents
have the potential to lead to more effective communication around the difficult
decisions faced by physicians, parents, and children with life-threatening
conditions.
PMID- 18044415
TI - To what extent does meaning mediate adaptation to cancer? The relationship
between physical suffering, meaning in life, and connection to others in
adjustment to cancer.
AB - OBJECTIVES: This study builds on previous work that explored the lived experience
of meaning in advanced cancer. The aims were to explore the associations of
suffering (physical and existential distress) and coping (via social support)
with psychological distress and global meaning using a battery of instruments
among adults attending an Australian metropolitan cancer service (n=100).
METHODS: The contribution of suffering and coping via social support to
psychological distress and meaning were examined using a variety of statistical
methods. Multiple regression analyses were conducted to further examine relative
contributions to both psychological distress and global meaning. RESULTS:
Physical and existential distress were found to be positively associated with
psychological distress whereas high social support and personal meaning are
related to lower levels of psychological distress. Social support was the
strongest correlate of global meaning whereas high levels of existential distress
were related to lower levels of global meaning. On the basis of this study, it is
concluded that the factors related to suffering clearly promote psychological
distress, and the reverse is true for global meaning for those living with
cancer. SIGNIFICANCE OF RESULTS: This study speaks to the clinical complexity of
the dynamic experience of suffering and meaning in cancer. We need to better
understand the impact of physical suffering and meaning in the lives of this
population and to actively work toward the enhancement of social support and
connection with others for this group. Optimal palliative and family-centered
care blended with therapies that promote a sense of meaning of life lived appear
crucial to ameliorate suffering.
PMID- 18044416
TI - Family caregiver's experiences in caring for a patient with terminal cancer at
home in Japan.
AB - OBJECTIVES: The aim of the study was to clarify the care experience of primary
caregivers when caring for a terminal cancer patient in the home with the
assistance of a home palliative care service. Participants were asked to provide
background data and to evaluate their experience of caregiving and of the
patient's response throughout the period of home palliative care, up to the time
of death. METHODS: One hundred twelve primary family caregivers were a mailed
self-report questionnaire, and 74 valid questionnaires were returned (response
rate 66%). RESULTS: Ninety percent felt that the patient's condition of mind and
body was reasonably stable, and 75% felt that the death was peaceful. About 90%
reported a deepening of their bond with the patient and that the bond of other
family members deepened also. Sixty percent reported that the burden of
caregiving was not too great or not felt at all. Approximately 90% judged that
the patient retained his or her own personal qualities to the end. Ninety percent
also felt that they had done their best in their caregiving and judged that home
care had been beneficial for the deceased, for the primary caregiver him/herself,
and for other family members. These primary caregivers' evaluations of caring for
a terminally ill patient at home in conjunction with a home palliative care
service were both high and positive. SIGNIFICANCE OF RESULTS: Our findings
suggest that it is important to maintain the patient's personal qualities up to
the time of death through appropriate symptom management, to respect the family
bond of the household, and to provide professional support in order to reduce the
load on the family. If appropriate care is provided, peaceful home death will be
possible, resulting in significant benefits for patients and their families in
Japan.
PMID- 18044417
TI - Bereaved spouses' adjustment after the patients' death in palliative care.
AB - OBJECTIVES: To improve the support to bereaved spouses during the year after the
patient's death, a project was started consisting of three visits by a nurse
(after 1, 3, and 13 months) with conversations about the patient's death and the
spouse's life situation. The aim of this study was to describe the bereaved
spouse's situation and adaptation during the first year after the loss. METHODS:
Spouses of patients cared for by The Advanced Home Care Team (APHCT) in Uppsala,
Sweden, were invited to participate in the project. Each participant was
encouraged to talk freely about his or her situation, but enough direction was
given to ensure that all items listed on a standardized questionnaire were
covered. RESULTS: Fifty-one spouses met the inclusion criteria and were invited
to participate and 45 accepted. The subjects felt quite healthy but were tired
and suffered from sleep disturbance. The grief reactions had initially been high
but showed a significant decline from 1 to 13 months (p < .01). Forty-nine
percent had experienced postbereavement hallucinations. SIGNIFICANCE OF RESULTS:
This study showed that the bereaved spouses felt quite healthy and adjusted quite
well to their new life situation, after the patient's death in a palliative care
setting. The grief reactions had initially been high but showed a significant
decline during the year.
PMID- 18044418
TI - Determinants of trust in the patient-oncologist relationship.
AB - OBJECTIVE: The relationship between the patient and physician is at the heart of
good medical care, and trust is an essential component of this relationship. To
enable the oncologist to better form a trusting relationship with the patient,
this article describes four factors that influence patient trust. METHODS:
Thematic literature review and a clinical vignette. RESULTS: The authors discuss
four factors that influence patient trust. These factors are whether and how the
oncologist (1) minimizes the potential for shame and humiliation during the
medical encounter, (2) manages the power imbalance between doctor and patient
without abuse or misuse, (3) demonstrates to the patient an appreciation of how
he or she is suffering from experience of cancer, and (4) demonstrates to the
patient how he or she is suffering from the treatment provided by the oncologist.
The authors illustrate these factors with a clinical vignette. SIGNIFICANCE OF
RESULTS: The cancer patient is best cared for by an oncologist who can not only
understand disease and treat medical problems, but also accompany the patient
through the illness experience. This requires an appreciation of the challenges
to trust that are inherent in the special characteristics of the patient
physician interaction.
PMID- 18044419
TI - Detection and treatment of akathisia in advanced cancer patients during adjuvant
analgesic therapy with tricyclic antidepressants: case reports and review of the
literature.
AB - OBJECTIVE: There is substantial evidence that tricyclic antidepressants are
effective in the management of chronic pain, including cancer pain. In
oncological settings, these agents are used as adjuvant analgesic drugs. However,
cases of akathisia due to tricyclic antidepressants used as adjuvant analgesic
therapy have not previously been reported. CASE REPORTS: Two cancer patients
experiencing chronic pain who were refractory to nonsteroidal anti-inflammatory
drugs and opioids were prescribed amoxapine as an adjuvant analgesic therapy for
neuropathic pain. These patients developed inner restlessness and restless
physical movements after amoxapine was prescribed. Although symptoms were
atypical, akathisia was suspected and discontinuation of amoxapine resolved the
symptoms. RESULTS AND SIGNIFICANCE OF RESULTS: Akathisia should be considered in
patients receiving adjuvant analgesic therapy with tricyclic antidepressants.
Early detection and appropriate treatment will relieve this distressing symptom.
Restless movements involving parts of the body other than the legs may be the
clue to the diagnosis.
PMID- 18044420
TI - Reflections on leukemia and adult stem-cell transplantation: some personal
psychological factors.
PMID- 18044421
TI - Microflora assessments using PCR-denaturing gradient gel electrophoresis of ozone
treated and modified-atmosphere-packaged farmed cod fillets.
AB - Denaturing gradient gel electrophoresis (DGGE) of a PCR-amplified 16S rDNA
sequence was used to characterize changes in the microbial flora caused by ozone
(O3) treatment of farmed cod (Gadus morhua). Portions of cod were produced under
controlled conditions, bathed in fresh water supplemented with 2 ppm of O3 for 30
min, and packaged in modified atmosphere (MA: 60% CO2 and 40% N2) before 4
degrees C storage. Control samples were packaged in MA or air, without prior O3
treatment. Samples were analyzed by PCR-DGGE to determine the predominant
bacterial flora and to examine possible differences in the microbial community
due to O3 treatment. The DGGE analysis during the storage period showed that the
O3 treatment produced no significant difference in the microbial flora compared
with the controls. Sequencing of 16S rDNA detected the specific spoilage bacteria
Photobacterium phosphoreum, Pseudomonas spp., Shewanella baltica, and Shewanella
putrefaciens as the predominant bacteria in all samples. PCR-DGGE results were
supported by culture and sensory analyses used in predicting product shelf life.
Aerobic plate count, H2S-producing bacteria, and psychrotrophic bacterial counts
demonstrated no significant extension of the shelf life of MA-packaged, O3
treated cod fillets.
PMID- 18044422
TI - Prevalence and antimicrobial resistance of Salmonella recovered from processed
poultry.
AB - This study was conducted to determine the prevalence and antimicrobial resistance
of Salmonella isolates recovered from processed poultry. Four hundred eighty pre-
and postchill whole broiler chicken carcasses were collected from a poultry
processing plant between July 2004 and June 2005. Water samples also were
collected at the entrance and exit of the chiller. After preenrichment, carcass
and water samples were analyzed for the presence of Salmonella using the
automated BAX system followed by traditional culture methods. The proportions of
pre- and postchill carcasses that were positive for Salmonella were 88.4 and
84.1%, respectively. Ninety-two percent of water samples collected at the
entrance of the chiller were positive for Salmonella, but all exit samples were
negative. There was no significant difference in the prevalence of Salmonella
between pre- and postchill carcasses (P > 0.05). Salmonella isolates recovered
were serotyped and tested for susceptibility to antimicrobials. Thirteen
serotypes were identified; the most common were Salmonella Kentucky (59.5%) and
Salmonella Typhimurium (17.8%). Three hundred thirty-nine (79.8%) of the isolates
were resistant to at least one antimicrobial, and 53.4% were resistant to three
or more antimicrobials. Resistance was most often observed to tetracycline (73.4%
of isolates), ampicillin (52.9%), amoxicillin-clavulanic acid (52%), ceftiofur
(51.7%), streptomycin (35.2%), and sulfisoxazole (21.8%). These results indicate
the high prevalence of Salmonella contamination in whole broiler carcasses, and a
large number of these Salmonella isolates were resistant to commonly used
antimicrobials.
PMID- 18044423
TI - Attachment and biofilm formation by various serotypes of Salmonella as influenced
by cellulose production and thin aggregative fimbriae biosynthesis.
AB - This study was undertaken to quantify thin aggregative fimbriae and cellulose
produced by Salmonella and to evaluate their roles in attachment and biofilm
formation on polystyrene and glass surfaces. Thin aggregative fimbriae and
cellulose produced by four wild-type and two pairs of Salmonella, representing
four different colony morphotypes (rdar: red, dry, and rough; pdar: pink, dry,
and rough; bdar: brown, dry, and rough; and saw: smooth and white), were
quantified. The ability of the Salmonella cells to attach and form biofilms on
the selected surfaces was evaluated in Luria-Bertani (LB) broth with or without
salt (0.5%) or glucose (2%) at 28 degrees C during a 7-day period. The cells
expressing the rdar or pdar colony morphotypes produced significantly greater
amounts of thin aggregative fimbriae and cellulose on LB no salt agar,
respectively. The cells expressing the rdar colony morphotype attached in higher
numbers and formed more biofilm than did the cells expressing the pdar colony
morphotype. The members of the pairs expressing the bdar colony morphotype
attached more efficiently and formed more biofilm on the tested surfaces than did
their counterparts expressing the saw colony morphotype. These results indicated
that thin aggregative fimbriae impart attachment ability to Salmonella and, upon
coexpression with cellulose, enhance biofilm formation on certain abiotic
surfaces. The knowledge acquired in the study may help develop better cleaning
strategies for food processing equipment.
PMID- 18044424
TI - Effect of biofilm dryness on the transfer of Listeria monocytogenes biofilms
grown on stainless steel to bologna and hard salami.
AB - Listeria monocytogenes continues to be a major cause of class I food recalls in
the United States. Very little is known about its transfer and cross
contamination in processing scenarios. The objective of this study was to
evaluate the effect of hydration level on L. monocytogenes biofilms grown on
stainless steel and its effect on the biofilm transfer to foods. Biofilms were
grown on stainless steel in diluted tryptic soy broth 1:20 for 48 h at 32 degrees
C. After this, biofilms were equilibrated over saturated salt solutions at 20
degrees C for 24 h (94, 75, 58, and 33% relative humidity; % RH) prior to
transferring. Transfer experiments were conducted from inoculated stainless steel
to bologna and hard salami at a constant pressure (45 kPa) and time (30 s) with a
universal testing machine. The experiment was designed with a factorial design 4
x 2 (biofilms equilibrated at 4% RH and two foods) and duplicated every day, and
the whole experiment was repeated nine times. The results were analyzed with an
analysis of variance by SAS Statistical Analysis Software. Our results showed
that more bacteria were transferred to bologna (mean efficiency of transfer [EOT]
= 3.0) than to hard salami (mean EOT = 0.35, P < 0.01). As biofilms became drier,
the transfer of Listeria from stainless steel to both foods increased (P < 0.05).
The EOT increased from 2 to 3.8 and from 0.2 to 0.51 upon transfer when drying
the biofilm for bologna and hard salami, respectively. This study may be an
indication that as biofilms were dried, the cell-cell and cell-surface
interactions became weaker, and bacterial transfer increased. This phenomenon was
enhanced in foods containing higher water activity levels. We hypothesize that
this increased in transfer was due to the presence of capillary forces in the
food.
PMID- 18044425
TI - Modeling and predicting the growth of lactic acid bacteria in lightly preserved
seafood and their inhibiting effect on Listeria monocytogenes.
AB - A cardinal parameter model was developed to predict the effect of diacetate,
lactate, CO2, smoke components (phenol), pH, NaCl, temperature, and the
interactions between all parameters on the growth of lactic acid bacteria (LAB)
in lightly preserved seafood. A product-oriented approach based on careful
chemical characterization and growth of bacteria in ready-to-eat seafoods was
used to develop this new LAB growth model. Initially, cardinal parameter values
for the inhibiting effect of diacetate, lactate, CO2, pH, and NaCl-water activity
were determined experimentally for a mixture of LAB isolates or were obtained
from the literature. Next, these values and a cardinal parameter model were used
to model the effect of temperature (T(min)) and smoke components (P(max)). The
cardinal parameter model was fitted to data for growth of LAB (mu(max) values) in
lightly preserved seafood including cold-smoked and marinated products with
different concentrations of naturally occurring and added organic acids. Separate
product validation studies of the LAB model resulted in average bias and accuracy
factor values of 1.2 and 1.5, respectively, for growth of LAB (mu(max) values) in
lightly preserved seafood. Interaction between LAB and Listeria monocytogenes was
predicted by combining the developed LAB model and an existing growth and growth
boundary model for the pathogen (O. Mejlholm and P. Dalgaard, J. Food Prot. 70:70
84). The performance of the existing L. monocytogenes model was improved by
taking into account the effect of microbial interaction with LAB. The observed
and predicted maximum population densities of L. monocytogenes in inoculated
lightly preserved seafoods were 4.7 and 4.1 log CFU g(-1), respectively, whereas
for naturally contaminated vacuum-packed cold-smoked salmon the corresponding
values were 0.7 and 0.6 log CFU g(-1) when a relative lag time of 4.5 was used
for the pathogen.
PMID- 18044426
TI - Inhibition of Listeria monocytogenes in cooked ham through active packaging with
natural antimicrobials and high-pressure processing.
AB - Enterocins A and B and sakacin K at 200 and 2,000 activity units (AU)/cm2, nisin
at 200 AU/cm2, 1.8% potassium lactate, and a combination of 200 AU/cm2 of nisin
and 1.8% lactate were incorporated into interleavers, and their effectiveness
against Listeria monocytogenes spiked in sliced, cooked ham was evaluated.
Antimicrobial-packaged cooked ham was then subjected to high-pressure processing
(HPP) at 400 MPa. In nonpressurized samples, nisin plus lactate-containing
interleavers were the most effective, inhibiting L. monocytogenes growth for 30
days at 6 degrees C, with counts that were 1.9 log CFU/g lower than in the
control after 3 months. In the other antimicrobial-containing interleavers, L.
monocytogenes did not exhibit a lag phase and progressively grew to levels of
about 8 log CFU/g. HPP of actively packaged ham slices reduced Listeria
populations about 4 log CFU/g in all batches containing bacteriocins (i.e.,
nisin, sakacin, and enterocins). At the end of storage, L. monocytogenes levels
in the bacteriocin-containing batches were the lowest, with counts below 1.51 log
CFU/g. In contrast, HPP moderately reduced L. monocytogenes counts in the control
and lactate batches, with populations gradually increasing to about 6.5 log CFU/g
at the end of storage.
PMID- 18044427
TI - Effect of combining nisin and/or lysozyme with in-package pasteurization on
thermal inactivation of Listeria monocytogenes in ready-to-eat turkey bologna.
AB - Achieving a targeted lethality with minimum exposure to heat and preservation of
product quality during pasteurization is a challenge. The objective of this study
was to evaluate the effect of nisin and/or lysozyme in combination with in
package pasteurization of a ready-to-eat low-fat turkey bologna on the
inactivation of Listeria monocytogenes. Sterile bologna samples were initially
treated with solutions of nisin (2 mg/ml = 5,000 AU/ml = 31.25 AU/cm2), lysozyme
(10 mg/ml = 80 AU/ml = 0.5 AU/cm2), and a mixture of nisin and lysozyme (2 mg/ml
nisin + 10 mg/ml lysozyme = 31.75 AU/cm2). Bologna surfaces were uniformly
inoculated with a Listeria suspension resulting in a population of approximately
0.5 log CFU/cm2. Samples were vacuum packaged and subjected to heat treatment
(60, 62.5, or 65 degrees C). Two nonlinear models (Weibull and log logistic) were
used to analyze the data. From the model parameters, the time needed to achieve a
4-log reduction was calculated. The nisin-lysozyme combination and nisin
treatments were effective in reducing the time required for 4-log reductions at
62.5 and 65 degrees C but not at 60 degrees C. At 62.5 degrees C, nisin-lysozyme
treated samples required 23% less time than did the control sample to achieve a 4
log reduction and 31% less time at 65 degrees C. Lysozyme alone did not enhance
antilisterial activity with heat. Results from this study can be useful to the
industry for developing an efficient intervention strategy against contamination
of ready-to-eat meat products by L. monocytogenes.
PMID- 18044429
TI - Inactivation kinetics and factors of variability in the pulsed light treatment of
Listeria innocua cells.
AB - Pulsed light (PL) treatment can effectively reduce microbial populations in clear
substrates and on surfaces, but its effectiveness varies as a function of
substrate or treatment-related factors. For PL to be successfully adopted by the
food industry, all factors of influence, as well as the inactivation kinetics for
the microorganisms of concern, must be elucidated. In this study, the
inactivation kinetics of Listeria innocua and the effect of inoculum size on PL
inactivation were investigated. Stainless steel coupons (50.8 by 101.6 mm) of
defined surface properties and transparent glass chamber slides (25.4 by 50.8 by
10 mm) were each inoculated with 1 ml of aqueous suspensions of L. innocua
containing inoculum populations of up to 10(9) CFU. The thickness of the liquid
layer in the glass slides was 1.16 mm. The inoculated substrates were exposed to
PL treatment of up to 17 J/cm2 in a static PL chamber equipped with a pulsed
Xenon lamp. Survivors were recovered and enumerated by both standard plate
counting and most-probable-number procedures. The data indicated that in clear
liquids, PL resulted in more than a 6-log reduction of L. innocua after a 12
J/cm2 treatment, regardless of the initial inoculum size. For the stainless steel
surfaces, less than a 4-log reduction after a 12-J/cm2 treatment and a noticeable
effect of substrate characteristics and inoculum size on inactivation were
observed. The survivor curves showed pronounced tailing for all substrates used
in the study. The Weibull model accurately predicted the survivor ratios for the
PL treatment of L. innocua in clear liquids, with a shape and scale parameter of
0.33 and 3.01, respectively. The Weibull model resulted in significant
overestimation of PL effectiveness for the stainless steel substrates, where the
influence of various substrate properties and inoculum level on inactivation was
significant.
PMID- 18044428
TI - A food-grade system for production of pediocin PA-1 in nisin-producing and non
nisin-producing Lactococcus lactis strains: application to inhibit Listeria
growth in a cheese model system.
AB - Food-grade heterologous production of pediocin PA-1 in nisin-producing and non
nisin-producing Lactococcus lactis strains, previously selected because of their
technological properties for cheese making, was achieved. Plasmid pGA1, which
contains the complete pediocin operon under the control of the strong P32
promoter and is devoid of any antibiotic marker, was introduced into L. lactis
ESI 153 and L. lactis ESI 515 (Nis+). Transformation of L. lactis ESI 515 with
pGA1 did not affect its ability to produce nisin. The antimicrobial activity of
the pediocin-producing transformants on the survival of Listeria innocua SA1
during cheese ripening was also investigated. Cheeses were manufactured from milk
inoculated with 1% of the lactic culture and with or without approximately 4 log
CFU/ml of the Listeria strain. L. lactis ESI 153, L. lactis ESI 515, and their
transformants (L. lactis GA1 and GA2, respectively) were used as starter
cultures. At the end of the ripening period, counts of L. innocua in cheeses made
with the bacteriocin-producing lactococcal strains were below 50 CFU/g in the L.
lactis GA1 cheeses and below 25 CFU/g in the L. lactis GA2 ones, compared with
3.7 million CFU/g for the controls without nisin or pediocin production.
PMID- 18044430
TI - Relative efficacy of sodium hypochlorite wash versus irradiation to inactivate
Escherichia coli O157:H7 internalized in leaves of Romaine lettuce and baby
spinach.
AB - Pathogenic bacteria that become internalized in leaf tissues are protected from
the antimicrobial effects of surface treatments. Ionizing radiation is known to
penetrate food tissues, but the efficacy of the process against internalized
bacteria is unknown. Leaves of Romaine lettuce and baby spinach were cut into
pieces, submerged in a cocktail mixture of three isolates of Escherichia coli
O157:H7, and subjected to a vacuum perfusion process to force the bacterial cells
into the intercellular spaces in the leaves. Scanning electron microscopy was
used to evaluate the efficacy of the perfusion process. The inoculated leaves
were then treated with a 3-min water wash, a 3-min wash with a sodium
hypochlorite sanitizing solution (300 or 600 ppm), or various doses of ionizing
radiation (0.25 to 1.5 kGy). Leaves were stomached to recover the internalized
pathogen cells, which were enumerated. The vacuum perfusion effectively forced
bacteria into the leaf vasculature and apoplast, as confirmed by scanning
electron microscopy. For spinach leaf pieces, neither the water nor the sodium
hypochlorite washes resulted in significant reductions of E. coli O157:H7 cells
relative to the untreated control. For Romaine lettuce leaf pieces, 300 and 600
ppm sodium hypochlorite each resulted in less than 1-log reduction; water wash
was ineffective. Ionizing radiation, in contrast, significantly reduced the
pathogen population, with 4-log (Romaine lettuce) or 3-log (spinach) reductions
at the highest dose tested. In Romaine leaves, the reduction was dose dependent
across the range of doses tested, with a D10-value (the amount of irradiation
necessary to reduce the population by 1 log unit) of 0.39 kGy. In spinach leaves,
the pathogen had a biphasic response, with a D10-value of 0.27 kGy in the range
of 0 to 0.75 kGy but only slight additional reductions from 0.75 to 1.5 kGy. In
this study, ionizing radiation but not chemical sanitizers effectively reduced
viable E. coli O157:H7 cells internalized in leafy green vegetables, but the
response of the pathogen to irradiation was more complex in spinach leaves than
in Romaine lettuce leaves.
PMID- 18044431
TI - Modeling of the effect of washing solution flow conditions on Escherichia coli
O157:H7 population reduction on fruit surfaces.
AB - Washing produce with sanitizing solutions is an important step in reducing
microbial populations during postharvest handling. Little information exists
regarding the effects of washing solution flow conditions on the efficacy of
pathogen reduction during washing. This study was undertaken to investigate the
effects of washing conditions such as flow velocity, agitation rate, and contact
time on the reduction of Escherichia coli O157:H7 populations from the surfaces
of cantaloupe rind and cut apples. Top surfaces of cylindrical samples were spot
inoculated with E. coli O157:H7 and treated with peroxyacetic acid (POAA; 80
mg/liter) solution under different flow velocities and agitation rates and with
different washing modes. Test results indicate that the reduction rate of E. coli
O157:H7 increased with the increase in flow velocity and agitation rate under the
testing conditions. In a 3-min treatment in the flow-through chamber, the E. coli
O157:H7 count reduction on cantaloupe rind and cup apples reached 2.5 and 2.3 log
CFU/cm2, respectively, when the flow velocity increased from 0.0 to 0.8 m/min.
Agitation conducted at the bottom of the treatment chamber reduced the E. coli
O157:H7 population on cut apples by 1.2 log CFU/cm2 in 3 min, whereas in the
treatment with the agitation over the top of the chamber, the survival count of
E. coli O157:H7 was reduced by only 0.8 log CFU/cm2. The experimental data were
used to fit four microbial reduction kinetic models. It was found that E. coli
O157:H7 reduction from the fruit surfaces was best described by the Weibull
model. These findings may be useful in designing produce wash systems for
achieving enhanced pathogen reduction and improved produce quality and safety.
PMID- 18044432
TI - Comparison of antibiogram, staphylococcal enterotoxin productivity, and coagulase
genotypes among Staphylococcus aureus isolated from animal and vegetable sources
in Korea.
AB - Staphylococcal food poisoning is caused by enterotoxin-producing Staphylococcus
aureus. We investigated the prevalence of such organisms in samples of bovine
mastitic milk (n = 714), raw meat (n = 139), and vegetables (n = 616). We
determined the degrees of relatedness of isolates as indicated by antibiogram,
staphylococcal enterotoxin (SE) productivity, and coagulase gene restriction
fragment length polymorphism analysis. We examined 297 S. aureus isolates and
found SE production in 57 (31.8%), 4 (7.8%), and 49 (73.1%) isolates from raw
milk, raw meat, and vegetables, respectively. A high proportion of the isolates
obtained from milk produced more than two types of toxins (mainly SEA, SEB,
and/or SEC), whereas isolates from raw meat and vegetables primarily produced SEA
alone. Most isolates were sensitive to cephalothin (97.6%), gentamicin (80.8%),
erythromycin (79.5%), and tetracycline (72.7%), but were resistant to penicillin
(90.2%) and ampicillin (88.9%). The proportion of antibiotic-resistant isolates
differed according the source of the bacteria; the milk and vegetable isolates
were more resistant to penicillin and ampicillin than were the meat isolates (P <
0.05), whereas tetracycline resistance was limited to the milk and vegetables
isolates. The coagulase genotypes (I to XII) varied with the source of the
organism, and only a few genotypes prevailed in each source: II (42.4%) and IV
(24%) types in isolates from milk, IX (35.3%) and XI (45%) from raw meat, and III
(40.3%) and XII (32.8%) from vegetables. These findings suggest that remarkable
differences exist in antibiogram, SE productivity, and coagulase genotypes,
resulting in limited clonal transmission of S. aureus into various food sources.
As enterotoxin production only occurs when S. aureus grows to high numbers,
staphylococcal food poisoning can be prevented by proper refrigeration.
PMID- 18044433
TI - Evaluation of logistic processing to reduce cross-contamination of commercial
broiler carcasses with Campylobacter spp.
AB - Cross-contamination of broiler carcasses with Campylobacter is a large problem in
food production. Here, we investigated whether the contamination of broilers
carcasses from Campylobacter-negative flocks can be avoided by logistic
scheduling during processing. For this purpose, fecal samples were collected from
several commercial broiler flocks and enumerated for Campylobacter spp. Based on
enumeration results, flocks were categorized as Campylobacter negative or
Campylobacter positive. The schedule of processing included the testing of
Campylobacter-positive flocks before or after the testing of Campylobacter
negative flocks. During processing, flocks were also sampled for Campylobacter
spp. before and after chilling. Campylobacter strains were identified with
multiplex PCR and analyzed for relatedness with pulsed-field gel electrophoresis.
Our results show that Campylobacter-negative flocks were indeed contaminated with
Campylobacter strains originating from previously processed Campylobacter
positive flocks. Campylobacter isolates collected from carcasses originating from
different farms processed on the same day showed similar pulsed-field gel
electrophoresis patterns, confirming cross-contamination. These findings suggest
that a simple logistic processing schedule can preserve the Campylobacter
negative status of broiler carcasses and result in products with enhanced food
safety.
PMID- 18044434
TI - Prevalence of Escherichia coli O157:H7 in the American bison (Bison bison).
AB - Bison is becoming a popular meat source for consumers, but very little is known
about the bison's status with respect to Escherichia coli O157:H7. We conducted a
study to determine the prevalence and identify virulence genes and pulsed-field
genetic types of E. coli O157:H7 in bison. Rectal contents and rectoanal mucosal
swab (RAMS) samples were collected from a total of 342 bison at slaughter on
seven different dates. Isolation of E. coli O157:H7 was by enrichment,
immunomagnetic separation, and plating on selective medium, and identification
was based on sorbitol fermentation reaction, indole production, and O157
agglutination test. An overall E. coli O157:H7 prevalence of 47.4% was observed.
Fecal prevalence across sampling days ranged from 17 to 83%, with an average of
42.1%. The prevalence in the rectoanal mucosal region ranged from 2.2 to 50%,
with an average of 19.9%. All E. coli O157:H7 isolates (n = 212) possessed eae,
hlyA, fliC, and stx2 genes. The antiterminator Q gene, Q933, was present in 50.7%
of fecal and 38% of RAMS isolates, and Q21 was present in 52.1% of fecal and
61.5% of RAMS isolates. The pulsed-field gel electrophoresis analysis of isolates
revealed 11 types (> 95% Dice similarity) and 19 subtypes (100% Dice similarity).
Two pulsed-field genetic types accounted for 76.4% of total isolates. Our study
suggests that the prevalence of E. coli O157:H7 in rectal contents or on rectal
mucosa of bison is variable, but relatively high overall and bison could serve as
an important reservoir for human infection.
PMID- 18044435
TI - Efficacy of dose regimen and observation of herd immunity from a vaccine against
Escherichia coli O157:H7 for feedlot cattle.
AB - A clinical trial was conducted to test the effect of a vaccine product containing
type III secreted proteins of Escherichia coli O157:H7 on the probability that
feedlot steers shed E. coli O157:H7 in feces. Six hundred eight same-source
steers were utilized. Of these, 480 steers were assigned randomly to 60 pens
(eight head per pen) and to one of four vaccination treatments (120 cattle per
treatment, two head per treatment per pen). The four treatments were (i) no
vaccination; (ii) one dose, vaccinated once at reimplant (day 42); (iii) two
doses, vaccinated on arrival (day 0) and again at reimplant (day 42); and (iv)
three doses, vaccinated on arrival (day 0), on day 21, and again at reimplant
(day 42). The remaining 128 steers were assigned randomly to 12 pens within the
same feedlot to serve as unvaccinated external controls. The probability of
detecting E. coli O157:H7 among cattle receiving different doses of vaccine was
compared with that of unvaccinated external control cattle, accounting for
clustering by repeated measures, block, and pen and fixed effects of vaccine,
corn product, and test period. Vaccine efficacy of receiving one, two, and three
doses of vaccine was 68, 66, and 73%, respectively, compared with cattle in pens
not receiving vaccine. Cattle receiving three doses of vaccine were significantly
less likely to shed E. coli O157:H7 than unvaccinated cattle within the same pen.
Unvaccinated cattle housed with vaccinated cattle were 59% less likely to shed E.
coli O157:H7 than cattle in pens not receiving vaccine, likely because they
benefited from herd immunity. This study supports the hypothesis that vaccination
with this vaccine product effectively reduces the probability for cattle to shed
E. coli O157:H7. There was no indication that the vaccine affected performance or
carcass quality. In addition, we found that vaccinating a majority of cattle
within a pen offered a significant protective effect (herd immunity) to
unvaccinated cattle within the same pen.
PMID- 18044436
TI - Effect of a vaccine product containing type III secreted proteins on the
probability of Escherichia coli O157:H7 fecal shedding and mucosal colonization
in feedlot cattle.
AB - Preharvest intervention strategies to reduce Escherichia coli O157:H7 in cattle
have been sought as a means to reduce human foodborne illness. A blinded clinical
trial was conducted to test the effect of a vaccine product on the probability
that feedlot steers, under conditions of natural exposure, shed E. coli O157:H7
in feces, are colonized by this organism in the terminal rectum, or develop a
humoral response to the respective antigens. Steers (n = 288) were assigned
randomly to 36 pens (eight head per pen), and pens were randomized to vaccination
treatment in a balanced fashion within six dietary treatments of an unrelated
nutrition study. Treatments included vaccination or placebo (three doses at 3
week intervals). Fecal samples for culture (n = 1,410) were collected from the
rectum of each steer on pretreatment day 0 and posttreatment days 14, 28, 42, and
56. Terminal rectum mucosal (TRM) cells were aseptically collected for culture at
harvest (day 57 posttreatment) by scraping the mucosa 3.0 to 5.5 cm proximal to
the rectoanal junction. E. coli O157:H7 was isolated and identified with
selective enrichment, immunomagnetic separation, and PCR confirmation. Vaccinated
cattle were 98.3% less likely to be colonized by E. coli O157:H7 in TRM cells
(odds ratio = 0.014, P < 0.0001). Diet was also associated with the probability
of cattle being colonized (P = 0.04). Vaccinated cattle demonstrated significant
humoral responses to Tir and O157 lipopolysaccharide. These results provide
evidence that this vaccine product reduces E. coli O157:H7 colonization of the
terminal rectum of feedlot beef cattle under conditions of natural exposure, a
first step in its evaluation as an effective intervention for food and
environmental safety.
PMID- 18044437
TI - Listeria prevalence and Listeria monocytogenes serovar diversity at cull cow and
bull processing plants in the United States.
AB - Listeria monocytogenes, the causative agent of epidemic and sporadic listeriosis,
is routinely isolated from many sources, including cattle, yet information on the
prevalence of Listeria in beef processing plants in the United States is minimal.
From July 2005 through April 2006, four commercial cow and bull processing plants
were sampled in the United States to determine the prevalence of Listeria and the
serovar diversity of L. monocytogenes. Samples were collected during the summer,
fall, winter, and spring. Listeria prevalence on hides was consistently higher
during cooler weather (28 to 92% of samples) than during warmer weather (6 and
77% of samples). The Listeria prevalence data collected from preevisceration
carcass ranged from undetectable in some warm season samples to as high as 71%
during cooler weather. Listeria on postintervention carcasses in the chill cooler
was normally undetectable, with the exception of summer and spring samples from
one plant where > 19% of the carcasses were positive for Listeria. On hides, L.
monocytogenes serovar 1/2a was the predominant serovar observed, with serovars
1/2b and 4b present 2.5 times less often and serovar 1/2c not detected on any
hides sampled. L. monocytogenes serovars 1/2a, 1/2c, and 4b were found on
postintervention carcasses. This prevalence study demonstrates that Listeria
species are more prevalent on hides during the winter and spring and that
interventions being used in cow and bull processing plants appear to be effective
in reducing or eliminating Listeria contamination on carcasses.
PMID- 18044438
TI - Variable number of tandem repeats and pulsed-field gel electrophoresis cluster
analysis of enterohemorrhagic Escherichia coli serovar O157 strains.
AB - Ninety-five enterohemorrhagic Escherichia coli serovar O157 strains, including 30
strains isolated from 13 intrafamily outbreaks and 14 strains isolated from 3
mass outbreaks, were studied by pulsed-field gel electrophoresis (PFGE) and
variable number of tandem repeats (VNTR) typing, and the resulting data were
subjected to cluster analysis. Cluster analysis of the VNTR typing data revealed
that 57 (60.0%) of 95 strains, including all epidemiologically linked strains,
formed clusters with at least 95% similarity. Cluster analysis of the PFGE
patterns revealed that 67 (70.5%) of 95 strains, including all but 1 of the
epidemiologically linked strains, formed clusters with 90% similarity. The number
of epidemiologically unlinked strains forming clusters was significantly less by
VNTR cluster analysis than by PFGE cluster analysis. The congruence value between
PFGE and VNTR cluster analysis was low and did not show an obvious correlation.
With two-step cluster analysis, the number of clustered epidemiologically
unlinked strains by PFGE cluster analysis that were divided by subsequent VNTR
cluster analysis was significantly higher than the number by VNTR cluster
analysis that were divided by subsequent PFGE cluster analysis. These results
indicate that VNTR cluster analysis is more efficient than PFGE cluster analysis
as an epidemiological tool to trace the transmission of enterohemorrhagic E. coli
O157.
PMID- 18044439
TI - Resistance of Listeria monocytogenes F2365 cells to synthetic gastric fluid is
greater following growth on ready-to-eat deli turkey meat than in brain heart
infusion broth.
AB - Ready-to-eat (RTE) deli meats have been categorized as high-risk foods for
contraction of foodborne listeriosis. Several recent listeriosis outbreaks have
been associated with the consumption of RTE deli turkey meat. In this study, we
examined whether the growth of Listeria monocytogenes F2365 on commercially
prepared RTE deli turkey meat causes listerial cells to become more resistant to
inactivation by synthetic gastric fluid (SGF). Listerial cells grown on turkey
meat to late logarithmic-early stationary phase were significantly more resistant
to SGF at pH 7.0, 5.0, or 3.5 than listerial cells grown in brain heart infusion
(BHI) broth. The pH was lower in the fluid in packages of turkey meat than in BHI
broth (6.5 versus 7.5). However, listerial cells grown in BHI broth adjusted to a
lower pH (6.0) did not exhibit enhanced resistance to SGF. The lesser resistance
to SGF of listerial cells grown in BHI broth may be due, in part, to the presence
of glucose (0.2%). This study indicates the environment presented by the growth
of L. monocytogenes on deli turkey meat affects its ability to survive conditions
it encounters in the gastrointestinal tract.
PMID- 18044440
TI - Prevalence, types, and geographical distribution of Listeria monocytogenes from a
survey of retail Queso Fresco and associated cheese processing plants and dairy
farms in Sonora, Mexico.
AB - In the first part of this study, samples were collected from farms, cheese
processing plants (CPPs), and retail markets located in various geographical
areas of Sonora, Mexico, over a 12-month period during the summer of 2004 and
winter of 2005. Four (all Queso Fresco [QF] from retail markets) of 349 total
samples tested positive for Listeria monocytogenes (Lm). Of these four positive
samples, three were collected in the northern region and one in the southern
region of Sonora. Additionally, two were collected during the winter months, and
two were collected during the summer months. For the second part of the study, a
total of 39 samples from a farm, a CPP, and retail markets were collected and
processed according to a combination of the Norma Oficial Mexicana NOM-143-SSA1
1995.10 method (NOM) and the U.S. Food and Drug Administration (FDA)
Bacteriological Analytical Manual method, and 27 samples from these same
locations were collected and processed according to the U.S. Department of
Agriculture Food Safety and Inspection Service method (USDA-FSIS). The NOM-FDA
method recovered the pathogen from 6 (15%) of 39 samples (one cheese and five
product contact surfaces), while the USDA-FSIS method recovered the pathogen from
5 (18.5%) of 27 samples (all product contact surfaces). In addition, the 40
isolates recovered from the 15 total samples that tested positive for Lm grouped
into five distinct pulsotypes that were ca. 60% related, as determined by pulsed
field gel electrophoresis analysis. The results of this study confirmed a 3.4%
prevalence of Lm in QF collected from retail markets located in Sonora and no
appreciable difference in the effectiveness of either the NOM-FDA or USDA-FSIS
method to recover the pathogen from cheese or environmental samples.
PMID- 18044441
TI - Mathematical modeling and assessment of microbial migration during the sprouting
of alfalfa in trays in a nonuniformly contaminated seed batch using Enterobacter
aerogenes as a surrogate for Salmonella Stanley.
AB - Raw seed sprouts have been implicated in several food poisoning outbreaks in the
past 10 years. The U.S. Food and Drug Administration recommends that sprout
growers use interventions (such as testing of spent irrigation water) to control
the presence of pathogens in the finished product. During the sprouting process,
initially low concentrations of pathogen may increase, and contamination may
spread within a batch of sprouting seeds. A model of pathogen growth as a
function of time and distance from the contamination spot during the sprouting of
alfalfa in trays has been developed with Enterobacter aerogenes. The probability
of detecting contamination was assessed by logistic regression at various time
points and distances by sampling from sprouts or irrigation water. Our results
demonstrate that microbial populations and possibility of detection were greatly
reduced at distances of > or = 20 cm from the point of contamination in a seed
batch during tray sprouting; however, the probability of detecting microbial
contamination at distances less than 10 cm from the point of inoculation was
almost 100% at the end of the sprouting process. Our results also show that
sampling irrigation water, especially large volumes of water, is highly effective
at detecting contamination: by collecting 100 ml of irrigation water for membrane
filtration, the probability of detection was increased by three to four times
during the first 6 h of seed germination. Our findings have quantified the degree
to which a small level of contamination will spread throughout a tray of
sprouting alfalfa seeds and subsequently be detected by either sprout or
irrigation water sampling.
PMID- 18044443
TI - Inhibition of Bacillus cereus in milk fermented with kefir grains.
AB - The effects of kefir-fermented milk were tested against a toxigenic strain of
Bacillus cereus. The incubation of milk with B. cereus spores plus 5% kefir
grains prevented spore germination and growth of vegetative forms. In contrast,
when 1% kefir grains was used, no effects were observed. The presence of
metabolically active kefir grains diminished titers of nonhemolytic enterotoxin
A, as assessed by enzyme-linked immunosorbent assay. During fermentation, kefir
microorganisms produce extracellular metabolites such as organic acids, which
could play a role in the inhibition of spore germination and growth of B. cereus,
although the effect of other factors cannot be ruled out. Results of the present
study show that kefir-fermented milk is able to antagonize key mechanisms
involved in the growth of B. cereus as well as interfere with the biological
activity of this microorganism.
PMID- 18044442
TI - Identification and antimicrobial susceptibility of lactic acid bacteria from
retail fermented foods.
AB - One important safety criterion of using lactic acid bacteria (LAB) in food
applications is to ensure that they do not carry transferable antimicrobial
resistance (AR) determinants. In this study, 63 LAB belonging to six genera,
Streptococcus, Lactobacillus, Lactococcus, Enterococcus, Leuconostoc, and
Pediococcus, were recovered from 28 retail fermented food products in Maryland,
identified to species with 16S-23S rRNA spacer PCRs, and characterized for
antimicrobial susceptibility against eight antimicrobials. Besides intrinsic
resistance to ciprofloxacin or vancomycin in some lactobacilli, tetracycline
resistance was observed in two Streptococcus thermophilus isolates from one
cheese and one sour cream sample and was associated with the presence of a
nonconjugative tet(S) gene. The results indicated a low level of AR among
naturally occurring and starter LAB cultures in fermented dairy and meat products
in the United States; therefore, the probability for foodborne LAB to serve as
reservoirs of AR is low. Further studies involving a larger sample size are
needed to assess the potential risk of AR gene transfer from LAB in fermented
food products.
PMID- 18044444
TI - Association of prophage antiterminator Q alleles and susceptibility to food
processing treatments applied to Escherichia coli O157 in laboratory media.
AB - Resistance of Escherichia coli O157 to inactivation by high-pressure processing,
heat, and UV and gamma radiation was associated with the allele of the prophage
encoded antiterminator Q gene present upstream of the Shiga toxin gene stx2.
Increased processing may be required to kill certain strains of E. coli O157, and
the choice of strains used as surrogate markers for processing efficiency is
critical.
PMID- 18044445
TI - Survival and growth of Listeria monocytogenes in broth as a function of
temperature, pH, and potassium lactate and sodium diacetate concentrations.
AB - The objective of this study was to determine the antimicrobial effect of a
combination of potassium lactate and sodium diacetate (0, 1.8, 3, and 4.5%;
PURASAL P Opti. Form 4, 60% solution) on the survival and growth of Listeria
monocytogenes Scott A in pH-adjusted broth (5.5, 6.0, 6.5, and 7.0) stored at 4,
10, 17, 24, 30, and 37 degrees C. Appropriate dilutions of broth were enumerated
by spiral plating on tryptose agar and counted with an automated colony counter.
Growth data were iteratively fit, using nonlinear regression analysis to a three
phase linear model, using GraphPad PRISM. At pH 5.5, the combination of lactate
diacetate fully inhibited (P < 0.001) the growth of L. monocytogenes at all four
levels and six temperatures. At pH 6.0, addition of 1.8% lactate-diacetate
reduced (P < 0.001) the specific growth rate of L. monocytogenes and increased
lag time; however, 3 and 4.5% completely inhibited the growth at the six
temperatures studied. Efficacy of the lactate-diacetate mixture was decreased as
pH increased and incubation temperature increased. Thus, at pH 6.5, at least 3%
was required to retard (P < 0.001) the growth of L. monocytogenes in broth. There
was a limited effect of the lactate-diacetate level on the specific growth rate
of the pathogen at pH 7.0. However, 1.8 and 3% significantly lengthened the lag
time at 4 and 10 degrees C. These results suggest that 1.8% of lactate-diacetate
mixture can be used as a substantial hurdle to the growth of L. monocytogenes
when refrigerated temperatures are maintained for products with pH less than 6.5.
PMID- 18044446
TI - Effects of essential oils of oregano and nutmeg on growth and survival of
Yersinia enterocolitica and Listeria monocytogenes in barbecued chicken.
AB - The in vitro effects of plant essential oils (EOs) against pathogenic bacteria
are well known, yet few studies have addressed the effects of these compounds
against pathogens associated with ready-to-cook foods. Experiments were conducted
to determine the effectiveness of oregano and nutmeg EOs on the growth and
survival of Yersinia enterocolitica and Listeria monocytogenes in broth culture
and in Iranian barbecued chicken. Ready-to-cook Iranian barbecued chicken was
prepared according to the common practice with 1, 2, and 3 microl/g of oregano
and nutmeg EOs. The test and control (without EOs) samples were inoculated with
Y. enterocolitica and L. monocytogenes to a final concentration of 6 to 7 log
CFU/g and stored at 3, 8, and 20 degrees C. Microorganisms were counted just
before and at 24, 48, and 72 h after storage based on growth on Yersinia
selective agar supplemented with cefsulodine, igrasan, and novobiocin and on
Listeria selective agar supplemented with nalidixic acid and acriflavin. In the
broth culture system, the nutmeg EO had a greater effect on L. monocytogenes (MIC
= 0.20 nicrol/ml) than did the oregano EO (MIC = 0.26 microl/ml). However, the
oregano EO had a greater effect on Y. enterocolitica (MIC = 0.16 microl/ml) than
did the nutmeg EO (MIC = 0.25 microl/ml). In ready-to-cook Iranian barbecued
chicken, the log CFU per gram of both bacteria after up to 72 h of incubation was
not decreased significantly by various combinations of oregano and nutmeg EOs (1,
2, and 3 microl/g) and storage temperatures (3, 8, and 20 degrees C) when
compared with control samples (without EOs). Although examination of spices in
culture media can yield accurate microbiological data, without complementary
tests in foods these data are of limited value for assessing food safety.
PMID- 18044447
TI - Antimicrobial efficacy of eugenol microemulsions in milk against Listeria
monocytogenes and Escherichia coli O157:H7.
AB - The antimicrobial activity of eugenol microemulsions (eugenol encapsulated in
surfactant micelles) in ultrahigh-temperature pasteurized milk containing
different percentages of milk fat (0, 2, and 4%) was investigated. Antimicrobial
microemulsions were prepared from a 5% (wt) aqueous surfactant solution (Surfynol
485W) with 0.5% (wt) eugenol. Two strains each of Listeria monocytogenes and
Escherichia coli O157:H7 previously shown to be the least and most resistant to
the microemulsion in microbiological media were used to inoculate sterile milk
(10(4) CFU/ml). Samples were withdrawn and plated at 0, 1, 3, 6, 12, and 24 h for
enumeration. Microemulsions completely prevented growth of L. monocytogenes for
up to 48 h in skim milk and reduced both strains of E. coli O157:H7 to less than
detectable levels in less than 1 h. Similarly, in 2% fat milk, eugenol-Surfynol
combinations reduced both strains of E. coli O157:H7 to less than detectable
levels in less than 1 h but only increased the lag phase of both strains of L.
monocytogenes. In full-fat milk (4% fat), microemulsions inhibited growth of the
least resistant strains of L. monocytogenes and E. coli but were ineffective
against the two resistant strains. Unencapsulated eugenol was slightly more or as
inhibitory as microemulsions against target pathogens. Results were attributed to
diffusional mass transport of antimicrobials from microemulsions to the
macroemulsion (milk). Results suggest that food composition, especially fat
level, may affect the efficiency of targeting of foodborne pathogens with
surfactant-encapsulated antimicrobials.
PMID- 18044448
TI - Determination of 5-log reduction times for food pathogens in acidified cucumbers
during storage at 10 and 25 degrees C.
AB - Outbreaks of acid-resistant foodborne pathogens in acid foods with pH values
below 4.0, including apple cider and orange juice, have raised concerns about the
safety of acidified vegetable products. For acidified vegetable products with pH
values between 3.3 and 4.6, previous research has demonstrated that thermal
treatments are needed to achieve a 5-log reduction in the numbers of Escherichia
coli O157:H7, Listeria monocytogenes, or Salmonella enterica. For some acidified
vegetable products with a pH of 3.3 or below, heat processing can result in
unacceptable product quality. The purpose of this study was to determine the
holding times needed to achieve a 5-log reduction in E. coli O157:H7, L.
monocytogenes, and S. enterica strains in acidified vegetable products with
acetic acid as the primary acidulant, a pH of 3.3 or below, and a minimum
equilibrated temperature of 10 degrees C. We found E. coli O157:H7 to be the most
acid-resistant microorganism for the conditions tested, with a predicted time to
achieve a 5-log reduction in cell numbers at 10 degrees C of 5.7 days, compared
with 2.1 days (51 h) for Salmonella or 0.5 days (11.2 h) for Listeria. At 25
degrees C, the E. coli O157:H7 population achieved a 5-log reduction in 1.4 days
(34.3 h).
PMID- 18044449
TI - Occurrence of mycotoxin patulin in apple-based products marketed in Tunisia.
AB - An investigation on the presence of mycotoxin patulin was performed on 71 apple
juices and concentrates and 21 infant fruit purees purchased from retail outlets
or producers in Tunisia, by reversed-phase high-performance liquid chromatography
using a C18 column with UV detection at 276 nm, keeping the recovery higher than
96% for spiking levels ranging between 30 and 100 ppb. The detection limit of the
method was found to be 5 ppb. The findings showed that 12% of the samples locally
produced in Tunisia were contaminated by patulin, with a maximum level of 6 ppb,
and 5% of the samples imported and marketed in Tunisia were contaminated at a
mean level less than 6 ppb. No detectable patulin contaminations for apple cider
and infant fruit puree samples were found.
PMID- 18044450
TI - Species-specific identification of penicillium linked to patulin contamination.
AB - Certain species of Penicillium have been reported to produce the mycotoxin
patulin, and research was undertaken to identify these with the use of
oligonucleotide primer pairs. Species examined were found in food, plants, and
soil and were reported to produce patulin. Penicillium expansum is the most
commonly detected species linked to the presence of patulin in apple juice. At
least 10 different enzymes are involved in the patulin biosynthetic pathway,
including the isoepoxydon dehydrogenase (idh) gene. Based on nucleotide sequences
previously determined for the idh gene in Penicillium species, PCR primers were
designed for the species-specific detection of patulin-producing species. The 5'
primers were based on differences in the second intron of the idh gene. To ensure
that the primer pairs produced a PCR product restricted to the species for which
it was designed, and not to unrelated species, all of the primer pairs were
tested against all of the Penicillium species. With one exception, it was
possible to detect a reaction only with the organism of interest. The primer pair
for Penicillium griseofulvum also amplified DNA from Penicillium dipodomyicola, a
closely related species; however, it was possible to distinguish between these
two species by doing a second amplification, with a different primer pair
specific only for P. dipodomyicola. Consequently, with different primer sets, it
was possible to identify individual patulin-producing species of Penicillium.
PMID- 18044451
TI - Preparation-free method for detecting Escherichia coli O157:H7 in the presence of
spinach, spring lettuce mix, and ground beef particulates.
AB - We show the detection of 100 cells per ml of Escherichia coli O157:H7 in the
presence of spinach, spring lettuce mix, and ground beef washes and particulate
matter with piezoelectric-excited millimeter-sized cantilever (PEMC) sensors. The
PEMC sensors (sensing area, 2 mm2) were immobilized with polyclonal antibody
specific to E. coli O157:H7 (EC) and were exposed to 10 aqueous washes of locally
purchased spinach, spring lettuce mix, and ground beef for testing if EC was
present. Absence of resonance frequency shift indicated that EC was not present
in the 30 samples tested. Following the last sample in each food matrix, 1,000
cells per ml of EC were spiked into the sample container, and resonance frequency
change was monitored. The total resonance frequency change was 880 +/- 5, 1,875
+/- 8, and 1,417 +/- 4 Hz for spinach, spring lettuce mix, and ground beef,
respectively. A mixture of the three food matrices spiked with 100 cells per ml
of EC gave a sensor response of 260 +/- 15 Hz. The resonance frequency changes
are approximately 40% lower than our previously reported study on ground beef. It
is suggested that the reduction in sensitivity is due to differences in pathogen
adherence to food matrices, which affects target binding to the sensor surface.
We conclude that detection selectivity is conserved in the three food matrices
examined and that the magnitude of sensor response is a function of the food
matrix.
PMID- 18044452
TI - Impact of dilution ratios on Listeria monocytogenes growth during University of
Vermont medium enrichment of deli meats.
AB - In the U.S. Department of Agriculture (USDA) method for Listeria detection, a 25
g composite food sample is enriched in 225 ml of University of Vermont medium
(UVM), giving a detection limit of 0.04 CFU/g. However, in a recent large-scale
four-state deli meat survey for L. monocytogenes, 125-g samples enriched in 1,125
ml of UVM were requested to increase the detection limit to 0.008 CFU/g. To
circumvent problems associated with large volumes of UVM, the impact on L.
monocytogenes growth of lower dilution ratios used for enrichment and most
probable-number (MPN) detection was compared with the results obtained using the
conventional 1:10 dilution. In this study, 125-g samples of cured turkey, uncured
turkey, ham, and roast beef were inoculated with a six-strain L. monocytogenes
cocktail to contain approximately 1 x 10(3) CFU/g. This cocktail was then diluted
1:3, 1:5, or 1:10 in UVM, homogenized, enriched at 30 degrees C, and periodically
plated on modified Oxford agar to determine generation times during 24 h of
incubation. The same enrichment protocol was also assessed in a three-tube MPN
assay using 125-g samples inoculated with L. monocytogenes to contain
approximately 1 CFU/g. The effects of two homogenization methods, stomaching and
pulsifying, on Listeria growth were compared using oven-roasted turkey breast
diluted 1:3, 1:5, and 1:10 in UVM. Overall, the growth rates, generation times,
and MPN values for each of the four selected deli meats were similar (P > 0.05)
using UVM enrichment ratios of 1:3, 1:5, and 1:10, with no significant (P > 0.05)
differences in L. monocytogenes growth rate or generation time between
experiments using pulsifying and stomaching. These findings indicate that lower
volumes of UVM can be used in the USDA procedure when examining deli meats
without compromising Listeria recovery.
PMID- 18044453
TI - Comparison of dry sheet media and conventional agar media methods for enumerating
yeasts and molds in food.
AB - A study was done to compare Nissui Compact Dry Yeast and Mold plates (CDYM), 3M
Petrifilm Yeast and Mold count plates (PYM), dichloran-rose bengal
chloramphenicol (DRBC) agar, and dichloran 18% glycerol (DG18) agar for
enumerating yeasts and molds naturally occurring in 97 foods (grains, legumes,
raw fruits and vegetables, nuts, dairy products, meats, and miscellaneous
processed foods and dry mixes). Correlation coefficients for plates incubated for
5 days were DG18 versus DRBC (0.93), PYM versus DRBC (0.81), CDYM versus DG18
(0.81), PYM versus DG18 (0.80), CDYM versus DRBC (0.79), and CDYM versus PYM
(0.75). The number of yeasts and molds recovered from a group of foods (n = 32)
analyzed on a weight basis (CFU per gram) was not significantly different (alpha
= 0.05) when samples were plated on DRBC, DG18, PYM, or CDYM. However, the order
of recovery from foods (n = 65) in a group analyzed on a unit or piece basis, or
a composite of both groups (n = 97), was DRBC > DG18 = CDYM > PYM. Compared with
PYM, CDYM recovered equivalent, significantly higher (alpha = 0.05) or
significantly lower (alpha = 0.05) numbers of yeasts and molds in 51.5, 27.8, and
20.6%, respectively, of the 97 foods tested; respective values were 68.8, 15.6,
and 15.6% in the small group (n = 32) and 43.1, 33.8, and 23.1% in the large
group (n = 65) of foods. The two groups contained different types of foods, the
latter consisting largely (73.8%) of raw fruits (n = 16) and vegetables (n = 32).
Differences in efficacy of the four methods in recovering yeasts and molds from
foods in the two groups are attributed in part to differences in genera and
predominant mycoflora. While DG18 agar, CDYM, and PYM appear to be acceptable for
enumerating yeasts and molds in the foods analyzed in this study, overall, DRBC
agar recovered higher numbers from the 97 test foods, thereby supporting its
recommended use as a general purpose medium for mycological analysis.
PMID- 18044454
TI - Preliminary analysis of the lipase gene (gehM) expression of Staphylococcus
xylosus in vitro and during fermentation of naturally fermented sausages (in
situ.
AB - Coagulase-negative catalase-positive cocci (CNCPC) play a very important role
during the fermentation of sausages. In particular, they are involved in the
aroma formation of the final product, because they release lipases that are able
to free short-chain fatty acids that are contributing to the sensory
characteristics of the fermented sausage. Few studies have been undertaken to
elucidate the regulation of lipase gene expression in Staphylococcus xylosus by
substrate molecules or products of lipolysis. The aim of this study was to
analyze the gehM gene expression of S. xylosus DSMZ 6179 in vitro with growth
media containing different concentrations of lipids and in situ during the
maturation of fermented sausages. The results obtained suggest that a
concentration that increases in triglycerides in the growth medium suppresses the
expression of the lipase gene.
PMID- 18044455
TI - High-added-value antioxidants obtained from the degradation of wine phenolics by
Lactobacillus plantarum.
AB - Disposal of the waste from wine production has long been a problem for wineries,
mainly because of the presence of phenolic compounds. In this study, we analyzed
the antimicrobial activities of 10 wine phenolic compounds against Lactobacillus
plantarum strains. Inhibition increased in this order: catechin = gallic acid <
epicatechin = salicylic acid < methyl gallate = caffeic acid < ferulic acid =
tryptophol < p-coumaric acid. The obtained results indicated that L. plantarum is
able to grow in the presence of high concentrations of some wine phenolic
compounds. Of the 10 compounds analyzed, only the hydroxycinnamic acids, gallic
acid, and methyl gallate were metabolized by the four L. plantarum strains
studied. Results also revealed that 4-vinylphenol and 4-vinylguaiacol are
originated from p-coumaric and ferulic acids. These phenolic compounds are
valuable intermediates in the biotechnological production of new fragrances. In
addition, gallic acid and its ester, methyl gallate, are metabolized to produce
the powerful antioxidant pyrogallol. Therefore, it might be possible to use L.
plantarum strains to obtain high-added-value antioxidants from the degradation of
phenolic compounds found in wine wastes.
PMID- 18044456
TI - Approaches for reducing Salmonella in pork production.
AB - Salmonellosis is an important disease in humans and is associated with
contaminated food, including pork products. Salmonella infection is invasive in
humans, but it usually remains latent within the swine population, creating
reservoirs for carcass contamination. Although abattoirs implement stringent
procedures during carcass processing, some raw pork products still have
Salmonella contamination. To reduce the presence of Salmonella, a dynamic picture
of the pork production chain is needed that includes management practices aimed
at health and welfare of swine and practices within swine operations that affect
the environment and community health. Swine practices indirectly influence the
spread of zoonotic enteric pathogens. Pathogens in food animals can escape
detection, and critical control points often are missed. Preharvest growth of
swine by enhancement of normal gut flora and targeting intestinal pathogens
through nonantibiotic approaches might improve food safety and reduce antibiotic
residues. In light of the threat posed by multidrug-resistant pathogens, old
dogma is being revisited with optimism for potential utility in promoting pre-
and postharvest pork safety. This review includes possible approaches that can be
implemented in swine operations and postslaughter during pork processing with
simultaneous omission of subtherapeutic antibiotics to control Salmonella. We
emphasize the vital roles of the veterinarians, pig producers, industry, food
research scientists, and government guidelines for the strategic implementation
of approaches to Salmonella control across the pork production and processing
chains.
PMID- 18044457
TI - Clinical significance of inter-arm pressure difference and ankle-brachial
pressure index in patients with suspected coronary artery disease.
AB - BACKGROUND: Although measuring blood pressure at the bilateral brachia is common
in medical practice, its clinical significance in patients with suspected
coronary artery disease (CAD) has not been fully clarified. METHODS: To define
the significance of inter-arm systolic blood pressure difference in patients with
suspected CAD, and to assess the relationship between inter-arm pressure
difference and CAD, simultaneous brachial and ankle blood pressure measurements
and stress myocardial single-photon emission computed tomography (SPECT) were
performed in 386 consecutive patients with suspected CAD, excluding those with
previous myocardial infarction or coronary revascularization. RESULTS: Subclavian
artery stenosis, defined as > or = 15 mmHg inter-arm systolic blood pressure
difference, was found in 27 patients (7%). Age (65 +/- 12 vs 65 +/- 11 years),
male sex (21/27 vs 244/359), prevalence of hypertension(63% vs 56%),
hypercholesterolemia (63% vs 62%), diabetes mellitus(33% vs 38%), cigarette
smoking (44% vs 41%) and family history of CAD (15% vs 12%) were similar between
patients with subclavian artery stenosis and those without. The incidence of
decreased ankle-brachial pressure index (ABI) was higher (37% vs 12%, p = 0.001),
and percentage ischemic myocardium as assessed by SPECT was greater (9.0 +/- 8.5%
vs 5.6 +/- 6.6%, p < 0.05) in patients with subclavian artery stenosis than in
those without. Furthermore, significant correlations were observed between inter
arm pressure difference and percentage ischemic myocardium (r = 0.13; p = 0.01),
and ABI (r = -0.26, p < 0.0001). Among 386 patients, 283 underwent coronary
angiography, and 63% of those who had inter-arm blood pressure difference had
CAD. Furthermore, 83% of those CAD patients had multi-vessel CAD, which is
regarded as a high-risk subset for subsequent cardiac events. CONCLUSIONS: Inter
arm pressure difference is often found in patients with suspected CAD, and is
associated with significant CAD and peripheral artery disease. Thus, inter-arm
pressure difference may be regarded as a simple marker for coronary and
peripheral artery diseases.
PMID- 18044458
TI - Positive correlation between chymase-like angiotensin II-forming activity in
mononuclear cells and serum cholesterol level.
AB - OBJECTIVES: The local renin-angiotensin system is important in cardiovascular
diseases. The present study examined the association between angiotensin (Ang) II
forming activity in fractionated peripheral leukocytes and atherosclerotic risks
such as blood pressure, smoking, age and serum cholesterol level, and used a new
analytical approach for the measurement of chymase-like activity in peripheral
blood to assess the relationship between the chymase-like activities in
leukocytes and atherosclerotic risks. METHODS: Peripheral blood samples were
obtained from normal and high blood pressure patients in the presence or absence
of ischemic heart disease. Mononuclear cell or polymorphonuclear cell fraction of
leukocyte was isolated by centrifugation with either Lymphoprep or Polymorphprep,
respectively. Chymase-like, angiotensin converting enzyme, and cathepsin G
dependent Ang II-forming activities in the homogenates of mononuclear cell or
polymorphonuclear cell fraction were measured using Ang I as a substrate.
RESULTS: The chymase-like Ang II-forming activity in the mononuclear cell
fraction slightly or significantly increased in non-smoker patients with high
blood pressure (systolic and diastolic blood pressure, p = 0.11; mean blood
pressure, p < 0.05). Chymase-like Ang II-forming activity in the mononuclear cell
fraction positively correlated with serum total cholesterol (p < 0.05) level.
CONCLUSIONS: Our data indicates that chymase in mononuclear cells from peripheral
blood is activated by high blood pressure or hypercholesterolemia.
PMID- 18044459
TI - Prospective follow-up cardiac evaluation of children with Kawasaki disease in
Northern India using the Japanese echocardiography criteria.
AB - OBJECTIVES: There is no information available on the follow-up of children with
Kawasaki disease (KD) in developing countries. This prospective study was
undertaken to evaluate the cardiac abnormalities in a cohort of children with KD
from a tertiary care centre in Northern India. METHODS: Twenty children with
diagnoses of KD and followed-up for at least 3 months in the Pediatric
Rheumatology and Immunology Clinic of the Advanced Pediatric Centre, Post
Graduate Institute of Medical Education and Research (PGIMER), Chandigarh were
evaluated between July 2002 to January 2006. Age of onset of disease ranged from
12 months to 10 years. The male: female ratio was 4:1. All patients had received
intravenous immunoglobulin (IVIG) administration in the acute stage. Chest
radiography showed no abnormalities in the 15 patients in whom it was done.
Electrocardiographic abnormalities were seen in 3 patients in the form of T wave
inversion in 3, ST segment changes in 2, and prolonged PR interval in 1 patient
which normalized on follow-up. The mean time interval between the diagnosis of KD
and first follow-up echocardiography was 7.9 +/- 3.5 months (range 4.4-11.4
months), which was repeated at 1 year and 2 years follow-up in patients who had
abnormal findings. When we analyzed coronary artery diameters using Japanese
Ministry of Health criteria, none of our patients could qualify for a diagnosis
of coronary aneurysm. However, 3 had coronary artery diameters more than + 2 SD
when the body surface area adjusted coronary dimensions were used. RESULTS: One
of our patients also had increased left ventricular dimensions but also had
normal ejection fraction and shortening fraction, and there were no regional wall
motion abnormalities. Mitral valve was thickened in 2 patients and trivial mitral
regurgitation was noticed in 1 patient. Repeat echocardiography done 1 year and 2
years later on follow-up, showed persistence of thickening of the mitral valve
leaflet in one of these but there was no regurgitation. None of our patients had
evidence of cardiac failure, arrhythmia or myocardial infarction. There was no
mortality in this series. Thallium scans were carried out during follow-up on 14
patients in this cohort and 2 patients showed perfusion defects in anterior wall,
septum and posterior wall of lateral ventricle. CONCLUSIONS: We conclude that
significant myocardial dysfunction and coronary artery changes due to KD were
uncommon in our cohort. We speculate that this can be attributed to the IVIG
given to the patients during the acute phase of the illness. To the best of our
knowledge, this is the first study on detailed cardiac follow-up of children with
KD from a developing country.
PMID- 18044460
TI - [Effects on proliferation ability of vascular smooth muscle cells by static
and/or dynamic cell culture: utility of pre-seeding technique for dynamic cell
culture].
AB - OBJECTIVES: Conventional biomaterials are not viable, do not grow, and do not
provide contractile effects in cardiac tissue. Foreign synthetic material may
become thrombogenic or infected. The most recent cardiac constructs consist of
biodegradable material which has the potential to solve these problems. However,
dynamic three-dimensional cell culture is necessary because conventional culture
is limited to construct tough biografts. METHODS: Vascular smooth muscle cells
derived from rat aorta were seeded to poly-L-lactide-epsilon-capro-lactone
copolymer in three groups; static culture group (static cell seeding + static
cell culture), dynamic culture group (dynamic cell seeding + dynamic cell
culture), and pre-seeding group [static cell seeding and culture for 1 week (pre
seeding) + dynamic cell culture]. The dynamic cell culture system used an
original spinner flask. The pre-seeding technique used static cell seeding and
culture before dynamic culture. The three groups were evaluated by cell
proliferation and histologic studies. RESULTS: Vascular smooth muscle cells could
be proliferated in/on the biodegradable materials. The pre-seeding group cells
grew much more efficiently than the other groups. Very few cells were found in
the biodegradable materials with the dynamic groups. However, there were many
cells in the materials with the static culture group and pre-seeding group,
especially the pre-seeding group. CONCLUSIONS: Dynamic culture is useful for
constructing tough biografts by the pre-seeding technique.
PMID- 18044461
TI - Usefulness of ultrasonography in carotid arteries and combined positron emission
tomography/ computed tomography for diagnosis of Takayasu arteritis with unusual
presentation as acute myocardial infarction: a case report.
AB - This unusual case of Takayasu arteritis presenting as acute myocardial infarction
could be defined by ultrasonography and 18-fluorodeoxyglucose positron emission
tomography (18F-FDG PET) coregistered with computed tomography (CT). A 55-year
old male was admitted to our hospital with continuous chest pain and left-side
neck pain. After primary percutaneous coronary intervention, elevation of
inflammatory markers persisted and dull pain in the left side of the neck
continued. Ultrasonography revealed characteristic wall thickening of the left
common carotid artery and subsequent 18F-FDG PET with CT depicted positive uptake
in the left common carotid artery and the vessel wall of the ascending aorta,
confirming the diagnosis of Takayasu arteritis. Three months after angioplasty,
follow-up cardiac catheterization was performed. Coronary angiography showed no
restenosis. During the catheterization, angiography confirmed the mild stenosis
in the long segment of the left common carotid artery and the left subclavian
artery as well as the focal narrowing and the dilation of the abdominal aorta.
This case shows that ultrasonography in the cervical region and combined 18F-FDG
PET with CT may be useful in the diagnosis and evaluation of Takayasu arteritis.
In addition, we should pay attention to underlying disease even in middle-aged or
older male patients with acute myocardial infarction.
PMID- 18044462
TI - [Right ventricular perforation by screw-in lead after permanent pacemaker
implantation: a case report].
AB - A 93-year-old man received a permanent implanted pacemaker(VVI mode) to treat
completed atrioventricular block in our hospital. However, pacing failure
appeared 4 days later. Computed tomography showed right ventricular perforation
by the screw-in lead. There was no evidence of cardiac tamponade or symptoms, so
we inserted another lead into the right ventricular outflow tract without
removing the first lead. This patient still has the pacing lead that perforated
the right ventricle, so careful observation will be needed even after discharge.
PMID- 18044463
TI - [Percutaneous transluminal angioplasty using Filtrap to capture thrombus for
chronic total occlusion of superficial femoral artery: a case report].
AB - A 75-year-old female was admitted for cerebral infarction and arteriosclerosis
obliterans. Computed tomography with contrast medium revealed occlusion of the
superficial femoral artery (SFA). Percutaneous transluminal angioplasty (PTA)was
performed for the SFA (length 30 cm), but massive thrombus was seen in the SFA.
PTA was continued using a Filtrap deployed at the popliteal artery to prevent
distal embolism and the thrombus was captured by the Filtrap. Finally antegrade
blood flow was observed. However, the following day, acute stent thrombosis
occurred and emergent PTA was performed. Suboptimal stent expansion and
dissection were found. Balloon angioplasty was performed using a Filtrap and
another stent was deployed. Final angiography revealed good blood flow in the
SFA. Filtrap may reduce the risk of distal embolism during PTA for the lower
limb.
PMID- 18044464
TI - [A 38-year-old man with complaints of fever and lumbago].
PMID- 18044465
TI - [Guidelines for diagnosis and treatment of aortic aneurysm and aortic dissection
(JCS 2006)].
PMID- 18044466
TI - [Medical informatics--today and tomorrow].
AB - The status of medical informatics, a comparatively new biomedical discipline
beginning to develop in the second half of the 20th century, is described at the
transition into the 21st century. The appearance of new information and
communication technologies, among which Internet nas special importance, was a
major impulse to the development of medical informatics in its different fields.
Health information systems are integrating, while at the same time, by
distribution of their parts, they become available to the individual healthcare
user. These processes put the problems of interoperability and standardization
into the focus of contemporary medical informatics. The electronic health record
is recognized as a key instrument of modern healthcare systems, and its
development and implementation are being planned at many places. Whereas the
research and application of medical decision support systems are stagnating, new
disciplines have emerged such as telemedicine, cybermedicine and bioinformatics.
The perspectives of the future development of medical informatics are described.
In the appendix, a chronology of the development of medical informatics from its
beginning to the present time is given.
PMID- 18044467
TI - [Human leptospirosis in Slavonski Brod, 1995-2005].
AB - Leptospirosis is a worlwide spread zoonosis. During the 1995-2005 period, 54
patients with leptospirosis were recorded at the Institute of Public Health, Brod
Posavina County, yielding an incidence rate of 1.6-8.8 per 100,000 per year. One
(1.85 %) patient died. There were 41 (76%) males. The age of leptospirosis
patients ranged from 15 to 77 (mean 44) years. Forty-three (79.6 %) patients were
from rural areas, and 17%. were farmers. The most frequent serotypes were:
australis (20%), pomona (15%), saxkoebing (10%) and grippotyphosa (7%). Thirty
six (68.5%) leptospirosis cases were notified between July and October. A
significant correlation (r = 0.77; P < 0.01; r2 = 59.3%) was found betwen the
number of leptospirosis cases and average rainfall recorded one month prior to
the diagnosis.
PMID- 18044468
TI - [Study of quality of life in patients with multiple sclerosis].
AB - INTRODUCTION: Multiple sclerosis (MS) is a neurological impairment mostly
affecting younger adults substantially decreasing their working and living
abilities. Different rating scales to determine disabilities are being used:
EDSS, NRS, and CAMBS. The objective of this study was to assess the quality of
life in MS patients, with reference to the disease itself and its treatment,
comparing patients with MS and a matching healthy control group. METHODS AND
SUBJECTS: Subjects were divided into two groups, a group of patients with MS and
a control group of healthy subjects. There were 37 MS patients, 25 women and 12
men. Control group consisted of 51 subjects, 39 women and 12 men. There was no
statistically significant sex difference. The mean age of the MS patients was
45.9 +/- 12.4 years, and of control group 42.4 +/- 10.3 years; yielding no
statistically significant difference. The severity of impairment in MS patients
according to EDSS scale was 3 to 3.5. The quality of life determined by the
prediction and criterion variables was studied in both MS patients groups and
control group. All variables were graded on a 1 to 5 scale. On statistical data
processing chi2 test and t-test were used. RESULTS AND DISCUSSION: Predictors
referring to family history, sexual life, social life, satisfaction with
education, job, social environment, religious life, housing status, financial
status and present family life did not differ between the MS and control group.
MS patients were less satisfied with their place in society, their state of
health and ways of spending their free time. The decrease of satisfaction
correlated with the areas generally known to be directly or indirectly affected
by MS. As to the criterion variable of 'satisfaction with former way of life' no
significant differences were found, since the disease did not affect previous
life achievements. MS patients were less satisfied with their status in society,
their state of health and ways of spending their free time. Changes occurred as a
consequence of substantial life changes caused by the disease, results of
treatments and circumstances in which the patients lives. Results obtained
indicated that patients were aware of their disease, lower quality of life that
limited their daily activities and future plans. Answers referring to the state
of health, social status, and sexual activities did not show any statistically
significant difference between the groups of MS patients and healthy controls,
although it could have been expected according to the experience and customary
expectations in such cases. CONCLUSION: MS patients are mainly satisfied with
what they have achieved previously as young people; they become dissatisfied in
relation to the possible economic and social prospects, and they are aware of
their disabilities and weaknesses, inability for professional development,
working and workplace relationships, more difficult money acquisition, etc.
PMID- 18044469
TI - [Clinical and histopathological characteristics of biopsy-proven renal diseases
in Croatia].
AB - There is little data on the spectrum of renal diseases in children in Croatia.
The Croatian Society for Pediatric Nephrology has established the Registry of
Biopsy-Proven Renal Diseases in an attempt to address this issue nationwide. Here
we report preliminary results of a retrospective analysis of clinical and
histopathological data of 565 children aged < or =17 years presenting to 9
hospitals in Croatia from 1991 to 2004, in whom kidney biopsy was performed. The
most common indication for renal biopsy was nephrotic syndrome (39.1%), followed
by asymptomatic proteinuria/hematuria (22.0%) and acute nephritic syndrome
(17.0%). All biopsies were analysed by light-, immunofluorescent and electron
microscopy. The majority of children, 552 out of 565 (92.4%), had
glomerulonephritis (GN). Tubulointerstitial nephritis was found in 16 (2.8%),
congenital renal parenchyma anomalies in 14 (2.5%) and vascular disease in 11
(1.9%) cases. One (0.2%) child had sarcoidosis with nephrocalcinosis. The sample
was non-diagnostic in 1 (0.2%) case. Among children with GN, primary GN accounted
for 70.9%, secondary GN for 16.1% and hereditary GN for 13.0% cases. The most
frequent primary GN forms were focal segmental glomerulosclerosis (FSGS) (24.6%),
mesangial proliferative glomerulonephritis (MEPGN) (19.2%) and IgA nephropathy
(18.1%). Acute GN in resolution was found in 11.1% and minimal changes GN in 6.8%
of cases. Most children with secondary GN had nephritis of Henoch-Schonlein
purpura (HSP) (54.7%) and nephritis of systemic lupus erythematosus (SLE)
(40.5%), while among hereditary GN Alport syndrome was most common (80.9%). In
the group of children with primary GN who presented with nephrotic syndrome, most
common forms were FSGS (38.5%) and MEPGN (24.0%). Minimal changes GN accounted
for only 10.9% of cases. IgA nephropathy, primary or related to HSP (20.0%), FSGS
(16.1%), MEPGN (12.6%) and Alport syndrome (9.7%) were the most common biopsy
proven renal diseases in Croatian children. The analysis provided data on the
frequency of histological renal lesions in children in Croatia. The higher
frequency of FSGS and MEPGN among Croatian children in comparison with other
countries deserves further evaluation.
PMID- 18044470
TI - [Is there any connection between angiotensin converting enzyme activity and liver
cirrhosis of alcoholic genesis?].
AB - The objective of this study was to assess the serum angiotensin converting enzyme
(ACE) activity in patients with liver cirrhosis caused by chronic alcohol
consumption, in order to get better insight into the function of the renin
angiotensin system. PATIENTS AND METHODS: Serum level of ACE activity was
measured by Neels spectrophotometry in 35 alcoholic liver cirrhosis patients
classified according to Child-Pugh-Turcotte criteria and 35 dyspeptic patients
with any liver disease excluded (control group). RESULTS: Serum values of ACE
were statistically significantly higher (p < 0.00001) in the group of liver
cirrhosis patients (x = 250.16 +/- 85.5 nmol) than in the control group (x =
115.88 +/- 58.19 nmol). The highest levels of ACE were measured in class B group
of liver cirrhosis patients vs. class A and class B groups (p < 0.013).
CONCLUSION: It is concluded that liver cirrhosis patients have elevated ACE
levels, which coud be useful in the diagnosis and follow up of these patients.
PMID- 18044471
TI - [The relationship of periodontitis and diabetes mellitus].
AB - Periodontitis is a chronic, dental-plaque induced inflammatory disease of the
tooth-supporting tissues, resulting in a gradual loss of connective tissue
attachment and alveolar bone. The interrelationship between diabetes mellitus and
periodontitis has been studied for many years. At,present, there is strong
evidence to suggest that the incidence and severity of periodontitis is
influenced by the presence or absence of diabetes mellitus as well as by the
degree of diabetes control by patients. Elevated blood glucose levels in poorly
controlled diabetics result in an increase of protein glycosylation leading to
amplified formation of so-called Advanced Glycation End products (AGE). AGEs are
glucose products that have the ability to attract and stimulate inflammatory
cells to produce inflammatory cytokines, elevating the risk of periodontal
attachment and/or alveolar bone loss. Gram-negative periodontal infection
significantly decreases glucose tolerance and can lead, like other types of
inflammation, to an increase in the severity of diabetes. Thus, diabetes and
periodontal disease form a system in which periodontitis is aggravated and
metabolic control of blood glucose levels becomes more difficult. This in turn
leads to mutual aggravation that results in a self-enforcing catabolic process, a
vicious circle of inflammation, tissue destruction and insulin resistance.
PMID- 18044472
TI - [Topical corticosteroids and corticosteroid sparing therapy in psoriasis
management].
AB - Psoriasis is a chronic, recurrent, genetically determined, inflammatory
dermatosis that affects the skin, scalp and joints. Psoriasis is caused by
various triggers (infections, drugs, physical and emotional factors). It ranges
in severity from mild to severe, and patients with moderate to severe disease
suffer significant deterioration in the quality of life. Clinical types of
psoriasis are psoriasis guttata, nummular psoriasis, plaque, generalized and
erythrodermic psoriasis. Skin changes affect intertriginous regions (inverse
psoriasis), and there also are special forms of pustular psoriasis and
arthropathic psoriasis. The goals of psoriasis treatment are to gain initial and
rapid control of the disease; to decrease plaque lesions and percentage of body
surface area involved, to achieve long-term remission; and to minimize adverse
events. Topical treatment for mild psoriasis includes topical corticosteroids,
calcipotriene, tazarotene, topical tars, anthralin and keratolytics, and
immunomodulators (pimecrolimus, tacrolimus). The treatment of moderate to severe
psoriasis includes systemic therapies such as methotrexate, acitretin,
cyclosporine, hydroxurea and biologicals. Topical treatment can be effective
using combination, rotational or sequential regimens for patients with more
severe disease. The aim of successful treatment of psoriasis is fast control of
the disease and regression of lesions in a short period, prolonged remission and
minimal adverse reaction. Local therapy with various topicals is administered for
mild and localized forms of the disease, with or without phototherapy (UVB).
Topical corticosteroids are used in a variety of formulations, with a potential
ranging from superpotent to least potent (class 1-7), which decrease symptoms in
tne first two weeks of treatment with improvement in subsequent weeks; D3 vitamin
analog (effective in 6-8 weeks), retinoids (effective in 1-2 weeks), tars (2-4
weeks), anthralin (2-4 weeks), and keratolytics (most effective in combination
with corticosteroids. Topical corticosteroids have been the first choice in the
treatment of and inflammatory dermatoses since 1952 to the present.
Corticosteroids are effective as monotherapy or in combination for sequential or
rotational treatment. They are effective in short time, simple for use and
inexpensive. Psoriasis is a chronic skin disease that requires long-term therapy.
For patients with mild to moderate form, intermittent corticosteroid therapy is
the most effective treatment. Every-other-day or weekend-only application may be
effective in chronic stage. Calcipotriene and tazarotene are more effective in
combination with corticosteroids in the initial weeks of therapy. Tar
preparations, anthralin and keratolytics may be used with ultraviolet light and
corticosteroids. Topical immunomodulators are effective on the face and
intertriginous psoriatic lesions.
PMID- 18044474
TI - [Subtenonial application of triamcinolone in neovascular form of senile macular
degeneration].
AB - The aim of this prospective study was to investigate the effectiveness of sub
tenon application of triamcinolone for neovascular age-related macular
degeneration. The study included 38 eyes (26 patients; 14 women and 12 men, aged
63 to 82 years) with newly diagnosed subfoveal neovascular age-related macular
degeneration. Each eye received sub-tenon injection of 0.5 mL suspension of
triamcinolone-acetonide (20 mg of active substance). Follow up period was 12
months. After initial application, the injections were repeated on individual
case, none to five times. Elevated intraocular pressure occurred in five patients
(seven eyes) and was treated with topical antiglaucomatous therapy. Transient
ptosis of upper eyelid occurred in one case and completely recovered in two days.
The mean visual acuity was 0.13 +/- 0.17 on the beginning of the study and 0.22
+/- 0.22 at the end of the study. Visual acuity improved in 15/38 (39%) eyes,
remained unchanged in 10/38 (26%) eyes, and worsened in 13/38 (35%) eyes.
Improvement by three or more lines on Snellen optotype occurred in two cases.
Comparing our results to those from other authors, sub-tenon application of
triamcinolone did not prove as effective as when applied intravitreally, but is
substantially less expensive and easier to perform.
PMID- 18044473
TI - [Influence of psychoneuroimmunologic factors on patients with malignant skin
diseases].
AB - It has been confirmed in research that immune system plays an important role in
the development of some tumors, for example skin tumors. Different immune
factors, such as NK cells, T cells, various interleukins and interferon-gamma
(IFN-gamma), have a significant influence on the process of tumor development and
appearance of metastasis. On the other hand, many studies have confirmed the
influence of psychological factors on different aspects of the immune system,
including the immune cells important in the process of tumor development, and it
is supposed that psychological factors in this way subsequently influence tumour
development. It is assumed that endocrine system also has important role in
process of growth and development of skin tumor. Some studies indicate the
importance of cortisol, ACTH, and female sex hormones in the occurrence of skin
tumors, especially malignant melanoma. It has been confirmed in research that
there is a significant influence of psychological factors on the secretion of
some hormones, mainly cortisol. Finally, some studies have shown that
psychological distress may have an impact on two important aspects of
carcinogenesis: repair of damaged cellular DNA and apoptosis. Many carcinogens
stimulate tumor development just through destruction of cell DNA, which leads to
an increased number of abnormal cells, therefore DNA repair acts as one of the
key mechanisms in the fight against carcinogens. Apoptosis as a process of
autodestruction of damaged cells also represents an important mechanism of
antitumor actions. Correlation studies have given important contributions to the
confirmation of connections between the psychological, immune and endocrine
factors in tumor development, but intervention studies can give a more detailed
insight into these connections. Although it was supposed that psychological
factors could influence the process of tumor development, only lately, with
improvement in the area of psychoneuroimmunology, new methods and study designs
have appeared that allow for examination of the process underlying this
influence.
PMID- 18044475
TI - [Painful ophthalmoplegia--Tolosa-Hunt syndrome].
AB - Tolosa Hunt syndrome is a rare disorder caused by nonspecific inflammation in the
cavernous sinus/superior orbital fissure and/or orbital apex. It is clinically
characterized by alternating remissions and exacerbations, and manifested as
diplopia associated with unilateral periorbital hemicranial headache. The
symptoms include blepharoptosis, which is usually mild if present, bulbomotor
paresis involving the pupil, and loss of sensation in the area supplied by the
first division of the trigeminal nerve. Therapy for Tolosa-Hunt syndrome are
systemic steroids. The course of disease in a 25-year-old man hospitalized for
painful ophthalmoplegia and diplopia is presented. The history included severe
pain on rightward eye movement and parabulbarly on the right, considerable defect
in the area supplied by the first division of the trigeminal nerve, right
hemicrania, and diplopia on looking to the left, right, upward and downward that
developed after four days. A month before, the patient was observed at neurology
department for severe right hemicrania. Current status included severe pain
parabulbarly on the right, discrete proptosis with mild ptosis on the right eye,
restricted right eye bulbar motoricity on looking nasally, nasally upward and
downward, and loss of sensation in the area supplied by the first division of the
trigeminal nerve. Pupilar motoricity was normal. Upon admission, neuroradiologic
examination (orbit CT) and brain MR were performed, and therapy with systemic
corticosteroids was initiated ex iuvantibus, in consultation with a neurologist.
At 24 hours of corticosteroid therapy, the pain subsided, whereas diplopia
disappeared almost completely after 5 days, now being only occasionally recorded
on looking to the left and upward.
PMID- 18044476
TI - [Value of ultrasound-guided percutaneous renal biopsy in diagnosis of the renal
diseases].
AB - Percutaneous renal biopsy (PRB) is an integral part of the clinical practice of
nephrology. It is a safe and effective tool in the diagnosis of glomerular,
vascular and tubulointerstitial diseases of the kidney, providing information
that is invaluable in prognosis and patient management. PRB of native kidneys was
performed by nephrologists in 249 patients consecutively from May 1997 through
May 2005 at the Department of Nephrology, Dubrava University Hospital, Zagreb,
Croatia. The biopsy was done using continuous ultrasound guidance and a 16-gauge
biopsy needle (Tru-Cut) in an automated gun (Biopty Bard). All biopsies were
processed for light, immunofluorescence and electron microscopy. We analyzed
yield of diagnostically useful material and frequency of postbiopsy complication.
Adequate tissue for histologic diagnosis was obtained in 95% of the procedures.
The mean glomerular yield was 11.9 glomeruli. The main indications for renal
biopsy were nephrotic syndrome (33%) hematuria and/or non-nephrotic proteinuria
(13%) and renal failure (12%). The dominant types of primary glomerulonephritis
(GN) were focal segmental glomerulosclerosis (FSGS) in 27%, mesangioproliferative
in 13%, IgA nephropathy in 11%, membranous GN in 11%, membranoproliferative GN in
5%, crescentic GN--5%, and minimal change disease (MCD) in 3% of cases. The most
frequent complications were perirenal hematoma (clinically asymptomatic) in 3.6%,
macrohematuria in 1.2%; bleeding complications requiring blood transfusion and/or
therapeutic radiologic intervention were not seen, and surgical procedure was
indicated in one (0.4%) patient. We conclude that real-time ultrasound is a safe,
accurate method in localizing the kidney for percutaneous renal biopsy and a very
effective approach for early detection of perirenal hematoma and other potential
postbiopsy complications. The present data are an important contribution to the
epidemiology of renal disease, highlighting significant epidemiological
differences in European countries, particularly a higher incidence of FSGS as a
proportion of primary GN in our population. This report represents a basis for
the future Croatian Registry of Renal Biopsies and is intended to serve as a
source of information for further studies.
PMID- 18044477
TI - [Combined surgical-orthodontic therapy for compound odontoma].
AB - Odontogenic tumor is a rare condition in dental medicine that mostly proceeds
unrecognized until the occurrence of clinical symptoms such as delayed eruption,
or is incidentally detected on routine x-ray examination. The exact cause is not
known, however, previous dental trauma and infection have been postulated as the
potential factors in the development of odontogenic tumor. The earliest possible
operative extirpation of the tumorous growth is recommended to eliminate
permanent tooth impaction and to enable normal growth of the teeth. In some
cases, corticotomy, including complete removal of the bony coat of the tooth, may
be needed to additionally facilitate and precipitate its eruption. Orthodontic
therapy is also of great importance in correct alignment of the teeth 'n the
dental arch as well as in the management of other anomalies that may be
associated with odontogenic tumor. A patient with compound odontoma is presented,
along with the course of combined surgical-orthodontic therapy. The patient
reported previous intrusion trauma that had occurred at the age of 4 years, which
may have been the potential factor in the development of odontoma. In this case,
there was a massive odontogenic tumor which had compromised the growth of
permanent teeth, and the growth impulse was almost at the end since the patient
was 11 years old and the apexes of the upper incisors were partially closed. The
first operation included complete removal of the tumorous mass that had
interrupted spontaneous eruption of the upper permanent incisors. It did not
result in immediate spontaneous tooth eruption, so an additional operation was
needed. The objective of the second operative procedure was complete removal of
the covering bone over the unerupted upper permanent incisors in order to
eliminate the physical barrier to tooth growth and eruption. The objective of
fixed orthodontic therapy was full eruption of the partially erupted upper
incisors. After 16 months, the upper incisors were regularly located in the
dental arch. In this case, orthodontic therapy had another objective, i.e. to
ensure rotation of the first upper premolar, to provide space for the upper
permanent canine eruption and to establish regular intercuspidation after upper
second premolar hypodontia. In colclusion, combined operative and orthodontic
therapy can be recommended irrespective of the stage of the impacted tooth
development because any treatment to precipitate tooth eruption has favorable
effects. Impacted teeth should always be provided all treatment options for
faster eruption, as demonstrated in our case where a good clinical result was
achieved within 2.5 years. The role of regular clinical and x-ray controls for
assessment of the impacted tooth eruption should also be emphasized.
PMID- 18044478
TI - [Lipomatosis pelvis].
AB - The article is a discussion of three cases of lipomatosis in the pelvis and of
the surgical treatment undertaken in each case. In the first two cases, fatty
tissue compressed the lower uriunary tract, and in the third, the rectosigmoid
intestine. In the third case, the patient was also diagnosed as having a
malignant rectum polyp. In all three cases, the diagnosis by ultrasound,
intravenous urography and rectoscopy was confirmed by CT. All three patients
underwent surgery. The first patient was erroneously surgicaly treated in another
clinic. The operation involved resection of the terminal part of ureters and, on
the antireflux principle, the reimplantation of ureters into the bladder. The
patient's condition seriously deteriorated in a short time. In the second case,
we removed some fatty tissue from the patient's pelvis and performed
ureteroileocutaneostomy. At present, 14 years since the operation, the patient's
condition is good. The third patient had malignant rectum polyp, so we removed a
large part of fatty tissue and performed resection of the anorectum and the
distal part of the sigmoid colon, together with "anus praeter naturalis
unipolaris sigmoidalis". The patient's condition, 10 years since the operation,
is good.
PMID- 18044480
TI - [Asbestosis--physical examination and symptoms].
AB - The study included 318 asbestos exposed workers. The aim was to investigate the
symptomatology and clinical findings over an average period of ten years. We took
in cosideration quantitative data and analyzed them by statistical methodology of
univariate and multivariate data analysis and chi2-test. Chest radiography,
clinical and functional findings were used as a diagnostic tool. The most common
finding was dyspnea, then cough and chest pain. Clinical symptoms were more
common in workers exposed to crysotile asbestos than in those exposed to
crocidolite asbestos. There is strong evidence for association between the
symptoms and the duration of asbestos exposure. The symptoms were not specific
for asbestosis. A significant positive correlation was found between physical
examination and duration of asbestos exposure. Basal rales and pleural rub were
recorded in 72% of exposed workers.
PMID- 18044479
TI - [Additive therapy with carboanhydrase inhibitors for open angle glaucoma
previously treated with timolol 0.5% drops].
AB - Inhibitors of carboanhydrase (ICA) in the form of dorzolamide 2% drops and
brinzolamide 1% drops are in use for 8-10 years, with a significant intraocular
pressure (IOP) lowering effect. The goal of the present study was to obtain
precise data on the efficacy of local ICA in conjunction with timolol 0.5% drops,
and to evaluate the incidence of side effects. This was a prospective study that
lasted 2 years and included 110 patients. Initial IOP values, before therapy with
ICA, amounted to 19 +/- 8.4 mm Hg in group A and 20.5 +/- mm Hg in group B.
Although the results obtained demonstrated statistically significant lowering of
IOP (in group A by 4.5 +/- 2.4 mm Hg, and in group B by 4.6 +/- 2.1 mm Hg) after
therapy with ICA, the difference between the groups was not found. Dorzolamide
more frequently caused local side effects like aching, itching and pain in the
eye. Aditional lowering of lOP in our patients was somewhat greater than reported
before (4.6:4.3). Side effects (itching, aching, pain) were three times more
frequent in the group treated with dorzolamide than reported by other authors;
this difference could be due to patient age, as our patients were mostly elderly
people and the data are subjective. The results of this study suggested the
effectiveness of additional local therapy with ICA in patients with open angle
glaucoma.
PMID- 18044481
TI - [The disappearance of CD20 positive lymphocytes in the pleural effusion after
intrapleural application of rituximab].
AB - A 63 year old woman with non-Hodgkin lymphoma presented with unilateral pleural
effusion, which when aspirated revealed CD19 and CD20 positive malignant cells.
Prior to this, the patient had received several lines of chemotherapy (CHOP, VAD,
FED) with no effect on pleural effusion. Repeated percutaneous drainage
procedures were unable to control the effusion either. Rituximab was therefore
instilled in a dose escalating manner via repeated pleurocenteses. Fifty days
after the application of rituximab, pleural effusion was still present but
reduced in size. Flow cytometry and immunocytochemistry performed on the same day
showed CD19 positive cells which were lacking CD20 epitope, which could be
explained by either engagement or destruction of the CD20 epitope upon
interaction with rituximab making the detection of the CD20 molecule impossible
by routine flow cytometry. What is especially interesting is the fact that even
50 days after the application of rituximab intrapleurally no new CD20 positive
cells could be found in the pleural effusion by immunochemistry or flow
cytometry, opening an interesting issue concerning the length of rituximab's
activity when applied locally. Although our patient had no adverse effects,
further analysis of rituximab's activity and safety when applied intrapleurally
is warranted.
PMID- 18044482
TI - [Mediterranean spotted fever in north Dalmatia: is there a problem?].
AB - We analyzed clinical and therapeutic characteristics of Mediterranean spotted
fever (MSF) in north Dalmatia. Analysis was conducted in 93 patients hospitalized
with MSF at Zadar General Hospital during the 1988-2000 period. The most
frequently found signs of the disease were high fever (91; 97.8%), maculopapular
rash (89; 95.7%), headaches (84; 90.3%), arthralgia (75; 80.6%), exhaustion (75;
80.6%) and nausea (65; 69.9%). Tache noire, as a pathognomonic sign of MSF, was
found in 22 (23.7%) patients. The most frequently indicated diagnoses were febris
cum exanthemate (43; 46.2), rickettsiosis suspecta (21; 22.6%) and exanthema
maculopapulosum (15; 16.1%). Early therapeutic efficiency was achieved by
doxycycline in 34/43 (79.1%), and by ciprofloxacin in 10/14 (71.4%) treated adult
patients, and by azithromycin in 7/9 (77.8%) children. The identification of MSF
endemic rickettsiosis in north Dalmatia, serious clinical forms of the disease
and the success of early and adequate anti-rickettsial antibiotic therapy are a
clear warning that our physicians must be very familiar with this disease and
include this rickettsial disease in differential diagnosis of acute febrile
diseases accompanied by rash.
PMID- 18044483
TI - [Gastrointestinal tuberculosis--case report].
AB - Isolated tuberculosis of gastrointestinal tract is a very rare disease most
commonly localized in the ileo-cecal region (over 85% of the cases). The main
object of surgical therapy is intraperitoneal tuberculosis (IP-TB), which leads
to complications such as bowel obstruction, perforation, fistulation and
bleeding. Since gastrointestinal tuberculosis can mimic symptoms found in Crohns'
disease and ileocecal cancer, definitive diagnosis can only be obtained by the
finding of Mycobacterium tuberculosis in tissue and stool sample as well as by
positive microbacterial cultivation. A 35 year old female patient was admitted to
surgical ward with clinical and radiological signs of ileus. From personal
medical history as well as previous medical documentation we learned that the
patient had been treated in 1995 for lung and larynx tuberculosis at Jordanovac
Hospital in Zagreb. After preoperative preparation, the patient underwent surgery
during which we found numerous stenoses in the region of terminal ileum and
cecum. Due to the patient's general condition, surgical treatment was performed
in two acts. In the first we established an L-L ileotransverse anastomosis, and
in the second we made the resection. The diagnosis was confirmed by histological
findings of Mycobaterium tuberculosis in stool and tissue samples as well as in
resection material during operation. The early postoperative period proceeded
free from complications and after surgical treatment the patient was referred to
the Klenovnik Special Hospital for Pulmonary Diseases. On follow up 18 months
after the surgery, there were no signs of gastrointestinal involvement.
PMID- 18044484
TI - Peak oil or peak emissions?
PMID- 18044485
TI - The CO2 sponge.
PMID- 18044486
TI - The fate of fluorotelomer firefighting foams.
PMID- 18044487
TI - Wind energy on demand.
PMID- 18044488
TI - Formulating green flame retardants.
PMID- 18044489
TI - Nonpersuasive communication about matters of greatest urgency: climate change.
PMID- 18044490
TI - Assessing the relationship between extensive use of organochlorine pesticides and
cooling trend during the mid-20th century in the southeastern United States.
AB - In contrast to global warming, temperatures in the southeastern United States
have exhibited a decreasing trend of up to 1-2 degrees C over the last century.
We investigated the relationship between this cooling trend and the extensive use
of organochlorine pesticides--particularly dichloro-diphenyl-trichloroethane
(DDT) and toxaphene--in the southeastern U.S. from the mid-1940s through the
1970s. Based on annual use and soil residue estimates, it is shown that
enthalpies associated with the endothermic evaporation of pesticides from soil
resulted in surface temperature decreases of up to -0.2 degrees C. This is the
first study to show the inverse correlation between surface air temperature and
pesticide use. These findings highlight the potential contribution of the
extensive use of organochlorine pesticides to changes in the surface air
temperature, especially in regions of intensive agriculture and pesticide use.
PMID- 18044491
TI - Allocation of routinely monitored mixing ratios of nitrogen oxides to their
sources.
AB - In many urban areas throughout the world, the rising mean and peak levels of
nitrogen oxides (NOx) are a concern. Road traffic and local industry are usually
the major NOx sources in urban environments, buttheir relative contributions to
the spatial distribution of the NOx volumetric mixing ratio is normally unknown.
This missing piece of information is required for designing effective abatement
measures to reduce ambient NOx levels. A new method for estimating the shares of
which traffic and industry contribute to the mean ambient NOx mixing ratios
observed in urban environments is proposed in this paper. The estimation is based
on data obtained by routine air pollution monitoring, using a few assumptions
aboutthe temporal emission patterns of NOx and SO2 in the area of study. A set of
equations is formulated for the unknown industry and traffic contributions to the
NOx mixing ratios at each monitoring site. These equations are solved using the
gradient projection optimization method. The bootstrap technique is used to
estimate the errors in the process. Spatial maps of the separate shares of
industry and traffic in the total ambient NOx levels can be obtained where a
sufficient aerial coverage of stations is available. An application of the method
to the allocation of NOx mixing ratios to traffic and industrial sources in the
Haifa Bay area, Israel, is demonstrated. The results are expected to be useful
for future planning of traffic thoroughfares and industrial development in the
area.
PMID- 18044492
TI - Fine-scale spatial variation of persistent organic pollutants in bottlenose
dolphins (Tursiops truncatus) in Biscayne Bay, Florida.
AB - Bottlenose dolphins (Tursiops truncatus) are long-term residents and apex
predators in southeast U.S. estuaries and are vulnerable to bioaccumulation of
persistent organic pollutants (POPs). Dart biopsy samples were collected from 45
dolphins in Biscayne Bay (Miami, FL), 34 of which were matched using fin markings
to a photo identification catalogue. Blubber samples were analyzed for 73
polychlorinated biphenyl (PCB) congeners, six polybrominated diphenyl ether
(PBDE) congeners, and organochlorine pesticides including dichloro-diphenyl
trichloroethane (DDT) and metabolites, chlordanes, and dieldrin. Total PCBs
(sigma 73PCBs) were present in the highest concentrations and were 5 times higher
in males with sighting histories in the northern, metropolitan area of Biscayne
Bay than males with sighting histories in the southern, more rural area
[geometric mean: 43.3 (95% confidence interval: 28.0-66.9) vs 8.6 (6.3-11.9)
microg/g wet mass, respectively]. All compound classes had higher concentrations
in northern animals than southern. The differences in POP concentrations found on
this small geographic scale demonstrate that differential habitat use can
strongly influence pollutant concentrations and should be considered when
interpreting bottlenose dolphin POP data. The PCB concentrations in northern Bay
dolphins are high as compared to other studies of estuarine dolphins and may
place these animals at risk of reproductive failure and decreased immune
function.
PMID- 18044493
TI - Assessment of the impact of nutrient management practices on nitrate
contamination in the Abbotsford-Sumas aquifer.
AB - The impact of recent changes to nutrient management practices in raspberry fields
on the loading and subsequent transport of nitrate through the vadose zone of the
Abbotsford-Sumas aquifer is investigated numerically. Previous studies have shown
that nitrate concentrations in the aquifer have remained relatively stable
despite a shift in nutrient management practices. Using an estimate of net annual
available nitrogen in fields that are fertilized using synthetic fertilizer,
nitrate concentrations as a function of time and depth through the vadose zone
are simulated from spring to late fall. Results indicate rapid leaching of
nitrate owing to the permeable nature of the aquifer and suggest that nitrate
loading to the water table may occur earlier than previously thought, possibly
due to spring rains. For an average fertilizer application rate of 90 kg of N/ha,
the simulated nitrate concentration on Oct 1 within the top 1 m of soil is 33 mg
of N/kg, while the residual soil nitrate measured in late September was 37 mg of
N/kg. Taking into account the effects of dilution within the saturated zone, the
simulated peak nitrate concentration is similar to average observed peak
concentrations in a shallow monitoring well. A solution is offered for estimating
nitrate concentration at the water table as a function of the rate of synthetic
fertilizer applied to raspberry fields.
PMID- 18044494
TI - Current and historical deposition of PBDEs, pesticides, PCBs, and PAHs to Rocky
Mountain National Park.
AB - An analytical method was developed for the trace analysis of 98 semivolatile
organic compounds (SOCs) in remote, high-elevation lake sediment. Sediment cores
from Lone Pine Lake (west of the Continental Divide) and Mills Lake (east of the
Continental Divide) in Rocky Mountain National Park, CO, were dated using 210Pb
and 137Cs and analyzed for polybrominated diphenyl ethers (PBDEs), organochlorine
pesticides, phosphorothioate pesticides, thiocarbamate pesticides, amide
herbicides, triazine herbicides, polychlorinated biphenyls (PCBs), and polycyclic
aromatic hydrocarbons (PAHs) using this method. SOC deposition profiles were
reconstructed, and deposition half-lives and doubling times were calculated, for
U.S. historic-use pesticides (HUPs) and current-use pesticides (CUPs) as well as
PBDEs, PCBs, and PAHs. Sediment records indicate that the deposition of CUPs has
increased in recent years, while the deposition of HUPs has decreased since U.S.
restriction, but has not been eliminated. This is likely due to the
revolatilization of HUPs from regional soils, atmospheric transport, and
deposition. Differences in the magnitude of SOC sediment fluxes, flux profiles,
time trends within those profiles, and isomeric ratios suggest that SOC
deposition in high-elevation ecosystems is dependent on regional upslope wind
directions and site location with respect to regional sources and topographic
barriers.
PMID- 18044495
TI - Use of chemical fingerprinting to establish the presence of spilled crude oil in
a residential area following Hurricane Katrina, St. Bernard Parish, Louisiana.
AB - Hurricane Katrina's storm surge displaced and damaged a 250,000 barrel storage
tank causing a Nigerian crude oil blend (API 36.4 degrees) to be released and
dispersed into the adjacent evacuated residential area by the retreating
floodwaters. The subsequent environmental assessment involved sampling and
chemical fingerprinting of nearly 15,000 wipe and soil samples collected both
inside and outside of buildings to determine which properties were impacted by
the spilled crude oil. Tier 1 qualitative analysis of gas chromatograms and Tier
2 quantitative (revised Nordtest-type) and qualitative (ASTM D5739-type) analysis
of petroleum biomarkers revealed the extent of crude oil contamination-as well as
the widespread occurrence of hydrocarbons derived from (i) lubricating,
hydraulic, and transmission oils, most likely from vehicles in the flooded area,
and (ii) allochthonous natural organic matter (NOM) from the surrounding bayous.
Conventional oil spill fingerprinting protocols and two-component mixing models
(crude oil/lube oil and crude oil/NOM) were used to confirm the presence of the
spilled crude oil-even when mixed at low concentrations with other hydrocarbon
sources-as a means to develop and govern a settlement and remedial program with
the affected property owners.
PMID- 18044496
TI - Snapping turtles (Chelydra serpentina) as bioindicators in Canadian areas of
concern in the Great Lakes Basin. 1. Polybrominated diphenyl ethers,
polychlorinated biphenyls, and organochlorine pesticides in eggs.
AB - We examined the concentrations and spatial patterns of congeners of PBDEs, PCBs,
and organochlorine pesticides in snapping turtle (Chelydra serpentina) eggs from
Areas of Concern (AOCs) on the Canadian shores of Lake Ontario, St. Lawrence
River, and connecting channels. Eggs from Lyons Creek (Niagara River AOC)
reflected a local PCB source over a range of 7.5 km (3.2-10.8) from the Welland
Canal. PCB contamination in eggs declined with increasing distance from the
Welland Canal, whereas the relative contribution of congeners associated with
Aroclor 1248/1254 increased with sigma PCB concentrations. Compared to turtle
eggs from other sites in Lake Erie and Lake Ontario, eggs from Lyons Creek and
Snye Marsh had PCB congener patterns that reflected a strong contribution from
Aroclor 1254. PCBs in the eggs were associated with industrial sources and
reflected the composition of different Aroclor technical mixtures. Organochlorine
pesticides in eggs tended to be highest at Hamilton Harbour and Bay of Quinte
AOCs, and were dominated by DDE, sigma chlordane, and mirex. In contrast, PBDE
congener patterns in turtle eggs resembled PentaBDE technical formulations
regardless of absolute concentrations or location, and were largely associated
with urban environments.
PMID- 18044497
TI - Riverine discharge of perfluorinated carboxylates from the European continent.
AB - The discharge of C6-C9 perfluorinated carboxylates (PFCAs) from major European
rivers was studied and employed to assess European emissions of these compounds.
Water samples were collected close to the mouths of 14 major rivers including the
Rhine, Danube, Elbe, Oder, Seine, Loire, and Po. PFCA concentrations were
determined using LC-MS/MS and used together with the mean annual water flow to
estimate the riverine discharge of the PFCAs. The highest concentration measured
was 200 ng/L for perfluorooctanoate (PFOA) in the Po River. The Po accounted for
two-thirds of the total PFOA discharge of all the rivers studied, suggesting a
major industrial source of PFOA in the Po watershed. All other nonremote rivers
showed PFOA concentrations in the lower ng/L range, which indicates that widely
distributed sources are also significant contributors to PFOA emissions in
Europe. The total discharge of PFOA from the European rivers was estimated to be
14 tonnes/year, which is in reasonable agreement with reported emissions
estimates. However, the total riverine discharge of perfluorohexanoate (PFHxA) of
2.8 tonnes/year estimated in this study was three times greater than the reported
global emissions estimate, suggesting that there are significant, as yet
unidentified sources of this compound.
PMID- 18044498
TI - Deposition and cycling of sulfur controls mercury accumulation in Isle Royale
fish.
AB - Mercury contamination of fish is a global problem. Consumption of contaminated
fish is the primary route of methylmercury exposure in humans and is detrimental
to health. Newly mandated reductions in anthropogenic mercury emissions aim to
reduce atmospheric mercury deposition and thus mercury concentrations in fish.
However, factors other than mercury deposition are important for mercury
bioaccumulation in fish. In the lakes of Isle Royale, U.S.A., reduced rates of
sulfate deposition since the Clean Air Act of 1970 have caused mercury
concentrations in fish to decline to levels that are safe for human consumption,
even without a discernible decrease in mercury deposition. Therefore, reductions
in anthropogenic sulfur emissions may provide a synergistic solution to the
mercury problem in sulfate-limited freshwaters.
PMID- 18044499
TI - Characteristics of dissolved organic matter in Baltic coastal sea ice:
allochthonous or autochthonous origins?
AB - The origin of dissolved organic matter (DOM) within sea ice in coastal waters of
the Baltic Sea was investigated using parallel factor (PARAFAC) analysis of DOM
fluorescence. Sea ice DOM had distinctly different fluorescence characteristics
than that of the underlying humic-rich waters and was dominated by protein-like
fluorescence signals. PARAFAC analysis identified five fluorescent components,
all of which were present in both sea ice and water. Three humic components were
negatively correlated to salinity and concluded to be terrestrially derived
material. Baltic Sea ice DOM was found to be a mixture of humic material from the
underlying water column incorporated during ice formation and autochthonous
material produced by organisms within the ice. Dissolved organic carbon (DOC) and
nitrogen (DON) concentrations were correlated to the humic fluorescence,
indicating that the majority of the organic carbon and nitrogen in Baltic Sea ice
is bound in terrestrial humic material trapped within the ice. This has
implications for our understanding of sea ice carbon cycling in regions
influenced by riverine input (e.g., Baltic and Arctic coastal waters), as the
susceptibility of DOM to degradation and remineralization is largely determined
by its source.
PMID- 18044500
TI - Mercury depletion events in the troposphere in mid-latitudes at the Dead Sea,
Israel.
AB - The occurrence of mercury depletion events (MDE) in the Polar Regions during the
spring periods has raised global concern due to the biomagnifications of the
deposited mercury into the aquatic food chain. However, it now appears that MDE
is not limited to the Polar Regions and can also occur at mid-latitudes. Diurnal
cycles of mercury, ozone, and BrO behavior based on short-time resolution
measurements are presented for the Dead Sea, Israel, for Summer 2006. The results
show that mercury depletion events occur almost daily, accompanied always by the
presence of BrO and concurrent ozone destruction. The intensity of the MDE
corresponded to increasing BrO levels. Mercury depletions of more than 40% were
observed when BrO levels rose above 60-70 ppt. Based on the present measurements
and supported bytheoretical considerations, it appears that BrOx (BrO + Br) is
the primary species responsible for the mercury depletion at the Dead Sea. The
present study also suggests, especially at low ozone levels, that the Br atom may
play a major role in conversion of the gaseous elemental mercury to the reactive
species, HgBr2. The implications of the present study are that even at low BrO
levels (<10 ppt), mercury depletion may well occur at other mid-latitude sites
and thus needs to be taken into consideration in the global mercury cycle.
PMID- 18044501
TI - Relative congener scaling of Polychlorinated dibenzo-p-dioxins and dibenzofurans
to estimate building fire contributions in air, surface wipes, and dust samples.
AB - The United States Environmental Protection Agency collected ambient air samples
in lower Manhattan for about 9 months following the September 11, 2001 World
Trade Center (WTC) attacks. Measurements were made of a host of airborne
contaminants including volatile organic compounds, polycyclic aromatic
hydrocarbons, asbestos, lead, and other contaminants of concern. The present
study focuses on the broad class of polychlorinated dibenzo-p-dioxins (CDDs) and
dibenzofurans (CDFs) with specific emphasis on the 17 CDD/CDF congeners that
exhibit mammalian toxicity. This work is a statistical study comparing the
internal patterns of CDD/CDFs using data from an unambiguous fire event (WTC) and
other data sets to help identify their sources. A subset of 29 samples all taken
between September 16 and October 31, 2001 were treated as a basis set known to be
heavily impacted by the WTC building fire source. A second basis set was created
using data from Los Angeles and Oakland, CA as published by the California Air
Resources Board (CARB) and treated as the archetypical background pattern for
CDD/CDFs. The CARB data had a congener profile appearing similar to background
air samples from different locations in America and around the world and in
different matrices, such as background soils. Such disparate data would normally
be interpreted with a qualitative pattern recognition based on congener bar
graphs or other forms of factor or cluster analysis that group similar samples
together graphically. The procedure developed here employs aspects of those
statistical methods to develop a single continuous output variable per sample.
Specifically, a form of variance structure-based cluster analysis is used to
group congeners within samples to reduce collinearity in the basis sets, new
variables are created based on these groups, and multivariate regression is
applied to the reduced variable set to determine a predictive equation. This
equation predicts a value for an output variable, OPT: the predicted value of OPT
is near zero (0.00) for a background congener profile and near one (1.00) forthe
profile characterized by the WTC air profile. Although this empirical method is
calibrated with relatively small sets of airborne samples, it is shown to be
generalizable to other WTC, fire source, and background air samples as well as
other sample matrices including soils, window films and other dust wipes, and
bulk dusts. However, given the limited data set examined, the method does not
allow further discrimination between the WTC data and the other fire sources.
This type of analysis is demonstrated to be useful for complex trace-level data
sets with limited data and some below-detection entries.
PMID- 18044502
TI - Phenols and hydroxy-PAHs (arylphenols) as tracers for coal smoke particulate
matter: source tests and ambient aerosol assessments.
AB - Source tests were conducted to analyze and characterize diagnostic key tracers
for emissions from burning of coals with various ranks. Ambient aerosol
particulate matter was also collected in three areas of China and a background
area in Corvallis, OR (U.S.) to confirm the presence of tracers specific for coal
smoke. The results showed a series of aliphatic and aromatic hydrocarbons and
phenolic compounds, including PAHs and hydroxy-PAHs as the major tracers, as well
as a significant unresolved complex mixture (UCM) of compounds. The tracers that
were found characteristic of coal combustion processes included hydroxy-PAHs and
PAHs. Atmospheric ambient samples from Beijing and Taiyuan, cities where coal is
burned in northern China, revealed that the hydroxy-PAH tracers were present
during the wintertime, but not in cities where coal is not commonly used (e.g.,
Guangzhou, South China). Thus, the mass of hydroxy-PAHs can be apportioned to
coal smoke and the source strength modeled by summing the proportional contents
of EC (elemental carbon), PAHs, UCM and alkanes with the hydroxy-PAHs.
PMID- 18044503
TI - Solubility of hematite revisited: effects of hydration.
AB - Measured pH and dissolved ferric iron concentration ([Fe(III)diss]) in contact
with well-characterized hematite indicated an equilibrium with hematite
immediately after synthesis, but [Fe(III)diss] increased with hydration time to
be consistent with the predicted solubility of goethite or hydrous ferric oxide
(HFO), hydrated analogues of hematite. X-ray diffraction did not detect
structural modification of hematite after 190 days of hydration, but Mossbauer
spectroscopy detected hydration that penetrated several crystalline layers. When
the hematite suspension was diluted with water, solids were invariably identified
as hematite, but [Fe(III)diss] and pH indicated an equilibrium with goethite or
HFO. This is the first experimental confirmation that the interfacial hydration
of anhydrous hematite results in higher solubility than predicted by bulk
thermodynamic properties of hematite. Correspondence of the results with
previously published measurements and implications for environmental chemistry of
ferric oxides are also discussed.
PMID- 18044504
TI - Ternary nucleation as a mechanism for the production of diesel nanoparticles:
experimental analysis of the volatile and hygroscopic properties of diesel
exhaust using the volatilization and humidification tandem differential mobility
analyzer.
AB - The volatile and hygroscopic properties of diesel nanoparticles were
simultaneously determined under a range of engine loads using the volatilization
and humidification tandem differential mobility analyzer (VH-TDMA). Additionally,
the VH-TDMA was used to measure changes in the hygroscopic behavior of the
heterogeneously nucleated diesel nanoparticles as one or more semivolatile
species were removed via thermal evaporation or decomposition. Particles produced
at high loads exhibited high, dual-step volatility, while those particles
produced at low loads were less volatile and exhibited continuous volatilization
curves. The hygroscopic growth factor of the particles was shown to be load
dependent with high-load particles exhibiting growth factors similar to that of
ammonium sulfate. At 85% relative humidity, particles produced at moderate loads
exhibited growth factors of approximately 1.1 while low-load particles were shown
to be hydrophobic. Growth factors and volatilization temperatures measured for
high-load particles clearly indicate that ternary nucleation is involved in
particle formation.
PMID- 18044505
TI - How does infiltration behavior modify the composition of ambient PM2.5 in indoor
spaces? An analysis of RIOPA data.
AB - The indoor environment is an important venue for exposure to fine particulate
matter (PM2.5) of ambient (outdoor) origin. In this work, paired indoor and
outdoor PM2.5 species concentrations from three geographically distinct cities
(Houston, TX, Los Angeles County, CA, and Elizabeth, NJ) were analyzed using
positive matrix factorization (PMF) and demonstrate that the composition and
source contributions of ambient PM2.5 are substantially modified by outdoor-to
indoor transport. Our results suggest that predictions of "indoor PM2.5 of
ambient origin" are improved when ambient PM2.5 is treated as a combination of
four distinct particle types with differing infiltration behavior (primary
combustion, secondary sulfate and organics, secondary nitrate, and mechanically
generated PM) rather than as a "single internally mixed entity". Study-wide
average infiltration factors (i.e., fraction of ambient PM2.5 found indoors) for
Relationship of Indoor, Outdoor, and Personal Air (RIOPA) study homes were 0.51,
0.78, and 0.04 (consistent with P = 0.6, 0.9, and 0.09; k = 0.2, 0.1, and 0.6 h(
1)) for PM2.5 associated with primary combustion, secondary formation (excluding
nitrate), and mechanical generation, respectively. Modification of the
composition, properties, and source contributions of ambient PM2.5 in indoor
environments has important implications for exposure mitigation strategies,
development of health hypotheses, and evaluation of exposure error in
epidemiological studies that use ambient central-site PM2.5 as a surrogate for
PM2.5 exposure.
PMID- 18044507
TI - Identification of the intermediates of in vivo oxidation of 1 ,4-dioxane by
monooxygenase-containing bacteria.
AB - 1,4-dioxane is a probable human carcinogen and an emerging water contaminant.
Monooxygenase-expressing bacteria have been shown to degrade dioxane via growth
supporting as well as cometabolic mechanisms. In this study, the intermediates of
dioxane degradation by monooxygenase-expressing bacteria were determined by
triple quadrupole-mass spectrometry and Fourier transform ion cyclotron resonance
mass spectrometry. The major intermediates were identified as 2
hydroxyethoxyacetic acid (HEAA), ethylene glycol, glycolate, and oxalate. Studies
with uniformly labeled 14C dioxane showed that over 50% of the dioxane was
mineralized to CO2 by CB1190, while 5% became biomass-associated after 48 h.
Volatile organic acids and non-volatiles, respectively, accounted for 20 and 11%
of the radiolabeled carbon. Although strains cometabolizing dioxane exhibited
limited transformation capacities, nearly half of the initial dioxane was
recovered as CO2. On the basis of these analytical results, we propose a pathway
for dioxane oxidation by monooxygenase-expressing cells in which dioxane is first
converted to 2-hydroxy-1,4-dioxane, which is spontaneously oxidized to HEAA.
During a second monooxygenation step, HEAA is further hydroxylated, resulting in
a mixture of dihydroxyethoxyacetic acids with a hydroxyl group at the ortho or
para position. After cleavage of the second ether bond, small organic molecules
such as ethylene glycol, glycolate, glyoxalate, and oxalate are progressively
formed, which are then mineralized to CO2 via common cellular metabolic pathways.
Bioremediation of dioxane via this pathway is not expected to cause an
accumulation of toxic compounds in the environment.
PMID- 18044506
TI - Simulation of the chemical fate and bioavailability of liquid elemental mercury
drops from gold mining in Amazonian freshwater systems.
AB - Elemental mercury (Hg(o)) for gold amalgamation is the main process applied by
artisanal gold miners in South America, leading to important discharges into
freshwater ecosystems. Through a 28-day experimental approach based on indoor
microcosms, we simulated the chemical fate and bioavailability of Hg(o) droplets
in the presence or absence of sediment collected from a typical forest creek that
is unaffected by gold mining activities. Our results clearly showed significant
mercury transfers in the water column in both the dissolved gaseous Hg(o) and
oxidized (Hg(II)) forms, with a marked effect of the presence of sediment. After
28 days, Hg total (HgT) concentration in the water column was 25 times higher in
sediment-free units (108 +/- 17 vs. 4 +/- 0.4 nM). Methylmercury (MeHg)
determinations in the dissolved fraction showed a significant increase only in
the presence of sediment after 7 and 14 days. Zebrafish (Danio rerio) were used
as indicators for mercury bioavailability. The HgT determinations in four organs
revealed significant accumulation levels as early as 7 days exposure, with marked
differences in favor of fish collected from the sediment-free units. Significant
MeHg increases were observed in the four organs only when sediment was present.
Genomic tools applied to estimate sulfate-reducing bacteria communities showed
mercury impacts on their diversity and distribution in the different compartments
(water, sediment, biofilm, fish gut).
PMID- 18044508
TI - Influence of dissolved organic matter and Fe(II) on the abiotic reduction of
pentachloronitrobenzene.
AB - Nitroaromatic pesticides (NAPs) are hydrophobic contaminants that can accumulate
in sediments by the deposition of suspended solids from surface waters. Fe(II)
and dissolved organic matter (DOM), present in suboxic and anoxic zones of
freshwater sediments, can transform NAPs in natural systems. We studied the
reduction of pentachloronitrobenzene (PCNB) to pentachloroaniline (PCA) in
controlled studies using Fe(II) and surface water DOM isolates from Pony Lake,
Antarctica, and Suwannee River, GA, in unfiltered and 0.45 microm filtered
solutions. We observed rapid reduction of PCNB to PCA in the presence of Fe(II)
and DOM (t(1/2) approximately = 30 min to 4 h) and very limited reduction in DOM
only systems. DOM in unfiltered systems inhibited iron colloid formation and
potentially limited the formation of reactive Fe(ll)-iron colloid surface
complexes, causing reductive transformation in Fe(II)-DOM media to be slower in
some cases relative to Fe(ll)-only controls. Conversely, in 0.45 microm filtered
solutions, PCNB reduction in Fe(III)-DOM media was faster than the Fe(II)-only
controls, suggesting that DOM enhances the reductive capacity of Fe(ll) in the
absence of iron colloids. This work shows that DOM may significantly affect the
reactivity of Fe(ll) toward NAPs under suboxic and anoxic conditions in natural
wetland sediments.
PMID- 18044509
TI - Speciation-dependent microbial reduction of uranium within iron-coated sands.
AB - Transport of uranium within surface and subsurface environments is predicated
largely on its redox state. Uranyl reduction may transpire through either biotic
(enzymatic) or abiotic pathways; in either case, reduction of U(VI) to U(IV)
results in the formation of sparingly soluble UO2 precipitates. Biological
reduction of U(VI), while demonstrated as prolific under both laboratory and
field conditions, is influenced by competing electron acceptors (such as nitrate,
manganese oxides, or iron oxides) and uranyl speciation. Formation of Ca-UO2-CO3
ternary complexes, often the predominate uranyl species in carbonate-bearing
soils and sediments, decreases the rate of dissimilatory U(VI) reduction. The
combined influence of uranyl speciation within a mineralogical matrix comparable
to natural environments and under hydrodynamic conditions, however, remains
unresolved. We therefore examined uranyl reduction by Shewanella putrefaciens
within packed mineral columns of ferrihydrite-coated quartz sand under conditions
conducive or nonconducive to Ca-UO2-CO3 species formation. The results are
dramatic. In the absence of Ca, where uranyl carbonato complexes dominate, U(VI)
reduction transpires and consumes all of the U(VI) within the influent solution
(0.166 mM) over the first 2.5 cm of the flow field for the entirety of the 54 d
experiment. Over 2 g of U is deposited during this reaction period, and despite
ferrihydrite being a competitive electron acceptor, uranium reduction appears
unabated for the duration of our experiments. By contrast, in columns with 4 mM
Ca in the influent solution (0.166 mM uranyl), reduction (enzymatic or surface
bound Fe(III) mediated) appears absent and breakthrough occurs within 18 d (at a
flow rate of 3 pore volumes per day). Uranyl speciation, and in particular the
formation of ternary Ca-UO2-CO3 complexes, has a profound impact on U(VI)
reduction and thus transport within anaerobic systems.
PMID- 18044510
TI - Dissipation and transport of veterinary sulfonamide antibiotics after manure
application to grassland in a small catchment.
AB - The heavy use of veterinary antibiotics in modern animal production causes
concern about risks of spreading antibiotic resistance after manure applications
to agricultural fields. We report on a field study aiming at elucidating the fate
of sulfonamide (SA) antibiotics in grassland soils and their transport to surface
water. Two controlled manure applications were carried out under different
weather conditions. After both applications, the SA concentrations in pore water
and the total soil content declined rapidly. This stage of fast decline was
followed by a second one during which the SA were rather persistent. More than
15% of the SAs applied were still present in the soil 3 months after application,
always exceeding 100 microg/kg topsoil. The apparent SA sorption increased
strongly with time. Accordingly, the risk for SA losses to water bodies decreased
within 2 weeks to very low values. In contrast to SA concentrations in the soil,
losses to the brook were strongly influenced by the weather conditions after the
two manure applications. The overall losses were 15 times larger (about 0.5% of
applied SA) during the wet conditions of May 2003 compared to the dry conditions
following the first application (March 2003).
PMID- 18044511
TI - Mercury emission to the atmosphere from experimental manipulation of DOC and UVR
in mesoscale field chambers in a freshwater lake.
AB - Mesocosm experiments in an optically transparent lake allow the manipulation of
both dissolved organic carbon (DOC) and incident ultraviolet radiation (UVR) in
order to study mercury reduction and emission processes. In the absence of UVR
and the presence of visible light, mercury emission is very low (approximately0.3
ng/m2/h). When UVR is permitted in the mesocosm chambers, mercury emission
increases, with emission rates ranging from 0.3 to 2.5 ng/m2/h. At concentrations
between 1.5 and 2.5 mg/L DOC, mercury emission does not appear to depend on
either the concentration or the optical properties of the DOC. In particular, the
addition of 1.0 mg/L DOC from a nearby wetland to a photobleached mesocosm did
not increase the emission of mercury. The similarities between mercury emission
from highly photobleached 1.5 mg/L DOC and from terrestrially enriched 2.5 mg/L
DOC suggest that the moieties responsible for mercury reduction are far in excess
of that needed for mercury reduction. Using the measured flux rate of mercury
from the water surface, we calculated a dissolved gaseous mercury (DGM)
concentration thatwould need to be present to drive the emissive flux. The
buildup of DGM was used to approximate a kinetic rate constant for the net
mercury reduction in this system of approximately 0.17 h(-1), which is consistent
with existing published values.
PMID- 18044512
TI - Bioconcentration factor hydrophobicity cutoff: an artificial phenomenon
reconstructed.
AB - The debate on whether highly hydrophobic organic chemicals (with log Kow > 5-6)
bioconcentrate less than may be expected from their hydrophobicity is still not
settled. The often-observed hydrophobicity "cutoff" might either be explained by
artifacts occurring during bioconcentration factor (BCF) measurements or by a
true mechanism, i.e., reduced uptake of larger molecules due to decreased
membrane permeation. In this paper, we advocate there is no hydrophobicity
cutoff, at least not for compounds with log Kow of up to 7.5. Data are presented
on the uptake of polycyclic aromatic hydrocarbons (PAHs) in the aquatic worm
Lumbriculus variegatus. For this combination of chemicals/organism, BCFs were
measured using several approaches, including traditional batch uptake kinetics
measurements and alternative ones, involving solid-phase microextraction (SPME),
polyoxymethylene solid-phase extraction (POM-SPE), field exposures, and the
substitution of living worms by dead worm material or liposomes. A hydrophobicity
cutoff was observed at two levels during the traditional approach only, whereas
for the other approaches it was absent. The data were used to demonstrate the
presence and impact of artifacts due to so-called "third phase effects" and
nonequilibrium conditions that can obscure "true uptake". The experiments suggest
that previously observed cutoff effects can be ascribed to artifacts, and that
current risk assessment (often incorporating a BCF cutoff) as well as BCF
measurement techniques of very hydrophobic chemicals should be revised.
PMID- 18044514
TI - Evidence for elevated production of methylmercury in salt marshes.
AB - Depth variations of total mercury (Hg) and methylmercury (MeHg) concentrations
were obtained in cores from nonvegetated sediments, sediments colonized by
Sarcocornia fruticosa, Halimione portulacoides, and Spartina maritima and below
ground biomass in three Portuguese estuaries. Similar analyses were also
performed on the above-ground plant tissues. Concentrations in below-ground
biomass exceeded up to 9 (Hg) and 44 (MeHg) times the levels in sediments.
Mercury and MeHg in below-ground biomass were up to 400 (Hg) and 4700 (MeHg)
times higher than those found in above-ground parts, indicating a weak upward
translocation. Methylmercury in colonized sediments reached 18% of the total Hg,
which was 70 times above the maximum values found in nonvegetated sediments.
Concentrations of MeHg in vegetated sediments were not related to plant type but
were linearly proportional to the total mercury levels. The analysis of below
ground biomass at high depth resolution (2 cm) provided evidence that Hg and MeHg
were elevated. The higher enrichment factors were found where the shifting of
redox conditions suggested high microbial activity. Mercury and MeHg in below
ground tissues were a function of total levels in sediments and again were not
plant-specific. These results suggest that the bioremediation of mercury
contaminated sediments is likely to increase the formation of methylmercury.
PMID- 18044513
TI - Evidence for a radical mechanism of the dechlorination of chlorinated propenes
mediated by the tetrachloroethene reductive dehalogenase of Sulfurospirillum
muftivorans.
AB - The reductive dehalogenation of chlorinated propenes was studied with the
tetrachloroethene reductive dehalogenase purified from Sulfurospirillum
multivorans to obtain indications for a radical mechanism of this reaction. When
reduced methyl viologen (MV), which is a radical cation, was applied as electron
donor for the reduction of different chloropropenes, a significant part of MV
could not be rereduced with Ti(III) citrate, indicating that a part of the MV was
consumed in a side reaction. Mass spectrometric analysis of assays with MV as
electron donor revealed the formation of side products, the masses of which might
account for the formation of adducts from a chloropropenyl radical and reduced
methyl viologen. With Ti(III) citrate as sole electron donor, 2,3-dichloropropene
was reduced and as a side product, 2,5-dichloro-1,5-hexadiene was formed
demonstrating that the reductive dechlorination of 2,3-dichloropropene proceeds
via a radical reaction mechanism. The results support different dehalogenation
mechanisms forthe reductive dechlorination of chloropropenes and halogenated
ethenes.
PMID- 18044515
TI - Prevalence of multi-antimicrobial-agent resistant, shiga toxin and enterotoxin
producing Escherichia coli in surface waters of river Ganga.
AB - The consumption of polluted surface water for domestic and recreational purposes
by large populations in developing nations is a major cause of diarrheal disease
related mortality. The river Ganga and its tributaries meet 40% of the water
requirement for drinking and irrigation in India. In this study, Escherichia coli
isolates (n=75) of the river Ganga water were investigated for resistance to
antimicrobial agents (n=15) and virulence genes specific to shiga toxin (STEC)
and enterotoxin producing E. coli (ETEC). E. coli isolates from the river Ganga
water exhibit resistance to multiple antimicrobial agents. The distribution of
antimicrobial agent resistance in E. colivaries significantly (chi2: 81.28 at df
= 24, p < 0.001) between the sites. Both stx1 and stx2 genes were present in
82.3% of STEC (n=17) while remaining isolates possess either stxl (11.8%) or stx2
(5.9%). The presence of eaeA, hlyA, and chuA genes was observed in 70.6, 88.2,
and 58.8% of STEC, respectively. Both LT1 and ST1 genes were positive in 66.7% of
ETEC (n=15) while 33.3% of isolates harbor only LT1 gene. The prevalence of multi
antimicrobial-agent resistant E. coli in the river Ganga water poses increased
risk of infections in the human population.
PMID- 18044516
TI - Atmospheric chemistry of 2-ethoxy-3,3,4,4,5-pentafluorotetrahydro-2,5-bis[1,2,2,2
tetrafluoro-1-(trifluoromethyl)ethyl]-furan: kinetics, mechanisms, and products
of Cl atom and OH radical initiated oxidation.
AB - Smog chamber/FTIR techniques were used to study the atmospheric chemistry of the
title compound which we refer to as RfOC2H5. Rate constants of k(Cl + RfOC2H5) =
(2.70 +/- 0.36) x 10(-12), k(OH + RfOC2H5) = (5.93 +/- 0.85) x 10(-14), and k(Cl
+ RfOCHO) = (1.34 +/- 0.20) x 10(-14) cm3 molecule(-1') s(-1) were measured in
700 Torr of N2, or air, diluent at 294 +/- 1 K. From the value of k(OH + RfOC2H5)
the atmospheric lifetime of RfOC2H5 was estimated to be 1 year. Two competing
loss mechanisms for RfOCH(O*)CH3 radicals were identified in 700 Torr of N2/O2
diluent at 294 +/- 1 K; decomposition via C-C bond scission giving a formate
(RfOCHO), or reaction with 02 giving an acetate (RfOC(O)CH3). In 700 Torr of
N2/O2 diluent at 294 +/- 1 K the rate constant ratio k(O2)/k(diss) = (1.26 +/-
0.74) x 10(-19) cm3 molecule(-1). The OH radical initiated atmospheric oxidation
of RfOC2H5 gives Rf0CHO and RfOC(O)CH3 as major products. RfOC2H5 has a global
warming potential of approximately 55 for a 100 year horizon. The results are
discussed with respect to the atmospheric chemistry and environmental impact of
RfOC2H5.
PMID- 18044517
TI - Transport and retention of colloidal aggregates of C60 in porous media: effects
of organic macromolecules, ionic composition, and preparation method.
AB - The physical-chemical behavior of the fullerene C60 in environmental and
physiological media is of interest for understanding the potential transport,
exposure, and impacts of these materials on organisms and ecosystems. We
considerthe role of electrolyte composition and concentration, the effect of
organic macromolecules, and the mode of preparation of colloidal aggregates of
C60 (nC60) on the deposition of these colloids in a porous medium such as a
groundwater aquifer or a water treatment filter. Results for nC60 deposition are
qualitatively consistent with trends anticipated by theory. Deposition was found
to increase with increasing ionic strength, the presence of polysaccharide-type
organic matter, and lower Darcy velocities. Factors that will tend to decrease
the retention of these materials in porous media include a low ionic strength and
the presence of humic-like substances, while the ionic strengths typical of many
natural waters and the presence polysaccharide-based natural organic matter, as
may be produced by algae or bacteria, will tend to favor deposition and reduced
potential for exposure. Variability in the method of preparing colloidal
aggregates of fullerenes was observed to yield significant differences in nC60
properties and transport behavior.
PMID- 18044518
TI - Secondary organic aerosol formation from the photooxidation of p- and o-xylene.
AB - The formation of secondary organic aerosol (SOA) from the photooxidation of
xylene isomers (m-, p-, and o-xylenes) has been extensively investigated. The
dependence of SOA aerosol formation on the structure of xylene isomers in the
presence of NO was confirmed. Generally, SOA formation of p-xylene was less than
that of m- and o-xylenes. This discrepancy varies significantly with initial NOx
levels. In a NOx-free environment, the difference of aerosol formation between o-
and p-xylenes becomes insignificant. Several chemical pathways for the SOA
dependence on structure and NOx are explored, with the experimental findings
indicating that organic peroxides may be a major key to explaining SOA formation
from aromatic hydrocarbons.
PMID- 18044519
TI - Secondary organic aerosol formation from m-xylene in the absence of NOx.
AB - Formation of secondary organic aerosol (SOA) from m-xylene photoxidation in the
absence of NOx was investigated in a series of smog chamber experiments.
Experiments were performed in dry air and in the absence of seed aerosol with
H2O2 photolysis providing a stable hydroxyl radical (OH radical) source. SOA
formation from this study is exceptionally higher than experiments with existence
of NOx. The experiments with elevated HO2 levels indicate that organic
hydroperoxide compounds should contribute to SOA formation. Nitrogen oxide (NO)
is shown to reduce aerosol formation; the constant aerosol formation rate
obtained before addition of NO and after consumption of NO strongly suggests that
aerosol formation is mainlythrough reactions with OH and HO2 radicals. In
addition, a density of 1.40 +/- 0.1 g cm(-3) for the SOA from the photooxidation
of m-xylene in the absence of NOx has been measured, which is significantly
higherthan the currently used unit density.
PMID- 18044520
TI - Influence of sources on plutonium mobility and oxidation state transformations in
vadose zone sediments.
AB - Well-defined solid sources of Pu(III) (PuCl3), Pu(IV) (Pu (NO3)4 and Pu (C2O4)2),
and Pu(VI) (Pu02(NO3)2) were placed in lysimeters containing vadose zone
sediments and exposed to natural weather conditions for 2 or 11 years. The
objective of this study was to measure the release rate of Pu and the changes in
the Pu oxidation states from these Pu sources with the intent to develop a
reactive transport model source-term. Pu(III) and Pu(IV) sources had identical Pu
concentration depth profiles and similar Pu release rates. Source release data
indicate that PuIV(C2O4)2 was the least mobile, whereas Pu(VI)O2(NO3)2 was the
most mobile. Synchrotron X-ray fluorescence (SXRF) revealed that Pu was very
unevenly distributed on the sediment and Mn concentrations were too low (630 mg
kg(-1)) and perhaps of the wrong mineralogy to influence Pu distribution. The
high stability of sorbed Pu(IV) is proposed to be due to the formation of a
stable hydrolyzed Pu(IV) surface species. Plutonium X-ray absorption near-edge
spectroscopy (XANES) analysis conducted on sediment recovered at the end of the
studyfrom the Pu(IV)(NO3)4- and Pu(III)(III)Cl3-amended lysimeters contained
essentially identical Pu distributions: approximately 37% Pu(III), 67% Pu(IV), 0%
Pu(V), and 0% Pu(VI). These results were similar to those using a wet chemistry
Pu oxidation state assay, except the latter method did not detect any Pu(III)
present on the sediment but instead indicated that 93-98% of the Pu existed as
Pu(IV). This discrepancy was likely attributable to incomplete extraction of
sediment Pu(III) by the wet chemistry method. Although Pu has been known to exist
in the +3 oxidation state under microbially induced reducing conditions for
decades, to our knowledge, this is the first observation of steady-state Pu(III)
in association with natural sediments. On the basis of thermodynamic
considerations, Pu(III) has a wide potential distribution, especially in acidic
environments, and as such may warrant further investigation.
PMID- 18044521
TI - Unexpected response of high Alpine Lake waters to climate warming.
AB - Over the past two decades, we have observed a substantial rise in solute
concentration at two remote high mountain lakes in catchments of metamorphic
rocks in the European Alps. At Rasass See, the electrical conductivity increased
18-fold. Unexpectedly high nickel concentrations at Rasass See, which exceeded
the limit in drinking water by more than 1 order of magnitude, cannot be related
to catchment geology. We attribute these changes in lake water quality to solute
release from the ice of an active rock glacier in the catchment as a response to
climate warming. Similar processes occurred at the higher elevation lake
Schwarzsee ob Solden, where electrical conductivity has risen 3-fold during the
past two decades.
PMID- 18044522
TI - Enhanced copper release from pipes by alternating stagnation and flow events.
AB - Traditional studies of copper release in plumbing systems assume that the water
extracted from a pipe follows a plug-type flow and that the pipe surface does not
interact with the bulk water under flow conditions. We characterized actual
stagnation-flushing cycles in a household pipe undergoing corrosion in the
presence of a microbial biofilm. The mass of copper released in 10 experiments
was on average 8 times the value estimated by using the plug-flow assumption. The
experimental copper release pattern was explained by an advection-diffusion model
only if a high copper concentration occurs near the pipe surface after
stagnation. Microscopic examination of the pipe surface showed a complex
assemblage of biotic and abiotic features. X-ray diffraction analyses identified
only malachite, while X-ray absorption spectroscopy also revealed cupric
hydroxide and cuprite. These results indicate that the surface serves as a
storage compartment of labile copper that may be released under flow conditions.
Thus, the diffusive transport from the pipe surface to the bulk during stagnation
is not the only control of the flux of copper to the tap water when porous
reactive microstructures cover the pipe. Our results highlight the need for
models that consider the interaction between the hydrodynamics, chemistry, and
structure at the solid-water interface to predict the release of corrosion
byproducts into drinking water.
PMID- 18044523
TI - Effect of surface chemistry of Fe-Ni nanoparticles on mechanistic pathways of azo
dye degradation.
AB - The degradation of Orange G, a monoazo dye, in aqueous solutions was investigated
using as-synthesized and stored Fe-Ni bimetallic nanoparticles. Batch experiments
with a nanocatalyst loading of 3 g/L showed complete dye degradation (150 mg/L)
after 10 min of reaction time. HPLC-MS analysis of the degradation products
showed that as-synthesized nanoparticles reductively cleaved the azo linkage to
produce aniline as the major degradation product. However, 1-year-stored
nanoparticles showed an oxidative degradation of Orange G through a hydroxyl
radical induced coupling of parent and/or product molecules. XPS analysis in
corroboration with HPLC-MS data showed that the surface chemistry between Fe and
Ni in as-synthesized and stored nanoparticles play a crucial role in directing
the mode of degradation. Reductive dye degradation using as-synthesized
nanoparticles proceeded through hydride transfer from nickel, whereas formation
of a Fe2+ -Ni(0) galvanic cell in stored nanoparticles generated hydroxyl
radicals from water in a nonFenton type reaction. The latter were responsible for
the generation of radical centers on the dye molecule, which led to a coupling
mediated oxidative degradation of Orange G. The generation of hydroxyl radicals
is further substantiated with radical quenching experiments using ascorbic acid
indicating that stored nanoparticles degrade Orange G through a predominantly
oxidative mechanism. HPLC-MS and XPS analysis of dye degradation using as
synthesized nanoparticles exposed to air and water confirmed that the reductive
or oxidative degradation capability of Fe-Ni nanoparticles is decided by the time
and type of catalyst aging process.
PMID- 18044524
TI - Including spatial variability in Monte Carlo simulations of pesticide leaching.
AB - A methodology is developed to quantify the uncertainty in a pesticide leaching
assessment arising from the spatial variability of non-georeferenced parameters.
A Monte Carlo analysis of atrazine leaching is performed in the Dyle river
catchment (Belgium) with pesticide half-life (DT50) and topsoil organic matter
(OM) content as uncertain input parameters. Atrazine DT50 is taken as a non
georeferenced parameter, so that DT50 values sampled from the input distribution
are randomly allocated in the study area for every simulation. Organic matter
content is a georeferenced parameter, so that a fixed uncertainty distribution is
given at each location. Spatially variable DT50 values are found to have a
significant influence on the amount of simulated leaching. In the stochastic
simulation, concentrations exist above the regulatory level of 0.1 microg L(-1),
but virtually no leaching occurs in the deterministic simulation. It is axiomatic
that substance parameters (DT50, sorption coefficient, etc.) are spatially
variable, but pesticide registration procedures currently ignore this fact.
Including this spatial variability in future registration policies would have
significant consequences on the amount and pattern of leaching simulated,
especially if risk assessments are implemented in a spatially distributed way.
PMID- 18044525
TI - Cost effectiveness of regulation-compliant filtration to control sediment and
metal pollution in urban runoff.
AB - The implementation of Total Maximum Daily Load (TMDL) to control urban runoff
presents major structural and managerial challenges for cities. We developed a
decision support system (DSS) for TMDL compliance at the city level to solve for
a phased, least-cost strategy toward meeting four TMDLs using stormwater
filtration. Based on a case-study city, we modeled wet weather flows and
associated discharge of Total Suspended Sediment (TSS), cadmium, copper, and zinc
to receiving waters by coupling U.S. EPA's Storm Water Management Model (SWMM v.
5.0) with the geographic dataset of the urban drainage network. We linked a mixed
integer linear programming algorithm to the watershed model for deriving cost
effective selection and placement of curb inlet filters to meet mass- and
concentration-based TMDL requirements. The least cost solution for meeting the
city's TMDL waste load allocations for TSS (73.9% reduction), Cd (50.6%
reduction), Cu (30.0% reduction), and Zn (55.7% reduction) would require 1071
filter inserts at a cost of $1.7 million. In contrast, random placement of 1071
filters or uniform placement of 1266 filters is effective only for TSS and would
cost $4.0 million and $4.8 million, respectively. Our results demonstrate the
increases in cost-effectiveness of using an optimization-based DSS for urban
watershed management.
PMID- 18044526
TI - Synthesis of octabrominated diphenyl ethers from aminodiphenyl ethers.
AB - Polybrominated diphenyl ethers (PBDEs) are additive brominated flame retardants
(BFRs), which have become widespread pollutants in abiotic and biotic
environments including man. Tetra- to hexaBDEs and decaBDE are the most common
environmental PBDE contaminants. Congeners of octabromodiphenyl ethers (octaBDEs)
originate from used industrial OctaBDE mixtures and from transformation products
of the high-volume industrial BFR mixture "DecaBDE", which most exclusively
consists of perbrominated diphenyl ether (BDE-209). The objective of the present
work was to develop methods for the synthesis of authentic octaBDE congeners in
order to make them available as standards for analytical, toxicological, and
stability studies, as well as studies concerning physical-chemical properties.
The syntheses of six octaBDEs, 2,2',3,3',4,4',5,5'-octabromodiphenyl ether (BDE
194), 2,2',3,3',4,4',5,6'-octabromodiphenyl ether (BDE-196), 2,2',3,3',4,5,5',6
octabromodiphenyl ether (BDE-198), 2,2',3,3',4,5',6,6'-octabromodiphenyl ether
(BDE-201), 2,2',3,3',5,5',6,6'-octabromodiphenyl ether (BDE-202), and
2,2',3,4,4',5,6,6'-octabromdipheny ether (BDE-204), are described, of which BDE
204 was prepared via two different pathways. Syntheses of BDE-198, BDE-201, BDE
202, and BDE-204 are based on octabromination of mono- or diaminodiphenyl ethers
followed by diazotization and reduction of the amino group(s). BDE-194 and BDE
196 were prepared by bromination of 3,3',4,4',5,5'-hexabromodiphenyl ether (BDE
169) and 2,3,3',4,4',5',6-heptabromodiphenyl ether (BDE-191), respectively, and
BDE-169 and BDE-191 were prepared from 4,4'-diaminodiphenyl ether and 3,4'
diamiodiphenyl ether, respectively. The synthesized PBDE congeners are described
by 1H NMR, 13C NMR, electron ionization mass spectra, and their melting points.
PMID- 18044527
TI - Size distribution of trace organic species emitted from light-duty gasoline
vehicles.
AB - Size distributions for particulate hopanes+steranes and nonvolatile polycyclic
aromatic hydrocarbons (PAHs) emitted from five classes of light-duty gasoline
powered vehicles were measured using the federal test procedure (FTP), unified
cycle (UC), and correction cycle (CC) driving cycles. 17alpha(H)-21beta(H)-29
norhopane, 17alpha(H)-21beta(H)-hopane, alpha beta beta-20R-stigmastane, and
alpha beta beta-20S-stigmastane were highly correlated and behaved consistently
across sampling methods. Coronene and benzo[ghi]perylene were the most ubiquitous
heavy PAHs detected in the vehicle exhaust. The emission rates of hopanes,
steranes, and PAHs contained in particles with aerodynamic diameters of less than
1.8 ,m varied by 2 orders of magnitude between the lowest- and highest-emitting
vehicle classes. Hopane+sterane size distributions emitted from vehicles without
an operating catalyst (including "cold-start" emissions from catalyst-equipped
vehicles) were bimodal with one mode between 0.10 and 0.18 microm and the second
mode >0.32 microm particle diameter. Hopane+sterane emissions released from
vehicles with a catalyst at operating temperature had a single mode between 0.1
and 0.18 microm diameter. Hopane+sterane emissions from visibly smoking vehicles
had a single mode between 0.18 and 0.32 microm diameter. Heavy PAH size
distributions for all vehicle classes consistently had a single mode between 0.10
and 0.18 microm particle diameter (0.1-0.32 microm diameter for smoking
vehicles). The geometric standard deviations for PAH size distributions were
generally smaller than the corresponding hopane+sterane distributions. These
trends suggest that hopanes+steranes and heavy PAHs act as tracers for separate
processes of particulate organic carbon formation. PAH and hopane+sterane
emissions shifted to smaller sizes during the more aggressive UC and CC driving
cycles relative to the FTP. The fraction of PAH and hopane+sterane emissions in
the ultrafine (Dp < 0.1 microm) range more than doubled during "warm-start" UC
and CC cycles vs the FTP cycle. The enhancement of ultrafine PAHs during "cold
start" UC driving cycles was less pronounced.
PMID- 18044528
TI - Predicting PAH bioaccumulation and toxicity in earthworms exposed to manufactured
gas plant soils with solid-phase microextraction.
AB - Soils from former manufactured gas plant (MGP) sites are often heavily
contaminated with polycyclic aromatic hydrocarbons (PAHs). Current risk
assessment methods that rely on total PAH concentrations likely overstate adverse
effects of such soils since bioavailability is ignored. In this study, solid
phase microextraction (SPME) was applied to estimate bioavailable PAH
concentrations and toxicity in earthworms exposed to 15 MGP soils. In addition,
PAH sorption to all soils (K0o values) was determined. The results showed a
several orders of magnitude variation in Koc values, demonstrating that generic
organic carbon-normalized sorption coefficients will typically be
overconservative at MGP sites. SPME-predicted bioaccumulation generally was
within a factor of 10 of measured bioaccumulation (in earthworm bioassays), in
contrast to current risk assessment model estimates that overpredicted
bioaccumulation 10-10 000 times. Furthermore, on the basis of estimated total
body residues of narcotic PAHs, SPME correctly predicted worm mortality observed
during bioassays in the majority of cases. For MGP sites where current risk
assessment procedures indicate concerns, SPME thus provides a useful tool for
performing a refined, site-specific assessment.
PMID- 18044529
TI - A protocol to assess the enzymatic release of dissolved organic phosphorus
species in waters under environmentally relevant conditions.
AB - A protocol to assess the potential release of dissolved reactive phosphorus (DRP)
by enzymatic hydrolysis of dissolved organic phosphorus (DOP) in waters (sediment
porewater and sewage liquors in this study) under environmental conditions is
presented. This protocol enables the quantification of different classes of DOP
compounds using a variety of phosphatase enzymes, i.e., alkaline phosphatase,
phosphodiesterase, and phytase. All experiments were carried out within the pH
range of most natural waters, i.e., at neutral (pH 7) or slightly alkaline pH (pH
9). Tri-sodium citrate and sodium dodecyl sulfate (SDS) were used in the assays
to prevent interferences due to adsorption processes in the presence of
multivalent metallic cations and to minimize protein binding. Applying this
protocol revealed that labile phosphate monoesters always represented the largest
fraction of enzymatically hydrolyzed P in sewage liquors and sediment porewater.
Total enzymatically hydrolyzable P (EHP) represented only 16% of the TDP in the
sediment porewater but up to 43% in sewage liquors. Because most of the enzymes
used in this study are likely to exist in aquatic ecosystems, the EHP fraction
might represent a source of potentially bioavailable P of similar magnitude to
DRP.
PMID- 18044530
TI - Photolysis of aqueous H2O2: quantum yield and applications for polychromatic UV
actinometry in photoreactors.
AB - Methanol is used to measure the yield of *OH radicals produced in the photolysis
of H2O2 in aqueous solutions. The UV photolysis of H202 generates *OH radicals,
which in the presence of methanol, oxygen, and phosphate buffer form
formaldehyde, namely, phi(HCHO) = phi(*OH). The quantum yield of *OH has been
redetermined in view of literature inconsistencies resulting in phi(*OH) = 1.11
+/- 0.07 in the excitation range of 205-280 nm. The constancy of phi(*OH) and the
ease and sensitivity of the formaldehyde product analysis makes the H2O2/CH3OH
system suitable for polychromatic UV actinometry. In addition, the relatively low
cost of the main components and the possibility of destroying the methanol before
disposal qualify the system for both monochromatic and polychromatic actinometry
in a large volume of water. The H2O2/CH3OH system was applied in different
commercial UV photoreactors.
PMID- 18044531
TI - Characteristics of CuO-MoO3-P2O5 catalyst and its catalytic wet oxidation (CWO)
of dye wastewater under extremely mild conditions.
AB - In order to develop a catalyst with high activity for catalytic wet oxidation
(CWO) processing at lower temperatures (35 degrees C) and atmospheric pressure, a
new CuO-MoO3-P2O5 catalystwas synthesized by a solid-state reaction method and
was characterized by X-ray diffraction (XRD), Fourier transformation infrared
spectrometer (FTIR), X-ray photoelectron spectroscopy (XPS), selected area
electronic diffraction (SAED), scanning electron microscopy (SEM) and energy
dispersive X-ray spectroscopy (EDXS) for elemental mapping. Methylene blue (MB)
was adopted to investigate the catalytic activity of CuO-MoO3-P2O5 in CWO
processing. The results show that this new catalyst has a high catalytic activity
to decolorize MB under mild condition. The color removal of MB (the initial
concentration was 0.3 g L(-1) and initial pH was 5) can reach to 99.26% within 10
min at 35 degrees C and atmospheric pressure. Catalyst lifespan and selectivity
were also tested, and the results show that after the catalyst was used three
times, catalyst activity still remains. Selectivity testing shows that CuO-MoO3
P2O5 has high catalytic activity on degradation of MB, whereas this catalyst has
less impact on methyl orange (the color removal was 99.65% for MB and 55% for
methyl orange under the same conditions). According to the experimental results,
a possible mechanism of catalytic degradation of MB was proposed.
PMID- 18044532
TI - Reaction of water-stable C60 aggregates with ozone.
AB - While the reactivity of C60 has been described in a variety of organic solvents,
little information is available regarding aqueous-based reactions due to
solubility limitations. In this study, a reaction between C60, as a nanoscale
suspension, and dissolved ozone in the aqueous phase was investigated. Findings
indicate a facile reaction occurs, resulting in aggregate dissolution concurrent
with formation of water-soluble fullerene oxide species. Product analyses,
including 13C NMR, MS (LDI), FTIR, UV-Vis, and XPS, indicate highly oxidized
fullerene with an average of approximately 29 oxygen additions per molecule,
arranged in repeating hydroxyl and hemiketal functionalities. These findings are
significant in that they (1) demonstrate the feasibility of other aqueous-based
fullerene chemistries, including those for alternative synthesis routes, which
might otherwise be considered prohibitive on the basis of solubility limitations,
and (2) imply that the aqueous reactivity of fullerene-based materials must be
considered appropriately for accurate assessment of their transport, fate, and
potential risk(s) in environmental systems.
PMID- 18044534
TI - Municipal solid waste fueled power generation in China: a case study of waste-to
energy in Changchun City.
AB - With rapid economic growth and massive urbanization in China, many cities face
the problem of municipal solid waste (MSW) disposal. With the lack of space for
new landfills, waste-to-energy incineration is playing an increasingly important
role in waste management. Incineration of MSW from Chinese cities presents some
unique challenges because of its low calorific value (3000-6700 kJ/kg) and high
water content (approximately 50%). This study reports a novel waste-to-energy
incineration technology based on co-firing of MSW with coal in a grate
circulating fluidized bed (CFB) incinerator, which was implemented in the
Changchun MSW power plant. In 2006, two 260 ton/day incinerators incinerated
137,325 tons, or approximately one/sixth of the MSW generated in Changchun,
saving more than 0.2 million m3 landfill space. A total of 46.2 million kWh
electricity was generated (38,473 tons lignite was also burned as supplementary
fuel), with an overall fuel-to-electricity efficiency of 14.6%. Emission of air
pollutants including particulate matters, acidic gases, heavy metals, and dioxins
was low and met the emission standards for incinerators. As compared to imported
incineration systems, this new technology has much lower capital and operating
costs and is expected to play a role in meeting China's demands for MSW disposal
and alternative energy.
PMID- 18044533
TI - Electrocatalytic hydrodechlorination of 2,4,5-trichlorobiphenyl on a palladium
modified nickel foam cathode.
AB - Palladium-modified materials have been found to be effective electrodes for the
reductive degradation of chlorinated compounds in aqueous solution. This study
investigated the electrocatalytic hydrodechlorination (ECH) of polychlorinated
biphenyls (PCBs) in solvent/surfactant-aided solutions in a palladium-modified
nickel foam electrode using a divided flow-through cell. The reaction pathways of
2,4,5-PCB hydrodechlorination were proposed due to the analysis of intermediates
by GC/MS. The mechanism of electrocatalytic reaction on the Pd/Ni foam cathode
was examined by studying the effect of surfactant type, sorption behavior of PCBs
on the electrode, and current densities on the ECH efficiency of PCBs. The
conversion of PCBs was controlled by the micelle structures of the surfactants
instead of the charged species. According to the analysis of hydrogen
transformation processes on the electrode surface, we propose that the ECH
process was initiated by the transfer of highly active hydrogen atoms [H] from
the prior polarized Pd particles to the less polarized Pd particles by spillover
on the Pd/Ni foam cathode. Therefore, the total available surface was larger than
the originally polarized surface, and [H] could smoothly react with PCBs that
were adsorbed on the surface. As a result, a high ECH efficiency can be achieved
with the Pd/Ni foam electrode.
PMID- 18044535
TI - Reactive adsorption of NO2 at dry conditions on sewage sludge-derived materials.
AB - Composite inorganic-carbonaceous adsorbents were obtained by pyrolysis of sewage
sludge at 500, 650, and 950 degrees C for various periods of time. They were used
as media for reactive adsorption of NO2. The surface structure and chemistry of
the initial and exhausted materials were analyzed using adsorption of nitrogen,
XRD, FTIR, and thermal analysis. The results indicate the high level of
conversion of NO2 to NO with the retention of both species on the surface
depending on its chemistry. At 650 degrees C as the pyrolysis temperature, the
most efficient adsorbents were obtained owing to a high reactivity of their
oxides toward the formation of nitrites and nitrates. When the pyrolysis
temperature is low, NO2 is reduced to NO on surface sulfides and reacts with
surface oxides, forming nitrites and nitrates. When adsorbents are obtained at
950 degrees C, the chemically stable surface prevents the formation of nitrites
and nitrates, and the majority of NO2 is reduced to NO in the highly carbonized
carbonaceous phase.
PMID- 18044536
TI - Catalytic reduction of chlorobenzenes with Pd/Fe nanoparticles: reactive sites,
catalyst stability, particle aging, and regeneration.
AB - Monochlorobenzene (MCB), dichlorobenzenes (DCBs), and 1,2,4-trichlorobenzene
(124TCB) dechlorination experiments in water were carried out with freshly
synthesized Pd/Fe particles. The pre- and postreacted Pd/Fe samples were
characterized by applying various analytical techniques (XRD, SEM, TEM, and XPS).
Chlorinated benzenes could be completely reduced by the Pd/Fe to benzene and the
reaction followed the pseudo-first-order kinetics. The reaction rates followed
the order TCB < DCBs < MCB, while among the DCBs the order was 1,4
dichlorobenzene >1,3-dichlorobenzene > or = 1,2-dichlorobenzene. Insignificant
reactions were observed with the unpalladized iron, suggesting that Pd was the
only reactive site in the Pd/Fe particles. The aged Pd/Fe particles exhibited
significant decrease in its dechlorination reactivity. The loss of Pd/Fe
reactivity could be due to Pd dislodgment from the aged Pd/Fe particles and Pd
islets encapsulation by the iron oxides film developed over aging period.
Reactivity of the aged Pd/Fe could be only partially restored after HCI
treatment, while regeneration with the NaBH4 reduction method could not restore
its activity, although zerovalent state of the iron was reinstated.
PMID- 18044537
TI - Mesoporous nitrogen-doped TiO2 for the photocatalytic destruction of the
cyanobacterial toxin microcystin-LR under visible light irradiation.
AB - The presence of the harmful cyanobacterial toxins in water resources worldwide
drives the development of an innovative and practical water treatment technology
with great urgency. This study deals with two important aspects: the fabrication
of mesoporous nitrogen-doped TiO2 (N-TiO2) photocatalysts and their environmental
application for the destruction of microcystin-LR (MC-LR) under visible light. In
a nanotechnological sol-gel synthesis method, a nitrogen-containing surfactant
(dodecylammonium chloride) was introduced as a pore templating material for
tailor-designing the structural properties of TiO2 and as a nitrogen dopant for
its visible light response. The resulting N-TiO2 exhibited significantly enhanced
structural properties including 2-8 nm mesoporous structure (porosity 44%) and
high surface area of 150 m2/g. Red shift in light absorbance up to 468 nm, 0.9 eV
lower binding energy of electrons in Ti 2p state, and reduced interplanar
distance of crystal lattices proved nitrogen doping in the TiO2 lattice. Due to
its narrow band gap at 2.65 eV, N-TiO2 efficiently degraded MC-LR under visible
spectrum above 420 nm. Acidic condition (pH 3.5) was more favorable for the
adsorption and photocatalytic degradation of MC-LR on N-TiO2 due to electrostatic
attraction forces between negatively charged MC-LR and +6.5 mV charged N-TiO2.
Even under UV light, MC-LR was decomposed 3-4 times faster using N-TiO2 than
control TiO2. The degradation pathways and reaction intermediates of MC-LR were
not directly related to the energy source for TiO2 activation (UV and visible)
and nature of TiO2 (neat and nitrogen-doped). This study implies a strong
possibility for the in situ photocatalytic remediation of contaminated water with
cyanobacterial toxins and other toxic compounds using solar light, a sustainable
source of energy.
PMID- 18044538
TI - Characteristics of solidified products containing radioactive molten salt waste.
AB - The molten salt waste from a pyroprocess to recover uranium and transuranic
elements is one of the problematic radioactive wastes to be solidified into a
durable wasteform for its final disposal. By using a novel method, named as the
GRSS (gel-route stabilization/solidification) method, a molten salt waste was
treated to produce a unique wasteform. A borosilicate glass as a chemical binder
dissolves the silicate compounds in the gel products to produce one amorphous
phase while most of the phosphates are encapsulated by the vitrified phase. Also,
Cs in the gel product is preferentially situated in the silicate phase, and it is
vitrified into a glassy phase after a heat treatment. The Sr-containing phase is
mainly phosphate compounds and encapsulated by the glassy phase. These phenomena
could be identified by the static and dynamic leaching test that revealed a high
leach resistance of radionuclides. The leach rates were about 10(-3) - 10(-2)
g/m2 x day for Cs and 10(-4) - 10(-3) g/m2 x day for Sr, and the leached
fractions of them were predicted to be 0.89% and 0.39% at 900 days, respectively.
This paper describes the characteristics of a unique wasteform containing a
molten salt waste and provides important information on a newly developed
immobilization technology for salt wastes, the GRSS method.
PMID- 18044539
TI - What gets recycled: an information theory based model for product recycling.
AB - This work focuses on developing a concise representation of the material
recycling potential for products at end-of life. To do this we propose a model
similar to the "Sherwood Plot", but for products rather than for dilute mixtures.
The difference is reflected in the material composition and the processing
systems used for the two different applications. Cost estimates for product
recycling systems are developed using Shannon's information theory. The resulting
model is able to resolve the material recycling potential for a wide range of end
of-life products with vastly different material compositions and recycling rates
in the U.S. Preliminary data on historical trends in product design suggest a
significant shift toward less recyclable products.
PMID- 18044540
TI - Life cycle impact assessment weights to support environmentally preferable
purchasing in the United States.
AB - LCA is a quantitative method for understanding the environmental impacts of a
product, yet all product purchasing decisions are ultimately subjective. Weights
are the nexus between the quantitative results of LCA and the values-based,
subjective choices of decision makers. In May 2007, NIST introduced a new
optional weight set in Version 4.0 of the BEES software. Three key points about
this new optional weight set are the basis for discussion in this paper: The new
weight set was created specifically in the context of BEES. It is intended to
support a practical method to assist environmentally preferable purchasing in the
United States based on LCIA results. This is in contrast to the weight sets
currently in BEES, which are based on generalist perspectives. The new weight set
was created by a multi-stakeholder panel via the AHP method, and is a synthesis
of panelists' perspectives on the relative importance of each environmental
impact category in BEES. The weight set draws on each panelist's personal and
professional understanding of, and value attributed to, each impact category.
While the synthesized weight set may not equally satisfy each panelist's view of
impact importance, it does reflect contemporary values in applying LCAto real
world decisions, and represents one approach others can learn from in producing
weight sets. The new weight set offers BEES users an additional option for
synthesizing and comparing the environmental performance of building products and
making purchasing decisions. In so doing, it strengthens the decision-making
process, which is important when making product comparisons in the public domain.
The Weight Set: Across all panelists and with explicit consideration of all time
horizons, anthropogenic contributions to global warming, weighted at 29%, was
judged most important, yet not so important that decisions can be made solely on
the basis of this impact. A strong tail of other concerns include fossil fuel
depletion (10%), criteria air pollutants (9%), water intake/use (8%), human
health cancerous effects (8%), ecological toxicity (7%), eutrophication of water
bodies (6%), land use (6%), and human health noncancerous effects (5%). Also of
interest are the identified impact areas of concern assigned the lowest weights:
smog formation (4%), indoor air quality (3%), acidification (3%), and ozone
depletion (2%). Their low weights may indicate that there is not as much
immediate concern or that the remedial actions associated with the impact for the
most part are underway.
PMID- 18044541
TI - Energy and material balance of CO2 capture from ambient air.
AB - Current Carbon Capture and Storage (CCS) technologies focus on large, stationary
sources that produce approximately 50% of global CO2 emissions. We propose an
industrial technology that captures CO2 directly from ambient air to target the
remaining emissions. First, a wet scrubbing technique absorbs CO2 into a sodium
hydroxide solution. The resultant carbonate is transferred from sodium ions to
calcium ions via causticization. The captured CO2 is released from the calcium
carbonate through thermal calcination in a modified kiln. The energy consumption
is calculated as 350 kJ/mol of CO2 captured. It is dominated by the thermal
energy demand of the kiln and the mechanical power required for air movement. The
low concentration of CO2 in air requires a throughput of 3 million cubic meters
of air per ton of CO2 removed, which could result in significant water losses.
Electricity consumption in the process results in CO2 emissions and the use of
coal power would significantly reduce to net amount captured. The thermodynamic
efficiency of this process is low but comparable to other "end of pipe" capture
technologies. As another carbon mitigation technology, air capture could allow
for the continued use of liquid hydrocarbon fuels in the transportation sector.
PMID- 18044542
TI - Open air biocathode enables effective electricity generation with microbial fuel
cells.
AB - The reduction of oxygen at the cathode is one of the major bottlenecks of
microbial fuel cells (MFCs). While research so far has mainly focused on chemical
catalysis of this oxygen reduction, here we present a continuously wetted cathode
with microorganisms that act as biocatalysts for oxygen reduction. We combined
the anode of an acetate oxidizing tubular microbial fuel cell with an open air
biocathode for electricity production. The maximum power production was 83 +/- 11
W m(-3) MFC (0.183 L MFC) for batch-fed systems (20-40% Coulombic yield) and 65
+/- 5 W m(-3) MFC for a continuous system with an acetate loading rate of 1.5 kg
COD m(-3) day(-1) (90 +/- 3% Coulombic yield). Electrochemical precipitation of
manganese oxides on the cathodic graphite felt decreased the start-up period with
approximately 30% versus a non-treated graphite felt. After the start-up period,
the cell performance was similar for the pretreated and non-treated cathodic
electrodes. Several reactor designs were tested, and it was found that
enlargement of the 0.183 L MFC reactor by a factor 2.9-3.8 reduced the volumetric
power output by 60-67%. Biocathodes alleviate the need to use noble or non-noble
catalysts for the reduction of oxygen, which increases substantially the
viability and sustainability of MFCs.
PMID- 18044543
TI - Toxicogenomic response to chlorination includes induction of major virulence
genes in Staphylococcus aureus.
AB - Despite the widespread use of chlorination for microbial control in aqueous
environments, cellular response mechanisms of human pathogens, such as
Staphylococcus aureus, against chlorination remain unknown. In this work, genome
wide transcriptional analysis was performed to elucidate cellular response of S.
aureusto hypochlorous acid, an active antimicrobial product of chlorination in
aqueous solution. Our results suggest that hypochlorous acid repressed
transcription of genes involved in cell wall synthesis, membrane transport,
protein synthesis, and primary metabolism, while amino acid synthesis genes were
induced. Furthermore, hypochlorous acid induced transcription of genes encoding
major virulence factors of S. aureus, such as exotoxins, hemolysins, leukocidins,
coagulases, and surface adhesion proteins, which all play essential roles in
staphylococcal virulence. This work implies that chlorination may stimulate
production of virulence factors, which provides new insight into host-pathogen
interactions and effects of chlorine application for microbial control.
PMID- 18044544
TI - Metabolite profiles of di-n-butyl phthalate in humans and rats.
AB - Di-n-butyl phthalate (DBP) is widely used in consumer products. In humans and in
rats, DBP is metabolized to mono-n-butyl phthalate (MBP). MBP may also further
oxidize to other metabolites of DBP. We studied the metabolic profiles of DBP in
rats and humans to evaluate the similarities between the two species and between
different exposure scenarios. In rats administered DBP by oral gavage, we
identified MBP and three urinary oxidative metabolites of DBP: mono-3-oxo-n-butyl
phthalate, mono-3-hydroxy-n-butyl phthalate (MHBP), and mono-3-carboxypropyl
phthalate (MCPP). MBP, MHBP, and MCPP were also present in serum, albeit at lower
levels than in urine. Statistically significant correlations (p < 0.01) existed
between the concentrations of MBP and the concentrations of MHBP (Pearson
correlation coefficient r = 0.82 [urine] and r = 0.96 [serum]) and MCPP (r = 0.77
[urine] and r = 0.97 [serum]). However, the concentrations of these metabolites
in urine collected 6 h after dosing and in serum 24 h after dosing were not
correlated, suggesting continuous metabolism of DBP and/or individual differences
among rats. Serum DBP metabolite concentrations increased with the dose, whereas
urinary concentrations did not. We also identified MBP, MHBP, and MCPP in the
urine of four men exposed to DBP bytaking a prescription medication containing
DBP, and MBP and MCPP in 94 adults with no documented exposure to DBP. In the
human samples, we observed statistically significant correlations (p < 0.01)
among the urinary concentrations of MBP and MCPP, although the correlation was
stronger for the four exposed men (r = 0.99) than for the adults without a
documented exposure to DBP (r = 0.70). Our results suggest that regardless of
species and exposure scenario, MBP, the major DBP metabolite, is an optimal
biomarker of exposure to DBP. In addition to MBP, MCPP and MHBP may be adequate
biomarkers of exposure to DBP in occupational settings orin potential high
exposure scenarios.
PMID- 18044545
TI - Uptake, elimination, and relative distribution of perchlorate in various tissues
of channel catfish.
AB - This study was undertaken to determine the kinetics of uptake and elimination of
perchlorate in channel catfish, Ictalurus punctatus. Perchlorate--an oxidizer
used in solid fuel rockets, fireworks, and illuminating munitions--has been shown
to effect thyroid function, causing hormone disruption and potential
perturbations of metabolic activities. For the uptake study, catfish were exposed
to 100 mg/L sodium perchlorate for 12 h to 5 d in the laboratory. Perchlorate in
tissues was analyzed using ion chromatography. The highest perchlorate
concentrations were found in the head and fillet, indicating that these tissues
are the most important tissues to analyze when determining perchlorate uptake
into large fish. To calculate uptake and elimination rate constants for fillet,
gills, G-I tract, liver, and head, fish were exposed to 100 ppm sodium
perchlorate for 5 days, and allowed to depurate in clean water for up to 20 days.
The animals rapidly eliminated the perchlorate accumulated showing the highest
elimination in fillet (Ke = 1.67 day(-1)) and lowest elimination in liver (Ke =
0.79 day(-1)).
PMID- 18044546
TI - Real-time tissue tracking with B-mode ultrasound using speckle and visual
servoing.
AB - We present a method for real-time tracking of moving soft tissue with B-mode
ultrasound (US). The method makes use of the speckle information contained in the
US images to estimate the in-plane and out-of-plane motion of a fixed target
relative to the ultrasound scan plane. The motion information is then used as
closed-loop feedback to a robot which corrects for the target motion. The concept
is demonstrated for translation motions in an experimental setup consisting of an
ultrasound speckle phantom, a robot for simulating tissue motion, and a robot
that performs motion stabilization from US images. This concept shows promise for
US-guided procedures that require real-time motion tracking and compensation.
PMID- 18044547
TI - Intra-operative 3D guidance in prostate brachytherapy using a non-isocentric C
arm.
AB - Intra-operative guidance in Transrectal Ultrasound (TRUS) guided prostate
brachytherapy requires localization of inserted radioactive seeds relative to the
prostate. Seeds were reconstructed using a typical C-arm, and exported to a
commercial brachytherapy system for dosimetry analysis. Technical obstacles for
3D reconstruction on a non-isocentric C-arm included pose-dependent C-arm
calibration; distortion correction; pose estimation of C-arm images; seed
reconstruction; and C-arm to TRUS registration. In precision-machined hard
phantoms with 40-100 seeds, we correctly reconstructed 99.8% seeds with a mean 3D
accuracy of 0.68 mm. In soft tissue phantoms with 45-87 seeds and clinically
realistic 15 degrees C-arm motion, we correctly reconstructed 100% seeds with an
accuracy of 1.3 mm. The reconstructed 3D seed positions were then registered to
the prostate segmented from TRUS. In a Phase-1 clinical trial, so far on 4
patients with 66-84 seeds, we achieved intra-operative monitoring of seed
distribution and dosimetry. We optimized the 100% prescribed iso-dose contour by
inserting an average of 3.75 additional seeds, making intra-operative dosimetry
possible on a typical C-arm, at negligible additional cost to the existing
clinical installation.
PMID- 18044548
TI - A multi-view Opto-Xray imaging system: development and first application in
trauma surgery.
AB - The success of minimally invasive trauma and orthopedic surgery procedures has
resulted in an increase of the use of fluoroscopic imaging. A system aiming to
reduce the amount of radiation has been introduced by Navab et al. It uses an
optical imaging system rigidly attached to the gantry such that the optical and X
ray imaging geometry is identical. As an extension to their solution, we
developed a multi-view system which offers 3D navigation during trauma surgery
and orthopedic procedures. We use an additional video camera in an orthogonal
arrangement to the first video camera and a minimum of two X-ray images.
Furthermore, tools such as a surgical drill are extended by optical markers and
tracked with the same optical cameras. Exploiting that the cross ratio is
invariant in projective geometry, we can estimate the tip of the instrument in
the X-ray image without external tracking systems. This paper thus introduces the
first multi-view Opto- Xray system for computer aided surgery. First tests have
proven the accuracy of the calibration and the instrument tracking. Phantom and
cadaver experiments were conducted for pedicle screw placement in spinal surgery.
Using a postoperative CT, we evaluate the quality of the placement of the pedicle
screws in 3D.
PMID- 18044549
TI - Towards 3D ultrasound image based soft tissue tracking: a transrectal ultrasound
prostate image alignment system.
AB - The emergence of real-time 3D ultrasound (US) makes it possible to consider image
based tracking of subcutaneous soft tissue targets for computer guided diagnosis
and therapy. We propose a 3D transrectal US based tracking system for precise
prostate biopsy sample localisation. The aim is to improve sample distribution,
to enable targeting of unsampled regions for repeated biopsies, and to make post
interventional quality controls possible. Since the patient is not immobilized,
since the prostate is mobile and due to the fact that probe movements are only
constrained by the rectum during biopsy acquisition, the tracking system must be
able to estimate rigid transformations that are beyond the capture range of
common image similarity measures. We propose a fast and robust multi-resolution
attribute-vector registration approach that combines global and local
optimization methods to solve this problem. Global optimization is performed on a
probe movement model that reduces the dimensionality of the search space and thus
renders optimization efficient. The method was tested on 237 prostate volumes
acquired from 14 different patients for 3D to 3D and 3D to orthogonal 2D slices
registration. The 3D-3D version of the algorithm converged correctly in 96.7% of
all cases in 6.5s with an accuracy of 1.41mm (r.m.s.) and 3.84mm (max). The 3D to
slices method yielded a success rate of 88.9% in 2.3s with an accuracy of 1.37mm
(r.m.s.) and 4.3mm (max).
PMID- 18044550
TI - A probabilistic framework for tracking deformable soft tissue in minimally
invasive surgery.
AB - The use of vision based algorithms in minimally invasive surgery has attracted
significant attention in recent years due to its potential in providing in situ
3D tissue deformation recovery for intra-operative surgical guidance and robotic
navigation. Thus far, a large number of feature descriptors have been proposed in
computer vision but direct application of these techniques to minimally invasive
surgery has shown significant problems due to free-form tissue deformation and
varying visual appearances of surgical scenes. This paper evaluates the current
state-of-the-art feature descriptors in computer vision and outlines their
respective performance issues when used for deformation tracking. A novel
probabilistic framework for selecting the most discriminative descriptors is
presented and a Bayesian fusion method is used to boost the accuracy and temporal
persistency of soft-tissue deformation tracking. The performance of the proposed
method is evaluated with both simulated data with known ground truth, as well as
in vivo video sequences recorded from robotic assisted MIS procedures.
PMID- 18044551
TI - Precision targeting of liver lesions with a needle-based soft tissue navigation
system.
AB - In this study, we assessed the targeting precision of a previously reported
needle-based soft tissue navigation system. For this purpose, we implanted 10 2
ml agar nodules into three pig livers as tumor models, and two of the authors
used the navigation system to target the center of gravity of each nodule. In
order to obtain a realistic setting, we mounted the livers onto a respiratory
liver motion simulator that models the human body. For each targeting procedure,
we simulated the liver biopsy workflow, consisting of four steps: preparation,
trajectory planning, registration, and navigation. The lesions were successfully
hit in all 20 trials. The final distance between the applicator tip and the
center of gravity of the lesion was determined from control computed tomography
(CT) scans and was 3.5 +/- 1.1 mm on average. Robust targeting precision of this
order of magnitude would significantly improve the clinical treatment standard
for various CT-guided minimally invasive interventions in the liver.
PMID- 18044552
TI - Dynamic MRI scan plane control for passive tracking of instruments and devices.
AB - This paper describes a novel image-based method for tracking robotic mechanisms
and interventional devices during Magnetic Resonance Image (MRI)-guided
procedures. It takes advantage of the multi-planar imaging capabilities of MRI to
optimally image a set of localizing fiducials for passive motion tracking in the
image coordinate frame. The imaging system is servoed to adaptively position the
scan plane based on automatic detection and localization of fiducial artifacts
directly from the acquired image stream. This closed-loop control system has been
implemented using an open-source software framework and currently operates with
GE MRI scanners. Accuracy and performance were evaluated in experiments, the
results of which are presented here.
PMID- 18044553
TI - Design and preliminary accuracy studies of an MRI-guided transrectal prostate
intervention system.
AB - This paper reports a novel system for magnetic resonance imaging (MRI) guided
transrectal prostate interventions, such as needle biopsy, fiducial marker
placement, and therapy delivery. The system utilizes a hybrid tracking method,
comprised of passive fiducial tracking for initial registration and subsequent
incremental motion measurement along the degrees of freedom using fiber-optical
encoders and mechanical scales. Targeting accuracy of the system is evaluated in
prostate phantom experiments. Achieved targeting accuracy and procedure times
were found to compare favorably with existing systems using passive and active
tracking methods. Moreover, the portable design of the system using only standard
MRI image sequences and minimal custom scanner interfacing allows the system to
be easily used on different MRI scanners.
PMID- 18044554
TI - Thoracoscopic surgical navigation system for cancer localization in collapsed
lung based on estimation of lung deformation.
AB - We have developed a thoracoscopic surgical navigation system for lung cancer
localization. In our system, the thoracic cage and mediastinum are localized
using rigid registration between the intraoperatively digitized surface points
and the preoperative CT surface model, and then the lung deformation field is
estimated using nonrigid registration between the registered and digitized point
datasets on the collapsed lung surface and the preoperative CT lung surface model
to predict cancer locations. In this paper, improved methods on key components of
the system are investigated to realize clinically acceptable usability and
accuracy. Firstly, we implement a non-contact surface digitizer under
thoracoscopic control using an optically tracked laser pointer. Secondly, we
establish a rigid registration protocol which minimizes the influence of the
deformation in different patient's positions by analyzing MR images of
volunteers. These techniques were evaluated by in vitro and clinical experiments.
PMID- 18044555
TI - Clinical evaluation of a respiratory gated guidance system for liver punctures.
AB - We have previously proposed a computer guidance system for liver punctures
designed for intubated (free breathing) patients. The lack of accuracy reported
(1 cm) was mostly due to the breathing motion that was not taken into account. In
this paper we modify our system to synchronise the guidance information on the
expiratory phases of the patient and present an evaluation on 6 patients of our
respiratory gated system. Firstly, we show how a specific choice of patient
allows us to rigorously and passively evaluate the system accuracy. Secondly, we
demonstrate that our system can provide a guidance information with an error
below 5 mm during expiratory phases.
PMID- 18044556
TI - Rapid voxel classification methodology for interactive 3D medical image
visualization.
AB - In many medical imaging scenarios, real-time high-quality anatomical data
visualization and interaction is important to the physician for meaningful
diagnosis 3D medical data and get timely feedback. Unfortunately, it is still
difficult to achieve an optimized balance between real-time artifact-free medical
image volume rendering and interactive data classification. In this paper, we
present a new segment-based post color-attenuated classification algorithm to
address this problem. In addition, we apply an efficient numerical integration
computation technique and take advantage of the symmetric storage format of the
color lookup table generation matrix. When implemented within our GPU-based
volume raycasting system, the new classification technique is about 100 times
faster than the unaccelerated pre-integrated classification approach, while
achieving the similar or even superior quality volume rendered image. In
addition, we propose an objective measure of artifacts in rendered medical image
based on high-frequency spatial image content.
PMID- 18044557
TI - Towards subject-specific models of the dynamic heart for image-guided mitral
valve surgery.
AB - Surgeons need a robust interventional system capable of providing reliable, real
time information regarding the position and orientation of the surgical targets
and tools to compensate for the lack of direct vision and to enhance manipulation
of intracardiac targets during minimally-invasive, off-pump cardiac
interventions. In this paper, we describe a novel method for creating dynamic,
pre-operative, subject-specific cardiac models containing the surgical targets
and surrounding anatomy, and how they are used to augment the intra-operative
virtual environment for guidance of valvular interventions. The accuracy of these
pre-operative models was established by comparing the target registration error
between the mitral valve annulus characterized in the pre-operative images and
their equivalent structures manually extracted from 3D US data. On average, the
mitral valve annulus was extracted with a 3.1 mm error across all cardiac phases.
In addition, we also propose a method for registering the pre-operative models
into the intra-operative virtual environment.
PMID- 18044558
TI - Pq-space based non-photorealistic rendering for augmented reality.
AB - The increasing use of robotic assisted minimally invasive surgery (MIS) provides
an ideal environment for using Augmented Reality (AR) for performing image guided
surgery. Seamless synthesis of AR depends on a number of factors relating to the
way in which virtual objects appear and visually interact with a real
environment. Traditional overlaid AR approaches generally suffer from a loss of
depth perception. This paper presents a new AR method for robotic assisted MIS,
which uses a novel pq-space based non-photorealistic rendering technique for
providing see-through vision of the embedded virtual object whilst maintaining
salient anatomical details of the exposed anatomical surface. Experimental
results with both phantom and in vivo lung lobectomy data demonstrate the visual
realism achieved for the proposed method and its accuracy in providing high
fidelity AR depth perception.
PMID- 18044559
TI - Eye-gaze driven surgical workflow segmentation.
AB - In today's climate of clinical governance there is growing pressure on surgeons
to demonstrate their competence, improve standards and reduce surgical errors.
This paper presents a study on developing a novel eye-gaze driven technique for
surgical assessment and workflow recovery. The proposed technique investigates
the use of a Parallel Layer Perceptor (PLP) to automate the recognition of a key
surgical step in a porcine laparoscopic cholecystectomy model. The classifier is
eye-gaze contingent but combined with image based visual feature detection for
improved system performance. Experimental results show that by fusing image
instrument likelihood measures, an overall classification accuracy of 75% is
achieved.
PMID- 18044560
TI - Prior knowledge driven multiscale segmentation of brain MRI.
AB - We present a novel automatic multiscale algorithm applied to segmentation of
anatomical structures in brain MRI. The algorithm which is derived from algebraic
multigrid, uses a graph representation of the image and performs a coarsening
process that produces a full hierarchy of segments. Our main contribution is the
incorporation of prior knowledge information into the multiscale framework
through a Bayesian formulation. The probabilistic information is based on an
atlas prior and on a likelihood function estimated from a manually labeled
training set. The significance of our new approach is that the constructed
pyramid, reflects the prior knowledge formulated. This leads to an accurate and
efficient methodology for detection of various anatomical structures
simultaneously. Quantitative validation results on gold standard MRI show the
benefit of our approach.
PMID- 18044561
TI - Longitudinal cortical registration for developing neonates.
AB - Understanding the rapid evolution of cerebral cortical surfaces in developing
neonates is essential in order to understand normal human brain development and
to study anatomical abnormalities in preterm infants. Several methods to model
and align cortical surfaces for cross-sectional studies have been developed.
However, the registration of cortical surfaces extracted from neonates across
different gestational ages for longitudinal studies remains difficult because of
significant cerebral growth. In this paper, we present an automatic cortex
registration algorithm, based on surface relaxation followed by non-rigid surface
registration. This technique aims to establish the longitudinal spatial
correspondence of cerebral cortices for the developing brain in neonates. The
algorithm has been tested on 5 neonates. Each infant has been scanned at three
different time points. Quantitative results are obtained by propagating sulci
across multiple gestational ages and computing the overlap ratios with manually
established ground-truth.
PMID- 18044562
TI - Regional homogeneity and anatomical parcellation for fMRI image classification:
application to schizophrenia and normal controls.
AB - This paper presents a discriminative model of multivariate pattern
classification, based on functional magnetic resonance imaging (fMRI) and
anatomical template. As a measure of brain function, Regional homogeneity (ReHo)
is calculated voxel by voxel, and then a widely used anatomical template is
applied on ReHo map to parcelate it into 116 brain regions. The mean and standard
deviation of ReHo values in each region are extracted as features. Pseudo-Fisher
Linear Discriminant Analysis (PFLDA) is performed for training samples to
generate discriminative model. Classification experiments have been carried out
in 48 schizophrenia patients and 35 normal controls. Under a full leave-one-out
(LOO) cross-validation, correct prediction rate of 80% is achieved. Anatomical
parcellation process is proved useful to improve classification rate by a control
experiment. The discriminative model shows its ability to reveal abnormal brain
functional activities and identify people with schizophrenia.
PMID- 18044563
TI - Probabilistic fiber tracking using particle filtering.
AB - This paper presents a novel and fast probabilistic method for white matter fiber
tracking from diffusion weighted MRI (DWI). We formulate fiber tracking on a
nonlinear state space model which is able to capture both smoothness regularity
of fibers and uncertainties of the local fiber orientations due to noise and
partial volume effects. The global tracking model is implemented using particle
filtering, which allows us to recursively compute the posterior distribution of
the potential fibers. The fiber orientation distribution is theoretically
formulated for prolate and oblate tensors separately. Fast and efficient sampling
is realised using the von Mises-Fisher distribution on unit spheres. Given a seed
point, the method is able to rapidly locate the global optimal fiber and also
provide a connectivity map. The proposed method is demonstrated on a brain
dataset.
PMID- 18044564
TI - SMT: Split and Merge tractography for DT-MRI.
AB - Diffusion tensor magnetic resonance imaging (DT-MRI) based fiber tractography
aims at reconstruction of the fiber network of brain. Most commonly employed
techniques for fiber tractography are based on the numerical integration of the
principal diffusion directions. Although these approaches generate intuitive and
easy to interpret results, they are prone to cumulative errors and mostly discard
the stochastic nature of DT-MRI data. The proposed Split & Merge Tractography
(SMT) technique aims at overcoming the drawbacks of fiber tractography by
incorporating it with Markov Chain Monte Carlo techniques. SMT is based on
clustering diversely distributed short fiber tracts based on their inter
connectivity. SMT also provides real-time interaction to adjust a user defined
confidence level for clustering.
PMID- 18044565
TI - Tract-based morphometry.
AB - Multisubject statistical analyses of diffusion tensor images in regions of
specific white matter tracts have commonly measured only the mean value of a
scalar invariant such as the fractional anisotropy (FA), ignoring the spatial
variation of FA along the length of fiber tracts. We propose to instead perform
tract-based morphometry (TBM), or the statistical analysis of diffusion MRI data
in an anatomical tract-based coordinate system. We present a method for automatic
generation of white matter tract arc length parameterizations, based on learning
a fiber bundle model from tractography from multiple subjects. Our tract-based
coordinate system enables TBM for the detection of white matter differences in
groups of subjects. We present example TBM results from a study of
interhemispheric differences in FA.
PMID- 18044566
TI - Towards whole brain segmentation by a hybrid model.
AB - Segmenting cortical and sub-cortical structures from 3D brain images is of
significant practical importance. However, various anatomical structures have
similar intensity patterns in MRI, and the automatic segmentation of them is a
challenging task. In this paper, we present a new brain segmentation algorithm
using a hybrid model. (1) A multi-class classifier, PBT.M2, is proposed for
learning/computing multi-class discriminative models. The PBT.M2 handles multi
class patterns more easily than the original probabilistic boosting tree (PBT),
and it facilitates the process, eventually, toward whole brain segmentation. (2)
We use an edge field, by learning, to constraint the region boundaries. We show
the improvements due to the two new aspects both numerically and visually, and
also compare the results with those by FreeSurfer. Our algorithm is general and
easy to use, and the results obtained are encouraging.
PMID- 18044567
TI - A family of principal component analyses for dealing with outliers.
AB - Principal Component Analysis (PCA) has been widely used for dimensionality
reduction in shape and appearance modeling. There have been several attempts of
making PCA robust against outliers. However, there are cases in which a small
subset of samples may appear as outliers and still correspond to plausible data.
The example of shapes corresponding to fractures when building a vertebra shape
model is addressed in this study. In this case, the modeling of "outliers" is
important, and it might be desirable not only not to disregard them, but even to
enhance their importance. A variation on PCA that deals naturally with the
importance of outliers is presented in this paper. The technique is utilized for
building a shape model of a vertebra, aiming at segmenting the spine out of
lateral X-ray images. The results show that the algorithm can implement both an
outlier-enhancing and a robust PCA. The former improves the segmentation
performance in fractured vertebrae, while the latter does so in the unfractured
ones.
PMID- 18044568
TI - Automatic segmentation of articular cartilage in magnetic resonance images of the
knee.
AB - To perform cartilage quantitative analysis requires the accurate segmentation of
each individual cartilage. In this paper we present a model based scheme that can
automatically and accurately segment each individual cartilage in healthy knees
from a clinical MR sequence (fat suppressed spoiled gradient recall). This scheme
consists of three stages; the automatic segmentation of the bones, the extraction
of the bone-cartilage interfaces (BCI) and segmentation of the cartilages. The
bone segmentation is performed using three-dimensional active shape models. The
BCI is extracted using image information and prior knowledge about the likelihood
of each point belonging to the interface. A cartilage thickness model then
provides constraints and regularizes the cartilage segmentation performed from
the BCI. The accuracy and robustness of the approach was experimentally
validated, with (patellar, tibial and femoral) cartilage segmentations having a
median DSC of (0.870, 0.855, 0.870), performing significantly better than non
rigid registration (0.787, 0.814, 0.795). The total cartilage segmentation had an
average DSC of (0.891), close to the (0.896) obtained using a semi-automatic
watershed algorithm. The error in quantitative volume and thickness measures was
(8.29, 4.94, 5.56)% and (0.19, 0.33, 0.10) mm respectively.
PMID- 18044569
TI - Automated model-based rib cage segmentation and labeling in CT images.
AB - We present a new model-based approach for an automated labeling and segmentation
of the rib cage in chest CT scans. A mean rib cage model including a complete
vertebral column is created out of 29 data sets. We developed a ray search based
procedure for rib cage detection and initial model pose. After positioning the
model, it was adapted to 18 unseen CT data. In 16 out of 18 data sets, detection,
labeling, and segmentation succeeded with a mean segmentation error of less than
1.3 mm between true and detected object surface. In one case the rib cage
detection failed, in another case the automated labeling.
PMID- 18044570
TI - Efficient selection of the most similar image in a database for critical
structures segmentation.
AB - Radiotherapy planning needs accurate delineations of the critical structures.
Atlas-based segmentation has been shown to be very efficient to delineate brain
structures. However, the construction of an atlas from a dataset of images,
particularly for the head and neck region, is very difficult due to the high
variability of the images and can generate over-segmented structures in the
atlas. To overcome this drawback, we present in this paper an alternative method
to select as a template the image in a database that is the most similar to the
patient to be segmented. This similarity is based on a distance between
transformations. A major contribution is that we do not compute every patient-to
sample registration to find the most similar template, but only the registration
of the patient towards an average image. This method has therefore the advantage
of being computationally very efficient. We present a qualitative and
quantitative comparison between the proposed method and a classical atlas-based
segmentation method. This evaluation is performed on a subset of 45 patients
using a Leave-One-Out method and shows a great improvement of the specificity of
the results.
PMID- 18044571
TI - Unbiased white matter atlas construction using diffusion tensor images.
AB - This paper describes an algorithm for unbiased construction of white matter (WM)
atlases using full information available to diffusion tensor (DT) images. The key
component of the proposed algorithm is a novel DT image registration method that
leverages metrics comparing tensors as a whole and optimizes tensor orientation
explicitly. The problem of unbiased atlas construction is formulated using the
approach proposed by Joshi et al., i.e., the unbiased WM atlas is determined by
finding the mappings that best match the atlas to the images in the population
and have the least amount of deformation. We show how the proposed registration
algorithm can be adapted to approximately find the optimal atlas. The utility of
the proposed approach is demonstrated by constructing a WM atlas of 13 subjects.
The presented DT registration method is also compared to the approach of matching
DT images by aligning their fractional anisotropy images using large-deformation
image registration methods. Our results suggest that using full tensor
information can better align the orientations of WM fiber bundles.
PMID- 18044572
TI - Real-time SPECT and 2D ultrasound image registration.
AB - In this paper we present a technique for fully automatic, real-time 3D SPECT
(Single Photon Emitting Computed Tomography) and 2D ultrasound image
registration. We use this technique in the context of kidney lesion diagnosis.
Our registration algorithm allows a physician to perform an ultrasound exam after
a SPECT image has been acquired and see in real time the registration of both
modalities. An automatic segmentation algorithm has been implemented in order to
display in 3D the positions of the acquired US images with respect to the organs.
PMID- 18044573
TI - A multiphysics simulation of a healthy and a diseased abdominal aorta.
AB - Abdominal Aortic Aneurysm is a potentially life-threatening disease if not
treated adequately. Its pathogenesis is complex and multifactorial and is still
not fully understood. Many biochemical and biomechanical mechanisms have been
identified as playing a role in the formation of aneurysms but it is as yet
unclear what triggers the process. We investigated the role of the relevant
biomechanical factors, in particular the wall shear stress and the intramural
wall stress by simulating fluid structure interaction between the blood flow and
the deforming arterial wall in a healthy abdominal aortic bifurcation, the
preferred location of the disease. We then extended this study by introducing a
hypothetical weakening of the aortic wall. Intramural wall stress was
considerably higher and wall shear stress considerably lower in this
configuration, supporting the hypothesis that biomechanical aneurysmal growth
factors are self-sustaining.
PMID- 18044574
TI - New motion correction models for automatic identification of renal transplant
rejection.
AB - Acute rejection is the most common reason of graft failure after kidney
transplantation and early detection is crucial to survive the transplanted kidney
function. In this paper, we introduce a new approach for the automatic
classification of normal and acute rejection transplants from Dynamic Contrast
Enhanced Magnetic Resonance Imaging (DCE-MRI). The proposed algorithm consists of
three main steps; the first step isolates the kidney from the surrounding
anatomical structures. In the second step, new motion correction models are
employed to account for both the global and local motion of the kidney due to
patient moving and breathing. Finally, the perfusion curves that show the
transportation of the contrast agent into the tissue are obtained from the kidney
and used in the classification of normal and acute rejection transplants.
PMID- 18044575
TI - Detecting mechanical abnormalities in prostate tissue using FE-based image
registration.
AB - An image registration-based elastography algorithm is presented for assessing the
stiffness of tissue regions inside the prostate for the purpose of detecting
tumors. A 3D finite-element model of the prostate is built from ultrasound images
and used to simulate the deformation of the prostate induced by a TRUS probe. To
reconstruct the stiffness of tissues, their Young's moduli are varied using
Powell's method so that the mutual information between a simulated and deformed
image volume is maximized. The algorithm was validated using a gelatin prostate
phantom embedded with a cylindrical inclusion that simulated a tumor. Results
from the phantom study showed that the technique could detect the increased
stiffness of the simulated tumor with a reasonable accuracy.
PMID- 18044576
TI - Real-time fusion of ultrasound and gamma probe for navigated localization of
liver metastases.
AB - Liver metastases are an advanced stage of several types of cancer, usually
treated with surgery. Intra-operative localization of these lesions is currently
facilitated by intra-operative ultrasound (IOUS) and palpation, yielding a high
rate of false positives due to benign abnormal regions. In this paper we present
the integration of functional nuclear information from a gamma probe with IOUS,
to provide a synchronized, real-time visualization that facilitates the detection
of active metastases intra-operatively. We evaluate the system in an ex-vivo
setup employing a group of physicians and medical technicians and show that the
addition of functional imaging improves the accuracy of localizing and
identifying malignant and benign lesions significantly. Furthermore we are able
to demonstrate that the inclusion of an advanced, augmented visualization
provides more reliability and confidence on classifying these lesions in the
presented evaluation setup.
PMID- 18044577
TI - Fast and robust analysis of dynamic contrast enhanced MRI datasets.
AB - A fully automated method for quantitative analysis of dynamic contrast-enhanced
MRI data acquired with low and high field scanners, using spin echo and gradient
echo sequences, depicting various joints is presented. The method incorporates
efficient pre-processing techniques and a robust algorithm for quantitative
assessment of dynamic signal intensity vs. time curves. It provides
differentiated information to the reader regarding areas with the most active
perfusion and permits depiction of different disease activity in separate
compartments of a joint. Additionally, it provides information on the speed of
contrast agent uptake by various tissues. The method delivers objective and
easily reproducible results, which have been favourably viewed by a number of
medical experts.
PMID- 18044578
TI - Functional near infrared spectroscopy in novice and expert surgeons--a manifold
embedding approach.
AB - Monitoring expertise development in surgery is likely to benefit from evaluations
of cortical brain function. Brain behaviour is dynamic and nonlinear. The aim of
this paper is to evaluate the application of a nonlinear dimensionality reduction
technique to enhance visualisation of multidimensional functional Near Infrared
Spectroscopy (fNIRS) data. Manifold embedding is applied to prefrontal
haemodynamic signals obtained during a surgical knot tying task from a group of
62 healthy subjects with varying surgical expertise. The proposed method makes no
assumption about the functionality of the data set and is shown to be capable of
recovering the intrinsic low dimensional structure of in vivo brain data. After
manifold embedding, Earth Mover's Distance (EMD) is used to quantify different
patterns of cortical behaviour associated with surgical expertise and analyse the
degree of inter-hemispheric channel pair symmetry.
PMID- 18044579
TI - A hierarchical unsupervised spectral clustering scheme for detection of prostate
cancer from magnetic resonance spectroscopy (MRS).
AB - Magnetic Resonance Spectroscopy (MRS) along with MRI has emerged as a promising
tool in diagnosis and potentially screening for prostate cancer. Surprisingly
little work, however, has been done in the area of automated quantitative
analysis of MRS data for identifying likely cancerous areas in the prostate. In
this paper we present a novel approach that integrates a manifold learning scheme
(spectral clustering) with an unsupervised hierarchical clustering algorithm to
identify spectra corresponding to cancer on prostate MRS. Ground truth location
for cancer on prostate was determined from the sextant location and maximum size
of cancer available from the ACRIN database, from where a total of 14 MRS studies
were obtained. The high dimensional information in the MR spectra is non linearly
transformed to a low dimensional embedding space and via repeated clustering of
the voxels in this space, non informative spectra are eliminated and only
informative spectra retained. Our scheme successfully identified MRS cancer
voxels with sensitivity of 77.8%, false positive rate of 28.92%, and false
negative rate of 20.88% on a total of 14 prostate MRS studies. Qualitative
results seem to suggest that our method has higher specificity compared to a
popular scheme, z-score, routinely used for analysis of MRS data.
PMID- 18044580
TI - A clinically motivated 2-fold framework for quantifying and classifying
immunohistochemically stained specimens.
AB - Motivated by the current limitations of automated quantitative image analysis in
discriminating among intracellular immunohistochemical (IHC) staining patterns,
this paper presents a two-fold approach for IHC characterization that utilizes
both the protein stain information and the surrounding tissue architecture.
Through the use of a color unmixing algorithm, stained tissue sections are
automatically decomposed into the IHC stain, which visualizes the target protein,
and the counterstain which provides an objective indication of the underlying
histologic architecture. Feature measures are subsequently extracted from both
staining planes. In order to characterize the IHC expression pattern, this
approach exploits the use of a non-traditional feature based on textons. Novel
biologically motivated filter banks are introduced in order to derive texture
signatures for different IHC staining patterns. Systematic experiments using this
approach were used to classify breast cancer tissue microarrays which had been
previously prepared using immuno-targeted nuclear, cytoplasmic, and membrane
stains.
PMID- 18044581
TI - Cell population tracking and lineage construction with spatiotemporal context.
AB - Automated visual-tracking of cell populations in vitro using phase contrast time
lapse microscopy is vital for quantitative, systematic and high-throughput
measurements of cell behaviors. These measurements include the spatiotemporal
quantification of migration, mitosis, apoptosis, and cell lineage. This paper
presents an automated cell tracking system that can simultaneously track and
analyze thousands of cells. The system performs tracking by cycling through frame
by-frame track compilation and spatiotemporal track linking, combining the power
of two tracking paradigms. We applied the system to a range of cell populations
including adult stem cells. The system achieved tracking accuracies in the range
of 83.8%-92.5%, outperforming previous work by up to 8%.
PMID- 18044582
TI - Spatiotemporal normalization for longitudinal analysis of gray matter atrophy in
frontotemporal dementia.
AB - We present a unified method, based on symmetric diffeomorphisms, for studying
longitudinal neurodegeneration. Our method first uses symmetric diffeomorphic
normalization to find a spatiotemporal parameterization of an individual's image
time series. The second step involves mapping a representative image or set of
images from the time series into an optimal template space. The template mapping
is then combined with the intrasubject spatiotemporal map to enable pairwise
statistical tests to be performed on a population of normalized time series
images. Here, we apply this longitudinal analysis protocol to study the gray
matter atrophy patterns induced by frontotemporal dementia (FTD). We sample our
normalized spatiotemporal maps at baseline (time zero) and time one year to
generate an annualized atrophy map (AAM) that estimates the annual effect of FTD.
This spatiotemporal normalization enables us to locate neuroanatomical regions
that consistently undergo significant annual gray matter atrophy across the
population. We found the majority of annual atrophy to occur in the frontal and
temporal lobes in our population of 20 subjects. We also found significant
effects in the hippocampus, insula and cingulate gyrus. Our novel results,
significant at p < 0.05 after false discovery rate correction, are represented in
local template space but also assigned Talairach coordinates and Brodmann and
Anatomical Automatic Labeling (AAL) labels. This paper shows the statistical
power of symmetric diffeomorphic normalization for performing deformation-based
studies of longitudinal atrophy.
PMID- 18044583
TI - Population based analysis of directional information in serial deformation tensor
morphometry.
AB - Deformation morphometry provides a sensitive approach to detecting and mapping
subtle volume changes in the brain. Population based analyses of this data have
been used successfully to detect characteristic changes in different
neurodegenerative conditions. However, most studies have been limited to
statistical mapping of the scalar volume change at each point in the brain, by
evaluating the determinant of the Jacobian of the deformation field. In this
paper we describe an approach to spatial normalisation and analysis of the full
deformation tensor. The approach employs a spatial relocation and reorientation
of tensors of each subject. Using the assumption of small changes, we use a
linear modeling of effects of clinical variables on each deformation tensor
component across a population. We illustrate the use of this approach by
examining the pattern of significance and orientation of the volume change
effects in recovery from alcohol abuse. Results show new local structure which
was not apparent in the analysis of scalar volume changes.
PMID- 18044584
TI - Non-parametric diffeomorphic image registration with the demons algorithm.
AB - We propose a non-parametric diffeomorphic image registration algorithm based on
Thirion's demons algorithm. The demons algorithm can be seen as an optimization
procedure on the entire space of displacement fields. The main idea of our
algorithm is to adapt this procedure to a space of diffeomorphic transformations.
In contrast to many diffeomorphic registration algorithms, our solution is
computationally efficient since in practice it only replaces an addition of free
form deformations by a few compositions. Our experiments show that in addition to
being diffeomorphic, our algorithm provides results that are similar to the ones
from the demons algorithm but with transformations that are much smoother and
closer to the true ones in terms of Jacobians.
PMID- 18044585
TI - Three-dimensional ultrasound mosaicing.
AB - The creation of 2D ultrasound mosaics is becoming a common clinical practice with
a high clinical value. The next step coming along with the increasing
availability of 2D array transducers is the creation of 3D mosaics. In the
literature of ultrasound registration, the alignment of multiple images has not
yet been addressed. Therefore, we propose registration strategies, which are able
to cope with problems arising by multiple image alignment. Among others, we use
simultaneous registration which urges the usage of multivariate similarity
measures. In this paper, we propose alternative multivariate extensions based on
a maximum likelihood framework. Experimental results show the good performance of
the proposed registration strategies and similarity measures.
PMID- 18044586
TI - Automated extraction of lymph nodes from 3-D abdominal CT images using 3-D
minimum directional difference filter.
AB - This paper presents a method for extracting lymph node regions from 3-D abdominal
CT images using 3-D minimum directional difference filter. In the case of surgery
of colonic cancer, resection of metastasis lesions is performed with resection of
a primary lesion. Lymph nodes are main route of metastasis and are quite
important for deciding resection area. Diagnosis of enlarged lymph nodes is quite
important process for surgical planning. However, manual detection of enlarged
lymph nodes on CT images is quite burden task. Thus, development of lymph node
detection process is very helpful for assisting such surgical planning task.
Although there are several report that present lymph node detection, these
methods detect lymph nodes primary from PET images or detect in 2-D image
processing way. There is no method that detects lymph nodes directly from 3-D
images. The purpose of this paper is to show an automated method for detecting
lymph nodes from 3-D abdominal CT images. This method employs a 3-D minimum
directional difference filter for enhancing blob structures with suppressing line
structures. After that, false positive regions caused by residua and vein are
eliminated using several kinds of information such as size, blood vessels, air in
the colon. We applied the proposed method to three cases of 3-D abdominal CT
images. The experimental results showed that the proposed method could detect
57.0% of enlarged lymph nodes with 58 FPs per case.
PMID- 18044587
TI - Non-local means variants for denoising of diffusion-weighted and diffusion tensor
MRI.
AB - Diffusion tensor imaging (DT-MRI) is very sensitive to corrupting noise due to
the non linear relationship between the diffusion-weighted image intensities (DW
MRI) and the resulting diffusion tensor. Denoising is a crucial step to increase
the quality of the estimated tensor field. This enhanced quality allows for a
better quantification and a better image interpretation. The methods proposed in
this paper are based on the Non-Local (NL) means algorithm. This approach uses
the natural redundancy of information in images to remove the noise. We introduce
three variations of the NL-means algorithms adapted to DW-MRI and to DT-MRI.
Experiments were carried out on a set of 12 diffusion-weighted images (DW-MRI) of
the same subject. The results show that the intensity based NL-means approaches
give better results in the context of DT-MRI than other classical denoising
methods, such as Gaussian Smoothing, Anisotropic Diffusion and Total Variation.
PMID- 18044588
TI - Quantifying calcification in the lumbar aorta on X-ray images.
AB - In this paper we propose to use inpainting to estimate the severity of
atherosclerotic plaques from X-ray projections. Inpainting allows to "remove" the
plaque and estimate what the background image for an uncalcified aorta would have
looked like. A measure of plaque severity can then be derived by subtracting the
inpainting from the original image. In contrast to the current standard of
categorical calcification scoring from X-rays, our method estimates both the size
and the density of calcified areas and provides a continuous severity score, thus
allowing for measurement of more subtle differences. We discuss a class of smooth
inpainting methods, compare their ability to reconstruct the original images, and
compare the inpainting based calcification score to the conventional categorical
score in a longitudinal study on 49 patients addressing correlations of the
calcification scores with hypertension, a known cardiovascular risk factor.
PMID- 18044589
TI - Physically motivated enhancement of color images for fiber endoscopy.
AB - Fiber optics are widely used in flexible endoscopes which are indispensable for
many applications in diagnosis and therapy. Computer-aided use of fiberscopes
requires a digital sensor mounted at the proximal end. Most commercially
available cameras for endoscopy provide the images by means of a regular grid of
color filters what is known as the Bayer Pattern. Hence, the images suffer from
false colored spatial moire, which is further stressed by the downgrading fiber
optic transmission yielding a honey comb pattern. To solve this problem we
propose a new approach that extends the interpolation between known intensities
of registered fibers to multi channel color applications. The inventive idea
takes into account both the Gaussian intensity distribution of each fiber and the
physical color distribution of the Bayer pattern. Individual color factors for
interpolation of each fiber area make it possible to simultaneously remove both
the comb structure from the fiber bundle as well as the Bayer pattern mosaicking
from the sensor while preserving depicted structures and textures in the scene.
PMID- 18044590
TI - Signal LMMSE estimation from multiple samples in MRI and DT-MRI.
AB - A method to estimate the magnitude MR data from several noisy samples is
presented. It is based on the Linear Minimum Mean Squared Error (LMMSE) estimator
for the Rician noise model when several scanning repetitions are available. This
method gives a closed-form analytical solution that takes into account the
probability distribution of the data as well as the existing level of noise,
showing a better performance than methods such as the average or the median.
PMID- 18044592
TI - Improving temporal fidelity in k-t BLAST MRI reconstruction.
AB - Studies of myocardial motion using magnetic resonance imaging usually require
multiple breath holds and several methods have been proposed in order to reduce
the scan time. Rapid imaging using k-t BLAST has gained much attention with its
high reduction factors and image quality. Temporal smoothing, however, may reduce
the accuracy when assessing cardiac function. In the present work, a modified
reconstruction filter is proposed, that preserves more of the high temporal
frequencies. Artificial decimation of a fully sampled data set was used to
evaluate the reconstruction filter. Compared to the conventional k-t BLAST
reconstruction, the modified filter produced images with sharper temporal
delineation of the myocardial walls. Quantitative analysis by means of regional
velocity estimation showed that the modified reconstruction filter produced more
accurate velocity estimations.
PMID- 18044591
TI - Quantifying heterogeneity in dynamic contrast-enhanced MRI parameter maps.
AB - Simple summary statistics of Dynamic Contrast-Enhanced MRI (DCE-MRI) parameter
maps (e.g. the median) neglect the spatial arrangement of parameters, which
appears to carry important diagnostic and prognostic information. This paper
describes novel statistics that are sensitive to both parameter values and their
spatial arrangement. Binary objects are created from 3-D DCE-MRI parameter maps
by "extruding" each voxel into a fourth dimension; the extrusion distance is
proportional to the voxel's value. The following statistics are then computed on
these 4-D binary objects: surface area, volume, surface area to volume ratio, and
box counting (fractal) dimension. An experiment using 4 low and 5 high grade
gliomas showed significant differences between the two grades for box counting
dimension computed for extruded v(e) maps, surface area of extruded K(trans) and
v(e) maps and the volume of extruded v(e) maps (all p < 0.05). An experiment
using 18 liver metastases imaged before and after treatment with a vascular
endothelial growth factor (VEGF) inhibitor showed significant differences for
surface area to volume ratio computed for extruded K(trans) and v(e) maps (p =
0.0013 and p = 0.045 respectively).
PMID- 18044593
TI - Segmentation and classification of breast tumor using dynamic contrast-enhanced
MR images.
AB - Accuracy of automatic cancer diagnosis is largely determined by two factors,
namely, the precision of tumor segmentation, and the suitability of extracted
features for discrimination between malignancy and benignancy. In this paper, we
propose a new framework for accurate characterization of tumors in contrast
enhanced MR images. First, a new graph cut based segmentation algorithm is
developed for refining coarse manual segmentation, which allows precise
identification of tumor regions. Second, by considering serial contrast-enhanced
images as a single spatio-temporal image, a spatio-temporal model of segmented
tumor is constructed to extract Spatio-Temporal Enhancement Patterns (STEPs).
STEPs are designed to capture not only dynamic enhancement and architectural
features, but also spatial variations of pixel-wise temporal enhancement of the
tumor. While temporal enhancement features are extracted through Fourier
transform, the resulting STEP framework captures spatial patterns of temporal
enhancement features via moment invariants and rotation invariant Gabor textures.
High accuracy of the proposed framework is a direct consequence of this two
pronged approach, which is validated through experiments yielding, for instance,
an area of 0.97 under the ROC curve.
PMID- 18044594
TI - Automatic whole heart segmentation in static magnetic resonance image volumes.
AB - We present a fully automatic segmentation algorithm for the whole heart (four
chambers, left ventricular myocardium and trunks of the aorta, the pulmonary
artery and the pulmonary veins) in cardiac MR image volumes with nearly isotropic
voxel resolution, based on shape-constrained deformable models. After automatic
model initialization and reorientation to the cardiac axes, we apply a multi
stage adaptation scheme with progressively increasing degrees of freedom.
Particular attention is paid to the calibration of the MR image intensities.
Detailed evaluation results for the various anatomical heart regions are
presented on a database of 42 patients. On calibrated images, we obtain an
average segmentation error of 0.76mm.
PMID- 18044595
TI - PCA-based magnetic field modeling: application for on-line MR temperature
monitoring.
AB - Magnetic Resonance (MR) temperature mapping can be used to monitor temperature
changes during minimally invasive thermal therapies. However, MR-thermometry
contains artefacts caused by phase errors induced by organ motion in
inhomogeneous magnetic fields. This paper proposes a novel correction strategy
based on a Principal Component Analysis (PCA) to estimate magnetic field
perturbation assuming a linear magnetic field variation with organ displacement.
The correction method described in this paper consists of two steps: a magnetic
field perturbation model is computed in a learning step; subsequently, during the
intervention, this model is used to reconstruct the magnetic field perturbation
corresponding to the actual organ position which in turns allow computation of
motion corrected thermal maps.
PMID- 18044596
TI - A probabilistic model for haustral curvatures with applications to colon CAD.
AB - Among the many features used for classification in computer-aided detection (CAD)
systems targeting colonic polyps, those based on differences between the shapes
of polyps and folds are most common. We introduce here an explicit parametric
model for the haustra or colon wall. The proposed model captures the overall
shape of the haustra and we use it to derive the probability distribution of
features relevant to polyp detection. The usefulness of the model is demonstrated
through its application to a colon CAD algorithm.
PMID- 18044597
TI - LV motion tracking from 3D echocardiography using textural and structural
information.
AB - Automated motion reconstruction of the left ventricle (LV) from 3D
echocardiography provides insight into myocardium architecture and function. Low
image quality and artifacts make 3D ultrasound image processing a challenging
problem. We introduce a LV tracking method, which combines textural and
structural information to overcome the image quality limitations. Our method
automatically reconstructs the motion of the LV contour (endocardium and
epicardium) from a sequence of 3D ultrasound images.
PMID- 18044598
TI - A novel 3D multi-scale lineness filter for vessel detection.
AB - The branching pattern and geometry of coronary microvessels are of high interest
to understand and model the blood flow distribution and the processes of contrast
invasion, ischemic changes and repair in the heart in detail. Analysis is
performed on high resolution, 3D volumes of the arterial microvasculature of
entire goat hearts, which are acquired with an imaging cryomicrotome. Multi-scale
vessel detection is an important step required for a detailed quantitative
analysis of the coronary microvasculature. Based on visual inspection, the
derived lineness filter shows promising results on real data and digital
phantoms, on the way towards accurate computerized reconstructions of entire
coronary trees. The novel lineness filter exploits the local first and second
order multi-scale derivatives in order to give an intensity-independent response
to line centers and to suppress unwanted responses to steep edges.
PMID- 18044599
TI - Live-vessel: extending livewire for simultaneous extraction of optimal medial and
boundary paths in vascular images.
AB - This paper incorporates multiscale vesselness filtering into the Livewire
framework to simultaneously compute optimal medial axes and boundaries in
vascular images. To this end, we extend the existing 2D graph search to 3D space
to optimize not only for spatial variables (x, y), but also for radius values r
at each node. In addition, we minimize change for both scale and the smallest
principle curvature and incorporate vessel boundary evidence in our optimization.
When compared to two sets of DRIVE expert manual tracings, our proposed technique
reduced the overall segmentation task time by 68.2%, had a similarity ratio of
0.772 (0.775 between manual), and was 98.2% reproducible.
PMID- 18044600
TI - A point-wise quantification of asymmetry using deformation fields: application to
the study of the Crouzon mouse model.
AB - This paper introduces a novel approach to quantify asymmetry in each point of a
surface. The measure is based on analysing displacement vectors resulting from
nonrigid image registration. A symmetric atlas, generated from control subjects
is registered to a given subject image. A comparison of the resulting
displacement vectors on the left and right side of the symmetry plane, gives a
point-wise measure of asymmetry. The asymmetry measure was applied to the study
of Crouzon syndrome using Micro CT scans of genetically modified mice. Crouzon
syndrome is characterised by the premature fusion of cranial sutures, which gives
rise to a highly asymmetric growth. Quantification and localisation of this
asymmetry is of high value with respect to surgery planning and treatment
evaluation. Using the proposed method, asymmetry was calculated in each point of
the surface of Crouzon mice and wild-type mice (controls). Asymmetry appeared in
similar regions for the two groups but the Crouzon mice were found significantly
more asymmetric. The localisation ability of the method was in good agreement
with ratings from a clinical expert. Validating the quantification ability is a
less trivial task due to the lack of a gold standard. Nevertheless, a comparison
with a different, but less accurate measure of asymmetry revealed good
correlation.
PMID- 18044601
TI - Object localization based on Markov random fields and symmetry interest points.
AB - We present an approach to detect anatomical structures by configurations of
interest points, from a single example image. The representation of the
configuration is based on Markov Random Fields, and the detection is performed in
a single iteration by the MAX-SUM algorithm. Instead of sequentially matching
pairs of interest points, the method takes the entire set of points, their local
descriptors and the spatial configuration into account to find an optimal mapping
of modeled object to target image. The image information is captured by symmetry
based interest points and local descriptors derived from Gradient Vector Flow.
Experimental results are reported for two data-sets showing the applicability to
complex medical data.
PMID- 18044602
TI - 2D motion analysis of long axis cardiac tagged MRI.
AB - The tracking and reconstruction of myocardial motion is critical to the diagnosis
and treatment of heart disease. Currently, little has been done for the analysis
of motion in long axis (LA) cardiac images. We propose a new fully automated
motion reconstruction method for grid- tagged MRI that combines Gabor filters and
deformable models. First, we use a Gabor filter bank to generate the
corresponding phase map in the myocardium and estimate the location of grid tag
intersections. Second, we use a non-rigid registration module driven by thin
plate splines (TPS) to generate a transformation function between tag
intersections in two consecutive images. Third, deformable spline models are
initialized using Fourier domain analysis and tracked during the cardiac cycle
using the TPS generated transformation function. The splines will then locally
deform under the influence of gradient flow and image phase information. The
final motion is decomposed into tangential and normal components corresponding to
the local orientation of the heart wall. The new method has been tested on LA
phantoms and in vivo heart data, and its performance has been quantitatively
validated. The results show that our method can reconstruct the motion field in
LA cardiac tagged MR images accurately and efficiently.
PMID- 18044603
TI - MCMC curve sampling for image segmentation.
AB - We present an algorithm to generate samples from probability distributions on the
space of curves. We view a traditional curve evolution energy functional as a
negative log probability distribution and sample from it using a Markov chain
Monte Carlo (MCMC) algorithm. We define a proposal distribution by generating
smooth perturbations to the normal of the curve and show how to compute the
transition probabilities to ensure that the samples come from the posterior
distribution. We demonstrate some advantages of sampling methods such as
robustness to local minima, better characterization of multi-modal distributions,
access to some measures of estimation error, and ability to easily incorporate
constraints on the curve.
PMID- 18044604
TI - Automatic centerline extraction of irregular tubular structures using probability
volumes from multiphoton imaging.
AB - In this paper, we present a general framework for extracting 3D centerlines from
volumetric datasets. Unlike the majority of previous approaches, we do not
require a prior segmentation of the volume nor we do assume any particular
tubular shape. Centerline extraction is performed using a morphology-guided level
set model. Our approach consists of: i) learning the structural patterns of a
tubular-like object, and ii) estimating the centerline of a tubular object as the
path with minimal cost with respect to outward flux in gray level images. Such
shortest path is found by solving the Eikonal equation. We compare the
performance of our method with existing approaches in synthetic, CT, and
multiphoton 3D images, obtaining substantial improvements, especially in the case
of irregular tubular objects.
PMID- 18044605
TI - Gamma-convergence approximation to piecewise smooth medical image segmentation.
AB - Despite many research efforts, accurate extraction of structures of interest
still remains a difficult issue in many medical imaging applications. This is
particularly the case for magnetic resonance (MR) images where image quality
depends highly on the acquisition protocol. In this paper, we propose a
variational region based algorithm that is able to deal with spatial
perturbations of the image intensity directly. Image segmentation is obtained by
using a gamma-Convergence approximation for a multi-scale piecewise smooth model.
This model overcomes the limitations of global region models while avoiding the
high sensitivity of local approaches. The proposed model is implemented
efficiently using recursive Gaussian convolutions. Numerical experiments on 2
dimensional human liver MR images show that our model compares favorably to
existing methods.
PMID- 18044606
TI - Is a single energy functional sufficient? Adaptive energy functionals and
automatic initialization.
AB - Energy functional minimization is an increasingly popular technique for image
segmentation. However, it is far too commonly applied with hand-tuned parameters
and initializations that have only been validated for a few images. Fixing these
parameters over a set of images assumes the same parameters are ideal for each
image. We highlight the effects of varying the parameters and initialization on
segmentation accuracy and propose a framework for attaining improved results
using image adaptive parameters and initializations. We provide an analytical
definition of optimal weights for functional terms through an examination of
segmentation in the context of image manifolds, where nearby images on the
manifold require similar parameters and similar initializations. Our results
validate that fixed parameters are insufficient in addressing the variability in
real clinical data, that similar images require similar parameters, and
demonstrate how these parameters correlate with the image manifold. We present
significantly improved segmentations for synthetic images and a set of 470
clinical examples.
PMID- 18044607
TI - A duality based algorithm for TV-L1-optical-flow image registration.
AB - Nonlinear image registration is a challenging task in the field of medical image
analysis. In many applications discontinuities may be present in the displacement
field, and intensity variations may occur. In this work we therefore utilize an
energy functional which is based on Total Variation regularization and a robust
data term. We propose a novel, fast and stable numerical scheme to find the
minimizer of this energy. Our approach combines a fixed-point procedure derived
from duality principles combined with a fast thresholding step. We show
experimental results on synthetic and clinical CT lung data sets at different
breathing states as well as registration results on inter-subject brain MRIs.
PMID- 18044608
TI - Deformable 2D-3D registration of the pelvis with a limited field of view, using
shape statistics.
AB - Our paper summarizes experiments for measuring the accuracy of deformable 2D-3D
registration between sets of simulated x-ray images (DRR's) and a statistical
shape model of the pelvis bones, which includes x-ray attenuation information
("density"). In many surgical scenarios, the images contain a truncated view of
the pelvis anatomy. Our work specifically addresses this problem by examining
different selections of truncated views as target images. Our atlas is derived by
applying principal component analysis to a population of up to 110 instance
shapes. The experiments measure the registration error with a large and truncated
FOV. A typical accuracy of about 2 mm is achieved in the 2D-3D registration,
compared with about 1.4 mm of an "optimal" 3D-3D registration.
PMID- 18044609
TI - Segmentation-driven 2D-3D registration for abdominal catheter interventions.
AB - 2D-3D registration of abdominal angiographic data is a difficult problem due to
hard time constraints during the intervention, different vessel contrast in
volume and image, and motion blur caused by breathing. We propose a novel method
for aligning 2D Digitally Subtracted Angiograms (DSA) to Computed Tomography
Angiography (CTA) volumes, which requires no user interaction
intrainterventionally. In an iterative process, we link 2D segmentation and 2D-3D
registration using a probability map, which creates a common feature space where
outliers in 2D and 3D are discarded consequently. Unlike other approaches, we
keep user interaction low while high capture range and robustness against vessel
variability and deformation are maintained. Tests on five patient data sets and a
comparison to two recently proposed methods show the good performance of our
method.
PMID- 18044610
TI - Primal/dual linear programming and statistical atlases for cartilage
segmentation.
AB - In this paper we propose a novel approach for automatic segmentation of cartilage
using a statistical atlas and efficient primal/dual linear programming. To this
end, a novel statistical atlas construction is considered from registered
training examples. Segmentation is then solved through registration which aims at
deforming the atlas such that the conditional posterior of the learned (atlas)
density is maximized with respect to the image. Such a task is reformulated using
a discrete set of deformations and segmentation becomes equivalent to finding the
set of local deformations which optimally match the model to the image. We
evaluate our method on 56 MRI data sets (28 used for the model and 28 used for
evaluation) and obtain a fully automatic segmentation of patella cartilage volume
with an overlap ratio of 0.84 with a sensitivity and specificity of 94.06% and
99.92%, respectively.
PMID- 18044611
TI - Similarity metrics for groupwise non-rigid registration.
AB - The use of groupwise registration techniques for average atlas construction has
been a growing area of research in recent years. One particularly challenging
component of groupwise registration is finding scalable and effective groupwise
similarity metrics; these do not always extend easily from pairwise metrics. This
paper investigates possible choices of similarity metrics and additionally
proposes a novel metric based on Normalised Mutual Information. The described
groupwise metrics are quantitatively evaluated on simulated and 3D MR datasets,
and their performance compared to equivalent pairwise registration.
PMID- 18044612
TI - A comprehensive system for intraoperative 3D brain deformation recovery.
AB - During neurosurgery, brain deformation renders preoperative images unreliable for
localizing pathologic structures. In order to visualize the current brain
anatomy, it is necessary to nonrigidly warp these preoperative images to reflect
the intraoperative brain. This can be accomplished using a biomechanical model
driven by sparse intraoperative information. In this paper, a linear elastic
model of the brain is developed which can infer volumetric brain deformation
given the cortical surface displacement. This model was tested on both a
realistic brain phantom and in vivo, proving its ability to account for large
brain deformations. Also, an efficient semiautomatic strategy for preoperative
cortical feature detection is outlined, since accurate segmentation of cortical
features can aid intraoperative cortical surface tracking.
PMID- 18044613
TI - Bayesian tracking of tubular structures and its application to carotid arteries
in CTA.
AB - This paper presents a Bayesian framework for tracking of tubular structures such
as vessels. Compared to conventional tracking schemes, its main advantage is its
non-deterministic character, which strongly increases the robustness of the
method. A key element of our approach is a dedicated observation model for
tubular structures in regions with varying intensities. Furthermore, we show how
the tracking method can be used to obtain a probabilistic segmentation of the
tracked tubular structure. The method has been applied to track the internal
carotid artery from CT angiography data of 14 patients (28 carotids) through the
skull base. This is a challenging problem, owing to the close proximity of bone,
overlap in intensity values of lumen voxels and (partial volume) bone voxels, and
the tortuous path of the vessels. The tracking was successful in 25 cases, and
the extracted path were found to be close (< 1.0mm) to manually traced paths by
two observers.
PMID- 18044614
TI - Automatic fetal measurements in ultrasound using constrained probabilistic
boosting tree.
AB - Automatic delineation and robust measurement of fetal anat-omical structures in
2D ultrasound images is a challenging task due to the complexity of the object
appearance, noise, shadows, and quantity of information to be processed. Previous
solutions rely on explicit encoding of prior knowledge and formulate the problem
as a perceptual grouping task solved through clustering or variational
approaches. These methods are known to be limited by the validity of the
underlying assumptions and cannot capture complex structure appearances. We
propose a novel system for fast automatic obstetric measurements by directly
exploiting a large database of expert annotated fetal anatomical structures in
ultrasound images. Our method learns to distinguish between the appearance of the
object of interest and background by training a discriminative constrained
probabilistic boosting tree classifier. This system is able to handle previously
unsolved problems in this domain, such as the effective segmentation of fetal
abdomens. We show results on fully automatic measurement of head circumference,
biparietal diameter, abdominal circumference and femur length. Unparalleled
extensive experiments show that our system is, on average, close to the accuracy
of experts in terms of segmentation and obstetric measurements. Finally, this
system runs under half second on a standard dual-core PC computer.
PMID- 18044615
TI - Quantifying effect-specific mammographic density.
AB - A methodology is introduced for the automated assessment of structural changes of
breast tissue in mammograms. It employs a generic machine learning framework and
provides objective breast density measures quantifying the specific biological
effects of interest. In several illustrative experiments on data from a clinical
trial, it is shown that the proposed method can quantify effects caused by
hormone replacement therapy (HRT) at least as good as standard methods. Most
interestingly, the separation of subpopulations using our approach is
considerably better than the best alternative, which is interactive. Moreover,
the automated method is capable of detecting age effects where standard
methodologies completely fail.
PMID- 18044616
TI - Revisiting the evaluation of segmentation results: introducing confidence maps.
AB - We introduce a novel framework, called Confidence Maps Estimating True
Segmentations (Comets), to store segmentation references for medical images,
combine multiple references, and measure the discrepancy between a segmented
object and a reference. The core feature is the use of efficiently encoded
confidence maps, which reflect the local variations of blur and the presence of
nearby objects. Local confidence values are defined from expert user input, and
used to define a new discrepancy error measure, aimed to be directly interpreted
quantitatively and qualitatively. We illustrate the use of this framework to
compare different segmentation methods and tune a method's parameters.
PMID- 18044617
TI - Error analysis of calibration materials on dual-energy mammography.
AB - Dual-energy mammography can suppress the contrast between adipose and glandular
tissues and improve the detectability of microcalcifications (MCs). In clinical
dual-energy mammography, imaging object is human breast, while in calibration
measurements, only phantoms of breast-tissue-equivalent material can be used. The
composition and density differences between calibration materials and human
breast bring the differences of linear attenuation coefficient which lead to the
calculation errors in dual-energy imaging. In this paper, the magnitude of MC
thickness error from calibration materials has been analyzed using a first-order
propagation of error analysis. This analysis shows that the thickness error from
calibration materials ranges from dozens to thousands of microns which can not be
ignored when carrying out dual-energy calculations. The evaluation of several
popular phantoms shows that it is of great importance to adopt the phantom
materials approaching human breast most.
PMID- 18044619
TI - Variational guidewire tracking using phase congruency.
AB - We present a novel method to track a guidewire in cardiac x-ray video. Using
variational calculus, we derive differential equations that deform a spline,
subject to intrinsic and extrinsic forces, so that it matches the image data,
remains smooth, and preserves an a priori length. We analytically derive these
equations from first principles, and show how they include tangential terms,
which we include in our model. To address the poor contrast often observed in x
ray video, we propose using phase congruency as an image-based feature.
Experimental results demonstrate the success of the method in tracking guidewires
in low contrast x-ray video.
PMID- 18044618
TI - A MR compatible mechatronic system to facilitate magic angle experiments in vivo.
AB - When imaging tendons and cartilage in a MRI scanner, an increase in signal
intensity is observed when they are oriented at 55 degrees with respect to Bo
(the "magic angle"). There is a clear clinical importance for considering this
effect as part of the diagnosis of orthopaedic and other injury. Experimental
studies of this phenomenon have been made harder by practical difficulties of
tissue positioning and orientation in the confined environment of cylindrical
scanners. An MRI compatible mechatronic system has been developed to position a
variety of limbs inside the field of view of the scanner, to be used as a
diagnostic and research tool. It is actuated with a novel pneumatic motor
comprised of a heavily geared down air turbine, and is controlled in a closed
loop using standard optical encoders. MR compatibility is demonstrated as well as
the results of preliminary trials used to image the Achilles tendon of human
volunteers at different orientations. A 4 to 13 fold increase in signal at the
tendon is observed at the magic angle.
PMID- 18044620
TI - Endoscopic navigation for minimally invasive suturing.
AB - Manipulating small objects such as needles, screws or plates inside the human
body during minimally invasive surgery can be very difficult for less experienced
surgeons, due to the loss of 3D depth perception. This paper presents an approach
for tracking a suturing needle using a standard endoscope. The resulting pose
information of the needle is then used to generate artificial 3D cues on the 2D
screen to optimally support surgeons during tissue suturing. Additionally, if an
external tracking device is provided to report the endoscope's position, the
suturing needle can be tracked in a hybrid fashion with sub-millimeter accuracy.
Finally, a visual navigation aid can be incorporated, if a 3D surface is
intraoperatively reconstructed from video or registered from preoperative
imaging.
PMID- 18044621
TI - On fiducial target registration error in the presence of anisotropic noise.
AB - We study the effect of anisotropic noise on target registration error (TRE) by
using a tracked and calibrated stylus tip as the fiducial registration
application. We present a simple, efficient unscented Kalman filter algorithm
that is suitable for fiducial registration even with a small number of fiducials.
We also derive an equation that predicts TRE under anisotropic noise. The
predicted TRE values are shown to closely match the simulated TRE values achieved
using our UKF-based algorithm.
PMID- 18044622
TI - Rotational roadmapping: a new image-based navigation technique for the
interventional room.
AB - For decades, conventional 2D-roadmaping has been the method of choice for image
based guidewire navigation during endovascular procedures. Only recently have 3D
roadmapping techniques become available that are based on the acquisition and
reconstruction of a 3D image of the vascular tree. In this paper, we present a
new image-based navigation technique called RoRo (Rotational Roadmapping) that
eliminates the guess-work inherent to the conventional 2D method, but does not
require a 3D image. Our preliminary clinical results show that there are
situations in which RoRo is preferred over the existing two methods, thus
demonstrating potential for filling a clinical niche and complementing the
spectrum of available navigation tools.
PMID- 18044623
TI - Bronchoscope tracking without fiducial markers using ultra-tiny electromagnetic
tracking system and its evaluation in different environments.
AB - This paper presents a method for bronchoscope tracking without any fiducial
markers using an ultra-tiny electromagnetic tracker (UEMT) for a bronchoscopy
guidance system. The proposed method calculates the transformation matrix, which
shows the relationship between the coordinates systems of the pre-operative CT
images and the UEMT, by registering bronchial branches segmented from CT images
and points measured by the UEMT attached at the tip of a bronchoscope. We
dynamically compute the transformation matrix for every pre-defined number of
measurements. We applied the proposed method to a bronchial phantom in several
experimental environments. The experimental results showed the proposed method
can track a bronchoscope camera with about 3.3mm of target registration error
(TRE) for wood table environment and 4.0mm of TRE for examination table
environment.
PMID- 18044624
TI - Online estimation of the target registration error for n-ocular optical tracking
systems.
AB - For current surgical navigation systems optical tracking is state of the art. The
accuracy of these tracking systems is currently determined statically for the
case of full visibility of all tracking targets. We propose a dynamic
determination of the accuracy based on the visibility and geometry of the
tracking setup. This real time estimation of accuracy has a multitude of
applications. For multiple camera systems it allows reducing line of sight
problems and guaranteeing a certain accuracy. The visualization of these
accuracies allows surgeons to perform the procedures taking to the tracking
accuracy into account. It also allows engineers to design tracking setups
interactively guaranteeing a certain accuracy. Our model is an extension to the
state of the art models of Fitzpatrick et al. and Hoff et al. We model the error
in the camera sensor plane. The error is propagated using the internal camera
parameter, camera poses, tracking target poses, target geometry and marker
visibility, in order to estimate the final accuracy of the tracked instrument.
PMID- 18044625
TI - Assessment of perceptual quality for gaze-contingent motion stabilization in
robotic assisted minimally invasive surgery.
AB - With the increasing sophistication of surgical robots, the use of motion
stabilisation for enhancing the performance of micro-surgical tasks is an
actively pursued research topic. The use of mechanical stabilisation devices has
certain advantages, in terms of both simplicity and consistency. The technique,
however, can complicate the existing surgical workflow and interfere with an
already crowded MIS operated cavity. With the advent of reliable vision-based
real-time and in situ in vivo techniques on 3D-deformation recovery, current
effort is being directed towards the use of optical based techniques for
achieving adaptive motion stabilisation. The purpose of this paper is to assess
the effect of virtual stabilization on foveal/parafoveal vision during robotic
assisted MIS. Detailed psychovisual experiments have been performed. Results show
that stabilisation of the whole visual field is not necessary and it is
sufficient to perform accurate motion tracking and deformation compensation
within a relatively small area that is directly under foveal vision. The results
have also confirmed that under the current motion stabilisation regime, the
deformation of the periphery does not affect the visual acuity and there is no
indication of the deformation velocity of the periphery affecting foveal
sensitivity. These findings are expected to have a direct implication on the
future design of visual stabilisation methods for robotic assisted MIS.
PMID- 18044626
TI - Prediction of respiratory motion with wavelet-based multiscale autoregression.
AB - In robotic radiosurgery, a photon beam source, moved by a robot arm, is used to
ablate tumors. The accuracy of the treatment can be improved by predicting
respiratory motion to compensate for system delay. We consider a wavelet-based
multiscale autoregressive prediction method. The algorithm is extended by
introducing a new exponential averaging parameter and the use of the Moore
Penrose pseudo inverse to cope with long-term signal dependencies and system
matrix irregularity, respectively. In test cases, this new algorithm outperforms
normalized LMS predictors by as much as 50%. With real patient data, we achieve
an improvement of around 5 to 10%.
PMID- 18044627
TI - Multi-criteria trajectory planning for hepatic radiofrequency ablation.
AB - In this paper, we propose a method based on multiple criteria to assist
physicians in planning percutaneous RFA on liver. We explain how we extracted
information from literature and interviews with radiologists, and formalized them
into geometric constraints. We expose then our method to compute the most
suitable needle insertion in two steps: computation of authorized insertion zones
and multi-criteria optimization of the trajectory within this zones. We focus on
the combination of the criteria to optimize and on the optimization step.
PMID- 18044628
TI - A Bayesian 3D volume reconstruction for confocal micro-rotation cell imaging.
AB - Recently, micro-rotation confocal microscopy has enabled the acquisition of a
sequence of slices for a non-adherent living cells where the slices' positions
are roughly controlled by a dielectric-field biological cage. The high resolution
volume reconstruction requires then the integration of precise alignment of slice
positions. We propose in the Bayesian context, a new method combining both slice
positioning and 3D volume reconstruction simultaneously, which leads naturally to
an energy minimization procedure of a variational problem. An automatic
calibration paradigm via Maximum Likelihood estimation (MLE) principle is used
for the relative hyper-parameter determination. We provide finally experimental
comparison results on both conventional z-stack confocal images and 3D volume
reconstruction from micro-rotation slices of the same non-adherent living cell to
show its potential biomedical application.
PMID- 18044629
TI - Bias image correction via stationarity maximization.
AB - Automated acquisitions in microscopy may come along with strong illumination
artifacts due to poor physical imaging conditions. Such artifacts obviously have
direct consequences on the efficiency of an image analysis algorithm and on the
quantitative measures. In this paper, we propose a method to correct illumination
artifacts on biological images. This correction is based on orthogonal polynomial
modeling, combined with stationary maximization criteria. To validate the
proposed method we show that we improve particle detection algorithm.
PMID- 18044630
TI - Toward optimal matching for 3D reconstruction of brachytherapy seeds.
AB - X-ray C-arm fluoroscopy is a natural choice for intra-operative seed localization
in prostate brachytherapy. Resolving the correspondence of seeds in the
projection images can be modeled as an assignment problem that is NP-hard. Our
approach rests on the practical observation that the optimal solution has almost
zero cost if the pose of the C-arm is known accurately. This allowed us to to
derive an equivalent problem of reduced dimensionality that, with linear
programming, can be solved efficiently in polynomial time. Additionally, our
method demonstrates significantly increased robustness to C-arm pose errors when
compared to the prior art. Because under actual clinical circumstances it is
exceedingly difficult to track the C-arm, easing on this constraint has
additional practical utility.
PMID- 18044631
TI - Alignment of large image series using cubic B-splines tessellation: application
to transmission electron microscopy data.
AB - 3D reconstruction from serial 2D microscopy images depends on non-linear
alignment of serial sections. For some structures, such as the neuronal circuitry
of the brain, very large images at very high resolution are necessary to permit
reconstruction. These very large images prevent the direct use of classical
registration methods. We propose in this work a method to deal with the non
linear alignment of arbitrarily large 2D images using the finite support
properties of cubic B-splines. After initial affine alignment, each large image
is split into a grid of smaller overlapping sub-images, which are individually
registered using cubic B-splines transformations. Inside the overlapping regions
between neighboring sub-images, the coefficients of the knots controlling the B
splines deformations are blended, to create a virtual large grid of knots for the
whole image. The sub-images are resampled individually, using the new
coefficients, and assembled together into a final large aligned image. We
evaluated the method on a series of large transmission electron microscopy images
and our results indicate significant improvements compared to both manual and
affine alignment.
PMID- 18044632
TI - Quality-based registration and reconstruction of optical tomography volumes.
AB - Ultramicroscopy, a novel optical tomographic imaging modality related to
fluorescence microscopy, allows to acquire cross-sectional slices of small
specially prepared biological samples with astounding quality and resolution.
However, scattering of the fluorescence light causes the quality to decrease
proportional to the depth of the currently imaged plane. Scattering and beam
thickness of the excitation laser light cause additional image degradation. We
perform a physical simulation of the light scattering in order to define a
quantitative function of image quality with respect to depth. This allows us to
establish 3D-volumes of quality information in addition to the image data.
Volumes are acquired at different orientations of the sample, hence providing
complementary regions of high quality. We propose an algorithm for rigid 3D-3D
registration of these volumes incorporating voxel quality information, based on
maximizing an adapted linear correlation term. The quality ratio of the images is
then used, along with the registration result, to create improved volumes of the
imaged object. The methods are applied on acquisitions of a mouse brain and mouse
embryo to create outstanding three-dimensional reconstructions.
PMID- 18044633
TI - Simultaneous segmentation, kinetic parameter estimation, and uncertainty
visualization of dynamic PET images.
AB - We develop a segmentation technique for dynamic PET incorporating the
physiological parameters for different regions via kinetic modeling. We
demonstrate the usefulness of our technique on fifteen [11C]Raclopride simulated
PET images. We show qualitatively and quantitatively that the physiologically
based algorithm outperforms two classical segmentation techniques. Further, we
derive a formula to compute and visualize the uncertainty encountered during the
segmentation.
PMID- 18044634
TI - Nonlinear analysis of BOLD signal: biophysical modeling, physiological states,
and functional activation.
AB - There is an increasing interest in exploiting the biophysical plausible models to
investigate the physiological mechanisms that underlie observed BOLD response.
However, most existing studies do not produce reliable model parameter estimates,
are not robust due to the linearization of the nonlinear model, and do not
perform statistics test to detect functional activation. To overcome these
limitations, we developed a general framework for the analysis of fMRI data based
on nonlinear physiological models. It performs system dynamics analysis to gain
meaningful insight, followed by global sensitivity analysis for model reduction
which leads to better system identifiability. Subsequently, a nonlinear filter is
used to simultaneously estimate the state and parameter of the dynamic system,
and statistics test is performed to derive activation maps based on such model.
Furthermore, we investigate the change of the activation maps of these hidden
physiological variables with experimental paradigm through time as well.
PMID- 18044635
TI - Effectiveness of the finite impulse response model in content-based fMRI image
retrieval.
AB - The thresholded t-map produced by the General Linear Model (GLM) gives an
effective summary of activation patterns in functional brain images and is widely
used for feature selection in fMRI related classification tasks. As part of a
project to build content-based retrieval systems for fMRI images, we have
investigated ways to make GLM more adaptive and more robust in dealing with fMRI
data from widely differing experiments. In this paper we report on exploration of
the Finite Impulse Response model, combined with multiple linear regression, to
identify the "locally best Hemodynamic Response Function (HRF) for each voxel"
and to simultaneously estimate activation levels corresponding to several
stimulus conditions. The goal is to develop a procedure for processing datasets
of varying natures. Our experiments show that Finite Impulse Response (FIR)
models with a smoothing factor produce better retrieval performance than does the
canonical double gamma HRF in terms of retrieval accuracy.
PMID- 18044637
TI - Customised cytoarchitectonic probability maps using deformable registration:
primary auditory cortex.
AB - A novel method is presented for creating a probability map from histologically
defined cytoarchitectonic data, customised for the anatomy of individual fMRI
volunteers. Postmortem structural and cytoarchitectonic information from a
published dataset is combined with high resolution structural MR images using
deformable registration of a region of interest. In this paper, we have targeted
the three sub-areas of the primary auditory cortex (located on Heschl's gyrus);
however, the method could be applied to any other cytoarchitectonic region. The
resulting probability maps show a significantly higher overlap than previously
generated maps using the same cytoarchitectonic data, and more accurately span
the macroanatomical structure of the auditory cortex. This improvement indicates
a high potential for spatially accurate fMRI analysis, allowing more reliable
correlation between anatomical structure and function. We validate the approach
using fMRI data from nine individuals, taken from a published dataset. We compare
activation for stimuli evoking a pitch percept to activation for acoustically
matched noise, and demonstrate that the primary auditory cortex (Te1.0) and the
lateral region Te1.2 are sensitive to pitch, whereas Te1.1 is not.
PMID- 18044636
TI - Sources of variability in MEG.
AB - This paper investigates and characterizes sources of variability in MEG signals
in multi-site, multi-subject studies. Understanding these sources will help to
develop efficient strategies for comparing and pooling data across repetitions of
an experiment, across subjects, and across sites. In this work, we investigated
somatosensory MEG data collected at three different sites and applied variance
component analysis and nonparametric KL divergence analysis in order to
characterize the sources of variability. Our analysis showed that inter-subject
differences are the biggest factor in the signal variability. We demonstrated
that the timing of the deflections is very consistent in the early somatosensory
response, which justifies a direct comparison of deflection peak times acquired
from different visits, subjects, and systems. Compared with deflection peak
times, deflection magnitudes have larger variation across sites; modeling of this
variability is necessary for data pooling.
PMID- 18044638
TI - Segmentation of Q-Ball images using statistical surface evolution.
AB - In this article, we develop a new method to segment Q-Ball imaging (QBI) data. We
first estimate the orientation distribution function (ODF) using a fast and
robust spherical harmonic (SH) method. Then, we use a region-based statistical
surface evolution on this image of ODFs to efficiently find coherent white matter
fiber bundles. We show that our method is appropriate to propagate through
regions of fiber crossings and we show that our results outperform state-of-the
art diffusion tensor (DT) imaging segmentation methods, inherently limited by the
DT model. Results obtained on synthetic data, on a biological phantom, on real
datasets and on all 13 subjects of a public QBI database show that our method is
reproducible, automatic and brings a strong added value to diffusion MRI
segmentation.
PMID- 18044639
TI - Evaluation of shape-based normalization in the corpus callosum for white matter
connectivity analysis.
AB - Recently, concerns have been raised that the correspondences computed by
volumetric registration within homogeneous structures are primarily driven by
regularization priors that differ among algorithms. This paper explores the
correspondence based on geometric models for one of those structures, midsagittal
section of the corpus callosum (MSCC), and compared the result with registration
paradigms. We use geometric model called continuous medial representation (cm
rep) to normalize anatomical structures on the basis of medial geometry, and use
features derived from diffusion tensor tractography for validation. We show that
shape-based normalization aligns subregions of the MSCC, defined by connectivity,
more accurately than normalization based on volumetric registration. Furthermore,
shape-based normalization helps increase the statistical power of group analysis
in an experiment where features derived from diffusion tensor tractography are
compared between two cohorts. These results suggest that cm-rep is an appropriate
tool for normalizing the MSCC in white matter studies.
PMID- 18044640
TI - Accuracy assessment of global and local atrophy measurement techniques with
realistic simulated longitudinal data.
AB - The main goal of this work was to assess the accuracy of several well-known
methods which provide global (BSI and SIENA) or local (Jacobian integration)
estimates of longitudinal atrophy in brain structures using Magnetic Resonance
images. For that purpose, we have generated realistic simulated images which
mimic the patterns of change obtained from a cohort of 19 real controls and 27
probable Alzheimer's disease patients. SIENA and BSI results correlate very well
with gold standard data (BSI mean absolute error < 0.29%; SIENA < 0.44%).
Jacobian integration was guided by both fluid and FFD-based registration
techniques and resulting deformation fields and associated Jacobians were
compared, region by region, with gold standard ones. The FFD registration
technique provided more satisfactory results than the fluid one. Mean absolute
error differences between volume changes given by the FFD-based technique and the
gold standard were: sulcal CSF < 2.49%; lateral ventricles < 2.25%; brain <
0.36%; hippocampi < 1.42%.
PMID- 18044641
TI - Combinatorial optimization for electrode labeling of EEG caps.
AB - An important issue in electroencephalographiy (EEG) experiments is to measure
accurately the three dimensional (3D) positions of the electrodes. We propose a
system where these positions are automatically estimated from several images
using computer vision techniques. Yet, only a set of undifferentiated points are
recovered this way and remains the problem of labeling them, i.e. of finding
which electrode corresponds to each point. This paper proposes a fast and robust
solution to this latter problem based on combinatorial optimization. We design a
specific energy that we minimize with a modified version of the Loopy Belief
Propagation algorithm. Experiments on real data show that, with our method, a
manual labeling of two or three electrodes only is sufficient to get the complete
labeling of a 64 electrodes cap in less than 10 seconds.
PMID- 18044642
TI - Analysis of deformation of the human ear and canal caused by mandibular movement.
AB - Many hearing aid users experience physical discomfort when wearing their device.
The main contributor to this problem is believed to be deformation of the ear and
ear canal caused by movement of the mandible. Physical discomfort results from
added pressure on soft tissue areas in the ear. Identifying features that can
predict potential deformation is therefore important for identifying problematic
cases in advance. A study on the physical deformation of the human ear and canal
due to movement of the mandible is presented. The study is based on laser
scannings of 30 pairs of ear impressions from 9 female and 21 male subjects. Two
impressions have been taken from each subject, one with open mouth, and one with
the mouth closed. All impressions are registered using non-rigid surface
registration and a shape model is built. From each pair of impressions a
deformation field is generated and propagated to the shape model, enabling the
building of a deformation model in the reference frame of the shape model. A
relationship between the two models is established, showing that the shape
variation can explain approximately 50% of the variation in the deformation
model. An hypothesis test for significance of the deformations for each
deformation field reveals that all subjects have significant deformation at
Tragus and in the canal. Furthermore, a relation between the magnitude of the
deformation and the gender of the subject is demonstrated. The results are
successfully validated by comparing the outcome to the anatomy by using a single
set of high resolution histological sectionings of the region of interest.
PMID- 18044643
TI - Shape registration by simultaneously optimizing representation and
transformation.
AB - This paper proposes a novel approach that achieves shape registration by
optimizing shape representation and transformation simultaneously, which are
modeled by a constrained Gaussian Mixture Model (GMM) and a regularized thin
plate spline respectively. The problem is formulated within a Bayesian framework
and solved by an expectation-maximum (EM) algorithm. Compared with the popular
methods based on landmarks-sliding, its advantages include: (1) It can naturally
deal with shapes of complex topologies and 3D dimension; (2) It is more robust
against data noise; (3) The registration performance is better in terms of the
generalization error of the resultant statistical shape model. These are
demonstrated on both synthetic and biomedical shapes.
PMID- 18044644
TI - Landmark correspondence optimization for coupled surfaces.
AB - Volumetric layers are often encountered in medical images. Unlike solid
structures, volumetric layers are characterized by double and nested bounding
surfaces. It is expected that better statistical models can be built by utilizing
the surface coupleness rather than simply applying the landmarking method on each
of them separately. We propose an approach to optimizing the landmark
correspondence on the coupled surfaces by minimizing the description length that
incorporates local thickness gradient. The evaluations are performed on a set of
2-D synthetic close coupled contours and a set of real-world open surfaces, the
skull vaults. Compared with performing landmarking separately on the coupled
surfaces, the proposed method constructs models that have better generalization
ability and specificity.
PMID- 18044645
TI - Mean template for tensor-based morphometry using deformation tensors.
AB - Tensor-based morphometry (TBM) studies anatomical differences between brain
images statistically, to identify regions that differ between groups, over time,
or correlate with cognitive or clinical measures. Using a nonlinear registration
algorithm, all images are mapped to a common space, and statistics are most
commonly performed on the Jacobian determinant (local expansion factor) of the
deformation fields. In, it was shown that the detection sensitivity of the
standard TBM approach could be increased by using the full deformation tensors in
a multivariate statistical analysis. Here we set out to improve the common space
itself, by choosing the shape that minimizes a natural metric on the deformation
tensors from that space to the population of control subjects. This method avoids
statistical bias and should ease nonlinear registration of new subjects data to a
template that is 'closest' to all subjects' anatomies. As deformation tensors are
symmetric positive-definite matrices and do not form a vector space, all
computations are performed in the log-Euclidean framework. The control brain B
that is already the closest to 'average' is found. A gradient descent algorithm
is then used to perform the minimization that iteratively deforms this template
and obtains the mean shape. We apply our method to map the profile of anatomical
differences in a dataset of 26 HIV/AIDS patients and 14 controls, via a log
Euclidean Hotelling's T2 test on the deformation tensors. These results are
compared to the ones found using the 'best' control, B. Statistics on both shapes
are evaluated using cumulative distribution functions of the p-values in maps of
inter-group differences.
PMID- 18044646
TI - Shape-based myocardial contractility analysis using multivariate outlier
detection.
AB - This paper presents a new approach to regional myocardial contractility analysis
based on inter-landmark motion (ILM) vectors and multivariate outlier detection.
The proposed spatio-temporal representation is used to describe the coupled
changes occurring at pairs of regions of the left ventricle, thus enabling the
detection of geometrical and dynamic inconsistencies. Multivariate tolerance
regions are derived from training samples to describe the variability within the
normal population using the ILM vectors. For new left ventricular datasets,
outlier detection enables the localization of extreme ILM observations and the
corresponding myocardial abnormalities. The framework is validated on a
relatively large sample of 50 subjects and the results show promise in
localization and visualization of regional left ventricular dysfunctions.
PMID- 18044647
TI - Orthopedics surgery trainer with PPU-accelerated blood and tissue simulation.
AB - This paper presents a novel orthopedics surgery training system with both the
components for modeling as well as simulating the deformation and visualization
in an efficient way. By employing techniques such as optimization, segmentation
and center line extraction, the modeling of deformable model can be completed
with minimal manual involvement. The novel trainer can simulate rigid body, soft
tissue and blood with state-of-the-art techniques, so that convincing deformation
and realistic bleeding can be achieved. More important, newly released Physics
Processing Unit (PPU) is adopted to tackle the high requirement for physics
related computations. Experiment shows that the acceleration gain from PPU is
significant for maintaining interactive frame rate under a complex surgical
environments of orthopedics surgery.
PMID- 18044648
TI - Interactive contacts resolution using smooth surface representation.
AB - Accurately describing interactions between medical devices and anatomical
structures, or between anatomical structures themselves, is an essential step
towards the adoption of computer-based medical simulation as an alternative to
traditional training methods. However, while substantial work has been done in
the area of real-time soft tissue modeling, little has been done to study the
problem of contacts occurring during tissue manipulation. In this paper we
introduce a new method for correctly handling complex contacts between various
combination of rigid and deformable objects. Our approach verifies Signorini's
law by combining Lagrange multipliers and the status method to solve unilateral
constraints. Our method handles both concave and convex surfaces by using a
displacement subdivision strategy, and the proposed algorithm allows interactive
computation times even in very constrained situations. We demonstrate the
efficiency of our approach in the context of interventional radiology, with the
navigation of catheters and guidewires in tortuous vessels and with the
deployment of coils to treat aneurysms.
PMID- 18044649
TI - Using statistical shape analysis for the determination of uterine deformation
states during hydrometra.
AB - A fundamental prerequisite of hysteroscopy is the proper distension of the
uterine cavity with a fluid, also known as hydrometra. For a virtual reality
based simulation of hysteroscopy, the uterus deformation process due to different
pressure settings has to be modeled. In previous work we have introduced a hybrid
method, which relies on precomputed deformation states to derive the hydrometra
changes during runtime. However, new offline computations were necessary for
every newly introduced organ mesh. This is not viable if a new surgical scene is
to be generated for every training session. Therefore, we include the deformation
states during hydrometra into our previously developed statistical shape model
for undeformed organ instances. This allows deriving the hydrometra steps
together with new undeformed uterus meshes. These can then be used during the
interactive simulation for predicting uterus deformation without time-intensive
precomputation steps.
PMID- 18044650
TI - Predictive K-PLSR myocardial contractility modeling with phase contrast MR
velocity mapping.
AB - With the increasing versatility of CMR, further understanding of intrinsic
contractility of the myocardium can be achieved by performing subject-specific
modeling by integrating structural and functional information available. The
recent introduction of the virtual tagging framework allows for visualization of
the localized deformation of the myocardium based on phase contrast myocardial
velocity mapping. The purpose of this study is to examine the use of a non
linear, Kernel-Partial Least Squares Regression (K-PLSR) predictive motion
modeling scheme for the virtual tagging framework. The method allows for the
derivation of a compact non-linear deformation model such that the entire
deformation field can be predicted by a limited number of control points. When
applied to virtual tagging, the technique can be used to predictively guide the
mesh refinement based on the motion of the coarse grid, thus greatly reducing the
search space and increasing the convergence speed of the algorithm. The
effectiveness and numerical accuracy of the proposed technique are assessed with
both numerically simulated data sets and in vivo phase contrast CMR velocity
mapping from a group of 7 subjects. The technique presented has a distinct
advantage over the conventional mesh refinement scheme and brings CMR myocardial
contractility analysis closer to routine clinical practice.
PMID- 18044651
TI - A coupled finite element model of tumor growth and vascularization.
AB - We present a model of solid tumor growth which can account for several stages of
tumorigenesis, from the early avascular phase to the angiogenesis driven
proliferation. The model combines several previously identified components in a
consistent framework, including neoplastic tissue growth, blood and oxygen
transport, and angiogenic sprouting. First experiments with the framework and
comparisons with observations made on solid tumors in vivo illustrate the
plausibility of the approach. Explanations of several experimental observations
are naturally provided by the model. To the best of our knowledge this is the
first report of a model coupling tumor growth and angiogenesis.
PMID- 18044652
TI - Autism diagnostics by 3D texture analysis of cerebral white matter gyrifications.
AB - The importance of accurate early diagnostics of autism that severely affects
personal behavior and communication skills cannot be overstated.
Neuropathological studies have revealed an abnormal anatomy of the cerebral white
matter (CWM) in autistic brains. We explore a possibility of distinguishing
between autistic and normal brains by a quantitative shape analysis of CWM
gyrifications on 3D proton density MRI (PD-MRI) images. Our approach consists of
(i) segmentation of the CWM on a 3D brain image using a deformable 3D boundary;
(ii) extraction of gyrifications from the segmented CWM, and (iii) shape analysis
to quantify thickness of the extracted gyrifications and classify autistic and
normal subjects. The boundary evolution is controlled by two probabilistic models
of visual appearance of 3D CWM: the learned prior and the current appearance
model. Initial experimental results suggest that the proposed 3D texture analysis
is a promising supplement to the current techniques for diagnosing autism.
PMID- 18044654
TI - Tissue characterization using fractal dimension of high frequency ultrasound RF
time series.
AB - This paper is the first report on the analysis of ultrasound RF echo time series
acquired using high frequency ultrasound. We show that variations in the
intensity of one sample of RF echo over time is correlated with tissue
microstructure. To form the RF time series, a high frequency probe and a tissue
sample were fixed in position and RF signals backscattered from the tissue were
continuously recorded. The fractal dimension of RF time series was used as a
feature for tissue classification. Feature values acquired from different areas
of one tissue type were statistically similar. For animal tissues with different
cellular microstructure, we successfully used the fractal dimension of RF time
series to distinguish segments as small as 20 microns with accuracies as high as
98%. The results of this study demonstrate that the analysis of RF time series is
a promising approach for distinguishing tissue types with different cellular
microstructure.
PMID- 18044653
TI - 3-D analysis of cortical morphometry in differential diagnosis of Parkinson's
plus syndromes: mapping frontal lobe cortical atrophy in progressive supranuclear
palsy patients.
AB - With the ability to study brain anatomy in vivo using magnetic resonance imaging,
studies on regional brain atrophy suggest possible improvements for differential
diagnosis of movement disorders with parkinsonian symptoms. In this study, we
investigate effects of different parkinsonian syndromes on the cortical gray
matter thickness and the geometric shape of the cerebral cortex. The study
consists of a total of 24 patients with a diagnosis of probable progressive
supranuclear palsy (PSP), multiple systems atrophy (MSA) or idiopathic
Parkinson's disease (IPD). We examine dense estimates of cortical gray matter
thickness, sulcal depth, and measures of the curvature in a surface-based
cortical morphometry analysis framework. Group difference results indicate higher
cortical atrophy rate in the frontal lobe in PSP patients when compared to either
MSA or IPD. These findings are indicative of the potential use of routine MRI and
cortical morphometry in performing differential diagnosis in PSP, MSA and IPD.
PMID- 18044655
TI - Towards intra-operative 3D nuclear imaging: reconstruction of 3D radioactive
distributions using tracked gamma probes.
AB - Nuclear medicine imaging modalities assist commonly in surgical guidance given
their functional nature. However, when used in the operating room they present
limitations. Pre-operative tomographic 3D imaging can only serve as a vague
guidance intra-operatively, due to movement, deformation and changes in anatomy
since the time of imaging, while standard intra-operative nuclear measurements
are limited to 1D or (in some cases) 2D images with no depth information. To
resolve this problem we propose the synchronized acquisition of position,
orientation and readings of gamma probes intra-operatively to reconstruct a 3D
activity volume. In contrast to conventional emission tomography, here, in a
first proof-of-concept, the reconstruction succeeds without requiring symmetry in
the positions and angles of acquisition, which allows greater flexibility. We
present our results in phantom experiments for sentinel node lymph node
localization. The results indicate that 3D intra-operative nuclear images can be
generated in such a setup up to an accuracy equivalent to conventional SPECT
systems. This technology has the potential to advance standard procedures towards
intra-operative 3D nuclear imaging and offers a novel approach for robust and
precise localization of functional information to facilitate less invasive, image
guided surgery.
PMID- 18044656
TI - Instrumentation for epidural anesthesia.
AB - A low-cost, sterilizable and unobtrusive instrumentation device was developed to
quantify and study the loss-of-resistance technique in epidural anesthesia. In
the porcine study, the rapid fall of the applied force, plunger displacement and
fluid pressure, and the oral indication of the anesthesiologists were shown to be
consistent with the loss-of-resistance. A model based on fluid leakage was
developed to estimate the pressure from the force and displacement measurements,
so that the pressure sensor could be omitted in human studies. In both human (in
vivo) and porcine (in vitro) subjects, we observed that the ligamentum flavum is
less amenable to saline injection than the interspinous ligament.
PMID- 18044657
TI - Small animal radiation research platform: imaging, mechanics, control and
calibration.
AB - In cancer research, well characterized small animal models of human cancer, such
as transgenic mice, have greatly accelerated the pace of development of cancer
treatments. The goal of the Small Animal Radiation Research Platform (SARRP) is
to make those same models available for the development and evaluation of novel
radiation therapies. In combination with advanced imaging methods, small animal
research allows detailed study of biological processes, disease progression, and
response to therapy, with the potential to provide a natural bridge to the
clinical environment. The SARRP will realistically model human radiation
treatment methods in standard animal models. In this paper, we describe the
mechanical and control structure of the system. This system requires accurate
calibration of the x-ray beam for both imaging and radiation treatment, which is
presented in detail in the paper.
PMID- 18044658
TI - Proof of concept of a simple computer-assisted technique for correcting bone
deformities.
AB - We propose a computer-assisted technique for correcting bone deformities using
the Ilizarov method. Our technique is an improvement over prior art in that it
does not require a tracking system, navigation hardware and software, or
intraoperative registration. Instead, we rely on a postoperative CT scan to
obtain all of the information necessary to plan the correction and compute a
correction schedule for the patient. Our laboratory experiments using plastic
phantoms produced deformity corrections accurate to within 3.0 degrees of
rotation and 1 mm of lengthening.
PMID- 18044659
TI - Global registration of multiple point sets: feasibility and applications in multi
fragment fracture fixation.
AB - An algorithm to globally register multiple 3D data sets (point sets) within a
general reference frame is proposed. The algorithm uses the Unscented Kalman
Filter algorithm to simultaneously compute the registration transformations that
map the data sets together, and to calculate the variances of the registration
parameters. The data sets are either randomly generated, or collected from a set
of fractured bone phantoms using Computed Tomography (CT) images. The algorithm
robustly converges for isotropic Gaussian noise that could have perturbed the
point coordinates in the data sets. It is also computationally efficient, and
enables real-time global registration of multiple data sets, with applications in
computer-assisted orthopaedic trauma surgery.
PMID- 18044660
TI - Precise estimation of postoperative cup alignment from single standard X-ray
radiograph with gonadal shielding.
AB - This paper addresses the problem of estimating postoperative cup alignment from
single standard X-ray radiograph with gonadal shielding. The widely used
procedure of evaluation of cup orientation following total hip arthroplasty using
single standard anteroposterior radiograph is known inaccurate, largely due to
the wide variability in individual pelvic position relative to X-ray plate. 2D-3D
image registration methods have been introduced to estimate the rigid
transformation between a preoperative CT volume and postoperative radiograph(s)
for an accurate estimation of the postoperative cup alignment relative to an
anatomical reference extracted from the CT data. However, these methods require
either multiple radiographs or a radiograph-specific calibration, both of which
are not avaiable for most retrospective studies. Furthermore, these methods were
only evaluated on X-ray radiograph(s) without gonadal shielding. In this paper,
we propose to use a hybrid 2D-3D registration scheme combining an iterative
landmark-to-ray registration with a 2D-3D intensity-based registration to
estimate the rigid transfromation for a precise estimation of cup alignment.
Quantitative and qualitative results evaluated on clinical and cadaveric datasets
are given which indicate the validity of our approach.
PMID- 18044661
TI - Fully automated and adaptive detection of amyloid plaques in stained brain
sections of Alzheimer transgenic mice.
AB - Automated detection of amyloid plaques (AP) in post mortem brain sections of
patients with Alzheimer disease (AD) or in mouse models of the disease is a major
issue to improve quantitative, standardized and accurate assessment of
neuropathological lesions as well as of their modulation by treatment. We propose
a new segmentation method to automatically detect amyloid plaques in Congo Red
stained sections based on adaptive thresholds and a dedicated amyloid
plaque/tissue modelling. A set of histological sections focusing on anatomical
structures was used to validate the method in comparison to expert segmentation.
Original information concerning global amyloid load have been derived from 6
mouse brains which opens new perspectives for the extensive analysis of such a
data in 3-D and the possibility to integrate in vivo-post mortem information for
diagnosis purposes.
PMID- 18044662
TI - Non-rigid registration of pre-procedural MR images with intra-procedural
unenhanced CT images for improved targeting of tumors during liver radiofrequency
ablations.
AB - In the United States, unenhanced CT is currently the most common imaging modality
used to guide percutaneous biopsy and tumor ablation. The majority of liver
tumors such as hepatocellular carcinomas are visible on contrast-enhanced CT or
MRI obtained prior to the procedure. Yet, these tumors may not be visible or may
have poor margin conspicuity on unenhanced CT images acquired during the
procedure. Non-rigid registration has been used to align images accurately, even
in the presence of organ motion. However, to date, it has not been used
clinically for radiofrequency ablation (RFA), since it requires significant
computational infrastructure and often these methods are not sufficient robust.
We have already introduced a novel finite element based method (FEM) that is
demonstrated to achieve good accuracy and robustness for the problem of brain
shift in neurosurgery. In this current study, we adapt it to fuse pre-procedural
MRI with intra-procedural CT of liver. We also compare its performance with
conventional rigid registration and two non-rigid registration methods: b-spline
and demons on 13 retrospective datasets from patients that underwent RFA at our
institution. FEM non-rigid registration technique was significantly better than
rigid (p < 10-5), non-rigid b-spline (p < 10-4) and demons (p < 10-4)
registration techniques. The results of our study indicate that this novel
technology may be used to optimize placement of RF applicator during CT-guided
ablations.
PMID- 18044663
TI - Antonio Berti, Giovanni Brugnoli and the first studies on aphasia in Italy.
PMID- 18044664
TI - An investigation of attentional contributions to visual errors in right "neglect
dyslexia".
AB - One type of error that is sometimes produced by patients with acquired dyslexia
is the substitution of an orthographically similar word with letters that overlap
the target either in early or late letter positions. When such errors affect the
left sides of words, they are usually produced by patients with focal right
hemisphere lesions who typically show evidence of left neglect in non-reading
tasks. This pattern has thus been termed "neglect dyslexia". When the right sides
of words are affected, however, patients frequently fail to show any signs of
neglect in tasks other than reading. This study presents results from a patient
with left hemisphere damage, and a very clear pattern of right "neglect" errors
in reading, on a series of tasks testing attentional and imagery processes. Given
the magnitude and consistency of the patient's reading errors, there was little
evidence that these errors resulted from inattention to the right side of space
or to the right side of an internally generated visual image. It is argued that
the positional errors result from an impairment to an abstract ordinal code with
graded activation of letter positions from first to last, and that this code is
specific to tasks involving orthographic representations.
PMID- 18044665
TI - Assessment of working memory performance in self-ordered selection tests.
AB - Several well-known neuropsychological tests of working memory performance,
hitherto thought to be unrelated, in fact share a common structure termed here
the self-ordered selection paradigm. Examples include the subject-ordered
pointing task, variants of the Corsi blocks test, the multiple-location search
task, and the letter-recitation task. The defining features of the paradigm are
outlined. A statistical framework for assessing and testing hypotheses about
working memory capacity in the self-ordered selection paradigm is described. To
enable neuropsychologists to conduct a rapid statistical analysis of working
memory performance, a computer program is presented along with detailed practical
examples of three useful statistical procedures for both single-case and group
based analysis. The program may be accessed and executed online over the
Internet.
PMID- 18044666
TI - The semantic organisation of mass nouns: evidence from semantic refractory access
dysphasia.
AB - The conceptual properties and organisation of mass noun concepts are examined in
a patient (A.Z.) with a semantic refractory access disorder. A cardinal feature
of this class of disorder is the build up of interference (refractoriness)
between semantically similar concepts. In a series of written word identification
tasks, a gradient of semantic relatedness is demonstrated within the broad domain
of mass noun concepts but not between different word classes. More detailed
examinations of semantic similarity effects within subcategories of mass concepts
reveal a variable degree of fine-grain organisation, which may reflect the
influence of premorbid personal knowledge and experience. Furthermore, it is
demonstrated that common mass or count noun status did not lead to an enhancement
of the refractory effects of semantic similarity.
PMID- 18044667
TI - Self-awareness and the left hemisphere: the dark side of selectively reviewing
the literature.
PMID- 18044668
TI - Awareness, desire, and false beliefs: Freud in the light of modern
neuropsychology.
PMID- 18044669
TI - Freud and neuropsychology: comments related to anosognosia.
PMID- 18044670
TI - False beliefs and motivated abnormal emotional behaviour in right brain-damaged
patients.
PMID- 18044671
TI - From neurosciences to philosophy of mind.
PMID- 18044672
TI - "[H]E is no more a person now but a whole climate of opinion" (Auden, 1940).
PMID- 18044673
TI - The "hard problem" of anosognosia: delusional confabulation and anosognosia.
PMID- 18044674
TI - Reconciling psychodynamic and neurological perspectives on denial.
PMID- 18044675
TI - Motivations and emotions contribute to a-rational unconscious dynamics: evidence
and conceptual clarification.
PMID- 18044676
TI - Neuro-psychoanalysis may enliven the mindbrain sciences.
PMID- 18044677
TI - Freud through the centuries.
PMID- 18044678
TI - Anosognosia, confabulation, and dreaming: a neuropsychological perspective.
PMID- 18044679
TI - Wake up or dream on? Six questions for Turnbull and Solms.
PMID- 18044680
TI - What are neuropsychologists up to?
PMID- 18044681
TI - Role of tiotropium in the treatment of COPD.
AB - Tiotropium is a potent, long-acting, selective anticholinergic bronchodilator.
Treatment with tiotropium produces sustained improvements in lung function,
particularly FEV1 (peak, trough, average, and area under the curve) compared with
either placebo or ipratropium in patients with moderate to severe COPD.
Preliminary evidence suggests that treatment with tiotropium may slow the rate of
decline in FEV1, but this finding awaits confirmation. Tiotropium reduces lung
hyperinflation, with associated improvements in exercise capacity. Tiotropium,
compared with either placebo or ipratropium, improves a variety of patient
centered outcomes, including subjective dyspnea ratings and HRQL scores.
Tiotropium reduces the frequency of COPD exacerbations and of hospitalizations
due to exacerbations, but has not been shown to reduce all-cause mortality.
Compared with the long-acting bronchodilators, tiotropium provides incrementally
better bronchodilation, but it is not clearly superior in terms of patient
centered outcomes. Tiotropium has a good safety profile; however patients with
severe cardiac disease, bladder outlet obstruction, or narrow angle glaucoma were
excluded from all studies. Medico economic analyses suggest that treatment with
tiotropium may also be cost-effective, primarily by reducing costs associated
with hospitalizations.
PMID- 18044682
TI - Comparison and optimal use of fixed combinations in the management of COPD.
AB - Chronic obstructive pulmonary disease (COPD) is a leading cause of morbidity and
mortality worldwide. Indications for the use of long-acting beta-agonists (LABAs)
and inhaled corticosteroids (ICS) in patients with COPD are described in the
various international guidelines, but no special recommendations are made
concerning the use of combination inhalers containing a LABA as well as an ICS.
To determine the place of combination inhalers in the treatment of COPD we
reviewed recent literature concerning this subject. On molecular level ICS/LABA
combination therapy has anti-inflammatory properties which cannot be attributed
to ICS alone. All clinical studies indicate that the two available combinations
(salmeterol/fluticasone and formoterol/budesonide) significantly reduce
exacerbation rate of moderate/severe exacerbations when compared with placebo.
Some studies also showed a significant reduction in exacerbation rate compared
with LABA monotherapy, but not compared with ICS monotherapy. From the patient's
perspective, ICS/LABA combination inhalers are the first choice when both need to
be prescribed, possibly improving patient compliance for ICS. Currently little
evidence is available to predict if flexible treatment with LABA/ICS combination
inhalers will improve disease control in COPD. Further studies are needed to
elucidate the clinical benefit of combination inhalers versus the individual
components in different inhalers, and to investigate the clinical benefit of
flexible dosing of combination inhalers in patients with COPD.
PMID- 18044683
TI - Genetic predisposition to accelerated decline of lung function in COPD.
AB - Environmental exposures and genetic susceptibility can contribute to lung
function decline in chronic obstructive pulmonary disease (COPD). The
environmental factors are better known than the genetic factors. One of the
commonest reasons of accelerated forced expiratory volume in one second (FEV1)
decline in COPD is the continuation of the smoking habit. In addition, COPD
patients have frequent acute respiratory infections which can also accelerate the
decline of FEV1. All of the gene variants that have been reported in association
with accelerated decline of lung function in COPD represent advancement because
the findings generate plausible hypotheses about the possible mechanisms by which
gene products could accelerate or avert FEV1 decline. Unfortunately, the results
have not been consistently replicated and, animal models required to functionally
assess the genetic findings, have not yet yielded sufficient data. Genome-wide
association studies should provide more definitive results in COPD and other
multigenic conditions. Until these studies are reported, the data to date suggest
that products encoded by the alpha-1 antitrypsin, some matrix metalloproteinases,
and a number of antioxidant genes are associated with accelerated FEV1 decline in
COPD. Data on gene variants associated with acute exacerbations of COPD are now
emerging.
PMID- 18044684
TI - PDE4 inhibitors as potential therapeutic agents in the treatment of COPD-focus on
roflumilast.
AB - Chronic obstructive pulmonary disease is characterized by a rapid decline in lung
function due to small airway fibrosis, mucus hypersecretion and emphysema. The
major causative factor for COPD is cigarette smoking that drives an inflammatory
process that gives rise to leukocyte recruitment, imbalance in protease levels
and consequently matrix remodeling resulting in small airway fibrosis and loss of
alveolar tissue. Current drug treatment improves symptoms but do not alter the
underlying progression of this disease. The failure of antiinflammatory drugs
like glucocorticosteroids to have a major impact in this disease has hastened the
need to develop novel therapeutic strategies. Phosphodiesterase (PDE) 4
inhibitors are novel anti-inflammatory drugs that have recently been show to
document clinical efficacy in this disease, although their utility is hampered by
class related side-effects of nausea, emesis and diarrhea. Whilst it is not yet
clear whether such drugs will prevent emphysema, this is a distinct possibility
provided experimental observations from preclinical studies translate to man.
This review will discuss the current standing of PDE4 inhibitors like roflumilast
as novel treatments for COPD and the potential for developing nonemetic anti
inflammatory drugs.
PMID- 18044685
TI - Pulmonary stem cells and the induction of tissue regeneration in the treatment of
emphysema.
AB - A common feature of lung disorders with poor treatment options, including
emphysema, is a failure to initiate a repair process of the alveolar epithelium.
Several putative stem cell niches in the lung thought to be involved in lung
homeostasis have been described. Apparently, under pathophysiological conditions
these resident progenitor cells are unable to recover damaged alveolar
epithelium, in particular in emphysema. The potential therapeutic effect of
retinoic acid receptor agonists on various resident lung progenitor cells is
reviewed.
PMID- 18044687
TI - COPD heterogeneity: gender differences in the multidimensional BODE index.
AB - BACKGROUND: The BODE index was recently validated as a multidimensional tool for
the evaluation of patients with COPD. The influence of gender on the BODE index
has not been studied. HYPOTHESIS: The contribution of each component of the
disease to the BODE index may differ according to gender. METHODS: We evaluated
age, forced expiratory volume in one second (FEV1), Modified Medical Research
Council (MMRC) score, 6-min walk distance (6MWD), and body mass index (BMI) in 52
men and 52 women with COPD and the same BODE index. We compared the studied
parameters between men and women and then performed a multiple regression
analysis by gender. RESULTS: We found statistically significant differences
between men and women in all parameters: FEV1 % (55 +/- 17 vs 63 +/- 18, p <
0.001), MMRC [1 (0-2) vs 1 (1-2) p = 0.03], BMI [28 (26-30) vs 25 (22-30), p =
0.05], and 6MWD [546 (451-592) vs 462 (419-520), p = 0.001]. Multiple regression
analysis revealed that each component of the BODE index had different weight
(beta standardized coefficient) in men and women respectively: FEV1% (0.74 vs
0.62), MMRC (0.31 vs 0.48), BMI (-0.09 vs -0.17), and 6MWD (0.13 vs 0.10).
CONCLUSIONS: The contribution of each component to the BODE index differs by
gender in subjects with similar BODE scores. Long term longitudinal studies will
help determine the significance of our findings.
PMID- 18044686
TI - Chronic airflow limitation in developing countries: burden and priorities.
AB - Respiratory disease has never received priority in relation to its impact on
health. Estimated DALYs lost in 2002 were 12% globally (similar for
industrialized and developing countries). Chronic airflow limitation (due mainly
to asthma and COPD) alone affects more than 100 million persons in the world and
the majority of them live in developing countries. International guidelines for
management of asthma (GINA) and COPD (GOLD) have been adopted and their cost
effectiveness demonstrated in industrialized countries. As resources are scarce
in developing countries, adaptation of these guidelines using only essential
drugs is required. It remains for governments to set priorities. To make these
choices, a set of criteria have been proposed. It is vital that the results of
scientific investigations are presented in these terms to facilitate their use by
decision-makers. To respond to this emerging public health problem in developing
countries, WHO has developed 2 initiatives: "Practical Approach to Lung Health
(PAL)" and the Global Alliance Against Chronic Respiratory Diseases (GARD)", and
the International Union Against Tuberculosis and Lung Diseases (The Union) has
launched a new initiative to increase affordability of essential asthma drugs for
patients in developing countries termed the "Asthma Drug Facility" (ADF), which
could facilitate the care of patients living in these parts of the world.
PMID- 18044689
TI - Cost-effectiveness and healthcare budget impact in Italy of inhaled
corticosteroids and bronchodilators for severe and very severe COPD patients.
AB - Current practice guidelines for the treatment of COPD recommend the use of
combined inhaled corticosteroids and long-acting bronchodilators in severe and
very severe patients (GOLD stages III and IV). The aim of this study was to
evaluate, through a simulation model, the economic consequences of this
recommendation in Italy. We developed a cost-effectiveness analysis (CEA) on five
alternative therapeutic strategies (salmeterol/fluticasone, SF; formoterol!
budesonide, FB; salmeterol alone, S; fluticasone alone, F; control, C). Published
data on the Italian COPD population and efficacy data from international
reference trials were fitted in a disease progression model based on a Markov
chain representing severity stages and death. The yearly total direct costs of
treating COPD patients in Italy was estimated at approximately Euro 7 billion,
with a mean cost per patient per year of around Euro 2450. Mean survival of the
cohort is 11.5 years. The C and F strategies were dominated (ie, are associated
with worse outcomes and higher costs) by all alternatives. SF and FB were the
most effective strategies, with a slight clinical superiority of SF, but they
were also marginally more expensive than S. Incremental cost-effectiveness of SF
vs S was Euro 679.5 per avoided exacerbation and Euro 3.3 per symptom-free day.
Compared with current practice, the recommended use of combined inhaled
corticosteroids and long-acting bronchodilators for severe and very severe COPD
patients has the potential for improving clinical outcomes without increasing
healthcare costs.
PMID- 18044688
TI - Outcomes in COPD patients receiving tiotropium or salmeterol plus treatment with
inhaled corticosteroids.
AB - Patients with COPD are frequently prescribed inhaled corticosteroids (ICS);
however, it is unclear whether the treatment with ICS might modify responses to
inhaled bronchodilators. Two 6-month, randomized, placebo-controlled, double
blind, double-dummy, parallel-group studies of tiotropium 18 microg once daily,
compared with salmeterol, 50 microg bid, had been conducted in patients with
moderate-to-severe COPD. Efficacy was assessed by spirometry, transition dyspnea
index (TDI), St. George's Respiratory Questionnaire (SGRQ), and exacerbations.
Data from both studies were combined to form subgroups with regard to concurrent
use of ICS. 796 patients receiving ICS were separately analyzed from 390 patients
not receiving ICS. Mean age was 64 years, and pre-bronchodilator FEV1 was 1.06 L
(ICS group) and 1.13 L (non-ICS group). Both bronchodilators increased morning
mean +/- SE pre-dose FEV1 compared with placebo (ICS groups: tiotropium 110 +/-
20 mL, salmeterol 80 +/- 20 mL; non-ICS groups: tiotropium 150 +/- 30 mL,
salmeterol 110 +/- 30 mL; p > 0.05 for tiotropium vs salmeterol). Improvements in
TDI and SGRQ and frequency of exacerbations also tended to be more profound for
tiotropium. Treatment with tiotropium in patients with moderate-to-severe COPD
was superior to salmeterol in lung function, irrespective of concurrent use of
ICS.
PMID- 18044691
TI - Orbital emphysema in COPD with bronchopleural fistula.
AB - Orbital emphysema is usually a benign, self-limited condition. Intraorbital
extension of the entrapped air in the absence of an orbital fracture is extremely
rare. Although benign, a careful periodic monitoring of intraocular pressure,
optic nerve examinations, and prompt management are warranted in order to prevent
tension pneumoobitus secondary to increasing intraorbital pressure by the orbital
air.
PMID- 18044690
TI - Tobacco consumption in relation to causes of death in an urban population of
north India.
AB - BACKGROUND: Noncommunicable diseases have become a public heath problem in India
concomitant with economic development, leading to increases in tobacco
consumption, obesity, and changes in diet and lifestyle. Although observation
suggests that tobacco consumption is a major risk factor for deaths due to
circulatory, pulmonary, and malignant diseases, such studies are not available
from most populations in developing countries. SUBJECTS AND METHODS: For the
period 1999-2001, we studied the randomly selected records of death of 2222 (1385
men and 837 women) decedents, aged 25-64 years, out of 3034 death records overall
from the records at Municipal Corporation, Moradabad. All the families of these
deceased could be contacted individually to find out the causes of death, by
scientist/doctor administered, informed consented, verbal autopsy questionnaire,
completed with the help of the spouse and local treating doctor practicing in the
appropriate healthcare region. Social classes and tobacco intakes were assessed
by a questionnaire. RESULTS: The prevalence of tobacco consumption, including
chewing + smoking, were 45% (n = 623) among men and 15% (n = 125) among women
decedents. However, smoking was observed in 20% and tobacco chewing in 30% of
male decedents, while only 6% of female decedents smoked and 10% chewed tobacco.
Social class had no impact on tobacco consumption in men but did influence one
subgroup >55 years among women, ie, among those who had the highest tobacco
consumption. Tobacco intakes were significantly more common among decedents dying
due to circulatory, malignant, and pulmonary diseases, compared with other causes
(men 61.1%, 76.6%, pulmonary 77.3% vs 31%, P < 0.001; women 27.5%, 75.9%,
pulmonary 24.6% vs 0.42%, P < 0.001) of mortality, respectively. Pulmonary causes
included chronic bronchitis and asthma. Circulatory diseases (29.1%, n = 646)
including heart attacks (10.0%), stroke (7.8%), valvular heart disease (7.2%, n =
160), sudden cardiac death and inflammatory cardiac disease, each (2.0%, n = 44)
were the second most common causes of deaths, after infections (41.1%, n = 915).
Malignant neoplasm (5.8%, n = 131), injury (14.0%, n = 313), and miscellaneous
causes of deaths, including diabetes mellitus (2.2%, n = 49) were noted in 9.1%,
(n = 202) of death records. Cancers of the lung (1.6%), oral cavity (1.5%), liver
(1.1%), stomach (0.9%), breast (0.31%), uterus, cervix, and ovary (0.27%) were
relatively common causes for deaths due to malignancy. CONCLUSIONS: This study
shows that tobacco consumption appears to be a major contributor to deaths due to
circulatory diseases and malignant diseases in India. Social class status had
little impact on tobacco consumption in male decedents. Rapid changes in diet and
lifestyle, increases in tobacco consumption, and possibly aging of the
population, appear to be strongly associated with mortality due to cardiovascular
diseases and cancer in this middle-income country.
PMID- 18044692
TI - Influence of male morphology on male mating status and behavior during interunit
encounters in western lowland gorillas.
AB - The western lowland gorilla (Gorilla gorilla gorilla) is one of the most sexually
dimorphic primate species. Mature males are twice the size of females and have
grey fur on their backs and a fibrous, adipose crest on their heads. Such traits
are likely to have evolved by sexual selection, either because they confer
advantages during male-male fights or because females prefer males with more
dimorphic traits. We developed photogrammetric methods for distance collection of
morphological data from silverback males frequenting the Lokoue forest clearing
in Odzala-Kokoua National Park, Republic of the Congo. Body length, head-crest
size, musculature development, and extent of the grey color on the back were
assessed in 87 nonbreeding and breeding mature males. Behavioral data were also
collected during 312 male-male encounters involving 67 mature males in order to
estimate their level of aggressiveness. The number of females belonging to a
mature male positively correlated with the male crest size, body length, and
musculature. Whereas morphological variables did not significantly affect the
intensity of male-male encounters, the number of females attending male-male
encounters strongly affected the number of agonistic displays by the two males.
We discuss the mechanisms through which males with more exaggerated traits could
obtain a mating advantage, namely male-male fights or female mate choice.
PMID- 18044693
TI - Strong postcranial size dimorphism in Australopithecus afarensis: results from
two new resampling methods for multivariate data sets with missing data.
AB - There is considerable debate over the level of size dimorphism and inferred
social behavior of Australopithecus afarensis. Most previous studies have
analyzed size variation in single variables or multiple variables drawn from
single elements. These approaches suffer from small sample sizes, underscoring
the need for new techniques that incorporate measurements from multiple
unassociated elements, reducing the influence of random sampling on size
variation in fossil samples. One such technique, the template method, has
recently been proposed but is limited to samples with a template specimen and is
sensitive to a number of assumptions. Here we present two new resampling methods
that do not require a template specimen, allow measurements from multiple
unassociated elements to be included in a single analysis, and allow for
significance tests between comparative and fossil multivariate data sets with
missing data. Using these new methods, multivariate postcranial size dimorphism
is measured using eight measurements of the femur, tibia, humerus, and radius in
samples of A. afarensis, modern humans, chimpanzees, gorillas, and orangutans.
Postcranial dimorphism in A. afarensis is similar to that of gorillas and
orangutans, and significantly greater than in modern humans and chimpanzees.
Because studies in living primates have examined the association of behavior with
dimorphism in body mass and craniodental measurements, not postcrania,
relationships between postcranial dimorphism and social behavior must be
established to make robust behavioral inferences for A. afarensis. However, the
results of this and past studies strongly suggest behavioral and mating
strategies differed between A. afarensis and modern humans.
PMID- 18044694
TI - Epiphyseal union at the innominate and lower limb in a modern Portuguese skeletal
sample, and age estimation in adolescent and young adult male and female
skeletons.
AB - This study documents the timing of epiphyseal union at the innominate, femur,
tibia, and fibula in a sample of modern Portuguese skeletons. The sample was
taken from the Lisbon documented skeletal collection and it is comprised of 57
females and 49 males between the ages of 9 and 25. Individuals are mostly
representative of the middle-to-low socioeconomic segment of the early 20th
century Lisbon population. A total of 18 anatomical locations were examined for
epiphyseal union using a three-stage scheme: 1) no union; 2) partial union; and
3) completed union, all traces of fusion having disappeared. Results show that
females are ahead of males by 1-2 years and provide similar age ranges for the
stages of union than previous studies. Some variations between studies can be
explained by methodological differences between dry bone and radiographic
observations. However, a review of the literature indicates that socioeconomic
status of a given population seems to be of decisive importance to the rate of
ossification and most of the differences in skeletal maturation across studies
and populations can probably be ascribed to different levels of social and
economic development of the societies in which the individuals lived. Although
the effects of socioeconomic status in skeletal maturation are greater during
childhood than in adolescence, as to make the timing of epiphyseal union a
reliable estimate of age at death, they are not negligible and age estimates
should take into account the likely socioeconomic status of the individual, whose
remains are under examination.
PMID- 18044695
TI - Glial reactions in Parkinson's disease.
AB - Dopaminergic neurons of the substantia nigra are particularly vulnerable to
oxidative and inflammatory attack. Such processes may play a crucial role in the
etiology of Parkinson disease (PD). Since glia are the main generators of these
processes, the possibility that PD may be caused by glial dysfunction needs to be
considered. This review concentrates on glial reactions in PD. Reactive
astrocytes and reactive microglia are abundant in the substantia nigra (SN) of PD
cases indicating a robust inflammatory state. Glia normally serve neuroprotective
roles but, given adverse stimulation, they may contribute to damaging chronic
inflammation. Microglia, the phagocytes of brain, may be the main contributors
since they can produce large numbers of superoxide anions and other neurotoxins.
Their toxicity towards dopaminergic neurons has been demonstrated in tissue
culture and various animal models of PD. The MPTP and alpha-synuclein models are
of particular interest. Years after exposure to MPTP, inflammation has been
observed in the SN. This has established that an acute insult to the SN can
result in a sustained local inflammation. The alpha-synuclein model indicates
that an endogenous protein can induce inflammation, and, when overexpressed, can
lead to autosomal dominant PD. Less is known about the role of astrocytes than
microglia, but they are known to secrete both inflammatory and anti-inflammatory
molecules and may play a role in modulating microglial activity. Oligodendrocytes
do not seem to play a role in promoting inflammation although, like neurons, they
may be damaged by inflammatory processes. Further research concerning glial
reactions in PD may lead to disease-modifying therapeutic approaches.
PMID- 18044696
TI - Anger in Parkinson's disease: a case-control study.
AB - Cognitive-psychiatric features of Parkinson's disease (PD) are common and they
may be as disabling as the motor features of the disease. PD has been associated
with stoic and inflexible personality traits. While many features of personality
have been studied in PD, a systematic study of anger trait and anger expression
in PD has not been performed. We used the Spanish adapted version of the state
trait anger Expression Inventory-2 (STAXI-2), comprised of six scales and an
anger expression index, to measure anger trait and anger expression. There were
126 PD patients with depressive symptoms and 126 age- and gender-matched
controls. PD patients had lower levels of state anger (15.8 +/- 3.1 vs. 17.9 +/-
5.3, P < 0.001), trait anger (19.2 +/- 5.3 vs. 20.7 +/- 6.0, P < 0.05), anger
expression-out (9.0 +/- 2.5 vs. 10.5 +/- 3.0, P < 0.001), and anger expression
index (26.1 +/- 8.8 vs. 29.6 +/- 9.4, P = 0.002); and higher levels in anger
expression-in (14.0 +/- 3.4 vs. 12.2 +/- 3.2, P < 0.001), anger control-out (18.6
+/- 5.0 vs. 16.1 +/- 5.0, P < 0.001), and anger control-in (14.3 +/- 4.7 vs. 13.0
+/- 4.5, P < 0.05) than controls. These differences persisted in analyses
adjusting for age, gender, and depressive symptoms. CONCLUSIONS: PD patients
showed lower levels of external expression of anger and higher levels of control
of anger. Our results demonstrate another dimension to the stoic personality
trait seen in PD.
PMID- 18044697
TI - A comparison of the mini mental state exam to the Montreal cognitive assessment
in identifying cognitive deficits in Parkinson's disease.
AB - Dementia is an important and increasingly recognized problem in Parkinson's
disease (PD). The mini-mental state examination (MMSE) often fails to detect
early cognitive decline. The Montreal cognitive assessment (MoCA) is a brief tool
developed to detect mild cognitive impairment that assesses a broader range of
domains frequently affected in PD. The scores on the MMSE and the MoCA were
compared in 88 patients with PD. A pronounced ceiling effect was observed with
the MMSE but not with the MoCA. The range and standard deviation of scores was
larger with the MoCA(7-30, 4.26) than with the MMSE(16-30, 2.55). The percentage
of subjects scoring below a cutoff of 26/30 (used by others to detect mild
cognitive impairment) was higher on the MoCA (32%) than on the MMSE (11%) (P <
0.000002). Compared to the MMSE, the MoCA may be a more sensitive tool to
identify early cognitive impairment in PD.
PMID- 18044698
TI - Cortical evoked potentials from pallidal stimulation in patients with primary
generalized dystonia.
AB - Deep brain stimulation (DBS) of globus pallidus internus (GPi) has emerged as an
effective treatment for primary generalized dystonia. However, the physiological
mechanisms of improvement are not fully understood. Cortical activity in response
to pallidal stimulation was recorded in 6 patients with primary generalized
dystonia >6 months after bilateral GPi DBS. Scalp electroencephalogram was
recorded using 60 surface electrodes during 10 Hz bipolar pallidal DBS at each
electrode contact pair. Anatomical position of the electrode contacts in relation
to the GPi, medial medullary lamina and globus pallidus externus (GPe) was
determined from the postoperative stereotactic MRI. In all six patients an evoked
potential (EP) was observed with average onset latency of 10.9 ms +/- 0.77, peak
latency 26.6 ms +/- 1.6, distributed mainly over the ipsilateral hemisphere,
maximal centrally. The mean amplitude of this potential was larger with
stimulation in posteroventral GPi than in GPe (3.36 microV vs. 0.50 microV, P <
0.0001). The EP was absent in one patient-side, ipsilateral to a previous
thalamotomy. Low frequency GPi stimulation produces an EP distributed centrally
over the ipsilateral hemisphere. The latency and distribution of the EP are
consistent with stimulation of pallidothalamic neurons projecting to the
sensorimotor cortex. Because the EP is larger and more consistently present with
stimulation of posteroventral GPi than GPe, it may provide a physiological tool
to identify contacts within the optimal surgical target.
PMID- 18044699
TI - A Bayesian reconstruction method for micro-rotation imaging in light microscopy.
AB - The authors present a three-dimensional (3D) reconstruction algorithm and
reconstruction-based deblurring method for light microscopy using a micro
rotation device. In contrast to conventional 3D optical imaging where the focal
plane is shifted along the optical axis, micro-rotation imaging employs
dielectric fields to rotate the object inside a fixed optical set-up. To address
this entirely new 3D-imaging modality, the authors present a reconstruction
algorithm based on Bayesian inversion theory and use the total variation function
as a structure prior. The spectral properties of the reconstruction by
simulations that illustrate the strengths and the weaknesses of the micro
rotation approach, compared with conventional 3D optical imaging, were studied.
The reconstruction from real data sets shows that this method is promising for 3D
reconstruction and offers itself as a deblurring method using a reconstruction
based procedure for removing out-of-focus light from the micro-rotation image
series.
PMID- 18044700
TI - The hardness kernel as the basis for global and local reactivity indices.
AB - In a very recent article (Torrent-Sucarrat et al., J Comput Chem 2007, 28, 574),
we have shown how to evaluate the global hardness for polyatomic molecules using
a hardness kernel approximation. We present here an extension of this previous
work by improving the model used to evaluate the hardness kernel and the Fukui
function. In addition, the concept of the local hardness is analyzed in detail,
and for the first time, profiles of local hardness with kinetic and exchange
correlation contributions for polyatomic molecules are reported. Finally, the
concept of condensed atomic hardness is introduced and its usefulness as chemical
reactivity descriptor is examined.
PMID- 18044701
TI - Relaxation of the mouse pubic symphysis during late pregnancy is not accompanied
by the influx of granulocytes.
AB - In some animals, such as mice and guinea pigs, a hormonally controlled mechanism
increases the flexibility of the pubic symphysis and enhances the cervical
remodeling necessary for safe delivery. Cervical ripening during pregnancy is
associated with a paradoxical influx of leukocytes. However, the changes in cell
metabolism during relaxation of the mouse pubic symphysis for delivery have not
been extensively studied. In this work, we used light microscopy and transmission
and scanning electron microcopy, as well as immunohistochemistry and Western
blotting for MMP-8, to investigate the involvement of granulocytes or resident
stromal cells in the relaxation of the virgin pubic symphysis during late
pregnancy (days 18 and 19, before delivery) in vivo and in explanted joints. MMP
8 was studied because this collagenase is a hallmark for cervical ripening
associated with the influx of granulocytes during late pregnancy. Extensive
dissolution and disorganization of the extracellular matrix was seen around
fibroblastic-like cells in late pregnancy. In contrast to the cervix (positive
control), morphological and immunohistochemical analyses revealed that there was
no characteristic cellular inflammatory response in the interpubic tissue.
Staining for MMP-8 was observed in chondroid and fibroblastic-like cells of
virgin and relaxed interpubic ligament, respectively. However, no granulocytes
were seen during the extensive remodeling of the pubic joint in late pregnancy.
These results indicate that constitutive stromal cells may have an important role
in tissue relaxation during remodeling of the pubic symphysis in pregnancy.
PMID- 18044702
TI - Transcriptional regulation of mesencephalic dopaminergic neurons: the full circle
of life and death.
AB - Since mesencephalic dopaminergic neurons are associated to one of the most
prominent human neurodegenerative ailments, Parkinson's disease, the molecular
mechanism underlying their development and adult cellular properties has been the
subject of intense investigations. Throughout life, transcription factors
determine the fate of this neuronal population and control essential processes
such as localization in the ventral midbrain, their neurotransmitter phenotype,
their target innervations and synapse formation. Studies of transcription
factors, such as Nurr1, Pitx3, Engrailed-1/2, and Lmx1a/b, have not only revealed
importance of these genes during development, but also roles in the long-term
survival and maintenance of these neurons. In this review, we will discuss the
function of these transcription factors throughout the life of mesencephalic
dopaminergic neurons and their value in the study of the disease mechanism.
PMID- 18044703
TI - The arginine growth hormone stimulation test in bradykinetic-rigid parkinsonisms.
AB - The arginine growth hormone (GH) stimulation test differentiates the Parkinsonian
variant of multiple system atrophy (MSA-P) from idiopathic Parkinson's disease
(PD). Our aim was to evaluate the accuracy of the arginine GH stimulation test in
distinguishing between PSP, MSA-P, and PD. We measured the GH response to
arginine in serum samples of 26 MSA-P, 23 PSP, and 26 PD patients, and in 80
healthy controls. We used ANOVA followed by the Bonferroni test to compare GH
values and peaks among groups. We used receiver operating characteristic curve
analysis to establish the arginine cut-off level that best differentiated between
MSA-P, PSP, and PD. The GH peak was significantly lower (P < 0.01) in MSA-P (1.46
+/- 0.29 microg/L) than in both PD (8.74 +/- 0.98 microg/L) and PSP (6.64 +/-
0.82 microg/L) patients, and controls (8.59 +/- 0.44 microg/L). Growth hormone
peaked later in PSP patients than in PD patients and controls. At a cut-off level
of 4 microg/L, arginine test distinguished MSA-P from PD with a sensitivity of
92% and a specificity of 96%, and MSA-P from PSP with a sensitivity of 78% and a
specificity of 96%. The GH response to arginine differentiates MSA-P from PD and
PSP with a good diagnostic accuracy. The neuroendocrine response to arginine of
PSP patients differed from that of MSA-P patients, but was not identical to that
of normal controls and PD patients. Our results suggest that the impairment of
the central mechanisms modulating GH release differs between PSP and MSA-P.
PMID- 18044704
TI - The video images of sleep attacks in Parkinson's disease.
AB - We describe a sleep attack, which was induced by taking excessive levodopa and
pergolide, in a 73-year-old woman with Parkinson's disease. At the onset of the
sleep attack, her head suddenly sagged and sometimes hit the table, but she did
not notice these symptoms. Her family noticed that this sleep attack occurred
when she began to speak slowly. Her family recorded this attack with a video
camera. This sleep attack resolved with control of her medication. This is the
first report of video images of a sleep attack due to excessive levodopa and a
dopamine agonist.
PMID- 18044705
TI - Ataxia and cerebellar atrophy--a novel manifestation of neuro-Behcet disease?
PMID- 18044707
TI - Is basic research a good investment?
PMID- 18044706
TI - Differential effects of levodopa and subthalamic nucleus deep brain stimulation
on bradykinesia in Parkinson's disease.
AB - Cardinal symptoms of Parkinson's disease (PD) respond well to treatment with
levodopa and deep brain stimulation (DBS) of the subthalamic nucleus (STN).
However, it has remained unclear whether levodopa and STN-DBS have differential
effects on bradykinesia. We investigated 8 PD-patients with STN-electrodes in
four conditions: STN-DBS and levodopa (ON(MED)/ON(STIM)), STN-DBS only
(OFF(MED)/ON(STIM)), levodopa only (ON(MED)/OFF(STIM)), without STN-DBS/levodopa
(OFF(MED)/OFF(STIM)). Fourteen volunteers served as controls. Subjects performed
fastest possible (1) pronation/supination of the forearm (diadochokinesia) and
(2) flexion and extension of the index finger (finger movements). Movements were
recorded using a 3D-ultrasound-system. Maximum frequency, amplitude, and
smoothness of movements were determined. During OFF(MED)/OFF(STIM), all
parameters were worser than in all other conditions. In proximal diadochokinesia,
OFF(MED)/ON(STIM) significantly improved the amplitude and frequency, whereas
ON(MED)/OFF(STIM) had no significant effect. In contrast, we found a stronger
effect of levodopa (ON(MED)/OFF(STIM)) on amplitudes of distal finger movement
than on amplitudes of diadochokinesia. Combination of treatments during
ON(MED)/ON(STIM) further improved both movements. However, maximum frequency
remained lower in PD-patients during ON(MED)/ON(STIM) compared with controls.
This study demonstrates a better effect of levodopa on distal finger movements
and STN-DBS on proximal diadochokinesia. Furthermore, a complementary effect of
both therapies on brain areas involved in bradykinesia can be assumed.
PMID- 18044708
TI - Set-shifting and behavioral dysfunction in primary focal dystonia.
AB - The occurrence of cognitive and behavioral symptoms in patients with primary
dystonia remains a matter of debate. We compared 45 patients with primary
dystonia with 27 control subjects for performance on neuropsychological tasks
with a load on executive-Wisconsin Card Sorting Test (WCST) and Stroop test, and
visuospatial-Benton's visual retention test (BVRT) and Block assembly test from
Wechsler Adult Intelligence Scale BAT-functions, as well as for intensity of
obsessive-compulsive symptoms (Yale Brown Obsessive Compulsive Scale, Y-BOCS).
Correlation analysis was performed between neuropsychological performance,
dystonia characteristics (duration, age of onset) and severity (Unified Dystonia
Rating Scale, UDRS), and Y-BOCS. Patients made more perseverative errors on the
WCST (P = 0.042) and had a higher mean Y-BOCS (P = 0.003) score than controls.
Timed tests (BVRT, BAT, Stroop test) correlated with UDRS. Y-BOCS, WCST, and UDRS
scores were not significantly correlated with one another.These results suggest
that patients with primary dystonia may have set-shifting deficits and a higher
intensity of obsessive compulsive symptoms when compared to healthy subjects.
This may reflect a pattern of complex neurophysiological dysfunction involving
dorsolateral, orbitofrontal, and motor frontostriatal circuits.
PMID- 18044709
TI - Nonlinear imaging study of extracellular matrix in chemical-induced,
developmental dissecting aortic aneurysm: evidence for defective collagen type
III.
AB - BACKGROUND: Using a recent model of dissecting aortic aneurysm (DAA) caused by in
utero exposure to semicarbazide, we examined the elastin and collagen using
standard methods and two nonlinear imaging techniques, multiphoton fluorescence
(MPF) and second harmonic generation (SHG) microscopy. METHODS: Sprague-Dawley
rat dams were given semicarbazide (6.13 mg/kg/day i.p.) from gestational days 14
to 20 (GD14-20). Fetuses were harvested on GD20 and pups on postnatal day 1
(PND1), PND7, and PND28; matched controls were from dams treated with saline.
Aortic immunohistopathology and collagen/elastin signal intensity via MPF and SHG
microscopy at an excitation wavelength of 800 nm were studied. RESULTS: Massive
DAA of the aortic arch occurred in nearly 100% of pups at birth (i.e., no GD20
fetuses showed lesions). MPF and SHG demonstrated that collagen was significantly
degraded at GD20 and in newborns, but normalized by PND28. GD20 fetuses and
newborn pups showed a decreased content of medial and adventitial collagen type
III in pooled aortas by Western blot and immunohistochemistry. In 7- and 28-day
old pups resolution of DAA blood in vascular media and a recovery of stainable
collagen type III was found. Elastin in healed DAA (PND28 pups) was focally
disorganized. CONCLUSION: MPF and SHG microscopy provide sensitive and high
resolution information on aortic elastin and collagen. In this model of DAA,
collagen displays aberrant imaging quality likely linked to a marked decrease in
collagen type III in the developing extracellular matrix. Birth Defects Research
(Part A) 2008.
PMID- 18044711
TI - The use of a colon cancer associated nuclear antigen CCSA-2 for the blood based
detection of colon cancer.
AB - The early diagnosis of colorectal cancer (CRC) is central for effective
treatment, as prognosis is directly related to the stage of the disease.
Development of tumor markers found in the blood from patients, which can detect
CRC at an early stage, should have a major impact in morbidity and mortality of
this disease. The nuclear matrix is the structural scaffolding of the nucleus and
specific nuclear matrix proteins (NMPs) have been identified as an "fingerprint"
for various cancer types. Previous studies from our laboratory have identified
four colon cancer associated NMPs termed colon cancer-specific antigen (CCSA)-2
to (CCSA)-5. The objective of the present study was to analyze the expression of
one of these proteins, CCSA-2 in serum from various patient populations and to
determine whether CCSA-2 antibodies could be used in a clinically applicable
serum-based immunoassay specifically to detect colon cancer. Using an indirect
ELISA, which detects CCSA-2, the protein was measured in the serum from 174
individuals, including healthy individuals, patients with colon cancer, patients
with diverticulosis, colon polyps, inflammatory bowel disease (IBD) as well as
other cancer types. With a predetermined cutoff absorbance of 0.6 OD we have
successfully utilized this approach to develop an immunoassay that detected colon
cancer. The immunoassay showed a sensitivity of 88.8% (24/27) and an overall
specificity of 84.2% (106/127). This initial study showed the potential of CCSA-2
to serve as a highly specific blood based marker for colon cancer. Although
potentially promising, the results of this study must be confirmed in larger
independent validation studies.
PMID- 18044710
TI - ESE-1 is a potent repressor of type II collagen gene (COL2A1) transcription in
human chondrocytes.
AB - The epithelium-specific ETS (ESE)-1 transcription factor is induced in
chondrocytes by interleukin-1beta (IL-1beta). We reported previously that early
activation of EGR-1 by IL-1beta results in suppression of the proximal COL2A1
promoter activity by displacement of Sp1 from GC boxes. Here we report that ESE-1
is a potent transcriptional suppressor of COL2A1 promoter activity in
chondrocytes and accounts for the sustained, NF-kappaB-dependent inhibition by IL
1beta. Of the ETS factors tested, this response was specific to ESE-1, since ESE
3, which was also induced by IL-1beta, suppressed COL2A1 promoter activity only
weakly. In contrast, overexpression of ETS-1 increased COL2A1 promoter activity
and blocked the inhibition by IL-1beta. These responses to ESE-1 and ETS-1 were
confirmed using siRNA-ESE1 and siRNA-ETS1. In transient cotransfections, the
inhibitory responses to ESE-1 and IL-1beta colocalized in the -577/-132 bp
promoter region, ESE-1 bound specifically to tandem ETS sites at -403/-381 bp,
and IL-1-induced binding of ESE-1 to the COL2A1 promoter was confirmed in vivo by
ChIP. Our results indicate that ESE-1 serves a potent repressor function by
interacting with at least two sites in the COL2A1 promoter. However, the
endogenous response may depend upon the balance of other ETS factors such as ETS
1, and other IL-1-induced factors, including EGR-1 at any given time.
Intracellular ESE-1 staining in chondrocytes in cartilage from patients with
osteoarthritis (OA), but not in normal cartilage, further suggests a fundamental
role for ESE-1 in cartilage degeneration and suppression of repair.
PMID- 18044712
TI - S100A8/A9, a key mediator for positive feedback growth stimulation of normal
human keratinocytes.
AB - S100A8 and S100A9 are known to be up-regulated in hyperproliferative and
psoriatic epidermis, but their function in epidermal keratinocytes remains
largely unknown. Here we show that (1) S100A8 and S100A9 are secreted by cultured
normal human keratinocytes (NHK) in a cytokine-dependent manner, (2) when applied
to NHK, recombinant S100A8/A9 (a 1:1 mixture of S100A8 and S100A9) induced
expression of a number of cytokine genes such as IL-8/CXCL8, CXCL1, CXCL2, CXCL3,
CCL20, IL-6, and TNFalpha that are known to be up-regulated in psoriatic
epidermis, (3) the S100A8/A9-induced cytokines in turn enhanced production and
secretion of S100A8 and S100A9 by NHK, and (4) S100A8 and S100A8/A9 stimulated
the growth of NHK at a concentration as low as 1 ng/ml. These results indicate
the presence of a positive feedback loop for growth stimulation involving
S100A8/A9 and cytokines in human epidermal keratinocytes, implicating the
relevance of the positive feedback loop to the etiology of hyperproliferative
skin diseases, including psoriasis.
PMID- 18044713
TI - Telomerase targeted oligonucleotide thio-phosphoramidates in T24-luc bladder
cancer cells.
AB - Bladder carcinoma is the second most common genitourinary malignancy. Treatment
options for bladder cancer include surgery, combined with chemotherapy,
radiation, and/or immunotherapy. The adjuvant chemotherapy and immunotherapy
regimen have been widely used in locally invasive as well as metastatic disease.
The evaluation of new active agents with improved tolerability has been the focus
of investigations over the past decade with minimal overall improvements in
outcomes. Telomerase activity has been found in approximately 85-90% of all human
tumors, but not in the majority of adjacent normal tissues. This suggests that
telomerase may be an attractive target for the development of novel anticancer
therapeutic agents. GRN163L is a lipid conjugated oligonucleotide N3' --> P5'
thio-phosphoramidate, and is a potent telomerase RNA (hTR) template antagonist.
In the present study, we show that the telomerase activity of T24-luc bladder
cancer cells is inhibited by 1 microM GRN163L within 24 h of incubation. After
two weeks of exposure to GRN163L, T24-luc cells became "clustered" whereas non
cancerous normal human uroepithelial cells were not morphologically affected.
Moreover, in vitro GRN163L treated T24-luc bladder cancer cells entered G(0)/G(1)
arrest following 2 weeks of continuous exposure and stopped dividing. Mismatch
control compound had no effect on normal bladder epithelial cells or T24-luc
cells. Additionally, a new generation of thio-phosphoramidate oligonucleotides
were designed and tested in T24-luc cells and compared with GRN163L. The obtained
results warrant further in vivo evaluation of GRN163L as a potential treatment
for bladder cancer.
PMID- 18044714
TI - Birth defects in uncles and aunts from Irish families with neural tube defects.
AB - BACKGROUND: Previous studies suggested an excess of matrilineal cases of neural
tube defects among distant relatives in NTD families. There is little information
on patterns of heredity of other birth defects among distant relatives. METHODS:
Between 1995 and 2003, 78 nuclear families and 373 uncles and aunts were
interviewed about birth defects among uncles and aunts in Irish families with an
NTD. RESULTS: Among 783 total uncles and aunts, those related through the mother
had more birth defects overall than those related through the father (8.4 vs.
4.0%, p = 0.01). The excess persisted after controlling with logistic regression
models for maternal and paternal age, gender of uncle/aunt, proband's NTD
diagnosis, and year of birth (OR 2.52; 95% CI: 1.29, 4.91; p = 0.007). Among
individual birth defects, significant excesses over expected rates were seen for
spina bifida, congenital heart defects, and syndactyly. CONCLUSIONS: This study
of reported birth defects suggests that maternal uncles and aunts in Irish
families have significantly more birth defects than paternal uncles and aunts.
These results, if confirmed, support the hypothesis that NTD relatives carry a
susceptibility to other birth defects, preferentially on the mother's side of the
family, suggesting opportunities for prevention. Birth Defects Research (Part A)
2008.
PMID- 18044715
TI - Abnormal vibration-induced illusion of movement in idiopathic focal dystonia: an
endophenotypic marker?
AB - The frequency of symptomatic dystonia in relatives of patients with idiopathic
focal dystonia (IFD) is higher than expected from epidemiologic studies implying
that genetic factors may be involved. Perception of the vibration-induced
illusion of movement (VIIM) is subnormal in patients with IFD compared with
healthy volunteers and the abnormality corrects with volitional fatigue of the
vibrated arm. The aim of the study was to establish the heritability of the
abnormality of VIIM. The perception of illusion of movement elicited by vibration
of the biceps brachii tendon before and after fatigue of the muscles was
investigated in 30 patients with torticollis, 57 of their first degree relatives,
and 19 healthy volunteers. VIIM did not change after fatigue in healthy controls.
Before fatiguing the muscles, patients' perception of VIIM was less than healthy
controls, (P < 0.01, unpaired t-test). After fatigue, the illusion of movement
perceived by patients increased, so that it did not differ any more from that of
the healthy control subjects (P < 0.05, repeated measures ANOVA). First degree
relatives' response to vibration varied; 45% of parents, 60.7% of siblings, and
63.6% of children had an "abnormal" response to vibration compared with 21% of
healthy volunteers. In contrast to patients' response, the "abnormality" did not
correct after volitional fatigue of the vibrated arm. The results suggest that
abnormal VIIM may represent an endophenotypic marker for IFD, which interacts
with other factors including central motor learning and compensation mechanisms
in the expression of the dystonic phenotype.
PMID- 18044716
TI - Calcium- and pH-dependent localization of annexin A6 isoforms in Balb/3T3
fibroblasts reflecting their potential participation in vesicular transport.
AB - Annexin A6 (AnxA6), calcium- and membrane-binding protein, is involved in
membrane dynamics. It exists in the cell in two isoforms, AnxA6-1 and AnxA6-2,
varying only by the VAAEIL sequence. In most cells, AnxA6-1 predominates. A
limited number of observations suggests that both isoforms differ from each other
functionally. The EGF-dependent Ca(2+) influx in A431 cells is inhibited only by
AnxA6-1. Moreover, AnxA6-2 was found to exhibit higher affinity for Ca(2+). In
this report we addressed the potential significance of the VAAEIL deletion in
AnxA6-2. For this purpose, we expressed AnxA6 isoform cDNAs in bacteria or mouse
Balb/3T3 fibroblasts. The recombinant AnxA6-2 was characterized by a less
extended molecular shape than that of AnxA6-1 and required a narrower [Ca(2+)]
range to bind liposomes. Upon lowering pH in the presence of EGTA recombinant
AnxA6-2 became less hydrophobic than AnxA6-1 as revealed by the Triton X-114
partition. Furthermore, AnxA6-2 revealed stronger F-actin binding than that of
AnxA6-1. Immunofluorescence microscopy showed that the EGFP-tagged AnxA6 isoforms
expressed in Balb/3T3 fibroblasts relocate in a Ca(2+)- and H(+)-sensitive manner
to the vesicular structures in a perinuclear region or in cytosol. Cell
fractionation showed that in resting conditions AnxA6-1 is associated with early
endosomes and AnxA6-2 with late endosomes, and an increase in [Ca(2+)] and/or
[H(+)] induced their opposite distribution. These findings suggest a potentially
independent regulation, localization, and function of AnxA6 isoforms in Balb/3T3
fibroblasts. More generally, our findings suggest distinct functions of AnxA6
isoforms in membrane dynamics.
PMID- 18044717
TI - Delayed-onset primary cytomegalovirus disease after liver transplantation.
AB - Clinical practice guidelines recommend antiviral prophylaxis to cytomegalovirus
(CMV) donor-positive/recipient-negative (D+/R-) liver transplant recipients. We
assessed the outcome of this strategy by determining the incidence, clinical
features, and risk factors of CMV disease among CMV D+/R- liver transplant
recipients who received antiviral prophylaxis. Sixty-seven CMV D+/R- liver
transplant recipients (mean age+/-standard deviation: 49.5+/-11.4 years; 75%
male) received oral ganciclovir [n=9 (13%)] or valganciclovir [n=58 (87%)]
prophylaxis for a median duration of 92 days (interquartile range: 91-100). No
breakthrough CMV disease was observed during antiviral prophylaxis. However,
primary CMV disease was observed in 2%, 25%, 27%, 27%, and 29% of patients at 1,
3, 6, 12, and 24 months, respectively, after antiviral prophylaxis was stopped.
The incidence of delayed-onset primary CMV disease was similar between those who
received oral ganciclovir and valganciclovir. Nine (47%) patients had CMV
syndrome, 8 (42%) had gastrointestinal CMV disease, and 2 (11%) had CMV
hepatitis. Female patients (P=0.01) and younger age at transplant (P=0.03) were
associated with an increased risk, whereas diabetes mellitus (P<0.001) was
significantly associated with a lower risk of delayed-onset primary CMV disease.
Allograft loss or mortality occurred in 8 (12%) patients during the median follow
up period of 3.31 (range: 0.8-5.9) years. No significant association was observed
between CMV disease and patient and allograft survival. In conclusion, CMV
disease remains a common complication in CMV D+/R- liver transplant patients
during the contemporary era of antiviral prophylaxis. Female patients and younger
patients are at increased risk of delayed-onset primary CMV disease.
PMID- 18044718
TI - Opposite effects of overexpressed myosin Va or heavy meromyosin Va on vesicle
distribution, cytoskeleton organization, and cell motility in nonmuscle cells.
AB - Myosin Va, an actin-based motor protein that transports intracellular cargos, can
bundle actin in vitro. Whether myosin Va regulates cellular actin dynamics or
cell migration remains unclear. To address this, we compared Chinese Hamster
Ovary (CHO) cells that stably express GFP fused to either full length mouse
myosin Va (GFP-M5) or heavy meromyosin Va (GFP-M5Delta). GFP-M5 and GFP-M5Delta
co-immunoprecipitate with CHO myosin Va and serve as overexpression of wild-type
and dominant negative mutants of myosin Va. Compared to non-expressing control
cells, GFP-M5-overexpressing cells have peripheral endocytic vesicles, spread
slowly after plating, as well as produce robust interior actin stress fibers,
myosin II bundles, and focal adhesions. However, these cells display normal cell
migration and lamellipodial dynamics. In contrast, GFP-M5Delta-expressing cells
have perinuclear endocytic vesicles, produce thin interior actin and myosin
bundles and contain no interior focal adhesions. In addition, these cells spread
rapidly, migrate slowly and display reduced lamellipodial dynamics. Similarly,
neurite outgrowth is compromised in neurons cultured from transgenic Drosophila
that express M5Delta-dsRed and in neurons cultured from Drosophila that produce a
tailless version of endogenous myosin V. Together, these data suggest that myosin
Va overexpression induces actin bundles in vivo whereas the tailless version
fails to bundle actin and disrupts cell motility.
PMID- 18044719
TI - SIRT2, tubulin deacetylation, and oligodendroglia differentiation.
AB - The mammalian silent information regulator 2 (SIRT2) is an NAD-dependent histone
deacetylase with known roles in the regulation of the cell cycle. SIRT2 is also a
tubulin deacetylase functioning as an early mitotic checkpoint, but its roles in
regulating cytoplasmic microtubule dynamics were unknown. Novel findings now
indicate that SIRT2 is specifically enriched in brain oligodendroglia, where it
functions specifically in modulating the oligodendrocyte cytoskeleton during its
differentiation and maturation.
PMID- 18044720
TI - Flow cytometry measurement of the labile iron pool in human hematopoietic cells.
AB - Iron is important for many biological processes, and its deficiency or excess is
involved in pathological conditions. Although most iron is firmly bound (e.g., in
hemoglobin), some, the labile iron pool (LIP), is bound to low-affinity ligands.
The level of LIP is regulated to meet the cell's requirements for iron but
prevent excess. We describe herein a multiparameter flow cytometry procedure for
measuring LIP in various human hematopoietic cells. Peripheral blood and bone
marrow (BM) cells were loaded with calcein-AM, washed, and then incubated with or
without the high-affinity iron-chelator Deferiprone (L1). Specific cell
subpopulations were identified based on side-light scattering and expression of
surface antigens. LIP was determined based on the ability of L1 to bind and
remove iron from calcein and thereby increase the fluorescence emitted by the
cells. Blood cells differ in their LIP content in the order monocytes > PMN > RBC
> lymphocytes. Analysis of BM cells indicated a similar tendency among precursors
of the different lineages. The results also showed that among myeloid precursors,
LIP increases along cell maturation. Flow cytometry might be useful for
evaluating LIP in various diseases and for studying the efficacy of iron
chelators.
PMID- 18044721
TI - Rapid, high throughput determination of cervical cytology specimen adequacy using
a capillary-based cytometer.
AB - Despite the fact that compact instruments dedicated to counting cells are readily
available especially in hematology, liquid-based cervical cytology specimen
adequacy is still determined by pathologist review following the preparation of
slides. More than 100 liquid-based cervical cytology specimens collected in
ThinPrep vials were processed into slides for diagnosis and assessment of
specimen adequacy using the Bethesda 2001 criteria. Residual liquid (100 muL) was
transferred to a single well of a 96-well plate and run in a capillary cytometer
(Guava Technologies, Hayward, CA) with forward scatter and side scatter
detectors. We determined that the sensitivity and specificity of this assay for
unsatisfactory samples was 100% and 97%, respectively, compared with
cytopathologic examination. The difference in the concentration of ectocervical
cells/muL (EPU) between samples determined to be unsatisfactory by virtue of less
than an estimated 5,000 cells per slide and satisfactory samples were
statistically significant (P< 0.001). Here, we report the use of this rapid
specimen adequacy assay on a small, capillary based, personal cytometer. Use of
this instrument reduced the amount of sample required by >90% and reduced the
average per sample assay time from 120 s to 10 s compared with flow cytometry.
PMID- 18044722
TI - Nuclear protein contents in peripheral blood mononuclear cells of trisomy 21
infants.
AB - OBJECTIVE: The trisomy 21 (Ts21) or Down's syndrome (DS) phenotype is assumed to
occur primarily by the expression/overexpression of some genes encoded by the
extra chromosome 21. It has recently been shown by AgNOR staining that babies
with Ts21 have more AgNOR area (more NOR proteins) and more RNA content in their
peripheral blood mononuclear cells (PBMCs) than those of controls. The aim of
this study was to test whether or not the nuclear proteins content of PBMCs from
trisomy 21 babies/infants is higher than that of the controls. METHOD: For this
purpose, flow cytometric measurement of the stained PBMC nuclei was used. Nuclei
from PBMCs was isolated and stained with propidium iodide and fluorescein
isothiocyanate (PI/FITC) for DNA and protein estimation, respectively. RESULTS:
Mean nuclear protein content of Ts21's (N = 30, mean age = 3.46 +/- 3.05 years
old) PBMCs was found statistically higher than that of the controls (N = 33, mean
age = 3.79 +/- 1.93 years old) (P = 0.005, nonparametric Mann-Whitney U test for
two independent variables). This means that the average nuclear protein content
of PBMC from Ts21 infants is higher than that of the controls. Furthermore, there
is a moderate negative correlation between the ages of the studied DS patients
and the protein content in the nuclei of their PBMCs (Linear regression analysis:
P = 0.002, r = -0.55). This correlation is not found with controls (P = 0.186, r
= -0.24). CONCLUSION: We have concluded that average protein content of PBMCs'
nuclei from DS infants is higher than that of the controls, decreasing
significantly with age.
PMID- 18044723
TI - DNA ploidy and cell cycle analyses in the bone marrow cells of patients with
megaloblastic anemia using laser scanning cytometry.
AB - BACKGROUND: Megaloblastic anemias are characterized by several hematopoietic
cells with dysplastic nuclear morphology. The analyses of DNA ploidy and cell
cycle of these cells are important to understand the property of such diseases.
METHODS: As laser scanning cytometry (LSC) is a useful tool to evaluate the
morphology of the cells fixed on the slide glass together with the quantitative
analysis of the fluorescence information of each cell by rapid scanning of the
specimens, the authors examined the DNA ploidy and cell cycle of six cases with
megaloblastic anemia using LSC. RESULTS: Giant neutrophilic series such as giant
metamyelocytes and giant band cells were found to have extraordinarily higher DNA
ploidy, while hypersegmented neutrophils represented the normal diploid pattern
like normal neutrophils. As to megaloblasts, cell cycle analysis showed that the
proportion of the cells in S phase was increased as compared with the case of
normal erythroblasts. CONCLUSIONS: The present study clearly demonstrates the
abnormal aspects of the hematopoietic cells with megaloblastic anemia from the
viewpoint of the DNA ploidy and cell cycle analyzed by the use of LSC.
PMID- 18044724
TI - Selection for resistance to methoxyfenozide and 20-hydroxyecdysone in cells of
the beet armyworm, Spodoptera exigua.
AB - In this report with an ecdysteroid-responsive cell line of the beet armyworm,
Spodoptera exigua (Se4) selection for resistance against methoxyfenozide and the
insect moulting hormone (20-hydroxyecdysone, 20E) was carried out to analyze the
resulting resistant cells in order to elucidate possible mechanisms of resistance
towards these compounds. From these cultures, five methoxyfenozide- and four 20E
resistant subclones were selected starting from 0.1 nM methoxyfenozide up to 100
microM and from 10 nM 20E up to 100 microM, respectively. To date, the selected
cells kept their loss of susceptibility for 100 microM. Here we evaluated two
processes known to be important in insecticide resistance, namely metabolism and
pharmacokinetics, in the selected methoxyfenozide- and 20E-resistant subclones.
Synergism experiments with piperonyl butoxide, S,S,S-tributyl
phosphorotrithioate, and diethyl maleate, which are respective inhibitors of
monooxygenases, esterases, and gluthation-S-transferases, did not affect the
level of the resistance. To check the possible existence of active transport in
the resistant cells, we used ouabain, an inhibitor of active membrane transport.
In parallel, the absorption profile was studied in resistant and susceptible
cells with use of 14C-methoxyfenozide. Interestingly, resistant subclones showed
cross-resistance towards methoxyfenozide and 20E. The resistance was irreversible
even after the compounds were removed from the medium.
PMID- 18044725
TI - Novel GCH1 mutation in a Brazilian family with dopa-responsive dystonia.
AB - Dopa responsive Dystonia (DRD) was first described in 1971 and typically begins
at childhood with gait dysfunction caused by foot dystonia progressing to affect
other extremities. There is marked diurnal fluctuation and sustained improvement
of symptoms with low dose levodopa therapy. Heterozygous mutation of the gene
GCH1 has been shown to cause DRD. We studied GCH1 in nine patients with DRD from
six families of Federal University of Minas Gerais Movement Disorders Clinic. We
identified three mutations; two affected siblings carried a novel T209P mutation
and two siblings from another family were compound heterozygous carriers of
Met211Val and Lys224Arg mutations. To our knowledge this is the first report of
GCH1 mutations underlying DRD in patients from Brazil.
PMID- 18044726
TI - Discordance between measured postural instability and absence of clinical
symptoms in Parkinson's disease patients in the early stages of the disease.
AB - We compared postural performances in early stage Parkinson's disease (PD)
patients and healthy subjects, and to determine if PD patients have infraclinical
postural instability. Nine PD patients and 18 age- and sex-matched control
subjects were recorded with open eyes (OE) and closed eyes (CE) using a force
platform in static and dynamic conditions with a mobile platform allowing antero
posterior and medio lateral oscillations. Oscillations of the mobile platform and
balance strategy were quantified using both a force platform and the Vicon
system. Under static conditions with both OE and CE, PD patients had a larger
center foot pressure sway area than the control subjects (P = 0.007 and P = 0.04,
respectively). Under dynamic conditions, the PD patients' sway area was greater
than that of the control subjects in the CE antero posterior position (P = 0.04).
Oscillations of the mobile platform were not different between the two groups.
Lastly, all subjects used an ankle strategy, but PD patients had larger head
oscillations than the control subjects. Early stage PD patients have an
infraclinical postural instability which is compensated when it is more difficult
to maintain good balance, suggesting that the neurological mechanisms of balance
are partially still operating at this stage of the disease.
PMID- 18044727
TI - Survival in multiple system atrophy.
AB - We here report survival in patients with multiple system atrophy (MSA) in a
large, prospectively studied group of patients with MSA. Eighty-five of 100
patients were known to have died. Three patients were rediagnosed as having PD.
Twenty-four patients came to autopsy, which showed MSA in 22 and idiopathic
Parkinson's disease in 2. The median survival time was 8.6 and 7.3 years for men
and women, respectively (hazard ratio for women was 1.49, 95% CI 0.97-2.31, P =
0.07). Except for rediagnosis as PD, no predictive factors for better survival
could be identified. These data confirm the relatively poor prognosis of MSA of
less than 9 years on average.
PMID- 18044728
TI - A randomized controlled trial of late conversion from calcineurin inhibitor (CNI)
based to sirolimus-based immunosuppression in liver transplant recipients with
impaired renal function.
AB - Renal impairment is common in patients after liver transplantation and is
attributable in large part to the use of calcineurin inhibitor (CNI)-based
immunosuppression. We sought to determine whether conversion to sirolimus-based
immunosuppression was associated with improved renal function. In a single
center, randomized, controlled trial, 30 patients at least 6 months post liver
transplantation were randomized to remain on CNI-based immunosuppression or to
switch to sirolimus-based immunosuppression. The primary outcome measure was
change in measured glomerular filtration rate (GFR) between baseline and 12
months. Of 30 patients randomized, 3 were withdrawn at randomization, leaving 14
patients on CNI and 13 on sirolimus. There was a significant improvement in delta
GFR following conversion to sirolimus at 3 months (7.7 mL/minute/1.73 m2; 95%
confidence interval, 3.5-11.9) and 1 yr (6.1 mL/minute/1.73 m2; 95% confidence
interval, 0.9-11.4). The difference in absolute GFR between the 2 study groups
was significant at 3 months (P=0.02), but not at 12 months (P=0.07). The
principal adverse events following conversion were the development of skin rash
(9 of 13 patients, 69%) and mouth ulcers (5 of 13 patients, 38%). Two patients
developed acute rejection at 2 and 3 months following conversion, 1 in
association with low sirolimus levels and 1 having stopped the drug
inadvertently. In conclusion, overall, this study suggests that conversion to
sirolimus immunosuppression is associated with a modest improvement in renal
function. Side effects were common, but tolerable in most patients and controlled
with dose reduction.
PMID- 18044729
TI - Prostate-specific antigen levels in relation to cadmium exposure and zinc intake:
results from the 2001-2002 National Health and Nutrition Examination Survey.
AB - BACKGROUND: Cadmium exposure has been suggested as a risk factor for prostate
cancer, and experimental literature suggests that the carcinogenic effect of
cadmium is modified by the presence of zinc. We evaluated total prostate-specific
antigen (PSA) levels in relation to urinary cadmium concentrations and dietary
zinc intake. METHODS: PSA levels were determined in 1,320 men over the age of 40
in the 2001-2002 National Health and Nutrition Examination Survey (NHANES).
Urinary cadmium concentrations were measured in about one-third of the sample
population, whereas dietary zinc intake was based on participants' 24-hr recall.
Information on all three variables was available for 422 men in the 2001-2002
NHANES survey. We performed linear regressions to evaluate the relationships
these factors after accounting for age and other covariates. RESULTS: Little
evidence for an association between cadmium and elevated PSA level was observed.
However, the data provide suggestive evidence for an interaction between zinc
intake and cadmium exposure (P for interaction=0.09). Among men with zinc intake
less than the median level of 12.67 mg/day, an increase in 1 microg/g creatinine
cadmium exposure was associated with a 35% increase in PSA level. In contrast,
among men with greater than median zinc intake, little evidence for an
association between cadmium and PSA was found. CONCLUSIONS: These findings
suggest a protective effect of zinc intake on cadmium-induced prostatic injury,
and may provide further rationale for investigating the impact of these factors
individually and jointly on the etiology of prostate cancer.
PMID- 18044730
TI - Deletion of PSCA increases metastasis of TRAMP-induced prostate tumors without
altering primary tumor formation.
AB - BACKGROUND: Prostate stem cell antigen (PSCA) is expressed in normal epithelium
of various tissues, in embryos and adult animals. PSCA expression is upregulated
in up to 70% of prostate tumors and metastases, and a subset of bladder and
pancreatic cancers. However, its function is unknown. We studied the effect of
targeted gene deletion of PSCA on normal organ development and prostate
carcinogenesis. METHODS: PSCA +/+, PSCA +/-, and PSCA -/- mice were bred and aged
to 22 months. A cohort of animals was treated with gamma-irradiation at 2 and 6
months of age. PSCA knockout mice were crossed to TRAMP mice and TRAMP+ PSCA +/+,
TRAMP+ PSCA +/-, and TRAMP+ PSCA -/- mice and offspring aged to 10 months of age.
Tissues were analyzed by RT-PCR, histology, and immunohistochemistry for markers
of proliferation, apoptosis, angiogenesis, and tumor progression. RESULTS: PSCA
knockout animals were viable, fertile and indistinguishable from wild-type
littermates. Spontaneous or radiation-induced primary epithelial tumor formation
was also similar in wild-type and PSCA knockout mice. We observed an increased
frequency of metastasis in TRAMP+ PSCA heterozygous and knockout mice, compared
to TRAMP+ wild-type mice. Metastases were largely negative for PSCA and androgen
receptor. Cleaved-caspase 3 and CD31 staining was similar in all genotypes.
Aurora-A and Aurora-B kinases were detected in the cytoplasm of PSCA heterozygous
and knockout tumors, suggesting aberrant kinase function. CONCLUSION: These data
suggest that PSCA may play a role in limiting tumor progression in certain
contexts, and deletion of PSCA may promote tumor migration and metastasis.
PMID- 18044731
TI - Anti-PSMA immunotoxin as novel treatment for prostate cancer? High and specific
antitumor activity on human prostate xenograft tumors in SCID mice.
AB - BACKGROUND: Expression of the prostate specific membrane antigen (PSMA) is highly
restricted to prostate epithelial cells. Therefore, toxin-based immunotherapy
against this antigen may represent an alternative therapeutic option for prostate
cancer. For these purposes, the effects of the recombinant anti-PSMA immunotoxin
A5-PE40 on prostate tumor growth were investigated in vitro and in vivo. METHODS:
The in vitro binding and cytotoxicity of A5-PE40 were tested on the PSMA
expressing prostate cancer cell line C4-2 and on the PSMA-negative cell line
DU145 by flow cytometry and WST assays. The binding of the immunotoxin to SCID
mouse xenografts and to various mouse organs was examined by Western blot
analysis. In vivo, the antitumor activity of the immunotoxin was tested by
injecting A5-PE40 in mice bearing C4-2 or DU145 xenografts. RESULTS: In vitro, a
specific binding of A5-PE40 to C4-2 cells could be shown with a concentration
dependent cytotoxicity (IC(50) value=220 pM). In the next step, a specific
binding of the immunotoxin to C4-2 xenografts could be demonstrated. In contrast,
no binding on mouse organs expressing high homologous mouse PSMA was found. The
treatment of mice with C4-2 tumors caused a significant inhibition of tumor
growth in vivo, whereas DU145 xenografts remained totally unaffected.
CONCLUSIONS: A5-PE40 represents a recombinant anti-PSMA immunotoxin with potent
antitumor activity in mice bearing human prostate cancer xenograft tumors.
Therefore, A5-PE40 could be a promising candidate for therapeutic applications in
patients with prostate cancer.
PMID- 18044732
TI - Hox genes and the regulation of movement in Drosophila.
AB - Many animals show regionally specialized patterns of movement along the body
axis. In vertebrates, spinal networks regulate locomotion, while the brainstem
controls movements of respiration and feeding. Similarly, amongst invertebrates
diversification of appendages along the body axis is tied to the performance of
characteristically different movements such as those required for feeding,
locomotion, and respiration. Such movements require locally specialized networks
of nerves and muscles. Here we use the regionally differentiated movements of
larval crawling in Drosophila to investigate how the formation of a locally
specialized locomotor network is genetically determined. By loss and gain of
function experiments we show that particular Hox gene functions are necessary and
sufficient to dictate the formation of a neuromuscular network that orchestrates
the movements of peristaltic locomotion.
PMID- 18044733
TI - Ultrastructural analysis of chemical synapses and gap junctions between
Drosophila brain neurons in culture.
AB - Dissociated cultures from many species have been important tools for exploring
factors that regulate structure and function of central neuronal synapses. We
have previously shown that cells harvested from brains of late stage Drosophila
pupae can regenerate their processes in vitro. Electrophysiological recordings
demonstrate the formation of functional synaptic connections as early as 3 days
in vitro (DIV), but no information about synapse structure is available. Here, we
report that antibodies against pre-synaptic proteins Synapsin and Bruchpilot
result in punctate staining of regenerating neurites. Puncta density increases as
neuritic plexuses develop over the first 4 DIV. Electron microscopy reveals that
closely apposed neurites can form chemical synapses with both pre- and
postsynaptic specializations characteristic of many inter-neuronal synapses in
the adult brain. Chemical synapses in culture are restricted to neuritic
processes and some neurite pairs form reciprocal synapses. GABAergic synapses
have a significantly higher percentage of clear core versus granular vesicles
than non-GABA synapses. Gap junction profiles, some adjacent to chemical
synapses, suggest that neurons in culture can form purely electrical as well as
mixed synapses, as they do in the brain. However, unlike adult brain, gap
junctions in culture form between neuronal somata as well as neurites, suggesting
soma ensheathing glia, largely absent in culture, regulate gap junction location
in vivo. Thus pupal brain cultures, which support formation of interneuronal
synapses with structural features similar to synapses in adult brain, are a
useful model system for identifying intrinsic and extrinsic regulators of central
synapse structure as well as function.
PMID- 18044734
TI - Cooperative activity of multiple upper layer proteins for thalamocortical axon
growth.
AB - During development, sensory thalamocortical (TC) axons grow into the neocortex
and terminate primarily in layer 4. To study the molecular mechanism that
underlies lamina-specific TC axon termination, we investigated the responsiveness
of TC axons to ephrin-A5, semaphorin-7A (Sema7A) and kit ligand (KL), which are
expressed in the upper layers of the developing cortex. Dissociated cells of the
dorsal thalamus from embryonic rat brain were cultured on dishes that were coated
with preclustered Fc-tagged extracellular domains of these molecules. Each
protein was found to promote TC axon growth in a dose-dependent fashion of a bell
shaped curve. Any combination of the three proteins showed a cooperative effect
in lower concentrations but not in higher concentrations, suggesting that their
growth-promoting activities act in a common pathway. The effect of spatial
distributions of these proteins was further tested on a filter membrane, in which
these proteins were printed at a size that recapitulates the scale of laminar
thickness in vivo, using a novel protein-printing technique, Simple-To-mAke
Micropore Protein-Printing (STAMP2) method. The results demonstrated that TC
axons grew massively on the laminin-coated region but were prevented from
invading the adjacent ephrin-A5-printed region, suggesting that TC axons detect
relative differences in the growth effect between these regions. Moreover, the
inhibitory action of ephrin-A5 was enhanced by copresence with KL and Sema7A.
Together, these results suggest that the lamina-specific TC axon targeting
mechanism involves growth-inhibitory activity by multiple molecules in the upper
layers and detection in the molecular environments between the upper and deep
layers.
PMID- 18044735
TI - Nitric oxide regulates axonal regeneration in an insect embryonic CNS.
AB - In higher vertebrates, the central nervous system (CNS) is unable to regenerate
after injury, at least partially because of growth-inhibiting factors.
Invertebrates lack many of these negative regulators, allowing us to study the
positive factors in isolation. One possible molecular player in neuronal
regeneration is the nitric oxide (NO)-cyclic guanosine-monophosphate (cGMP)
transduction pathway which is known to regulate axonal growth and neural
migration. Here, we present an experimental model in which we study the effect of
NO on CNS regeneration in flat-fillet locust embryo preparations in culture after
crushing the connectives between abdominal ganglia. Using whole-mount
immunofluorescence, we examine the morphology of identified serotonergic neurons,
which send a total of four axons through these connectives. After injury, these
axons grow out again and reach the neighboring ganglion within 4 days in culture.
We quantify the number of regenerating axons within this period and test the
effect of drugs that interfere with NO action. Application of exogenous NO or
cGMP promotes axonal regeneration, whereas scavenging NO or inhibition of soluble
guanylyl cyclase delays regeneration, an effect that can be rescued by
application of external cGMP. NO-induced cGMP immunostaining confirms the
serotonergic neurons as direct targets for NO. Putative sources of NO are
resolved using the NADPH-diaphorase technique. We conclude that NO/cGMP promotes
outgrowth of regenerating axons in an insect embryo, and that such embryo-culture
systems are useful tools for studying CNS regeneration.
PMID- 18044736
TI - Patent foramen ovale and unexplained ischemic cerebrovascular events in children.
AB - OBJECTIVES: To consider the role of patent foramen ovale (PFO) in ischemic
cerebrovascular event of unknown cause in children. BACKGROUND: Data regarding
the possibility of paradoxical embolism in unexplained ischemic cerebrovascular
event in children are lacking. METHODS: Between January 2005 and March 2007, all
consecutive children evaluated due to ischemic cerebrovascular event were
included in the retrospective study. In addition to the standard diagnostic
protocol, a contrast transcranial Doppler (TCD) with Valsalva maneuver (VM) was
performed in patients with unexplained events. Percutaneous PFO closure was
offered to all patients with ischemic cerebrovascular event of unknown cause and
presumed paradoxical embolism. RESULTS: Eighteen patients aged between 2 and 17
years (median 11.5 years) were included in the study: 12 patients suffered
ischemic stroke and six with transient ischemic attack (TIA). In six patients,
ischemic stroke was of unknown cause and contrast TCD with VM was positive in
four of them. In addition, TCD study was positive in five patients evaluated
because of TIA. Nine patients with presumed paradoxical embolism underwent an
attempt at the percutaneous PFO closure. CONCLUSIONS: It appears that the role of
PFO in ischemic cerebrovascular event of unknown cause in children may be
underestimated. Contrast TCD with VM is a sensitive, noninvasive method for PFO
detection, proved in our experience particularly suitable for children. In
children with unexplained ischemic cerebrovascular event and presumed paradoxical
embolism, percutaneous PFO closure should be considered.
PMID- 18044737
TI - Cognitive impairment in rats after long-term exposure to GSM-900 mobile phone
radiation.
AB - Considering the frequent use of mobile phones, we have directed attention to
possible implications on cognitive functions. In this study we investigated in a
rat model the long-term effects of protracted exposure to Global System for
Mobile Communication-900 MHz (GSM-900) radiation. Out of a total of 56 rats, 32
were exposed for 2 h each week for 55 weeks to radio-frequency electromagnetic
radiation at different SAR levels (0.6 and 60 mW/kg at the initiation of the
experimental period) emitted by a (GSM-900) test phone. Sixteen animals were sham
exposed and eight animals were cage controls, which never left the animal house.
After this protracted exposure, GSM-900 exposed rats were compared to sham
exposed controls. Effects on exploratory behaviour were evaluated in the open
field test, in which no difference was seen. Effects on cognitive functions were
evaluated in the episodic-like memory test. In our study, GSM exposed rats had
impaired memory for objects and their temporal order of presentation, compared to
sham exposed controls (P = 0.02). Detecting the place in which an object was
presented was not affected by GSM exposure. Our results suggest significantly
reduced memory functions in rats after GSM microwave exposure (P = 0.02).
PMID- 18044738
TI - Anti-inflammatory effects of low-intensity extremely high-frequency
electromagnetic radiation: frequency and power dependence.
AB - Using a model of acute zymosan-induced footpad edema in NMRI mice, the frequency
and power dependence of anti-inflammatory effect of low-intensity extremely high
frequency electromagnetic radiation (EHF EMR) was found. Single whole-body
exposure of animals to EHF EMR at the intensity of 0.1 mW/cm(2) for 20 min at 1 h
after zymosan injection reduced both the footpad edema and local hyperthermia on
average by 20% at the frequencies of 42.2, 51.8, and 65 GHz. Some other
frequencies from the frequency range of 37.5-70 GHz were less effective or not
effective at all. At fixed frequency of 42.2 GHz and intensity of 0.1 mW/cm(2),
the effect had bell-shaped dependence on exposure duration with a maximum at 20
40 min. Reduction of intensity to 0.01 mW/cm(2) resulted in a change of the
effect dependence on exposure duration to a linear one. Combined action of
cyclooxygenase inhibitor sodium diclofenac and EHF EMR exposure caused a partial
additive effect of decrease in footpad edema. Combined action of antihistamine
clemastine and EHF EMR exposure caused a dose-dependent abolishment of the anti
inflammatory effect of EHF EMR. The results obtained suggest that arachidonic
acid metabolites and histamine are involved in realization of anti-inflammatory
effects of low-intensity EHF EMR.
PMID- 18044739
TI - Setup and dosimetry for exposure of human skin in vivo to RF-EMF at 900 MHz.
AB - The aim of this study was a dosimetrical analysis of an experimental setup used
in the exposure of 10 female volunteers to GSM 900 radiation. The exposure was
carried out by irradiating a small region of the right forearms of the volunteers
for 1 h, after which biopsies were taken from the exposed skin for protein
analysis. The source of irradiation was a half-wave dipole fed with a computer
controlled GSM phone. The specific absorption rate (SAR) induced in the skin
biopsy was assessed by computer simulations. The numerical model of the arm
consisted of a muscle tissue simulating cylinder covered with thin skin (1 mm)
and fat (3 mm) layers. The simulation models were validated by measurements with
a homogeneous cylindrical liquid phantom. The average SAR value in the biopsy was
1.3 W/kg and the estimated uncertainty +/-20% (K = 2). The main source of error
was found to be variations in the distance of the forearm from the dipole (10 +/-
1 mm). Other significant sources of uncertainty are individual variations of the
fat layer and arm thicknesses, and the uncertainty of radio frequency (RF) power
measurement.
PMID- 18044740
TI - The effects of 884 MHz GSM wireless communication signals on headache and other
symptoms: an experimental provocation study.
AB - Findings from prior studies of possible health and physiological effects from
mobile phone use have been inconsistent. Exposure periods in provocation studies
have been rather short and personal characteristics of the participants poorly
defined. We studied the effect of radiofrequency field (RF) on self-reported
symptoms and detection of fields after a prolonged exposure time and with a well
defined study group including subjects reporting symptoms attributed to mobile
phone use. The design was a double blind, cross-over provocation study testing a
3-h long GSM handset exposure versus sham. The study group was 71 subjects age 18
45, including 38 subjects reporting headache or vertigo in relation to mobile
phone use (symptom group) and 33 non-symptomatic subjects. Symptoms were scored
on a 7-point Likert scale before, after 1(1/2) and 2(3/4) h of exposure. Subjects
reported their belief of actual exposure status. The results showed that headache
was more commonly reported after RF exposure than sham, mainly due to an increase
in the non-symptom group. Neither group could detect RF exposure better than by
chance. A belief that the RF exposure had been active was associated with skin
symptoms. The higher prevalence of headache in the non-symptom group towards the
end of RF exposure justifies further investigation of possible physiological
correlates. The current study indicates a need to better characterize study
participants in mobile phone exposure studies and differences between symptom and
non-symptom groups.
PMID- 18044741
TI - Indoor transformer stations as predictors of residential ELF magnetic field
exposure.
AB - Transformer stations in apartment buildings may offer a possibility to conduct
epidemiological studies that involve high exposure to extremely low frequency
magnetic fields (MF), avoid selection bias and minimize confounding factors. To
validate exposure assessment based on transformer stations, measurements were
performed in thirty buildings in three Finnish cities. In each building, spot
measurements in all rooms and a 24-h recording in a bedroom were performed in one
apartment above a transformer station (AAT), in one first floor (FF) reference
apartment, and one reference apartment on upper floors (UF). The apartment mean
of spot measurements was 0.62 microT in the AATs, 0.21 microT in the FF and 0.11
microT in the UF reference apartments The 24-h apartment mean (estimated from the
spot measurements and the bedroom 24-h recording) was 0.2 microT or higher in 29
(97%) AATs, in 7 (25%) FF and in 3 (10 %) UF reference apartments. The
corresponding numbers for the 0.4 microT cut-off point were 19 (63%), 4 (14%),
and 1 (3.3%). The higher MF level in the FF reference apartments indicates that
they should not be considered "unexposed" in epidemiological studies. If such
apartments are excluded, a transformer station under the floor predicts 24-h
apartment mean MF with a sensitivity of 0.41 (or 0.58) and a specificity of 0.997
(or 0.97), depending on the MF cut-off point (0.2 or 0.4 microT). The results
indicate that apartments can be reliably classified as high and low MF field
categories based on the known location of transformer stations.
PMID- 18044742
TI - Visualization of shell matrix proteins in hemocytes and tissues of the Eastern
oyster, Crassostrea virginica.
AB - The tissues of the oyster were examined for the presence of shell matrix proteins
(SMPs) using a combination of Western, proteomic, and epi-fluorescent microscopy
techniques. SMP, including 48 and 55 kDa phosphoproteins, was detected in the
epithelial cells of mantle, gill, heart, and adductor muscle and linings of
arteries and veins. The 48 kDa SMP circulates continuously within the hemolymph,
and is present in the immune system hemocytes. It appears to be secreted from
hemocytes on induction of shell repair. We suggest that the 48 and 55 kDa
proteins are multifunctional and bridge the process of soft tissue repair and
shell formation by mediating cellular activities during immune response as well
as interacting with the mineral phase during deposition.
PMID- 18044743
TI - Intravenous interferon during the anhepatic phase of liver retransplantation and
prevention of recurrence of cholestatic hepatitis C virus.
AB - Cholestatic hepatitis C virus (HCV) infection post orthotopic liver
transplantation is associated with a poor prognosis. We describe 2 patients who
received interferon and ribavirin for cholestatic HCV infection with clearance of
HCV RNA from the serum. Both developed signs of graft failure necessitating
repeat orthotopic liver transplantation, and at surgery, interferon was
administered during the anhepatic phase to prevent graft reinfection. Both
patients are doing well with no evidence of recurrent viremia at 36 and 24 months
of follow-up after repeat transplantation, respectively. Our results suggest that
in those with cholestatic HCV infection, repeat transplantation after viral
clearance is feasible and can occur without reinfection of the graft, challenging
the current practice of denying retransplantation for patients with cholestatic
HCV. The role of anhepatic administration of interferon deserves further
examination, and this combination may provide a solution in a subset of patients
with an otherwise poor prognosis.
PMID- 18044745
TI - Which lesions cause myocardial infarctions? Lambs versus lions.
PMID- 18044744
TI - Rab6 family proteins interact with the dynein light chain protein DYNLRB1.
AB - The small GTPase Rab6 is a key regulator in the retrograde transfer from
endosomes via the Golgi to the ER. Three isoforms of Rab6 have been identified,
the ubiquitously expressed Rab6A and Rab6A', and the brain specific Rab6B. Recent
studies have shown that Rab6A' is the major isoform regulating this retrograde
transport. Cytoplasmic dynein is the main motor protein complex for this
transport. Dynein consists of two heavy chains, two intermediate chains, four
light intermediate chains and several light chains, called roadblock/LC7 proteins
or DYNLRB proteins. In mammalian cells two light chain isoforms have been
identified, DYNLRB1 and DYNLRB2. We here show with yeast-two-hybrid, co
immunoprecipitation and pull down studies that DYNLRB1 specifically interacts
with all three Rab6 isoforms and co-localises at the Golgi. This is the first
example of a direct interaction between Rab6 isoforms and the dynein complex.
Pull down experiments showed further preferred association of DYNLRB1 with GTP
bound Rab6A and interestingly GDP-bound Rab6A' and Rab6B. In addition DYNLRB1 was
found in the Golgi apparatus where it co-localises with EYFP-Rab6 isoforms.
DYNLRB is a putative modulator of the intrinsic GTPase activity of GTP-binding
proteins. In vitro we were not able to reproduce this effect on Rab6 GTPase
activity.
PMID- 18044746
TI - Presentation of an acquired urea cycle disorder post liver transplantation.
AB - The liver's role as the largest organ of metabolism and the unique and often
critical function of liver-specific enzyme pathways imply a greater risk to the
recipient of acquiring a donor metabolic disease with liver transplants versus
other solid organ transplants. With clinical consequences rarely reported, the
frequency of solid organ transplant transfer of metabolic disease is not known.
Ornithine transcarbamylase deficiency (OTCD), although rare, is the most common
of the urea cycle disorders (UCDs). Because of phenotypic heterogeneity, OTCD may
go undiagnosed into adulthood. With over 5000 liver transplant procedures
annually in the United States, the likelihood of unknowingly transmitting OTCD
through liver transplantation is very low. We describe the clinical course of a
liver transplant recipient presenting with acute hyperammonemia and
encephalopathy after receiving a liver graft form a donor with unrecognized OTCD.
PMID- 18044747
TI - Three-dimensional and quantitative analysis of atherosclerotic plaque composition
by automated differential echogenicity.
AB - OBJECTIVE: To validate automated and quantitative three-dimensional analysis of
coronary plaque composition using intracoronary ultrasound (ICUS). BACKGROUND:
ICUS displays different tissue components based on their acoustic properties in
256 grey-levels. We hypothesised that computer-assisted image analysis
(differential echogenicity) would permit automated quantification of several
tissue components in atherosclerotic plaques. METHODS AND RESULTS: Ten 40-mm-long
left anterior descending specimens were excised during autopsy of which eight
could be successfully imaged by ICUS. Histological sections were taken at 5 mm
intervals and analyzed. Since most of the plaques were calcified and having a
homogeneous appearance, one specimen with a more heterogeneous composition was
further examined: at each interval of 5 mm, 15 additional sections (every 100
microm) were evaluated. Plaques were scored for echogenicity against the
adventitia: brighter (hyperechogenic) or less bright (hypoechogenic). Areas of
hypoechogenicity correlated with the presence of smooth muscle cells. Areas of
hyperechogenicity correlated with presence of collagen, and areas of
hyperechogenicity with acoustic shadowing correlated with calcium. None of these
comparisons showed statistical significant differences. CONCLUSION: This ex vivo
feasibility study shows that automated three-dimensional differential
echogenicity analysis of ICUS images allows identification of different tissue
types within atherosclerotic plaques. This technology may play a role as an
additional tool in longitudinal studies to trace possible changes in plaque
composition.
PMID- 18044748
TI - Coaxial double catheter technique followed by buddy wire placement for ostial
lesion of right coronary artery with anterior take-off.
AB - This report describes a technique for percutaneous coronary intervention in an
ostial lesion with difficult take-off utilising an 8F guiding catheter and a 5F
125 cm-long diagnostic catheter followed by buddy wire placement.
PMID- 18044749
TI - Endothelial progenitor cell therapy for the treatment of coronary disease, acute
MI, and pulmonary arterial hypertension: current perspectives.
AB - Since their identification in 1997, bone marrow derived endothelial progenitor
cells (EPCs) have been studied for their role in the endogenous maintenance and
repair of endothelium and their potential regenerative capacity beyond the
endothelium. In particular, EPCs have been tested in cell therapy approaches with
the aim of developing novel therapies for conditions currently lacking effective
treatment options. In this review, we discuss the scientific background and
clinical experience using EPC delivery or mobilization for the treatment of post
angioplasty restenosis, acute myocardial infarction and pulmonary arterial
hypertension. Although these approaches are safe, efficacy has yet to be proven
in large randomized clinical trials. Unfortunately, the biology of EPCs is still
poorly understood. The success of future clinical trials depends on a better
understanding of EPC biology and intelligent design.
PMID- 18044750
TI - Liver retransplantation of patients with hepatitis C infection is associated with
acceptable patient and graft survival.
AB - Infection with hepatitis C virus (HCV) is the leading cause of liver
transplantation (LT), while liver retransplantation (RT) for HCV is controversial
as a result of concerns over poor outcomes. We sought to compare patient and
graft survival after RT in patients with and without HCV. We performed a
retrospective chart review of all patients undergoing RT at our center between
February 1998 and April 2004. Indications for RT, HCV status, patient, and donor
characteristics, laboratory values, and hospitalization status at RT were
collected. A total of 108 patients (48 HCV and 60 non-HCV) underwent RT during
the study period, with mean post-RT follow-up of 1,096 days (range, 0-2,888
days). Grafts from donors aged>60 years were used less frequently in HCV patients
at RT (6%) compared with LT (47%), P<0.001. There was no difference between HCV
vs. non-HCV patients in 1- and 3-year patient survival (respectively, 79% vs.
63%, and 71% vs. 63%) and graft survival (respectively, 67% vs. 66%, and 59% vs.
56%). Post-RT mortality and graft failure in HCV patients occurred within the
first year in 89% of patients, and 83% were unrelated to HCV recurrence. We
conclude that patients should not be excluded from consideration for
retransplantation solely on the basis of a diagnosis of HCV.
PMID- 18044751
TI - Two-sided fluorescence resonance energy transfer for assessing molecular
interactions of up to three distinct species in confocal microscopy.
AB - The role of the expression patterns of proteins involved in oncogenesis can be
understood after characterizing their multimolecular interactions. Conventional
FRET methods permit the analysis of interaction between two molecular species at
the most, which necessitates the introduction of new approaches for studying
multicomponent signaling complexes. Flow cytometric as well as microscopic donor
(dbFRET) and acceptor (abFRET) photobleaching FRET measurements were performed to
determine the association states of ErbB2, beta1-integrin, and CD44 receptors.
Based on consecutively applied abFRET and dbFRET methods (two-sided FRET), the
relationship of beta1-integrin-ErbB2 heteroassociation to ErbB2 homoassociation
and of beta1-integrin-ErbB2 heteroassociation to ErbB2-CD44 heteroassociation was
studied by correlating pixel-by-pixel FRET values of the corresponding abFRET and
dbFRET images in contour plots. Anticorrelation was observed between beta1
integrin-ErbB2 heteroassociation and ErbB2 homoassociation on trastuzumab
sensitive N87 and SK-BR-3 cells, while modest positive correlation was found
between beta1-integrin-ErbB2 and ErbB2-CD44 heteroassociation on trastuzumab
resistant MKN-7 cells. The FRET efficiency values of beta1-integrin-ErbB2
heteroassociation were markedly higher at the focal adhesion regions on attached
cells than those measured by flow cytometry on detached cells. In conclusion, we
implemented an experimental set-up termed two-sided FRET for correlating two
pairwise interactions of three arbitrarily chosen molecular species. On the basis
of our results, we assume that the homoassociation state of ErbB2 is dynamically
modulated by its interaction with beta1-integrins.
PMID- 18044752
TI - Surgical stress and tumor behavior: impact of ischemia-reperfusion and hepatic
resection on tumor progression.
PMID- 18044753
TI - Morbid obesity with one or more other serious comorbidities should be a
contraindication for liver transplantation.
PMID- 18044754
TI - Transfusion guidelines and liver transplantation: time for consensus.
PMID- 18044755
TI - The shorter the better?
PMID- 18044756
TI - Patent foramen ovale - "back door" to the brain, even in kids.
PMID- 18044757
TI - The impact of acute alcoholic hepatitis in the explanted recipient liver on
outcome after liver transplantation.
AB - Patients with clinical acute alcoholic hepatitis (AAH) are not considered
suitable candidates for orthotopic liver transplantation (OLT). The histological
correlates of AAH are often seen in the explanted liver at the time of
transplantation. The importance of these findings remains inconclusive regarding
their role as a prognostic marker for patient or allograft health. Our aim was to
examine the explanted liver of patients with purely alcoholic liver disease (ALD)
for findings of histologic AAH and to correlate these to patient and graft
outcomes. We compared patients with and without histological AAH with patients
transplanted for non-ALD. Of 1,097 liver transplant recipients, 148 had ALD and
125 were non-ALD control patients with similar demographics. Thirty-two of 148
ALD patients had histologic AAH, and 116 had bland alcoholic cirrhosis (BAC).
Twenty-eight percent of the ALD patients reported <6 months abstinence, and 54%
reported <12 months abstinence. There was a statistically significant
relationship between the presence of histologic AAH and abstinence durations<12
months (P=0.009), but not <6 months. Overall, posttransplantation patient and
graft survival between the ALD and non-ALD groups was not significantly different
(P=0.53). Furthermore, patient and graft survival between ALD patients with
histologic AAH and BAC were similar (P=0.13 and P=0.11, respectively). The rate
of posttransplantation relapse among ALD patients was 16%; however, there was no
increase in graft loss, nor was there decreased survival compared with controls.
The patients with histologic AAH and those with BAC had no differences in
posttransplantation relapse (P=0.13). In multivariate analysis, patient and graft
survival was not influenced by pretransplantation abstinence or
posttransplantation relapse. In conclusion, histological alcoholic hepatitis in
the explant did not predict worse outcome regarding relapse, and allograft or
patient survival for liver transplant recipients. Caution should be exercised
when liver histology is used to discriminate among suitable candidates for OLT
concerning alcoholic patients.
PMID- 18044758
TI - The CAPTURE registry: predictors of outcomes in carotid artery stenting with
embolic protection for high surgical risk patients in the early post-approval
setting.
AB - BACKGROUND: The use of carotid artery stenting with embolic protection has been
practiced for over a decade in the United States, and increasingly so since
carotid stenting received FDA approval in 2004. While there have been attempts at
establishing predictors of outcomes in carotid artery stenting, they have
generally been limited to single center experiences and/or multicenter
retrospective surveys. This report examines predictors of outcomes in carotid
stenting in the earliest and largest prospective multicenter neurologist
adjudicated experience in the United States post device approval. METHODS: The
Carotid Acculink/Accunet Post-Approval Trial to Uncover Unanticipated or Rare
Events (CAPTURE) is a prospective, multi-center registry conducted to assess
outcomes of carotid artery stenting (CAS) in the noninvestigational setting
following device approval for high surgical risk patients (symptomatic with > or
=50% stenosis; asymptomatic > or =80% stenosis). A neurologist examined the
patients before the procedure, at 24 hr and 30-days post-procedure. The primary
endpoint was a composite of death, any stroke, or myocardial infarction within 30
days post-procedure. Strokes and neurological events suspected to be strokes were
adjudicated by an independent Clinical Events Adjudication Committee (CEAC) using
prespecified definitions. Logistic regression analysis was performed to determine
clinical, procedural, and anatomic predictors of endpoint outcomes. RESULTS:
Three thousand five hundred patients were enrolled at 144 sites by 353 physicians
of varying specialty backgrounds and CAS experience. The 30-day primary endpoint
event rate of death, stroke and MI was 6.3% [95% CI: 5.5-7.1%], and the rate of
major stroke and death 2.9% [95% CI: 2.4-3.5]. Predictors of adverse outcomes
included age, symptomatic patients, predilation prior to embolic protection
device placement, time from symptoms to CAS procedure, and the use of multiple
stents. CONCLUSIONS: In general, carotid stenting is performed safely in patients
with severe stenosis at high surgical risk, with best outcomes in younger
asymptomatic patients. However, there are certain patient and procedural
characteristics that are associated with poorer outcomes. In these patients, the
risk of stenting should be considered vis-a-vis both the anticipated benefit as
well as the alternative surgical and medical options.
PMID- 18044759
TI - Results of the multicenter first-in-man study of a novel scoring balloon catheter
for the treatment of infra-popliteal peripheral arterial disease.
AB - OBJECTIVE: To evaluate the AngioSculpt (ASC), a novel scoring balloon catheter
designed to treat complex diffuse fibro-calcific atherosclerotic lesions and
avoid device slippage during deployment, in patients with infra-popliteal
disease. METHODS: The ASC incorporates a flexible nitinol scoring element
containing three or more spiral struts which encircle a minimally compliant
balloon to create focal concentration of the dilating force. Patients scheduled
for percutaneous intervention of infra-popliteal arteries or planned amputation
and with a reference vessel diameter of 1.5-3.5 mm were included in the study.
RESULTS: A total of 42 patients and 56 lesions were treated at five sites. Of
these, 38 patients (90.5%) presented with critical limb ischemia (Rutherford
Class > or = 4). The ASC was successfully deployed in 98.2% (55/56) of lesions
attempted and was used as primary therapy without stenting in 89.3% (50/56).
Lesion morphology was complex, including moderate/severe calcification in 73%,
lesion length 33.9 +/- 42.2 mm, bifurcation in 26.8%, and ostial in 12.5%. There
was no significant device slippage and no perforations. Post-ASC dissections
occurred in only six (10.7%) lesions and were minor or resolved with stenting. In
13 patients initially referred for amputation, ASC treatment resulted in limb
salvage. CONCLUSIONS: The ASC is highly effective in a broad range of complex
lesion morphologies, in most cases as stand-alone therapy, is associated with a
very low complication rate and avoids device slippage during deployment.
Additional studies are planned to assess the long term efficacy of this promising
new technology.
PMID- 18044760
TI - Telomere length and risk of Parkinson's disease.
AB - We investigated whether telomere length was associated with the risk of
Parkinson's disease (PD) in a case-control study (96 cases and 172 age-matched
controls) nested within the Health Professionals Follow-up Study. Relative ratio
of telomere repeat copy number to single-gene copy number in peripheral blood
leukocytes was determined by quantitative real time PCR. Men with shorter
telomeres had a lower PD risk (multivariate adjusted relative risk for the lowest
vs. the highest quartile 0.33; 95% confidence interval: 0.12-0.90). Our results
suggest that, contrary to telomere attrition observed in several aging-related
diseases, shorter telomeres are not associated with an increased risk of PD.
PMID- 18044761
TI - Classification and prognosis of intrahepatic biliary stricture after liver
transplantation.
AB - Intrahepatic biliary stricture (IHBS) after liver transplantation (LT) may
develop in patients with hepatic artery thrombosis, chronic rejection, or ABO
incompatibility, as well as in patients with prolonged warm ischemia in non-heart
beating donor (NHBD) LT. However, the clinical course and methods of management
have not been well defined for IHBSs to date. Thus, the purpose of this study was
to provide a classification of post-LT IHBS and to investigate patient prognosis.
Forty-four patients who developed IHBS after NHBD LT were enrolled. On the basis
of the cholangiographic appearance, patients were classified into 4 groups:
unilateral focal (UF, n=8), confluence (CO, n=10), bilateral multifocal (BM,
n=21), and diffuse necrosis (DN, n=5). The UF type was defined as cases with
stricture only in the segmental branch of the unilateral hemiliver; the CO type
in cases with several strictures at confluence level; and the BM type in cases
with multiple strictures bilaterally. Cases with diffuse obliteration of
peripheral ducts or destruction of the central architectural integrity, over a
long segment, were classified as the DN type. Five patients with the CO type
required several interventions requiring biliary dilatation, yet all patients
with the UF or CO type had a good prognosis. Among the patients with the BM type,
3 patients (14.3%) died or underwent retransplantation due to biliary
complications, and 7 (33.3%) required repeated interventions for >1 year without
improvement. Moreover, among 5 patients classified as the DN type, 1 (20%) died
of biliary sepsis, 2 (40%) underwent retransplantation, and the remaining 2 (40%)
did not recover from persistent jaundice and life-threatening cholangitis despite
multiple interventions. In conclusion, all patients classified as UF or CO had a
good outcome with or without additional interventions. However, all patients with
the DN type and about half the patients with the BM type did not recover from
life-threatening complications, despite repeated aggressive interventions; early
retransplantation was therefore the only treatment option for these patients.
PMID- 18044762
TI - Reduced expression of MAb6B4 epitopes on chondroitin sulfate proteoglycan
aggrecan in perineuronal nets from cerebral cortices of SAMP10 mice: a model for
age-dependent neurodegeneration.
AB - The accelerated senescence-prone SAMP10 mouse strain is a model for age-dependent
neurodegeneration and is characterized by brain atrophy and deficits in learning
and memory. Because perineuronal nets play an important role in the synaptic
plasticity of adult brains, we examined the distributions of molecules that
constitute perineuronal nets in SAMP10 mouse brain samples and compared them with
those in control SAMR1 mouse samples. Proteoglycan-related monoclonal antibody
6B4 (MAb6B4) clearly immunostained perineuronal nets in SAMR1 mice cortices, but
the corresponding immunostaining in SAMP10 mice was very faint. MAb6B4 recognizes
phosphacan/PTPzeta in immature brains. However, this antibody recognized several
protein bands, including a 400-kDa core glycoprotein from chondroitin sulfate
proteoglycan in homogenates of mature cortices from SAMR1 mice. The 400-kDa band
was also recognized by antiaggrecan antibodies. The aggrecan core glycoprotein
band was also detectable in samples from SAMP10 mice, but this glycoprotein was
faintly immunostained by MAb6B4. Because MAb6B4 recognized the same set of
protein bands that the monoclonal antibody Cat-315 recognized in mature cerebral
cortices of SAMR1 mice, the MAb6B4 epitope appears to be closely related to that
of Cat-315 and presumably represents a novel type of oligosaccharide that
attaches to aggrecans. The Cat-315 epitope colocalized with aggrecan in
perineuronal nets from SAMR1 mouse brain samples, whereas its expression was
prominently reduced in SAMP10 mouse brain samples. The biological significance of
the MAb6B4/Cat-315 epitope in brain function and its relationship to the
neurodegeneration and learning disabilities observed in SAMP10 mice remain to be
elucidated.
PMID- 18044763
TI - An evaluation of octogenarians undergoing percutaneous coronary intervention from
the Melbourne Interventional Group registry.
AB - OBJECTIVES: The objective of this study was to evaluate the clinical
characteristics and outcomes of octogenarians (> or =80 years of age) in a
contemporary, multi-centre percutaneous coronary intervention (PCI) registry.
BACKGROUND: Octogenarians are increasingly referred for PCI. This patient
population frequently has significant comorbidities, which result in major
therapeutic challenges. METHODS: The study population consisted of consecutive
patients undergoing PCI in seven major Australian hospitals, who were treated
over a 2-year period (2004-2005). RESULTS: Of 4,360 PCI's, 11.3% (n = 491) were
performed in octogenarians and 88.7% (n = 3,869) in patients <80 years.
Octogenarians (compared with patients <80 years of age) were more likely female
and have greater comorbidities such as cerebrovascular disease, renal impairment,
congestive heart failure, and chronic airway disease. Octogenarians more
frequently presented with acute coronary syndromes and cardiogenic shock.
Octogenarians had significantly increased 30-day (6.0 vs. 1.4%, P < 0.01) and 12
month mortality (8.4% vs. 2.5%, P < 0.01), and major adverse cardiac event rates
[(MACE), 30 days 11.3% vs. 5.4%, P < 0.01 and 12-months 18.7% vs. 12.9%, P =
0.04]. Cardiogenic shock, ST-segment elevation myocardial infarction, chronic
renal failure, and age > or =80 years were independent predictors of 12-month
mortality. CONCLUSIONS: Octogenarians comprise a significant cohort of patients
undergoing PCI. Octogenarians have more comorbidities, and higher rates of
mortality and MACE, mandating thorough clinical evaluation before acceptance for
PCI.
PMID- 18044764
TI - Using livers from donation after cardiac death donors--a proposal to protect the
true Achilles heel.
PMID- 18044765
TI - Be careful: they are getting older and so are those around them.
PMID- 18044767
TI - Coupling between limb tremor and postural sway in Parkinson's disease.
AB - Increased tremor and postural instability are motor problems commonly associated
with Parkinson's disease (PD). Despite the similarity between these oscillatory
forms, little is known about the relation between them, especially for
individuals with enhanced tremor. This study was designed to examine the nature
of any relation between center of pressure (COP) excursions and postural/resting
limb tremor of young, older individuals, and Parkinsonian participants in their
different medication states. The resting and postural tremor for the PD
participants was characterized by a single, prominent peak frequency between 4
and 7 Hz. The postural tremor for young/older participants contained smaller
peaks between 1 to 4 and 7 to 12 Hz although no prominent peak was seen in their
resting tremor. The AP and ML COP dynamics of all participants was characterized
by a major peak between 0.1 and 0.5 Hz. An additional peak was observed in the
COP output of the PD participants between 4 and 7 Hz. While no tremor-COP
coupling was observed for the young/old groups, coherence analysis revealed a
significant degree of coupling between COP motion and tremor between 4 and 7 Hz
for PD participants. These results highlight that the amplified tremor in PD can
manifest itself in COP dynamics. This finding may have implications for postural
stability for this patient group.
PMID- 18044766
TI - Expansion of selection criteria for patients with hepatocellular carcinoma in
living donor liver transplantation.
AB - In the present study, the results of living donor liver transplantation (LDLT)
for 125 hepatocellular carcinoma (HCC) patients were analyzed to determine
optimal criteria exceeding the Milan criteria (MC) but still with predictably
good outcomes. On the basis of pretransplant imaging studies, 70 patients met the
MC, and 55 patients did not. Patients who exceeded the MC but presented with
80% of
patients completed treatment. Both treatments were safe. CONCLUSIONS: The success
rate of MBT Loramyc was significantly not inferior to that of MOG in the
treatment of cancer patients with OPC; and, after adjusting for prognostic
variables, it was more effective than MOG. MBT was well tolerated and, thus, may
be recommended as first-line treatment in cancer patients who have OPC as an
alternative to systemic antifungal agents. Society.
PMID- 18044773
TI - Tibial intervention; no fear!
PMID- 18044774
TI - Thoracic aneurysm repair: managing severe tortuosity with brachiofemoral
traction.
AB - Challenging anatomy of the thoracic aorta is often encountered, and aortic
tortuosity may be a major impediment to the propulsion of the stent-graft.
Traction on both ends of a guidewire, with one end exiting the right upper
extremity and the other end exiting the lower extremity, is an excellent option
to manage thoracic aorta tortuosity. Careful application of simple guidelines may
lessen associated risks and improve safety.
PMID- 18044775
TI - Catheter-directed thrombolysis of acute lower extremity arterial thrombosis in a
patient with heparin-induced thrombocytopenia.
AB - Heparin-induced thrombocytopenia (HIT) is an underdiagnosed problem, and the
optimal treatment of arterial thrombosis in patients with HIT remains
controversial. There are many angiographic procedures which require heparin as an
adjunctive agent; however, some of the heparin-related complications and their
management remains unclear. We are presenting a 77-year-old male patient with
HIT, who developed acute lower extremity limb threatening ischemia due to
arterial thrombosis. In our case, the patient has been successfully treated with
percutaneous catheter-directed thrombolysis with tissue plasminogen activator and
a direct thrombin inhibitor argatroban.
PMID- 18044777
TI - Analysis of bifurcation lesions treated with novel drug-eluting dedicated
bifurcation stent system: intravascular ultrasound results of the AXXESS PLUS
trial.
AB - OBJECTIVE: The aim of this intravascular ultrasound (IVUS) study was to assess
the efficacy of the AXXESS Plus stent system for the treatment of bifurcation
coronary lesions. BACKGROUND: The AXXESS Plus is a novel bifurcation drug-eluting
stent, comprised of a self-expanding flare-shaped stent platform and
bioabsorbable polymer coating that releases Biolimus A9. METHODS: Data were
obtained from the AXXESS PLUS trial, a prospective, multicenter, nonrandomized,
single-arm study to evaluate safety and efficacy. Six-month follow-up IVUS
analysis was available in 49 cases. Volumetric analysis using Simpson's method
within the AXXESS stent, and cross-sectional analysis at the ostium of main
branch and/or side branch was performed. Impact of bifurcation angle on stent
expansion at the carina was also evaluated. RESULTS: Within the AXXESS stent,
neointimal volume obstruction percentage was 2.3% +/- 2.2%, with a minimum lumen
area of 7.9 +/- 2.6 mm(2). Lumen area was 5.2 +/- 1.7 mm(2) at main branch
ostium, and 4.0 +/- 1.5 mm(2) at side branch ostium. In two cases, incomplete
stent apposition was observed at the proximal edge of the AXXESS stent. In one
case, a gap between the AXXESS stent and an additional stent was observed.
Greater bifurcation angle inversely correlated with smaller stent area at side
branch ostium (r = -0.54, P = 0.03) but not at main branch ostium (r = -0.2, P =
0.29). CONCLUSIONS: This novel self-expanding, drug-eluting bifurcation stent
demonstrated effective lesion coverage along with significant neointimal
suppression equivalent to current generation balloon-expandable drug-eluting
stent technology.
PMID- 18044778
TI - Biliary complications and outcomes of liver transplantation from donors after
cardiac death.
AB - Biliary complications after liver transplantation (LT) using organs retrieved
from donors after cardiac death are not well characterized. The aim of this study
was to evaluate the severity of biliary complications and outcomes after donation
after cardiac death liver transplantation (DCD-LT). A retrospective evaluation of
20 DCD-LTs from 1997-2006 was performed. The recipient age was 53+/-8.7, and the
donor age was 35+/-11 years. The warm ischemia time, cold ischemia time, peak
alanine aminotransferase level, and peak aspartate aminotransferase level were
33+/-12 minutes, 8.7+/-2.7 hours, 1757+/-1477 U/L, and 4020+/-3693 U/L,
respectively. The bilirubin and alkaline phosphatase levels at hospital discharge
after LT were 3.2+/-5.4 mg/dL and 248+/-200 U/L, respectively. During a median
follow-up of 7.5 months (range: 1-73), 5 patients (25%; 1 death after re-LT) died
(3 from sepsis, 1 from recurrent hepatocellular carcinoma at 4 months, and 1 from
a cardiac event at 46 months), and additionally, 4 patients (20%) required re-LT
(1 because of hepatic artery thrombosis, 1 because of primary graft nonfunction,
and 2 because of biliary strictures). Twelve (60%) developed biliary
complications, and of these, 11 (55%) had serious biliary complications. The
biliary complications were as follows: a major bile leak for 2 patients (10%;
both eventually underwent retransplantation), anastomotic strictures for 5
patients (25%), hilar strictures for 7 patients (35%), extrahepatic donor duct
strictures for 9 patients (45%), intrahepatic strictures for 10 patients (50%),
stones for 1 patients (5%), casts for 7 patients (35%), and debris for 2 patients
(10%). More than 1 biliary complication was seen in most patients, and these were
unpredictable and required multiple diagnostic or therapeutic procedures. Serious
biliary complications are common after DCD-LT, and research should focus on
identifying donor and recipient factors that predict and prevent serious biliary
complications.
PMID- 18044779
TI - Modified outflow reconstruction with a venous patch in domino liver
transplantation.
PMID- 18044780
TI - Liver and partial atrium transplantation for chronic Budd-Chiari syndrome.
PMID- 18044781
TI - Reply: geographic disparities and deceased donor liver transplantation within a
single UNOS region.
PMID- 18044782
TI - Time to review the selection criteria for transplantation in paracetamol-induced
fulminant hepatic failure?
PMID- 18044783
TI - Alloimmunization to red blood cell antigens affects clinical outcomes in liver
transplant patients.
AB - Transfusion therapy of liver transplant patients remains a challenge. High
volumes of intraoperative blood transfusion have been shown to increase the risk
of poor graft or patient survival. We conducted a retrospective study of 209
consecutive liver transplant cases at our institution. Only patients receiving
their first liver transplant, with no other simultaneous organ transplants, were
included. Cox proportional hazard modeling was used to identify clinical
variables correlated with postoperative patient mortality. Statistically
significant variables for poor patient survival were the number of red blood cell
and plasma units transfused, a history of red blood cell alloantibodies, and the
immunosuppressive regimen used. History of pregnancy also approached statistical
significance but was less robust than the other 3 variables. Our findings suggest
that blood transfusion and immune modulation greatly affect the survival of
patients after liver transplantation.
PMID- 18044785
TI - Warning regarding gadolinium and nephrogenic systemic fibrosis.
PMID- 18044784
TI - Resolution of hepatopulmonary syndrome after auxiliary partial orthotopic liver
transplantation in Abernethy malformation. A case report.
AB - Congenital absence of portal vein and extrahepatic portocaval shunt, also
referred to as an Abernethy type 1, is a rare malformation of the mesenteric
vasculature. A 9-year-old girl presented with dyspnea on exertion and
orthodeoxia. A diagnosis of an Abernethy malformation type 1b and hepatopulmonary
syndrome (HPS) was made, and she underwent auxiliary partial orthotopic liver
transplantation (APOLT). Symptoms and signs of HPS resolved 2 months after the
operation. HPS in Abernethy syndrome is a manifestation of normal portal blood
flow bypassing the liver and can be ameliorated by redirecting blood flow to a
segment of liver with normal portal anatomy. APOLT is a feasible and successful
surgical procedure for patients with Abernethy malformation and HPS.
PMID- 18044786
TI - Ischemia-reperfusion of small liver remnant promotes liver tumor growth and
metastases--activation of cell invasion and migration pathways.
AB - Elucidating the mechanism of liver tumor growth and metastasis after hepatic
ischemia-reperfusion (I/R) injury of a small liver remnant will lay the
foundation for the development of therapeutic strategies to target small liver
remnant injury, and will reduce the likelihood of tumor recurrence after major
hepatectomy or liver transplantation for liver cancer patients. In the current
study, we aimed to investigate the effect of hepatic I/R injury of a small liver
remnant on liver tumor development and metastases, and to explore the precise
molecular mechanisms. A rat liver tumor model that underwent partial hepatic I/R
injury with or without major hepatectomy was investigated. Liver tumor growth and
metastases were compared among the groups with different surgical stress. An
orthotopic liver tumor nude mice model was used to further confirm the
invasiveness of the tumor cells from the above rat liver tumor model. Significant
tumor growth and intrahepatic metastasis (5 of 6 vs. 0 of 6, P=0.015), and lung
metastasis (5 of 6 vs. 0 of 6, P=0.015) were found in rats undergoing I/R and
major hepatectomy compared with the control group, and was accompanied by
upregulation of mRNA levels for Cdc42, ROCK (Rho kinase), and vascular
endothelial growth factor, as well as activation of hepatic stellate cells. Most
of the nude mice implanted with liver tumor from rats under I/R injury and major
hepatectomy developed intrahepatic and lung metastases. In conclusion, hepatic
I/R injury of a small liver remnant exacerbated liver tumor growth and metastasis
by marked activation of cell adhesion, invasion, and angiogenesis pathways.
PMID- 18044787
TI - Effect of body mass index on the survival benefit of liver transplantation.
AB - Obese patients are at higher risk for morbidity and mortality after liver
transplantation (LT) than nonobese recipients. However, there are no reports
assessing the survival benefit of LT according to recipient body mass index
(BMI). A retrospective cohort of liver transplant candidates who were initially
wait-listed between September 2001 and December 2004 was identified in the
Scientific Registry of Transplant Recipients database. Adjusted Cox regression
models were fitted to assess the association between BMI and liver transplant
survival benefit (posttransplantation vs. waiting list mortality). During the
study period, 25,647 patients were placed on the waiting list. Of these, 4,488
(17%) underwent LT by December 31, 2004. At wait-listing and transplantation,
similar proportions were morbidly obese (BMI>or=40; 3.8% vs. 3.4%, respectively)
and underweight (BMI<20; 4.5% vs. 4.0%, respectively). Underweight patients
experienced a significantly higher covariate-adjusted risk of death on the
waiting list (hazard ratio [HR]=1.61; P<0.0001) compared to normal weight
candidates (BMI 20 to <25), but underweight recipients had a similar risk of
posttransplantation death (HR=1.28; P=0.15) compared to recipients of normal
weight. In conclusion, compared to patients on the waiting list with a similar
BMI, all subgroups of liver transplant recipients demonstrated a significant
(P<0.0001) survival benefit, including morbidly obese and underweight recipients.
Our results suggest that high or low recipient BMI should not be a
contraindication for LT.
PMID- 18044788
TI - Validation of limited sampling strategy for the estimation of mycophenolic acid
exposure in Chinese adult liver transplant recipients.
AB - Mycophenolate mofetil (MMF) is indicated as immunosuppressive therapy in liver
transplantation. The abbreviated models for the estimation of mycophenolic acid
(MPA) area under the concentration-time curve (AUC) have been established by
limited sampling strategies (LSSs) in adult liver transplant recipients. In the
current study, the performance of the abbreviated models to predict MPA exposure
was validated in an independent group of patients. A total of 30 MPA
pharmacokinetic profiles from 30 liver transplant recipients receiving MMF in
combination with tacrolimus were used to compare 8 models' performance with a
full 10 time-point MPA-AUC. Linear regression analysis and Bland-Altman analysis
were used to compare the estimated MPA-AUC0-12h from each model against the
measured MPA-AUC0-12h. A wide range of agreement was shown when estimated MPA
AUC0-12h was compared with measured MPA-AUC0-12h, and the range of coefficient of
determination (r2) was from 0.479 to 0.936. The model based on MPA
pharmacokinetic parameters C1h, C2h, C6h, and C8h had the best ability to predict
measured MPA-AUC0-12h, with the best coefficient of determination (r2=0.936), the
excellent prediction bias (2.18%), the best prediction precision (5.11%), and the
best prediction variation (2SD=+/-7.88 mg.h/L). However, the model based on MPA
pharmacokinetic sampling time points C1h, C2h, and C4h was more suitable when
concerned with clinical convenience, which had shorter sampling interval, an
excellent coefficient of determination (r2=0.795), an excellent prediction bias
(3.48%), an acceptable prediction precision (14.37%), and a good prediction
variation (2SD=+/-13.23 mg.h/L). Measured MPA-AUC0-12h could be best predicted by
using MPA pharmacokinetic parameters C1h, C2h, C6h, and C8h. The model based on
MPA pharmacokinetic parameters C1h, C2h, and C4h was more feasible in clinical
application.
PMID- 18044789
TI - Long term safety: not only a drug eluting stents issue.
PMID- 18044791
TI - Clinical and angiographic results of percutaneous coronary revascularization
using a trilayer stainless steel-tantalum-stainless steel phosphorylcholine
coated stent: the TriMaxx trial.
AB - BACKGROUND: The TriMaxx coronary stent system includes a novel trilayer metal
stent having two outer layers of 316L stainless steel and with an inner 0.0007
inches layer of tantalum. This enables creation of a maximally flexible and thin
device (0.0029 inches) while still maintaining the requisite strength and
radiopacity for effective implantation. OBJECTIVES: The objective of this multi
center, single-arm prospective clinical trial was to assess the safety and
performance of the TriMaxx stent for the treatment of single de novo coronary
artery lesions. METHODS: One hundred patients with ischemic coronary occlusive
disease because of single de novo obstructive lesions of native coronary arteries
were treated with 3 x 15 or 3 x 18 mm TriMaxx stents in four hospitals in Brazil
and Germany between May of 2004 and September of 2005. An independent core
laboratory analyzed the quantitative coronary angiography (QCA) results
immediately after stent implantation, and after six months. RESULTS: The lesion,
procedure, and device-deployment success rates were 100, 99, and 100%,
respectively. Eighty-eight patients underwent follow-up angiography at 6 +/- 1
months. After six months, 13 (13%) of patients had sustained major adverse
cardiac events, including 9.0% that required target lesion revascularization
(TLR). The follow-up angiographic studies revealed a binary in-stent restenosis
rate of 25% with in-stent late lumen loss of 0.94 +/- 0.57 mm. CONCLUSIONS: These
results demonstrate that the TriMaxx stent can be safely deployed for the
treatment of single de novo coronary occlusive lesions with six-month clinical
and angiographic results rates comparable to historical results using other bare
metal stents.
PMID- 18044792
TI - Transgene expression of human PON1 Q in mice protected the liver against CCl4
induced injury.
AB - BACKGROUND: Oxidative stress, often in association with decreased antioxidant
defenses, plays a pathogenetic role in both initiation and progression of liver
injuries, leading to almost all clinical and experimental conditions of chronic
liver diseases. Human paraoxonase 1 (hPON1) is a liver-synthesized enzyme
possessing antioxidant properties. Here, we investigate the effects of transgene
expressed hPON1 Q on alleviating lipid peroxidation and preventing liver injury
in a mouse model. METHODS: The hPON1 Q gene was cloned into pcDNA3.0 plasmid and
electro-transferred into mouse skeletal muscle. After CCl4 had been administrated
to induce liver injury, mice were monitored for serum levels of alanine
aminotransferase (ALT), aspartate aminotransferase (AST) and malonyldialdehyde
(MDA). The extent of CCl4-induced liver injury was also analyzed through
histopathological observations. RESULTS: After gene delivery, hPON1 mRNA
expression was detected in mouse muscle and serum PON1 activity was 1.5 times
higher than that of the control counterpart. In the PON1 Q gene transferred mice,
protection against CCl4-induced liver injury was reflected by significantly
decreased serum ALT, AST and MDA levels compared to those in control mice (P <
0.01). Histological observations also revealed that hepatocyte necrosis,
hemorrhage, vacuolar change and hydropic degeneration were apparent in control
mice after CCl4 administration. In contrast, the damage was significantly
prevented (P < 0.01) in the hPON1 Q transferred mice. CONCLUSIONS: Intramuscular
electro-transfer of the hPON1 Q gene led to efficient expression of hPON1 in
mice. Elevated levels of PON1, by virtue of its potency to alleviate oxidative
stress, could protect mice from suffering CCl4-induced liver damage.
PMID- 18044793
TI - AAV serotype 1 mediates more efficient gene transfer to pig myocardium than AAV
serotype 2 and plasmid.
AB - Adeno-associated virus (AAV) has many properties of an ideal vector for delivery
of therapeutic genes into the myocardium. Previous studies in a mouse model of
myocardial infarction showed that AAV serotype 1 (AAV1) is superior to AAV
serotypes 2-5 to transfer genes into the myocardium by direct injection. Since
vectors may behave differently in humans and because the human and the pig hearts
resemble each other closely, we tested whether AAV1 is also superior to AAV2 in
transferring genes into the pig myocardium. We also compared gene transduction
efficiency between AAV vectors and plasmid. We injected CMVLacZ and CMVVEGF
(vectors with the cytomegalovirus (CMV) promoter driving LacZ and VEGF gene
expression) unpackaged or packaged in AAV serotypes 1 or 2 capsids into pig
myocardium. Hearts were collected 3, 14 and 28 days after the injection. Gene
expression was analyzed by real-time reverse-transcription polymerase chain
reaction (RT-PCR) and histological staining. Capillaries and smooth muscle alpha
actin (SMA)-positive vessels were quantified. Potential lymphocyte infiltration
at the injection sites was analyzed by immunostaining using specific antibodies.
As in the mouse, AAV1 mediated better gene transduction than AAV2. Plasmid
mediated minimal gene expression only. More capillaries and SMA-positive vessels
were detected at AAV1CMVVEGF- and AAV2CMVVEGF-injected than AAV1CMVLacZ-injected
sites. We did not detect inflammatory cell infiltration at the injection sites.
In conclusion, by direct injection, AAV1 is more efficient than AAV2, and plasmid
is inefficient in mediating gene transfer into the pig myocardium. AAV-mediated
VEGF gene transfer can also induce neovascular formation in the pig myocardium.
PMID- 18044794
TI - Impact of late-life self-reported emotional problems on Disability-Free Life
Expectancy: results from the MRC Cognitive Function and Ageing Study.
AB - OBJECTIVES: Depression in old age is a major public health problem though its
relationship to onset of disability and death is not well understood. We aim to
quantify the impact of late-life self-reported depression and emotional problems
on both the length and quality of remaining life. METHODS: Longitudinal analysis
of 11,022 individuals from the MRC Cognitive Function and Ageing Study (MRC
CFAS), multi-centre longitudinal study on ageing in individuals age 65 years and
older living in England and Wales. Individuals have been followed at intermittent
time intervals over 10 years. Subjects reporting at baseline that they had
consulted about emotional problems for the first time since the age of 60 years
were considered, along with a subgroup where a GP suggested depression.
Disability was defined as an IADL or ADL disability that required help at least
once a week. Total and Disability-Free Life Expectancy (TLE and DFLE) were
calculated using multi-state models, separately by gender, and with presence of
emotional problems/depression and multimorbidity as covariates. RESULTS:
Emotional problems had a greater impact on DFLE than TLE, reducing DFLE by 1.8
years, but TLE by only 0.5 years at age 65 with the effect increasing with age.
The effect was most marked in older people reporting other co-morbidities where
emotional problems in addition resulted in a reduction of 0.9 years in total and
2.6 years disability-free. CONCLUSIONS: Although emotional problems were only
self-reported, these results highlight the burden of late-life depression on the
quality of remaining years of life.
PMID- 18044795
TI - Low molecular weight hyaluronan shielding of DNA/PEI polyplexes facilitates CD44
receptor mediated uptake in human corneal epithelial cells.
AB - AIM: It was the aim of this study to prepare purified DNA/PEI polyplexes, which
are coated with hyaluronan to facilitate CD44 receptor mediated uptake of the
DNA/PEI polyplex and to reduce unspecific interactions of the complex with
negatively charged extracellular matrix components on the ocular surface.
METHODS: Hyaluronans of different molecular weights (<10 kDa, 10-30 kDa and 30-50
kDa) were isolated after enzymatic degradation of high molecular weight
hyaluronan via ultrafiltration by centrifugation. The influence of the different
hyaluronans used for coating on the stability and transfection efficiency of the
complexes was evaluated in vitro. Transfection and uptake studies were performed
in human corneal epithelial (HCE) cells. CD44 receptor expression of this cell
model was evaluated by immunohistochemistry. RESULTS: Coating of purified DNA/PEI
polyplexes with low molecular weight hyaluronan (<10 kDa) facilitated receptor
mediated uptake via the CD44 receptor in HCE cells, increased complex stability
in vitro, and effectively shielded the positive surface charges of the polyplex
without decreasing its transfection efficiency. Higher molecular weights and
larger amounts of hyaluronan in the complexes resulted in lesser improvements in
the stability and transfection efficacy of the complexes. CONCLUSIONS: Coating of
polyplexes with low molecular weight hyaluronan is a promising strategy for gene
delivery to the ocular surface, where CD44 receptor mediated uptake decreased
cytotoxicity and reduced non-specific interactions with the negatively charged
extracellular matrix components are considered beneficial for increased
transfection efficiency of non-viral vectors.
PMID- 18044796
TI - Developing multidisciplinary guidelines for the management of early rheumatoid
arthritis.
AB - OBJECTIVE: To develop an evidence based guideline, for the multidisciplinary
management of early rheumatoid arthritis (RA). METHODS: Recommendations were
developed using both an evidence-based approach and expert opinion. The
scientific committee, composed of key members of the rheumatology
multidisciplinary team used a Delphi approach to evaluate topics and standard
statements, which formed the basis for developing recommendations for management
of RA in the first 2 years of disease. Evidence taken from literature was used to
support these recommendations. RESULTS: 24 evidence based recommendations for the
management of early RA, with a grade of recommendation from A to C, were
developed. In addition an algorithm of care was designed to promote a clear
multidisciplinary management pathway. A mechanism for audit was also identified.
CONCLUSION: Involvement of the multidisciplinary rheumatology team has enabled a
holistic guideline to be developed for the management of patients presenting with
early RA. This guideline is based around best practice that is supported by
published literature. Whilst most statements in the guideline are based on strong
evidence, others have been formulated by expert consensus in the absence of data
and should serve as an opportunity to improve current practice through future
research and audit. The development and implementation of such a guideline should
improve the care of patients with early RA.
PMID- 18044798
TI - Three-dimensional sonographic assessment of fetal urine production before and
after laser surgery in twin-to-twin transfusion syndrome.
AB - OBJECTIVE: Fetal urine production in twin-to-twin transfusion syndrome (TTTS)
reflects the hemodynamic imbalance between the donor and recipient twins but it
has not been measured in this particular condition. The aim of this study was to
measure fetal urine production using three-dimensional (3D) ultrasound in donor
and recipient twins before and after laser treatment for TTTS and to correlate
this with umbilical venous volume flow (UVVF). METHODS: Urine production rate
(UPR) was measured using 3D ultrasound with Virtual Organ Computer-aided AnaLysis
(VOCAL) in 106 cases of severe TTTS. The rotation angle was set at 30 degrees .
The bladder volume was measured twice in each fetus (V(1) and V(2)), with an
interval of 5-30 min between measurements, in order to calculate the UPR. When
V(2) > V(1), UPR was calculated using the formula: V(2) - V(1) /time interval.
Together with UPR, UVVF was measured before and after treatment. Both parameters
were corrected for fetal weight. Inter- and intraobserver variability were
calculated in 16 cases using the intraclass correlation coefficient. RESULTS:
Before laser treatment, UPR was significantly higher in recipients compared with
donors (median, 14.8 and 0 mL/h/kg, mean 23.8 and 2.3 mL/h/kg, respectively, P <
0.001), and UPR was positively correlated with UVVF in both twins. Following
laser treatment (48 h later), UPR decreased to 9 mL/h/kg (P < 0.001) in
recipients, while there was no change in donors. UVVF increased significantly
from a median value of 92 to 132 mL/min/kg (P < 0.01) in donors and decreased
significantly from 150 to 99 mL/min/kg (P < 0.001) in recipients. CONCLUSIONS: In
TTTS UPR is correlated to UVVF and reflects the hemodynamic imbalance between
donor and recipient twins. Following laser treatment, UPR decreases in recipients
but is unaffected in donors. However, changes in UVVF occur in both twins. This
suggests that although fetal renal function is driven by fetal hemodynamics,
there may be a lag in the recovery of renal function in the donor twin.
PMID- 18044799
TI - Chemometric analysis of disubstituent effects on the 13C chemical shifts of the
carboxyl carbons (deltaCO) of benzoic acids. A comparative study of the
substituent effects on the strength of benzoic acids in apolar aprotic media.
AB - The results of measurements of substituent induced chemical shifts of carboxyl
carbons (deltaCO) of dichloro- and difluorobenzoic acids, including the
monosubstituted ones with substituents at meta- and/or ortho- positions, in
chloroform-d and strengths of these acids (log K) in chlorobenzene show an
anomalous reverse trend between deltaCO and log K, while the electron density at
carboxyl carbons should influence similarly both deltaCO and log K. A detailed
chemometric analysis of comparison of disubstituent effects between deltaCO and
log K on the basis of Fujita-Nishioka's multiparameter approach and assumption of
additivity of substituent effects shows a dominance of the localized pi
polarization mechanism relative to simple electrostatic effects upon deltaCO.
Further, steric factors play a significant role in determining deltaCO whereas
with respect to log K they were insignificant. The overall anomaly has been
rationalized keeping in mind that, while log K is a gross measure of energy
differences between the ionized and unionized forms of the acids, deltaCO is a
very sensitive probe for determining changes in electron density at the carboxyl
carbon of the unionized acid.
PMID- 18044797
TI - Mood, cognition and in vivo protein imaging: the emerging nexus in clinical
neuroscience.
AB - INTRODUCTION: Disorders of mood and cognition overlap in the elderly and there is
an emerging consensus that both groups of disorders share neurobiological
substrates. METHODS: Salient peer reviewed articles focusing on late-life
depression, structural neuroimaging and recent developments in positron emission
tomography based in vivo protein imaging. RESULTS: Epidemiological and clinical
evidence indicates that mood and cognition in the elderly are clinically inter
related and common neurobiological mechanisms may underlie both groups of
disorders. Degenerative, vascular and related mechanisms like genetically
programmed abnormal protein deposition may provide the underlying neurobiological
links between these disorders. CONCLUSIONS: Modern neuroimaging approaches such
as positron emission tomography (PET) based in vivo protein binding may help
further elucidate common pathophysiological mechanisms and assist in the early
identification of patients at risk for developing dementia over time. These
developments have important mechanistic and public health significance in the
elderly.
PMID- 18044800
TI - Disorder in condensed matter systems: proton spin lattice relaxation study of the
mixed systems of betaine phosphate and glycine phosphite, BPxGPI(1-x).
AB - Proton NMR relaxation measurements have been carried out in the mixed system of
antiferroelectric (AFE) betaine phosphate (BP) and ferroelectric (FE) glycine
phosphite (GPI), BPxGPI(1-x), at 11.4 and 23.3 MHz from 300 to 100 K for x=0.3,
0.4, 0.5, 0.6, 0.7 and 0.8. The temperature dependence of spin lattice relaxation
(SLR) time follows the BPP model in the parent compounds, while the Larmor
frequency dependence of T1 in the mixed system is rather unusual. The T1 curve
exhibits different slopes for the low-temperature wings at the two frequencies,
which is a clear experimental evidence of the presence of different methyl groups
with different activation energies (Ea), indicating disorder. For x=0.3 and 0.4,
biexponential recovery of magnetization has been observed below 190 K, showing
that the degree of disorder varies with the concentration. The temperature
dependence of relaxation time data has been interpreted in terms of NH3,
trimethyl ammonium and methyl group reorientations.
PMID- 18044801
TI - 17O NMR investigation of phosphite hydrolysis mechanisms.
AB - The use of solution 17O NMR spectroscopy in verifying the mechanism of trialkyl
phosphite hydrolysis is presented. Trimethyl phosphite was reacted with 17O
labeled H2O at different temperatures and two reactant concentrations, with the
reaction being monitored by 17O NMR. Kinetic details elucidated from the NMR
spectra are also discussed.
PMID- 18044802
TI - Co-acquisition of hyperpolarised 13C and 15N NMR spectra.
AB - Recent developments in dynamic nuclear polarisation now allow significant
enhancements to be generated in the cryo solid state and transferred to the
liquid state for detection at high resolution. We demonstrate that the Ardenkjaer
Larsen method can be extended by taking advantage of the properties of the trityl
radicals used. It is possible to hyperpolarise 13C and 15N simultaneously in the
solid state, and to maintain these hyperpolarisations through rapid dissolution
into the liquid state. We demonstrate the almost simultaneous measurement of
hyperpolarised 13C and hyperpolarised 15N NMR spectra. The prospects for further
improvement of the method using contemporary technology are also discussed.
PMID- 18044803
TI - ESR/DFT study of bis-iminophosphorane cation radicals.
AB - Bis-iminophosphoranes containing various types of linkers between two R3P==N
moieties were electrochemically oxidized at controlled potential in situ in the
electron spin resonance (ESR) cavity. For linkers constituted of phenylenes,
conjugated phenylenes or merely a dicyanoethylenic bond, this oxidation led to
well-resolved ESR spectra which were characterized by their g values and by their
1H, 14N and 31P isotropic hyperfine constants. These coupling constants agree
with those calculated by DFT for the corresponding cation radicals. Experimental
and theoretical results clearly indicate that in these species the unpaired
electron is mostly delocalized on the bridge and on the nitrogen atoms while the
spin density on the phosphorus atoms is particularly small. Cyclic voltammetry
and ESR spectra show that the nature of the bridge between the two
iminophosphoranes considerably influences the oxidation potential of the compound
as well as the stability of the radical cation. Information about the
conformation of the precursor containing two Ph3P==N moieties separated by a -
C(CN)==C(CN)--group was obtained from its crystal structure.
PMID- 18044804
TI - Experimental and quantum-chemical studies of 1H, 13C and 15N NMR coordination
shifts in Pd(II) and Pt(II) chloride complexes with methyl and phenyl derivatives
of 2,2'-bipyridine and 1,10-phenanthroline.
AB - 1H, 13C and 15N NMR studies of platinide(II) (M=Pd, Pt) chloride complexes with
methyl and phenyl derivatives of 2,2'-bipyridine and 1,10-phenanthroline [LL=4,4'
dimethyl-2,2'-bipyridine (dmbpy); 4,4'-diphenyl-2,2'-bipyridine (dpbpy); 4,7
dimethyl-1,10-phenanthroline (dmphen); 4,7-diphenyl-1,10-phenanthroline (dpphen)]
having a general [M(LL)Cl2] formula were performed and the respective chemical
shifts (delta1H, delta13C, delta15N) reported. 1H high-frequency coordination
shifts (Delta1Hcoord=delta1Hcomplex-delta1Hligand) were discussed in relation to
the changes of diamagnetic contribution in the relevant 1H shielding constants.
The comparison to literature data for similar [M(LL)(XX)], [M(LL)X2] and
[M(LL)XY] coordination or organometallic compounds containing various auxiliary
ligands revealed a large dependence of delta1H parameters on inductive and
anisotropic effects. 15N low-frequency coordination shifts (Delta15Ncoord=delta
15Ncomplex-delta15Nligand) of ca 88-96 ppm for M=Pd and ca 103-111 ppm for M=Pt
were attributed to both the decrease of the absolute value of paramagnetic
contribution and the increase of the diamagnetic term in the expression for 15N
shielding constants. The absolute magnitude of Delta15Ncoord parameter increased
by ca 15 ppm upon Pd(II)-->Pt(II) transition and by ca 6-7 ppm following dmbpy-
>dmphen or dpbpy-->dpphen ligand replacement; variations between analogous
complexes containing methyl and phenyl ligands (dmbpy vs dpbpy; dmphen vs dpphen)
did not exceed+/-1.5 ppm. Experimental 1H, 13C, 15N NMR chemical shifts were
compared to those quantum-chemically calculated by B3LYP/LanL2DZ+6
31G**//B3LYP/LanL2DZ+6-31G*, both in vacuo and in DMSO or DMF solution.
PMID- 18044805
TI - Experimental and quantum-chemical studies of 1H, 13C and 15N NMR coordination
shifts in Pd(II) and Pt(II) chloride complexes with quinoline, isoquinoline, and
2,2'-biquinoline.
AB - 1H, 13C, and 15N NMR studies of platinide(II) (M=Pd, Pt) chloride complexes with
quinolines (L=quinoline-quin, or isoquinoline-isoquin; LL=2,2'-biquinoline
bquin), having the general formulae trans-/cis-[ML2Cl2] and [M(LL)Cl2], were
performed and the respective chemical shifts (delta1H, delta13C, delta15N)
reported. 1H coordination shifts of various signs and magnitudes
(Delta1Hcoord=delta1Hcomplex-delta1Hligand) are discussed in relation to the
changes of diamagnetic contribution to the relevant 1H shielding constants. The
comparison to the literature data for similar complexes containing auxiliary
ligands other than chlorides exhibited a large dependence of delta1H parameters
on electron density variations and ring-current effects (inductive and
anisotropic phenomena). The influence of deviations from planarity, concerning
either MN2Cl2 chromophores or azine ring systems, revealed by the known X-ray
structures of [Pd(bquin)Cl2] and [Pt(bquin)Cl2], is discussed in respect to 1H
NMR spectra. 15N coordination shifts (Delta15Ncoord=delta15Ncomplex
delta15Nligand) of ca. 78-100 ppm (to lower frequency) are attributed mainly to
the decrease of the absolute value of paramagnetic contribution in the relevant
15N shielding constants, this phenomenon being noticeably dependent on the type
of a platinide metal and coordination sphere geometry. The absolute magnitude of
Delta15Ncoord parameter increased by ca 15 ppm upon Pd(II)-->Pt(II) replacement
but decreased by ca. 15 ppm following trans-->cis transition. Experimental 1H,
13C, 15N NMR chemical shifts are compared to those quantum-chemically calculated
by B3LYP/LanL2DZ+6-31G**//B3LYP/LanL2DZ+6-31G*, both in vacuo and in CHCl3 or DMF
solution.
PMID- 18044806
TI - J(F,H), J(C,H) and J(H,H) couplings involving the individual methyl group protons
in 1,2,3,4-tetrachloro-5,6,7,8-tetrafluoro-9-methyltriptycene. Evidence of blue
shifting hydrogen bond.
AB - 1,2,3,4-tetrachloro-5,6,7,8-tetrafluoro-9-methyltriptycene was studied in NMR
spectra at low temperatures where the methyl group dynamics is frozen. Values of
5J(19F,1H), 1J(13C,1H), and 2J(1H,1H) for the individual methyl protons were
measured. They are in a fair agreement with the corresponding theoretical values
calculated at a density functional theory (DFT) level. The 5J(19F,1H) couplings
involve the peri-F nucleus and occur via the 'through space' mechanism. Both the
natural bond orbital analysis (at a HF level) and the observed pattern of
1J(13C,1H) coupling values corroborate occurrence in this molecule of
intramolecular, blue-shifting hydrogen bonds engaging the methyl hydrogens. The
'through space' 5J(19F,1H) couplings may indicate the routes of electron density
transfers that escape detection by the natural bond analysis. A consideration of
these effects can enrich the chemical intuition involving this specific sort of H
bonds.
PMID- 18044808
TI - Complete 1H and 13C NMR assignments of three new polyhydroxylated sterols from
the South China Sea gorgonian Subergorgia suberosa.
AB - Three new polyhydroxylated sterols, 3beta,6alpha,11,20beta,24-pentahydroxy- 9,11
seco-5alpha-24-ethylcholest-7,28-diene-9-one (1), 3-(1',2'-ethandiol)-24-
methylcholest-8(9),22E-diene-3beta,5alpha,6alpha,7alpha,11alpha-pentaol (2), 24
methylcholest-7,22 E-diene-3beta,5alpha,6beta,25-tetraol (3) together with five
known sterols, were isolated from the EtOH/CH2Cl2 extract of the South China Sea
gorgonian Subergorgia suberosa. The complete assignments of the 1H and 13C NMR
chemical shifts for these new compounds were achieved by means of 1D and 2D NMR
techniques, including HSQC, HMBC, 1H--1H COSY, and NOESY spectra.
PMID- 18044809
TI - Complete assignments of 1H and 13C NMR data for trypanocidal eremantholide C
oxide derivatives.
AB - The chemical transformations of eremantholide C (1), a trypanocidal sesquiterpene
lactone isolated from Lychnophora trichocarpha Spreng., gave five new oxide
derivatives: 3'-hydroxyeremantholide C (2), 1'-formyleremantholide C (3), 1'
carboxyeremantholide C (4), 1'-carbomethoxyeremantholide C (5) and sodium 1'
carboxylate of eremantholide C (6). The (1)H and (13)C NMR data of all these
derivatives were assigned based on 1D and 2D techniques. The derivatives were
evaluated against Y and CL strains of Trypanosoma cruzi. All of them were
inactive against the Y strain. Compounds 2 and 5 displayed 100% activity on the
CL strain while compounds 4 and 6 were partially active on the CL strain.
PMID- 18044810
TI - 1H and 13C NMR assignments for the sesquiterpene aldehydes, lepidozenal and
isobicyclogermacrenal, from Eucalyptus dawsonii.
AB - Lepidozenal and isobicyclogermacrenal were isolated from the leaves of Eucalyptus
dawsonii and a complete assignment of their 1H and 13C NMR spectra was carried
out using 2D NMR methods.
PMID- 18044811
TI - NMR study of hydroxy protons of di- and trimannosides, substructures of Man-9.
AB - The chemical shifts, temperature coefficients and inter-residual rotating-frame
Overhauser effect (ROE)s for the hydroxy protons of some alpha-(1,2)-, alpha
(1,3)- and alpha-(1,6)-linked di- and trimannosides have been measured for
samples in 85% H2O/15% acetone-d6 solution. These mannosides, Manalpha(1-
>2)ManalphaOMe (1) Manalpha(1-->3)ManalphaOMe (2), Manalpha(1-->6)ManalphaOMe
(3), Manalpha(1-->2)Manalpha(1-->2)ManalphaOMe (4), Manalpha(1-->2)Manalpha(1-
>3)ManalphaOMe (5), Manalpha(1-->2)Manalpha(1-->6)ManalphaOMe (6) and Manalpha(1-
>3)[Manalpha1-->6]ManalphaOMe (7), are substructures of the N-glycan Man-9. The
NMR data show that the hydration of each individual hydroxyl group in the di- and
trisaccharides is very similar to the hydration of the corresponding hydroxyl in
the monomeric methyl alpha-D-mannoside. No hydrogen-bond interactions were found
to stabilize the conformations of the alpha-(1,2)- and alpha-(1,6)-linkages and
the chemical shifts for the hydroxy proton resonances of the alpha-(1,6)-linkage
indicated high-conformational flexibility. For the alpha-(1,3)-linkage, however,
the downfield shift for the signal of O(2)H of the 3-substituted residue together
with the ROE between this proton and H5' on the next residue suggest some weak
inter-residue interactions.
PMID- 18044812
TI - Structure-based design of a bicyclic peptide antagonist of the vascular
endothelial growth factor receptors.
AB - Dysregulated angiogenesis is implicated in several pathologies, including cancer
and age-related macular degeneration. A potential antiangiogenic strategy
consists in developing VEGF receptor ligands capable of preventing VEGF binding
and the subsequent activation of these receptors. Herein, we describe the
structure-based design of a VEGF-mimicking peptide, VG3F. This 25-mer peptide was
doubly cyclized, on-resin, by formation of both a disulfide bridge and an
intramolecular amide bond to constrain it to adopt a bioactive conformation.
Tested on in vitro assays, VG3F was able to prevent VEGF binding to VEGF receptor
1 and inhibit both VEGF-induced signal transduction and cell migration.
PMID- 18044813
TI - Fertility outcomes following expectant management of tubal ectopic pregnancy.
AB - OBJECTIVES: To evaluate fertility outcome after the expectant management of tubal
ectopic pregnancy. METHODS: Our dedicated early pregnancy database was searched
for all women diagnosed with a tubal ectopic pregnancy between January 1999 and
June 2003 who were either managed expectantly or underwent a salpingectomy. They
were contacted to enquire about their ability to conceive following the ectopic
pregnancy and about the outcomes of any subsequent pregnancies. RESULTS: Four
hundred and forty-four women had a diagnosis of tubal ectopic pregnancy, and 173
(39%) were successfully contacted. A total of 146/173 (84.4%; 95% CI, 79-89.8%)
tried for another pregnancy: 49/59 (83.1%; 95% CI, 73.4-92.6%) in the expectant
management and 97/114 (85.1%; 95% CI, 78.4-91.6%) in the salpingectomy group (P >
0.05). Spontaneous intrauterine pregnancy occurred in 41/49 (83.7%; 95% CI, 73.3
94.2%) women managed expectantly and in 62/97 (63.9%; 95% CI, 54.4-73.5%) women
managed surgically (odds ratio 2.89; 95% CI, 1.22-6.86%). The risk of recurrent
ectopic pregnancy was not significantly different between the two management
groups. CONCLUSIONS: Fertility outcomes following the expectant management of
tubal ectopic pregnancy are comparable to those following salpingectomy.
PMID- 18044815
TI - Factors related to institutionalization among disabled older people; a two-year
longitudinal study.
PMID- 18044814
TI - The association between activity participation and time to discharge in the
assisted living setting.
AB - OBJECTIVES: Given recent evidence that activity participation may reduce
functional decline, the effect of activity on resident ability to remain in
assisted living (AL) is of interest. This study examines the relationship between
participation in activities and the length of time residents remain in AL.
METHODS: The data reported here were gathered in the initial phase of the
Maryland Assisted Living Study (MDAL), an epidemiologic study of psychiatric
disorders in AL. A stratified, random sample of 198 residents of 22 AL facilities
in central Maryland was evaluated using a number of cognitive, behavioral,
general health, and functional assessments. The total amount of time each
resident spent in group and solitary activity in the prior month was quantified.
The dependent variable, time to discharge (TTD), was the number of days between
the date of initial assessment by the study team and the date of death in AL,
discharge, or administrative censoring. RESULTS: Greater levels of activity
participation at baseline are associated with longer TTD in an univariate Cox
proportional hazards model. After adjustment for global cognitive functioning,
general medical health, and mobility, greater activity participation remained
associated with longer TTD in AL (p=0.017). CONCLUSIONS: Higher levels of
activity are associated with longer retention in the AL setting. This effect
appeared to be independent of other potentially confounding factors such as
general health, cognitive impairment, and mobility. This finding is consistent
with the hypothesis that engagement in activities delays functional decline, but
further longitudinal research is needed to understand this finding.
PMID- 18044816
TI - Microwave-assisted Boc-solid phase peptide synthesis of cyclic cysteine-rich
peptides.
AB - In this study we describe the first protocols for the synthesis of cystine-rich
peptides in the presence of microwave radiation with Boc-solid phase peptide
synthesis (SPPS). This method is exemplified for macrocyclic peptides known as
cyclotides, which comprise approximately 30 amino acids and incorporate a cystine
knot arrangement of their three disulfide bonds. However, the method is broadly
applicable for a wide range of peptides using Boc-SPPS, especially for SPPS of
large peptides via native chemical ligation. Microwave radiation produces
peptides in high yield and with high purity, and we were able to reduce the time
for the assembly of approximately 30 mer peptide chains to an overnight reaction
in the automated microwave-assisted synthesis.
PMID- 18044817
TI - Cartilage tissue engineering using resorbable scaffolds.
AB - Cartilage tissue engineering holds considerable promise for orthopaedic and
reconstructive head and neck surgery. With an increasingly ageing population, the
number of patients affected by arthritis and recurrent joint pain is constantly
growing, along with the associated socio-economic costs. In head and neck surgery
reconstructive procedures gain increasing importance in multimodal tumour
therapies. These procedures require the harvesting of large amounts of donor
tissue, which causes significant donor site morbidity. Therefore, in vitro
engineered cartilage may provide for a cost-effective and clinically valuable
medical need. This article presents an overview of the clinical background as
well as considerations for engineered cartilage in the head and neck, and
provides examples of cartilage tissue engineering based on various scaffolds.
PMID- 18044818
TI - Measure of quality of life for Taiwanese persons with early to moderate dementia
and related factors.
AB - BACKGROUND: This study validates the Chinese Dementia-Quality of Life instrument
(DQoL) in patients with early to moderate stages of Alzheimer's disease (AD)
(Clinical Dementia Rating Scale, CDR=0.5, 1, and 2; MMSE >or= 12). METHODS: A
cross-sectional design was used involving 98 participants (27 controls, 35
patients with questionable dementia (QD), and 36 patients with mild to moderate
AD) and 51 paired family caregivers (FCs) (20 FCs of QD patients, 31 FCs of AD
patients). FCs were recruited to evaluate patients' DQoL using the parallel form.
Internal consistency, construct validity and concurrent validity of the DQoL were
examined. RESULTS: The findings indicated that the Chinese DQoL instrument has
stable internal consistency but only moderate validity when used in early to
moderate AD participants with MMSE greater than, or equal to 12. The DQoL and
Self-esteem subscales were significantly different across the three dementia
severity groups. The values of internal consistency of the DQoL and its five
subscales were high for the ratings of both the patients and the FCs. The
interscale correlations for the DQoL were almost all significant for patients'
and FCs' ratings. Agreement of the DQoL and its subscales for patients and FCs
was significant. However, two inconsistencies were found in the results of the
factor analysis and the prior conceptualization of patients' DQoL, the subscales
of Self-esteem and Negative Affect. Global cognitive impairment and self-care
problems significantly correlated with the patients' DQoL, while the patients'
depressive symptoms and self-care problems significantly correlated with the FCs'
DQoL. CONCLUSIONS: The Chinese DQoL reported by early to moderate AD patients has
good reliability, but moderate validity because the patients' depressive symptoms
did not correlate with their DQoL and the major subscales. Both patients' and
FCs' ratings on DQoL are important in research and treatment decision making.
PMID- 18044819
TI - Mapping the peptide and protein immune response in the larvae of the fleshfly
Sarcophaga bullata.
AB - We chose the larvae of fleshfly Sarcophaga bullata to map the peptide and protein
immune response. The hemolymph of the third-instar larvae of S. bullata was used
for isolation. The larvae were injected with bacterial suspension to induce an
antimicrobial response. The hemolymph was separated into crude fractions, which
were subdivided by RP-HPLC, gel electrophoresis, and free-flow electrophoresis.
In several fractions, we determined significant antimicrobial activities against
the pathogenic bacteria Escherichia coli, Staphylococcus aureus, or Pseudomonas
aeruginosa. Among antimicrobially active compounds we identified dipeptide beta
alanyl-L-tyrosine, protein transferrin, and two variants of peptide sapecin. We
also partially characterized two novel antimicrobially active polypeptides;
odorant-binding protein 99b, and a peptide which remains unidentified.
PMID- 18044820
TI - Controlled disassembly of peptide amphiphile fibres.
AB - In this paper, the introduction of both a methionine residue and a nitrobenzyl
derivative as a labile linker between the peptide part and the hydrophobic alkyl
chain of a peptide amphiphile are presented. These modifications are shown not to
inhibit the formation of structured assemblies that analogous peptide amphiphiles
lacking the linkers are able to form. Moreover, the introduction of either labile
linker allows removal of the peptide amphiphile's stabilizing hydrophobic
moieties to initiate a controlled disassembly of fibre aggregates. This is
achieved by either treatment with CNBr or UV irradiation, respectively. These
disassembly mechanisms could be the starting point for methodology that allows
further manipulation of self-assembled peptide amphiphile architectures.
PMID- 18044823
TI - Automated follicle tracking facilitates standardization and may improve work
flow.
PMID- 18044824
TI - Twin-to-twin transfusion syndrome: time to forget the Quintero staging system?
PMID- 18044825
TI - What understanding of decision-making in prenatal screening could decision
analysis provide?
PMID- 18044826
TI - Early manifestations and spectrum of recipient twin cardiomyopathy in twin-twin
transfusion syndrome: relation to Quintero stage.
AB - OBJECTIVES: To examine cardiac structural and functional changes in twin-twin
transfusion syndrome (TTTS), relative to Quintero stage, as a means of evaluating
the spectrum of cardiomyopathy in TTTS. METHODS: This was a cross-sectional,
retrospective study of 42 consecutive cases of TTTS referred to a single fetal
therapy center. Quintero stages were assigned by standard criteria. Presence of
ventricular hypertrophy, cardiomegaly, atrioventricular valve regurgitation
(AVVR), ventricular systolic dysfunction and right ventricular outflow tract
obstruction on fetal echocardiography were noted. The Doppler myocardial
performance index (MPI), an index of global ventricular function, was calculated
for both ventricles in subjects with adequate Doppler data. We compared cardiac
changes across Quintero stages. RESULTS: There was no cardiomyopathy observed in
donor twins. The majority of subjects presented at Quintero Stage I (n = 14), II
(n = 14) or III (n = 11), with fewer at Stages IV (n = 2) or V (n = 1). As early
as Quintero Stages I and II, a significant proportion of recipient twins had
ventricular hypertrophy (17/28, 61%), AVVR (6/28, 21%) or quantitative
abnormalities in either right (12/24, 50%) or left (14/24, 58%) ventricular
function. Increasing prevalence of biventricular systolic dysfunction and
cardiomegaly accompanied advancing Quintero stage. CONCLUSIONS: Changes in
cardiac structure and function not reflected in Quintero staging occur in
recipient twins early in the evolution of TTTS. Incorporation of cardiac findings
into assessment of TTTS severity may prove useful in stratification of risk and
treatment selection.
PMID- 18044827
TI - Extracellular matrix signature identifies breast cancer subgroups with different
clinical outcome.
AB - Prediction of the clinical outcome of breast cancer is multi-faceted and
challenging. There is growing evidence that the complexity of the tumour micro
environment, consisting of several cell types and a complex mixture of proteins,
plays an important role in development, progression, and response to therapy. In
the current study, we investigated whether invasive breast tumours can be
classified on the basis of the expression of extracellular matrix (ECM)
components and whether such classification is representative of different
clinical outcomes. We first examined the matrix composition of 28 primary breast
carcinomas by morphology and gene expression profiling using 22K oligonucleotide
Agilent microarrays. Hierarchical clustering of the gene expression profile of
278 ECM-related genes derived from the literature divided the tumours into four
main groups (ECM1-4). A set of selected differentially expressed genes was
validated by immunohistochemistry. The robustness of the ECM classification was
confirmed by studying the four ECM groups in a previously published gene
expression data set of 114 early-stage primary breast carcinomas profiled using
cDNA arrays. Univariate survival analysis showed significant differences in
clinical outcome among the various ECM subclasses. One set of tumours, designated
ECM4, had a favourable outcome and was defined by the overexpression of a set of
protease inhibitors belonging to the serpin family, while tumours with an ECM1
signature had a poorer prognosis and showed high expression of integrins and
metallopeptidases, and low expression of several laminin chains. Furthermore, we
identified three surrogate markers of ECM1 tumours: MARCO, PUNC, and SPARC, whose
expression levels were associated with breast cancer survival and risk of
recurrence. Our findings suggest that primary breast tumours can be classified
based upon ECM composition and that this classification provides relevant
information on the biology of breast carcinomas, further supporting the
hypothesis that clinical outcome is strongly related to stromal characteristics.
PMID- 18044828
TI - Fatty acid, triacylglycerol, and phytosterol composition in six Tunisian olive
varieties.
AB - The physicochemical and stability properties as well as the fatty acid,
triacylglycerol, sterol, and triterpenic dialcohol compositions of Tunisian olive
oil varieties were analyzed. On the basis of our results, we classified all of
the monovarietal oils into the extra virgin category. Oleic and linoleic acids
were the most useful fatty acids to discriminate three cultivars, Neb Jmel,
Chetoui, and Ain Jarboua, from the others. Of the six monovarietal virgin olive
oils analyzed, the main triacylglycerols were OOO, POO, PLO plus SLL, and OLO,
which was expected given the high oleic acid and low linoleic and linolenic acids
content observed in total fatty acids. In total, these accounted for more than
80% of the total HPLC chromatogram peak area. The main sterols found were beta
sitosterol, Delta5-avenasterol, and campesterol. The statistical analysis showed
significant differences between oil samples, and the obtained results showed a
great variability in the oil composition between cultivars, which is influenced
exclusively by genetic factors.
PMID- 18044829
TI - Changes in structures of milk proteins upon photo-oxidation.
AB - Changes in protein structures as a result of riboflavin-induced photo-oxidation
were studied for six milk proteins: alpha-casein, beta-casein, kappa-casein,
lactoferrin, alpha-lactalbumin, and beta-lactoglobulin. The milk proteins showed
significant variability in sensitivity to photo-oxidation. After photo-oxidation,
an increase in carbonyl content because of oxidation of tryptophan, histidine,
and methionine, as well as formation of dityrosine, was observed for all proteins
studied, although at very different levels. Generally, the increment was highest
for alpha- and beta-casein and was lowest for lactoferrin. Loss of tryptophan
because of photo-oxidation was well-correlated with the formation of the
tryptophan oxidation products, N-formylkynurenine and kynurenine. Changes at the
tertiary protein structure level were observed after photo-oxidation of the
globular proteins, where tryptophan fluorescence emission indicated unfolding of
alpha-lactalbumin and beta-lactoglobulin, whereas lactoferrin achieved a more
compact tertiary structure. Changes in secondary structure were observed for
alpha-lactalbumin and beta-lactoglobulin, whereas the secondary structure of
lactoferrin did not change. Polymerization of alpha- and beta-casein and of
lactoferrin was observed, whereas kappa-casein, alpha-lactalbumin, and beta
lactoglobulin showed little tendency to polymerize after photo-oxidation.
Lability toward photo-oxidation is discussed according to the structural
stabilities of the globular proteins.
PMID- 18044830
TI - Inhibition of ice crystal growth in ice cream mix by gelatin hydrolysate.
AB - The inhibition of ice crystal growth in ice cream mix by gelatin hydrolysate
produced by papain action was studied. The ice crystal growth was monitored by
thermal cycling between -14 and -12 degrees C at a rate of one cycle per 3 min.
It is shown that the hydrolysate fraction containing peptides in the molecular
weight range of about 2000-5000 Da exhibited the highest inhibitory activity on
ice crystal growth in ice cream mix, whereas fractions containing peptides
greater than 7000 Da did not inhibit ice crystal growth. The size distribution of
gelatin peptides formed in the hydrolysate was influenced by the pH of
hydrolysis. The optimum hydrolysis conditions for producing peptides with maximum
ice crystal growth inhibitory activity was pH 7 at 37 degrees C for 10 min at a
papain to gelatin ratio of 1:100. However, this may depend on the type and source
of gelatin. The possible mechanism of ice crystal growth inhibition by peptides
from gelatin is discussed. Molecular modeling of model gelatin peptides revealed
that they form an oxygen triad plane at the C-terminus with oxygen-oxygen
distances similar to those found in ice nuclei. Binding of this oxygen triad
plane to the prism face of ice nuclei via hydrogen bonding appears to be the
mechanism by which gelatin hydrolysate might be inhibiting ice crystal growth in
ice cream mix.
PMID- 18044831
TI - Antioxidant profiling of native Andean potato tubers (Solanum tuberosum L.)
reveals cultivars with high levels of beta-carotene, alpha-tocopherol,
chlorogenic acid, and petanin.
AB - The antioxidant profile of 23 native Andean potato cultivars has been
investigated from a human nutrition perspective. The main carotenoid and
tocopherol compounds were studied using high-performance liquid chromatography
coupled with a diode array detector (HPLC-DAD) and a fluorescence detector,
respectively, whereas polyphenols (including anthocyanins in colored tubers) were
identified by means of both HPLC-mass spectrometry and HPLC-DAD. Antioxidant
profiling revealed significant genotypic variations as well as cultivars of
particular interest from a nutritional point of view. Concentrations of the
health-promoting carotenoids, lutein and zeaxanthin, ranged from 1.12 to 17.69
microg g(-1) of dry weight (DW) and from 0 to 17.7 microg g(-1) of DW, with
cultivars 704353 and 702472 showing the highest levels in lutein and zeaxanthin,
respectively. Whereas beta-carotene is rarely reported in potato tubers,
remarkable levels of this dietary provitamin A carotenoid were detected in 16
native varieties, ranging from 0.42 to 2.19 microg g(-1) of DW. The amounts of
alpha-tocopherol found in Andean potato tubers, extending from 2.73 to 20.80
microg g(-1) of DW, were clearly above the quantities generally reported for
commercial varieties. Chlorogenic acid and its isomers dominated the polyphenolic
profile of each cultivar. Dark purple-fleshed tubers from the cultivar 704429
contained exceptionally high levels of total anthocyanins (16.33 mg g(-1) of DW).
The main anthocyanin was identified as petanin (petunidin-3-p-coumaroyl
rutinoside-5-glucoside). The results suggest that Andean potato cultivars should
be exploited in screening and breeding programs for the development of potato
varieties with enhanced health and nutritional benefits.
PMID- 18044832
TI - Phytotoxic Eremophilanes from Ligularia macrophylla.
AB - Systematic bioassay-guided fractionation of the methylene chloride extract of the
roots from Ligularia macrophylla was performed to identify both phytotoxic and
antifungal compounds. Four phytotoxic eremophilanes (furanoeremophilan
14beta,6alpha-olide, 6beta-angeloyloxy-10beta-hydroxyfuranoeremophilane,
eremophil-7(11)-ene-12,8alpha;14beta,6alpha-diolide, and 3alpha
angeloyloxybakkenolide A) and two antifungal fatty acids (linoleic acid and alpha
linolenic acid) were isolated. The X-ray crystal structure determination of 6beta
angeloyloxy-10beta-hydroxyfuranoeremophilane is reported here for the first time.
All four eremophilanes substantially inhibited growth of the monocot Agrostis
stolonifera (bentgrass) while demonstrating little activity against the dicot
Lactuca sativa (lettuce) at 1000 microM. In a dose-response screening of all
compounds for growth inhibitory activity against Lemna paucicostata, 6beta
angeloyloxy-10beta-hydroxyfuranoeremophilane was the most active with an IC50 of
2.94+/-0.16 microM. This compound also caused the greatest reduction of
photosynthetic electron flow; however, its mode of action remains to be
determined. Evaluation of isolated compounds for activity against the Formosan
subterranean termite, Coptotermes formosanus, is also reported. At a
concentration of 0.5% (wt/wt), 6beta-angeloyloxy-10beta-hydroxyfuranoeremophilane
significantly reduced the consumption of filter paper by C. formosanus.
PMID- 18044833
TI - Protective effect of selenium in Broccoli (Brassica oleracea) plants subjected to
cadmium exposure.
AB - The protective effect of selenium against the cadmium-induced oxidative effect in
broccoli ( Brassica oleracea) plants was studied. Plants grown in hydroponic
culture were supplied with selenium [as Se(IV)] and cadmium [as Cd(II)],
individually or simultaneously. Cadmium accumulation in roots was noticeably
higher than in the aerial parts of the plants, and this effect was even more
acute when selenium was simultaneously added. Cadmium phytotoxicity was evidenced
by an increase in the malondialdehyde (MDA) concentration in the roots and a
decrease of photosynthetic pigment and tocopherol concentration in the aerial
parts of the plant. The simultaneous addition of selenium alleviated cadmium
induced stress in the roots after 40 days of exposition. In the leaves, a more
remarkable decrease of tocopherol and chlorophyll concentration was observed in
the cadmium-enriched plants after 10 days of exposure. The results provided
evidence that selenium supplementation helps the plant to minimize the cadmium
oxidant effect. Tocopherol concentration in broccoli fruit of cadmium-supplied
plants was not affected in comparison to control. However, the proportion of
alpha-tocopherol increases with the addition of selenium. This response is
important not only for the protective effect against oxidative damage in the
plant but also in terms of human nutrition.
PMID- 18044834
TI - Free and conjugated phytosterols in cured tobacco leaves: influence of genotype,
growing region, and stalk position.
AB - Although phytosterols in tobacco leaves have specific effects on tobacco quality,
there is little research on the distribution of free and conjugated phytosterols
in various tobacco leaves. In this paper, we explored the content and composition
of phytosterols in representative cured tobacco leaves by gas chromatography of
TMS-ether derivatives. We found that phytosterol contents in tobacco leaves
ranged from 1.0 to 2.5 mg/g of dried leaf tissue, depending on different types of
tobacco leaves. The majority of phytosterols (75-85%) were conjugated as ester
and glycosides, with only about 15-25% existing in the free form. Furthermore,
the genetic variability gives rise to the significant differences among different
tobacco types with phytosterol levels: the contents of phytosterols in tobacco
leaves decreased in the order of flue-cured tobacco, Oriental tobacco, Burley
tobacco, cigar tobacco, and Maryland tobacco. At the same time, the tobacco
curing process leads to a difference in phytosterol existing-form distribution in
some variation laws.
PMID- 18044835
TI - NMR signal analysis to characterize solid, aqueous, and lipid phases in baked
cakes.
AB - Proton mobility was studied in molecular fractions of some model systems and of
cake using a 1H nuclear magnetic resonance (NMR) relaxation technique. For cake,
five spin-spin relaxation times (T2) were obtained from transverse relaxation
curves: T2 (1) approximately 20 micros, T2 (2) approximately 0.2 ms, T2 (3)
approximately 3 ms, T2 (4) approximately 50 ms, and T2 (2) approximately 165 ms.
The faster component was attributed to the solid phase, components 2 and 3 were
associated with the aqueous phase, and the two slowest components were linked to
the lipid phase. After cooking, the crust contained more fat but less water than
the center part of the cake. The amount of gelatinized starch was lower in the
crust, and water was more mobile due to less interaction with macromolecules.
This preliminary study revealed different effects of storage on the center and
crust.
PMID- 18044836
TI - Alpha-chaconine-reduced metastasis involves a PI3K/Akt signaling pathway with
downregulation of NF-kappaB in human lung adenocarcinoma A549 cells.
AB - Alpha-chaconine, isolated from Solanum tuberosum Linn., is a naturally occurring
steroidal glycoalkaloid in potato sprouts. Some reports demonstrated that alpha
chaconine had various anticarcinogenic properties. The aim of this study is to
investigate the inhibitory effect of alpha-chaconine on lung adenocarcinoma cell
metastasis in vitro. We chose the highly metastatic A549 cells, which were
treated with various concentrations of alpha-chaconine to clarify the potential
of inhibiting A549 cells invasion and migration. Data showed that alpha-chaconine
inhibited A549 cell invasion/migration according to wound healing assay and
Boyden chamber assay. Our results also showed that alpha-chaconine could inhibit
phosphorylation of c-Jun N-terminal kinase (JNK) and Akt, whereas it did not
affected phosphorylation of extracellular signal regulating kinase (ERK) and p38.
In addition, alpha-chaconine significantly decreased the nuclear level of nuclear
factor kappa B (NF-kappaB) and the binding ability of NF-kappaB. These results
suggested that alpha-chaconine inhibited A549 cell metastasis by a reduction of
matrix metalloproteinase-2 (MMP-2) and matrix metalloproteinase-9 (MMP-9)
activities involving suppression of phosphoinositide 3-kinase/Akt/NF-kappaB
(PI3K/Akt/NF-kappaB) signaling pathway. Inhibiting metastasis by alpha-chaconine
might offer a pivotal mechanism for its effective chemotherapeutic action.
PMID- 18044837
TI - Strong increase of foliar inulin occurs in transgenic lettuce plants (Lactuca
sativa L.) overexpressing the Asparagine Synthetase A gene from Escherichia coli.
AB - Transgenic lettuce (Lactuca sativa L. cv. 'Cortina') lines expressing the
asparagine synthetase A gene from Escherichia coli were produced to alter the
plant nitrogen status and eventually enhance growth. The relative molecular
abundance of water-soluble metabolites was measured by 1H NMR in transgenic and
conventional plants at early developmental stages and grown under the same
conditions. NMR metabolic profiles assessed that a transgenic line and the wild
type counterpart shared the same compounds, but it also revealed side effects on
the carbon metabolism following genetic modification. Concerning the nitrogen
status, the amino acid content did not vary significantly, except for glutamic
acid and gamma-aminobutyric acid, which diminished in the transgenics. As for the
carbon metabolism, in transgenic leaves the contents of sucrose, glucose, and
fructose decreased, whereas that of inulin increased up to 30 times, accompanied
by the alteration of most Krebs's cycle organic acids and the rise of tartaric
acid compared to nontransformed controls. Lettuce leaf inulins consisted of short
oligomeric chains made of one glucose unit bound to two/four fructose units.
Inulins are beneficial for human health, and they are extracted from plants and
commercialized as long-chain types, whereas the short forms are synthesized
chemically. Hence, lettuce genotypes with high content of foliar short-chain
inulin represent useful materials for breeding strategies and a potential source
for low molecular weight inulin.
PMID- 18044838
TI - Changes and roles of secondary structures of whey protein for the formation of
protein membrane at soy oil/water interface under high-pressure homogenization.
AB - The conformational changes of whey proteins upon adsorption at the soy oil/water
interface were investigated using Fourier transform infrared (FT-IR)
spectroscopy. Significant changes were observed in the bands assigned to beta
sheets and alpha-helix structures following the adsorption of proteins at the
oil/water interface. The remaining interfacial proteins after Tween 20 desorption
revealed small changes in beta-sheet and alpha-helical structures, whereas in the
desorbed whey proteins the unordered structures largely increased, and beta-sheet
structures almost disappeared. These FT-IR results provide important knowledge
about the conformational modifications in whey proteins occurring upon adsorption
at the oil/water interface. Finally, specific conformational changes are
necessary to stabilize emulsions: adsorption-induced unfolding, increase in alpha
helical structures to establish interactions with the oil phase, and aggregation
between adsorbed whey proteins to form protein membranes. Moreover, the
structural changes in whey protein adsorbed at the oil/water interface under high
pressure homogenization are irreversible.
PMID- 18044839
TI - Mono- and Di-sesquiterpenoids from Chloranthus spicatus.
AB - Three new dimeric sesquiterpenoids, chloramultilides B-D ( 1- 3), along with 10
known sesquiterpenoids, were isolated from the whole plant of Chloranthus
spicatus. Their structures were established by physical data (1D and 2D NMR, MS).
The structure and absolute configuration of 1 was confirmed by X-ray
crystallography. Compound 1 exhibited moderate in vitro antifungal activity.
PMID- 18044840
TI - Tauramamide, a lipopeptide antibiotic produced in culture by Brevibacillus
laterosporus isolated from a marine habitat: structure elucidation and synthesis.
AB - Tauramamide (1), a new lipopeptide antibiotic, is produced by cultures of the
marine bacterial isolate Brevibacillus laterosporus PNG276 obtained from Papua
New Guinea. Tauramamide was isolated as its methyl and ethyl esters 2 and 3,
whose structures were elucidated by analysis of NMR, MS, and chemical degradation
data. A total synthesis of tauramamide (1) and tauramamide ethyl ester (3)
confirmed the structure proposed from spectroscopic analysis and provided the
natural product for antimicrobial testing. Tauramamide (1) and ethyl ester 3 show
potent and relatively selective inhibition of pathogenic Enterococcus sp.
PMID- 18044841
TI - Chlorocyclinones A-D, chlorinated angucyclinones from Streptomyces sp. strongly
antagonizing rosiglitazone-induced PPAR-gamma activation.
AB - In the course of our screening to identify novel PPAR-gamma modulators for the
potential treatment of type 2 diabetes, four new chlorinated angucyclinones,
chlorocyclinones A-D ( 1- 4), were isolated from the mycelium of Streptomyces sp.
strain DSM 17045. Their structures were established by spectroscopic methods.
Chlorocyclinones antagonize rosiglitazone-induced peroxisome proliferator
activated receptor gamma (PPAR-gamma) activation with IC 50's < 0.4 microM in
vitro using an AlphaScreen assay and are able to displace rosiglitazone from the
PPAR-gamma ligand-binding domain (LBD) in a scintillation proximity assay (SPA).
The compounds proved to be active in a cell-based reporter gene assay as well,
antagonizing rosiglitazone-induced PPAR-gamma activity with IC 50 values between
0.60 and 7.0 microM. Chlorocyclinone C ( 3) exhibited the most potent activity in
all assays.
PMID- 18044842
TI - Serinocyclins A and B, cyclic heptapeptides from Metarhizium anisopliae.
AB - Two new cyclic heptapeptides, serinocyclins A (1) and B (2), were isolated from
conidia of the entomopathogenic fungus Metarhizium anisopliae. Structures were
elucidated by a combination of mass spectrometric, NMR, and X-ray diffraction
techniques. Serinocyclin A (1) contains three serine units, a hydroxyproline
(Hyp), a beta-alanine (beta-Ala), and two uncommon nonproteinogenic amino acids,
1-aminocyclopropane-1-carboxylic acid (Acc) and gamma-hydroxylysine (HyLys). The
peptide sequence established for 1 by NMR is cyclo-(Acc-Hyp-Ser1-HyLys-beta-Ala
Ser2-Ser3). Serinocyclin B (2) has Lys in place of the HyLys unit found in 1.
Chiral amino acid analysis indicated the presence in both compounds of one (2 S,4
R)-Hyp, two L-Ser, and one D-Ser residue. A Lys found in the hydrolyzate of 2 was
established as D-configured. A crystal structure of 1 established the position of
the D-Ser (Ser2) and the absolute configuration of the HyLys unit (2 R,4 S). The
absence of methyl groups is unusual among fungal peptides and, along with the
charged lysyl side chain and multiple hydroxyl groups, contributes to the polar
nature of the compounds. Serinocyclin A produced a sublethal locomotory defect in
mosquito larvae at an EC 50 of 59 ppm.
PMID- 18044843
TI - Inhibitory effects of diterpenoid alkaloids on the growth of A172 human malignant
cells.
AB - The cytotoxicity against A172 human malignant glioma cells was examined for 14
alkaloids from the roots of Aconitum yesoense var. macroyesoense and of Aconitum
japonicum and from the seeds of Delphinium elatum as well as for 25 semisynthetic
derivatives. The major alkaloid constituents of A. yesoense var. macroyesoense,
kobusine (2) and pseudokobusine (3), a minor alkaloid constituent of A.
japonicum, aljesaconitine A (5), and six alkaloid derivatives, N-deethyldelcosine
(10), N-deethyldelsoline (11), 12-benzoylluciculine (18), 12-anisoylluciculine
(19), 6,11-dibenzoylpseudokobusine (28), and 6-veratroylpseudokobusine (29), had
only very weak activity. Four acylated alkaloid derivatives, 12-acetylluciculine
(23), 11-veratroylpseudokobusine (30), 11-(m
trifluoromethylbenzoyl)pseudokobusine (32), and 11-(m
trifluoromethylbenzoyl)kobusine (39), exhibited more potent activity, while
pseudokobusine 11-cinnamoate (31), 11-anisoate (33), and 11-p-nitrobenzoate (34)
were found to be the most potent cytotoxic agents.
PMID- 18044844
TI - (13)C-(15)N correlation via unsymmetrical indirect covariance NMR: application to
vinblastine.
AB - Unsymmetrical indirect covariance processing methods allow the derivation of
hyphenated 2D NMR data from the component 2D spectra, potentially circumventing
the acquisition of the much lower sensitivity hyphenated 2D NMR experimental
data. Calculation of HSQC-COSY and HSQC-NOESY spectra from GHSQC, COSY, and NOESY
spectra, respectively, has been reported. The use of unsymmetrical indirect
covariance processing has also been applied to the combination of (1)H- (13)C
GHSQC and (1)H- (15)N long-range correlation data (GHMBC, IMPEACH, or CIGAR
HMBC). The application of unsymmetrical indirect covariance processing to spectra
of vinblastine is now reported, specifically the algorithmic extraction of (13)C-
(15)N correlations via the unsymmetrical indirect covariance processing of the
combination of (1)H- (13)C GHSQC and long-range (1)H- (15)N GHMBC to produce the
equivalent of a (13)C- (15)N HSQC-HMBC correlation spectrum. The elimination of
artifact responses with aromatic solvent-induced shifts (ASIS) is shown in
addition to a method of forecasting potential artifact responses through the
indirect covariance processing of the GHSQC spectrum used in the unsymmetrical
indirect covariance processing.
PMID- 18044846
TI - Analysis and optimization of nonequilibrium capillary electrophoresis of alpha
fetoprotein isoforms.
AB - The L3 isoform of alpha-fetoprotein (AFP) is a specific marker for hepatocellular
carcinoma. The separation and quantitation of L3 isoform from the L1 isoform is
facilitated by Lens culinaris agglutin (LCA) affinity of the L3 isoform. The
affinity-based separation is characterized by nonequilibrium conditions since
electrophoresis perturbs the species concentrations away from equilibrium. The
design of such separations requires careful consideration of the interplay
between the reaction, diffusion, and separation time scales. We performed
experiments to investigate the effect of separation parameters such as LCA
concentration and CE voltage on the L1-L3 separation dynamics. We also describe a
comprehensive mathematical model to predict electropherograms for affinity-based
separations. The model includes the effects of molecular diffusion,
electromigration, nonequilibrium reaction, and detection process. Together, the
results demonstrate a process by which to optimize the affinity-based separations
of AFP isoforms. We also obtained the kinetic rate constants for LCA affinity
(kon=1.6x10(3) mol(-1) s(-1) L, koff=1x10(-3) s(-1)) by comparing the model
predictions with experimental data. This study provides insight into the physics
of affinity-based separations and can be extended to describe and optimize other
nonequilibrium CE systems.
PMID- 18044847
TI - TOF-SIMS analysis of a 576 micropatterned copolymer array to reveal surface
moieties that control wettability.
AB - Time-of-flight secondary ion mass spectrometry (TOF-SIMS) was used in a high
throughput fashion to obtain mass spectra from the surfaces of 576 novel acrylate
based polymers, synthesized using a combinatorial approach and in a
micropatterned format. To identify variations in surface chemistry within the
library, principal component analysis (PCA) was used. PCA clearly identified
surface chemical commonality and differences within the library. The TOF-SIMS
spectra were also used to determine the relationship between water contact angle
(WCA) and the surface chemistry of the polymer library using partial least
squares regression (PLS). A good correlation between the TOF-SIMS data from the
novel polymers and water contact angle was obtained. Examination of the PLS
regression vector allowed surface moieties that correlate with high and low WCA
to be identified. This in turn provided an insight into molecular structures that
significantly influence wettability. This study demonstrates that multivariate
analysis can be successfully applied to TOF-SIMS data from a large library of
samples and highlights the potential of these techniques for building complex
surface property/chemistry models.
PMID- 18044848
TI - Mechanism of thiol oxidation by the superoxide radical.
AB - In spite of the large quantity of experimental work that deals with the oxidation
of thiols by superoxide, the mechanism of this reaction is still controversial.
The ab initio molecular orbital calculations reported here predict that the main
reaction pathway includes the formation of a three-electron-bonded adduct
followed by the elimination of the hydroxide anion, giving the sulfinyl radical
as the reaction product. The alternative reaction pathway consisting of hydrogen
atom transfer from the thiol to the protonated superoxide radical involves a
reaction energy barrier that is significantly higher. The difference between the
two reaction energy barriers is clearly beyond the expected computational
uncertainty. The systematic scanning of the potential energy surface reveals no
other competitive reaction pathways. The present results provide a useful basis
for the interpretation of the complex experimental data related to thiol
oxidation by superoxide radical in a biological environment.
PMID- 18044849
TI - Computational study of the enthalpies of formation, DeltafH degrees, and mean
bond enthalpies, mBEs, of H4-nEXn0/- and H3-nEXn+/0 (E=C, B; X=F-I).
AB - To compensate for lacking experimental standard enthalpies of formation DeltafH
degrees of haloboranes/-boranates as well as the isoelectronic halocarbenium ions
and halomethanes, high-level quantum chemical calculations up to the ccsd(t)/(SDB
)aug-cc-pVQZ level have been performed to establish these values. Very reliable
experimental data (e.g., DeltafH degrees of HCl, F, and CH4) or at the G3 level
established values (e.g., DeltafH degrees of CF3+=410 kJ mol(-1)) were used as
anchor points to obtain accurate absolute DeltafH degrees and mean bond enthalpy
(mBE) values. To further minimize systematic errors of the protocol, all derived
quantities were assessed in isodesmic reactions at the G3 and ccsd(t) level using
the (SDB-)aug-cc-PVTZ basis set. The obtained DeltafH degrees values are in very
good agreement to (scarcely available) accurate experimental and computational
data. Almost all B-containing compounds have been assessed for the first time. We
derived "best" DeltafH degrees values and used them to determine the mean E-X
bond enthalpies in H4-nEXn-/0 and H3-nEXn0/+ (with n=1-3, E=B, C, and X=F-I). In
each of the series, the DeltafH degrees values increase from fluorine to iodine,
and except for the iodine-containing carbenium ions and the bromo- and
iodomethanes, the DeltafH degrees values become lower with the more halogen atoms
that are present in the particle. The boron containing species always have a
lower DeltafH degrees than the isoelectronic carbenium ions and methanes, and the
H4-nEXn-/0 are lower in energy than the parent H3-nEXn+/0. This reflects the
greater average B-X bond strengths.
PMID- 18044850
TI - Adipic and malonic acid aqueous solutions: surface tensions and saturation vapor
pressures.
AB - The surface tension of adipic aqueous solutions was measured as a function of
temperature (T=278-313 K) and adipic acid mole fraction (X=0.000-0.003) using the
Wilhelmy plate method. A parametrization fitted to these data is presented. The
evaporation rates of binary water-malonic and water-adipic acid droplets were
measured with a TDMA technique at different temperatures (T=293-300 K) and
relative humidities (58-80%), and the saturation vapor pressures of subcooled
liquid malonic and adipic acids were derived from the data using a binary
evaporation model. The temperature dependence of the vapor pressures was obtained
as least-squares fits to the derived vapor pressures: ln(Psat,l) (Pa)=220.2389
22634.96/T (K)-26.66767 ln T (K) for malonic acid and ln(Psat,l) (Pa)=140.6704
18230.97/T (K)-15.48011 ln T (K) for adipic acid.
PMID- 18044851
TI - Scaling in nonstationary voltammetry representations.
AB - Despite the widespread use of voltammetry for a range of chemical, biological,
environmental, and industrial applications, there is still a lack of
understanding regarding the functionality between the applied voltage and the
resulting patterns in the current response. This is due to the highly nonlinear
relation between the applied voltage and the nonstationary current response,
which casts a direct association nonintuitive. In this Article, we focus on large
amplitude/high-frequency ac voltammetry, a technique that has shown to offer
increased voltammetric detail compared to alternative methods, to study
heterogeneous electrochemical reaction-diffusion cases using a nonstationary time
series analysis, the Hilbert transform, and symmetry considerations. We show that
application of this signal processing technique minimizes the significant
capacitance contribution associated with rapid voltammetric measurements. From a
series of numerical simulations conducted for different voltage excitation
parameters as well as kinetic, thermodynamic, and mass transport parameters, a
number of scaling laws arise that are related to the underlying
parameters/dynamics of the process. Under certain conditions, these observations
allow the determination of all underlying parameters very rapidly, experiment
duration typicallyN2+H at low temperature.
AB - The adiabatic capture centrifugal sudden approximation (ACCSA) has been applied
to the ground state reaction N+NH-->N2+H over the temperature range 2-300 K using
an existent potential energy surface. The resultant thermal rate constants are in
agreement with available rate constants from quasi-classical trajectory
calculations but are significantly larger than the available experimentally
derived rate. The calculated rate constants monotonically increase with
increasing temperature but could only be approximately described with a simple
Arrhenius-like form. Subtle quantum effects are evident in the initial rotational
state resolved cross sections and rate constants.
PMID- 18044860
TI - Mesoscopic simulation on phase behavior of pluronic P123 aqueous solution.
AB - As a new dynamic density functional method, the mesoscopic dynamics (MesoDyn) is
used to simulate the microphase separation of the binary mixture of tri-block
copolymer P123 ((EO)20(PO)70(EO)20) and water. With a simple copolymer model,
various aggregate structures of P123 in water including the micelle, hexagonal,
and lamellar phases are produced, which can partly reproduce most experimental
phase regions. The simulated phase ranges are more or less different from those
established from experiment, especially at high polymer concentrations. This can
be attributed to different phase mapping situations, that is, the constant shear
used in simulation versus the varied external forces in experiment. The
calculated trend for micelle size change in diluted region is co-incident well
with previous observations in other Pluronic systems. The poly(propylene oxide)
(PO) block amount is found to influence not only the aggregate morphology but
also its formation rate in solution. Obtained results indicate that the
mesoscopic simulation is a valuable tool to supplement the experimental study on
aggregates formation.
PMID- 18044861
TI - Phase transition of individually addressable microstructured membranes visualized
by imaging ellipsometry.
AB - The phase transition of individually addressable microstructured lipid bilayers
was investigated by means of imaging ellipsometry. Microstructured bilayers were
created on silicon substrates by micromolding in capillaries, and the
thermotropic behavior of various saturated diacyl phosphatidylcholine (1,2
dipalmitoyl-sn-glycero-3-phosphocholine, 1,2-dipentadecoyl-sn-glycero-3
phosphocholine, and 1,2-dimyristoyl-sn-glycero-3-phosphocholine (DMPC)) bilayers
as well as DMPC/cholesterol membranes was determined by measuring the area
expansion and thickness of the bilayer as a function of temperature. We found an
increase in the main phase transition temperature T(M) of 2-6 degrees C and a
substantially reduced cooperativity compared to multilamellar vesicles.
Measurements of lateral diffusion constants D employing fluorescence recovery
after photobleaching revealed, however, only a marginal decrease in D compared to
those found for vesicles and multibilayers. The known dependencies of T(M) both
on the chain length of diacyl PC membranes and on the cholesterol content were
reproduced on a solid support. Microstructured bilayers offer the unique
advantage of integrating an internal standard of known thermotropic properties,
which turned out to be important for reducing the measurement error and for
ruling out the slightly changing impact of the surface on the phase transition
behavior due to the surface pretreatment.
PMID- 18044862
TI - Influence of the roughness, topography, and physicochemical properties of
chemically modified surfaces on the heterogeneous nucleation of protein crystals.
AB - In this study, the influence of some factors on the heterogeneous nucleation of
hen egg-white lysozyme (E.C. 3.2.1.17) on a series of chemically modified
surfaces has been investigated. Microbatch crystallization experiments were
conducted on the microscope glass slides that were treated with poly-L-glutamic
acid (PLG), poly(2-hydroxyethyl methacrylate) (P2HEMA), poly(methyl methacrylate)
(PMMA), poly(4-vinyl pyridine) (P4VP), and (3-aminopropyl)triethoxysilane
(APTES). An optical microscope with a heating/cooling stage was employed to
measure the induction time of heterogeneous nucleation. The surface topography
and roughness were characterized by atomic force microscopy. Contact angles for
crystallization solution on the investigated surfaces were measured by a contact
angle meter. From the theoretical analysis, the energetic barrier to
heterogeneous nucleation was found to increase at higher contact angles and to
decrease at higher roughness. Experimentally, a qualitative increase of the
induction time of the heterogeneous nucleation on P2HEMA, APTES, and PMMA
surfaces with the contact angle was observed. Such surfaces as P2HEMA, PLG, and
APTES, which were of higher roughness, were shown to promote the heterogeneous
nucleation. In addition, the surface with specific topography is expected to
increase the possibility of the formation of a critical nucleus. Finally, the
P4VP surface appeared to suppress the heterogeneous nucleation as a result of the
electrostatic interaction between the lysozyme and P4VP molecules.
PMID- 18044863
TI - Passive water-lipid peptide translocators with conformational switches: from
single-molecule probe to cellular assay.
AB - Peptide design for unassisted passive water-lipid translocation remains a
challenge, notwithstanding its importance for drug delivery. We introduce a
design paradigm based on conformational switches operating as passive
translocation vehicles. The interfacial behavior of the molecular prototype,
probed in single-molecule AFM experiments, reveals a near-barrierless
translocation. The associated free-energy agrees with mesoscopic measurements,
and the in vitro behavior is quantitatively reproduced in cellular assays. The
prototypes herald the advent of novel nanobiomaterials for passive translocation.
PMID- 18044864
TI - Adsorption of water in finite length carbon slit pore: comparison between
computer simulation and experiment.
AB - The effects of surface dimensions and topology on the adsorption of water on a
graphite surface at 298 K were investigated using the grand canonical Monte Carlo
(GCMC) simulation. Regarding the surface topology, we specifically considered the
functional group and its position on the surface. The hydroxyl group (OH) is used
as a model for the functional group. For describing the interaction of water, we
used the potential model proposed by Muller et al., and the simulated isotherms
of water in slit pores are found to depend on the position and concentration of
the functional group. The onset of adsorption shifts to lower pressure when the
concentration of functional group increases or when the functional group is
positioned at the center of the graphene surface. The configuration of a group of
functional groups also affects the adsorption isotherm. In all cases
investigated, we have found that the hysteresis loop always exists, and the loop
size depends on the concentration of the functional group and its position.
Finally, we tested the molecular model of water adsorption on a functional
graphite pore against the experimental data of a commercial activated carbon. The
agreement is found to be satisfactory when the model porous solid is composed of
pores having width in the range between 10 and 20 A and functional groups
positioned at the center of the graphitic wall.
PMID- 18044865
TI - Free volume in C60 modified PPO polymer membranes by positron annihilation
lifetime spectroscopy.
AB - PPO (poly(2,6-dimethyl-1,4-phenylene oxide)) is a well-known membrane material
showing good gas separation properties. The incorporation of nanoparticles can
enhance or deteriorate the performance of composite membranes, sometimes
depending only on the way of the composite preparation. We have modified the PPO
polymer with C60 fullerenes up to a content of 2 wt %. Previous investigations
showed a strong dependence of permeability on whether the C60 is simply dispersed
in the polymer or chemically bonded to the polymer chains. Free volume effects
were suggested as an explanation but not experimentally confirmed. Here, we
present free volume studies by positron annihilation lifetime spectroscopy. An
additional long positron lifetime shows the increased free volume of composite
samples, while the high electron affinity of C60 helps to indicate the
homogeneity of the samples. Combining the presented results with permeability
measurements refines the understanding of this promising membrane material.
PMID- 18044867
TI - Revealing the way of self-complementary dimerization for a shape-persistent
macrocycle using density functional theory calculations.
AB - A preferred geometry of a planar shape-persistent dimeric macrocycle with
diacetylene-linked alternating hexylbenzene and perfluorobenzene rings is
revealed by resolving its three-dimensional spatial energy profile using density
functional theory calculations. The resulting dimer exhibits a face-to-face
geometry with about 51.5 degrees rotational off-set around the principal axis and
an intermolecular distance of about 3.91 A. The calculated intermolecular
interaction energy and the 1H NMR chemical shifts of the exo- and endo-annular
protons of the predicted dimer agree very well with the recent experimental data.
More importantly, the current study resolves the ambiguity observed in the
experiment pertaining to how the dimer would form, and provides insight for
predicting the geometry of similar dimeric macrocycles or other pi-pi interacting
systems.
PMID- 18044866
TI - Reversible stiffening transition in beta-hairpin hydrogels induced by ion
complexation.
AB - We have previously shown that properly designed lysine and valine-rich peptides
undergo a random coil to beta-hairpin transition followed by intermolecular self
assembly into a fibrillar hydrogel network only after the peptide solutions are
heated above the intramolecular folding transition temperature. Here we report
that these hydrogels also undergo a stiffening transition as they are cooled
below a critical temperature only when boric acid is used to buffer the peptide
solution. This stiffening transition is characterized by rheology, dynamic light
scattering, and small angle neutron scattering. Rheological measurements show
that the stiffening transition causes an increase in the hydrogel storage modulus
(G') by as much as 1 order of magnitude and is completely reversible on
subsequently raising the temperature. Although this reversible transition
exhibits rheological properties that are similar to polyol/borax solutions, the
underlying mechanism does not involve hydroxyl-borate complexation. The
stiffening transition is mainly caused by the interactions between lysine and
boric acid/borate anion and is not driven by the changes in the secondary
structure of the beta-hairpin peptide. Addition of glucose to boric acid and
peptide solution disrupts the stiffening transition due to competitive glucose
borate complexation.
PMID- 18044869
TI - Vibrational energy relaxation of the bend fundamental of dilute water in liquid
chloroform and d-chloroform.
AB - The population lifetimes of the bend fundamental of dilute water in liquid
chloroform (8.5 ps) and d-chloroform (28.5 ps) display an interesting solvent
isotope effect. As the lowest excited vibrational state of the molecule, the
water bend fundamental relaxes directly to the ground state with about 1600 cm-1
of energy released to the other degrees of freedom. The strong solvent isotope
effect along with the large energy gap indicates the participation of solvent
vibrational modes in this vibrational energy relaxation process. We calculate the
vibrational energy relaxation rates of the water bend in chloroform and d
chloroform using the Landau-Teller formula with a new potential model developed
and parametrized self-consistently to describe the chloroform-water interaction.
The computed values are in reasonable agreement with the experimental results,
and the trend for the isotope effect is correct. It is found that energy transfer
to the solvent vibrations does indeed play an important role. Nevertheless, no
single dominant solvent accepting mode can be identified; the relaxation appears
to involve both the bend and the C-Cl stretches, and frequency changes of all of
these modes upon deuteration contribute to the observed solvent isotope effect.
PMID- 18044868
TI - Electronic excitations of green fluorescent proteins: modeling solvatochromatic
shifts of red fluorescent protein chromophore model compound in aqueous
solutions.
AB - While green fluorescent proteins (GFPs) have been widely used as tools in
biochemistry, cell biology, and molecular genetics, novel red fluorescent
proteins (RFPs) with red fluorescence emission have also been identified, as
complements to the existing GFP technology. The unusual spectrophotometric and
fluorescence properties of GFPs and RFPs are controlled by the protonation states
and possibly cis/trans isomerization within their chromophores. In this work, we
have investigated the electronic structures, liquid structures, and solvent
shifts of the possible neutral and anionic protonated states and the cis/trans
isomerization of a RFP chromophore model compound HBMPI in aqueous solutions. The
calculations reproduced the experimental absorption solvatochromatic shifts of
dilute HBMPI in water under neutral and anionic conditions. Unlike the GFP
chromophore, the RFP chromophore model compound HBMPI in basic solution can only
adopt a conformation where the C=C bond between the bridge group and the
imidazolinone ring and the C-C bond between the imidazolinone and ethylene groups
exist in cis and trans conformations, respectively. Moreover, the solvent-solute
hydrogen-bonding interactions are found to contribute significantly to the total
solvent shifts of pi-pi* excitations of aqueous HBMPI solutions, signifying the
importance of protein environment in the determination of the conformation of the
chromophores in red fluorescent proteins.
PMID- 18044870
TI - Enthalpy-entropy compensation: a phantom or something useful?
AB - In various chemical systems enthalpy-entropy compensation (EEC) is a well-known
rule of behavior, although the physical roots of it are still not completely
understood. It has been frequently questioned whether EEC is a truly physical
phenomenon or a coincidence due to trivial mathematical connections between
statistical-mechanical parameters or even simpler, a phantom effect resulting
from the misinterpretation of experimental data. Here, we review EEC from a new
standpoint and conclude that it may be rationalized in terms of hidden but
physically real factors implying a Carnot-cycle model in which a micro-phase
transition (MPT) plays a crucial role. Examples of such MPTs underlying
physically valid EEC should be typically cooperative processes in supramolecular
aggregates, like changes of structured water at hydrophobic surfaces,
conformational transitions upon ligand-biopolymer binding, and so forth. The MPT
notion could help rationalize the occurrence of EEC in connection with hydration
and folding of proteins, functioning of molecular motors, and similar phenomena.
PMID- 18044872
TI - A novel composite: layered double hydroxides encapsulated in vesicles.
AB - We report a novel composite: layered double hydroxides (LDHs) encapsulated in
vesicles. It was found that positively charged Mg3Al-LDH nanoparticles can induce
the spontaneous formation of vesicles in a mixture of a zwitterionic surfactant,
dodecyl betaine (C12BE), and an anionic surfactant, sodium bis(2-ethylhexyl)
sulfosuccinate (AOT), and importantly, we obtain simultaneously a novel composite
of Mg3Al-LDH encapsulated in vesicles. The obtained composite is very stable and
expected to be potentially used in drug delivery and gene therapy.
PMID- 18044871
TI - Evaluation of enzymatic activity on nanoscale polystyrene-block
polymethylmethacrylate diblock copolymer domains.
AB - Understanding structural and functional changes of polymeric surface-bound
proteins is extremely important as polymers play an increasingly significant role
as arrays and substrates in proteomics applications. We carried out, for the
first time, quantitative activity measurements of horseradish peroxidase (HRP)
enzymes immobilized selectively on the polystyrene domains of microphase
separated polystyrene-block-polymethylmethacrylate ultrathin films. The specific
enzymatic activity of HRP adsorbed on the diblock copolymer surface was evaluated
and compared to that of HRP in free solution. We demonstrate that the polymeric
surface-bound HRP molecules maintain approximately 85% of their activity in free
solution. The unique advantages of diblock copolymer templates, involving
nanoscale self-assembly and largely retained protein functionality, make the
spontaneously constructed enzyme nanoarrays highly suitable as proteomics
substrates. Our novel assembly method of providing functional enzymes on diblock
copolymer thin films can be greatly beneficial for high-throughput and high
density protein assays.
PMID- 18044873
TI - Correlation of the vibrations of the aqueous azide ion with the O-H modes of
bound water molecules.
AB - Dual frequency two-dimensional infrared spectroscopy (2D-IR) has been used to
investigate the dynamics of the azide-water solvation shell. The memory of the
azide transition frequencies is detected in the echo emitted by the OH stretching
mode of the ion-bound water molecules. There is a significant positive
correlation of the two frequency distributions that decays on a 140 fs time
scale. The result confirms that the O-H bond of water molecules in the solvent
shell have frequency fluctuations that are considerably slowed from those that
are known in bulk water. The positive correlation is attributed to cooperative
interactions of coordinated water molecules with an azide ion.
PMID- 18044874
TI - Mixed valence compounds as probes to determine the polarity of 1-butyl-3
methylimadazolium ionic liquids.
AB - Radical cations and dications of three bishydrazines belonging to the Class II
mixed valence compounds have been generated, either spontaneously or by oxidation
with AgSbF6, in two 1-butyl-3-methylimidazolium (4+) ionic liquids having BF4(-)
and PF6(-) as counterions. The optical spectra of these intermediates have
allowed evaluation of Marcus' reorganization energy lambda(s), a parameter that
is directly proportional to the solvent polarity. Remarkable differences in
lambda(s), as large as 600 cm(-1), have been observed as a function of the
counterion, with these data providing support for the observed differences
between both ionic liquids (4(+)BF4(-) and 4(+)PF6(-)) in catalysis. However, in
terms of polarity, the lambda(s) values rank the hydrophilic 4(+)BF4(-) as being
similar to dimethyl sulfoxide and dimethylformamide, while the polarity of
hydrophobic 4(+)PF6(-) is analogous to acetonitrile. Overall, our results
indicate that ionic liquids are not exceptional liquids in terms of polarity.
PMID- 18044875
TI - Magnetic alignment study of rare-earth-containing liquid crystals.
AB - The liquid-crystalline rare-earth complexes of the type [Ln(LH)3(DOS)3]-where Ln
is Tb, Dy, Ho, Er, Tm, or Yb; LH is the Schiff base N-octadecyl-4
tetradecyloxysalicylaldimine; and DOS is dodecylsulfate-exhibit a smectic A
phase. Because of the presence of rare-earth ions with a large magnetic
anisotropy, the smectic A phase of these liquid crystals can be easier aligned in
an external magnetic field than smectic A phases of conventional liquid crystals.
The magnetic anisotropy of the [Ln(LH)3(DOS)3] complexes was determined by
measurement of the temperature-dependence of the magnetic susceptibility using a
Faraday balance. The highest value for the magnetic anisotropy was found for the
dysprosium(III) complex. The magnetic alignment of these liquid crystals was
studied by time-resolved synchrotron small-angle X-ray scattering experiments.
Depending on the sign of the magnetic anisotropy, the director of the liquid
crystalline molecules was aligned parallel or perpendicular to the magnetic field
lines. A positive value of the magnetic anisotropy (and parallel alignment) was
found for the thulium(III) and the ytterbium(III) complexes, whereas a negative
value of the magnetic anisotropy (and perpendicular alignment) was observed for
the terbium(III) and dysprosium(III) complexes.
PMID- 18044876
TI - The catalytic activity of proline racemase: a quantum mechanical/molecular
mechanical study.
AB - The enzyme proline racemase from the eukaryotic parasite Trypanosoma cruzi
(responsible for endemic Chagas disease) catalyzes the reversible stereoinversion
of chiral Calpha in proline. We employed a new combined quantum mechanical and
molecular mechanical (QM/MM) potential to study the reaction mechanism of the
enzyme. Three critical points were found: two almost isoenergetic minima (M1a and
M2a), in which the enzyme is bound to L- and D-Pro, respectively, and a
transition state (TSCa), unveiling a highly asynchronous concerted process. A
systematic analysis was performed on the optimized geometries to point out the
key role played by some residues in stabilizing the transition state.
PMID- 18044877
TI - New insights into the visible-light-induced DNA cleavage activity of
dipyridoquinoxaline complexes of bivalent 3d-metal ions.
AB - Dipyridoquinoxaline (dpq) complexes of bivalent 3d-metal ions, viz.,
[FeII(dpq)3](PF6)2 (1), [CoII(dpq)3](ClO4)2 (2), [NiII(dpq)3](ClO4)2 (3),
[CuII(dpq)2(H2O)](ClO4)2 (4), [ZnII(dpq)3](ClO4)2 (5), and
[ZnII(dpq)2(DMF)2](ClO4)2 (5a) (DMF = N,N-dimethylformamide), are prepared and
their photoinduced DNA cleavage activity studied. Structural characterization for
the complexes 1 and 5a is done by single-crystal X-ray crystallography. All the
complexes show efficient binding propensity to calf thymus DNA with a binding
constant (K) value of approximately 10(5) M(-1). Complexes 1, 2, and 4 show metal
based cyclic voltammetric responses at 1.2, 0.4, and 0.09 V (vs SCE) in DMF 0.1 M
[Bun4N](ClO4) assignable to the respective FeIII/FeII, CoIII/CoII, and CuII/CuI
couples. The NiII and ZnII complexes do not show any metal-based redox process.
The dpq-based reductions are observed in the potential range of -1.0 to -1.7 V vs
SCE. DNA melting and viscosity data indicate the groove-binding nature of the
complexes. Control experiments using distamycin-A suggest a minor groove-binding
propensity of the complexes. The complexes exhibit photoinduced cleavage of
supercoiled pUC19 DNA in UV light of 365 nm. The diamagnetic d6-FeII and d10-ZnII
complexes are cleavage-inactive on irradiation with visible light. The
paramagnetic d7-CoII and d9-CuII complexes exhibit efficient DNA cleavage
activity on photoirradiation at their respective d-d band. The paramagnetic d8
NiII complex displays only minor DNA cleavage activity on irradiation at its d-d
band. The DNA cleavage reactions at visible light under aerobic conditions
involve the formation of hydroxyl radical. The CoII complex shows photocleavage
of DNA under an argon atmosphere. Theoretical calculations on the complexes
suggest a photoredox pathway in preference to a type-2 process forming singlet
oxygen for the visible-light-induced DNA cleavage activity of the 3d-metal
complexes. The theoretical data also predict that the photoredox pathway is
favorable for the 3d7-CoII and 3d9-CuII complexes to exhibit DNA cleavage
activity, while the analogous 3d6-FeII and 3d8-NiII complexes are energetically
unfavorable for the exhibition of such activity under visible light. The CoII and
CuII complexes are better suited for designing and developing new metal-based PDT
agents than their cleavage-inactive FeII, NiII, and ZnII analogues.
PMID- 18044878
TI - Rates of proton transfer to Fe-S-based clusters: comparison of clusters
containing {MFe(mu(2)-S)(2)}n+ and {MFe(3)(mu(3)-S)(4)}n+ (M = Fe, Mo, or W)
cores.
AB - The rates of proton transfer from [pyrH]+ (pyr = pyrrolidine) to the binuclear
complexes [Fe2S2Cl4]2- and [S2MS2FeCl2]2- (M = Mo or W) are reported. The
reactions were studied using stopped-flow spectrophotometry, and the rate
constants for proton transfer were determined from analysis of the kinetics of
the substitution reactions of these clusters with the nucleophiles Br- or PhS- in
the presence of [pyrH]+. In general, Br- is a poor nucleophile for these
clusters, and proton transfer occurs before Br- binds, allowing direct measure of
the rate of proton transfer from [pyrH]+ to the cluster. In contrast, PhS- is a
better nucleophile, and a pathway in which PhS- binds preferentially to the
cluster prior to proton transfer from [pyrH]+ usually operates. For the reaction
of [Fe2S2Cl4]2- with PhS- in the presence of [pyrH]+ both pathways are observed.
Comparison of the results presented in this paper with analogous studies reported
earlier on cuboidal Fe-S-based clusters allows discussion of the factors which
affect the rates of proton transfer in synthetic clusters including the
nuclearity of the cluster core, the metal composition, and the nature of the
terminal ligands. The possible relevance of these findings to the protonation
sites of natural Fe-S-based clusters, including FeMo-cofactor from nitrogenase,
are presented.
PMID- 18044879
TI - Magnetic circular dichroism evidence for a weakly coupled heme-radical pair at
the active site of cytochrome cd1, a nitrite reductase.
AB - In nitrite-treated cytochrome cd1 nitrite reductase, heme d1 is electron
paramagnetic resonance silent but paramagnetic. Analysis of the unusual
temperature dependence of the magnetic circular dichroism spectra unambiguously
demonstrates that the heme d1 is not in the oxoferryl (FeIV=O) state but is low
spin FeIII weakly coupled to a radical species. This species could be either a
protein-bound radical generated by a nitrite ion reacting with a heme group
resulting in a one-electron oxidation of an amino acid residue, possibly tyrosine
or tryptophan, adjacent to heme d1, or a heme d1 FeIIINO complex.
PMID- 18044880
TI - fac-[Re(CO)(3)L](+) complexes with N-CH(2)-CH(2)-X-CH(2)-CH(2)-N tridentate
ligands. synthetic, X-ray crystallographic, and NMR spectroscopic investigations.
AB - Polyamine ligands (L) have excellent binding characteristics for the formation of
fac-99mTc(CO)3-based radiopharmaceuticals. Normally, these L are elaborated so as
to leave pendant groups designed to impart useful biodistribution characteristics
to the fac-[99mTc(CO)3L] imaging agent. Our goal is to lay a foundation for
understanding the features of the bound elaborated ligands by using the fac
[Re(CO)3L]-analogue approach with the minimal prototypical ligands,
diethylenetriamine (dien) or simple dien-related derivatives. Treatment of the
fac-[Re(CO)3(H2O)3]+ cation with such triamine (NNN) ligands afforded fac
[Re(CO)3L]+ complexes. Ligand variations included having a central amine
thioether donor, thus allowing X-ray crystallographic and NMR spectroscopic
comparisons of fac-[Re(CO)3L]+ complexes with NNN and NSN ligands. fac
[Re(CO)3L]+ complexes with two terminal exo-NH groups exhibit unusually far
upfield exo-NH NMR signals in DMSO-d6. Upon the addition of Cl-, these exo-NH
signals move downfield, while the signals of any endo-NH or central NH groups
move very little. This behavior is attributed to the formation of 1:1 ion pairs
having selective Cl- hydrogen bonding to both exo-NH groups. Base addition to a
DMSO-d6 solution of meso-exo-[Re(CO)3(N,N',N''-Me3dien)]PF6 led to isomerization
of only one NHMe group, producing the chiral isomer. The meso isomer did not
form. The [Re(CO)3(N,N,N',N'',N''
pentamethyldiethylenetriamine)]triflate.[Re(CO)3(mu3-OH)]4.3.35H2O crystal, the
first structure with a fac-[Re(CO)3L] complex cocrystallized with this well-known
cluster, provided parameters for a bulky NNN ligand and also reveals CO-CO
interlocking intermolecular interactions that could stabilize the crystal.
PMID- 18044881
TI - Determination of the intrinsic affinities of multiple site-specific Mg(2+) ions
coordinated to domain 6 of a group II intron ribozyme.
AB - Group II introns are large metallo-ribozymes that use divalent metal ions in
folding and catalysis. The 3'-terminal domain 6 (D6) contains a conserved
adenosine whose 2'-OH group acts as the nucleophile in the first splicing step.
In the hierarchy of folding, D6 binds last into the active site. In order to
investigate and understand the folding process to the catalytically active intron
structure, it is important to know the individual binding affinities of Mg2+ ions
to D6. We recently studied the solution structure of a 27 nucleotide long D6 (D6
27) from the mitochondrial yeast group II intron Sc.ai5gamma, also identifying
five Mg2+ binding sites including the one at the 5'-terminal phosphate residues.
Mg2+ coordination to the 5'-terminal di- and triphosphate groups is strongest
(e.g., log KA,TP = 4.55 +/- 0.10) and is evaluated here in detail for the first
time. The other four binding sites within D6-27 are filled simultaneously (e.g.,
log KA,BR = 2.38 +/- 0.06) and thus compete for the free Mg2+ ions in solution,
having a distinct influence on the individual affinities of the various sites.
For the first time, we take this competition into account to obtain the intrinsic
binding constants, describing a method that is generally applicable. Our data
illustrates that any RNA molecule undergoing tertiary contacts to a second RNA
molecule first needs to be loaded evenly and specifically with metal ions to
compensate for the repulsion between the negatively charged RNA molecules.
PMID- 18044882
TI - Kinetics of dissociation of molecular oxygen from a superoxorhodium(III) complex
and reactivity of a macrocyclic rhodium(II) ion.
AB - The kinetics of disappearance of the superoxorhodium complex L2(H2O)RhOO2+ (L2 =
meso-hexamethylcyclam) were determined in the presence of several oxidants (H2O2,
(NH3)5CoBr2+, and IrCl62-) in both air-free and air-saturated aqueous solutions.
Under air-free conditions, the reaction obeyed first-order kinetics. After the
correction for the appropriate stoichiometric factors, the value of the rate
constant kh was the same irrespective of the oxidant, kh = 2.18 (+/-0.37) x 10(
4) s(-1) at 25.0 degrees C in acidic solutions. The disappearance of
L2(H2O)RhOO2+ was slower in the presence of O2. All the data suggest a sequence
of reactions beginning with homolytic dissociation of O2 from L2(H2O)RhOO2+,
followed by capture of the newly generated L2(H2O)Rh2+ by added oxidants in
competition with O2. The equilibrium constant for O2 binding by L2(H2O)Rh2+ is
109-fold greater than that for the cobalt analogue. This difference is attributed
to the lower reduction potential of the rhodium complex.
PMID- 18044883
TI - Speciation of uranyl complexes in ionic liquids by optical spectroscopy.
AB - Uranyl complexes dissolved in room-temperature ionic liquids have diagnostic
absorption and emission spectra which reflect the molecular symmetry and
geometry. In particular, the characteristic vibrational fine structure of the
absorption spectra allows identification of the molecular symmetry of a uranyl
complex. The concept of speciation of uranyl complexes is illustrated for the
hydrated uranyl ion, the tetrachloro complex [UO2Cl4]2-, the trinitrato complex
[UO2(NO3)3]-, the triacetato complex [UO2(CH3COO)3]-, and the crown ether complex
[UO2(18-crown-6)]2+ in imidazolium and pyrrolidinium
bis(trifluoromethylsulfonyl)imide ionic liquids. The competition between 18-crown
6 and small inorganic ligands for coordination to the uranyl ion was
investigated. The crystal structures of the hydrolysis product [(UO2)2(mu2
OH)2(H2O)6] [UO2Br4](18-crown-6)4 and imidazolium salt [C6mim]2[UO2Br4] are
described.
PMID- 18044884
TI - Reactivity and catalytic activity of a robust ruthenium(II)-triphos complex.
AB - The ruthenium(II)-triphos acetato complex [RuCl(OAc)(kappa3-triphos)] (triphos =
(PPh2CH2)3CMe) has been found to be an active catalyst precursor for the
hydrogenation of 1-alkenes under relatively mild conditions (5-50 bar H2, 50
degrees C). In contrast to related triphenylphosphine complexes,
[RuCl(OAc)(kappa3-triphos)] is much less air sensitive and high catalytic
activities were achieved when catalyst samples were prepared without exclusion of
air or moisture. Substitution of the acetato ligand can be effected by treatment
of acid, affording [Ru2(mu-Cl)3(kappa3-triphos)2]Cl and [RuCl(kappa3
triphos)]2(BF4)2 with aqueous HCl and [Et2OH]BF4, respectively, or by heating
with dmpm in the presence of [NH4]PF6, resulting in formation of [RuCl(kappa2
dmpm)(kappa3-triphos)]PF6 (dmpm = PMe2CH2PMe2). A hydride complex, [RuHCl(kappa3
triphos)], formed by acetato-mediated heterolytic cleavage of dihydrogen is
proposed as the active catalytic species. An inner-sphere, monohydride mechanism
is suggested for the catalytic cycle, with chloro and triphos ligands playing a
spectator role. These mechanistic proposals are consistent with reactivity
studies carried out on [RuCl(OAc)(kappa3-triphos)] and [RuH(OAc)(kappa3-triphos)]
and supported by a computational analysis. The solid-state structures of
[RuCl(OAc)(kappa3-triphos)], [RuCl(kappa3-triphos)]2(BF4)2, and [RuCl(kappa2
dmpm)(kappa3-triphos)]PF6 have been established by X-ray diffraction.
PMID- 18044885
TI - Development of a series of P(CH(2)N=CHR)(3) and trisubstituted 1,3,5-Triaza-7
phosphaadamantane ligands.
AB - The synthesis and structure of a series of novel phosphine ligands derived from
the condensation of P(CH2NH2) with aldehydes are described. Depending on the
reaction conditions, either substituted tris(iminomethyl)phosphine, P(CH2N=CHR)3,
or 1,3,5-triaza-7-phosphaadamantane structures substituted at the "lower rim",
PTAR3, are obtained.
PMID- 18044886
TI - Substituent effects in formally quintuple-bonded ArCrCrAr compounds (Ar =
terphenyl) and related species.
AB - The effects of different terphenyl ligand substituents on the quintuple Cr-Cr
bonding in arylchromium(I) dimers stabilized by bulky terphenyl ligands (Ar) were
investigated. A series of complexes, ArCrCrAr (1-4; Ar = C6H2-2,6-(C6H3-2,6
iPr2)2-4-X, where X = H, SiMe3, OMe, and F), was synthesized and structurally
characterized. Their X-ray crystal structures display similar trans-bent
C(ipso)CrCrC(ipso) cores with short Cr-Cr distances that range from 1.8077(7) to
1.8351(4) A. There also weaker Cr-C interactions [2.294(1)-2.322(2) A] involving
an C(ipso) of one of the flanking aryl rings. The data show that the changes
induced in the Cr-Cr bond length by the different substituents X in the para
positions of the central aryl ring of the terphenyl ligand are probably a result
of packing rather than electronic effects. This is in agreement with density
functional theory (DFT) calculations, which predict that the model compounds (4
XC6H4)CrCr(C6H4-4-X) (X = H, SiMe3, OMe, and F) have similar geometries in the
gas phase. Magnetic measurements in the temperature range of 2-300 K revealed
temperature-independent paramagnetism in 1-4. UV-visible and NMR spectroscopic
data indicated that the metal-metal-bonded solid-state structures of 1-4 are
retained in solution. Reduction of (4-F3CAr')CrCl (4-F3CAr' = C6H2-2,6-(C6H3-2,6
iPr2)2-4-CF3) with KC8 gave non-Cr-Cr-bonded fluorine-bridged dimer {(4
F3CAr')Cr(mu-F)(THF)}2 (5) as a result of activation of the CF3 moiety. The
monomeric, two-coordinate complexes [(3,5-iPr2Ar*)Cr(L)] (6, L = THF; 7, L =
PMe3; 3,5-iPr2Ar* = C6H1-2,6-(C6H-2,4,6-iPr3)2-3,5-iPr2) were obtained with use
of the larger 3,5-Pri2-Ar* ligand, which prevents Cr-Cr bond formation. Their
structures contain almost linearly coordinated CrI atoms, with high-spin 3d5
configurations. The addition of toluene to a mixture of (3,5-iPr2Ar*)CrCl and KC8
gave the unusual dinuclear benzyl complex [(3,5-iPr2Ar*)Cr(eta3:eta6-CH2Ph)Cr(Ar*
1-H-3,5-iPr2)] (8), in which a C-H bond from a toluene methyl group was
activated. The electronic structures of 5-8 have been analyzed with the aid of
DFT calculations.
PMID- 18044887
TI - Secondary nucleation and growth of ZnO.
AB - Recently we discovered that under certain conditions new crystal growth (branch)
can be induced on specific crystalline planes of the same material. This is a new
phenomenon and is in sharp contrast to typical nucleation and growth in which a
crystal will simply grow larger in preferred directions depending on the surface
energy of the specific crystalline planes. Based on our observation, we developed
a sequential nucleation and growth technique offering the power to assemble
complex hierarchical crystals step-by-step. However, the key questions of when
and how the secondary nucleation takes place have not been answered. Here we
systematically study secondary ZnO crystal growth using organic diamine additives
with a range of chain lengths and concentration. We found that ZnO branches form
for a narrow diamine concentration range with a critical lower and upper critical
nucleation concentration limit, which increases by about a factor of 5 for each
additional carbon in the diaminoalkane chain. Our results suggest that the narrow
window for secondary growth is dictated by the solubility of the ZnO crystals,
where the low critical nucleation concentration is determined by slight etching
of the surface to produce new nucleation sites, and the upper critical
concentration is determined by the supersaturation concentration. Kinetic
measurements show that the induction time and growth rate increase with
increasing diamine concentration and follow classical nucleation and growth
theory. Observations of branch morphological evolution reveal the mechanisms
guiding the tunable crystal size and morphology.
PMID- 18044888
TI - Residue-specific pKa determination of lysine and arginine side chains by indirect
15N and 13C NMR spectroscopy: application to apo calmodulin.
AB - Electrostatic interactions in proteins can be probed experimentally through
determination of residue-specific acidity constants. We describe here triple
resonance NMR techniques for direct determination of lysine and arginine side
chain protonation states in proteins. The experiments are based on detection of
nonexchangeable protons over the full range of pH and temperature and therefore
are well suited for pKa determination of individual amino acid side chains. The
experiments follow the side-chain 15Nzeta (lysine) and 15Nepsilon or 13Czeta
(arginine) chemical shift, which changes due to sizable changes in the
heteronuclear electron distribution upon (de)protonation. Since heteronuclear
chemical shifts are overwhelmed by the charge state of the amino acid side chain
itself, these methods supersede 1H-based NMR in terms of accuracy, sensitivity,
and selectivity. Moreover, the 15Nzeta and 15Nepsilon nuclei may be used to probe
changes in the local electrostatic environment. Applications to three proteins
are described: apo calmodulin, calbindin D9k, and FKBP12. For apo calmodulin,
residue-specific pKa values of lysine side chains were determined to fall between
10.7 and 11.2 as a result of the high net negative charge on the protein surface.
Ideal two-state titration behavior observed for all lysines indicates the absence
of significant direct charge interactions between the basic residues. These
results are compared with earlier studies based on chemical modification.
PMID- 18044890
TI - Watching electrons move in real time: ultrafast infrared spectroscopy of a
polymer blend photovoltaic material.
AB - The dynamics of photoinduced charge separation and the motion of the resulting
electrons are examined in an organic photovoltaic material with a combination of
ultrafast two-dimensional infrared (2D IR) and visible pump-infrared probe (Vis
IR) spectroscopy. The carbonyl (C=O) stretch of the butyric acid methyl ester
group of a functionalized fullerene, PCBM, is probed as a local vibrational
reporter of the dynamics in a blend of the fullerene with a conjugated polymer,
CN-MEH-PPV. Charge transfer occurs preferentially at the interfaces between the
roughly spherical domains of fullerene molecules and the polymer. Comparison of
the Vis-IR and 2D IR spectra reveals that the fullerene molecules at the
interfaces of the domains possess higher frequency carbonyl vibrational modes,
while molecules in the centers of the domains have lower frequency modes relative
to the center of the transition. The correlation between the frequency of a
carbonyl mode and the spatial position of its host fullerene molecule provides a
means to observe the motion of electrons within individual domains through the
spectral evolution of the carbonyl bleach. From the spectral evolution, we find
that the average radial velocity of electrons is 1-2 m/s, which suggests an
intrinsic mobility that is at least one order of magnitude greater than the
mobility in the polymer blend. The results indicate that organic solar cells with
higher mobility and thus efficiency may be realized by controlling the morphology
of the polymer and fullerene materials.
PMID- 18044889
TI - Sphingomyelin/phosphatidylcholine and cholesterol interactions studied by imaging
mass spectrometry.
AB - Label-free imaging mass spectrometry is utilized the first time to study lipid
lipid interactions in a model membrane system. Ternary lipid mixtures of
cholesterol (CH), sphingomyelin (SM), and phosphatidylcholine (PC) on supported
Langmuir-Blodgett films are investigated as a mimic of the cellular membrane. The
unique chemical specificity and imaging capability allow identification and
localization of each lipid molecule in the membranes. The SM and PC in each
ternary mixture vary in their acyl chain saturation with both, either, or neither
one double bonded at the same position of their acyl chain. For the ternary
mixtures with SM and PC both saturated or unsaturated, all the lipids are evenly
distributed in the molecule-specific images. However, domain structures were
observed for the two mixtures with either SM or PC unsaturated. In both films,
the saturated lipid, whether it is SM or PC, colocalized with CH while the
unsaturated lipid was excluded from the CH domains. These results strongly
suggest that acyl chain saturation, rather than the specific interactions between
SM and CH, is the dominating factor for SM colocalization with CH in the raft
areas of the cellular membranes.
PMID- 18044891
TI - Chemosensory performance of molecularly imprinted fluorescent conjugated polymer
materials.
AB - Fluorescent conjugated polymers are an attractive basis for the design of low
detection limit sensing devices owing to their intrinsic signal amplification
capability. A simple and universal method to rationally control or fine-tune the
chemodetection selectivity of conjugated polymer materials toward a desired
analytical target would further benefit their applications. In a quest of such a
method we investigated a general approach to cross-linked molecularly imprinted
fluorescent conjugated polymer (MICP) materials that possess an intrinsic
capability for signal transduction and have potential to enhance selectivity and
sensitivity of sensor devices based on conjugated polymers. To study these
capabilities, we prepared an MICP material for the detection of 2,4,6
trinitrotoluene and related nitroaromatic compounds. We found the imprinting
effect in this material to be based on analyte shape/size recognition being
substantial and generally overcoming other competing thermodynamically determined
trends. The described molecularly imprinted fluorescent conjugated polymers show
remarkable air stability and photostability, high fluorescence quantum yield, and
reversible analyte binding and therefore are advantageous for sensing
applications due to the ability to "preprogram" their detection selectivity
through a choice of an imprinted template.
PMID- 18044892
TI - Highly soluble [1]benzothieno[3,2-b]benzothiophene (BTBT) derivatives for high
performance, solution-processed organic field-effect transistors.
AB - 2,7-Dialkyl[1]benzothieno[3,2-b]benzothiophenes were tested as solution
processible molecular semiconductors. Thin films of the organic semiconductors
deposited on Si/SiO2 substrates by spin coating have well-ordered structures as
confirmed by XRD analysis. Evaluations of the devices under ambient conditions
showed typical p-channel FET responses with the field-effect mobility higher than
1.0 cm2 V-1 s-1 and Ion/Ioff of approximately 10(7).
PMID- 18044893
TI - Single crystal-to-single crystal transformation from ferromagnetic discrete
molecules to a spin-canting antiferromagnetic layer.
AB - A unique single crystal-to-single crystal transformation from 0D discrete
molecules to a 2D coordination polymer exhibits magnetic property changes from a
short-range ferromagnetic coupling to a long-range canting antiferromagnetic
ordering.
PMID- 18044894
TI - Single-label kinase and phosphatase assays for tyrosine phosphorylation using
nanosecond time-resolved fluorescence detection.
AB - The collision-induced fluorescence quenching of a 2,3-diazabicyclo[2.2.2]oct-2
ene-labeled asparagine (Dbo) by hydrogen atom abstraction from the tyrosine
residue in peptide substrates was introduced as a single-labeling strategy to
assay the activity of tyrosine kinases and phosphatases. The assays were tested
for 12 different combinations of Dbo-labeled substrates and with the enzymes p60c
Src Src kinase, EGFR kinase, YOP protein tyrosine phosphatase, as well as acid
and alkaline phosphatases, thereby demonstrating a broad application potential.
The steady-state fluorescence changed by a factor of up to 7 in the course of the
enzymatic reaction, which allowed for a sufficient sensitivity of continuous
monitoring in steady-state experiments. The fluorescence lifetimes (and
intensities) were found to be rather constant for the phosphotyrosine peptides
(ca. 300 ns in aerated water), while those of the unphosphorylated peptides were
as short as 40 ns (at pH 7) and 7 ns (at pH 13) as a result of intramolecular
quenching. Owing to the exceptionally long fluorescence lifetime of Dbo, the
assays were alternatively performed by using nanosecond time-resolved
fluorescence (Nano-TRF) detection, which leads to an improved discrimination of
background fluorescence and an increased sensitivity. The potential for inhibitor
screening was demonstrated through the inhibition of acid and alkaline
phosphatases by molybdate.
PMID- 18044895
TI - Characterization of pore structure in metal-organic framework by small-angle X
ray scattering.
AB - MOF-5-like crystals were studied by small-angle X-ray scattering (SAXS) to
reveal, both quantitatively and qualitatively, their real structural details,
including pore surface characteristics, pore shape, size distribution, specific
surface area (SSA), spatial distribution, and pore-network structure. A combined
SAXS and wide-angle X-ray scattering (WAXS) experiment was conducted to
investigate the variation of the pore structure with the MOF-5 crystalline phase
produced at different cooling rates. The SSA of the MOF-5 crystals synthesized
herein spanned a broad range from approximately 3100 to 800 m2/g. The real pore
structures were divided into two regimes. In regime I the material consisted
mainly of micropores of radius approximately 8 A as well as mesopores of radius
120 approximately 80 A. The structure in regime II was a fractal network of
aggregated mesopores with radius >or=32 A as the monomer, reducing SSA and
hydrogen uptake capacity at room temperature. The two regimes can be manipulated
by controlling the synthesis parameters. The concurrent evolution of pore
structure and crystalline phase during heating for solvent removal was also
revealed by the in-situ SAXS/WAXS measurement. The understanding of the impact of
the real pore structure on the properties is important to establish a favorable
synthetic approach for markedly improving the hydrogen storage capacity of MOF-5.
PMID- 18044896
TI - Stable aqueous dispersion of ZnO quantum dots with strong blue emission via
simple solution route.
AB - The aqueous dispersion of ZnO quantum dots (QDs) with strong blue emission
(quantum yield of 76%) was synthesized through a simple solution route. The water
stability of such QDs is provided by the hydroxyl groups on their surface, and
the strong blue emission is suggested to arise from the formation of surface
ZnO/oleic acid complexes. Under irradiation, these complexes are thought to
absorb the excitation light with 3.54 eV and then generate the blue emission with
2.82 eV.
PMID- 18044897
TI - Factors controlling adsorption equilibria from solution onto solid surfaces: the
uptake of cinchona alkaloids on platinum surfaces.
AB - The room-temperature adsorption of four closely related cinchona alkaloids and
three reference quinoline-based compounds from CCl4 solutions onto a
polycrystalline platinum surface was characterized by in situ reflection
absorption infrared spectroscopy (RAIRS). The adsorption equilibrium constants
(Kads) were found to follow the sequence cinchonine > quinidine > cinchonidine >
quinine > 6-methoxyquinoline > lepidine > quinoline. Some of this ordering can be
explained by differences in solubility, but quinidine displays a much larger Kads
than expected on the basis of its large relative solubility; bonding to the
surface must also play a role in determining its behavior. It was determined that
each alkaloid binds differently on Pt at saturation coverages. While the
quinoline ring of cinchonidine tilts along its long axis to optimize pi-pi
intermolecular interactions, in cinchonine it tilts along the short axis and
bonds through the lone electron pair of the nitrogen atom instead, and both
quinine and quinidine exhibit additional bonding via the methoxy oxygen atom at
intermediate concentrations. Perhaps a more surprising result from this work is
the fact that cinchonine displays a higher Kads than cinchonidine, quinine, or
quinidine even though, according to previous work, it can be easily displaced
from the surface by any of those other cinchona alkaloids. A full explanation of
these observations requires consideration of the solvent above the adsorbed
species.
PMID- 18044898
TI - Characterization of the covalently bound anionic flavin radical in monoamine
oxidase a by electron paramagnetic resonance.
AB - It was recently suggested that partially reduced monoamine oxidase (MAO) A
contains an equilibrium mixture of an anionic flavin radical and a tyrosyl
radical (Rigby, S. E.; et al. J. Biol. Chem. 2005, 280, 4627-4632). These
observations formed the basis for a revised radical mechanism for MAO. In
contrast, an earlier study of MAO B only found evidence for an anionic flavin
radical (DeRose, V. J.; et al. Biochemistry 1996, 35, 11085-11091). To resolve
the discrepancy, we have performed continuous-wave electron paramagnetic
resonance at 94 GHz (W-band) on the radical form of MAO A. A comparison with d
amino acid oxidase (DAAO) demonstrates that both enzymes only contain anionic
flavin radicals. Pulsed electron-nuclear double resonance spectra of the two
enzymes recorded at 9 GHz (X-band) reveal distinct hyperfine coupling patterns
for the two flavins. Density functional theory calculations show that these
differences can be understood in terms of the difference at C8alpha of the
isoalloxazine ring. DAAO contains a noncovalently bound flavin whereas MAO A
contains a flavin covalently bound to a cysteinyl residue at C8alpha. The similar
electronic structures and hydrophobic environments of MAO and DAAO, and the
similar structural motifs of their substrates suggest that a direct hydride
transfer catalytic mechanism established for DAAO (Umhau, S.; et al. Proc. Natl.
Acad. Sci. U.S.A. 2000, 97, 12463-12468) should be considered for MAO.
PMID- 18044900
TI - Star-shaped oligo(p-phenylenevinylene) substituted hexaarylbenzene: purity,
stability, and chiral self-assembly.
AB - An oligo(p-phenylenevinylene) (OPV)-substituted hexaarylbenzene has been
synthesized and fully characterized. Recycling gel permeation chromatography
appeared to be a powerful technique to obtain the OPV molecules in a very pure
form. X-ray analysis and polarization optical microscopy revealed that the OPV
molecule is plastic crystalline at room temperature with an ordered columnar
superstructure. In apolar solvents, the molecules self-assemble via a highly
cooperative fashion into right-handed chiral superstructures, which are stable
even at high temperatures and low concentration. Atomic force microscopy revealed
right-handed fibers with a diameter of 6 nm, indicating pi-stacked aggregates; on
a silicon oxide substrate, supercoiled chiral structures were observed. STM
studies on a liquid-solid interface showed that the star-shaped OPV molecule
forms an organized monolayer having a chiral hexagonal lattice.
PMID- 18044899
TI - Routes to covalent catalysis by reactive selection for nascent protein
nucleophiles.
AB - Reactivity-based selection strategies have been used to enrich combinatorial
libraries for encoded biocatalysts having revised substrate specificity or
altered catalytic activity. This approach can also assist in artificial evolution
of enzyme catalysis from protein templates without bias for predefined catalytic
sites. The prevalence of covalent intermediates in enzymatic mechanisms suggests
the universal utility of the covalent complex as the basis for selection.
Covalent selection by phosphonate ester exchange was applied to a phage display
library of antibody variable fragments (scFv) to sample the scope and mechanism
of chemical reactivity in a naive molecular library. Selected scFv segregated
into structurally related covalent and noncovalent binders. Clones that reacted
covalently utilized tyrosine residues exclusively as the nucleophile. Two motifs
were identified by structural analysis, recruiting distinct Tyr residues of the
light chain. Most clones employed Tyr32 in CDR-L1, whereas a unique clone (A.17)
reacted at Tyr36 in FR-L2. Enhanced phosphonylation kinetics and modest amidase
activity of A.17 suggested a primitive catalytic site. Covalent selection may
thus provide access to protein molecules that approximate an early apparatus for
covalent catalysis.
PMID- 18044901
TI - Crucial role of fluorescence in the solid-state thermochromism of
salicylideneanilines.
AB - The thermochromism of salicylideneanilines in the solid state has been so far
explained only in terms of the temperature-induced change of light absorption,
which is caused by the shift of the tautomeric equilibrium between the enol and
cis-keto forms. In this study, we measured variable temperature diffuse
reflectance and fluorescence spectra of the crystalline powders of
salicylideneanilines. We also determined their fluorescence quantum yields. The
chromaticity coordinates at each temperature were calculated from these data and
compared to the perceived colors of the powders. We found that the temperature
induced shift of the tautomeric equilibrium is not the main cause of the
thermochromic color change of the thermochromic crystals and that the
thermochromism can be explained only by taking account of the temperature-induced
change of fluorescence.
PMID- 18044902
TI - The lyngbyatoxin biosynthetic assembly line: chain release by four-electron
reduction of a dipeptidyl thioester to the corresponding alcohol.
AB - In comparison with the large number of nonribosomal peptide synthetases (NRPSs)
that release their peptide products by hydrolytic cleavage of the peptide carrier
protein (PCP) bound thioester, there are relatively few NRPSs that have been
shown to use a nicotinamide cofactor to reduce this PCP-peptidyl thioester to an
aldehyde or imine moiety. This work describes the first example of a reductase
domain within a NRPS scaffold shown to reduce a PCP-peptidyl thioester to the
corresponding primary alcohol, via an aldehyde intermediate, using two
equivalents of reduced nicotinamide adenine dinucleotide phosphate (NADPH). By
employing a ketone mimic of the aldehyde intermediate, as well as a specifically
deuterated NADPH, it was further demonstrated that the pro-S hydride of the
cofactor is transferred to the re face of the carbonyl group.
PMID- 18044904
TI - Base-promoted reactions of dichlorocarbene adducts of cyclic enamines: a new
route to annulated pyrroles.
AB - Treatment of the gem-dihalogenocyclopropanes 1-5 with potassium tert-butoxide or
LDA results in the formation of the corresponding and annulated pyrroles 13-17,
respectively.
PMID- 18044905
TI - A convenient and general iron-catalyzed hydrosilylation of aldehydes.
AB - A general and highly chemoselective hydrosilylation of aldehydes using iron
catalysts is reported. Fe(OAc)2 in the presence of tricyclohexylphosphine as
ligand and polymethylhydrosiloxane (PMHS) as an economical hydride source forms
an efficient catalyst system for the hydrosilylation of a variety of aldehydes.
Aryl, heteroaryl, alkyl and alpha,beta-unsaturated aldehydes are successfully
reduced to the corresponding primary alcohols. Broad substrate scope and high
tolerance against several functional groups make the process synthetically
useful.
PMID- 18044906
TI - Open-shell singlet character of cyclacenes and short zigzag nanotubes.
AB - The electronic ground states of [n]cyclacenes, as well as short-zigzag nanotubes,
computed at unrestricted broken spin-symmetry density functional theory (UBS
DFT), were found to be open-shell singlets, rather than triplets. Computations
for [6]cyclacene at complete active space self-consistent field (CASSCF) and
multireference perturbation theory (MRMP2) levels support this conclusion. Along
with strain, the radical character of the open-shell singlet with
antiferromagnetically coupled electron spins may contribute to the difficulties
in synthesizing [n]cyclacenes.
PMID- 18044907
TI - Copper(II) carboxylate-promoted intramolecular carboamination of alkenes for the
synthesis of polycyclic lactams.
AB - The copper(II) carboxylate-promoted intramolecular carboamination reactions of
variously substituted gamma-alkenyl amides have been investigated. These
oxidative cyclization reactions efficiently provide polycyclic lactams, useful
intermediates in nitrogen heterocycle synthesis, in good to excellent yields. The
efficiency of the carboamination process is dependent upon the structure of the
amide backbone as well as the nitrogen substituent.
PMID- 18044908
TI - Allocolchicines via intramolecular Nicholas reactions: the synthesis of NSC
51046.
AB - Biaryl propargyl acetate hexacarbonyldicobalt complexes (4) undergo Lewis acid
mediated Nicholas reactions with a remote arene function to afford
dibenzocycloheptyne complexes (9). Reductive decomplexation based on a
hydrosilylation-protodesilylation protocol is facile, and the 1,2,3,9
tetramethoxy case can be converted to NSC 51046 ((S)-N-acetylcolchicinol methyl
ether, 3).
PMID- 18044909
TI - Facile synthesis of naphthoquinone spiroketals by diastereoselective oxidative [3
+ 2] cycloaddition.
AB - A highly selective oxidative [3 + 2] cycloaddition of chiral enol ethers and
hydroxynaphthoquinone is described. This convergent strategy is amenable to an
enantioselective synthesis of beta-rubromycin and related naphthoquinone
spiroketals. Several compounds were found to inhibit DNA-polymerase and
telomerase in a manner resembling alpha-rubromycin and beta-rubromycin.
PMID- 18044910
TI - Chemoenzymatic synthesis of (S)-hexafluoroleucine and (S)-tetrafluoroleucine.
AB - We have developed a short chemoenzymatic synthesis for both (S)-5,5,5,5',5',5'
hexafluoroleucine (Hfl) and (S)-5,5,5',5'-tetrafluoroleucine (Qfl) on gram scale.
Qfl was incorporated into a peptide using standard solid-phase peptide synthesis
protocols to measure its helix propensity. The helix propensity for Qfl is 0.68
kcal.mol-1 more favorable compared to Hfl.
PMID- 18044911
TI - Remarkable effect of N-substituent on enantioselective ruthenium-catalyzed
propargylation of indoles with propargylic alcohols.
AB - Ruthenium-catalyzed enantioselective propargylation of indoles with propargylic
alcohols affords the corresponding beta-propargylated indoles in good yields with
a high enantioselectivity (up to 95% ee). A remarkable effect of the nature of
the N-substituent of indoles is observed for the enantioselectivity of the
propargylated indoles. The preparative method described in this paper may provide
a novel protocol for asymmetric Friedel-Crafts alkylation of indoles using
propargylic alcohols as a new type of electrophiles.
PMID- 18044912
TI - Model studies of beta-scission ring-opening reactions of cyclohexyloxy radicals:
application to thermal rearrangements of dispiro-1,2,4-trioxanes.
AB - A DFT study of model cyclohexyloxy radicals (8a-c, 9) show that (a) the presence
of an adjacent oxygen atom, and (b) alpha-substituents on the cyclohexyl ring,
particularly methoxy, accelerate the rate of beta-scission ring-opening
reactions. Consistent with theoretical results, thermolysis of the methoxy
substituted dispiro-1,2,4-trioxane 10 afforded the structurally novel, 14
membered macrocyclic keto lactone 11 as the major isolable product.
PMID- 18044913
TI - A novel catechol-based universal support for oligonucleotide synthesis.
AB - A novel universal support for deoxyribo- and ribonucleic acid synthesis has been
developed. The support, constructed from 1,4-dimethoxycatechol, represents an
improvement over existing universal supports because of its ability to cleave and
deprotect under mild conditions in standard reagents. Because no nonvolatile
additives are required for cleavage and deprotection, the synthesized
oligonucleotides do not require purification prior to use in biochemical assays.
Using reverse phase HPLC and electrospray mass spectroscopy, it was determined
that oligonucleotides synthesized on the universal support (UL1) 3'
dephosphorylate quickly (9 h in 28-30% ammonium hydroxide (NH4OH) at 55 degrees
C, 2 h in 28-30% NH4OH at 80 degrees C, or <1 h in ammonium hydroxide/methylamine
(1:1) (AMA) at 80 degrees C). Oligonucleotides used as primers for the polymerase
chain reaction (PCR) assay were found to perform identically to control primers,
demonstrating full biological compatibility. In addition, a method was developed
for sintering the universal support directly into a filter plug which can be
pressure fit into the synthesis column of a commercial synthesizer. The universal
support plugs allow the synthesis of high-quality oligonucleotides at least 120
nucleotides in length, with purity comparable to non-universal commercial
supports and approximately 50% lower reagent consumption. The universal support
plugs are routinely used to synthesize deoxyribo-, ribo-, 3'-modified, 5'
modified, and thioated oligonucleotides. The flexibility of the universal support
and the efficiency of 3'-dephosphorylation are expected to increase the use of
universal supports in oligonucleotide synthesis.
PMID- 18044914
TI - Semisynthesis of long-chain alkyl ether derivatives of sulfated oligosaccharides
via dibutylstannylene acetal intermediates.
AB - Long-chain alkyl ether derivatives of sulfated oligosaccharides were
semisynthesized as follows: two naturally occurring red seaweed galactans
(neutral agarose and kappa-carrageenan) were submitted to partial reductive
hydrolysis to give neutral and sulfated oligosaccharide alditols. The neutral
disaccharide alditol (1) and its trityl ether (5) were sulfated and/or alkylated
through formation of their dibutylstannylene or (bis)dibutylstannylene acetals.
In these reactions, the dibutylstannylene acetals of the terminal 1,2-diols in
the alditol units were more reactive than those formed on the cis-diols of the
galactopyranosidic units. This property allowed the regioselective monoalkylation
of a neutral tetrasaccharide alditol (2), which contained eleven free hydroxyl
groups, the highest selectivity ever observed with dibutylstannylene acetals. An
alkylated/sulfated derivative (11) was also obtained through the regioselective
alkylation of a naturally sulfated disaccharide alditol (10, a kappa-carrageenan
derivative).
PMID- 18044915
TI - On the mechanism and kinetics of radical reactions of epoxyketones and
epoxynitriles induced by titanocene chloride.
AB - The reactions of a series of epoxynitriles and epoxyketones induced by titanocene
chloride have been studied. The kinetics of the decyanogenation of beta,gamma
epoxynitriles with Ti(III) corresponds to a radical reaction (k25 approximately
106 s-1), as demonstrated by competition experiments with H-transfer from 1,4
cyclohexadiene (1,4-CHD) or PhSH or conjugate addition to acrylonitrile. The 5
exo cyclization onto nitrile induced by Ti(III) is a radical reaction (k25
approximately 107 s-1) as seen in competition experiments with H-transfer from
PhSH or the titanocene-water complex. The iminyl or alkoxyl radicals generated by
5-exo cyclization onto nitriles or ketones only undergo a reduction with Ti(III).
This reaction overwhelms any alternative process, such as tandem cyclization onto
alkenes or beta-scission. Iminyl radicals generated by 4-exo cyclizations onto
nitriles undergo reduction with Ti(III) and beta-scission reaction in a ratio of
96:4 when the alpha-substituent is CN. Alkoxyl radicals from 4-exo cyclizations
onto ketone carbonyls undergo reduction with Ti(III) and beta-scission in a ratio
of 60:40 when the alpha-substituent is COOR. In nearly all the reactions studied,
the role of Ti(III) is triple: a radical initiator (homolytic cleavage of
oxirane), a Lewis acid (coordination to CN or C=O), and a terminator (reduction
of iminyl or alkoxyl radicals).
PMID- 18044916
TI - Difluoromethyltrialkylammonium salts--their expeditious synthesis from
chlorodifluoromethane and tertiary amines in the presence of concentrated aqueous
sodium hydroxide. The catalytic process.
AB - We found that difluorocarbene generated from chlorodifluoromethane with 50%
aqueous sodium hydroxide reacts with lipophilic tertiary amines 1a-g giving
difluoromethyltrialkylammonium chlorides 2a-g in high yields. Similarly,
difluoromethyltrialkylammonium iodides 3h-l, nitrates 4h-k, or isothiocyanates
5i,j were synthesized from hydrophilic tertiary amines 1h-l and the corresponding
sodium or potassium salts. The process is catalytic with respect to the base
used.
PMID- 18044917
TI - Enantioselective pinacol coupling of aryl aldehydes catalyzed by chiral Salan
Mo(IV) complexes.
AB - Reported herein is the asymmetric pinacol coupling of aromatic aldehydes with
chiral Salan-Mo(VI) dioxo complex as an effective precatalyst. Chiral diols were
obtained with high diastereoselectivity and enantioselectivity up to 92/8 and
95%, respectively. The possible mechanism of the pinacol coupling reaction with
the catalytic system was investigated. The X-ray crystal structure of the
precatalyst Mo(L3)O2 was determined and the oxidation state of the intermediate C
was confirmed as +4 with X-ray photoelectron spectroscopy study. The proposed
mechanism speculated the stereochemical outcome of the reaction, and a working
model for the radical coupling of E was proposed, which explained the absolute
configuration of the favored (S,S)-enantiomer of the dl isomer.
PMID- 18044918
TI - IPy2BF4/HF-pyridine: a new combination of reagents for the transformation of
partially unprotected thioglycosides and n-pentenyl glycosides to glycosyl
fluorides.
AB - The combination of bis(pyridinium)iodonium (I) tetrafluoroborate (IPy2BF4), and
hydrogen fluoride pyridine (HF-py) forms an iodine monofluoride (IF) synthetic
equivalent that can be used in the preparation of partially unprotected glycosyl
fluorides from partially unprotected n-pentenyl glycosides and thioglycosides,
thus avoiding the need for the protection/deprotection steps normally required in
that transformation.
PMID- 18044919
TI - Diastereoselective production of homoallylic alcohols bearing quaternary centers
from gamma-substituted allylic indiums and ketones.
AB - Highly stereoselective In-employed addition of gamma-substituted allylic halides
(cyclohexenyl halides, cinnamyl halides, and ethyl 4-bromocrotonate) to ketones
is established to produce homoallyl alcohols bearing quaternary centers. The
reactivity patterns and relative stability of allylic indiums were studied. The
addition of water characteristically affected the reactions. Cyclohexenyl indium
addition was completely disturbed, but a clear reaction was observed in the
cinnamyl and crotonate-indium addition. In the case of ethyl 4-bromocrotonate, an
interesting conversion of a gamma-adduct into an alpha-adduct was observed in
anhydrous conditions.
PMID- 18044920
TI - Unprecedented detection of enantiomerization pi-barriers due to restricted aryl
torsion: case of 1,8-di-arylbiphenylenes.
AB - Dynamic NMR spectroscopy allowed, for the first time, the determination of the pi
barriers responsible for the enantiomerization processes in derivatives bearing
two aryl substituents bonded to a planar framework: this could be achieved in the
case of 1,8-di-m-tolylbiphenylene (1) and 1,8-di-m-xylylbiphenylene (2). In
derivative 1, the three possible conformers predicted by DFT computations (anti
in, syn, and anti-out) were detected, and in addition, the steric barrier
responsible for their interconversion could be measured. The barriers predicted
by DFT calculations were found in satisfactory agreement with experimental data.
PMID- 18044921
TI - Synthesis of S-acetyl oligoarylenedithiols via Suzuki-Miyaura cross-coupling.
AB - Oligoarylenes with three or four aromatic rings, bearing two S-acetylated
mercaptomethyl groups in 1,3 position on one end of the polyaromatic system and
presenting various functionalities on the other terminal ring, have been
synthesized by the Suzuki-Miyaura cross-coupling reaction. The use of palladium
complexes with a Buchwald's phosphine as ligand allowed us to perform this
coupling reaction also in the presence of benzylic S-acetyl-protected
functionalities on the aromatic halide. The obtained oligoarylenes are potential
novel candidates for the generation of self-assembling monolayers on metal
substrates.
PMID- 18044922
TI - Nitrone [2+3]-cycloadditions in stereocontrolled synthesis of a potent proteasome
inhibitor: (-)-omuralide.
AB - A new stereocontrolled synthetic route to omuralide has been developed from
methyl pyroglutamate. This route involves regio- and stereoselective N
methylnitrone 1,3-dipolar cycloadditions to appropriate pyrrolinones, beta
eliminations, and highly selective hydrogenations as the main steps.
PMID- 18044923
TI - A rational protocol for the synthesis of arylated bipyridine ligands via a
cycloaddition pathway.
AB - A generic design principle for the preparation of a variety of substituted phenyl
polypyridine ligands is described. These ligands are readily prepared by a
regioselective [4+2] cycloaddition between electron-deficient dienes, such as 2,6
disubstituted-1,3,4-triazines, and ethynyl-arenes or ethynyl-alkanes. Exceptional
reactivity is found with electron-rich dienophiles bearing ethynylgallate or
ethynylphenyldibutylamino groups. Two regioisomers are formed, the meta being
preferred due to favorable pi-pi interactions in the transition state, while the
para isomers are formed in low yields in most cases. The use of tert
butylacetylene or N,N-dimethylamino-2-propyne, however, drives the reaction
exclusively to the para isomer. Di-N,N-dibutylaminophenyl or isoquinoline ligands
can also be produced in a single step by reverse Diels-Alder reactions. Cross
coupling reactions of iodo-substituted ligands or their platinum(II) complexes
under Pd(0) catalysis gives branched ligands and complexes bearing paraffin
chains, electron-donor or electron-acceptor groups. The use of a chloro-Pt(II)
complex of an iodo-functionalized ligand allows both halogens to be replaced by
ethynyl groups by using different catalysts. This methodology readily
accommodates various functional groups and has been successfully extended to
systems containing a variety of donor/acceptor frameworks. All ligands strongly
absorb in the near-UV and luminesce in solution at rt with quantum yields ranging
from 0 to 66%. Excited state lifetimes are in the nanosecond range and the
solvent effects are in keeping with singlet excited states mixed with charge
transfer character. As deduced from spectroscopic and electrochemical studies,
the di-n-butylamino derivatives are strong reductants in the excited state.
PMID- 18044924
TI - Total syntheses of (-)-alpha-kainic acid and (+)-alpha-allokainic acid via
stereoselective C-H insertion and efficient 3,4-stereocontrol.
AB - Reported herein is a novel approach to the total syntheses of (-)-alpha-kainic
acid and (+)-alpha-allokainic acid, where the stereochemistries on C(2), C(3),
and C(4) of the pyrrolidine core were introduced efficiently and selectively. A
regio- and stereoselective C-H insertion reaction was utilized to prepare the
gamma-lactam as an intermediate. A Michael-type cyclization of phenylsulfone with
a conjugated acetylenic ketone was developed to prepare the tricyclic ketone as a
key intermediate for (-)-alpha-kainic acid. Subsequently, a stereoselective
dephenylsulfonylation was carried out successfully to secure the cis relationship
at C(3) and C(4) centers. An unprecedented acetylation on the phenylsulfone,
followed by a stereoselective dephenylsulfonylation, secured the trans
relationship at C(3) and C(4) centers in (+)-alpha-allokainic acid.
PMID- 18044925
TI - Nitration versus nitrosation chemistry of menthofuran: remarkable fragmentation
and dimerization pathways and expeditious entry into dehydromenthofurolactone.
AB - The reaction chemistry of menthofuran (1), a toxic furan terpenoid from various
mint oils, with nitric acid and nitrous acid has been investigated. Treatment of
1 with nitric acid afforded a 1:1 mixture of the bisfuran derivatives 5 and 6,
resulting from the unexpected cleavage of the furan into two carbonyl fragments
(3-methylcyclohexanone and hydroxyacetone) and their subsequent trapping by
unreacted 1. Under conditions of high dilution, the nitrofuran derivative 7 was
formed instead as the major reaction product. During investigation of this
chemistry, it was found that oxidation of 1 with DDQ led to the important
fragrant monoterpenoid 4 [dehydromenthofurolactone (anhydro Woodward-Eastman
lactone)] in 44% yield. Exposure of 1 to nitrite ions at pH 3 afforded a
completely different type of products, encompassing the known lactone 14, the
lactam 15, and the remarkable dimer 16, bearing a N-hydroxy-2-pyrrolinone moiety
linked to a nitrooximinofuran unit by an oxygen bridge. By using a combined
spectroscopic and DFT approach, the constitution and configuration of 16 could be
determined. These results fill a gap in the chemistry of furan compounds and
describe routes to menthofuran-derived scaffolds of potential synthetic and
biomedical relevance.
PMID- 18044926
TI - Highly efficient synthesis of optically pure 5,5',6,6',7,7',8,8'-octahydro-1,1'
bi-2-naphthol and -naphthylamine derivatives by partial hydrogenation of 1,1'
binaphthyls with carbon nanofiber supported ruthenium nanoparticles.
AB - Use of Ru/CNF-P, nanoruthenium particles dispersed on a nanocarbon fiber support,
realizes highly efficient catalytic partial hydrogenation of 1,1'-bi-2-naphthol
and -naphthyl-amine derivatives. The reactions proceed in high turnover numbers
without racemization of the axial chirality, offering a practical procedure for
the production of optically pure 5,5',6,6',7,7',8,8'-octahydro-1,1'-binaphthyls
in good to high yields.
PMID- 18044927
TI - An efficient synthesis of quaterrylenedicarboximide NIR dyes.
AB - Quaterrylenedicarboximides were prepared from 9-bromoperylene-3,4-dicarboximides
by palladium-catalyzed coupling with 3-perylene boronic ester, followed by
oxidative cyclodehydrogenation of the resulting perylene-perylenedicarboximide
dyads with iron(III) chloride. The quaterrylenedicarboximides, described here,
are highly photochemically and thermally stable dyes, which may be useful as
green NIR dyes (lambdamax = 735 nm) and as building blocks for the synthesis of
higher rylene dyes.
PMID- 18044928
TI - An improved Cu-based catalyst system for the reactions of alcohols with aryl
halides.
AB - The use of 3,4,7,8-tetramethyl-1,10-phenanthroline (Me(4)Phen) as a ligand
improves the Cu-catalyzed cross-coupling reactions of aryl iodides and bromides
with primary and secondary aliphatic, benzylic, allylic, and propargylic
alcohols. Most importantly, by employing this catalyst system, the need to use an
excessive quantity of the alcohol coupling partner is alleviated. The relatively
mild conditions, short reaction times, and moderately low catalyst loading allow
for a wide array of functional groups to be tolerated on both the electrophilic
and nucleophilic coupling partners.
PMID- 18044929
TI - Cu(I)-catalyzed intramolecular cyclization of alkynoic acids in aqueous media: a
"click side reaction".
AB - Alkynoic acids, in particular, 4-pentynoic acid derivatives, undergo
intramolecular cyclizations to enol lactones under reaction conditions typically
applied for the Cu(I)-catalyzed cycloaddition of terminal alkynes and azides
(click chemistry). Starting from appropriate alkynoic acid derivatives, either
enol lactones or 1,2,3-triazole click products can be obtained selectively by
Cu(I) catalysis in aqueous media.
PMID- 18044930
TI - The scope and mechanism of phosphonium-mediated S(N)Ar reactions in heterocyclic
amides and ureas.
AB - An efficient "one-step" synthesis of cyclic amidines and guanidines has been
developed. Treatment of cyclic amides and ureas with benzotriazol-1
yloxytris(dimethylamino)phosphonium hexafluorophosphate (BOP), base, and nitrogen
nucleophiles leads to the formation of the corresponding cyclic amidines and
guanidines, typically in good to excellent yields. This method has also been used
to prepare heteroaryl ethers and thioethers using phenol and thiophenol
nucleophiles. Time course NMR and HPLC-MS studies have facilitated explicit
characterization of the proposed intermediates (the phosphonium salt and HOBt
adduct); the data reveal a stepwise reaction pathway.
PMID- 18044931
TI - Lewis acid-mediated rearrangement of activated cyclic amines: a facile synthetic
protocol for the preparation of amino carbonyl compounds.
AB - Ring opening of activated cyclic amines to produce amino carbonyl compounds has
been studied in the presence of Lewis acids. Whereas five- and six-membered rings
cleave and rearrange via a 1,2-hydride shift, reaction in three- and four
membered rings takes place via a C-C bond migration. In the case of a three
membered ring, a wide variety of Lewis acids proved to be effective for the
reaction. Base-induced ring opening of activated alpha,alpha-disubstituted
azetidinemethanol and its mechanistic aspects have been studied.
PMID- 18044932
TI - Stereoselective total syntheses of three Lycopodium alkaloids, (-)-magellanine,
(+)-magellaninone, and (+)-paniculatine, based on two Pauson-Khand reactions.
AB - The total syntheses of (-)-magellanine, (+)-magellaninone, and (+)-paniculatine
were completed from diethyl l-tartrate via the common intermediate in a
stereoselective manner. The crucial steps in these syntheses involved two
intramolecular Pauson-Khand reactions of enynes: the first Pauson-Khand reaction
constructed the bicyclo[4.3.0] carbon framework, the corresponding A and B rings
of these alkaloids in a highly stereoselective manner, whereas the second Pauson
Khand reaction stereoselectively produced the bicyclo[3.3.0]skeleton, which could
be converted into the C and D rings of the target natural products.
PMID- 18044933
TI - Highly enantioselective aza-Henry reaction of N-tosyl imines catalyzed by N,N'
dioxide-Cu(I) complexes.
AB - The N,N'-dioxide-Cu(I) complexes have been developed to catalyze the addition of
nitromethane to N-tosyl aldimines. The aza-Henry reaction proceeds smoothly
affording the corresponding nitro amines in good yields with high
enantioselectivities. A catalytic cycle is proposed to explain the origin of
reactivity.
PMID- 18044934
TI - Interfacial oil droplets.
AB - Very small, discrete oil droplets can form at the solid-liquid interface. We
demonstrate this effect through formation of decane droplets at the interface
between an aqueous ethanol solution and silicon wafers that have been made
hydrophobic through self-assembly of octadecyltrichlorosilane (OTS). The droplets
have a lens-like shape; the shape is approximately a spherical cap with a contact
angle < 25 degrees. The heights of the droplets are about 2-50 nm, and diameters
at the three-phase boundary are about 100-600 nm in 25% ethanol solution. The
size and contact angle can be varied by changing the ethanol concentration. The
contact angle of the very small droplets (height < 20 nm) is similar to the
contact angle of macroscopic droplets (height approximately equal to 1 mm), so
the line tension is very small. The droplets are only stable for a few hours:
they gradually lose mass, presumably through Ostwald ripening. The drop perimeter
is not pinned during ripening but retreats across the solid. We form the droplets
by direct adsorption from an emulsion; evidence for adsorption is obtained by
comparing the drop volumes in bulk to the volumes at the interface. The droplet
sizes are obtained by dynamic light scattering and atomic force microscopy.
PMID- 18044935
TI - Self-assembly of fatty acids and hydroxyl derivative salts.
AB - We report the dispersions of a fatty acid and hydroxyl derivative salts in
aqueous solutions that were further used to produce foams and emulsions. The
tetrabutyl-ammonium salts of palmitic acid, 12-hydroxy stearic acid, and omega
hydroxy palmitic acid formed isotropic solutions of micelles, whereas the
ethanolamine salts of the same acids formed turbid birefringent lamellar
solutions. The structure and dimension of those phases were confirmed by small
angle neutron scattering and NMR. Micelles exhibited a surprisingly small radius
of about 20 A, even for hydroxyl fatty acids, suggesting the formation of
hydrogen bonds between lipids in the core of the micelles. In the case of
ethanolamine salts of palmitic and 12-hydroxy stearic acids, the lipids were
arranged in bilayers, with a phase transition from gel to fluid upon heating,
whereas for omega-hydroxy palmitic acid, monolayers formed in accordance with the
bola shape of this lipid. Foams and emulsions produced from ethanolamine salt
solutions were more stable than those obtained from tetrabutyl-ammonium salt
solutions. We discuss these results in terms of counterion size, lipid molecular
shape, and membrane curvature.
PMID- 18044936
TI - Adhesion and stable low friction provided by a subnanometer-thick monolayer of a
natural polysaccharide.
AB - Using a surface forces apparatus, we have investigated the adhesive and
lubrication forces of mica surfaces separated by a molecularly thin, subnanometer
film of a high-molecular-weight (2.3 MDa) anionic polysaccharide from the algae
Porphyridium sp. adsorbed from aqueous solution. The adhesion and friction forces
of the confined biopolymer were monitored as a function of time, shearing
distance, and driving velocity under a large range of compressive loads
(pressures). Although the thickness of the dilute polysaccharide was <1 nm, the
friction was low (coefficient of friction = 0.015), and no wear was ever observed
even at a pressure of 110 atm over 3 decades of velocity, so long as the shearing
distances were less than twice the contact diameter. Atomic force microscopy in
solution shows that the biopolymer is able to adsorb to the mica surface but
remains mobile and easily dragged upon shearing. The adhesion (adsorption) of
this polysaccharide even to negatively charged surfaces, its stable low friction,
its robustness (high-load carrying capacity and good wear protection), and the
weak (logarithmic) dependence of the friction force on the sliding velocity make
this class of polyelectrolytes excellent candidates for use in water-based
lubricant fluids and as potential additives to synovial fluid in joints and other
biolubricating fluids. The physical reasons for the remarkable tribological
properties of the ultrathin polysaccharide monolayer are discussed and appear to
be quite different from those of other polyelectrolytes and proteins that act as
thick "polymer brush" layers.
PMID- 18044937
TI - Fluorescence study of the solvation of fluorescent probes prodan and laurdan in
poly(epsilon-caprolactone)-block-poly(ethylene oxide) vesicles in aqueous
solutions with tetrahydrofurane.
AB - Steady-state and time-resolved fluorescence measurements were used to study the
relaxation of the microenvironment of hydrophobic probes 6-propionyl-2
(dimethylamino)naphthalene (prodan) and 6-dodecanoyl-2-(dimethylamino)naphthalene
(laurdan) in systems containing vesicles formed by the amphiphilic diblock
copolymer poly(epsilon-caprolactone)-block-poly(ethylene oxide) (PCL-PEO) and
water/tetrahydrofurane (THF) solvent mixtures. It was found that in case of
prodan, both steady-state and time-resolved emission spectra were composed of two
subspectra corresponding to the emission of prodan molecules located (i) in
fairly rigid (effectively viscous) and hydrophobic domains of the vesicles close
to the PCL/PEO interface and (ii) in a more polar and less viscous medium (in the
bulk solution). The fraction of the emission from the more polar microenvironment
increases with increasing content of THF in the system. Laurdan, in contrast to
prodan, appeared to be solubilized preferentially in the hydrophobic domains up
to 30 vol % of THF content, and its emission spectra changed only due to swelling
of hydrophobic PCL domains by added THF. The study shows that the analysis of the
time-resolved emission from a probe distributed in two media is, in principle,
possible, but it is quite complex and appreciably less accurate, and the
relaxation times are ill-defined averages of several processes. The bimodal or
shoulder-containing time-resolved spectra have to be decomposed in pertinent time
resolved subspectra and treated separately. Another important result of the study
is a piece of knowledge concerning the motion of the probe with respect to the
vesicle. In the studied complex system, not only the relaxation of the solvent
and reorganization of polymer segments around the fluorescent headgroup of the
probe affect the emission but also a lateral motion of the probe with respect to
the nanoparticle within the lifetime of the excited state contributes
significantly to the relaxation and to the relatively slow time-resolved Stokes
shift.
PMID- 18044938
TI - Chemical template directed iodine patterns on the octadecyltrichlorosilane
surface.
AB - A carboxylic-terminated nanometer-scale chemical pattern on an
octadecyltrichlorosilane (OTS) surface can guide the deposition and
crystallization of iodine, forming an iodine pattern on the chemical pattern. The
iodine in the pattern is gel-like when fabricated by the solution-deposit method.
In contrast, a dendritic, snowflake-shaped polycrystalline iodine sheet is formed
by the vapor-phase condensation method. The data demonstrate that iodine is a
good tracing and visualizing agent for studying liquid behavior at the nano
scale. The topography of the iodine stain reveals that the "coffee ring" effect
can be suppressed by reducing the pattern size and increasing the evaporation
rate. The chemical template-bound iodine pattern has an unusually low vapor
pressure and it can withstand prolonged baking at elevated temperature, which
differs significantly from bulk iodine crystals.
PMID- 18044939
TI - Absence of ethanol-induced interdigitation in supported phospholipid bilayers on
silica surfaces.
AB - Membranes prepared by the adsorption of phospholipid vesicles on solid supports
are much-used model systems in biomedical research. However, there is
accumulating evidence that such membranes may not always be equivalent to the
free-standing cellular membranes that they are modeling. In the present study,
sonicated DOPC/DOPS (80/20 mol %) vesicles were adsorbed on hydrophilic silica
surfaces, a system that has been demonstrated to produce confluent bilayers. In
addition, pure DOPC and DLPC membranes were studied. It is demonstrated that
ethanol-induced membrane interdigitation, as demonstrated for free-standing
bilayers, does not occur in these supported membranes.
PMID- 18044940
TI - Surface dilatational elasticity of poly(oxy ethylene)-based surfactants by
oscillation and relaxation measurements of sessile bubbles.
AB - Surface dilatational elasticities and viscosities have been measured by means of
the axisymmetric bubble shape method. Two different techniques using sinusoidal
oscillations and step relaxations have been used, and the results are treated by
means of the bulk/surface diffusional exchange model. Three different nonionic
surfactants based on poly(oxy ethylene) as the hydrophilic group have been used:
one simple surfactant, Brij 35, and two block copolymers, Pluronic F68 and P9400.
Step relaxation and oscillation give mostly the same limiting surface
dilatational elasticities, but step relaxation is a more model-dependent method.
In the cases where the bulk/surface diffusion model is correct, the two methods
give the same results, but otherwise step relaxation gives average values of the
limiting elasticity E0 and the typical relaxation frequency omega0. Limiting
elasticities up to ca. 25 mN m(-1)have been found for these substances. The
surface/bulk diffusion model describes quite well the two relatively hydrophilic
substances Brij 35 and F68, especially at low concentrations, but less so for the
more hydrophobic P9400. The surface dilatational elasticity as a function of the
surface pressure of the surface-active polymers goes through at least one maximum
as a result of surface conformational changes.
PMID- 18044941
TI - Kinetic restriction of simple gases in porous carbons: transition-state theory
study.
AB - The separation of simple gases such as N2, Ar, CO2, and CH4 is an industrially
important problem, particularly for the mitigation of greenhouse emissions.
Furthermore, these gases are widely accepted as standard probing gases for the
characterization of the microstructure of porous solids. However, a consistent
set of microstructural parameters of a microporous solid determined from the use
of adsorption measurements of these different gases is not always achieved
because of differences in their pore accessibility. This is a long-standing and
poorly understood problem. Here, we present the calculated results of the
crossing time of N2, Ar, CO2, and CH4 between two neighboring cages through a
constricted window in a realistic structural model of saccharose char, generated
from hybrid reverse Monte Carlo (HRMC) simulation (Nguyen, T. X.; Bhatia, S. K.;
Jain, S. K.; Gubbins, K. E. Mol. Simul. 2006, 32, 567-577) using transition state
theory (TST), as described in our recent work (Nguyen, T. X.; Bhatia, S. K. J.
Phys. Chem. 2007, 111, 2212-2222). The striking feature in these results is that
whereas very fast diffusion of carbon dioxide within the temperature range of 273
343 K, with crossing time on the molecular dynamics scale (10-4-10-6 s), leads to
instantaneous equilibrium and no hysteresis on the experimental time scale,
slower diffusion of Ar and N2 at the low temperature of analysis indicates an
accessibility problem. These results rationalize the experimental results of
hysteresis for N2 at 77 K and Ar at 87 K but not for CO2 at 273 K in Takeda 3 A
carbon molecular sieves. Furthermore, it is shown that CH4 diffusion through
narrow pore mouths can be hindered even at ambient temperature. Finally, we show
that the use of pore size and wall thickness distributions extracted from the
adsorption of Ar at 87 K using the finite wall thickness (FWT) model (Nguyen, T.
X.; Bhatia, S. K. Langmuir 2004, 20, 3532-3535 and Nguyen, T. X.; Bhatia, S. K.
J. Phys. Chem. B 2004, 108, 14032-14042) provides the correct prediction of
experimental CO2 adsorption in BPL and PCB carbons whereas that from N2 at 77 K
gives a significant underprediction for both CO2 and CH4 in the BPL carbon. These
trends are in excellent agreement with those predicted using the calculated
crossing times.
PMID- 18044942
TI - Temperature-dependent solvation dynamics of water in sodium bis(2
ethylhexyl)sulfosuccinate/isooctane reverse micelles.
AB - In this paper, for the first time, we report a detailed study of the temperature
dependent solvation dynamics of a probe fluorophore, coumarin-500, in
AOT/isooctane reverse micelles (RMs) with varying degrees of hydration (w0) of 5,
10, and 20 at four different temperatures, 293, 313, 328, and 343 K. The average
solvation time constant becomes faster with the increase in w0 values at a
particular temperature. The solvation dynamics of a RM with a fixed w0 value also
becomes faster with the increase in temperature. The observed temperature-induced
faster solvation dynamics is associated with a transition of bound- to free-type
water molecules, and the corresponding activation energy value for the w0 = 5
system has been found to be 3.4 kcal mol-1, whereas for the latter two systems,
it is approximately 5 kcal mol-1. Dynamic light scattering measurements indicate
an insignificant change in size with temperature for RMs with w0 = 5 and 10,
whereas for a w0 = 20 system, the hydrodynamic diameter increases with
temperature. Time-resolved fluorescence anisotropy studies reveal a decrease in
the rotational restriction on the probe with increasing temperature for all
systems. Wobbling-in-cone analysis of the anisotropy data also supports this
finding.
PMID- 18044943
TI - Macro- to nanoscale wear prevention via molecular adsorption.
AB - As the size of mechanical systems shrinks from macro- to nanoscales, surface
phenomena such as adhesion, friction, and wear become increasingly significant.
This paper demonstrates the use of alcohol adsorption as a means of continuously
replenishing the lubricating layer on the working device surfaces and elucidates
the tribochemical reaction products formed in the sliding contact region.
Friction and wear of native silicon oxide were studied over a wide range of
length scales from macro- to nanoscales using a ball-on-flat tribometer
(millimeter scale), sidewall microelectromechanical system (MEMS) tribometer
(micrometer scale), and atomic force microscopy (nanometer scale). In all cases,
the alcohol vapor adsorption successfully lubricated and prevented wear. Imaging
time-of-flight secondary ion mass spectrometry analysis of the sliding contact
region revealed that high molecular weight oligomeric species were formed via
tribochemical reactions of the adsorbed linear alcohol molecules. These
tribochemical products seemed to enhance the lubrication and wear prevention. In
the case of sidewall MEMS tests, the lifetime of the MEMS device was radically
increased via vapor-phase lubrication with alcohol.
PMID- 18044944
TI - Polysugar-stabilized Pd nanoparticles exhibiting high catalytic activities for
hydrodechlorination of environmentally deleterious trichloroethylene.
AB - In this paper, we present a straightforward and environmentally friendly aqueous
phase synthesis of small Pd nanoparticles (approximately 2.4 nm under the best
stabilization) by employing a "green", inexpensive, and
biodegradable/biocompatible polysugar, sodium carboxymethylcellulose (CMC), as a
capping agent. The Pd nanoparticles exhibited rather high catalytic activity
(observed pseudo-first-order reaction kinetic rate constant, k(obs), is up to 828
L g(-1) min(-1)) for the hydrodechlorination of environmentally deleterious
trichloroethene (TCE) in water. Fourier transform IR (FT-IR) spectra indicate
that CMC molecules interact with the Pd nanoparticles via both carboxyl (-COO-)
and hydroxyl (-OH) groups, thereby functioning to passivate the surface and
suppress the growth of the Pd nanoparticles. Hydrodechlorination of TCE using
differently sized CMC-capped Pd nanoparticles as catalyst was systematically
investigated in this work. Both the catalytic activity (k(obs)) and the surface
catalytic activity (turnover frequency, TOF) of these CMC-capped Pd nanoparticles
for TCE degradation are highly size-dependent. This point was further verified by
a comparison of the catalytic activities and surface catalytic activities of CMC
capped Pd nanoparticles with those of beta-D-glucose-capped Pd and neat Pd
nanoparticles for TCE degradation.
PMID- 18044945
TI - Composite block copolymer stabilized nanoparticles: simultaneous encapsulation of
organic actives and inorganic nanostructures.
AB - We describe the preparation and characterization of hybrid block copolymer
nanoparticles (NPs) for use as multimodal carriers for drugs and imaging agents.
Stable, water-soluble, biocompatible poly(ethylene glycol)-block-poly(epsilon
caprolactone) NPs simultaneously co-encapsulating hydrophobic organic actives
(beta-carotene) and inorganic imaging nanostructures (Au) are prepared using the
flash nanoprecipitation process in a multi-inlet vortex mixer. These composite
nanoparticles (CNPs) are produced with tunable sizes between 75 nm and 275 nm,
narrow particle size distributions, high encapsulation efficiencies, specified
component compositions, and long-term stability. The process is tunable and
flexible because it relies on the control of mixing and aggregation timescales.
It is anticipated that the technique can be applied to a variety of hydrophobic
active compounds, fluorescent dyes, and inorganic nanostructures, yielding CNPs
for combined therapy and multimodal imaging applications.
PMID- 18044946
TI - DNA damage induced by multiwalled carbon nanotubes in mouse embryonic stem cells.
AB - Carbon nanotubes (CNTs) have shown promise as an important new class of
multifunctional building blocks and innovative tools in a large variety of
applications, ranging from nanocomposite materials through nanoelectronics to
biomedical devices. Because of their unusual one-dimensional hollow nanostructure
and unique physicochemical properties, CNTs are particularly useful as novel drug
delivery tools and imaging agents. However, such biomedical applications will not
be realized if there is no proper assessment of the potential hazards of CNTs to
humans and other biological systems. Although a few reports on the cytotoxicity
of CNTs have been published, very little is known about the toxicity at the
molecular level, or genotoxicity, of CNTs in mammalian cells. We have for the
first time assessed the DNA damage response to multiwalled carbon nanotubes
(MWNTs) in mouse embryonic stem (ES) cells. We found that MWNTs can accumulate
and induce apoptosis in mouse ES cells and activate the tumor suppressor protein
p53 within 2 h of exposure. Furthermore, we also observed increased expression of
two isoforms of base excision repair protein 8-oxoguanine-DNA glycosylase 1
(OGG1), double strand break repair protein Rad 51, phosphorylation of H2AX
histone at serine 139, and SUMO modification of XRCC4 following the treatment
with MWNTs. A mutagenesis study using an endogenous molecular marker, adenine
phosphoribosyltransferase (Aprt), showed that MWNTs increased the mutation
frequency by 2-fold compared with the spontaneous mutation frequency in mouse ES
cells. These results suggest that careful scrutiny of the genotoxicity of
nanomaterials is needed even for those materials, like multiwalled carbon
nanotubes, that have been previously demonstrated to have limited or no toxicity
at the cellular level.
PMID- 18044947
TI - DNA nanopositioning and alignment by electron-beam-induced surface chemical
patterning.
AB - Nanopositioning and alignment of arrays of DNA molecules on a surface by
combination of high-resolution prepatterning and standard macroscopic deposition
is presented. Direct electron beam exposure of a graphite substrate activated by
amino groups neutralizes locally the surface charge, preventing the DNA adhesion
during the consequent deposition. Because of the high resolution of the electron
beam writing process, precise active patches can be created directly on the
functionalized surface. Narrow (50 nm) stripe patterns produce both positioning
and alignment acting as electrostatic traps for the DNA molecules. The approach
is demonstrated using triple- and double-stranded DNA of medium length (350 nm).
High yield of alignment and regular arrangement of the deposited molecules are
achieved in a simple way within large areas.
PMID- 18044948
TI - Theoretical study of radical and neutral intermediates of artemisinin
decomposition.
AB - Four artemisinin reductive decomposition routes A, B1, B2, and B3 with 13 species
(QHS, 1/2, 3, 4, 5, 5a, 6, 7, 18, 18a, 19, 20, and 21) were studied at the
B3LYP/6-31G** level. Structures of the species were analyzed in terms of
geometrical parameters, Lowdin bond orders, partial atomic charges and spin
densities, electronic and free energies, and entropy. Searches in the Cambridge
Structural Database for high-level quality artemisinin-related structures were
also performed. Principal Component and Hierarchical Cluster analyses were
performed on selected electronic and structural variables to rationalize
relationships between the routes. The A and B1 routes are possibly
interconnected. Structural and electronic features of all species show that there
are two clusters: A-B1 and B2-B3. The latter cluster is thermodynamically more
favorable (DeltaDeltaG is -64 to -88 kcal mol(-1)) than the former (DeltaDeltaG
is -58 to -59 kcal mol(-1)), but kinetical preference may be the opposite. Along
the artemisinin decomposition routes, especially B2 and B3, larger structural
changes including formation of branched structures and CO2 release are related to
increased exothermicity of the conversions, weakened attractive oxygen-oxygen
interactions, and increased entropy of the formed species. The intermediate 4
definitely belongs to some minor artemisinin decomposition route.
PMID- 18044949
TI - Similarity based docking.
AB - We have recently introduced GMA, a highly efficient method for flexible molecular
alignment. Here we show how this approach can be used to improve docking accuracy
and efficiency, in cases where a complex structure of a ligand with the target
protein is known. In cases where a known ligand exists, yet the complex structure
is unknown it is possible to make use of the advantages offered by this approach,
by combining it with standard ligand docking.
PMID- 18044950
TI - New serotonin 5-HT(6) ligands from common feature pharmacophore hypotheses.
AB - Serotonin 5-HT6 receptor antagonists are thought to play an important role in the
treatment of psychiatry, Alzheimer's disease, and probably obesity. To find novel
and potent 5-HT6 antagonists and to provide a new idea for drug design, we used a
ligand-based pharmacophore to perform the virtual screening of a commercially
available database. A three-dimensional common feature pharmacophore model was
developed by using the HipHop program provided in Catalyst software and was used
as a query for screening the database. A recursive partitioning (RP) model which
can separate active and inactive compounds was used as a filtering system.
Finally a sequential virtual screening procedure (SQSP) was conducted, wherein
both the common feature pharmacophore and the RP model were used in succession to
improve the results. Some of the hits were selected based on druglikeness, ADME
properties, structural diversity, and synthetic accessibility for real biological
evaluation. The best hit compound showed a significant IC50 value of 9.6 nM and
can be used as a lead for further drug development.
PMID- 18044951
TI - A pyrazolate-supported Fe(3)(mu(3)-O) core: structural, spectroscopic,
electrochemical, and magnetic study.
AB - A comparison is made between the structural, spectroscopic, electrochemical, and
magnetic properties of pyrazolate versus carboxylate complexes [Fe3(mu3(mu3O)(mu
LL)6Cl3]2- containing the Fe3(mu3-O)-motif. While the Fe3(mu3-O)-cores are
structurally indistinguishable in the two types of complexes, their magnetic
properties deviate from the expected values as a result of a through-pyrazole
contribution to the overall antiferromagnetic exchange with J1/hc = -80.1 cm(-1)
and J2/hc = -72.4 cm(-1), or J1/hc = 70.6 cm(-1) and J2/hc = -80.8 cm(-1), (Hex =
-J1(S1S2 + S2S3) - J2S1S3). The magnetic properties of the pyrazolate complexes
are further tuned by an antisymmetric exchange interaction term.
PMID- 18044952
TI - Synthesis of pendant-type anthraquinone-bridged cofacial dinuclear platinum(II)
complexes and their emission properties.
AB - Anthraquinone-bridged mononuclear and dinuclear complexes, [PtCl(AQ-amide
tpy)](PF6) (1), [Pt2Cl2(AQ-amide-tpy2)](PF6)2 (2), and [Pt2Cl2(AQ-eth
tpy2)](PF6)2 (3), were synthesized and their photochemical properties were
investigated. Amide-bound mononuclear complex 1 exhibited only metal-to-ligand
charge transfer (MLCT) absorption and emission, whereas dinuclear complex 2
exhibited a low-energy emission around 700 nm at room temperature. Emission
lifetime analysis indicated that this emission was originated from the metal
metal-to-ligand charge transfer (MMLCT) excited state, implying the existence of
an intramolecular Pt-Pt interaction at the photoexcited state. 3 with rigid
ethynylene linkers showed a low-energy absorption around 520 nm (epsilon =
approximately 1100 M(-1) cm(-1)) in addition to an 1MLCT absorption, which was
ascribed to a 3MLCT absorption from the consideration of the Pt-Pt distance on a
geometry-optimized structure. The emission of 3 appeared at 600 nm, which is
higher in energy compared with the emission of 2. It is postulated that the
restriction of the Pt-Pt distance flexibility in the rigid structure of 3
prevents the significant increase of the Pt-Pt interaction at the excited state.
PMID- 18044953
TI - Synthesis, crystal structures, and photophysical properties of homodinuclear
lanthanide xanthene-9-carboxylates.
AB - Three new homodinuclear lanthanide(III) complexes of xanthene-9-carboxylic acid,
[Ln2(XA)6(DMSO)2(H2O)2](Ln = Eu (1), Tb (2) and Gd (3); HXA = xanthene-9
carboxylic acid; DMSO = dimethylsulfoxide), have been synthesized, of which 1 and
2 were structurally characterized by single-crystal X-ray diffraction. These
compounds crystallize in the monoclinic space group P21/n with a =17.849(4) A, b
= 9.6537(19) A, c = 23.127(5) A, beta = 109.06(3) degrees , and V = 3766.5(13) A3
for 1 and a =17.809(4) A, b = 9.6548(19) A, c = 23.075(5) A, beta = 108.97(3)
degrees , and V = 3752.1(13) A3 for 2. The crystal structures of 1 and 2 consist
of homodinuclear species that are bridged by two oxygen atoms from two
carboxylate ligands. The two lanthanide ions are related by a center of
inversion. Each lanthanide ion is coordinated by eight oxygen atoms in an overall
distorted square-prismatic geometry. Six of the oxygen atoms are furnished by the
carboxylate moieties, and the remaining two oxygen atoms are provided by water
and DMSO molecules. The photophysical properties of these complexes in the solid
state at room temperature have been investigated. The quantum yields were found
to be 0.06 +/- 0.01 and 7.30 +/- 0.73% for 1 and 2, respectively.
PMID- 18044954
TI - Selective phosphorescence chemosensor for homocysteine based on an iridium(III)
complex.
AB - A new homocysteine-selective sensor based on the iridium(III) complex
Ir(pba)2(acac) (Hpba = 4-(2-pyridyl)benzaldehyde; acac = acetylacetone) was
synthesized, and its' photophysical properties were studied. Upon the addition of
homocysteine (Hcy) to a semi-aqueous solution of Ir(pba)2(acac), a color change
from orange to yellow and a luminescent variation from deep red to green were
evident to the naked eye. The blue-shift of the absorption spectrum and
enhancement of the phosphorescence emission upon the addition of Hcy can be
attributed to the formation of a thiazinane group by selective reaction of the
aldehyde group of Ir(pba)2(acac) with Hcy, which was confirmed by 1H NMR studies.
Importantly, Ir(pba)2(acac) shows uniquely luminescent recognition of Hcy over
other amino acids (including cysteine) and thiol-related peptides (reduced
glutathione), in agreement with the higher luminescent quantum yield of the
adduct of Ir(pba)2(acac) with Hcy (0.038) compared with that of the adduct with
Cys (~0.002). Both surface charge analysis and the electrochemical measurement
indicated that a photoinduced electron-transfer process for Ir(pba)2(acac)-Cys
might be responsible for the high specificity of Ir(pba)2(acac) toward Hcy over
Cys.
PMID- 18044955
TI - Silylation, sulfidation, and benzene-1,2-dithiolate complexation reactions of oxo
and oxosulfidomolybdates(VI) and -tungstates(VI).
AB - The synthesis and structures of two types of molecules are presented: [MVIO3 -
nSn(OSiR2R')]1- (M = Mo, n = 0-3; M = W, n = 3) and [MVIO2(OSiR2R')(bdt)]1- (M =
Mo, W; bdt = benzene-1,2-dithiolate). For both types, R2R' are Me3, Pri3, Ph3,
Me2But and Ph2But. The complete series of oxo/sulfido/silyloxo molybdenum
complexes has been prepared. Complexes with n = 0 are readily prepared by the
silylation of Ag2MoO4 and sustain mono- or disulfidation with Ph3SiSH to form a
species with n = 1 and n = 2, respectively. Complexes with n = 3 are accessible
by the silylation of [MOS3]2-. Structures of the representative series members
[MoO3(OSiPh2But)]1-, [MoO2S(OSiPh3)]1-, [MoOS2(OSiPri3)]1-, [MoS3(OSiPh2But)]1-,
and also [WS3(OSiMe2But)]1-, all with tetrahedral stereochemistry, are presented.
Benzene-1,2-dithiolate complexes are prepared by the reaction of [MoO3(OSiR2R')]1
with the dithiol or by the silylation of previously reported [MO3(bdt)]2-. The
structures of [MoO2(OSiPh2But)(bdt)]1- and [WO2(OSiPri3)(bdt)]1- conform to
square-pyramidal stereochemistry with an oxo ligand in the apical position. The
role of these complexes in the preparation of site analogues of the xanthine
oxidoreductase enzyme family is noted. The sulfidation reactions reported here
point to the utility of Ph3SiSH and Pri3SiSH as reagents for MoVI-based oxo-for
sulfido conversions.
PMID- 18044956
TI - Valence bond approach of metal-ligand bonding in the Dewar-Chatt-Duncanson model.
AB - Metal-ligand bonding, as considered in the Dewar-Chatt-Duncanson model, is
described via an ab initio Valence Bond (VB) approach and applied to typical Pd-L
complexes (L = NH3, PH3, CH2, SiH2). A progressive construction of the VB wave
function is followed and leads to a very compact, though accurate, description of
metal-ligand bonds. A description with the donation interaction only (ligand
metal) is first constructed and enriched so the back-donation interactions (metal
ligand) are also introduced. This latter VB wave function, although being
extremely compact, provides bonding energies in agreement with standard
(correlated) methods. A comparison between the two VB levels allows a
quantification of adiabatic back-bonding energies and reveals very different
trends between the ligands considered. A very faint back-donation in Pd-NH3 is
found, which contrasts with a significant effect in Pd-PH3. Back-donation is,
however, more important in Pd-XH2 complexes. In Pd-CH2, it is such that it even
represents the major source of bonding. For Pd-SiH2, back-donation is slightly
weaker than donation. The nature of the interaction in these metal-ligand
complexes is revealed by the VB wave function analysis. Results are as well
rationalized using the simple molecular orbital picture and compared to previous
studies.
PMID- 18044957
TI - Validation and application of a method for the determination of buprenorphine,
norbuprenorphine, and their glucuronide conjugates in human meconium.
AB - A novel liquid chromatography tandem mass spectrometry method for quantification
of buprenorphine, norbuprenorphine, and glucuronidated conjugates was developed
and validated. Analytes were extracted from meconium using buffer, concentrated
by solid-phase extraction and quantified within 13.5 min. In order to determine
free and total concentrations, specimens were analyzed with and without enzyme
hydrolysis. Calibration was achieved by linear regression with a 1/x weighting
factor and deuterated internal standards. All analytes were linear from 20 to
2000 ng/g with a correlation of determination of >0.98. Accuracy was >or=85.7%
with intra-assay and interassay imprecisionor=85.0%. There was suppression
of ionization by the polar matrix; however, this did not interfere with
sensitivity or analyte quantification due to inclusion of deuterated internal
standards. Analytes were stable on the autosampler, at room temperature, at 4
degrees C, and when exposed to three freeze/thaw cycles. This sensitive and
specific method can be used to monitor in utero buprenorphine exposure and to
evaluate correlations, if any, between buprenorphine exposure and neonatal
outcomes.
PMID- 18044958
TI - Capillary-based multi nanoelectrospray emitters: improvements in ion transmission
efficiency and implementation with capillary reversed-phase LC-ESI-MS.
AB - We describe the coupling of liquid chromatography (LC) separations with mass
spectrometry (MS) using nanoelectrospray ionization (nano-ESI) multiemitters. The
array of 19 emitters reduced the flow rate delivered to each emitter, allowing
the enhanced sensitivity that is characteristic of nano-ESI to be extended to
higher flow rate separations. The signal for tryptic fragments from proteins
spiked into a human plasma sample increased 11-fold on average when the
multiemitters were employed, due to increased ionization efficiency and improved
ion transfer efficiency through a newly designed heated multicapillary MS inlet.
Additionally, the LC peak signal-to-noise ratio increased approximately 7-fold
when the multiemitter configuration was used. The low dead volume of the emitter
arrays preserved peak shape and resolution for robust capillary LC separations
using total flow rates of 2 microL/min.
PMID- 18044959
TI - Conjugated polyelectrolyte based real-time fluorescence assay for phospholipase
C.
AB - A fluorescence turnoff assay for phospholipase C (PLC) from Clostridium
perfringens is developed based on the reversible interaction between the natural
substrate, phosphatidylcholine, and a fluorescent, water-soluble conjugated
polyelectrolyte (CPE). The fluorescence intensity of the CPE in water is
increased substantially by the addition of the phospholipid due to the formation
of a CPE-lipid complex. Incubation of the CPE-lipid complex with the enzyme PLC
causes the fluorescence intensity to decrease (turnoff sensor); the response
arises due to PLC-catalyzed hydrolysis of the phosphatidylcholine, which
effectively disrupts the CPE-lipid complex. The PLC assay operates with
phospholipid substrate concentrations in the micromolar range, and the analytical
detection limit for PLC is <1 nM. The optimized assay provides a convenient,
rapid, and real-time sensor for PLC activity. The real-time fluorescence
intensity from the CPE can be converted to substrate concentration by using an ex
situ calibration curve, allowing PLC-catalyzed reaction rates and kinetic
parameters to be determined. PLC activation by Ca2+ and inhibition by EDTA and
fluoride ion are demonstrated using the optimized sensor.
PMID- 18044961
TI - Analysis and quantitation of fructooligosaccharides using matrix-assisted laser
desorption/ionization Fourier transform ion cyclotron resonance mass
spectrometry.
AB - Inulin is a class of fructooligosaccharide (FOS) derived from plants, which is
often used as a natural food ingredient. Inulin is currently used as an additive
in baked goods, dairy products, infant formula, and dietary supplements as a
result of its purported health-promoting properties. The growth of health
promoting lactobacilli and bifidobacteria is supported by FOS, giving it the
classification of a prebiotic; however, its ability to selectivity stimulate only
beneficial bacteria has not been demonstrated. In order to better understand the
role of inulin and FOS as prebiotics, matrix-assisted laser desorption/ionization
Fourier transform ion cyclotron resonance mass spectrometry has been used for
qualitative and quantitative analysis on bacterial growth. A method using an
internal standard has been developed to quantify the consumption of FOS by
Bifidobacterium longum bv. infantis using a calibration curve. Due to the
differential consumption of FOS, the calibration curve was modified to include
intensity components for each polymer unit in order to achieve more accurate
quantitation. The method described was designed to be more rapid, precise, and
robust for quantitative analysis when compared to existing methods.
PMID- 18044960
TI - Fully automated four-column capillary LC-MS system for maximizing throughput in
proteomic analyses.
AB - We describe a four-column, high-pressure capillary liquid chromatography (LC)
system for robust, high-throughput liquid chromatography-mass spectrometry (LC
MS(/MS)) analyses. This system performs multiple LC separations in parallel, but
staggers each of them such that the data-rich region of each separation is
sampled sequentially. By allowing nearly continuous data acquisition, this design
maximizes the use of the mass spectrometer. Each analytical column is connected
to a corresponding ESI emitter in order to avoid the use of postcolumn switching
and associated dead volume issues. Encoding translation stages are employed to
sequentially position the emitters at the MS inlet. The high reproducibility of
this system is demonstrated using consecutive analyses of global tryptic digest
of the microbe Shewanella oneidensis.
PMID- 18044962
TI - Counterflow rejection of adsorbing proteins for characterization of biomolecular
interactions by temperature gradient focusing.
AB - A new technique is described for the analysis of small molecules in samples
containing serum proteins and for the measurement of the binding of small
molecules to serum proteins. The new technique is based on temperature gradient
focusing (TGF) and takes advantage of the counterflow used with TGF to exclude
serum proteins from the analysis channel while small molecules are focused for
detection. The technique is demonstrated for the measurement of the binding
constant between a small molecule and serum albumin using both a direct
measurement of the free fraction of the small molecule as well as using a
competitive binding assay.
PMID- 18044963
TI - Characterization of the aggregation-prevention activity of p97/valosin-containing
protein.
AB - The 97 kDa valosin-containing protein (VCP) belongs to a highly conserved AAA
(ATPases associated with a variety of activities) family and contains two ATPase
domains, D1 and D2. VCP participates in numerous cellular activities, such as
membrane fusion, postmitotic Golgi reassembly, endoplasmic reticulum-associated
degradation, ubiquitin-proteasome-mediated proteolysis, and many others. In
performing these activities, VCP presumably acts as a molecular chaperone that
prevents protein aggregation and modifies protein conformation. In this study, we
characterized the aggregation-prevention activity of VCP and identified the
structural requirement for this activity. We used multiple methods to treat
aggregation-prone luciferase (Luc) and showed that VCP prevents the aggregation
of Luc in vitro. These results are in agreement; in vivo RNA interference
analyses showed that a reduction of VCP level results in more aggregation of Luc
in cells. Structural and functional analyses further demonstrated that the D1
domain of VCP is sufficient to mediate the aggregation-prevention activity, which
does not require ATP binding, ATP hydrolysis, or a hexameric structure of VCP.
Together, these results indicate that (1) VCP prevents protein aggregation in
vitro and in vivo, (2) this aggregation-prevention activity is mediated mainly
through the D1 domain of VCP, and (3) this activity does not require ATPase
activity or a hexameric structure of VCP.
PMID- 18044964
TI - Functional implications for a prototypical K-turn binding protein from structural
and dynamical studies of 15.5K.
AB - The kink-turn (K-turn) motif is recognized and bound by a family of proteins that
act as nucleation factors for ribonucleoparticle assembly. The binding of various
proteins to a conserved RNA structural motif known as the K-turn has been shown
to be an important component of regulation in the ribosome, in the spliceosome,
and in RNA modification. 15.5K is a prototypical example of a K-turn binding
protein, which has been shown to bind the 5'-U4 stem-loop of the spliceosome and
the box C/D motif. We describe the solution NMR structure of free 15.5K, as well
as studies of conformational flexibility from 15N NMR relaxation and H/D exchange
experiments. The protein appears well-structured aside from conformational
fluctuation in alpha3. Flexibility in fast time scale motions and the observation
of limited intermediate and slow motions further characterize the free protein
and may suggest local contributions to recognition and binding.
PMID- 18044965
TI - Myristoyl-based transport of peptides into living cells.
AB - Translocation of membrane-impermeant molecules to the interior of living cells is
a necessity for many biochemical investigations. Myristoylation was studied as a
means to introduce peptides into living cells. Uptake of a myristoylated,
fluorescent peptide was efficient in the B lymphocyte cell line BA/F3. In
contrast, this cell line was resistant to uptake of a cell-penetrating peptide
derived from the TAT protein. In BA/F3 cells, membrane association was shown to
be rapid, reaching a maximum within 30 min. Cellular uptake of the peptide lagged
the membrane association but occurred within a similar time frame. Experiments
performed at 37 versus 4 degrees C demonstrated profound temperature dependence
in the cellular uptake of myristoylated cargo. Myristoylated peptides with either
positive or negative charge were shown to load efficiently. In contrast to TAT
conjugated cargo, pyrenebutyrate did not enhance cellular uptake of the
myristoylated peptide. The myristoylated peptide did not adversely affect cell
viability at concentrations up to 100 muM. This assessment of myristoyl-based
transport provides fundamental data needed in understanding the intracellular
delivery of myristoylated peptide cargoes for cell-based biochemical studies.
PMID- 18044966
TI - CGFS-type monothiol glutaredoxins from the cyanobacterium Synechocystis PCC6803
and other evolutionary distant model organisms possess a glutathione-ligated [2Fe
2S] cluster.
AB - When produced in Escherichia coli, the CGFS-type monothiol Grxs from this
organism (EcGrx4p) and the model cyanobacterium Synechocystis (SyGrx3p) exist as
a dimeric iron-sulfur containing holoprotein or as a monomeric apoprotein in
solution. Spectroscopic and site-directed mutagenesis analyses show that the
SyGrx3 holoprotein contains a subunit-bridging [2Fe-2S] cluster that is ligated
by the catalytic cysteine located in the CGFS motif of each monomer and the
cysteines of two molecules of glutathione. The biochemical characterization of
several monothiol Grxs from the cyanobacteria Gloeobacter violaceus (GvGrx3p) and
Thermosynechococcus elongatus (TeGrx3p), the yeast Saccharomyces cerevisiae
(ScGrx3p, ScGrx4p, and ScGrx5p), the plant Arabidopsis thaliana (AtGrx5p), and
human (HsGrx5p) indicate that the incorporation of a GSH-ligated [2Fe-2S] center
is a common feature of prokaryotic and eukaryotic CGFS-active site monothiol
Grxs. In light of these results, the involvement of these enzymes in the sensing
of iron and/or the biogenesis and transfer of Fe-S cluster is discussed.
PMID- 18044967
TI - Laminin-induced activation of Rac1 and JNKp46 is initiated by Src family kinases
and mimics the effects of skeletal muscle contraction.
AB - Binding of laminin to dystroglycan in the dystrophin glycoprotein complex causes
signaling through dystroglycan-syntrophin-grb2-SOS1-Rac1-PAK1-JNK. Laminin
binding also causes syntrophin tyrosine phosphorylation to initiate signaling.
The kinase responsible was investigated here. PP2 and SU6656, specific inhibitors
of Src family kinases, decreased the amount of phosphotyrosine syntrophin and
decreased the level of active Rac1 in laminin-treated myoblasts, myotubes, or
skeletal muscle microsomes. c-Src and c-Fyn both phosphorylate syntrophin, and
inhibition of either with specific siRNAs diminishes the level of syntrophin
phosphorylation. When the rat gastrocnemius was contracted, the level of Rac1
activation increased compared to that of the relaxed control muscle and Rac1
colocalized with beta-dystroglycan. Similar results were obtained when the muscle
was stretched. Contracted muscle also contained more activated c-Jun N-terminal
kinase, JNKp46. E3, an expressed protein containing only laminin domains LG4 and
LG5, increased the rate of proliferation of myoblasts, and PP2 prevented cell
proliferation. In addition, Src family kinases colocalized with activated Rac1
and with laminin-Sepharose in solid-phase binding assays. Thus, contraction,
stretching, or laminin binding causes recruitment of Src family kinase to the
dystrophin glycoprotein complex, activating Rac1 and inducing downstream
signaling. The DGC likely represents a mechanoreceptor in skeletal muscle
regulating muscle growth in response to muscle activity. Src family kinases play
an initiating and critical role.
PMID- 18044968
TI - Structural and kinetic study of differences between human and Escherichia coli
manganese superoxide dismutases.
AB - Human manganese superoxide dismutase (MnSOD) is characterized by a product
inhibition stronger than that observed in bacterial forms of MnSOD. Previous
studies show that the conserved, active-site residue Tyr34 mediates product
inhibition; however, the protein environment of Tyr34 is different in human and
Escherichia coli MnSOD. We have prepared two site-specific mutants of human MnSOD
with replacements of Phe66 with Ala and Leu (F66A and F66L, respectively),
altering the surroundings of Tyr34. Pulse radiolysis was used to generate
superoxide, and measurements of catalysis were taken in single-turnover
experiments by observing the visible absorbance of species of MnSOD and under
catalytic conditions observing the absorbance of superoxide. The mutation of
Phe66 to Leu resulted in a mutant of human MnSOD with weakened product inhibition
resembling that of E. coli MnSOD. Moreover, the mechanism of this weakened
product inhibition was similar to that in E. coli MnSOD, specifically a decrease
in the rate constant for the oxidative addition of superoxide to Mn2+MnSOD
leading to the formation of the peroxide-inhibited enzyme. In addition, the
crystal structures of both mutants have been determined and compared to those of
wild-type human and E. coli MnSOD. The crystallographic data suggest that the
solvent structure and its mobility as well as side chain conformations may affect
the extent of product inhibition. These data emphasize the role of residue 66 in
catalysis and inhibition and provide a structural explanation for differences in
catalytic properties between human and certain bacterial forms of MnSOD.
PMID- 18044969
TI - Histone lysine methyltransferase SET7/9: formation of a water channel precedes
each methyl transfer.
AB - Molecular dynamics (MD) simulations and hybrid quantum mechanics/molecular
mechanics (QM/MM) calculations have been carried out in an investigation of
histone lysine methyltransferase (SET7/9). Proton dissociation (SET7/9.Lys4
NH3+.AdoMet --> SET7/9.Lys4-NH2.AdoMet + H+) must be prior to the methylation by
S-adenosylmethionine (AdoMet). We find that a water channel is formed to allow
escape of the proton to solvent. The water channel appears in the presence of
AdoMet, but is not present in the species SET7/9.Lys4-NH3+ or SET7/9.Lys4
N(Me)H2+.AdoHcy. A water channel is not formed in the ground state of SET7/9.Lys4
N(Me)H2+.AdoMet, and the second methyl transfer does not occur. The structure of
SET7/9.Lys4-N(Me)H2+.AdoMet includes a greater distance (6.1 +/- 0.3 A) between
Cgamma(AdoMet) and N(MeLys4) than is present in SET7/9.Lys4-NH3+.AdoMet (5.7 +/-
0.2 A). The electrostatic interactions between the positive charges on AdoMet and
SET7/9.Lys4-NH3+ decrease the pKa of the latter from 10.9 +/- 0.4 to 8.2 +/- 0.6,
and this is not seen in the SET7/9.Lys4-N(Me)H2+.AdoMet species. The formation,
or not, of a water channel, the distance between Sdelta(AdoMet) and N(Lys4), and
the angle Sdelta(AdoMet)-Cgamma(AdoMet)-N(Lys4) determine whether methyl transfer
can occur. By QM/MM, the calculated free energy barrier of the methyl transfer
reaction in the SET7/9 [Lys4-NH2 + AdoMet --> Lys4-N(Me)H2+ + AdoHcy] complex is
DeltaG++ = 19.0 +/- 1.6 kcal/mol. This DeltaG++ is in agreement with the value of
20.9 kcal/mol calculated from the experimental rate constant (0.24 min(-1)).
PMID- 18044970
TI - Heme synthase (ferrochelatase) catalyzes the removal of iron from heme and
demetalation of metalloporphyrins.
AB - The red pigments in meat products, including cooked cured ham, arise from the
reaction of myoglobin with nitric oxide generated from exogenous nitrite. Since
carcinogenic nitrosoamines may be generated by the treatment of meats with
nitrite, the production of nitrite-free meat products is an attractive
alternative. Raw dry-cured (Parma) hams are produced by the treatment of meats
with salts other than nitrite. Analysis of pigments in raw dry-cured hams reveals
that the main pigment is zinc protoporphyrin, suggesting that the conversion of
heme to zinc protoporphyrin occurs via an iron-removal reaction from myoglobin
heme during the processing of raw hams. Purification of the iron-removal enzyme
showed that it was identical to ferrochelatase. Recombinant ferrochelatase in
combination with NADH-cytochrome b5 reductase catalyzed NADH-dependent iron
removal reaction from hemin and hemoproteins. Metal ions such as zinc and cobalt
were also removed from the corresponding metalloporphyrins. The addition of zinc
ions led to the formation of zinc protoporphyrin. In cultured cells, the
conversion of zinc mesoporphyrin to mesoheme was observed to be dependent on
ferrochelatase and could be markedly induced during erythroid differentiation.
This is the first demonstration of a new enzyme reaction, the reverse reaction of
ferrochelatase, which may contribute to a new route of the recycling of
protoporphyrin and heme in cells.
PMID- 18044972
TI - DNA conformational effects on the interaction of netropsin with A-tract
sequences.
AB - The influence of cosolutes and DNA sequence on the interaction of netropsin with
three duplexes has been studied by isothermal titration calorimetry. In buffer,
netropsin forms two complexes with a net stoichiometry of 1:1 in the minor groove
of the oligonucleotide (GCGCGAATTCGCGC)2. One complex has a weaker affinity and
is more enthalpically favored relative to the other one, consistent with previous
studies [Freyer, M. W., et al. (2006) Biophys. Chem. 126, 186-196]. With the
cosolutes betaine and 2-methyl-2,4-pentanediol, the enthalpy and heat capacity
changes indicate that the complex with weaker affinity is disfavored relative to
the complex with higher affinity. With (CGCGCAATTGCGCG)2, netropsin has one
binding mode in buffer, and complex formation is not influenced by the cosolutes.
The similarities of the enthalpy and heat capacity changes suggest that netropsin
interacts similarly with these two oligonucleotides in the presence of cosolutes.
The oligonucleotide (GCGCAAATTTGCGC)2 also forms two complexes with netropsin,
and the complex with weaker affinity is again disfavored by the cosolutes. Thus,
the interaction of netropsin with these A/T binding sites is influenced both by
the bases adjacent to the binding site and by cosolutes. We suggest that these
two factors influence the conformation of the minor-groove binding site of DNA.
PMID- 18044971
TI - Dioxygen activation at non-heme diiron centers: oxidation of a proximal residue
in the I100W variant of toluene/o-xylene monooxygenase hydroxylase.
AB - At its carboxylate-bridged diiron active site, the hydroxylase component of
toluene/o-xylene monooxygenase activates dioxygen for subsequent arene
hydroxylation. In an I100W variant of this enzyme, we characterized the formation
and decay of two species formed by addition of dioxygen to the reduced,
diiron(II) state by rapid-freeze quench (RFQ) EPR, Mossbauer, and ENDOR
spectroscopy. The dependence of the formation and decay rates of this mixed
valent transient on pH and the presence of phenol, propylene, or acetylene was
investigated by double-mixing stopped-flow optical spectroscopy. Modification of
the alpha-subunit of the hydroxylase after reaction of the reduced protein with
dioxygen-saturated buffer was investigated by tryptic digestion coupled mass
spectrometry. From these investigations, we conclude that (i) a diiron(III,IV)-W*
transient, kinetically linked to a preceding diiron(III) intermediate, arises
from the one-electron oxidation of W100, (ii) the tryptophan radical is
deprotonated, (iii) rapid exchange of either a terminal water or hydroxide ion
with water occurs at the ferric ion in the diiron(III,IV) cluster, and (iv) the
diiron(III,IV) core and W* decay to the diiron(III) product by a common
mechanism. No transient radical was observed by stopped-flow optical spectroscopy
for reactions of the reduced hydroxylase variants I100Y, L208F, and F205W with
dioxygen. The absence of such species, and the deprotonated state of the
tryptophanyl radical in the diiron(III,IV)-W* transient, allow for a conservative
estimate of the reduction potential of the diiron(III) intermediate as lying
between 1.1 and 1.3 V. We also describe the X-ray crystal structure of the I100W
variant of ToMOH.
PMID- 18044973
TI - Effect of 2-fluorohistidine labeling of the anthrax protective antigen on
stability, pore formation, and translocation.
AB - The action of anthrax toxin relies in part upon the ability of the protective
antigen (PA) moiety to form a heptameric pore in the endosomal membrane,
providing a portal for entry of the enzymic moieties of the toxin into the
cytosol. Pore formation is dependent on a conformational change in the heptameric
prepore that occurs in the neutral to mildly acidic pH range, and it has been
hypothesized that protonation of one or more histidine residues triggers this
transition. To test this hypothesis, we used biosynthetic methods to incorporate
the unnatural amino acid analogue 2-fluorohistidine (2-FHis) into PA. 2-FHis is
isosteric with histidine but resists protonation at physiological pH values due
to a dramatically reduced side-chain pKa ( approximately 1). We found that 2-FHis
labeled PA was biologically inactive, as judged by its inability to deliver a
model intracellular effector, LFN-DTA, to the cytosol of CHO-K1 cells. However,
whereas 2-FHis blocked a conformational transition in the full-length PA83
protein in the pH 5-6 range, the pH dependence of prepore-to-pore conversion of
(PA63)7 was unchanged from the wild-type protein, implying that this conversion
is not dependent on His protonation. Consistent with this result, the labeled,
trypsin-activated PA was able to permeabilize liposomes to K+ and retained pore
forming activity in planar phospholipid bilayers. The pores in planar bilayers
were incapable, however, of translocating a model ligand in response to a
transmembrane pH gradient or elevated voltage. The results indicate that
protonation of residues other than His, presumably Glu and/or Asp side chains,
triggers pore formation in vitro, but His residues are nonetheless important for
PA functioning in vivo.
PMID- 18044974
TI - Ligand binding and inhibition of an oxygen-sensitive soluble guanylate cyclase,
Gyc-88E, from Drosophila.
AB - Soluble guanylate cyclase (sGC) uses a ferrous heme cofactor as a receptor for NO
and once bound activates the enzyme for the conversion of GTP to cGMP. The heme
cofactor in sGC does not bind oxygen, thereby allowing it to selectively bind NO
despite a cellular concentration of oxygen (microM) that is much higher than
signaling concentrations of nitric oxide (nM). The molecular details of this
ligand discrimination against oxygen have emerged and allowed for predictions
regarding ligand specificity in the sGC family. The results reported here show
that Gyc-88E from Drosophila is a hemoprotein that binds oxygen, as well as NO
and CO. All three ligands form 6-coordinate complexes. Gyc-88E is active as a
homodimer (5600 +/- 243 nmol min(-1) mg(-1)) and is inhibited by O2, CO, and NO
(3.2-, 2.9-, and 2-fold, respectively). The Km for GTP was 0.66 +/- 0.15 mM in
air (273 microM oxygen) and 0.82 +/- 0.15 mM under anaerobic conditions. The Ki
for oxygen was calculated to be 51 +/- 28 microM. The biochemical properties of
Gyc-88E are unique for guanylate cyclases and suggest a possible function as an
oxygen sensor.
PMID- 18044975
TI - Chromatographically distinguishable heme insertion isoforms of human hemopexin.
AB - Two spectroscopically distinct, non-interconverting forms of human hemopexin have
been isolated by immobilized metal ion affinity chromatography and characterized
spectroscopically. Form alpha (characterized by a bisignate Soret CD spectrum)
and form beta (Soret CD characterized by a positive Cotton effect) exhibit
different spectroscopic responses to addition of Zn2+ or Cu2+, yet both forms
exhibit the same metal ion-induced decrease in Tm for the thermally induced
release of the heme prosthetic group. Far UV-CD spectra indicate that the two
isoforms possess essentially identical secondary structures, but their
differential retention during metal ion affinity chromatography indicates slight
differences in exposure of His residues on the protein surface. We propose that
these observations result from the binding of heme in form beta with an
orientation that differs from the crystallographically observed binding
orientation for rabbit hemopexin by rotation of the heme prosthetic group by 180
degrees about the alpha-gamma meso-carbon axis and from interaction of metal ions
at two separate binding sites.
PMID- 18044976
TI - Visualization and classification of amyloid beta supramolecular assemblies.
AB - Deposition of amyloid beta (Abeta) fibrils has been suggested to play a central
role in Alzheimer's disease. In clarifying the mechanism by which fibrils form
and moreover in developing new treatments for amyloidosis, direct observation is
important. Focusing on the interactions with surfaces at the early stages, we
studied the spontaneous formation of Abeta(1-40) fibrils on quartz slides,
monitored by total internal reflection fluorescence microscopy combined with
thioflavin T, an amyloid-specific fluorescence dye. Self-assembly of Abeta(1-40),
accelerated by a low concentration of sodium dodecyl sulfate, produced various
remarkable amyloid assemblies. Densely packed spherulitic structures with radial
fibril growth were typically observed. When the packing of fibrils was coarse,
extremely long fibrils often protruded from the spherulitic cores. In other
cases, a large number of wormlike fibrils were formed. Transmission electron
microscopy and atomic force microscopy revealed relatively short and straight
fibrillar blocks associated laterally without tight interaction, leading to
random-walk-like fibril growth. These results suggest that, during spontaneous
fibrillation, the nucleation occurring in contact with surfaces is easily
affected by environmental factors, creating various types of nuclei, and hence
variations in amyloid morphology. A taxonomy of amyloid supramolecular assemblies
will be useful in clarifying the structure-function relationship of amyloid
fibrils.
PMID- 18044977
TI - High throughput screening reveals several new classes of glutamate dehydrogenase
inhibitors.
AB - Glutamate dehydrogenase (GDH) has been shown to play a regulatory role in insulin
secretion by pancreatic beta-cells. The most compelling evidence of this comes
from features of the hyperinsulism/hyperammonemia (HI/HA) syndrome where a
dominant mutation causes the loss of inhibition by GTP, and from studies that
link leucine (and its analogue BCH) activation of GDH to stimulation of insulin
secretion. This suggests that GDH may represent a new and novel drug target to
control a variety of insulin disorders. Recently we demonstrated that a subset of
green tea polyphenols are potent inhibitors of glutamate dehydrogenase in vitro
and can efficaciously block BCH stimulation of insulin secretion. In these
current studies, we extend our search for GDH inhibitors using high throughput
methods to pan through more than 27,000 compounds. A number of known and new
inhibitors were identified with IC50s in the low micromolar range. These new
inhibitors were found to act via apparently different mechanisms with some
inhibiting the reaction in a positively cooperative manner, the inhibition by
only some of the compounds was reversed by ADP, and one compound was found to
stabilize the enzyme against thermal denaturation. Therefore, these new compounds
not only are new leads in the treatment of hyperactive GDH but also are useful in
dissecting the complex allosteric nature of the enzyme.
PMID- 18044978
TI - Sulfur X-ray absorption spectroscopy of living mammalian cells: an enabling tool
for sulfur metabolomics. In situ observation of uptake of taurine into MDCK
cells.
AB - Sulfur is essential for life, with important roles in biological structure and
function. However, because of a lack of suitable biophysical techniques, in situ
information about sulfur biochemistry is generally difficult to obtain. Here, we
present an in situ sulfur X-ray absorption spectroscopy (S-XAS) study of living
cell cultures of the mammalian renal epithelial MDCK cell line. A great deal of
information is retrieved from a characteristic sulfonate feature in the X-ray
absorption spectrum of the cell cultures, which can be related to the amino acid
taurine. We followed the time and dose dependence of uptake of taurine into MDCK
cell monolayers. The corresponding uptake curves showed a typical saturation
behavior with considerable levels of taurine accumulation inside the cells (as
much as 40% of total cellular sulfur). We also investigated the polarity of
uptake of taurine into MDCK cells, and our results confirmed that uptake in situ
is predominantly a function of the basolateral cell surface.
PMID- 18044979
TI - What are the benefits and risks of fitting patients with radiofrequency
identification devices.
AB - BACKGROUND TO THE DEBATE: In 2004, the United States Food and Drug Administration
approved a radiofrequency identification (RFID) device that is implanted under
the skin of the upper arm of patients and that stores the patient's medical
identifier. When a scanner is passed over the device, the identifier is displayed
on the screen of an RFID reader. An authorized health professional can then use
the identifier to access the patient's clinical information, which is stored in a
separate, secure database. Such RFID devices may have many medical benefits--such
as expediting identification of patients and retrieval of their medical records.
But critics of the technology have raised several concerns, including the risk of
the patient's identifying information being used for nonmedical purposes.
PMID- 18044980
TI - Clinical trials and medical care: defining the therapeutic misconception.
PMID- 18044982
TI - Vaccines for cholera control: does herd immunity play a role.
PMID- 18044981
TI - An LRP5 receptor with internal deletion in hyperparathyroid tumors with
implications for deregulated WNT/beta-catenin signaling.
AB - BACKGROUND: Hyperparathyroidism (HPT) is a common endocrine disorder with
incompletely understood etiology, characterized by enlarged hyperactive
parathyroid glands and increased serum concentrations of parathyroid hormone and
ionized calcium. We have recently reported activation of the Wnt signaling
pathway by accumulation of beta-catenin in all analyzed parathyroid tumors from
patients with primary HPT (pHPT) and in hyperplastic parathyroid glands from
patients with uremia secondary to HPT (sHPT). Mechanisms that may account for
this activation have not been identified, except for a few cases of beta-catenin
(CTNNB1) stabilizing mutation in pHPT tumors. METHODS AND FINDINGS: Reverse
transcription PCR and Western blot analysis showed expression of an aberrantly
spliced internally truncated WNT coreceptor low-density lipoprotein receptor
related protein 5 (LRP5) in 32 out of 37 pHPT tumors (86%) and 20 out of 20 sHPT
tumors (100%). Stabilizing mutation of CTNNB1 and expression of the internally
truncated LRP5 receptor was mutually exclusive. Expression of the truncated LRP5
receptor was required to maintain the nonphosphorylated active beta-catenin
level, transcription activity of beta-catenin, MYC expression, parathyroid cell
growth in vitro, and parathyroid tumor growth in a xenograft severe combined
immunodeficiency (SCID) mouse model. WNT3 ligand and the internally truncated
LRP5 receptor strongly activated transcription, and the internally truncated LRP5
receptor was insensitive to inhibition by DKK1. CONCLUSIONS: The internally
truncated LRP5 receptor is strongly implicated in deregulated activation of the
WNT/beta-catenin signaling pathway in hyperparathyroid tumors, and presents a
potential target for therapeutic intervention.
PMID- 18044983
TI - Controlling endemic cholera with oral vaccines.
AB - BACKGROUND: Although advances in rehydration therapy have made cholera a
treatable disease with low case-fatality in settings with appropriate medical
care, cholera continues to impose considerable mortality in the world's most
impoverished populations. Internationally licensed, killed whole-cell based oral
cholera vaccines (OCVs) have been available for over a decade, but have not been
used for the control of cholera. Recently, these vaccines were shown to confer
significant levels of herd protection, suggesting that the protective potential
of these vaccines has been underestimated and that these vaccines may be highly
effective in cholera control when deployed in mass immunization programs. We used
a large-scale stochastic simulation model to investigate the possibility of
controlling endemic cholera with OCVs. METHODS AND FINDINGS: We construct a large
scale, stochastic cholera transmission model of Matlab, Bangladesh. We find that
cholera transmission could be controlled in endemic areas with 50% coverage with
OCVs. At this level of coverage, the model predicts that there would be an 89%
(95% confidence interval [CI] 72%-98%) reduction in cholera cases among the
unvaccinated, and a 93% (95% CI 82%-99%) reduction overall in the entire
population. Even a more modest coverage of 30% would result in a 76% (95% CI 44%
95%) reduction in cholera incidence for the population area covered. For
populations that have less natural immunity than the population of Matlab, 70%
coverage would probably be necessary for cholera control, i.e., an annual
incidence rate of < or = 1 case per 1,000 people in the population. CONCLUSIONS:
Endemic cholera could be reduced to an annual incidence rate of < or = 1 case per
1,000 people in endemic areas with biennial vaccination with OCVs if coverage
could reach 50%-70% depending on the level of prior immunity in the population.
These vaccination efforts could be targeted with careful use of ecological data.
PMID- 18044984
TI - Cholinesterase inhibitors in mild cognitive impairment: a systematic review of
randomised trials.
AB - BACKGROUND: Mild cognitive impairment (MCI) refers to a transitional zone between
normal ageing and dementia. Despite the uncertainty regarding the definition of
MCI as a clinical entity, clinical trials have been conducted in the attempt to
study the role of cholinesterase inhibitors (ChEIs) currently approved for
symptomatic treatment of mild to moderate Alzheimer disease (AD), in preventing
progression from MCI to AD. The objective of this review is to assess the effects
of ChEIs (donepezil, rivastigmine, and galantamine) in delaying the conversion
from MCI to Alzheimer disease or dementia. METHODS AND FINDINGS: The terms
"donepezil", "rivastigmine", "galantamine", and "mild cognitive impairment" and
their variants, synonyms, and acronyms were used as search terms in four
electronic databases (MEDLINE, EMBASE, Cochrane, PsycINFO) and three registers:
the Cochrane Collaboration Trial Register, Current Controlled Trials, and
ClinicalTrials.gov. Published and unpublished studies were included if they were
randomized clinical trials published (or described) in English and conducted
among persons who had received a diagnosis of MCI and/or abnormal memory function
documented by a neuropsychological assessment. A standardized data extraction
form was used. The reporting quality was assessed using the Jadad scale. Three
published and five unpublished trials met the inclusion criteria (three on
donepezil, two on rivastigmine, and three on galantamine). Enrolment criteria
differed among the trials, so the study populations were not homogeneous. The
duration of the trials ranged from 24 wk to 3 y. No significant differences
emerged in the probability of conversion from MCI to AD or dementia between the
treated groups and the placebo groups. The rate of conversion ranged from 13%
(over 2 y) to 25% (over 3 y) among treated patients, and from 18% (over 2 y) to
28% (over 3 y) among those in the placebo groups. Only for two studies was it
possible to derive point estimates of the relative risk of conversion: 0.85 (95%
confidence interval 0.64-1.12), and 0.84 (0.57-1.25). Statistically significant
differences emerged for three secondary end points. However, when adjusting for
multiple comparisons, only one difference remained significant (i.e., the rate of
atrophy in the whole brain). CONCLUSIONS: The use of ChEIs in MCI was not
associated with any delay in the onset of AD or dementia. Moreover, the safety
profile showed that the risks associated with ChEIs are not negligible. The
uncertainty regarding MCI as a clinical entity raises the question as to the
scientific validity of these trials.
PMID- 18044985
TI - How can we draw the line between clinical care and medical research.
PMID- 18044986
TI - Self-organization in high-density bacterial colonies: efficient crowd control.
AB - Colonies of bacterial cells can display complex collective dynamics, frequently
culminating in the formation of biofilms and other ordered super-structures.
Recent studies suggest that to cope with local environmental challenges,
bacterial cells can actively seek out small chambers or cavities and assemble
there, engaging in quorum sensing behavior. By using a novel microfluidic device,
we showed that within chambers of distinct shapes and sizes allowing continuous
cell escape, bacterial colonies can gradually self-organize. The directions of
orientation of cells, their growth, and collective motion are mutually correlated
and dictated by the chamber walls and locations of chamber exits. The ultimate
highly organized steady state is conducive to a more-organized escape of cells
from the chambers and increased access of nutrients into and evacuation of waste
out of the colonies. Using a computational model, we suggest that the lengths of
the cells might be optimized to maximize self-organization while minimizing the
potential for stampede-like exit blockage. The self-organization described here
may be crucial for the early stage of the organization of high-density bacterial
colonies populating small, physically confined growth niches. It suggests that
this phenomenon can play a critical role in bacterial biofilm initiation and
development of other complex multicellular bacterial super-structures, including
those implicated in infectious diseases.
PMID- 18044987
TI - Resolving the fast kinetics of cooperative binding: Ca2+ buffering by calretinin.
AB - Cooperativity is one of the most important properties of molecular interactions
in biological systems. It is the ability to influence ligand binding at one site
of a macromolecule by previous ligand binding at another site of the same
molecule. As a consequence, the affinity of the macromolecule for the ligand is
either decreased (negative cooperativity) or increased (positive cooperativity).
Over the last 100 years, O2 binding to hemoglobin has served as the paradigm for
cooperative ligand binding and allosteric modulation, and four practical models
were developed to quantitatively describe the mechanism: the Hill, the Adair
Klotz, the Monod-Wyman-Changeux, and the Koshland-Nemethy-Filmer models. The
predictions of these models apply under static conditions when the binding
reactions are at equilibrium. However, in a physiological setting, e.g., inside a
cell, the timing and dynamics of the binding events are essential. Hence, it is
necessary to determine the dynamic properties of cooperative binding to fully
understand the physiological implications of cooperativity. To date, the Monod
Wyman-Changeux model was applied to determine the kinetics of cooperative binding
to biologically active molecules. In this model, cooperativity is established by
postulating two allosteric isoforms with different binding properties. However,
these studies were limited to special cases, where transition rates between
allosteric isoforms are much slower than the binding rates or where binding and
unbinding rates could be measured independently. For all other cases, the complex
mathematical description precludes straightforward interpretations. Here, we
report on calculating for the first time the fast dynamics of a cooperative
binding process, the binding of Ca2+ to calretinin. Calretinin is a Ca2+-binding
protein with four cooperative binding sites and one independent binding site. The
Ca2+ binding to calretinin was assessed by measuring the decay of free Ca2+ using
a fast fluorescent Ca2+ indicator following rapid (<50-mus rise time) Ca2+
concentration jumps induced by uncaging Ca2+ from DM-nitrophen. To unravel the
kinetics of cooperative binding, we devised several approaches based on known
cooperative binding models, resulting in a novel and relatively simple model.
This model revealed unexpected and highly specific nonlinear properties of
cellular Ca2+ regulation by calretinin. The association rate of Ca2+ with
calretinin speeds up as the free Ca2+ concentration increases from cytoplasmic
resting conditions ( approximately 100 nM) to approximately 1 muM. As a
consequence, the Ca2+ buffering speed of calretinin highly depends on the
prevailing Ca2+ concentration prior to a perturbation. In addition to providing a
novel mode of action of cellular Ca2+ buffering, our model extends the analysis
of cooperativity beyond the static steady-state condition, providing a powerful
tool for the investigation of the dynamics and functional significance of
cooperative binding in general.
PMID- 18044988
TI - Mutation of RNA Pol III subunit rpc2/polr3b Leads to Deficiency of Subunit Rpc11
and disrupts zebrafish digestive development.
AB - The role of RNA polymerase III (Pol III) in developing vertebrates has not been
examined. Here, we identify a causative mutation of the second largest Pol III
subunit, polr3b, that disrupts digestive organ development in zebrafish slim jim
(slj) mutants. The slj mutation is a splice-site substitution that causes
deletion of a conserved tract of 41 amino acids in the Polr3b protein. Structural
considerations predict that the slj Pol3rb deletion might impair its interaction
with Polr3k, the ortholog of an essential yeast Pol III subunit, Rpc11, which
promotes RNA cleavage and Pol III recycling. We engineered Schizosaccharomyces
pombe to carry an Rpc2 deletion comparable to the slj mutation and found that the
Pol III recovered from this rpc2-delta yeast had markedly reduced levels of
Rpc11p. Remarkably, overexpression of cDNA encoding the zebrafish rpc11 ortholog,
polr3k, rescued the exocrine defects in slj mutants, indicating that the slj
phenotype is due to deficiency of Rpc11. These data show that functional
interactions between Pol III subunits have been conserved during eukaryotic
evolution and support the utility of zebrafish as a model vertebrate for analysis
of Pol III function.
PMID- 18044989
TI - Light activates output from evening neurons and inhibits output from morning
neurons in the Drosophila circadian clock.
AB - Animal circadian clocks are based on multiple oscillators whose interactions
allow the daily control of complex behaviors. The Drosophila brain contains a
circadian clock that controls rest-activity rhythms and relies upon different
groups of PERIOD (PER)-expressing neurons. Two distinct oscillators have been
functionally characterized under light-dark cycles. Lateral neurons (LNs) that
express the pigment-dispersing factor (PDF) drive morning activity, whereas PDF
negative LNs are required for the evening activity. In constant darkness, several
lines of evidence indicate that the LN morning oscillator (LN-MO) drives the
activity rhythms, whereas the LN evening oscillator (LN-EO) does not. Since
mutants devoid of functional CRYPTOCHROME (CRY), as opposed to wild-type flies,
are rhythmic in constant light, we analyzed transgenic flies expressing PER or
CRY in the LN-MO or LN-EO. We show that, under constant light conditions and
reduced CRY function, the LN evening oscillator drives robust activity rhythms,
whereas the LN morning oscillator does not. Remarkably, light acts by inhibiting
the LN-MO behavioral output and activating the LN-EO behavioral output. Finally,
we show that PDF signaling is not required for robust activity rhythms in
constant light as opposed to its requirement in constant darkness, further
supporting the minor contribution of the morning cells to the behavior in the
presence of light. We therefore propose that day-night cycles alternatively
activate behavioral outputs of the Drosophila evening and morning lateral
neurons.
PMID- 18044990
TI - Disruption of state estimation in the human lateral cerebellum.
AB - The cerebellum has been proposed to be a crucial component in the state
estimation process that combines information from motor efferent and sensory
afferent signals to produce a representation of the current state of the motor
system. Such a state estimate of the moving human arm would be expected to be
used when the arm is rapidly and skillfully reaching to a target. We now report
the effects of transcranial magnetic stimulation (TMS) over the ipsilateral
cerebellum as healthy humans were made to interrupt a slow voluntary movement to
rapidly reach towards a visually defined target. Errors in the initial direction
and in the final finger position of this reach-to-target movement were
significantly higher for cerebellar stimulation than they were in control
conditions. The average directional errors in the cerebellar TMS condition were
consistent with the reaching movements being planned and initiated from an
estimated hand position that was 138 ms out of date. We suggest that these
results demonstrate that the cerebellum is responsible for estimating the hand
position over this time interval and that TMS disrupts this state estimate.
PMID- 18044991
TI - Novel roles of formin mDia2 in lamellipodia and filopodia formation in motile
cells.
AB - Actin polymerization-driven protrusion of the leading edge is a key element of
cell motility. The important actin nucleators formins and the Arp2/3 complex are
believed to have nonoverlapping functions in inducing actin filament bundles in
filopodia and dendritic networks in lamellipodia, respectively. We tested this
idea by investigating the role of mDia2 formin in leading-edge protrusion by loss
of-function and gain-of-function approaches. Unexpectedly, mDia2 depletion by
short interfering RNA (siRNA) severely inhibited lamellipodia. Structural
analysis of the actin network in the few remaining lamellipodia suggested an
mDia2 role in generation of long filaments. Consistently, constitutively active
mDia2 (DeltaGBD-mDia2) induced accumulation of long actin filaments in
lamellipodia and increased persistence of lamellipodial protrusion. Depletion of
mDia2 also inhibited filopodia, whereas expression of DeltaGBD-mDia2 promoted
their formation. Correlative light and electron microscopy showed that DeltaGBD
mDia2-induced filopodia were formed from lamellipodial network through gradual
convergence of long lamellipodial filaments into bundles. Efficient filopodia
induction required mDia2 targeting to the membrane, likely through a scaffolding
protein Abi1. Furthermore, mDia2 and Abi1 interacted through the N-terminal
regulatory sequences of mDia2 and the SH3-containing Abi1 sequences. We propose
that mDia2 plays an important role in formation of lamellipodia by nucleating
and/or protecting from capping lamellipodial actin filaments, which subsequently
exhibit high tendency to converge into filopodia.
PMID- 18044992
TI - Draft crystal structure of the vault shell at 9-A resolution.
AB - Vaults are the largest known cytoplasmic ribonucleoprotein structures and may
function in innate immunity. The vault shell self-assembles from 96 copies of
major vault protein and encapsulates two other proteins and a small RNA. We
crystallized rat liver vaults and several recombinant vaults, all among the
largest non-icosahedral particles to have been crystallized. The best crystals
thus far were formed from empty vaults built from a cysteine-tag construct of
major vault protein (termed cpMVP vaults), diffracting to about 9-A resolution.
The asymmetric unit contains a half vault of molecular mass 4.65 MDa. X-ray
phasing was initiated by molecular replacement, using density from cryo-electron
microscopy (cryo-EM). Phases were improved by density modification, including
concentric 24- and 48-fold rotational symmetry averaging. From this, the
continuous cryo-EM electron density separated into domain-like blocks. A draft
atomic model of cpMVP was fit to this improved density from 15 domain models.
Three domains were adapted from a nuclear magnetic resonance substructure. Nine
domain models originated in ab initio tertiary structure prediction. Three C
terminal domains were built by fitting poly-alanine to the electron density.
Locations of loops in this model provide sites to test vault functions and to
exploit vaults as nanocapsules.
PMID- 18044993
TI - Detection of Hurthle cell carcinoma using sestamibi.
PMID- 18044994
TI - Application of paclitaxel-eluting metal stents in renal artery of pig model.
AB - BACKGROUND AND PURPOSE: Recent reports concerning coronary, carotid, and femoral
vasculature have proposed the use of drug-eluting metal stents (MS) to improve
clinical and angiographic outcomes. Based on these reports, we used paclitaxel
eluting MS within an animal renal artery lumen and compared the results with
those using a bare-metal stent. MATERIALS AND METHODS: The experimental model in
this study was the female pig renal artery. Ten pigs with weights ranging from 25
to 30 kg were used. Twenty stents were placed, two in each animal. The MS
placement was randomly performed in either the right or left renal artery of each
animal. In 10 arteries, a 3.5 x 18 mm R-stent (group A) was placed; in the
remaining 10 arteries, a 3 x 32 mm paclitaxel-eluting coronary stent (T-stent,
group B) was inserted. Patency was estimated with the use of digital subtraction
angiography, CT angiography, and virtual endoscopy at 24 hours and 1 month
poststent placement. RESULTS: The positioning of the MS was successful in all
cases. The initial angiographic result was maintained 24 hours after the
intervention. No stent migration was seen, except for one paclitaxel stent that
was acutely occluded. The one-month patency rate, as demonstrated by angiography,
CT angiography, and virtual endoscopy, was 70% (8 arteries) in group A and 90% (9
arteries) in group B. The thickness of the endothelium and of the muscular coat
was statistically significantly less in group B compared with group A (P = 0.0352
and P = 0.0046, respectively). CONCLUSION: These preliminary experimental study
results suggest that the paclitaxel-eluting MS is more efficient than the bare
metal stent when used within the pig renal artery. Further experimental and
clinical studies are necessary to validate our preliminary encouraging results.
PMID- 18044995
TI - Case report: hand-assisted laparoscopic radical heminephrectomy for renal-cell
carcinoma in a horseshoe kidney.
AB - A 63-year-old woman with an incidentally detected 10-cm enhancing renal mass in
the left moiety of a horseshoe kidney was treated with a transperitoneal hand
assisted laparoscopic (HAL) radical heminephrectomy. The operative time was 273
minutes, and estimated blood loss was 250 mL. To our knowledge, this is the
initial case of HAL radical heminephrectomy for renal-cell carcinoma in a
horseshoe kidney. Appropriate pre-operative imaging and surgical technique for
the isthmusectomy are highlighted.
PMID- 18044996
TI - Comparison of conventional and step-wise shockwave lithotripsy in management of
urinary calculi.
AB - PURPOSE: In this clinical study, our aim was to evaluate the results of
conventional and step-wise shockwave lithotripsy (SWL) in the management of
urinary calculi. PATIENTS AND METHODS: Fifty consecutive patients seen in our
outpatient urology clinic were included and randomized in the clinical study. SWL
was performed using a Dornier Compact Delta instrument. Treatment energy was set
on 13 kV in the conventional group. In the step-wise SWL group, the patients were
subjected to gradually increased (with every 500 shocks) output voltage as 11,
12, and 13 kV, respectively. The SWL session was completed with 13 kV thereafter.
The maximum number of shocks in both groups was limited to 3,000. RESULTS: Twenty
five patients in the conventional group and 25 patients in the step-wise SWL were
included. The mean ages in the step-wise SWL group and the conventional group
were 39.9 and 41.4 years (P > 0.05), respectively. The mean stone size was 0.83
+/- 0.51 cm in the step-wise SWL group and 0.70 +/- 0.41 cm in the conventional
group (P > 0.05). There was no difference between the two groups as to the
localization of stones in the ureter or kidney. Also, no statistical difference
was observed in the number of lithotripsy treatments between the two groups. When
we compared the results of two treatment procedures 8 weeks after the initial
treatment, the success rate was significantly higher in the step-wise SWL group
than in the conventional group (stone-free rate 96% (24/25) v 72% (18/25), P <
0.05)). CONCLUSIONS: Step-wise SWL yielded better outcomes than conventional SWL
without increased morbidity. Stepwise SWL can be considered as a management
option for urinary calculi.
PMID- 18044997
TI - Broadcast of live endoscopic surgery from Korea to Japan using the digital video
transport system.
AB - PURPOSE: To assess the quality of sound and uncompressed images during an
international broadcast of live surgery using the newly developed digital video
transport system (DVTS). METHODS: Three networks connected hospitals in Seoul,
Korea, and Fukuoka, Japan. A teleconference system with bidirectional
transmission over the network was set up. DVTS was used to send and receive
visual and audio signals during a live broadcast of a laparoscopic partial
nephrectomy to viewers at the 94th annual meeting of the Japanese Urological
Association. A questionnaire was used to assess the quality of images and sound.
RESULTS: Of 713 questionnaires distributed, 418 were collected. The quality of
image and voice was ranked very good or good by 95% and 92% of the audience,
respectively. The quality of live surgery was considered high by 94% of the
audience. CONCLUSION: Digital video images can be transformed directly to an
Internet protocol without compression of the motion images using DVTS. Live
surgery via teleconference using DVTS can help surgeons learn the skills of
endoscopic surgery.
PMID- 18044998
TI - Sural nerve grafting in robotic laparoscopic radical prostatectomy: interim
report.
AB - BACKGROUND AND PURPOSE: Sural nerve grafting for patients undergoing
prostatectomy has been previously reported using open and minimally invasive
methods. We report our experience with sural nerve grafting during robot-assisted
laparoscopic radical prostatectomy (RLRP). MATERIALS AND METHODS: Patients with
preoperative potency and a minimum of 6 months follow-up were included in this
prospective review. A total of 333 patients were identified between February 2003
and January 2006 who met these criteria including 22 of the 25 patients who
underwent sural nerve grafting. Patients were divided into 5 groups to compare
unilateral and bilateral sural nerve cohorts with non-nerve-sparing and
unilateral and bilateral nerve-sparing groups. Patients were followed
prospectively using health-related quality-of-life questionnaires. RESULTS:
Twenty-two patients underwent sural nerve grafting that included three bilateral
grafts. Mean follow-up was 14 months. There was no statistical difference in
patients' ages, body mass index, preoperative prostate-specific antigen level,
blood loss, complications, and positive margin rate. Operative time was
statistically longer for both sural graft cohorts when compared with unilateral
(without graft) and bilateral nerve sparing cohorts. No significant differences
in subjective or objective sexual function, sexual bother, or urinary function
were seen with 6 and 12 months follow-up, possibly related to smaller sural
cohorts. Graft-related complications include leg pain in one patient. CONCLUSION:
Sural nerve grafting during RLRP is technically feasible and safe and offers
improved dexterity and visualization deep within the pelvis. However, a larger
randomized cohort of patients will be required to validate any improved benefits
afforded by the robot system.
PMID- 18044999
TI - The high-conductance state of cortical networks.
AB - We studied the dynamics of large networks of spiking neurons with conductance
based (nonlinear) synapses and compared them to networks with current-based
(linear) synapses. For systems with sparse and inhibition-dominated recurrent
connectivity, weak external inputs induced asynchronous irregular firing at low
rates. Membrane potentials fluctuated a few millivolts below threshold, and
membrane conductances were increased by a factor 2 to 5 with respect to the
resting state. This combination of parameters characterizes the ongoing spiking
activity typically recorded in the cortex in vivo. Many aspects of the
asynchronous irregular state in conductance-based networks could be sufficiently
well characterized with a simple numerical mean field approach. In particular, it
correctly predicted an intriguing property of conductance-based networks that
does not appear to be shared by current-based models: they exhibit states of low
rate asynchronous irregular activity that persist for some period of time even in
the absence of external inputs and without cortical pacemakers. Simulations of
larger networks (up to 350,000 neurons) demonstrated that the survival time of
self-sustained activity increases exponentially with network size.
PMID- 18045000
TI - Irregular firing of isolated cortical interneurons in vitro driven by intrinsic
stochastic mechanisms.
AB - Pharmacologically isolated GABAergic irregular spiking and stuttering
interneurons in the mouse visual cortex display highly irregular spike times,
with high coefficients of variation approximately 0.9-3, in response to a
depolarizing, constant current input. This is in marked contrast to cortical
pyramidal cells, which spike quite regularly in response to the same current
injection. We applied time-series analysis methods to show that the irregular
behavior of the interneurons was not a consequence of low-dimensional,
deterministic processes. These methods were also applied to the Hindmarsh and
Rose neuronal model to confirm that the methods are adequate for the types of
data under investigation. This result has important consequences for the origin
of fluctuations observed in the cortex in vivo.
PMID- 18045001
TI - A very simple spiking neuron model that allows for modeling of large, complex
systems.
AB - This letter introduces a biologically inspired very simple spiking neuron model.
The model retains only crucial aspects of biological neurons: a network of time
delayed weighted connections to other neurons, a threshold-based generation of
action potentials, action potential frequency proportional to stimulus intensity,
and interneuron communication that occurs with time-varying potentials that last
longer than the associated action potentials. The key difference between this
model and existing spiking neuron models is its great simplicity: it is basically
a collection of linear and discontinuous functions with no differential equations
to solve. The model's ability to operate in a complex network was tested by using
it as a basis of a network implementing a hypothetical echolocation system. The
system consists of an emitter and two receivers. The outputs of the receivers are
connected to a network of spiking neurons (using the proposed model) to form a
detection grid that acts as a map of object locations in space. The network uses
differences in the arrival times of the signals to determine the azimuthal angle
of the source and time of flight to calculate the distance. The activation
patterns observed indicate that for a network of spiking neurons, which uses only
time delays to determine source locations, the spatial discrimination varies with
the number and relative spacing of objects. These results are similar to those
observed in animals that use echolocation.
PMID- 18045002
TI - Bayesian spiking neurons I: inference.
AB - We show that the dynamics of spiking neurons can be interpreted as a form of
Bayesian inference in time. Neurons that optimally integrate evidence about
events in the external world exhibit properties similar to leaky integrate-and
fire neurons with spike-dependent adaptation and maximally respond to
fluctuations of their input. Spikes signal the occurrence of new information-what
cannot be predicted from the past activity. As a result, firing statistics are
close to Poisson, albeit providing a deterministic representation of
probabilities.
PMID- 18045003
TI - Bayesian spiking neurons II: learning.
AB - In the companion letter in this issue ("Bayesian Spiking Neurons I: Inference"),
we showed that the dynamics of spiking neurons can be interpreted as a form of
Bayesian integration, accumulating evidence over time about events in the
external world or the body. We proceed to develop a theory of Bayesian learning
in spiking neural networks, where the neurons learn to recognize temporal
dynamics of their synaptic inputs. Meanwhile, successive layers of neurons learn
hierarchical causal models for the sensory input. The corresponding learning rule
is local, spike-time dependent, and highly nonlinear. This approach provides a
principled description of spiking and plasticity rules maximizing information
transfer, while limiting the number of costly spikes, between successive layers
of neurons.
PMID- 18045004
TI - Population coding with motion energy filters: the impact of correlations.
AB - The codes obtained from the responses of large populations of neurons are known
as population codes. Several studies have shown that the amount of information
conveyed by such codes, and the format of this information, is highly dependent
on the pattern of correlations. However, very little is known about the impact of
response correlations (as found in actual cortical circuits) on neural coding. To
address this problem, we investigated the properties of population codes obtained
from motion energy filters, which provide one of the best models for motion
selectivity in early visual areas. It is therefore likely that the correlations
that arise among energy filters also arise among motion-selective neurons. We
adopted an ideal observer approach to analyze filter responses to three sets of
images: noisy sine gratings, random dots kinematograms, and images of natural
scenes. We report that in our model, the structure of the population code varies
with the type of image. We also show that for all sets of images, correlations
convey a large fraction of the information: 40% to 90% of the total information.
Moreover, ignoring those correlations when decoding leads to considerable
information loss-from 50% to 93%, depending on the image type. Finally we show
that it is important to consider a large population of motion energy filters in
order to see the impact of correlations. Study of pairs of neurons, as is often
done experimentally, can underestimate the effect of correlations.
PMID- 18045005
TI - Feedback decoding of spatially structured population activity in cortical maps.
AB - A mechanism is proposed by which feedback pathways model spatial patterns of
feedforward activity in cortical maps. The mechanism can be viewed equivalently
as readout of a content-addressable memory or as decoding of a population code.
The model is based on the evidence that cortical receptive fields can often be
described as a separable product of functions along several dimensions, each
represented in a spatially ordered map. Given this, it is shown that for an N
dimensional map, accurate modeling and decoding of x(N) feedforward activity
patterns can be done with Nx fibers, N of which must be active at any one time.
The proposed mechanism explains several known properties of the cortex and
pyramidal neurons: (1) the integration of signals by dendrites with a narrow
tangential distribution, that is, apical dendrites; (2) the presence of fast
conducting feedback projections with broad tangential distributions; (3) the
multiplicative effects of attention on receptive field profiles; and (4) the
existence of multiplicative interactions between subthreshold feedforward inputs
to basal dendrites and inputs to apical dendrites.
PMID- 18045006
TI - Chaotic frequency scaling in a coupled oscillator model for free rhythmic
actions.
AB - The question of how best to model rhythmic movements at self-selected amplitude
frequency combinations, and their variability, is a long-standing issue. This
study presents a systematic analysis of a coupled oscillator system that has
successfully accounted for the experimental result that humans' preferred
oscillation frequencies closely correspond to the linear resonance frequencies of
the biomechanical limb systems, a phenomenon known as resonance tuning or
frequency scaling. The dynamics of the coupled oscillator model is explored by
numerical integration in different areas of its parameter space, where a period
doubling route to chaotic dynamics is discovered. It is shown that even in the
regions of the parameter space with chaotic solutions, the model still
effectively scales to the biomechanical oscillator's natural frequency. Hence,
there is a solution providing for frequency scaling in the presence of chaotic
variability. The implications of these results for interpreting variability as
fundamentally stochastic or chaotic are discussed.
PMID- 18045007
TI - The actor-critic learning is behind the matching law: matching versus optimal
behaviors.
AB - The ability to make a correct choice of behavior from various options is crucial
for animals' survival. The neural basis for the choice of behavior has been
attracting growing attention in research on biological and artificial neural
systems. Alternative choice tasks with variable ratio (VR) and variable interval
(VI) schedules of reinforcement have often been employed in studying decision
making by animals and humans. In the VR schedule task, alternative choices are
reinforced with different probabilities, and subjects learn to select the
behavioral response rewarded more frequently. In the VI schedule task,
alternative choices are reinforced at different average intervals independent of
the choice frequencies, and the choice behavior follows the so-called matching
law. The two policies appear robustly in subjects' choice of behavior, but the
underlying neural mechanisms remain unknown. Here, we show that these seemingly
different policies can appear from a common computational algorithm known as
actor-critic learning. We present experimentally testable variations of the VI
schedule in which the matching behavior gives only a suboptimal solution to
decision making and show that the actor-critic system exhibits the matching
behavior in the steady state of the learning even when the matching behavior is
suboptimal. However, it is found that the matching behavior can earn
approximately the same reward as the optimal one in many practical situations.
PMID- 18045008
TI - Minimization of error functionals over perceptron networks.
AB - Supervised learning of perceptron networks is investigated as an optimization
problem. It is shown that both the theoretical and the empirical error
functionals achieve minima over sets of functions computable by networks with a
given number n of perceptrons. Upper bounds on rates of convergence of these
minima with n increasing are derived. The bounds depend on a certain regularity
of training data expressed in terms of variational norms of functions
interpolating the data (in the case of the empirical error) and the regression
function (in the case of the expected error). Dependence of this type of
regularity on dimensionality and on magnitudes of partial derivatives is
investigated. Conditions on the data, which guarantee that a good approximation
of global minima of error functionals can be achieved using networks with a
limited complexity, are derived. The conditions are in terms of oscillatory
behavior of the data measured by the product of a function of the number of
variables d, which is decreasing exponentially fast, and the maximum of the
magnitudes of the squares of the L(1)-norms of the iterated partial derivatives
of the order d of the regression function or some function, which interpolates
the sample of the data. The results are illustrated by examples of data with
small and high regularity constructed using Boolean functions and the gaussian
function.
PMID- 18045009
TI - An SMO algorithm for the potential support vector machine.
AB - We describe a fast sequential minimal optimization (SMO) procedure for solving
the dual optimization problem of the recently proposed potential support vector
machine (P-SVM). The new SMO consists of a sequence of iteration steps in which
the Lagrangian is optimized with respect to either one (single SMO) or two (dual
SMO) of the Lagrange multipliers while keeping the other variables fixed. An
efficient selection procedure for Lagrange multipliers is given, and two
heuristics for improving the SMO procedure are described: block optimization and
annealing of the regularization parameter epsilon. A comparison of the variants
shows that the dual SMO, including block optimization and annealing, performs
efficiently in terms of computation time. In contrast to standard support vector
machines (SVMs), the P-SVM is applicable to arbitrary dyadic data sets, but
benchmarks are provided against libSVM's epsilon-SVR and C-SVC implementations
for problems that are also solvable by standard SVM methods. For those problems,
computation time of the P-SVM is comparable to or somewhat higher than the
standard SVM. The number of support vectors found by the P-SVM is usually much
smaller for the same generalization performance.
PMID- 18045010
TI - On the classification capability of sign-constrained perceptrons.
AB - The perceptron (also referred to as McCulloch-Pitts neuron, or linear threshold
gate) is commonly used as a simplified model for the discrimination and learning
capability of a biological neuron. Criteria that tell us when a perceptron can
implement (or learn to implement) all possible dichotomies over a given set of
input patterns are well known, but only for the idealized case, where one assumes
that the sign of a synaptic weight can be switched during learning. We present in
this letter an analysis of the classification capability of the biologically more
realistic model of a sign-constrained perceptron, where the signs of synaptic
weights remain fixed during learning (which is the case for most types of
biological synapses). In particular, the VC-dimension of sign-constrained
perceptrons is determined, and a necessary and sufficient criterion is provided
that tells us when all 2(m) dichotomies over a given set of m patterns can be
learned by a sign-constrained perceptron. We also show that uniformity of L(1)
norms of input patterns is a sufficient condition for full representation power
in the case where all weights are required to be nonnegative. Finally, we exhibit
cases where the sign constraint of a perceptron drastically reduces its
classification capability. Our theoretical analysis is complemented by computer
simulations, which demonstrate in particular that sparse input patterns improve
the classification capability of sign-constrained perceptrons.
PMID- 18045011
TI - STDP provides the substrate for igniting synfire chains by spatiotemporal input
patterns.
AB - Spike-timing-dependent synaptic plasticity (STDP), which depends on the temporal
difference between pre- and postsynaptic action potentials, is observed in the
cortices and hippocampus. Although several theoretical and experimental studies
have revealed its fundamental aspects, its functional role remains unclear. To
examine how an input spatiotemporal spike pattern is altered by STDP, we observed
the output spike patterns of a spiking neural network model with an asymmetrical
STDP rule when the input spatiotemporal pattern is repeatedly applied. The
spiking neural network comprises excitatory and inhibitory neurons that exhibit
local interactions. Numerical experiments show that the spiking neural network
generates a single global synchrony whose relative timing depends on the input
spatiotemporal pattern and the neural network structure. This result implies that
the spiking neural network learns the transformation from spatiotemporal to
temporal information. In the literature, the origin of the synfire chain has not
been sufficiently focused on. Our results indicate that spiking neural networks
with STDP can ignite synfire chains in the cortices.
PMID- 18045012
TI - Second-order SMO improves SVM online and active learning.
AB - Iterative learning algorithms that approximate the solution of support vector
machines (SVMs) have two potential advantages. First, they allow online and
active learning. Second, for large data sets, computing the exact SVM solution
may be too time-consuming, and an efficient approximation can be preferable. The
powerful LASVM iteratively approaches the exact SVM solution using sequential
minimal optimization (SMO). It allows efficient online and active learning. Here,
this algorithm is considerably improved in speed and accuracy by replacing the
working set selection in the SMO steps. A second-order working set selection
strategy, which greedily aims at maximizing the progress in each single step, is
incorporated.
PMID- 18045013
TI - Bayesian model comparison in nonlinear BOLD fMRI hemodynamics.
AB - Nonlinear hemodynamic models express the BOLD (blood oxygenation level dependent)
signal as a nonlinear, parametric functional of the temporal sequence of local
neural activity. Several models have been proposed for both the neural activity
and the hemodynamics. We compare two such combined models: the original balloon
model with a square-pulse neural model (Friston, Mechelli, Turner, & Price, 2000)
and an extended balloon model with a more sophisticated neural model (Buxton,
Uludag, Dubowitz, & Liu, 2004). We learn the parameters of both models using a
Bayesian approach, where the distribution of the parameters conditioned on the
data is estimated using Markov chain Monte Carlo techniques. Using a split-half
resampling procedure (Strother, Anderson, & Hansen, 2002), we compare the
generalization abilities of the models as well as their reproducibility, for both
synthetic and real data, recorded from two different visual stimulation
paradigms. The results show that the simple model is the better one for these
data.
PMID- 18045014
TI - Implications of noise and neural heterogeneity for vestibulo-ocular reflex
fidelity.
AB - The vestibulo-ocular reflex (VOR) is characterized by a short-latency, high
fidelity eye movement response to head rotations at frequencies up to 20 Hz.
Electrophysiological studies of medial vestibular nucleus (MVN) neurons, however,
show that their response to sinusoidal currents above 10 to 12 Hz is highly
nonlinear and distorted by aliasing for all but very small current amplitudes.
How can this system function in vivo when single cell response cannot explain its
operation? Here we show that the necessary wide VOR frequency response may be
achieved not by firing rate encoding of head velocity in single neurons, but in
the integrated population response of asynchronously firing, intrinsically active
neurons. Diffusive synaptic noise and the pacemaker-driven, intrinsic firing of
MVN cells synergistically maintain asynchronous, spontaneous spiking in a
population of model MVN neurons over a wide range of input signal amplitudes and
frequencies. Response fidelity is further improved by a reciprocal inhibitory
link between two MVN populations, mimicking the vestibular commissural system in
vivo, but only if asynchrony is maintained by noise and pacemaker inputs. These
results provide a previously missing explanation for the full range of VOR
function and a novel account of the role of the intrinsic pacemaker conductances
in MVN cells. The values of diffusive noise and pacemaker currents that give
optimal response fidelity yield firing statistics similar to those in vivo,
suggesting that the in vivo network is tuned to optimal performance. While
theoretical studies have argued that noise and population heterogeneity can
improve coding, to our knowledge this is the first evidence indicating that these
parameters are indeed tuned to optimize coding fidelity in a neural control
system in vivo.
PMID- 18045015
TI - Robust L1 principal component analysis and its Bayesian variational inference.
AB - We introduce a robust probabilistic L1-PCA model in which the conventional
gaussian distribution for the noise in the observed data was replaced by the
Laplacian distribution (or L1 distribution). Due to the heavy tail
characteristics of the L1 distribution, the proposed model is supposed to be more
robust against data outliers. In this letter, we demonstrate how a variational
approximation scheme enables effective inference of key parameters in the
probabilistic L1-PCA model. As the L1 density can be expanded as a superposition
of infinite number of gaussian densities, we express the L1-PCA model as a
marginalized model over the superpositions. By doing so, a tractable Bayesian
inference can be achieved based on the variational expectation-maximization-type
algorithm.
PMID- 18045016
TI - A principle for learning egocentric-allocentric transformation.
AB - Numerous single-unit recording studies have found mammalian hippocampal neurons
that fire selectively for the animal's location in space, independent of its
orientation. The population of such neurons, commonly known as place cells, is
thought to maintain an allocentric, or orientation-independent, internal
representation of the animal's location in space, as well as mediating long-term
storage of spatial memories. The fact that spatial information from the
environment must reach the brain via sensory receptors in an inherently
egocentric, or viewpoint-dependent, fashion leads to the question of how the
brain learns to transform egocentric sensory representations into allocentric
ones for long-term memory storage. Additionally, if these long-term memory
representations of space are to be useful in guiding motor behavior, then the
reverse transformation, from allocentric to egocentric coordinates, must also be
learned. We propose that orientation-invariant representations can be learned by
neural circuits that follow two learning principles: minimization of
reconstruction error and maximization of representational temporal inertia. Two
different neural network models are presented that adhere to these learning
principles, the first by direct optimization through gradient descent and the
second using a more biologically realistic circuit based on the restricted
Boltzmann machine (Hinton, 2002; Smolensky, 1986). Both models lead to
orientation-invariant representations, with the latter demonstrating place-cell
like responses when trained on a linear track environment.
PMID- 18045017
TI - Minimum acceleration criterion with constraints implies bang-bang control as an
underlying principle for optimal trajectories of arm reaching movements.
AB - Rapid arm-reaching movements serve as an excellent test bed for any theory about
trajectory formation. How are these movements planned? A minimum acceleration
criterion has been examined in the past, and the solution obtained, based on the
Euler-Poisson equation, failed to predict that the hand would begin and end the
movement at rest (i.e., with zero acceleration). Therefore, this criterion was
rejected in favor of the minimum jerk, which was proved to be successful in
describing many features of human movements. This letter follows an alternative
approach and solves the minimum acceleration problem with constraints using
Pontryagin's minimum principle. We use the minimum principle to obtain minimum
acceleration trajectories and use the jerk as a control signal. In order to find
a solution that does not include nonphysiological impulse functions, constraints
on the maximum and minimum jerk values are assumed. The analytical solution
provides a three-phase piecewise constant jerk signal (bang-bang control) where
the magnitude of the jerk and the two switching times depend on the magnitude of
the maximum and minimum available jerk values. This result fits the observed
trajectories of reaching movements and takes into account both the extrinsic
coordinates and the muscle limitations in a single framework. The minimum
acceleration with constraints principle is discussed as a unifying approach for
many observations about the neural control of movements.
PMID- 18045018
TI - A sensorimotor approach to sound localization.
AB - Sound localization is known to be a complex phenomenon, combining multisensory
information processing, experience-dependent plasticity, and movement. Here we
present a sensorimotor model that addresses the question of how an organism could
learn to localize sound sources without any a priori neural representation of its
head-related transfer function or prior experience with auditory spatial
information. We demonstrate quantitatively that the experience of the sensory
consequences of its voluntary motor actions allows an organism to learn the
spatial location of any sound source. Using examples from humans and echolocating
bats, our model shows that a naive organism can learn the auditory space based
solely on acoustic inputs and their relation to motor states.
PMID- 18045019
TI - How optimal stimuli for sensory neurons are constrained by network architecture.
AB - Identifying the optimal stimuli for a sensory neuron is often a difficult process
involving trial and error. By analyzing the relationship between stimuli and
responses in feedforward and stable recurrent neural network models, we find that
the stimulus yielding the maximum firing rate response always lies on the
topological boundary of the collection of all allowable stimuli, provided that
individual neurons have increasing input-output relations or gain functions and
that the synaptic connections are convergent between layers with nondegenerate
weight matrices. This result suggests that in neurophysiological experiments
under these conditions, only stimuli on the boundary need to be tested in order
to maximize the response, thereby potentially reducing the number of trials
needed for finding the most effective stimuli. Even when the gain functions allow
firing rate cutoff or saturation, a peak still cannot exist in the stimulus
response relation in the sense that moving away from the optimum stimulus always
reduces the response. We further demonstrate that the condition for nondegenerate
synaptic connections also implies that proper stimuli can independently perturb
the activities of all neurons in the same layer. One example of this type of
manipulation is changing the activity of a single neuron in a given processing
layer while keeping that of all others constant. Such stimulus perturbations
might help experimentally isolate the interactions of selected neurons within a
network.
PMID- 18045020
TI - Dynamics of learning near singularities in layered networks.
AB - We explicitly analyze the trajectories of learning near singularities in
hierarchical networks, such as multilayer perceptrons and radial basis function
networks, which include permutation symmetry of hidden nodes, and show their
general properties. Such symmetry induces singularities in their parameter space,
where the Fisher information matrix degenerates and odd learning behaviors,
especially the existence of plateaus in gradient descent learning, arise due to
the geometric structure of singularity. We plot dynamic vector fields to
demonstrate the universal trajectories of learning near singularities. The
singularity induces two types of plateaus, the on-singularity plateau and the
near-singularity plateau, depending on the stability of the singularity and the
initial parameters of learning. The results presented in this letter are
universally applicable to a wide class of hierarchical models. Detailed stability
analysis of the dynamics of learning in radial basis function networks and
multilayer perceptrons will be presented in separate work.
PMID- 18045022
TI - A neural network model of the Eriksen task: reduction, analysis, and data
fitting.
AB - We analyze a neural network model of the Eriksen task: a two-alternative forced
choice task in which subjects must correctly identify a central stimulus and
disregard flankers that may or may not be compatible with it. We linearize and
decouple the model, deriving a reduced drift-diffusion process with variable
drift rate that describes the accumulation of net evidence in favor of either
alternative, and we use this to analytically describe how accuracy and response
time data depend on model parameters. Such analyses both assist parameter tuning
in network models and suggest explanations of changing drift rates in terms of
attention. We compare our results with numerical simulations of the full
nonlinear model and with empirical data and show good fits to both with fewer
parameters.
PMID- 18045023
TI - Neural network models for conditional distribution under bayesian analysis.
AB - We use neural networks (NN) as a tool for a nonlinear autoregression to predict
the second moment of the conditional density of return series. The NN models are
compared to the popular econometric GARCH(1,1) model. We estimate the models in a
Bayesian framework using Markov chain Monte Carlo posterior simulations. The
interlinked aspects of the proposed Bayesian methodology are identification of NN
hidden units and treatment of NN complexity based on model evidence. The
empirical study includes the application of the designed strategy to market data,
where we found a strong support for a nonlinear multilayer perceptron model with
two hidden units.
PMID- 18045024
TI - Generation of optimal artificial neural networks using a pattern search
algorithm: application to approximation of chemical systems.
AB - A pattern search optimization method is applied to the generation of optimal
artificial neural networks (ANNs). Optimization is performed using a mixed
variable extension to the generalized pattern search method. This method offers
the advantage that categorical variables, such as neural transfer functions and
nodal connectivities, can be used as parameters in optimization. When used
together with a surrogate, the resulting algorithm is highly efficient for
expensive objective functions. Results demonstrate the effectiveness of this
method in optimizing an ANN for the number of neurons, the type of transfer
function, and the connectivity among neurons. The optimization method is applied
to a chemistry approximation of practical relevance. In this application,
temperature and a chemical source term are approximated as functions of two
independent parameters using optimal ANNs. Comparison of the performance of
optimal ANNs with conventional tabulation methods demonstrates equivalent
accuracy by considerable savings in memory storage. The architecture of the
optimal ANN for the approximation of the chemical source term consists of a fully
connected feedforward network having four nonlinear hidden layers and 117
synaptic weights. An equivalent representation of the chemical source term using
tabulation techniques would require a 500 x 500 grid point discretization of the
parameter space.
PMID- 18045025
TI - Valuations for spike train prediction.
AB - The ultimate product of an electrophysiology experiment is often a decision on
which biological hypothesis or model best explains the observed data. We outline
a paradigm designed for comparison of different models, which we refer to as
spike train prediction. A key ingredient of this paradigm is a prediction quality
valuation that estimates how close a predicted conditional intensity function is
to an actual observed spike train. Although a valuation based on log likelihood
(L) is most natural, it has various complications in this context. We propose
that a quadratic valuation (Q) can be used as an alternative to L. Q shares some
important theoretical properties with L, including consistency, and the two
valuations perform similarly on simulated and experimental data. Moreover, Q is
more robust than L, and optimization with Q can dramatically improve
computational efficiency. We illustrate the utility of Q for comparing models of
peer prediction, where it can be computed directly from cross-correlograms.
Although Q does not have a straightforward probabilistic interpretation, Q is
essentially given by Euclidean distance.
PMID- 18045026
TI - Migration of dendritic cell subsets and their precursors.
AB - The ability of dendritic cells (DCs) to initiate and orchestrate immune responses
is a consequence of their localization within tissues and their specialized
capacity for mobilization. The migration of a given DC subset is typified by a
restricted capacity for recirculation, contrasting markedly with T cells. Routes
of DC migration into lymph nodes differ notably for distinct DC subsets. Here, we
compare the distinct migratory patterns of plasmacytoid DCs (pDCs), CD8alpha(+)
DCs, Langerhans cells, and conventional myeloid DCs and discuss how the highly
regulated patterns of DC migration in vivo may affect their roles in immunity.
Finally, to gain a more molecular appreciation of the specialized migratory
properties of DCs, we review the signaling cascades that govern the process of DC
migration.
PMID- 18045027
TI - [From renal osteodistrophy to bone and mineral metabolism lesions associated to
renal chronic disease].
PMID- 18045028
TI - [Are IL2 receptor antagonist useful in high risk acute tubular necrosis kidney
recipients?].
AB - The questions are if old recipients from old donor have more incidence of delayed
graft function and if antagonists of Il-2 receptors use decreased the incidence
of NTA post-transplant. To answer the first question we have come to information
from registry and uni or multicenter studies. We have used the Irish normograme
that included 16 clinical questions from donors, recipients and kidney
transplant. We concluded that age of donors increases likelihood of delayed graft
function. The second question is answered in the literature with information of a
meta-analysis with 38 clinical studies. Of them 9 (1.380 patients) studied
delayed graft function and are against placebo. The odds ratio for delayed graft
function was 0.87(IC 95% 0,72 a 1,06). Therefore, at the moment, we can conclude
that the utilization of antagonists of Il-2 receptors does not have protective
effect to NTA.
PMID- 18045029
TI - [Notes for the critical lecture of a prognostic article].
PMID- 18045030
TI - [Developing a Clinical Performance Measures System for hemodialysis, Quality
Group, Spanish Society of Nephrology].
PMID- 18045031
TI - [Antiphospholipid syndrome and lupus nephritis treated with rituximab].
PMID- 18045032
TI - [Cyclosporin A causes oxidative stress and mitochondrial dysfunction in renal
tubular cells].
AB - Reactive oxygen species (ROS) have been implicated in cyclosporin A (CsA)
nephrotoxicity. As mitochondria are one of the main sources of ROS in cells, we
evaluated the role of CsA in mitochondrial structure and function in LLC-PK1
cells. We incubated cells with CsA 1 microM for 24 hours and studies were
performed with flow citometry and confocal microscopy. We studied mitochondrial
NAD(P)H content, superoxide anion (O2.-) production (MitoSOX Red), oxidation of
cardiolipin of inner mitochondrial membrane (NAO) and mitochondrial membrane
potential (DIOC2(3)). Also we analyzed the intracellular ROS synthesis (H2DCF-DA)
and reduced glutation (GSH) of cells. Our results showed that CsA decreased
NAD(P)H and membrane potential, and increased O2.- in mitochondria. CsA also
provoked oxidation of cardiolipin. Furthermore, CsA increased intracellular ROS
production and decreased GSH content. These results suggest that CsA has crucial
effects in mitochondria. CsA modified mitochondrial physiology through the
decrease of antioxidant mitochondrial compounds as NAD(P)H and the dissipation of
mitochondrial membrane potential and increase of oxidants as O2.-. Also, CsA
alters lipidic structure of inner mitochondrial membrane through the oxidation of
cardiolipin. These effects trigger a chain of events that favour intracellular
synthesis of ROS and depletion of GSH that can compromise cellular viability.
Nephrotoxic cellular effects of CsA can be explained, at least in part, through
its influence on mitochondrial functionalism.
PMID- 18045033
TI - [Choosing not to dialysis in chronic renal failure in stage V (Renal Failure).
Evolution of the characteristics of patients between 1992-1995 and 2000-2003].
AB - BACKGROUND: The incidence of chronic renal failure increase with the age. The
selection of patient to dialysis has been increasing in spite of the high
comorbidity. Moreover, in our clinical practice the aged patient is not
contraindicated to dialysis. However, in the nephrology clinical practice not all
the patients start the treatment with dialysis. OBJECTIVE The aim of our study
has been to compare the characteristics of the patients who had not been dialyzed
between the periods 1992-1995 and 2000-2003 to analyze the trend of the
nephrology clinical practice. MATERIAL AND METHODS: Comparative study of the
characteristics and the evolution of patients with chronic renal failure in stage
V, (renal failure) not incorporated to dialysis in one hospital during four years
between the periods the 1992-1995 ( period A) and 2000-2003 (period B). RESULTS:
Start dialysis (period A versus period B): 116 patients, age 59.9+15.5 years vs.
229 patients, age 64.0+15.8 years (p<0.05). Non-dialysis (period A versus period
B): 38 patients, age 77.5+9.3 years vs. 37 patients, age 81.7+6.2 years (p<0.01).
Renal function: serum creatinina 7.4+2.4 mg/dl vs. 5.3+1.2 mg/dl (p<0.001), MDRD
estimate glomerular filtration 6.9+2.4 mg/dl ml/min/1.73 m2 vs. 10.0+2.3
ml/min/1.73 m2 (p<0.001). Primary renal disease: unknown etiology 31.5 % vs. 24.3
%, nephroangiosclerosis 23.6 % vs. 32.4 %, diabetes 28.9 % vs. 21.6 %. Functional
status: dependent patients 34.2 % vs 83.8 % (p<0.001). The principal reason for
non-dialysis were: personal decision: 26.3 % vs. 35.1 %, dementia 15.8 % vs. 29.7
%, brief life expectancy because of serious co-existing diseases 13.1 % vs. 21.7
% and serious chronic illness with inability for themselves care 44.7 % vs. 13.1
%. Comorbid conditions: 2.3+1.0 vs. 3.0+1.5 (p<0.05). Survival: 55+168 days vs.
168+236 days (p<0.001). CONCLUSION: Most of the patients that don't begin
dialysis are elderly together with a poor functional capacity and with more
autonomy in their decisions. The identification of patients with renal failure
(stage V) was detected early in the last period than in the following one. The
conservative management of non-dialyzed uremic patients is a significative
nephrology clinical practice due to more survival of those persons.
PMID- 18045034
TI - [Advance directives in chronic dialysis patients].
AB - BACKGROUND AND OBJECTIVE: Knowledge of the life-sustaining treatment preferences
of the dialysis patients would be extremely helpful to substitute decision-makers
and nephrologists in deciding whether to continue or stop a treatment. The
population of the Mediterranean countries show this opinion with less frequency.
The objective of this study is: 1) the knowledge of the patient's view for the
advance directives; it may increase the likelihood to get the correct decisions
of the staff when complications break the normal course of chronic dialysis, and
2) the statement of the advance directives. MATERIAL AND METHOD: We distributed
135 questionnaires to patients with chronic renal failure in dialysis treatment
of the Sabadell's Hospital to explore demographic information about responders
and not-responders and explore the rate of questionnaires was completed about the
cardiopulmonary resuscitation, respirator, tube feeding and dialysis in case of
coma, persistent vegetative state, severe dementia and terminal illness. We
explore about the representative of patients and in case of not-responders about
the cause to not answer. RESULTS: Sixty-four of 135 patients (47,8%) did not want
cardiopulmonary resuscitation, respirator, tube feeding or dialysis in case of
coma, persistent vegetative state, severe dementia or terminal illness. Compared
with patients who wanted the treatments, those who did not were older (71,2
versus 62,2 years; p = 0.002). There was no difference in the other demographic
questions, including sex (p=0.674), cause of kidney failure (p=0.815), comorbid
conditions (p=0.824), and social status (language of questionnaire -0.155- and
standard of education -0.288-). Advance care planning does not occur solely
within the context of the physician-patient relationship; the respondents
reported the representative in the family, essentially. The patients not
responders doesn t want to think in those situations and also they show doubt
about the interpretation of their answers. CONCLUSIONS: near 50% patients in
chronic dialysis want to stop certain treatments in case of resuscitation
cardiopulmonary, coma, persistent vegetative state, severe dementia or terminal
illness. The older patients want the limitation of treatments more frequently.
PMID- 18045035
TI - [Kinetic of calcium, phosphate, magnesium and PTH variations during
hemodiafiltration].
AB - Hemodiafiltration (HDF) is a technique resulting from coupling of diffusive and
convective transport and thereby increase the elimination of small and middle
molecules. However, may induce a convective loss from others substances such as
calcium and magnesium. The aim of this study was to evaluate the effects of
Ultrafiltration on the kinetics of calcium, phosphate, magnesium and parathyroid
hormone. A total of thirteen patients (7 males and 6 females) on hemodialysis,
were studied. Each patient was randomly dialyzed with the same dialysate calcium
concentration and three different ultrafiltration rate. Schedule A: High flux
hemodialysis, schedule B: HDF with 10% of weight body and schedule C: HDF with
20% of weight body. The others parameters were kept identical. Total
Ultrafiltration was 2,6+/-0,9 L (9,78+/-3,78 ml/min) in A, 9,3+/-1,7 L (34,54+/
6,22 ml/min) in B and 16,3+/-3,3 L (60,94+/-12,63 ml/min) in C. Replacement fluid
during dialysis was 6,85+/-1,42 and 13,65+/-2,9 L. in C and C respectively.
Postdialysis total,ionized calcium and magnesium were significantly lower in
schedules B and C versus A. PTH levels did not differ significantly. However, PTH
changes during dialysis was -36.6+/-38.6%, 6.3+/-69.8% and 32.2+/-63.2% in A, B
and C, respectively (p<0.05 A vs. C). A significant inverse correlation was found
between total Ultrafiltration and postdialysis levels of total calcium (r:-0.56,
p<0.001), ionized calcium (r:-0.65, p<0.001) and magnesium (r:-0.47, p<0.01). No
differences were observed in pre and postdialysis phosphate levels, neither mass
transfer and clearance of phosphate. We concluded that high ultrafiltration flow
rates and substitution fluid without divalent cations induces a negative calcium
and magnesium balance. These changes may stimulate PTH secretion during HDF. This
technique did not resulted in a higher clearance or phosphate removal.
PMID- 18045036
TI - [Calcific uraemic arteriolopathy (calciphylaxis): incidence, clinical features
and long term outcomes].
AB - Calcific uraemic arteriolopathy, also named calciphylaxis, is a rare but serious
disorder characterized by medial mural calcification of small vessel leading to
tissue ischaemia. It most commonly occurs in end stage renal disease patients on
dialysis or recently received renal transplant with chronic nephropathy
allograft. The pathogenesis of calciphylaxis is poorly understood. Abnormalities
in mineral metabolism are clearly involved, but the specific factors that induces
this disorder are not completely known. OBJECTIVES: Describe the main clinical
features, outcomes and follow up of all calciphylaxis cases recorded in our
dialysis unit in order to analyse the incidence, the main biologic parameters and
the therapeutic background in which calciphylaxis appeared. MATERIAL AND METHODS:
We performed a descriptive study about all the calciphylaxis cases diagnosed at
our dialysis unit between the years 1991 and 2005. RESULTS: 8 cases, 6 women.
Mean age: 65.3 years. All the patients were on haemodialysis treatment (one
previous renal transplant). Mean time on dialysis was 76.6 months. Cumulative
incidence was 1.17%. The principal end stage renal disease aethiology was
neprhoangioeslerosis in four patients. Secondary hiperparatyrhoidism was present
in 4 patients and 2 of them had been paratyrhoidectomized previously. A second
cutaneous biopsy was needed for correct diagnosis in 3 patients. Calciphylaxis
distal lesions were present in 7 patients. Two cases required urgent
paratyrhoidectomy in order to control calciphylaxis. Only in 2 cases a Ca x P
product > 60 mg/dL was present and 3 cases had PTHi values higher than 300 pg/mL.
Calcium phosphate binders and vitamin D were present in 2 and 4 cases,
respectively. One patient with proximal calciphylaxis died due to skin injury
infection. CONCLUSIONS: Calciphylaxis is a rare disorder but not exceptional,
related to end stage renal disease patients. The diagnosis requires a high
clinical suspicion, being sometimes difficult to distinguish from other entities
in spite of pathological study. Proximal distribution of calciphylaxis had worst
prognostic. Metabolic disorders and therapeutics background were not different
from other patients included in dialysis treatment.
PMID- 18045037
TI - [Peritoneal dialyisis role in heart failure treatment, experience in our center].
AB - Peritoneal dialysis is a renal replacement therapy indicated in patients with an
unstable hemodynamic status. It has been used, by ultrafiltration, preferably in
those patients with congestive heart failure refractory to conventional medical
therapy. We present the experience of our center with five patients who were
affected by severe congestive heart failure [Class IV on the New York Heart
Association (NYHA) scale] and diverse stages of chronic renal failure, who
received this therapy. Icodextrin has been used as an osmotic agent to induce
ultrafiltration. The follow-up period ranged between 5 and 14 months (9.8 +/- 3.7
months). The results that we have found are similar to those of other studies: we
observed a significant improvement in quality of life and a reduction in
morbidity and hospitalization rates in all our patients. But it seems to be
necessary to make a prospective randomized controlled trial with more number of
individuals to confirm these promising facts, to clarify the impact on the
survival, and to analyze the cost-benefit for treating patients suffering from
refractory, end stage congestive heart failure.
PMID- 18045038
TI - [Oxidative stress analysis in patients on hemodiafiltration on-line].
AB - Patients with chronic renal disease have a very high mortality due to
cardiovascular disease. However, the traditional risk factors are not the only
one explanation. Nowadays, there are new risk factors becoming, and one of these
is the oxidative stress. Besides today we know that when these patients receive
haemodialysis are being exposed to an additional oxidative stress. The aim of
this study was to measure and to compare the degree of oxidative stress in two
groups of patients on different dialysis techniques: a) On-Line
Haemodiafiltration three times / week (OL-HDF). b) Daily Om-Line
haemodiafiltration ( six times / week ) ( dOL-HDF) We studied 9 patients with
chronic renal disease stage 5 on hemodialysis. They all were men, with a medium
age of 72,5 +/- 6 years. Five patients were on dOL-HDFand four on tOL-HDF.
Glutathione (GSH) concentration of patients on dOL-HDF before dialysis was 742+/-
153 nmol/ml and post-dialysis de 878+/- 223. Blood GSSG concentration before and
after dialysis was 34+/- 14 nmol/ml y 137+/- 74 nmol/ml (p< 0,03). GSSG/GSH ratio
pre-dialysis was 58+/-10 and post-dialysis 169+/-65 ( p < 0,03). In OL-HDF group
GSSG concentration and the ratio GSSG/GSH also increased in a significative way
from 99+/-45 nmol/ml to 179+/-66 nmol/ml, and from 161+/- 99 to 337+/-143 (
p<0,05). We also found differences in pCR concentrations between both groups; 3+/
1,4 g/l in dOL-HDF and 8,75+/-5,8 g/l in HDF OL. (p< 0,05). We did not find
differences between xatine-oxidase activity before and after hemodialysis and
between groups. In conclusion, patient with terminal chronic renal disease on OL
HDF receive an additional load of oxidative stress, as the increase in GSSG/GSH
ratio in both groups shows. However patients on dHDF-OL shows low ratios GSSG/GSH
post-hemodialysis and low pCR concentrations, and maybe this could be explained
because daily on line haemodiafiltration improves purification of inflammatory
mediators. Clue words: Hemodialysis, oxidative stress, glutathione, gssg/gsh
ratio, xantine oxidasa.
PMID- 18045039
TI - [Health related quality of life evolution in kidney transplanted patients].
AB - OBJECTIVE: We analyzed the evolution in the Health Related Quality of Life
(HRQOL) during the first year following renal transplant. METHODS: Prospective
and longitudinal study carried out with 28 patients who received a primary
cadaveric renal transplant. The tests applied were a structured interview and SF
36, Euroqol- 5D (EQ-5D) Health Questionnaires and End-Stage Renal Disease Symptom
Checklist- Transplantation Module (ESRD-SCL). RESULTS: With the course of time,
the renal patients improve in four areas: physical (<> and
<>), psychological (<> and <>), execution of daily tasks (<> and <>) and subjective perception of own state of health
(<>). CONCLUSIONS: The HRQOL in renal transplant patients
improves with the course of time.
PMID- 18045040
TI - [Evolutive prediction indicators in primary immunoglobulin A nephropathy. Cuban
Cooperative Study].
PMID- 18045041
TI - [Renal involvement by AA amyloidosis in inclusion body myositis].
AB - Inclusion body myositis is currently considered a variant of adult inflammatory
myopathies. Clinical course is insidious and besides typical proximal muscles
disorder, extension to distal ones is found in up to 95% of cases. Mean survival
ranges from 3 to 5 years. Infections are the first death cause, secondary to
existing disability a few years after diagnosis. Chronic rheumatic diseases
related amyloidosis has became the most frequent kind of reactive amyloidosis or
AA amyloidosis. Clinical manifestations of AA amyloidosis mainly affect the
kidney. We present the case of a woman with Inclusion body myositis and renal
involvement by AA amyloidosis. In our review of literature we haven t found any
article relating AA amyloidosis with idiopathic inflammatory myopathies of the
adult, what would turn this case into the first ever reported. We can probably
find the reason in the bad prognosis of this entity. So we propose making a renal
biopsy to all those patients with a long lasting rheumatologic disease and
unexpected impaired renal function.
PMID- 18045042
TI - [Secondary amyloidosis (AA-type) due to localized cutaneous vasculitis].
AB - We report a case of a 49 year old man, diagnosed soon after the outcome of casual
proteinuria, of AA-type amyloidosis in relation to small and medium vessel
cutaneous vasculitis without systemic involvement. This combination is a rare
entity and only two cases of cutaneous hypersensibility vasculitis complicated
with AA-type amyloidosis had been reported. We describe the results of the use of
several immunosuppressive drugs during four years follow up with temporally total
remission of the disease.
PMID- 18045043
TI - [Adverse reactions to polysulphone membrane dialyzers durind hemodialysis].
AB - The majority of severe hypersensitivity reactions in hemodialysis (HD) patients
has been due to sensitization to ethylene oxide or to non-biocompatible membrane
dialyzers like cupramonium membrane. However could be the possibility of adverse
reactions with other hemodialysis substances. Adverse reactions with
biocompatible polisulphone membranes are not frequent, but some authors described
anaphilactoid reactions related to them. Any severe clinical syndrome of
broncoespasm during hemodialysis with several biocompatible polysulphone
membranes made by different manufacturers and with a variety of sterilization
methods is presented here. The following day he was dialyzed on an cellulose
triacetate dialyzer and the hemodialysis treatment was uneventful. This cas
report demonstrates the complex nature involving a hypersensitivity reaction to
HD.
PMID- 18045044
TI - [Unknown origin fever and acute renal failure].
PMID- 18045045
TI - [The recurrence of vasculitis in the renal transplant treatment with Rituximab].
PMID- 18045046
TI - [Membranous nephropathy presenting as microscopic hematuria].
PMID- 18045047
TI - [Norfloxacin-induced acute interstitial nephritis].
PMID- 18045048
TI - [Posterior reversible encephalopathy syndrome (PRES) and chronic kidney disease].
AB - Posterior reversible encephalopathy (PRES) is a recently described syndrome,
defined by clinical and neuroimaging features. Chronic kidney disease patients
may be especially vulnerable to this syndrome because they are frequently exposed
to several of its possible causes, including uremia and hypertension. In its most
severe form, PRES can manifest clinically as seizures, coma or death. However, if
properly diagnosed and treated, this syndrome can be completely reversible.
Therefore, neuroimaging methods, especially brain magnetic resonance is
fundamental for its diagnosis because it shows brain edema in characteristic
pattern, and excludes causes of seizures or coma. An important example is the
case of a young hypertensive chronic kidney disease patient on peritoneal
dialysis, brought to the emergency room comatous with generalized tonic-clonic
seizures; the cerebral magnetic resonance imaging features were impressive. Anti
hypertensive therapy and hemodialysis allowed complete recovery. The
reversibility of this syndrome depends on timely diagnosis and therapy and
therefore it should be kept in mind in the differential diagnosis of seizures. or
coma in chronic kidney disease patients.
PMID- 18045049
TI - [Prostatic carcinoma as acute renal failure of unknown etiology].
PMID- 18045050
TI - [Segmental and focal hyalinosis secondary to gastric adenocarcinoma].
PMID- 18045051
TI - [Pancytopenia as adverse event due to enalapril and glipizide on renal failure].
PMID- 18045052
TI - [HTA and peritoneal dialysis].
PMID- 18045053
TI - [Adaptative disorder with dermatozoic hallucinations in a patient on
hemodialysis].
PMID- 18045054
TI - Non-receptor tyrosine kinases as molecular targets for patient tailored cancer
therapy.
PMID- 18045055
TI - Oncogenic fusion tyrosine kinases as molecular targets for anti-cancer therapy.
AB - Deregulated activation of protein tyrosine kinases (PTKs) is a frequent event
underlying malignant transformation in many types of cancer. The formation of
oncogenic fusion tyrosine kinases (FTKs) resulting from genomic rearrangements,
represents a common mechanism by which kinases escape the strict controls that
normally regulate their expression and activation. FTKs are typically composed of
an N-terminal dimerisation domain, provided by the fusion partner protein, fused
to the kinase domain of receptor or non-receptor tyrosine kinases (non-RTKs).
Since FTKs do not contain extracellular domains, they share many characteristics
with non-RTKs in terms of their properties and approaches for therapeutic
targeting. FTKs are cytoplasmic or sometimes nuclear proteins, depending on the
normal distribution of their fusion partner. FTKs no longer respond to ligand and
are instead constitutively activated by dimerisation induced by the fusion
partner. Unlike RTKs, FTKs cannot be targeted by therapeutic antibodies, instead
they require agents that can cross the cell membrane as with non-RTKs. Here we
review the PTKs known to be expressed as FTKs in cancer and the strategies for
molecularly targeting these FTKs in anti-cancer therapy.
PMID- 18045056
TI - Targeting JAK3 tyrosine kinase-linked signal transduction pathways with
rationally-designed inhibitors.
AB - Inhibitors of Janus Kinase 3 (JAK3) show potential as a new class of apoptosis
inducing anti-cancer drugs. In addition, JAK3 inhibitors may also be useful as
immunosuppressive agents. Rationally designed selective inhibitors of JAK3 such
as JANEX-1, that do not inhibit other Janus kinases have recently undergone
extensive preclinical testing that revealed a favorable pharmacodynamic profile.
Here we discuss the clinical potential of targeting JAK3-linked signal
transduction pathways with small molecule inhibitors such as JANEX-1.
PMID- 18045057
TI - Bruton's tyrosine kinase as a new therapeutic target.
AB - Targeting Bruton's tyrosine kinase (BTK) with a small molecule inhibitor may be
useful in treatment of BTK-expressing malignancies because of the anti-apoptotic
function of BTK in cancer cells. Furthermore, BTK inhibitors also exhibit anti
thrombotic properties that may be desirable in the context of the increased risk
of thromboembolic complications in cancer patients. This review will focus on the
role of BTK in drug resistance in cancer, thromboembolism, and various pathologic
immune responses, such as graft versus host disease. The therapeutic potential of
targeting BTK is illustrated by discussion of the biologic activity profile of
the rationally designed BTK inhibitor LFM-A13.
PMID- 18045058
TI - Non-receptor tyrosine kinase inhibitors in lung cancer.
AB - Lung cancer is a leading cause of cancer death. Systemic therapies with cytotoxic
chemotherapies remain ineffective. Current research efforts in lung cancer have
focused on developing novel agents to target cellular pathways that are altered
in lung cancer. Protein tyrosine kinases are a family of oncogenes that regulate
important cellular processes such as differentiation, proliferation, cell cycle,
motility, and apoptosis. In this article, we review non receptor tyrosine
kinases' role in lung cancer and the development of agents that target these
proteins.
PMID- 18045059
TI - Jak2 tyrosine kinase and cancer: how good cells get HiJAKed.
AB - Cloned in 1992, Jak2 tyrosine kinase has emerged as a critical molecule in
mammalian development, physiology, and disease. Here, we will review the early
history of Jak2 as it pertains to its role in classical cellular signaling. We
also review how specific structural determinants within Jak2 dictate its overall
function. Finally, we will review relatively recent literature as it pertains to
the role of Jak2 in neoplastic growth as well as the identification of novel Jak2
inhibitors. It is our hope that by reviewing these specific areas, we will have a
better understanding of the role of Jak2 in cancer, and in turn, we may have a
better idea as to how to block aberrant Jak2 function.
PMID- 18045060
TI - SRC family nonreceptor tyrosine kinases as molecular targets for cancer therapy.
AB - The Src family of kinases has nine known members, all of which are nonreceptor
tyrosine kinases involved in signal transduction in both normal and cancer cells.
Interest in these kinases has increased recently because of the development,
initial clinical success, and low toxicity of pharmacologic inhibitors. c-Src is
the best-studied member of the Src family and the one most often implicated in
cancer progression. c-Src has multiple substrates that lead to diverse biologic
effects, including changes in proliferation, motility, invasion, survival, and
angiogenesis. c-Src has been most extensively studied in colon cancer where
correlative and direct experimental evidence has shown that it mediates several
aspects of cancer cell progression. c-Src has a similar role in multiple tumor
types, including pancreatic cancer, breast cancer, lung cancer, head and neck
squamous cell carcinoma, and prostate cancer. Several inhibitors of the Src
family kinases are in clinical development; three are currently being studied in
clinical trials. Initial data from these trials suggest that these agents are
well tolerated. Future clinical development of these inhibitors will include
trials in patients with solid tumors and of combination therapy.
PMID- 18045061
TI - Synthetic SRC-kinase domain inhibitors and their structural requirements.
AB - Protein tyrosine kinases catalyze the transfer of phosphoryl groups from ATP to
amino acids on proteins and play a fundamental role in signal transduction
pathways in mammalian cells. In particular, Src and Src-family are non-receptor
tyrosine kinases that regulate cell growth, differentiation, migration, adhesion
and apoptosis. Src-family members share common features, with well defined
domains. The activation of these enzymes in response to a variety of stimuli
leads from a close and inactive conformation to an open and active one, through a
balance of phosphorylation and dephosphorylation of the enzyme structure,
characterized in different cases by x-ray crystallography. Overexpression,
deregulation or mutations of these enzymes have been observed and studied in many
diseases, first of all in many human malignancies, such as colon, breast,
pancreatic and other cancers. Src-family is also involved in other pathologic
situations, such as osteoporosis, cardiovascular diseases, immune system
disorders, and, recently, it has been also demonstrated the involvement of Src in
prion diseases. Therefore, Src-family is an attractive and fundamental target for
the design of new therapeutic agents against different pathologies, in particular
cancer and bone diseases. Currently, there is no approved drug acting as Src
kinase inhibitor, but new molecules, very potent and selective toward this family
of kinases and also in vivo, are continuously synthesized, as demonstrated by the
high number of publications and patents in this field. Here, we report several
examples of Src kinase domain inhibitors, focusing our attention on chemical
structures, structure-activity relationships and mechanism of action.
PMID- 18045062
TI - Role of focal adhesion kinase in human cancer: a potential target for drug
discovery.
AB - The focal adhesion kinase (FAK) is a non-receptor tyrosine kinase that localizes
to the points of cell contact with the extracellular matrix, called focal
adhesions. FAK is involved in several cellular processes including invasion,
motility, proliferation and apoptosis. In in vivo animal studies, FAK has been
shown to contribute to tumor development and malignancy. Furthermore, FAK
expression was shown to be elevated in a number of human cancers. Increased FAK
expression and activity are correlated with malignant phenotype and poor
prognosis in patients. Taken together, these studies suggest that FAK is a
potentially good target for drug discovery. In this review, FAK and its
relationship to cancer, as well as approaches to therapeutic intervention of FAK
will be discussed.
PMID- 18045063
TI - Synthesis and structure-activity relationships of potent antitumor active
quinoline and naphthyridine derivatives.
AB - The disease of cancer has been ranked second after cardiovascular diseases and
plant-derived molecules have played an important role for the treatment of
cancer. Nine cytotoxic plant-derived molecules such as vinblastine, vincristine,
navelbine, etoposide, teniposide, taxol, taxotere, topotecan and irinotecan have
been approved as anticancer drugs. Recently, epothilones are being emerging as
future potential anti-tumor agents. However, targeted cancer therapy has now been
rapidly expanding and small organic molecules are being exploited for this
purpose. Amongst target specific small organic molecules, quinazoline was found
as one of the most successful chemical class in cancer chemotherapy as three
drugs namely Gefitinib, Erlotinib and Canertinib belong to this series. Now,
quinazoline related chemical classes such as quinolines and naphthyridines are
being exploited in cancer chemotherapy and a number of molecules such as
compounds EKB-569 (52), HKI-272 (78) and SNS-595 (127a) are in different phases
of clinical trials. This review presents the synthesis of quinolines and
naphthyridines derivatives, screened for anticancer activity since year 2000. The
synthesis of most potent derivatives in each prototype has been delineated. A
brief structure activity relationship for each prototype has also been discussed.
It has been observed that aniline group at C-4, aminoacrylamide substituents at C
6, cyano group at C-3 and alkoxy groups at C-7 in the quinoline ring play an
important role for optimal activity. While aminopyrrolidine functionality at C-7,
2'-thiazolyl at N-1 and carboxy group at C-3 in 1,8-naphthyridine ring are
essential for eliciting the cytotoxicity. This review would help the medicinal
chemist to design and synthesize molecules for targeted cancer chemotherapy.
PMID- 18045065
TI - Pathobiology of head and neck squamous tumorigenesis.
AB - Head and neck squamous epithelial carcinogenesis is a complex multistep process
that entails a progressive acquisition of alterations in diverse vital cellular
pathways. The nature of these alterations and the order of their occurrence have
remained unresolved. In the past 15 years, the thrust of research in this field
has centered on the epithelial genetic and/or epigenetic changes attendant to
their development and progression. Little efforts, however, have been expended on
changes in structural or host microenvironment and their role in these tumors.
Only recently has the latter topic become the focus of attention. This review
will address recent advances in both cellular and structural findings in head and
neck squamous carcinoma development and progression. Targeting these events for
biological and therapeutic approaches is a desirable goal for future effective
treatment of patients with this cancer.
PMID- 18045066
TI - Exploration of metastasis-related proteins as biomarkers and therapeutic targets
in the treatment of head and neck cancer.
AB - Head and neck (HN) cancer is a significant health-care problem worldwide. One of
the major prognostic factors for squamous cell carcinomas of the head and neck
(HNSCC) is metastasis. Early detection of lymph node metastasis and the
identification of key protein targets for the treatment of metastatic HNSCC
remain a challenge in current cancer research. Recent developments in molecular
analysis technologies, such as DNA microarray and proteomic analyses, have
provided powerful tools for the detection of metastatic signatures in primary
tumors, opening new avenues toward the molecular diagnosis and prognosis of HN
cancers. Evaluation of gene expression profiling identified in metastatic
signatures has further facilitated the understanding of HNSCC metastasis at the
molecular level. This review will focus on current exploration of metastatic
proteins in HNSCC with an emphasis on molecular signatures of metastatic HNSCC in
order to understand the functions of metastasis-related proteins involved in
adhesion, invasion, dissemination, and survival, and to define appropriate
biomarkers and targets for the treatment of this disease.
PMID- 18045067
TI - Current status and future perspectives of chemoprevention in head and neck
cancer.
AB - The incidence of SCCHN is expected to be approximately 42,800 new cases in the
United States with more than 12,000 deaths from this disease for the year 2006.
The five-year survival rate for patients with SCCHN in the United States and
other developed countries is still poor, approximately 40%, and even those
patients who do not experience recurrence of the original cancer, have a high
risk of developing a second primary malignancy. Thus, a preventative approach
before the development of invasive cancer is highly desirable and novel
strategies to reduce cancer incidence in SCCHN and other tobacco-carcinogen
related malignancies are being pursued. Ever since the last two decades have seen
the rise and fall of the results of clinical trials using carotinoids and
retinoids as chemopreventive agents, new treatment strategies are needed.
Selective and nonselective COX-1/2 inhibitors and EGFR tyrosine kinase inhibitors
have shown promising results in cancer therapy and are currently evaluated in
chemoprevention trials. However, associated high costs and side effects make
these less attractive to patients with premalignant lesions. Phytochemical
containing foods like green tea, pomegranate juice and other natural compounds
are attractive since they are less costly, nontoxic and widely available. While
small trials have shown promising results using these agents, larger trials have
yet to be conducted to establish chemopreventive effects. Since premalignant
lesions of the oral cavity are easily accessible for topical treatments, it
remains to be seen if there is a role for topical treatments. Current clinical
trials using these novel agents for prevention of second primary tumors or
treatment of premalignant lesions will further elucidate which agents should be
used but also will help to establish the role of chemoprevention in head and neck
cancer.
PMID- 18045068
TI - Anti-tumor vaccines in head and neck cancer: targeting immune responses to the
tumor.
AB - Immune therapies aiming at the destruction of a residual tumor and inducing tumor
specific memory responses are gaining acceptance among clinicians treating head
and neck squamous cell carcinoma (HNSCC). This solid tumor lends itself
remarkably well to currently popular vaccination strategies. Immune suppression
is a hallmark of HNSCC, and its reversal accompanied by the vaccine-mediated
restoration of antitumor immunity might be a promising approach to achieving
improved survival of HNSCC patients. To date, few antitumor vaccines for HNSCC
have been clinically evaluated. The reasons for this slow start are discussed,
and the ongoing phase I clinical vaccination trials for HNSCC patients are
briefly described. The emphasis is on dendritic cell (DC)-based vaccines, largely
because of enhanced immunogenicity of epitopes presented by adoptively
transferred DC to responder T cells in vivo. Delivery of such antitumor vaccines
in combination with conventional therapies and in the setting of a minimal
residual disease to HNSCC patients takes advantage of exquisite specificity of
the immune system at the time when tumor-induced suppression is reduced. Vaccine
driven generation, long-term survival and maintenance of tumor-specific immune
cells are the objectives that antitumor vaccines have to realize to be clinically
beneficial in HNSCC.
PMID- 18045069
TI - Targeting angiogenesis in head and neck cancer.
AB - In the early 1970s, the hypothesis that tumor growth is dependent on angiogenesis
was first established [1]. Since then, the role played by blood vessels in tumor
growth and progression has been extensively studied and debated. Preclinical
evidence strongly suggests that VEGF plays a role in promoting the growth and
progression of disease in various tumor types including squamous cell carcinoma
of the head and neck (SCCHN), of which close to 38,500 new cases are diagnosed
each year. In SCCHN, the role of anti-angiogenic therapy has yet to be defined.
Traditional therapy of SCCHN has involved a multimodality approach with
radiotherapy, surgery as well as chemotherapy. More recently, novel therapeutic
agents have been subject to preclinical and clinical development, among which
anti-angiogenic therapy has gained much recent interest. In this critical review,
we give an overview of angiogenesis and its potential therapeutic targets, and we
focus on its preclinical and clinical applications in SCCHN.
PMID- 18045070
TI - EGFR-targeting monoclonal antibodies in head and neck cancer.
AB - The epidermal growth factor (EGFR) and its receptor were discovered nearly 40
years ago. Over the past decade interruption of this pathway has been exploited
in the treatment of various solid tumors. Antibodies that interfere with ligand
binding to and dimerization of the EGFR (and small molecules that inhibit the
EGFR tyrosine kinase) are anti-proliferative, profoundly radiosensitizing, and
synergistic with DNA-damaging cytotoxic agents. Proposed mechanisms of radio- and
chemosensitization include enhanced apoptosis, interference with DNA repair and
angiogenesis, receptor depletion from the cell surface and antibody-dependent
cell-mediated cytotoxicity. This article provides a reader with a comprehensive
review of EGFR-targeting antibodies under development for the treatment of head
and neck squamous cell cancer (HNSCC) and also summarizes relevant clinical data
in this disease with small molecule EGFR inhibitors. One of the monoclonal
antibodies, cetuximab, recently received full FDA approval for the treatment of
patients with locally advanced (with radiation) or metastatic HNSCC (as a single
agent). Regulatory approval followed reporting of a large international study in
which the addition of cetuximab to definitive radiation therapy in HNSCC resulted
in statistically significant improvements in locoregional control and overall
survival. Results of the pivotal trial, other clinical data supporting the
regulatory approval, and a preview of the next generation of clinical trials are
presented. Considerable work remains to be done, particularly to enhance our
understanding of factors that may predict for favorable response to EGFR
inhibitor therapy and to evaluate the impact of integrating anti-EGFR therapies
into complex chemoradiation programs delivered with curative intent.
PMID- 18045071
TI - Treatment of squamous cell carcinoma of the head and neck in the metastatic and
refractory settings: advances in chemotherapy and the emergence of small molecule
epidermal growth factor receptor kinase inhibitors.
AB - Approximately 475,000 cases of squamous cell carcinoma (SCCHN) of the head and
neck occur worldwide. Whereas significant advances have been made in the
treatment of early and locally advanced disease, the prognosis for recurrent and
metastatic (R/M) disease remains poor. Compounds with demonstrated activity
include cisplatin and carboplatin, antimicrotubular compounds such as taxanes and
vinorelbine, and fluoropyrimidines. In refractory and metastatic disease,
regimens combining platinum agents with taxanes or fluorouracil based agents
produce a 30% response rate and a median overall survival of six to eight months.
Newer three agent chemotherapy regimens have produced response rates in the range
of 40-50%, without significant improvements in overall survival noted. Recently,
a new class of medications targeting signal transduction pathways has come into
focus in the treatment of various malignancies. In SCCHN, given the high
prevalence of expression of the epidermal growth factor receptor (EGFR) and its
role in promoting cellular growth and proliferation, molecules targeting the
receptor's intracellular kinase domain are a logical strategy. The agents
gefitinib and erlotinib have yielded response rates in the 5-15% range when used
as single agents. In addition, newer agents with broad activity against the EGFR
and other related erbB receptor family members are being developed in clinical
trials. Strategies to enhance the activity of EGFR tyrosine kinase inhibitors
(TKIs) in treating SCCHN are being investigated, as well as strategies to select
individuals with tumors more likely to respond to these drugs. This article
reviews the advances that have made in treating refractory and metastatic
disease, with particular focus on the challenges that are faced in successfully
translating EGFR inhibition as a paradigm of tumor treatment in SCCHN.
PMID- 18045072
TI - Recent advances in combined modality therapy for locally advanced head and neck
cancer.
AB - Half of all patients with squamous cell carcinoma of the head and neck (SCCHN)
present with locally advanced disease. Despite the development of new treatment
strategies, mortality rates have only improved over the last decade by 2.6% per
year, and prognosis remains poor. Combined modality therapy offers the potential
for organ preservation, particularly for tumors arising in the larynx,
hypopharynx and oropharynx. Organ preservation with concurrent chemoradiotherapy
(CRT) was first established in laryngeal carcinoma. Recent results of the
laryngeal study, RTOG 9111, indicate that even though larynx preservation is
improved with CRT compared to induction chemotherapy followed by radiotherapy
alone, laryngectomy-free survival is the same. Future attentions should be
focused not only on improving treatment efficacy, but also on efforts to minimize
the long term toxicities of therapy for SCCHN, particularly because long term
toxicities not only diminish quality of life, but seem to impact on survival. In
the future, targeted therapies may be incorporated into combined modality therapy
for SCCHN, offering the chance to enhance the anticancer effects of treatment
without increasing toxicity. Improvements in radiotherapy techniques may also
move the field forward. Finally, there is renewed interest in the role of
induction chemotherapy as part of a sequential treatment approach for advanced
SCCHN. If the current generation of studies evaluating sequential therapy is
favorable, future studies incorporating targeted therapies into this platform
will offer further potential for advancing the treatment of SCCHN.
PMID- 18045073
TI - Recent approaches in chemoprevention of prostate cancer.
AB - In highly developed countries like USA, approximately one out of 6 in the male
population will expect to have prostate cancer in their life time.
Chemoprevention is presumably one of most effective means to combat many types of
cancer including prostate cancer (PCa). Because clinically significant PCa
usually requires more than a decade to develop, therefore, it would be an ideal
target for chemoprevention. This review will focus on recent findings of the most
studied, naturally occurring, synthetic or semi-synthetic chemicals for potential
use in preventing PCa. Newly discovered chemicals which show potential in
preventative or therapeutic effects will be included. Molecular mechanisms and
gene/pathway targets by which the above agents act on target cells will be
briefly discussed. The recent findings on the chemicals possessing anti-androgen
receptor, anti-DNA methyltransferase, or anti-histone deacetyltransferase
activity will also be presented. It will further present some important issues
regarding how the interactions of chemopreventing agents with genetic
polymorphisms or epigenetic factors might affect anti-cancer effects of the
agents. Related large trials, if appropriate, will also be discussed.
PMID- 18045074
TI - Targeting MDM2 and MDMX in retinoblastoma.
AB - Retinoblastoma is the third most common form of cancer in infants, and metastatic
retinoblastoma is lethal in approximately 90% of cases. Early detection and
aggressive therapy has resulted in a 95% probability of survival for
retinoblastoma patients in the United States. However, the United States only
represents 3-4% of the retinoblastoma cases worldwide. The majority of children
diagnosed with retinoblastoma each year live in developing countries where the
probability of survival is closer to 50%. This difference in survival rates
reflects poor early detection rates and limited resources for the aggressive
therapy necessary to treat retinoblastoma and manage the side effects associated
with broad-spectrum systemic chemotherapy in young children. In order to have the
most significant impact on retinoblastoma treatment in the United States and
worldwide, current efforts have focused on local delivery of targeted
chemotherapy. In this review, we summarize recent data showing that the p53
pathway is inactivated in 75% of retinoblastoma patients due to extra copies of
the MDM2 and MDMX genes. A small molecule inhibitor of MDM2 called nutlin-3 can
induce p53-mediated cell death in retinoblastoma cells. Subconjunctival delivery
of nutlin-3 in preclinical models of retinoblastoma confirmed the efficacy of
this approach in vivo. The advantage of local application of targeted
chemotherapeutic agents such as nutlin-3 is that greater intraocular drug
concentrations can be achieved without the side effects associated with systemic
broad-spectrum chemotherapy. We propose that subconjunctival administration of
targeted chemotherapy may be the best treatment option for children with
retinoblastoma in the United States and throughout the developing world because
it provides greater tumor response without the costs and complications associated
with current treatment protocols.
PMID- 18045076
TI - Yeast genomics and drug target identification.
AB - The budding yeast Saccharomyces cerevisiae is well recognized as a preferred
eukaryote for the development of genomic technologies and approaches.
Accordingly, a sizeable complement of genomic resources has been developed in
yeast, and this genomic foundation is now informing a wide variety of
disciplines. In particular, yeast genomic methodologies are gaining an expanding
foothold in drug development studies, most notably as a preliminary tool towards
drug target identification. In this review, we highlight many applications of
yeast genomics in the identification of targeted genes and pathways of small
molecules or therapeutic drugs. The applicability of genome-wide resources of
yeast disruption and deletion mutants for drug-sensitivity/resistance screening
is presented here, along with a summary of microarray technologies for drug-based
transcriptional profiling and synthetic interaction mapping. Applications of
protein-interaction traps for potential drug target identification are also
considered. Collectively, this overview of yeast genomics emphasizes the growing
intersection between high-throughput model organism biology and medicinal
chemistry an intersection promising tangible advances for both academic and
pharmaceutical fields alike.
PMID- 18045077
TI - Stem cells and combinatorial science.
AB - Stem cell-based technologies have the potential to help cure a number of cell
degenerative diseases. Combinatorial and high throughput screening techniques
could provide tools to control and manipulate the self-renewal and
differentiation of stem cells. This review chronicles historic and recent
progress in the stem cell field involving both pluripotent and multipotent cells,
and it highlights relevant cellular signal transduction pathways. This review
further describes screens using libraries of soluble, small-molecule ligands, and
arrays of molecules immobilized onto surfaces while proposing future trends in
similar studies. It is hoped that by reviewing both the stem cell and the
relevant high throughput screening literature, this paper can act as a resource
to the combinatorial science community.
PMID- 18045078
TI - Chemical genomic and proteomic methods for determining kinase inhibitor
selectivity.
AB - The clinical success of the Bcr-Abl tyrosine kinase inhibitor Gleevec((R)) and
the recent clinical approval of a number of small molecule drugs that target
protein kinases have intensified the search for novel protein kinase inhibitors.
Since most small molecule kinase inhibitors target the highly conserved ATP
binding pocket of this enzyme family, the target selectivity of these molecules
is a major concern. Due to the large size of the human kinome, it is a formidable
challenge to determine the absolute specificity of a given protein kinase
inhibitor, but recent technological developments have made substantial progress
in achieving this goal. This review summarizes some of the most recent
experimental techniques that have been developed for the determination of protein
kinase inhibitor selectivity. Special emphasis is placed on the results of these
screens and the general insights that they provide into kinase inhibitor target
selectivity.
PMID- 18045079
TI - Chemical control over protein-protein interactions: beyond inhibitors.
AB - Protein-protein interactions have become attractive drug targets and recent
studies suggest that these interfaces may be amenable to inhibition by small
molecules. However, blocking specific interactions may not be the only way of
manipulating the extensive network of interacting proteins. Recently, several
approaches have emerged for promoting these interactions rather than inhibiting
them. Typically, these strategies employ a bifunctional ligand to simultaneously
bind two targets, forcing their juxtaposition. Chemically "riveting" specific
protein contacts can reveal important aspects of regulation, such as the
consequences of stable dimerization or the effects of prolonged dwell time.
Moreover, in some cases, entirely new functions arise when two proteins, which
normally do not interact, are brought into close proximity with one another.
Together with inhibitors, bifunctional molecules are part of a growing toolbox of
chemical probes that can be used to reversibly and selectively control the
interact-ome. Using these reagents, new insights into the dynamics of protein
protein interactions and their importance in biology are beginning to emerge.
Future hurdles in this area lie in the development of robust synthetic platforms
for rapidly generating compounds to meet the challenges of diverse protein
protein interfaces.
PMID- 18045080
TI - Functional genomics and NMR spectroscopy.
AB - The recent success of the human genome project and the continued accomplishment
in obtaining DNA sequences for a vast array of organisms is providing an
unprecedented wealth of information. Nevertheless, an abundance of the proteome
contains hypothetical proteins or proteins of unknown function, where high
throughput approaches for genome-wide functional annotation (functional genomics)
has evolved as the necessary next step. Nuclear magnetic resonance spectroscopy
is playing an important role in functional genomics by providing information on
the structure of protein and protein-ligand complexes, from metabolite
fingerprinting and profiling, from the analysis of the metabolome, and from
ligand affinity screens to identify chemical probes.
PMID- 18045081
TI - Functional nucleic acids in high throughput screening and drug discovery.
AB - In vitro selection can be used to generate functional nucleic acids such as
aptamers and ribozymes that can recognize a variety of molecules with high
affinity and specificity. Most often these recognition events are associated with
structural alterations that can be converted into detectable signals. Several
signaling aptamers and ribozymes constructed by both design and selection have
been successfully utilized as sensitive detection reagents. Here we summarize the
development of different types of signaling nucleic acids, and approaches that
have been implemented in the screening format.
PMID- 18045082
TI - Applications of protein microarray technology.
AB - Protein microarrays, an emerging class of proteomic technologies, are quickly
becoming essential tools for large-scale and high throughput biochemistry and
molecular biology. Recent progress has been made in all the key steps of protein
microarray fabrication and application, such as the large-scale cloning of
expression-ready prokaryotic and eukaryotic ORFs, high throughput protein
purification, surface chemistry, protein delivery systems, and detection methods.
Two classes of protein microarrays are currently available: analytical and
functional protein microarrays. In the case of analytical protein microarrays,
well-characterized molecules with specific activity, such as antibodies, peptide
MHC complexes, or lectins, are used as immobilized probes. These arrays have
become one of the most powerful multiplexed detection platforms. Functional
protein microarrays are being increasingly applied to many areas of biological
discovery, including drug target identification/validation and studies of protein
interaction, biochemical activity, and immune responses. Great progress has been
achieved in both classes of protein microarrays in terms of sensitivity and
specificity, and new protein microarray technologies are continuing to emerge.
Finally, protein microarrays have found novel applications in both scientific
research and clinical diagnostics.
PMID- 18045083
TI - Chemogenomic data analysis: prediction of small-molecule targets and the advent
of biological fingerprint.
AB - Chemogenomics comprises a systematic relationship between targets and ligands
that are used as target modulators in living systems such as cells or organisms.
In recent years, data on small molecule-bioactivity relationships have become
increasingly available, and consequently so have the number of approaches used to
translate bioactivity data into knowledge. This review will focus on two aspects
of chemogenomics. Firstly, in cases such as cell-based screens, the question of
which target(s) a compound is modulating in order to cause the observed phenotype
is crucial. In silico target prediction tools can suggest likely biological
targets of small molecules via data mining in target-annotated chemical
databases. This review presents some of the current tools available for this task
and shows some sample applications relevant to a pharmaceutical industry setting.
These applications are the prediction of false-positives in cell-based reporter
gene assays, the prediction of targets by linking bioassay data with protein
domain annotations, and the direct prediction of adverse reactions. Secondly, in
recent years a shift from structure-derived chemical descriptors to biological
descriptors has occurred. Here, the effect of a compound on a number of
biological endpoints is used to make predictions about other properties, such as
putative targets, associated adverse reactions, and pathways modulated by the
compound. This review further summarizes these "performance" descriptors and
their applications, focusing on gene expression profiles and high-content
screening data. The advent of such biological fingerprints suggests that the
field of drug discovery is currently at a crossroads, where single target
bioassay results are supplanted by multidimensional biological fingerprints that
reflect a new awareness of biological networks and polypharmacology.
PMID- 18045085
TI - Recognition of lysine residues on protein surfaces using calixarenes and its
application.
AB - A macrocyclic calix[6]arene carboxylic acid derivative is found to extract lysine
rich protein cytochrome c from aqueous media into organic media through the
complexation between the calixarene molecules and lysine residues on the surface
of the protein. This article summarizes both the mechanism of protein extraction
by the calixarene as well as the potential applications of the extraction
process. The extraction process can be used for the purification of proteins
through selective extraction and back-extraction under optimized conditions. On
the other hand, the extracted protein exhibits enzymatic activity in organic
media. The formation of a supramolecular complex by recognizing the residues on a
protein surface can be construed as a novel recognition and/or modification
method for biomacromolecules.
PMID- 18045086
TI - Surface photochemistry: organic molecules within nanocavities of calixarenes.
AB - In order to gain more information regarding photochemical processes in
heterogeneous environments (opaque or powdered samples) laser induced time
resolved luminescence and diffuse reflectance transient absorption spectroscopies
were used for the study of benzophenone (and other neutral organic molecules) as
guests and p-tert-butylcalix[n]arenes with n = 4, 6 and 8 (H(n)CLX[n]) and
partially or totally O-propylated p-tert-butylcalix[4]arenes (H(n)Pr(m)CLX[4], n
= 2, 1 and 0; m = 2, 3 and 4, respectively) were used as hosts. One of the main
conclusions was that the solid support can deeply affect or even control the
photochemistry of an adsorbed probe. A simple new methodology for lifetime
distribution analysis of the decay of the probes included into calixarenes and
other nanocavities was applied with success for decay data obtained with the use
of intensified charge couple devices, i.e. intensified charge couple devices,
ICCDs. Diffuse reflectance laser flash photolysis and gas chromatography - mass
spectrometry techniques also provided complementary information, the former about
transient species and the latter regarding the final products formed after light
absorption.
PMID- 18045087
TI - Design, synthesis and potent pharmaceutical applications of glycodendrimers: a
mini review.
AB - Dendrimers are a new class of artifical macromolecules with well-defined
hyperbranched structures which endue these promising materials with a wide
variety of applications. They are useful tools in drug discovery and allow bio
active molecules to be presented in a highly multi-valent fashion on the surface.
Recently, the use of dendrimers as scaffolds of carbohydrates to synthesize
glycodendrimers with high and specific affinities to various receptors has made
it possible for these dendritic materials to participate in extracellular and
intracellular biochemical processes. References on synthesis and biological
applications of glycodendrimers in the literatures demonstrate that dendrimers
are suitable candidates as scaffolds of these bioactive carbohydrates. In this
mini-review, different approaches to construct glycodendrimers as well as their
promising applications in biological systems are fully discussed.
PMID- 18045088
TI - Supramolecular systems-based HPLC for chiral separation of beta-adrenergics and
beta-adrenolytics in drug discovery schemes.
AB - Increasing amount of data considering polymorphism, splice variants and various
affinity states of beta-adrenoceptors has resulted in a new range of
opportunities for enantiopure beta-adrenergic and beta-adrenolytic drug discovery
and continuous development of reliable high-throughput screening procedures
enabling tissue specific pharmacological evaluation of these drugs. Design and
fast pharmacological profiling of single enantiomeric molecules combining beta
adrenoceptor affinity with other pharmacophores is also still challenging
ability. As the use of chiral stationary phases in HPLC has particularly
benefited from results of supramolecular chemistry, this review summarises recent
achievements provided by this technique in deciphering of enatiorecognition
phenomena affecting pharmacological selectivity of beta-adrenergics and beta
adrenolytics and modifying the efficiency of currently proposed beta-adrenoceptor
targeted therapies. Detailed characteristic of chiral separation performance of
these drugs in the range of available supramolecular HPLC systems has also been
presented.
PMID- 18045089
TI - Thermal properties and microstructures of methylated polyrotaxane solutions.
AB - Aqueous solutions of polyrotaxanes consisting of poly(ethylene glycol) and
methylated alpha-cyclodextrins (alpha-CD) were studied by means of differential
scanning calorimetry (DSC), dynamic light scattering, and X-ray diffraction in
order to investigate the effect of the degree of methylation on thermoresponsive
behavior. Polyrotaxanes with a degree of methylation higher than 50% had a lower
critical solution temperature (LCST) and showed reversible associations and
dissociations in water. In the transmittance measurements, the cloud point of
methylated polyrotaxanes (MePR) shifted to a lower temperature with an increase
in the degree of methylation. The heating curve obtained by DSC for the nearly
permethylated polyrotaxane showed one broad endothermic peak that was associated
with the microcrystallization of methylated CDs by hydrophobic interactions. On
the other hand, the DSC profiles for partially methylated polyrotaxanes had
several endothermic peaks, indicating multiple phase transitions of the MePR
solutions. The results imply that the thermal properties of the MePR-water system
are significantly affected by not only the methyl groups on alpha-CDs but also by
the remaining hydroxyl groups.
PMID- 18045090
TI - Cyclodextrin complexes: chiral recognition and complexation behaviour.
AB - Cyclodextrins are well known in supramolecular chemistry as host molecules
capable of engulfing molecules in their hydrophobic cavity via noncovalent
interactions. Although cyclodextrins are frequently used for chiral separation of
racemates, the mechanism of chiral recognition has not yet been fully
characterised. The current investigation was aimed at examining chiral
recognition mechanism in order to construct an in silico method for prediction of
chiral recognition. Amino acids were selected as model guest, whereas alphaCD was
used as model host. The results of molecular docking and molecular dynamic
calculations were compared to results of stability constant determination and
capillary electrophoresis measurements of enantioseparations. Positive
correlation between binding strength and chiral separation ability was found.
However, the small energy differences between interaction energy of each
enantiomer with alphaCD fell into the range of standard error of molecular
docking calculations limiting its applicability for in silico prediction.
Examining the stability of complex geometry during molecular dynamics simulation
revealed that stable complex geometry is likely to be a prerequisite for chiral
recognition. This hypothesis was tested on methylderivatized tryptophan. Indeed,
chiral separation of beta-methyl-tryptophans by alphaCD could be successfully
predicted by examining the complex geometries during molecular dynamic
simulation.
PMID- 18045091
TI - 1H NMR investigations of inclusion complexes between beta-cyclodextrin and 1
hexadecanol.
AB - The inclusion complex between beta-CD and 1-hexadecanol is synthesized and
identified via (1)H NMR spectrum. The possible conformation of the inclusion
complex is figured out. Via MM2 calculations, the possibility of complexation is
verified.
PMID- 18045092
TI - Overview of murine atherosclerosis series.
AB - This volume recognizes the critical and central role of the mouse in experimental
atherosclerosis pathology. Atherosclerosis is a complex and chronic inflammation
in which multiple modulating factors may play a role. Its chronicity and
complexity make it very difficult to study the detailed mechanisms of
atherogenesis in unregulated human populations. The search for atherogenic
mechanisms requires a model in which these mechanisms simulate those inferred in
humans, and in which controlled experiments may be conducted in a reasonable
timeframe and at manageable expense. The mouse recommends itself as a small
animal model with a short life span in which atherosclerosis similar in character
to human atherosclerosis may be induced under controlled conditions. With the
development of genetic models of atherosclerosis the mouse has become a very
accessible model, especially with the very large genetic data base about this
species in relation to human biology that has become available.
PMID- 18045093
TI - Diet effects on atherosclerosis in mice.
AB - The development of atherosclerosis in mice can be dramatically affected by the
composition of the diet. The nutrients that seem to have the greatest impact on
the atherosclerotic process in not only mice but also humans are fat and
cholesterol. For this reason, many murine diets have been created that contain
different levels of cholesterol and numerous types of fat. Typically, these diets
cause the accumulation of atherogenic, apoB-containing lipoproteins in plasma and
depending upon the severity of the hypercholesterolemia stimulate the formation
of aortic atherosclerosis that often progresses from fatty streak lesions to
advanced, fibrous plaques. In this review, we compare the abilities of diets
enriched with various amounts of cholesterol and different types of saturated,
monounsaturated, and polyunsaturated fats to promote atherosclerosis in an
assortment of mouse models. In addition, we make recommendations concerning the
utilization of these diets to promote atherosclerotic lesion formation in mice.
PMID- 18045094
TI - Genetics of atherosclerosis in murine models.
AB - The pathology of atherosclerotic lesions that develop in mouse models of
atherosclerosis, such as those lacking apolipoprotein E or lacking the low
density lipoprotein receptor, is very similar to that seen in human patients.
Consequently, genetic approaches to studying atherosclerosis in these mouse
models have produced a wealth of information relevant to the genetic factors and
pathways that modify the early stages of atherosclerosis in humans. Despite these
advances, the later stages of atherosclerosis in humans, including spontaneous
plaque rupture and hemorrhage, have not been observed reliably in current mouse
models. Increasing sophistication and use of genetic manipulations, however, has
produced significant advances in modeling these processes. The use of genetic
tools to examine the physiology, pathology, and cell biology of atherosclerosis
will enhance elucidation of the pathogenesis of the disease and lead to the
development of novel therapeutic strategies.
PMID- 18045095
TI - Gender as a regulator of atherosclerosis in murine models.
AB - The risk of development and progression of atherosclerosis is different between
males and females. Premenopausal women have a lower risk of developing
atherosclerosis and cardiovascular disease than men. However, after the onset of
menopause the protection associated with gender is lost and the risk of women
developing atherosclerosis gradually approaches that of men. In an effort to
treat the elevated risk of cardiovascular disease in postmenopausal women,
hormone replacement therapy has been used. However, the results of the randomized
trials of the Women's Health Initiative indicated that hormone replacement
therapy may not be cardioprotective. The use of mouse models have aided in the
understanding of atherosclerosis for many years. These models along with the
gender effects attributed to sex hormones are being used to generate a more
complete understanding of the development of atherosclerosis. Mice lacking one or
both of the genes for estrogen receptors have highlighted the role of estrogen in
atherosclerosis. In addition to estrogen, the effects of testosterone have been
researched in many animal models and several mechanisms incorporating its role in
cholesterol homeostasis have emerged. Our understanding of the pathways involved
in gender effects on cardiovascular disease is incomplete, however, a plethora of
animal models offer the opportunity to dissect the molecular mechanisms involved.
PMID- 18045096
TI - Atherosclerosis and arterial blood pressure in mice.
AB - Increased blood pressure is a consistent risk factor for the development of
atherosclerotic diseases in humans, although the basis for this relationship is
unknown. Genetically engineered mice are now commonly used to study mechanisms of
atherosclerosis. More recently, blood pressure can be reliably measured in
conscious mice using either tail cuff or telemetric techniques. Thus, mouse
models permit the investigation of the complex interactions of blood pressure and
atherogenesis. Most mouse models exhibiting hypertension have increased
atherosclerotic lesion size, although there have been exceptions to these
findings. Also, there are several reports that have used methods to decrease
blood pressure and demonstrated reduced atherosclerosis. In contrast, there are
many studies in which atherosclerosis has been altered without changes in blood
pressure, and conversely, studies in which blood pressure changes did not alter
atherosclerosis. Studies that have specifically defined the role of elevated
systolic blood pressure on the development of atherosclerosis have uniformly
demonstrated that pressure per se is not responsible for changes in lesion
development. Thus, while increased systolic blood pressure is frequently
associated with atherosclerosis, the stimulus for the hypertension appears to be
the major determinant of atherogenesis rather than pressure per se. A consistent
theme in the literature has been that perturbations of the renin angiotensin
system display the strongest correlations between blood pressure and
atherosclerosis.
PMID- 18045097
TI - Adipose tissue and the vessel wall.
AB - The prevalence of obesity is rising dramatically in developed and developing
countries. Obesity contributes to increased mortality from numerous causes, but
the most important of these is cardiovascular death. The relationship between
obesity and atherogenesis is multifactorial, including alterations in the
composition and level of lipoproteins, changes in blood pressure, and changes in
circulating coagulation and inflammatory factors. Mouse models can be useful for
dissecting selected aspects of this complex relationship. One area in which these
models can be of particular value is in investigating the effect of secretory
products of adipose tissue on the vessel wall. Adipocytes and adipose tissue
secrete numerous factors and their level of expression is altered in obese
states. Adipose tissue and adipocytes produce adiponectin, resistin, leptin, and
apolipoproteins (serum amyloid A and apoE); all of which can directly impact
vessel wall homeostasis. Mouse models utilizing deletion or overexpression of
many of these factors have demonstrated an important impact of these on vessel
wall homeostasis. Subsequent to the development of obesity, factors secreted from
adipose tissue have also been shown to have direct effect on liver production of
systemic inflammatory factors. Mouse models have validated the importance of
angiotensin II, TNFalpha, and MCP-1 for impacting vessel wall health in obese
states. In summary, excess adipose tissue produces myriad changes in organismal
homeostasis with potential impact on the vessel wall. The power of mouse genetics
permits targeted mechanistic investigation for understanding how obesity
accelerates atherosclerosis in a complex in vivo milieu.
PMID- 18045098
TI - Effects of diabetes on murine lipoproteins and vascular disease.
AB - The creation of mouse models that recapitulate human diabetic cardiovascular
disease remains a significant challenge. Part of the problem relates to the lack
of a clear understanding of the human phenotype. Although improved insulin-treat
of hyperglycemia reduces cardiovascular events in patients with type 1 diabetes,
similar data are not available in type 2 diabetes. Moreover, whether human
vascular disease is increased by hyperglycemia, defective insulin actions, or
other factors is not known. Significant progress has been made in developing
models of both type 1 and type 2 diabetes in mouse that can be used to study the
relationship between hyperglycemia and atherosclerosis. This review describes
mouse models that recapitulate specific aspects of diabetic dyslipidemia,
hyperglycemia/insulin resistance, and diabetic vascular disease. Overall, the
studies have clearly demonstrated that hyperlipidemia is a major driver of
atherosclerotic vascular disease in the mouse. The effects of hyperglycemia and
insulin resistance on murine atherosclerosis remain uncertain.
PMID- 18045099
TI - The influence of acute phase proteins on murine atherosclerosis.
AB - Atherosclerosis is a chronic inflammatory reaction that is initiated in response
to hyperlipidemia and the retention and modification of lipids within the
vascular wall. Chronic inflammatory states lead to steady low-level induction of
the acute phase reaction and chronic inflammation is associated with elevated
cardiovascular disease and atherosclerosis. The acute phase reaction is mediated
by cytokines and results in significant changes in the plasma level of several
proteins referred to as acute phase proteins. The liver is a major source of
these proteins. Several recent studies in humans have shown that levels of acute
phase proteins are modified in patients with established cardiovascular disease
or are predictors of future disease. Whether these acute phase proteins are a
biomarker of inflammation or have a direct role in the development of
atherosclerosis is not clear. Murine models of atherosclerosis have been used to
address the role of acute phase proteins in atherosclerosis. Modification of the
expression level of these proteins has shown that the individual acute phase
proteins are either pro-atherogenic or anti-atherogenic. The absence of an
overall trend is perhaps not surprising given the complex nature of the acute
phase response.
PMID- 18045100
TI - Metabolic syndrome as a modifier of atherosclerosis in murine models.
AB - The Metabolic Syndrome is a common metabolic disease associated with an increased
risk for atherosclerotic cardiovascular disease and mortality. In contrast to
"traditional" risk factors for atherosclerosis, such as low-density lipoprotein
cholesterol, the Metabolic Syndrome represents a network of interacting risk
factors stemming from the metabolic complexity of this disease. For this reason,
dissection of the cellular and molecular pathways underlying atherosclerosis
susceptibility in the Metabolic Syndrome has been difficult. To facilitate this
endeavor, several murine models have been recently developed. Despite their
imperfect representation of the Metabolic Syndrome and atherosclerosis in humans,
these models have provided important mechanistic insights and revealed novel
molecular pathways. Furthermore, murine models are invaluable for the evaluation
of therapeutic approaches and will no doubt facilitate the genetic dissection of
atherosclerosis-susceptibility in the Metabolic Syndrome.
PMID- 18045101
TI - Gene therapy for the induction of chimerism and transplant tolerance.
AB - Technical advances in transplant surgery and the development of powerful and
effective immunosuppressive drugs have contributed to the success of organ
transplantation as a medical treatment for patients with end-stage diseases.
Associated with this procedure, however, is a dependence on life-long
immunosuppressive drugs, which are required to prevent graft rejection. These
agents render the patient susceptible to infections, tumors and various side
affects. The ability to achieve tolerance to organ grafts would free transplant
patients from lifelong dependency on pharmacological agents with harmful side
effects. Several laboratories have shown that tolerance can be achieved by the
induction of mixed cell chimerism and/or by molecular chimerism achieved by gene
transfer techniques prior to graft placement. Molecular chimerism, induced by
transplantation of autologous bone marrow expressing either allo- or xenoantigens
has the potential to induce tolerance without the development of graft vs. host
disease. The application of gene transfer techniques to induce chimerism has been
shown to reshape the immune repertoire by mechanisms that include clonal
deletion, the induction of central tolerance or generation of regulatory T cells
that would eliminate the need for immunosuppressive drugs. Optimization of this
methodology for clinical use could therefore revolutionize the field of
transplantation. This review summarizes the recent studies which have compared
the efficacy of different vectors, conditioning regimens, and transduction
conditions leading to new and improved techniques for the application of gene
therapy to induce chimerism and transplant tolerance to both allografts and
xenografts.
PMID- 18045102
TI - Gene therapy in cerebrovascular diseases.
AB - Several studies utilize gene therapy technology to study the molecular mechanisms
and therapeutic approaches to a variety of neurological diseases. Although gene
therapy for neuro-oncology and neurodegenerative diseases has advanced to the
clinical arena, those of cerebrovascular nature have remained in the experimental
stage and demonstrate promising results. Before gene therapy in cerebrovascular
disorders can be successful, various obstacles need to be addressed. In this
review, we focus on the fundamentals of gene therapy adapted to cerebrovascular
diseases, which include cerebral ischemia, post-subarachnoid hemorrhagic
vasospasm, arteriovenous malformations, etc. We discuss: 1) the utilization of
gene delivery vehicle, through viral, non-viral, or cellular vectors; 2) the
routes and specific delivery of the vector to its target cells or tissue in the
central nervous system; 3) the studies that have utilized in vivo and ex vivo
gene delivery approaches and their success in the attenuation of cerebrovascular
injuries; and finally 4) the future of gene therapy in this field.
PMID- 18045103
TI - Neuroprotective gene therapy for the treatment of inherited retinal degeneration.
AB - Inherited retinal degeneration (IRD) affects around 1/3000 of the population in
Europe and the United States. It is a diverse group of conditions that results
from mutations in any one of over 100 different genes. Many of the genes have now
been identified and their functions elucidated, providing a major impetus to
develop gene-based treatments. Whilst gene replacement and gene silencing
strategies offer prospects for the treatment of specific inherited retinal
disorders, other disorders may be less amenable to these corrective approaches.
These conditions include, in particular, those associated with abnormal retinal
development and those in which retinal degeneration is advanced at birth.
Furthermore, the development of individualized corrective gene therapy strategies
for patients with disorders due to very rare mutations may be unfeasible.
However, generic gene therapy strategies that aim not to correct the gene defect
but to ameliorate its consequences offer the possibility of therapies that are
widely applicable across a range of conditions. One potential strategy in these
cases is to halt or delay the process of cell death, so that useful visual
function can be maintained throughout the lifetime of an affected individual. It
has been shown in variety of experimental models over the last three decades,
that neurotrophic factors have the potential to delay neuronal apoptosis.
Neurotrophic factors are small proteins which have relatively short half lives
and a requirement for repeated administration has limited their clinical
application. Since these proteins do not ordinarily cross the blood-brain
barrier, previous approaches have relied upon intrathecal infusion pumps or
similar complex devices to sustain elevated neurotrophin levels within the
central nervous system (CNS). However, sustained delivery through viral vector
mediated expression of genes encoding neurotrophic factors may circumvent the
potential side effects of repeated administration. In this review we shall
explore some of the concepts of neurotrophic gene therapy and how this might be
applicable to preserving vision in inherited retinal degenerations.
PMID- 18045104
TI - Clinical applications of gene therapy in head and neck cancer.
AB - Despite advances in surgery, radiotherapy, and the incorporation of novel
systemic agents into treatment, long-term outcomes of patients with head and neck
cancer remain unsatisfactory. The growing understanding of head and neck cancer
biology suggests that targeting molecular events governing carcinogenesis or
tumor progression may provide novel therapeutic approaches for head and neck
cancer. Squamous cell carcinoma of the head and neck (SCCHN) is characterized by
locoregional spread and is clinically accessible, making it an attractive target
for intratumoral gene therapy, a potentially efficacious experimental treatment.
Systemic delivery of gene therapy may be also possible, albeit with several
limitations. In this review we will discuss the rationale, delivery methods, and
accumulated clinical data with cancer gene therapy in SCCHN.
PMID- 18045105
TI - Gene therapy in the transplantation of allogeneic organs and stem cells.
AB - Most of the current hematopoietic stem cell (HSC) -directed gene therapy
applications have focused on the replacement of defective or deficient genes in
an autologous setting. More recently HSC gene therapy applications have also
included the enhancement or improvement of HSC features. Allogeneic HSCs have
been used to facilitate and improve allogeneic transplantation and to achieve
tolerance to transplanted cells, tissues or organs. Different gene transfer
approaches addressing a variety of immunomodulatory mediators contributing to
graft tolerance or immunological ignorance may have a critical role in improving
long-term graft survival. Allogeneic tissues are frequently recognized by
allospecific T cells as foreign and are rapidly rejected in the absence of
immunosuppression. The higher susceptibility to cancer and infectious diseases of
immunosuppressed patients led to investigation of new therapies to induce graft
specific tolerance. Peripheral tolerance to allogeneic grafts can be achieved by
a variety of mechanisms including clonal deletion, suppression caused by
regulatory T cells and anergy induction associated with microchimerism effect. In
the last decades, potential candidates to confer allograft protection were
identified. In this review, we summarize ongoing strategies and developments in
genetic manipulation of cells, tissues and organs for allogeneic transplantation
including modulating the effector arm of the immune response.
PMID- 18045106
TI - Lung cancer vaccines.
AB - Cytotoxic chemotherapy is associated with modest survival advantage as initial
treatment of advanced lung cancer. However, toxicity and minimal benefit to use
second line treatment justifies exploration of alternative approaches. Recent
understanding of mechanisms by which tumor antigen recognition can be enhanced
has justified development of a recent flurry of vaccine trials in lung cancer.
Preliminary results suggest a remarkably high safety profile and significant
activity with respect to improvement in time to progression and survival in
comparison to historical controls or lower dose treated cohorts, particularly in
non small cell lung cancer. This review summarizes current results of vaccine
trial development in non small cell and small cell lung cancer.
PMID- 18045107
TI - Antibody neutralization.
PMID- 18045108
TI - Prospects of HIV Env modification as an approach to HIV vaccine design.
AB - So far, all efforts to engineer immunogens that would elicit broadly reactive
anti-HIV neutralizing antibody responses have not been successful. In the past
few years, however, key information on the structure of the epitopes recognized
by several broadly reactive anti-HIV neutralizing antibodies (NAbs), the
structures of these NAbs themselves and the molecular interaction between these
NAbs and their epitopes has emerged, that promises to guide the design of better
immunogens. In order to enhance the immunogenicity of conserved neutralization
epitopes on Env, certain modifications such as variable loop-deletion,
elimination of glycosylation sites, or epitope-repositioning, are being
investigated. So far, however, all available data from immunization studies
indicate that the effect such structural modifications have on Env immunogenicity
is unpredictable. This implies that despite the significant progress made in
elucidating the interaction of NAbs with their targets at the molecular level, a
significant iterative effort is required to identify immunogens that would elicit
the much anticipated broad anti-HIV neutralizing antibody responses.
PMID- 18045109
TI - Designing immunogens to elicit broadly neutralizing antibodies to the HIV-1
envelope glycoprotein.
AB - To date HIV-1 vaccines have not been able to elicit potent, long lasting, and
broadly neutralizing antibodies to the virus. Our knowledge of HIV envelope
glycoprotein (Env) structure/function and the existence of a handful of broadly
neutralizing antibodies is guiding rational immunogen design. We review here the
potential targets on the HIV Env (the glycan shield, the CD4 binding site, the
coreceptor binding site, Env fusion intermediates, and the membrane proximal
region) and their associated rational immunogen design strategies. Moreover, we
discuss immune dampening and immune refocusing strategies designed to counter
immunodominant, decoy responses generated by the virus. In this regard, an
immunogen design strategy of "in vitro de-evolution" is presented, which begins
to distill the HIV Env to its most critical, core functional domains. While we
are beginning to have some understanding as to where we would like out immune
system to go, we find that our immune repertoire may actually have limits that
preclude successful completion of the task at hand. The repertoire limits appear
to be a byproduct of autoantibody tolerance mechanisms and the complex structural
requirements for effective, potent broadly neutralizing antibodies. Nevertheless,
the hope is that through novel insights and creative solutions that we will be
able to design immunogens capable of eliciting broadly neutralizing antibodies to
the HIV envelope glycoprotein.
PMID- 18045111
TI - Clade specific neutralising vaccines for HIV: an appropriate target?
AB - The enormous diversity of the human immunodeficiency virus (HIV) has led to the
idea that designing vaccines to specific geographic regions, or clades, could
simplify the complexity of the task. Yet, despite the sequence diversity, all HIV
viruses known to date interact with the same cellular receptors (CD4 and/or a
coreceptor, CCR5 or CXCR4). In this review we examine the existing evidence to
support a clade-specific vaccine strategy for induction of neutralising
antibodies. We concentrate on lessons learnt from natural infection of humans. In
short, the vast majority of studies to date indicate that neutralisation of HIV-1
is not clade specific. Potent sera tend to neutralise a range of heterologous
viruses with no apparent clade preference, and none of the human neutralising
monoclonal antibodies so far generated demonstrate significant clade preference.
All but one of the most broadly neutralising antibodies are to functional regions
involved in receptor interactions and plasma membrane fusion. Given these facts,
we suggest that vaccine approaches that focus on 'clade-specific' and 'clade
generic' vaccines will logically converge on the same functionally conserved
envelope structures. It still remains to be determined whether or not the task of
designing a 'clade-generic' vaccine could be simplified by focusing on the viral
envelopes with 'transmitting phenotypes'.
PMID- 18045110
TI - Roles of HIV-1 Env variable regions in viral neutralization and vaccine
development.
AB - A major focus of HIV-1 vaccine development has been directed towards a limited
number of broadly conserved epitopes in the Envelope (Env) proteins that are
sensitive neutralization targets in many primary isolates. However, evidence
suggests that these epitopes are poorly immunogenic; similar antibodies are
rarely produced by infected subjects, nor are they induced by various immunogens
designed to express these epitopes. On the other hand, the major variable domains
of Env are highly immunogenic; antibodies against these regions are common in
sera of infected patients and easily generated upon immunization. Although these
epitopes are extremely sensitive neutralization targets in some laboratory
strains and primary isolates, the neutralization range of antibodies against
these sites is limited. This review describes potent neutralization epitopes
located in the variable regions of Env and discusses the bases for the limited
neutralization breadth of antibodies against these targets. Strategies are
discussed for using available information to design immunogens capable of
exploiting the potential of these regions as vaccine targets.
PMID- 18045112
TI - CD4-induced epitopes in the HIV envelope glycoprotein, gp120.
AB - The HIV surface glycoprotein, gp120, contains conserved and functional domains
that exist within a viral envelope spike that is otherwise highly variable with
respect to conformation, sequence and structure. Termed CD4-induced epitopes,
these domains are stabilized on transition state gp120 structures as a
consequence of CD4 receptor engagement. These nuggets of conservation naturally
attract the attention of those seeking to develop vaccine and therapeutic
strategies to fight infection by diverse HIV strains. However, an appreciation
for the immunological relevance and practical value of CD4-induced epitopes is
still evolving. This review covers the current findings related to the
accessibility, antigenicity and immunogenicity of CD4-induced epitopes on gp120.
PMID- 18045113
TI - Centralized HIV-1 envelope immunogens and neutralizing antibodies.
AB - Centralized HIV-1 genes (consensus, most recent common ancestor and center of the
tree) have recently been explored for induction of broadly reactive immune
responses to overcome the extraordinary genetic diversity among HIV-1 strains.
Although all of these strategies are based on artificial sequences predicted by
computer programs, they retain biological function, and use the CCR5 co-receptor
for entry into target cells as transmitted HIV-1 Envs. Results from laboratory
animals indicate that centralized immunogens are superior to many wild-type
immunogens for inducing cross-subtype T and B cell immune responses. Structural
modifications have improved the ability of consensus Envs to elicit antibody
responses that neutralize a spectrum of HIV-1 Env pseudoviruses. However, the
more difficult to neutralize tier 2 Env pseudoviruses are generally not
neutralized well by anti-consensus Env antibodies, indicating the need for
further modifications, new formulations, or additional strategies to generate
antibodies that neutralize a full spectrum of transmitted HIV-1 strains.
PMID- 18045114
TI - Transmission of HIV-1 in the face of neutralizing antibodies.
AB - In most cases of HIV-1 transmission, only a subset of variants is transmitted
from the index case to the newly infected individual. Understanding the
characteristics of these transmitted variants may aid in developing new methods
to halt the spread of HIV-1. Studies evaluating the genotypic and antigenic
properties of transmitted variants have provided insights into how the selective
pressures applied during different modes of transmission uniquely imprint the
infecting viruses. In the setting of sexual transmission, variants with increased
neutralization sensitivity appeared to be selected during transmission in
discordant subtype C-infected couples, although transmitted variants from
different risk groups and HIV-1 subtypes did not demonstrate increased
neutralization sensitivity, suggesting this may not be a consistent feature of
transmitted variants. Studies of both mother to child transmission (MTCT) and
superinfection, where preexisting NAbs are present at the time of exposure,
provide opportunities to analyze whether the breadth and potency of the NAb
response influence the incidence of new infections. MTCT resulted in selection
for variants that were resistant to maternal antibodies, suggesting that maternal
antibodies can protect the baby from those variants that are susceptible to the
antibodies present. There are some data to suggest that poor neutralizing
antibody (NAb) responses are present in cases of superinfection, although these
data are preliminary. Defining the characteristics of the viruses transmitted in
the presence and absence of NAbs as well as defining the NAb responses that fail
to protect from infection during MTCT and superinfection may provide critical
insights into the antibody responses that are needed for effective vaccines and
other prophylactic therapeutics.
PMID- 18045115
TI - Neutralizing antibodies in mucosal secretions: IgG or IgA?
AB - The mucosal immune response to HIV weighs in heavily on the battle against it, as
the majority of infections occur via the mucosal route. The antibody response in
the mucosae, specifically the genital tract, is characterized by binding and, in
some studies, neutralizing HIV-specific IgG and IgA antibodies. Ample evidence,
however, points to discrepancies and difficulties in the detection of HIV
specific IgA in HIV-positive subjects, and an even more pronounced divide
surfaces in studies done with individuals exposed to HIV, but uninfected. Reports
in the literature detail HIV-specific (in some cases, neutralizing) IgA
antibodies, in the absence of specific IgG, in the serum and mucosal secretions
of virus-exposed, seronegative subjects; this has given rise to speculation that
HIV-specific IgA provides a protective immune response to the virus in high-risk
individuals who remain seronegative. Contradictory results, however, describe the
absence of both IgA and IgG HIV antibodies in the mucosal secretions of similar
cohorts. Considering the importance of the antibody response to ascertaining the
correlates of HIV immunity, as well as on vaccine research and development, this
review addresses the relevant studies and their implications.
PMID- 18045116
TI - Antibody-mediated neutralization and simian immunodeficiency virus models of
HIV/AIDS.
AB - Over the last two decades, use of SIV for experimental infection of Asian
macaques has provided important leads in the quest for an AIDS vaccine, served as
the genesis of recombinant SIV/HIV viruses (SHIV), and perhaps most importantly,
helped establish or confirm biological relevance for a variety of hypotheses
related to the host immune response to infection and the corresponding viral
strategies for evading that response. The env genes of HIV-1 and SIV encode
proteins bearing a high degree of structural similarity and sharing an identical
suite of essential functions. The Env complexes formed by these proteins are
present on the surface of virus-producing cells and virions, where they are the
primary targets of the host neutralizing antibody response. In this review, we
briefly describe the similarities between HIV-1 infection and SIV experimental
models, then focus specifically on the use of the SIV/macaque model as a tool for
understanding the humoral immune response to infection and resistance to antibody
mediated neutralization in HIV infection and AIDS.
PMID- 18045117
TI - HIV-1 neutralization: mechanisms and relevance to vaccine design.
AB - Antibody (Ab) mediated neutralization is a crucial means of host resistance to
many pathogens and will most likely be required in the development of a vaccine
to protect against HIV-1. Here we examine mechanistic aspects of HIV-1
neutralization with attention to recent studies on the stoichiometric, kinetic
and thermodynamic parameters involved. Neutralization of HIV-1, as with any
microbe, minimally requires an initial molecular encounter with Ab. Ab occupancy
of functional heterotrimers of the envelope glycoproteins, gp120 and gp41 (Env),
indeed appears to be the dominant mechanism of neutralization for HIV-1. However,
the Ab-binding site, the parameters mentioned above, as well as the stages and
duration of vulnerability to Ab recognition, prior to and leading up to viral
entry, each have a distinct impact on the mechanism of neutralization for any
given Ab specificity. With HIV-1, the problems of mutational variation and
neutralization resistance, coupled with the lability and conformational
heterogeneity in Env, have stimulated the search for rational approaches to Env
immunogen design that are unprecedented in vaccinology.
PMID- 18045118
TI - Broadening our view of protective antibody responses against HIV.
AB - Upon viral exposure, antibodies serve as a first line of defense and can act by
preventing infection or reducing the viral burden. The ability of antibodies to
confer protection against HIV has been demonstrated by several studies using the
passive transfer of neutralizing antibodies in the non-human primate challenge
model. Therefore, efforts have been made to induce a similarly protective humoral
immune response by vaccination with antigens derived from HIV. Thus far, the
results have been disappointing. Humoral immune responses elicited via
vaccination display activities that are generally much less potent and broad as
compared to those induced during natural infection. However, recently there have
been increased efforts to systematically identify and compare the epitopes
potentially critical to the generation of protective antibody responses in the
hope that this will lead to improved strategies and superior immunogen design. As
a critical part of this process, novel methods to monitor protective antibody
responses will also need to be vigorously explored and improved, then validated
in both preclinical and clinical settings.
PMID- 18045119
TI - Passive immunization as tool to identify protective HIV-1 Env epitopes.
AB - The HIV-1/AIDS epidemic continues to escalate, and a protective vaccine remains
elusive. The first vaccine candidate, gp120, did not induce broadly neutralizing
antibodies (nAbs) against primary HIV-1 isolates and was ineffective in phase III
clinical trials. Attention then focused on generating cytotoxic lymphocyte (CTL)
based vaccines. Interest in anti-HIV-1 nAbs was renewed when passive immunization
with human neutralizing monoclonal antibodies (nmAbs) completely protected
macaques after intravenous and mucosal challenges with simian-human
immunodeficiency viruses (SHIVs) encoding HIV-1 env. These nmAbs targeted
conserved, functionally important epitopes on gp120 and gp41. Protection in
primate/SHIV models was observed when nmAbs were used singly (nmAbs 2G12, b12)
and in various combination regimens (nmAbs b12, F105, 2G12, 2F5, 4E10). Passive
immunization, a well-established tool to determine the correlates of protective
immunity, thus identified protective epitopes. The three-dimensional structures
of some of the latter were recently elucidated, generating important information
to design nAb-response-base immunogens. However, several of the protective nmAbs
were found to exhibit autoreactivity, raising the possibility that B-cell
responses against the cognate epitopes may be difficult to induce by active
immunization. It will be important to explore whether broad neutralization can be
dissociated from autoreactivity. Future experiments will reveal whether other
conserved HIV-1 Env epitopes exist, antibodies against which will be broadly
neutralizing in vitro, protective as passive immunization in SHIV-challenged
macaques, but lacking autoreactivity. Since all protective epitopes identified to
date are located on HIV-1 clade B Env, future studies should include analysis of
nmAbs against non-clade B strains.
PMID- 18045120
TI - Inhibitors of HIV-1 protease: current state of the art 10 years after their
introduction. From antiretroviral drugs to antifungal, antibacterial and
antitumor agents based on aspartic protease inhibitors.
AB - The introduction of highly active antiretroviral therapy (HAART) in 1996
dramatically changed the course of HIV infection. This therapy involves the use
of at least three agents from two distinct classes of antivirals: a protease
inhibitor (PI) in combination with two nucleoside/nucleotide reverse
transcriptase inhibitors (N(t)RTIs), or a non-nucleoside reverse transcriptase
inhibitor (NNRTI) in combination with NRTIs. Nine drugs containing PIs are
clinically available: the first generation ones, saquinavir, ritonavir,
indinavir, nelfinavir, and amprenavir, and the second generation ones,
fosamprenavir (the amprenavir prodrug), lopinavir, atazanavir, and tipranavir.
Many other compounds are in advanced clinical evaluation, such as among others
TMC-114, whereas a lot of different other effective HIV protease inhibitors were
reported, mainly by using amprenavir and TMC-114 as lead molecules. The main
goals of research in this field are: (i) the design of better pharmacological
agents, devoid of severe side effects, resistance problems and with simple
administration schedules (preferably once daily), and (ii) achieving eradication
of the virus, and possibly, a definitive cure of the disease. A review on the
pharmacology and interactions of these agents with other drugs is presented here,
with emphasis on how these pharmacological interferences may improve the clinical
use of antivirals, or how side effects due to PI drugs may be managed better by
taking them into account (such as for example ritonavir boosting of other PIs
which reduces dosages and administration schedules of these drugs). Except for
being highly effective in the treatment of HIV infection, recent reports showed
this class of drugs to be effective as antitumor agents, as antibacterials (for
example against Mycobacterium tuberculosis infection), antifungals (against
Candida albicans), antimalarials, antiSARS and anti-influenza agents.
PMID- 18045121
TI - Renin-angiotensin system inhibitors as therapeutic alternatives in the treatment
of chronic liver diseases.
AB - The renin-angiotensin system (RAS) is frequently activated in the patients with
chronic liver diseases, and recent studies have shown that RAS plays a pivotal
role in the progression of chronic liver diseases, i.e., liver fibrosis and
hepatocellular carcinoma (HCC). Angiotensin-II (AT-II) reportedly stimulates
contractility and proliferation of the activated hepatic stellate cells, and
increases the transforming growth factor-beta (TGF-betabeta expression through
angiotensin type-I receptors (AT1-R). Many studies have demonstrated that the
clinically used angiotensin-converting enzyme inhibitors (ACE-I) and AT1-R
blockers (ARB) significantly attenuated the liver fibrosis development in the
experimental studies and clinical practice. AT-II also strongly promotes
neovascularization, which plays a pivotal role in tumor development. AT-II
induces a potent angiogenic factor; namely, the vascular endothelial growth
factor (VEGF). It has been reported that ACE-I significantly attenuated the
experimental HCC growth and hepatocarcinogenesis along with suppression of
neovascularization. The VEGF expression in the tumor was suppressed by ACE-I,
too. The combined treatment of ACE-I with other clinically used agents, such as
interferon, imatinib mesylate, and vitamin K, shows more potent inhibitory
effects on the development of liver fibrosis and HCC. Since RAS inhibitors are
widely used in the clinical practice without serious side effects, they may
represent a potential new therapeutic strategy against the progression of chronic
liver diseases.
PMID- 18045122
TI - An updated unified pharmacophore model of the benzodiazepine binding site on
gamma-aminobutyric acid(a) receptors: correlation with comparative models.
AB - A successful unified pharmacophore/receptor model which has guided the synthesis
of subtype selective compounds is reviewed in light of recent developments both
in ligand synthesis and structural studies of the binding site itself. The
evaluation of experimental data in combination with a comparative model of the
alpha1beta2gamma2 GABA(A) receptor leads to an orientation of the pharmacophore
model within the Bz BS. Results not only are important for the rational design of
selective ligands, but also for the identification and evaluation of possible
roles which specific residues may have within the benzodiazepine binding pocket.
PMID- 18045123
TI - Therapeutic targets in respiratory viral infections.
AB - Respiratory viral infections account for a substantial proportion of morbidity
world wide and contribute notably to the socio-economic burden of diseases.
Amongst the most important viruses identified so far are Rhinoviruses, Influenza
A and Respiratory Syncytial Virus. The knowledge base has broadened at the
clinical and experimental level in recent years. However, therapeutic options are
still limited. This may be partly due to the multiplicity of infectious
mechanisms and the complex underlying host/virus interactions. The aim of this
article is to give an overview of the different respiratory viruses involved,
their major principles of infection and the associated therapeutic targets and to
review up-to-date virus-specific clinical trials.
PMID- 18045124
TI - Interactions between growth hormone and the thyroid gland -- with special
reference to biochemical diagnosis.
AB - The diagnosis of pituitary disorders is difficult because several hormone systems
are involved. The clinical presentation is often vague and slowly progressing,
and clinicians therefore have to rely very much on correct biochemical
measurements. This is also associated with difficulties since the pituitary
hormones interact, the binding proteins are influenced by the other axes and a
variety of other effects, and finally the hormone measurements in serum are not
totally adequate Several studies have investigated the interaction between the
thyroid and growth hormone axes with very variable results. The present review is
focussing on the aspects related to clinical decision making based on biochemical
assessments. Because of the strong and sometimes unpredictable interrelations
between the hypothalamo-pituitary thyroid and the hypothalamo-GH-IGF axes, and
the many pitfalls in the measurement of peripheral hormones and interpretation of
stimulation tests, clinicians and clinical biochemists should collaborate
closely. Only then can the diagnostic accuracy and the management of patients
with both growth hormone and thyroid disorders be improved.
PMID- 18045125
TI - Computer simulation of antimicrobial peptides.
AB - Naturally occurring and synthetic peptides may be a novel class of clinically
useful antibiotics. A large body of experimental data on structure function
relationships for such peptides is available, but the molecular mechanism of
their action remains elusive in most cases. Computer simulations can give
detailed insights into the interactions between peptides and lipid bilayers, at
least one crucial step in the antimicrobial mechanism. Here we review recent
simulations of antimicrobial peptides and discuss potential future contributions
of computer simulations in understanding and ultimately designing antimicrobial
peptides.
PMID- 18045126
TI - Valproate and neuroendocrine changes in relation to women treated for epilepsy
and bipolar disorder: a review.
AB - Valproic acid (2-n-propylpentanoic acid, VPA) is well-established as a mood
stabilizer for bipolar disorder, in addition to its application as a treatment in
neurological disorders such as epilepsy, migraine headaches, and chronic
neuropathic pain. Its mechanisms of actions in any of the disorders have not yet
been fully elucidated but currently include GABA-ergic inhibitory effects, the
suppression of NMDA-mediated excitatory neurotransmission, and possibly effects
on monoamines and cerebral glucose metabolism. Given the rising use of VPA by
women of reproductive age for various conditions it is increasingly important to
understand how VPA affects reproductive and metabolic function in women, yet a
number of key issues regarding VPA use in women of reproductive age remain
unclear. These include the question of whether VPA use is associated with the
development of polycystic ovary syndrome (PCOS)-like features (such as elevated
androgen concentrations and/or chronic anovulation). The metabolic effects of VPA
use, particularly on insulin sensitivity and weight gain, are also important to
understand. Lastly, questions of VPA use during pregnancy and lactation require
continued attention. This article reviews the current understanding of VPA's
mechanisms of action, effects on the reproductive and metabolic system, and
teratogenic qualities, highlighting important future areas of study.
PMID- 18045127
TI - Application of the O-N intramolecular acyl migration reaction in medicinal
chemistry.
AB - The O-N intramolecular acyl migration, also named as an acyl shift or acyl
transfer reaction, is well-known in organic and peptide chemistry as a simple
rearrangement which proceeds under very mild aqueous conditions. Despite a long
history with this reaction, its application in medicinal chemistry has only
lately been proposed. In the last decade, this reaction has been intensively
studied and several applications of this rearrangement in medicinal chemistry
have appeared. O-N Intramolecular acyl migration has been employed in "no
auxiliary, no byproduct" prodrug strategies (prodrugs of paclitaxel and other
taxoids, prodrugs of HIV protease inhibitors), for the synthesis of peptides
containing difficult sequences via "O-acyl isopeptide method", including
Alzheimer's disease related amyloid beta peptide (Abeta) 1-42, and in the design
of pH-, photo- or enzyme-triggered click peptides, as a potential powerful tool
for identifying the pathological functions of amyloid beta peptides in
Alzheimer's disease. This review summarized recent advances in the application of
O-N intramolecular acyl migration with special focus on medicinal chemistry.
PMID- 18045128
TI - Isoflavones, equol and cardiovascular disease: pharmacological and therapeutic
insights.
AB - Isoflavones are an important class of phytoestrogens that are found at extrememly
high levels in soy. Up until recently, daidzein and genistein were considered to
be the most important and hence most studied isoflavones, however more recently
attention has shifted to isoflavone metabolies. Equol represents the main active
product of daidzein metabolism, produced via specific microflora in the gut. It
has a longer half life and greater biological activity, including superior
antioxidant activity. Yet, whilst the majority of animals produce equol following
soy consumption, as much as 30-50 % of the adult human population cannot. This
inability to produce equol in as much as half the population is thought to
provide some explanation for the failure of soy to reveal any substantial health
benefits in clinical studies. This article will comprehensively review literature
investigating the potential cardiovascular benefits of daidzein and its
metabolites, paying particular attention to equol. It will focus on the relative
vasorelaxant activity, effects on nitric oxide synthase (NOS), antioxidant
activity and potential for the treatment and prevention of hypertension and
stroke. Findings obtained in both animal and human studies will be reviewed with
the hope of gaining an insight into the experimental and clinical importance of
equol to the cardiovascular benefits of soy.
PMID- 18045129
TI - Synthetic peptides: the future of patient management in systemic rheumatic
diseases?
AB - Since the first description of self-reactive antibodies in systemic autoimmune
rheumatic diseases, many autoantigens have been identified as useful diagnostic
biomarkers in clinical immunology. Among the autoantigens, double-stranded
desoxoribonucleic acid (dsDNA), the Smith antigen (Sm), topoisomerase-I (topo-I),
proliferating cell nuclear antigen (PCNA), and others were described as hallmark
targets of systemic autoimmune diseases. The detection of the corresponding
autoantibodies can be performed with a variety of immunoassays based on native
antigens, recombinant proteins or synthetic peptides. As discussed in this
review, synthetic peptides often represent highly accurate antigenic ligands for
autoantibody assays that can be easily produced in high quality and quantity and
with remarkable reproducibility. Furthermore, the use of peptides that focus on
abrogation or neutralization of pathogenic autoantibodies provides a possible new
therapeutic approach to the management of autoimmune disorders. There is an
increasing number of interesting examples for the application of synthetic
peptides in diagnostic approaches. Today's sophisticated epitope mapping methods
will potentate the identification of further peptides that can be possibly used
as specific targets in diagnostic and therapeutic approaches to improve the
patients treatment. This may lead to a new scientific research area with high
impact on the development of diagnostic and therapeutic products, to the area of
peptide engineering and "theranostics".
PMID- 18045130
TI - Anti-cancer therapeutic approaches based on intracellular and extracellular heat
shock proteins.
AB - Stress or heat shock proteins (Hsps) Hsp90, Hsp70 and Hsp27 are chaperones that
assist the proteins in their folding, stability, assembly into multi-protein
complexes and transport across cellular membranes. The expression of some of them
is highly induced in response to a wide variety of physiological and
environmental insults. Hsps have a dual function depending on their intracellular
or extracellular location. Intracellular Hsps have a protective function. They
allow the cells to survive to lethal conditions. The cytoprotective functions of
Hsps can largely explain by their anti-apoptotic properties. Hsp90, Hsp70 and
Hsp27 can directly interact with different proteins of the tightly regulated
programmed cell death machinery and thereby block the apoptotic process at
distinct key points. In cancer cells, where the expression of Hsp27, Hsp70 and/or
Hsp90 is frequently abnormally high, they participate in oncogenesis and in
resistance to chemotherapy. Therefore, the inhibition of Hsps has become an
interesting strategy in cancer therapy. In contrast to intracellular Hsps,
extracellular located or membrane-bound Hsps mediate immunological functions.
They can elicit an immune response modulated either by the adaptive or innate
immune system. In cancer, most immunotherapeutical approaches based on
extracellular Hsps exploit their carrier function for immunogenic peptides. This
review will discuss this different and often paradoxical approaches in cancer
therapy based on the dual role of Hsps, protective/tumorigenic versus
immunogenic.
PMID- 18045131
TI - Role of the APP non-amyloidogenic signaling pathway and targeting alpha-secretase
as an alternative drug target for treatment of Alzheimer's disease.
AB - Alzheimer's disease (AD) is the most prevalent form of dementia, and its
effective disease modifying therapies are desperately needed. Promotion of non
amyloidogenic alpha-secretase cleavage of amyloid precursor protein (APP) to
release soluble sAPPalpha, based on the most widely accepted "amyloid model" as a
plausible mechanism for AD treatment, is the focus of this review. Modulation of
alpha-secretase or "a disintegrin and metalloprotease (ADAM)"s activity via
protein kinase C (PKC), calcium ion (Ca(2+)), tyrosine kinase (TK), MAP kinase
(MAPK), and hormonal signaling, which regulate catabolic processing of APP, are
discussed. The inhibition of amyloidogenic processing of APP by the beta- and
gamma-secretase has been considered till now a promising strategy to treat AD.
But beta- and gamma-secretase inhibitors, along with the available therapeutic
tools for AD, have side effects. These challenges can be circumvented to certain
extent; but activation of sAPPalpha release appears to be a potential alternative
strategy to reduce cerebral amyloidosis. Drug screens have been performed to
identify therapeutics for AD, but an effective screening strategy to isolate
activators of alpha-secretase has been rarely reported. Novel reporter-based
screens targeted toward APP mRNA 5' untranslated region (UTR), followed by
counter-screens to detect alpha-secretase stimulators, could be important in
detecting compounds to promote sAPPalpha release and reduce amyloid beta (Abeta)
buildup. The primary inflammatory cytokine interleukin-1, which stimulates APP
5'UTR-directed translation of cell-associated APP, enhances processing to
sAPPalpha in astrocytes and co-activates ADAM-10/ADAM-17 through MAPK signaling;
thus illustrating a novel pathway that could serve as therapeutic model for AD.
PMID- 18045132
TI - Manipulation of microglial activation as a therapeutic strategy in Alzheimer's
disease.
AB - Alzheimer's disease (AD) is the leading cause of dementia. Although the etiology
of AD remains controversial, the amyloid hypothesis suggests that beta-amyloid
(Abeta) peptides may contribute to brain dysfunction, and microglial activation
has become increasingly regarded as a potential contributor to disease
pathogenesis. Microglial activation is characterized by morphological changes and
by production of various effectors, and activated neuroinflammation concurrent
with increased oxidative stress may contribute to damage to neurons. However,
recently there has been a recognition that microglia may also play a
neuroprotective role through their release of neurotrophic factors and through
phagocytosis of Abeta. Thus, there is growing consensus that a favorable
combination of diminished microglia-mediated neuroinflammation and enhanced Abeta
clearance may be critical in AD therapy. In this review, we will discuss the role
of microglial activation in AD and how pharmacologic manipulation of microglia
might bear upon the treatment of AD.
PMID- 18045133
TI - Recent progress in rational drug design of neuraminidase inhibitors.
AB - Neuraminidase is a major glycoprotein of influenza virus which is essential for
viral infection and offers a potential target for antiviral drug development.
Rational drug design of NA inhibitors is now in the clinic and these molecules
are effective and safe for the treatment of influenza. Recently, research of
structure-based NA inhibitors is becoming an interesting field, leading to a
breakthrough in the control of influenza. Here we review the progress in the
rational drug design of NA inhibitors in recent years.
PMID- 18045134
TI - Apoptotic cell clearance and autoimmune disorder.
AB - In multicellular organisms, unnecessary or harmful cells, such as those that are
cancerous or infected with a virus, are eliminated by apoptosis. After apoptosis,
cell corpses are rapidly recognized and phagocytosed by professional phagocytes,
such as macrophages and dendritic cells. The rapid removal of cell corpses by
phagocytes prevents the release of potentially toxic or immunogenic materials
from dying cells. Although a number of molecules on phagocytes have been found to
be involved in apoptotic cell clearance in vitro, only a few have been proved to
be involved in apoptotic cell clearance in vivo by analyses of knockout animals.
These knockout mice commonly suffer from autoimmunity, indicating that the
complete removal of apoptotic cells is essential for the maintenance of self
tolerance. In this review, we present an overview of the molecular mechanisms of
apoptotic cell clearance by phagocytes and the pathological relevance of the
failure of apoptotic cell clearance to autoimmune disorder.
PMID- 18045135
TI - A needle-free approach for topical immunization: antigen delivery via vesicular
carrier system(s).
AB - Topical immunization (TI) is novel and needle free strategy involving vaccine
delivery through topical application of antigen and adjuvant(s) directly or via a
suitable carrier system on intact skin. Anatomy and physiology of skin attracts
scientists in developing topical carrier system(s) for enhanced delivery of
bioactive(s). Numerous techniques i.e. physical, chemical and vesicular carrier
systems have been exploited for topical immunization. The present review discuss
various vesicular systems i.e. liposomes, niosomes, transfersomes, vesosomes etc.
for the efficient topical delivery of various immunogens along with comparative
points of their merit(s) in TI. The mechanism of permeation of bioactive(s)
through skin route via these carriers to the immune system for development of
both cellular and humeral immunity has also been discussed. Moreover, the effect
of composition and type of carrier system on type of immunity induced has also
been focused to develop new effective carrier system(s) for topical immunization.
PMID- 18045136
TI - Interaction of proteins with lipid rafts through glycolipid-binding domains:
biochemical background and potential therapeutic applications.
AB - The wide biochemical diversity of glycolipids in membranes explains why these
molecules are often selected by pathogens (viruses, bacteria, prions) as primary
sites of interactions with the cell surface. Moreover, glycolipids concentrate
into cholesterol/glycolipid-rich microdomains where they can reach high local
concentrations consistent with the multivalent attachment of pathogens on the
cell surface. Finally, recent studies have shown that glycolipids could also
modulate protein conformation. This chaperone activity of glycolipids has been
associated with various pathogenic processes including HIV infection, prion
propagation, and amyloid aggregation in Alzheimer's and Creutzfeldt-Jakob's
diseases. Despite the potential interest for drugs mimicking glycolipid structure
and function, the physicochemical properties of authentic glycolipids suggested
that it might be difficult to obtain synthetic glycolipid analogues able to
neutralise those pathogens before they could reach the cell surface. Recent data
obtained with mono-, di-, and tri-hexosylceramide (GalCer, LacCer and Gb(3)) have
proven that this was absolutely not the case and that highly active inhibitors
could be designed through slight modifications of glycolipid structure.
Biochemical studies of glycolipid-protein interactions have highlighted the
importance of CH-pi stacking interactions between galactosyl head groups of the
glycolipid and aromatic amino acids of the protein. The discovery of this unique
mechanism of interaction may allow a rational strategy for the design and
synthesis of glycolipid-based molecules as new anti-infectious and/or anti
amyloidogenesis compounds. This strategy, which takes into account the
hierarchical organisation of glycolipids into discrete membrane microdomains as
well as their association with cholesterol, is discussed in the present review.
PMID- 18045137
TI - Estrogens and glucocorticoid hormones in adipose tissue metabolism.
AB - Women have a higher percentage of body fat than men, and there is a gender
specific difference in fat distribution: Females tend to accumulate fat around
the hips, buttocks, and thighs while men have a larger intra-abdominal (visceral)
fat mass. After menopause, there is a redistribution of fat depots, and post
menopausal women develop increased amounts of visceral fat. The risk of
developing obesity-related diseases is significantly lower in pre-menopausal
women compared to men, a difference that is abolished after menopause, suggesting
that the female sex steroid estrogen influences adipogenesis and adipose
metabolism. Experimentally, estrogen increases the size and number of
subcutaneous adipocytes and attenuates lipolysis. Post-menopausal women also
develop a more atherogenic lipid pattern and decreased levels of the
prothrombotic protein plasminogen activator inhibitor-1, which attenuates
fibrinolysis. Pathologically increased circulating cortisol concentration is
associated with dysmetabolic features e.g., central obesity, elevated blood
pressure, insulin resistance, and dyslipidemia. In "simple obesity,"
glucocorticoid production is elevated. Peak levels of circulating cortisol are
however low or normal, possibly because of increased clearance and/or tissue
specific changes in cortisol production. In addition to the adrenal production of
cortisol, cortisol is also generated in adipose tissue by the enzyme 11beta
hydroxysteroid dehydrogenase type 1 (11betaHSD1) which converts inactive
cortisone to active cortisol. The enzyme activity in subcutaneous fat increases
with increasing body weight. Estrogen seems to have a tissue-specific influence
on 11betaHSD1 enzyme activity, attenuating it in liver, kidney, and testis but
upregulating 11betaHSD1 mRNA expression in preadipocytes from women. In the
present review, we summarize and discuss the interaction between glucocorticoids
and sex steroids and their influence on adipocyte metabolism.
PMID- 18045138
TI - Fibrinogen signal transduction as a mediator and therapeutic target in
inflammation: lessons from multiple sclerosis.
AB - The blood protein fibrinogen as a ligand for integrin and non-integrin receptors
functions as the molecular nexus of coagulation, inflammation and immunity.
Studies in animal models and in human disease have demonstrated that
extravascular fibrinogen that is deposited in tissues upon vascular rupture is
not merely a marker, but a mediator of diseases with an inflammatory component,
such as rheumatoid arthritis, multiple sclerosis, sepsis, myocardial infarction
and bacterial infection. The present article focuses on the recent discoveries of
specific cellular targets and receptors for fibrinogen within tissues that have
extended the role of fibrinogen from a coagulation factor to a regulator of
inflammation and immunity. Fibrinogen has the potential for selective drug
targeting that would target its proinflammatory properties without affecting its
beneficial effects in hemostasis, since it interacts with different receptors to
mediate blood coagulation and inflammation. Strategies to target receptors for
fibrinogen and fibrin within the tissue microenvironment could reveal selective
and disease-specific agents for therapeutic intervention in a variety of human
diseases associated with fibrin deposition.
PMID- 18045139
TI - Cochlear stem cells/progenitors and degenerative hearing disorders.
AB - Hearing loss (deafness) affects approximately 250 million people globally. The
major cause of deafness is loss of hair cells and spiral ganglion neurons due to
aging, antibiotic use, noise exposure, and genetic defects. At the present time,
there is no effective method for restoration of hearing biologically. Cochlear
stem cells/progenitors (CSCs), quiescent in the organ of Corti, are excellent
candidates for restoration of cell types in the organ of Corti biologically.
However, little is known about the biology of CSCs and developmental cues for
CSCs to differentiate into hair cells and neurons at the present time. In this
article, we briefly reviewed the isolation of CSCs from the postnatal organ of
Corti in mice and their capability to differentiate into hair cells and neurons
in vitro under the guidance of a group of growth factors: sonic hedgehog (SHH),
epidermal growth factor (EGF), retinoic acid (RA), and brain-derived neurotrophic
factor (BDNF), herein termed SERB. The identification of CSCs and their
differentiation signals is potentially of clinical importance.
PMID- 18045140
TI - Nanotechnology as an adjunct tool for transplanting engineered cells and tissues.
AB - Laboratory and clinical studies have provided evidence of feasibility, safety and
efficacy of cell transplantation to treat a wide variety of diseases
characterized by tissue and cell dysfunction ranging from diabetes to spinal cord
injury. However, major hurdles remain and limit pursuing large clinical trials,
including the availability of a universal cell source that can be differentiated
into specific cellular phenotypes, methods to protect the transplanted allogeneic
or xenogeneic cells from rejection by the host immune system, techniques to
enhance cellular integration of the transplant within the host tissue, strategies
for in vivo detection and monitoring of the cellular implants, and new techniques
to deliver genes to cells without eliciting a host immune response. Finding ways
to circumvent these obstacles will benefit considerably from being able to
understand, visualize, and control cellular interactions at a sub-micron level.
Cutting-edge discoveries in the multidisciplinary field of nanotechnology have
provided us a platform to manipulate materials, tissues, cells, and DNA at the
level of and within the individual cell. Clearly, the scientific innovations
achieved with nanotechnology are a welcome strategy for enhancing the generally
encouraging results already achieved in cell transplantation. This review article
discusses recent progress in the field of nanotechnology as a tool for tissue
engineering, gene therapy, cell immunoisolation, and cell imaging, highlighting
its direct applications in cell transplantation therapy.
PMID- 18045141
TI - Nuclear factor-kappa B: from clone to clinic.
AB - Nuclear transcription factor kappaB (NF-kappaB) was first discovered in 1986 in
the nucleus of the B cell as an enhancer in the kappa immunoglobulin chain.
However, this factor has identified in the cytoplasm in the resting state. When
activated in response to inflammatory stimuli, carcinogens, stress, ionizing
radiation, and growth factors; NF-kappaB translocates to the nucleus where it
upregulates the expression of over 400 different gene products linked with
inflammation, cell survival, proliferation, invasion, and angiogenesis. The
activation of NF-kappaB has now been linked with a variety of inflammatory
diseases, including cancer and pulmonary, autoimmune, skin, neurodegenerative,
and cardiovascular disorders. Indeed, constitutive NF-kappaB activation
frequently correlates with the proliferation, survival, chemoresistance,
radioresistance, and progression of various cancers. Hence, NF-kappaB has both
diagnostic and prognostic applications. In addition, pharmaceutical companies are
aggressively pursuing development of inhibitors of NF-kappaB with therapeutic
potential. Thus within last decades this transcription factor, discovered
serendipitously, has moved from "clone to clinic".
PMID- 18045142
TI - The ability of melatonin to counteract lipid peroxidation in biological
membranes.
AB - This paper reviews recent data relevant to the antioxidant effects of melatonin
with special emphasis on the changes produced in polyunsaturated fatty acids
located in the phospholipids of biological membranes. The onset of lipid
peroxidation within cellular membranes is associated with changes in their
physicochemical properties and with the impairment of protein functions located
in the membrane environment. All cellular membranes are especially vulnerable to
oxidation due to their high concentration of polyunsaturated fatty acids. These
processes combine to produce changes in the biophysical properties of membranes
that can have profound effects on the activity of membrane-bound proteins. This
review deals with aspects for lipid peroxidation of biological membranes in
general, but with some emphasis on changes of polyunsaturated fatty acids, which
arise most prominently in membranes and have been studied extensively in our
laboratory. The article provides current information on the effect of melatonin
on biological membranes, changes in fluidity, fatty acid composition and lipid
protein modifications during the lipid peroxidation process of photoreceptor
membranes and modulation of gene expression by the hormone and its preventive
effects on adriamycin-induced lipid peroxidation in rat liver. Simple model
systems have often been employed to measure the activity of antioxidants.
Although such studies are important and essential to understand the mechanisms
and kinetics of antioxidant action, it should be noted that the results of simple
in vitro model experiments cannot be directly extrapolated to in vivo systems.
For example, the antioxidant capacity of melatonin, one of the important
physiological lipophilic antioxidants, in solution of pure triglycerides enriched
in omega-3 polyunsaturated fatty acids is considerably different from that in
subcellular membranes.
PMID- 18045143
TI - DJ-1: a newcomer in Parkinson's disease pathology.
AB - Parkinson's disease (PD) is a movement disorder of high prevalence in the
elderly. It is characterized by a loss of dopaminergic neurons and the presence
of intracytoplasmic inclusions named Lewy bodies. To date six familial PD
associated proteins have been identified so far. Some of them are implicated in
the development of either autosomal dominant (alpha-synuclein and LRRK2 (leucine
rich repeat kinase 2/dardarin) or early-onset recessive (parkin, DJ-1, PINK1
(PTEN-induced kinase-1) and ATP13A2) PD forms. A number of genetic studies have
shown that 50% of the recessive forms are linked to mutations on parkin gene,
followed by PINK1 (8-15%) and DJ-1 (1%). The purpose of this review is to provide
an overview of the emerging data on the cellular and molecular biology of DJ-1.
DJ-1 is a ubiquitous protein that was first described as an oncogene.
Nevertheless, after its association to monogenic PD a number considerable data
aiming at understanding its implication in the physiopathology of PD was
produced. This review will describe the main advances concerning the function of
DJ-1. A considerable progress that was only possible due to a better
understanding of DJ-1 structure, genetics, distribution and development of in
vivo models. All these points along with the description of recent data showing
the interaction of DJ-1 with other PD-associated proteins will be given.
PMID- 18045144
TI - Gamma delta T cells and dendritic cells: close partners and biological adjuvants
for new therapies.
AB - The knowledge of several signals influencing Dendritic Cell (DC) functions is
crucial to manipulate the immune system for new vaccination therapies. Our recent
findings provide a new model of intervention on DC system suggesting novel
therapeutic implications. T, NK, and gammadelta T cell stimuli may enhance DC
maturation, Th polarization and trigger the adaptive immune response. Regulatory
effects of gammadelta T cells on inflammation and immune responses may be
mediated by their interaction with DCs and they are analyzed in the last years in
humans and mice. In humans, Vgamma9Vdelta2 T cells represent the most part of
circulating gammadelta T cells and are activated by non-peptidic molecules
derived from different microorganisms or abnormal metabolic routes. They share
both NK-like and effector/memory T cell features, and among these the possibility
to interact with DCs. Co-culture of immature DCs with activated Vgamma9Vdelta2 T
cells allows DCs to acquire features of mature DCs complementing the migratory
activity, up-regulating the chemokine receptors, and antigen presentation.
Similarly to the NK-derived signals, DC activation is mostly mediated by soluble
factors secreted by gammadelta T cells. Many non-peptidic molecules including
nitrogen-containing bisphosphonates and pyrophosphomonoester drugs stimulate the
activity of Vgamma9Vdelta2 T cells in vitro and in vivo. The relatively low in
vivo toxicity of many of these drugs makes possible novel vaccine and immune
based strategies, through DCs, for infectious and neoplastic diseases.
PMID- 18045145
TI - Oxidative stress and the JNK pathway are involved in the development of type 1
and type 2 diabetes.
AB - Failure of pancreatic beta-cells is the common characteristic of type 1 and type
2 diabetes. Type 1 diabetes mellitus is induced by destruction of pancreatic beta
cells which is mediated by an autoimmune mechanism and consequent inflammatory
process. Various inflammatory cytokines and oxidative stress are produced during
this process, which has been proposed to play an important role in mediating beta
cell destruction. The JNK pathway is also activated by such cytokines and
oxidative stress, and is involved in beta-cell destruction. Type 2 diabetes is
the most prevalent and serious metabolic disease, and beta-cell dysfunction and
insulin resistance are the hallmark of type 2 diabetes. Under diabetic
conditions, chronic hyperglycemia gradually deteriorates beta-cell function and
aggravates insulin resistance. This process is called "glucose toxicity". Under
such conditions, oxidative stress is provoked and the JNK pathway is activated,
which is likely involved in pancreatic beta-cells dysfunction and insulin
resistance. In addition, oxidative stress and activation of the JNK pathway are
also involved in the progression of atherosclerosis which is often observed under
diabetic conditions. Taken together, it is likely that oxidative stress and
subsequent activation of the JNK pathway are involved in the pathogenesis of type
1 and type 2 diabetes.
PMID- 18045146
TI - Molecular and cellular mechanisms for Alzheimer's disease: understanding APP
metabolism.
AB - Alzheimer's disease (AD) is the most common neurodegenerative disease associated
with aging. One important pathologic feature of AD is the formation of
extracellular senile plaques in the brain, whose major components are small
peptides called beta-amyloid (Abeta) that are derived from beta-amyloid precursor
protein (APP) through sequential cleavages by beta-secretase and gamma-secretase.
Because of the critical role of Abeta in the pathogenesis of AD, unraveling the
cellular and molecular events underlying APP/Abeta metabolism has been and
remains, of paramount importance to AD research. In this article we will focus on
the regulation of APP metabolism leading to Abeta generation. We will review
current knowledge of the secretases (alpha-, beta-, and gamma-secretases)
involved in APP processing and various molecular and cellular mechanisms
underlying intracellular trafficking of APP, which is a highly regulated process
and whose disturbance has direct impacts on the production of Abeta.
PMID- 18045147
TI - Advanced glycation end products, oxidative stress and metalloproteinases are
altered in the cerebral microvasculature during aging.
AB - Biological aging is associated with an increased incidence of cerebrovascular
disease. Recent findings indicate that oxidative stress promoting age-related
changes of cerebral circulation are involved in neurodegenerative disorders such
as Alzheimer's disease (AD) and Parkinson's disease. The aim of this study was to
evaluate the contribution of cerebral microvessels to the oxidative stress during
brain aging, by: (i) assessment of precursors for advanced glycation end products
(AGE) formation, (ii) activities of antioxidant enzymes, namely superoxide
dismutase (SOD), glutathione peroxidase (GPx) and glutathione disulfide reductase
(GR), and (iii) the activities of metalloproteinases (MMPs), MMP-2 and MMP-9,
involved in synaptogenesis and memory consolidation. The experiments were
performed on two groups of male Wistar rats: 15 young (3-6 months old) and 15
aged (18-24 months old) animals. The cerebral microvessels were isolated by
mechanical homogenization, the concentration of protein carbonyls and the
activity of antioxidant enzymes were evaluated by spectrophotometry, and gelatin
SDS-PAGE zymography was employed to evaluate MMP-2 and MMP-9 activities. The
results showed that, by comparison with young rats, aged brain microvessels
contain: (i) approximately 106 % increase of protein carbonyls production; (ii)
approximately 68% higher GPx activity, unmodified activities of SOD and GR; (iii)
approximately 30% diminishment in MMP-2 activity, and the specific occurrence of
MMP-9 enzyme. The data suggest that the age-related changes of microvessels could
increase the propensity for cerebral diseases and might represent, at least in
part, a prerequisite for the deterioration of mental and physical status in the
elderly.
PMID- 18045148
TI - Clozapine and olanzapine but not risperidone impair the pre-frontal striatal
system in relation to egocentric spatial orientation in a Y-maze.
AB - Many studies indicate a dissociation between two forms of orientation:
allocentric orientation, in which an organism orients on the basis of cues
external to the organism, and egocentric spatial orientation (ESO) by which an
organism orients on the basis of proprioceptive information. While allocentric
orientation is mediated primarily by the hippocampus and its afferent and
efferent connections, ESO is mediated by the prefronto-striatal system. Striatal
lesions as well as classical neuroleptics, which block dopamine receptors, act
through the prefronto-striatal system and impair ESO. The purpose of the present
study was to determine the effects of the atypical antipsychotics clozapine,
olanzapine and risperidone which are believed to exert its antipsychotic effects
mainly by dopaminergic, cholinergic and serotonergic mechanisms. A delayed-two
alternative-choice-task, under conditions that required ESO and at the same time
excluded allocentric spatial orientation was used. Clozapine and olanzapine
treated rats made more errors than risperidone treated rats in the delayed
alternation in comparison with the controls. Motor abilities were not impaired by
any of the drugs. Thus, with regard to the delayed alternation requiring ESO,
clozapine and olanzapine but not risperidone affects the prefronto-striatal
system in a similar way as classical neuroleptics does.
PMID- 18045149
TI - Clearance of amyloid-beta peptide by neuronal and non-neuronal cells: proteolytic
degradation by secreted and membrane associated proteases.
AB - Deposition of amyloid-beta peptide (Abeta) in the brain is an early and invariant
feature of all forms of Alzheimer's disease (AD). As for all proteins or
peptides, the steady-state level of Abeta peptide is determined not only by its
production, but also by its degradation. So, overactive proteases involved in
generating Abeta from amyloid precursor protein or underactive Abeta-degrading
enzymes could lead to abnormal Abeta deposition in the brain. Since in the
sporadic forms of AD (90% of all AD cases) an impaired clearance of Abeta appears
to be at the origin of its aggregation and tissue deposition, we have
investigated its proteolytic degradation by several neuronal and non-neuronal
cells. In this report, we show that these cell types exhibit a similar profile of
Abeta-degradation by cell-surface and secreted proteases which were respectively
characterized as metallo- and serine proteases. By using a combination of the
liquid chromatography/on-line mass spectrometry, we demonstrate that: (i)-the
membrane associated protease(s) hydrolizes Abeta40 essentially at Lys(28)
Gly(29), Phe(19) Phe(20) and Val(18) Phe(19) bonds; and (ii)-the secreted
protease(s) cleaves the generating fragments Abeta (1-28), Abeta (1-19), Abeta (1
18) at His(14) Gln(15) bond and also Abeta (1-28) at Phe(20) Ala(21) and Asp(23)
Val(24) sites. This is the first time our results define a proteolytic
degradation process of Abeta40 that appears to be independent of the cell type
and may represent a general pattern of its enzymatic clearance.
PMID- 18045150
TI - Intracranial venous haemodynamics in multiple sclerosis.
AB - In multiple sclerosis (MS) plaques are known to be venocentric; in addition, MS
lesions and peripheral venous disorders share a number of key features. To date,
however, despite the anatomical relationship between MS lesions and the venous
system, no information on the intracranial venous haemodynamics of MS is
available. Eighty-nine consecutive MS patients (58 relapsing-remitting, 31
secondary progressive) matched with 60 controls underwent transcranial color
coded duplex sonography (TCCS). We assessed, in supine as well as in sitting
positions, the direction of flow at the activation of the thoracic pump in the
deep middle cerebral veins (dMCVs), and in the transverse sinus (TS). In the
dMCVs, we also measured peak systolic velocity (PSV), peak diastolic velocity
(PDV), as well as the resistance index (RI). Reflux/bidirectional flow rate was
significantly higher in the MS population determining also significant
differences in PDV, characterized by negative values (16.2+/-1 cm/sec in controls
vs. -1.3 +/-2.6 cm/sec in MS, respectively, p<0.0001). Consequently, RI was
dramatically increased in the MS group, affecting impedance of cerebral venous
drainage (0.48+/-0.04 in controls vs. 1.1 +/-0.08 in MS, respectively p<0.0001).
Therefore, the detection of reflux directed toward the subcortical grey matter
was significantly associated to highest disability scores (p < 0.0001). Our study
of MS patients demonstrated significant haemodynamic alterations detected in
veins anatomically related to plaque disposition. Our findings should contribute
towards understanding the role of altered venous flow and tissue drainage in the
MS inflammatory chain, as well as in the neurodegenerative process.
PMID- 18045151
TI - Effects of an acute treatment with L-thyroxine on memory, habituation, danger
avoidance, and on Na+, K+ -ATPase activity in rat brain.
AB - Thyroid hormones (THs) have a relevant action on brain development and
maintenance. By using an acute treatment to induce a hyperthyroid animal model,
we aimed at investigating the effect of an altered THs levels on learning and
memory and on the activity of Na(+), K(+)-ATPase in the rat brain. Our results
have shown that the acute treatment with L-T4 did not alter the retrieval of the
inhibitory avoidance task, but had a significant effect on the elevated plus maze
and on open-field performance in rats. We suggest that animals subjected to L-T4
administration improved the habituation to a novel environment as well as a
better evaluation of a dangerous environment, respectively. Na(+), K(+)-ATPase
activity is increased in parietal cortex (30%), but it is not altered in
hippocampus in L-T4 treated group. These both brain structures are involved in
memory processing and it was previously demonstrated that there is a double
dissociation between them for spatial location information, perceptual and
episodic memory. We propose the hypothesis that this increase of Na(+), K(+)
ATPase activity in parietal cortex may be correlated to our results in behavior
tests, which suggest a role of THs as well as of the Na(+), K(+)-ATPase in the
cognitive process.
PMID- 18045152
TI - Macrophage infiltration, lectin-like oxidized-LDL receptor-1, and monocyte
chemoattractant protein-1 are reduced by chronic HMG-CoA reductase inhibition.
AB - Statin reduces cerebrovascular events independent of its cholesterol lowering
effect. We hypothesized that statin inhibits early atherosclerotic change in
common carotid artery (CCA), and investigated its effect on lectin-like oxidized
LDL receptor-1 (LOX-1) and monocyte chemoattractant protein-1 (MCP-1) expression,
both of which are early atherosclerotic markers. Stroke-prone spontaneous
hypertensive rats (SHR-SP) of 8 weeks old were orally treated with vehicle or
simvastatin (20mg/kg) daily. After 4 weeks of simvastatin or vehicle treatment,
or 2 weeks of vehicle and 2 weeks of simvastatin treatment, CCA was removed. LOX
1 and MCP-1 expression as well as macrophage infiltration were histologically
investigated. Lipid deposition was also investigated by Sudan III staining.
Simvastatin groups showed significantly smaller amount of lipid deposition and
LOX-1 and MCP-1 expression, independent of serum lipid levels. Macrophage
infiltration was also decreased. Reduction of cerebrovascular events by statins
may be brought by the direct inhibition of atherosclerotic change.
PMID- 18045153
TI - Increased neuronal injury in transgenic mice with neuronal overexpression of
human cyclooxygenase-2 is reversed by hypothermia and rofecoxib treatment.
AB - Cyclooxygenase-2 (COX-2) is up-regulated during ischemia. However, the role of
COX-2 in neuronal injury is still unclear. In this study we tested whether
neuronal overexpression of human COX-2 in a transgenic mouse model potentiates
neuronal injury after global ischemic insult. Further, we tested whether the
neuronal injury could be ameliorated by intra-ischemic mild hypothermia (33-34
degrees C) alone or in combination with diet treatment of rofecoxib, a COX-2
specific inhibitor. Global ischemia with intra-ischemic normothermia (36-37
degrees C) resulted in significantly higher neuronal damage in the CA1 region of
hippocampus of transgenic mice than in wild type controls, confirming a
deleterious role of COX-2 in ischemic neuronal damage. Hypothermia significantly
reduced neuronal damage in both transgenic mice and wild type controls to the
same extent, suggesting that the aggravating effect of COX-2 could be largely
eliminated by hypothermia. When hypothermia was combined with rofecoxib
treatment, neuronal damage was further reduced in response to global ischemia.
The results suggest that COX-2 inhibition by prophylactic treatment with
rofecoxib coupled with hypothermia at the time of acute stroke insult could be an
effective therapeutic approach in early stages of stroke treatment in high risk
patients.
PMID- 18045154
TI - Alterations in cerebral metabolomics and proteomic expression during sepsis.
AB - The cause of brain dysfunction during sepsis and septic encephalopathy is still
under ongoing research. Sepsis induced changes in cerebral protein expression may
play a significant role in the understanding of septic encephalopathy. The aim of
the present study was to explore cerebral proteome alterations in septic rats.
Fifty-six male Wistar rats were randomly assigned to a sepsis group (coecal
ligature and puncture, CLP) or a control group (sham). Surviving rats were killed
24 or 48 hours after surgery and whole-brain lysates were used for two
dimensional gel electrophoresis and subsequent protein identification.
Differentially expressed proteins were identified by mass spectrometry. Using the
Ingenuity Pathways Analysis (IPA) tool, the relationship and interaction between
the identified proteins was analyzed. Mortality was 53 % in septic rats. No rat
of the control group was lost. More than 1,100 spots per gel were discriminated
of which 29 different proteins were significantly (2-fold, P<0.01) changed: 24
proteins down-regulated after 24 hours; two proteins up-regulated and three down
regulated after 48 hours. IPA identified 11 of 35 differentially regulated
proteins allocating them to an existing inflammatory pathway. In the analysis of
septic rat brains, multiple differentially expressed proteins associated with
metabolism, signaling, and cell stress can be identified via proteome analysis,
that may help to understand the development of septic encephalopathy.
PMID- 18045155
TI - Cardiac dys-synchronization and arrhythmia in hyperhomocysteinemia.
AB - Although cardiac synchronization is important in maintaining myocardial
performance, the mechanism of dys-synchronization in ailing to failing myocardium
is unclear. It is known that the cardiac myocyte contracts and relaxes
individually; however, it synchronizes only when connected to one another by low
resistance communications called gap junction protein (connexins) and extra
cellular matrix (ECM). Therefore, the remodeling of connexins and ECM in heart
failure plays an important role in cardiac conduction, synchronization and
arrhythmias. This review for the first time addresses the role of systemic
accumulation of homocysteine (Hcy) in vasospasm, pressure and volume overload
heart failure, hypertension and cardiac arrhythmias. The attenuation of calcium
dependent mitochondrial (mt), endothelial and neuronal nitric oxide synthase
(mtNOS, eNOS and nNOS) by Hcy plays a significant role in cardiac arrhythmias.
The signal transduction mechanisms in Hcy-induced matrix metalloproteinase (MMP)
activation in cardiac connexin remodeling are discussed.
PMID- 18045158
TI - Neurogenesis in the adult brain: implications for Alzheimer's disease.
AB - The function of neurogenesis in the adult brain is still unknown. Interventions
such as environmental enrichment and exercise impinge on neurogenesis, suggesting
that the process is regulated by experience. Conversely, a role for neurogenesis
in learning has been proposed through 'cellular plasticity', a process akin to
synaptic plasticity but operating at the network level. Although neurogenesis is
stimulated by acute injury, and possibly by neurodegenerative processes such as
Alzheimer's disease (AD), it does not suffice to restore function. While the role
and direction of change in the neurogenic response at different stages of AD is
still a matter of debate, it is possible that a deficit in neurogenesis may
contribute to AD pathogenesis since at least one of the two regions ostensibly
neurogenic in the adult human brain (the subgranular zone of the dentage gyrus
and the ventriculo-olfactory neurogenic system) support high-level functions
affected in early AD (associative memory and olfaction respectively). The age of
onset and the rate of progression of sporadic forms of AD are highly variable.
Sporadic AD may have a component of insufficient neurogenic replacement or
insufficient neurogenic stimulation that is correlated with traits of personal
history; the rate of neurogenesis and the survival of replicating progenitors is
strongly modified by behavioral interventions known to impinge on the rate of
neurogenesis and the probability of survival of newly born neurons--exercise,
enriched experience, and learning. This view is consistent with epidemiological
data suggesting that higher education and increased participation in
intellectual, social and physical aspects of daily life are associated with
slower cognitive decline in healthy elderly ("cognitive reserve") and may reduce
the risk of AD. Although neurogenesis can be modulated exogenously by growth
factors, stimulation of neurogenesis as a mean to treat neurodegeneration is
still for the most part speculative. Moreover, it is possible that different
roles of neurogenesis during the course of AD are dictated by the degree of
permissibility of the environment in which the process is taking place. A unique
opportunity may exist in which the therapeutic stimulation of neurogenesis might
contribute to functional 'repair' of the adult diseased brain, before damage to
whole neuronal networks has ensued. In spite of the considerable gaps in our
knowledge of neurogenesis, and of the considerable limitations that will need to
be overcome before we can intervene in the process, that new neurons are added
continuously to the adult mammalian brain is a discovery that has already changed
the way we think about neurobiology, and may soon change the way we understand
and approach neurodegenerative diseases such as AD.
PMID- 18045159
TI - Regulation of neurogenesis and gliogenesis by stress and antidepressant
treatment.
AB - Structural and morphological changes in limbic brain regions are associated with
depression, chronic stress and antidepressant treatment, and increasing evidence
supports the hypothesis that dysregulation of cell proliferation contributes to
these effects. We review the morphological alterations observed in two brain
regions implicated in mood disorders, the prefrontal cortex and hippocampus, and
discuss the similarities and differences of the cellular consequences of chronic
stress. We briefly discuss the proposed mechanisms implicated in neuroplasticity
impairments that result from stress and that contribute to mood disorders, with a
particular interest in adult neurogenesis and gliogenesis. This information has
contributed to novel antidepressant medication development that utilizes adult
neurogenesis and gliogenesis as preclinical cellular markers for predicting
antidepressant properties of novel compounds.
PMID- 18045156
TI - "Sly as a FOXO": new paths with Forkhead signaling in the brain.
AB - The Forkhead transcription factor FOXO3a has emerged as a versatile target for
diseases that impact upon neuronal survival, vascular integrity, immune function,
and cellular metabolism. Enthusiasm is high to fill a critical treatment void
through FOXO3a signaling for several neurodegenerative disorders that include
aging, neuromuscular disease, systemic lupus erythematosus, stroke, and diabetic
complications. Here we discuss the influence of FOXO3a upon cell survival and
longevity, the intricate signal transduction pathways of FOXO3a, insights into
present disease models, and the potential clinical translation of FOXO3a
signaling into novel therapeutic strategies.
PMID- 18045160
TI - Neurogenesis and stroke.
AB - Stroke stimulates neurogenesis in select regions of the adult brain, and the
newborn neurons that result can migrate to areas of ischemic injury, where they
may have the capacity to enhance brain recovery. These observations suggest that
stroke-induced neurogenesis may contribute to endogenous brain repair after
stroke, and that the mechanisms that underlie neurogenesis may represent
potential therapeutic targets. Alternatively, transplantation of exogenously
derived neural cells might also be an approach to the treatment of stroke.
PMID- 18045161
TI - Adult neurogenesis and Parkinson's disease.
AB - Parkinson's disease is a neurodegenerative disorder characterized by a
progressive neuronal loss affecting preferentially the dopaminergic neurons of
the nigrostriatal projection. Transplantation of fetal dopaminergic precursor
cells has provided the proof of principle that a cell replacement therapy can
ameliorate clinical symptoms in affected patients. Recent years have provided
evidence for the existence of neural stem cells with the potential to produce new
neurons, particularly of a dopaminergic phenotype, in the adult mammalian brain.
Such stem cells have been identified in so called neurogenic brain areas, where
neurogenesis is constitutively ongoing, but also in primarily non-neurogenic
areas, such as the midbrain and the striatum, where neurogenesis does not occur
under normal physiological conditions. We review here presently published
evidence to evaluate the concept that endogenous neural stem cells may have the
potential to be instrumentalized for a non-invasive cell replacement therapy with
autologous neurons to repair the damaged nigrostriatal dopaminergic projection in
Parkinson's disease.
PMID- 18045162
TI - Cellular niches for endogenous neural stem cells in the adult brain.
AB - Neural stem cells are present throughout life and continuously give rise to new
neurons and glia cells in the mammalian central nervous system. Accumulating
evidence suggests essential roles of micro-environments, or niches, in supporting
active neurogenesis from endogenous neural stem cells within restricted regions
of the adult brain. These neurogenic niches also regulate different steps of
adult neurogenesis in response to physiological and pathological stimulations.
Recent studies have identified several cellular niche components, including
endothelial cells, astroglia, ependymal cells, immature progeny of NSCs and
mature neurons. In this review, we discuss identified niche signals from these
cellular components in regulating different steps of adult neurogenesis. We also
highlight some of the potential therapeutic targets to be manipulated within
neurogenic niche for repair of the adult central nervous system.
PMID- 18045163
TI - Could hippocampal neurogenesis be a future drug target for treating temporal lobe
epilepsy?
AB - The dentate gyrus, a region of the hippocampal formation, displays the highest
level of plasticity in the brain and exhibits neurogenesis all through life.
Dentate neurogenesis, believed to be essential for learning and memory function,
responds to physiological stimuli as well as pathological situations. The role of
dentate neurogenesis in the pathophysiology of temporal lobe epilepsy (TLE) has
received increased attention lately because of its disparate response in the
early and chronic stages of the disease. Acute seizures or status epilepticus
immensely enhance dentate neurogenesis and lead to an aberrant migration of newly
born neurons into the dentate hilus and the formation of epileptogenic circuitry
in the injured hippocampus. Conversely, spontaneous recurrent seizures that arise
during chronic TLE are associated with dramatically reduced dentate neurogenesis.
In this review, we discuss the potential significance of enhanced but abnormal
neurogenesis taking place shortly after brain injury or the status epilepticus
towards the development of chronic epilepsy, and prospective implications of
dramatically waned dentate neurogenesis occurring during chronic epilepsy for
learning and memory function and depression in TLE. Furthermore, we confer
whether hippocampal neurogenesis is a possible drug target for preventing TLE
after brain injury or the status epilepticus, and for easing learning and memory
impairments during chronic epileptic conditions. Additionally, we discuss some
possible drugs and approaches that need to be evaluated in future in animal
models of TLE to further understand the role of neurogenesis in the pathogenesis
of TLE and whether modulation of neurogenesis is useful for treating TLE.
PMID- 18045164
TI - Neurotransmitter regulation of adult neurogenesis: putative therapeutic targets.
AB - The evidence that new neuron addition takes place in the mammalian brain
throughout adult life has dramatically altered our perspective of the potential
for plasticity in the adult CNS. Although several recent reports suggest a latent
neurogenic capacity in multiple brain regions, the two major neurogenic niches
that retain the ability to generate substantial numbers of new neurons in adult
life are the subventricular zone (SVZ) lining the lateral ventricles and the
subgranular zone (SGZ) in the hippocampal formation. The discovery of adult
neurogenesis has also unveiled a novel therapeutic target for the repair of
damaged neuronal circuits. In this regard, understanding the endogenous
mechanisms that regulate adult neurogenesis holds promise both for a deeper
understanding of this form of structural plasticity, as well as the
identification of pathways that can serve as therapeutic targets to manipulate
adult neurogenesis. The purpose of the present review is to discuss the
regulation of adult neurogenesis by neurotransmitters and to highlight the
relevance of these endogenous regulators as targets to modulate adult
neurogenesis in a clinical context.
PMID- 18045165
TI - Ion channels as a target for drug design.
PMID- 18045166
TI - The impact of sub-cellular location and intracellular neuronal proteins on
properties of GABA(A) receptors.
AB - Most studies of GABA(A) receptor accessory proteins have focused on trafficking,
clustering and phosphorylation state of the channel-forming subunits and as a
result a number of proteins and mechanisms have been identified that can
influence the GABA(A) channel expression and function in the cell plasma
membrane. In the light of a growing list of intracellular and transmembrane
neuronal proteins shown to affect the fate, function and pharmacology of the
GABA(A) receptors in neurons, the concept of what constitutes the native GABA(A)
receptor complex may need to be re-examined. It is perhaps more appropriate to
consider the associated proteins or some of them to be parts of the receptor
channel complex in the capacity of ancillary proteins. Here we highlight some of
the effects the intracellular environment has on the GABA-activated channel
function and pharmacology. The studies demonstrate the need for co-expression of
accessory proteins with the GABA(A) channel-forming subunits in heterologous
expression systems in order to obtain the full repertoire of GABA(A) receptors
characteristics recorded in the native neuronal environment. Further studies e.g.
on gene-modified animal models are needed for most of the accessory proteins to
establish their significance in normal physiology and in pathophysiology of
neurological and psychiatric diseases. The challenge remains to elucidate the
effects that the accessory proteins and processes (e.g. phosphorylation) plus the
sub-cellular location have on the "fine-tuning" of the functional and
pharmacological properties of the GABA(A) receptor channels.
PMID- 18045167
TI - Multiple modes of a-type potassium current regulation.
AB - Voltage-dependent potassium (K+) channels (Kv) regulate cell excitability by
controlling the movement of K+ ions across the membrane in response to changes in
the cell voltage. The Kv family, which includes A-type channels, constitute the
largest group of K+ channel genes within the superfamily of Na+, Ca2+ and K+
voltage-gated channels. The name "A-type" stems from the typical profile of these
currents that results form the opposing effects of fast activation and
inactivation. In neuronal cells, A-type currents (I(A)), determine the interval
between two consecutive action potentials during repetitive firing. In cardiac
muscle, A-type currents (I(to)), control the initial repolarization of the
myocardium. Structurally, A-type channels are tetramers of alpha-subunits each
containing six putative transmembrane domains including a voltage-sensor. A-type
channels can be modulated by means of protein-protein interactions with so-called
beta-subunits that control inactivation voltage sensitivity and other properties,
and by post-transcriptional modifications such as phosphorylation or oxidation.
Recently a new mode of A-type regulation has been discovered in the form of a
class of hybrid beta-subunits that posses their own enzymatic activity. Here, we
review the biophysical and physiological properties of these multiple modes of A
type channel regulation.
PMID- 18045168
TI - The NMDA receptor/ion channel complex: a drug target for modulating synaptic
plasticity and excitotoxicity.
AB - A recent search on PubMed for the phrase NMDA receptor results in 2,190 hits on
this topic for review articles and 20,100 hits for experimental papers. This is a
direct reflection of the intensiveness, significance, and complexity associated
with the research on this key receptor protein over the last several decades. In
this review, we briefly describe the NMDA receptor structure, discuss the role of
NMDA receptors in modulating synaptic plasticity and excitotoxicity, explore age
dependent changes in NMDA receptor functioning, and survey interesting NMDA
receptor blockers. Given the huge existing literature on the subject, an
exhaustive review has not been endeavored. Instead, an attempt was made to point
out those studies that have been instrumental in the field or that are of special
interest.
PMID- 18045169
TI - Developing new anti-arrhythmics: clues from the molecular basis of cardiac
ryanodine receptor (RyR2) Ca2+-release channel dysfunction.
AB - Sudden cardiac death (SCD) remains a major cause of mortality, and despite our
knowledge of the causative genetic, molecular and biochemical cellular mechanisms
involved, effective therapeutic strategies are lacking. Perturbations in cardiac
Ca2+ handling promote arrhythmias and there is enormous interest in developing
new anti-arrhythmics aimed at correcting Ca2+ release dysfunction. In particular,
abnormal Ca2+ release arising as a result of acquired or genetic defects in
cardiac ryanodine receptors (RyR2) has emerged as an important arrhythmogenic
trigger in heart failure, and in a devastating genetic arrhythmia syndrome termed
catecholaminergic polymorphic ventricular tachycardia (CPVT). Here, we evaluate
how experimental insights into RyR2 structure-function are unravelling the
precise molecular basis of channel dysfunction and are advancing the development
of new therapeutic strategies. We also discuss the functional role of RyR2 in the
context of the exquisite synergism existing between numerous cellular components
involved in cardiac Ca2+ signalling, and how these complex interactions may be
used to design new anti-arrhythmic approaches that target multiple facets of RyR2
regulation.
PMID- 18045170
TI - Functional domains of aquaporin-1: keys to physiology, and targets for drug
discovery.
AB - Aquaporins (AQPs) are expressed in physiologically essential tissues and organs
in which edema and fluid imbalances are of major concern. Potential roles in
brain water homeostasis and edema, angiogenesis, cell migration, development,
neuropathological diseases, and cancer suggest that this family of membrane
proteins is an attractive set of novel drug targets. A problem in pursuing
therapeutic and basic research strategies for dissecting contributions of AQPs to
cell and tissue functions is that little is known regarding the pharmacology of
AQP channels; currently defined agents such as tetraethylammonium and phloretin
as blockers for aquaporins suffer from a lack of specificity and potency.
Subtypes of AQPs modulated by signaling pathways could enable discrete localized
control of fluid homeostasis, volume and morphology in cells and intracellular
organelles, and might be found to participate in many different aspects of
physiology, such as the control of paracellular permeability, process extension,
growth, migration, and other responses involving changes in cell shape or surface
to volume ratios. Recognizing that AQP1 is a water channel and, under permissive
conditions, also a cGMP-gated cation channel, evidence in various tissues for a
coupling of the cGMP signaling cascade to a physiological outcome that might
involve AQP1 dual ion-and-water channel functions is of interest. Groundbreaking
advances in defining aquaporin gating mechanisms suggest conformational changes
are important elements in regulation and gating across classes of aquaporins.
With a rapidly expanding knowledge of aquaporin structure and functional
regulation, new avenues for manipulation of aquaporin channels are likely to be
discovered. In parallel, a discovery for novel compounds with specificity and
potency for aquaporins is a compelling goal. The need for pharmacological agents
to dissect the roles of aquaporins in physiological and pathological processes is
a clear call for further research in the field.
PMID- 18045171
TI - Involvement of potassium and chloride channels and other transporters in volume
regulation by spermatozoa.
AB - Spermatozoa produced in the testis undergo maturation in the epididymis which
secretes an osmolyte-rich fluid that bathes the sperm cells. These cells need to
maintain their volume after ejaculation when they first encounter hypo-osmolal
environments of accessory gland fluids and later within the female tract. If they
do not, they experience swelling that is manifested in flagellar angulation that
prevents their passage through cervical mucus or the uterotubal junction and they
never reach the oocytes. This is a cause of male infertility in domestic species
and certain infertile transgenic mice in which flagellar angulation has been
shown to indicate cell swelling as a consequence of reduced epididymal provision
of osmolytes. The reduced volume regulating ability of spermatozoa from
subfertile boars and bulls has prompted study of volume regulation of spermatozoa
as a possible cause of human male infertility. Understanding this process may
make its manipulation possible and could suggest better sperm handling and
storage techniques and thus provide therapy for infertile patients. On the other
hand, volume regulation is a potential target for contraception if mimicking the
conditions expressed by the "sterile studs" were possible. The evidence for the
presence of ion channels probably responsible for regulatory volume decreases in
spermatozoa is reviewed here that implicate voltage-gated potassium channels
(especially Kv1.5 (KCNA5), minK (KCNE1) and TASK2 (KCNK5)) and the chloride
channels CLCN3 and CLNS1A. The involvement of ion co-transporters in volume
regulation of spermatozoa is also discussed.
PMID- 18045172
TI - M2 protein-a proton channel of influenza A virus.
AB - Recent outbreaks of highly pathogenic avian influenza A virus infections (H5 and
H7 subtypes) in poultry and humans have raised concerns that a new influenza
pandemic will occur in near future. Currently, four antivirals have proven
efficacy in the treatment and prophylaxis of influenza A infections: two M2
inhibitors (amantadine and rimantadine) and two neuraminidase inhibitors
(zanamivir and oseltamivir). Early treatment with antivirals reduces the duration
of symptoms and the time to recovery by one to two days. However, when antivirals
are used for the treatment the antiviral resistance develops rapidly, limiting
their use. There is an urgent need for research on newer antiviral agents and
"universal" vaccine against influenza virus. The M2 protein from the influenza A
virus forms a proton channel in the virion and is essential for infection. As a
relatively conserved protein, the M2 protein seems to be a suitable candidate for
development of a new generation of vaccine or antiviral agents. This review
describes the role of the M2 ion channel in virus replication and the structure
function relationship of the channel.
PMID- 18045173
TI - Purinergic (P2) receptor control of lower genitourinary tract function and new
avenues for drug action: an overview.
AB - Micturition, penile erection, contraction of prostatic smooth muscle, peristalsis
of the male excurrent duct system and lumbosacral spinal cord neurotransmission
all require adenosine 5'-triphosphate (ATP) activity and this likely involves
purinergic (P2) receptors. P2 receptors are categorized as either ligand-gated
ionotropic P2X or metabotropic G-protein-coupled P2Y subtypes. In the urinary
bladder, purinergic receptor mechanisms are involved in both motor and sensory
function. In the prostate, P2X1-receptors, which mediate contraction, are present
in the fibromuscular stroma while G protein-coupled P2Y purinoceptors have a wide
range of actions in prostate cancer. In the excretory ducts of the testis (ductus
epididymidis, vas deferens and its associated seminal vesicles), heavy
immunostaining for P2X1 and P2X2 subtypes is detected in the membranes of smooth
muscle, suggesting their role in sperm transport and ejaculation. In the penis,
intense P2X1 and weak P2X2 immunoreactivity are observed in smooth muscle of
blood vessels and the corpus cavernosum, implying their participation in
detumescence. Human corporal cavernosum stimulation induces relaxation of P2Y
purinoceptors. Targeting of extracellular or intracellular P2X and/or P2Y
receptor signaling pathways holds promise in affecting the lower genitourinary
tract system. Our advancing knowledge about purine agonists and their
pharmacologic benefits in erectile, ejaculatory, urinary bladder and prostatic
hyperplasia may service clinical problems in the near future.
PMID- 18045174
TI - Therapeutic approaches in vascular repair induced by adult bone marrow cells and
circulating progenitor endothelial cells.
AB - Strong evidence indicates that bone marrow cells (BMCs) can contribute to the
healing process of injured vascular system via CXCR4/Thymosin beta4/Integrin
alpha4beta1/SDF-1 molecular pathways. We discuss the therapeutic approaches of
BMCs and circulating endothelial progenitor cells (EPCs) to restore
vascularization. Today some clinical trials employing BMCs in the treatment of
peripheral vascular diseases have been completed with encouraging results. When
large clinical controlled studies will be completed, the scientific community
will evaluate this novel and promising therapeutic approach. Although some basic
studies suggest the potential use of adult/somatic stem cell for vascular repair,
other stringent data suggest that this potential is dependent also on growth
factor synthesis rather than the formation of new arterial vessels. Considering
the limitations of adult stem cells especially in elderly subjects, our point of
view is that BMCs or exogenous BMC/EPC are candidate for adjunct cell-therapy
applications in vascular repair.
PMID- 18045175
TI - Novel pharmaceutical approaches for treating patients with cystic fibrosis.
AB - Before the cloning of the CFTR gene in 1989, there were relatively few treatment
options for the many phenotypes associated with cystic fibrosis (CF). The
advancement of research in areas such as immunology, molecular biology and
pharmacology have provided new insights into the mechanism and evolution of CF.
More than 40 systematic clinical trials evaluating new therapies for CF are
presently registered with the NIH. A great deal of effort is focused on the main
cause of mortality: chronic and persistent lung infections. Intestinal
malabsorption, pancreatic insufficiency, reduced bone mineral density and
reproductive abnormalities are other manifestations of this disease that have
been targeted by innovated treatments which are giving renewed hope to CF
patients and their families. The following review is a summary of the novel
pharmaceutical approaches for the treatment of cystic fibrosis aimed at improving
both the quality and the longevity of the lives of patients afflicted with this
devastating disease.
PMID- 18045177
TI - Liver transplantation for hepatocellular carcinoma: current role and future
opportunities.
AB - Hepatocellular carcinoma (HCC) is the most common primary malignancy of the
liver. It represents the fifth most common cancer worldwide, and one whose
incidence is on the rise. Liver cancer is the third most common cause of cancer
mortality globally and thus a major health concern worldwide. Therapeutic options
for this tumor include surgical resection, local ablative therapies, and systemic
treatment. Liver transplantation has emerged as a highly effective treatment for
patients with HCC, particularly in the setting of significant underlying liver
disease. Current protocols in transplantation for this tumor utilize strict size
criteria and staging (TNM classification) to select patients for this therapy.
Selection criteria for liver transplantation for HCC that are accepted in the
U.S. include: 1 tumor < 5cm, no greater than three tumor nodules, each less than
3cm in diameter 3) no macroscopic invasion of blood vessels or lymph nodes, and
no extra-hepatic spread of tumor. Eligibility criteria and immunosuppression
strategies are continuing to evolve in this field. Nonetheless, in appropriately
selected patients, liver transplantation may provide a cure for HCC with survival
rates equal to that of liver transplantation for end-stage liver disease (ESLD)
from other causes. Liver transplantation has been established as one of the
principal treatment modalities for this difficult disease.
PMID- 18045178
TI - Transcutaneous treatments of hepatocellular carcinoma in patients with cirrhosis:
present status and future developments.
AB - Hepatocellular carcinoma is in 90% of cases associated with cirrhosis and to
preserve liver function while destroying the tumor is a main issue in these
patients justifying the development of local percutaneous ablative therapies.
Alcoholization and radiofrequency ablation are the most widely used techniques of
percutaneous ablation. Both have in common limitations linked to the size of the
tumor and its situation and contra indications such has advanced liver disease
and unpaired hemostasis. Radiofrequency ablation despite specific contra
indications such as a close vicinity of the colon has shown better results in
term of tumor necrosis local recurrence and even survival. For tumors less than
3cm in diameter results are comparable to those of surgical resection with a
lesser morbidity. Due to this equivalence and the multiple contra indications of
surgical resection in patients with cirrhosis radiofrequency ablation is becoming
an increasingly popular technique for treating small hepatocellular carcinoma
detected by screening.
PMID- 18045179
TI - Molecular pathways and related target therapies in liver carcinoma.
AB - Hepatocellular carcinoma (HCC) is a frequent neoplasia which still misses a
therapeutical gold standard. Recently, new acquisitions in cancerogenesis process
evidenced the genetic and epigenetic alterations of genes involved in the
different metabolic pathways of liver cancer suggesting that antibodies, small
molecules, demethylating agents, etc. specifically acting against molecular
target can be utilized alone or in combination in clinical practice. The main
altered targets are: cell membrane receptors, in particular tyrosine kinase
receptors, factors involved in cell signalling, specifically Wnt/beta-catenin,
Ras/Raf/MEK/ERK and PI3K/Akt/mTOR pathways, proteins linked to cell cycle
regulation pathway (i.e. p53, p16/INK4, cyclin/cdk complex) or in invasiveness
(EMT, TGFbeta) and proteins involved in DNA metabolism. Genetic or epigenetic
changes in these molecules have been used in preclinical settings and, some of
them also in clinical trials of phase II and III. This scenario opens new avenues
for the prevention and the treatment of HCC. In the present review the main
metabolic pathways and molecular alterations have been described together with
recent advances in molecular and gene therapy.
PMID- 18045180
TI - Rationale for new drugs targeting the tissue microenvironment in patients with
HCC.
AB - A better knowledge of the mechanisms underlying hepatocellular carcinoma (HCC)
growth and spread is essential to improve the available treatment options. So
far, the only therapies available for HCC are mainly based on tumor-destructive
approaches, whereas no therapies are available to consolidate these invasive
therapies or to cure the tumor. The fact that HCC develops on cirrhotic liver
strongly limits the use of common anti-cancer drugs, but the need to find new
therapies is strongly felt by clinicians. A large body of evidence suggests that
the tissue microenvironment represents a potential target for therapies.
Consistently, biological therapies such as inhibitors of the epithelial growth
factor receptor (EGFR), are currently under investigation. Unfortunately, there
is a discrepancy between the very promising experimental data and the results
obtained in patients, although limited sample sizes and advanced stage of the
disease could be important factors hampering a reliable judgment of the efficacy
of such drugs. Nevertheless, a better identification of the molecular pathways
involved in drug effectiveness as well as in HCC tumor progression indicates that
the tissue microenvironment likely harbors the solution to the problem. In this
review the role and the rationale of using biological drugs to target the
microenvironment is discussed, taking into consideration new experimental
advances in the field.
PMID- 18045181
TI - The development of targeted therapies for hepatocellular cancer.
AB - Present treatment options for hepatocellular cancer (HCC) are limited to those
individuals with good liver function and early stage disease. Unfortunately this
includes only a minority of patients, few of which are actually cured of their
cancer. Over the last 15-20 years biotechnology has made a very significant
impact on medical research, to the extent that we know very much more about the
regulation of normal cell growth and death, as well as the mechanisms underlying
its disruption in disease processes. This knowledge has and is being rapidly
exploited by academic and pharmaceutical organisations, often in collaboration.
The result is the development, testing and steady introduction of therapies that
target specific abnormalities in cancer cells. Although the safety and
effectiveness of the majority of these agents has yet to be established in
cirrhotic patients with HCC, we are hopeful that we will shortly see an increase
in effective treatment options available for clinical use this disease. This
review focuses on aberrant cancer proteins and pathways relevant to HCC, as well
as the novel therapies or strategies targeting them, that are currently in the
development or testing stages.
PMID- 18045182
TI - Tyrosine kinase inhibitors: a potential approach to the treatment of
hepatocellular carcinoma.
AB - The increasing number of patients with hepatocellular carcinoma (HCC) and the
highly unfavourable prognosis of the disease are two important reasons why more
effort needs to be devoted to investigating other therapies able to block or
reduce tumor progression and cancer metastasis. The underlying cirrhosis on which
HCC develops limits the use of common chemotherapies, mainly because of their
toxicity. Recently, great attention has been paid to a family of molecules that
inhibits the tyrosine kinase (TK) receptors, because of their relevant role in
activating intracellular pathways responsible for several biological activities
of the HCC cells. In particular, proliferation, invasion, survival, apoptosis,
are regulated by Erk1/2 and Akt pathways, that can be considered for this reason
as potential therapeutic targets. Therefore, the idea is to fight HCC by blocking
the molecular mechanisms exploited by the cancer to develop and to metastasize.
The epithelial growth factor and the vascular endothelial growth factor receptors
(EGFR and VEGFR, respectively) have been identified as the major targets for
these new therapies. In this review the biological role of both growth factors in
HCC will be discussed, together with the use of anti-EGFR and anti-VEGFR. The
preliminary results obtained in vitro or in "in vivo" experimental models have
been very promising, whereas the few studies conducted in patients have been not
comparably satisfactory. This could be because of the limited number of patients
and of their advanced stage of HCC, nevertheless the possibilities of using this
family of drugs should be further explored, together with aspects contributing to
a better understanding of the molecular mechanisms driving HCC progression.
PMID- 18045183
TI - Cyclooxygenase-2 (COX-2)--a therapeutic target in liver cancer?
AB - Targeting COX-2, a key-enzyme of the prostaglandin metabolism, for the treatment
of cancer has been in the focus of researchers for about a decade. However, only
recently has this topic been related to hepatocellular carcinoma (HCC). HCC is
one of the most common cancers and a growing health problem worldwide. At
present, only few promising treatment options are available, accentuating the
urgent need for novel therapeutic approaches. Since the first report of COX-2
overexpression in HCC, several findings support the notion that selective COX-2
inhibition proves to be beneficial in this malignancy. This review focuses on
recent discoveries regarding the pro-tumorigenic potential of COX-2 in HCC and
the functional effects of COX-2 inhibition on molecular mechanisms of this
malignancy. Of clinical interest, promising data from in vivo experiments and
case studies suggest a beneficial effect of COX-2 inhibitors for HCC- therapy.
Detailed analysis of COX-2- activated pathways and related mechanisms may enable
the evaluation and design of even more specific and combinatorial treatment
approaches in the future.
PMID- 18045185
TI - New developments in MALDI imaging for pathology proteomic studies.
AB - With new emerging mass spectrometry technologies, it can now be demonstrated that
direct tissue analysis is feasible using matrix-assisted laser
desorption/ionization (MALDI) sources. A major advantage of direct MALDI analysis
is to avoid time-consuming extraction, purification or separation steps, which
have the potential for producing artifacts. Direct MALDI analysis of tissue
sections enables the acquisition of cellular expression profiles while
maintaining the cellular and molecular integrity. With automation and the ability
to reconstruct complex spectral data using imaging software, it is now possible
to produce multiplex imaging maps of selected bio-molecules within tissue
sections. Thus, direct MALDI spectral data obtained from tissue sections can be
converted into imaging maps, a method now known as MALDI-imaging. MALDI-imaging
combines the power of mass spectrometry, namely exquisite sensitivity and
unequivocal structural information, within an intact and unaltered morphological
context. Critical improvements to increase image resolution are presented in this
manuscript e.g., solvent treatment, new solid ionic matrices, gold sputtering,
nickel support or laser focalization. One of the most important developments is
the ability to carry out either direct MALDI analysis or MALDI imaging on
paraffin tissue sections, thus opening the path to an archival "gold-mine" of
existing pathology samples to proteomic analysis. These developments provide new
avenues for biomarker hunting and diagnostic follow-up in the clinical setting.
Further developments in MALDI-imaging of specific targets provide an added
dimension, as validated disease-marker-gene RNA transcripts can be analyzed along
with their translation by targeting their specific protein products or
metabolites. Disease/health states will thus be closely molecularly monitored at
protein and nucleic acids levels, with a single technique. Taken together, MALDI
imaging will become a key tool for pathology proteomic studies.
PMID- 18045186
TI - Mass spectrometric imaging of the nervous system.
AB - Mass spectrometric imaging (MSI) integrates multiple fields of analytical and
biomedical research with the goal of generating chemical maps that present the
identity and location of the elements, molecules, and molecular complexes that
comprise biological structures. Rapid advances in the development of MSI, which
include a broad range of sampling and mass spectrometry strategies, allow the
increasingly information-rich creation of chemical images of structurally complex
tissues, individual cells, and even single chromosomes. Here we describe a
variety of MSI techniques available to investigate the nervous system, with
particular focus on the capability of MSI to examine both normal and diseased
brain function. An important investigative tool, MSI offers tremendous potential
in fundamental studies of brain chemistry, localization of pharmaceutical
compounds, and the discovery of biomarkers for different neuropathologies.
PMID- 18045187
TI - Recent advances in biological tissue imaging with Time-of-flight Secondary Ion
Mass Spectrometry: polyatomic ion sources, sample preparation, and applications.
AB - Recent technological and methodological improvements have greatly enhanced the
sensitivity of the Time-of-flight Secondary Ion Mass Spectrometry (TOF-SIMS),
thus making this technique now very attractive in the field of molecular imaging
of biological samples such as tissue sections or cells. This paper reviews the
most recent advances in this field. After a short reminder of the basic physics
involved, the instruments are described, as well as the primary ion sources,
including the different cluster ion sources. The sample preparation methods are
also described and compared, such as the matrix coating and the metal coating.
The capabilities of the technique are finally illustrated with the most recent
applications published in the last years.
PMID- 18045188
TI - A snapshot of tissue glycerolipids.
AB - The lipid membrane is the portal to the cell and its first line of defense
against the outside world. Its plasticity, diversity and powers of accommodation
in a myriad of environments, mirrored by the varied make up of the cells it
protects, are unparalleled. Glycerophospholipids are one of its major components.
In cell membranes the extracellular layer is mainly made up of positively charged
glycolipids, while the intracellular one's main components are negatively
charged. Advances in mass spectrometry have allowed the direct probing of
tissues, and thus a direct approach to probing membranes make up was developed.
Until recently most studies have focused on proteins. An overview of the use of
matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOFMS) for the direct analysis of phospholipids in various tissue is
presented. Molecular ions corresponding to phosphatidylcholines, sphingomyelin,
phosphatidylethanolamines, phosphatidylserines, phosphatidylinositols and
sulfatides were mapped.
PMID- 18045190
TI - Rational combination of targeted therapies as a strategy to overcome the
mechanisms of resistance to inhibitors of EGFR signaling.
AB - The epidermal growth factor receptor (EGFR) has been widely used as a target for
novel anticancer agents, such as blocking antibodies and small molecular weight
tyrosine kinase compounds. In spite of recent advances in cancer cell biology,
leading to the introduction of clinically active new drugs, such as cetuximab,
panitumumab and erlotinib, unfortunately disease control remains unsuccessful due
to the presence of constitutive resistance to EGFR inhibitors in most patients
and the development of acquired resistance in the responders. A large number of
molecular abnormalities in tumor cells seem to partly contribute to their
resistance to anti-EGFR therapy: increased angiogenesis, constitutive activation
of downstream mediators, overexpression of other tyrosine kinase receptors.
Moreover, some mutations in the EGFR receptor kinase domain seem to play a
crucial role in determining the sensitivity of cancer cells to specific
inhibitors by altering the conformation of the receptor and its activity. The
development of rational combinations of anticancer agents and EGFR inhibitors,
able to exert synergistic cytotoxic interactions, has been widely accepted and
used in both preclinical and clinical studies. Although the failure of large
clinical trial based on empirical combination of anti-EGFR and classic
chemotherapeutic agents, several preclinical data seems to support the hypothesis
that combining EGFR inhibitors and other novel agents could efficiently inhibit
tumor growth and overcome intrinsic resistance to a single-agent based therapy.
This review focuses on the role of complementary signalling pathways in the
development of resistance to EGFR targeting agents and the rationale to combine
novel inhibitors as anticancer therapy.
PMID- 18045191
TI - Thioredoxin and thioredoxin reductase as redox-sensitive molecular targets for
cancer therapy.
AB - Tumor cell proliferation, de-differentiation, and progression depend on a complex
combination of altered intracellular processes including cell cycle regulation,
excessive growth factor pathway activation, and decreased apoptosis. Metabolites
from these processes result in significant cellular oxidative stress that must be
buffered to prevent permanent cell damage and cell death. Tumor cells depend on a
complex set of respiratory pathways to generate the necessary energy as well as
redox-sensitive pro-survival signaling pathways and factors to cope with and
defend against the detrimental effects of oxidative stress. It has been
hypothesized that redox-sensitive signaling factors such as thioredoxin reductase
1 (TR) and thioredoxin (TRX) may represent central pro-survival factors that
would allow tumor cells to evade the damaging and potentially cytotoxic effects
of endogenous and exogenous agents that induce oxidative stress. The overarching
theme of this review is an extension of the hypothesis that tumor cells use these
redox sensitive pro-survival signaling pathways/factors, which are up-regulated
due to increased tumor cell respiration, to evade the cytotoxic effects of
anticancer agents. These observations suggest that redox-sensitive signaling
factors may be potential novel molecular targets for drug discovery.
PMID- 18045192
TI - Valproic acid as anti-cancer drug.
AB - The short chain fatty acid valproic acid (VPA, 2-propylpetanoic acid) is approved
for the treatment of epilepsia, bipolar disorders and migraine and clinically
used for schizophrenia. In 1999, the first clinical anti-cancer trial using VPA
was initiated. Currently, VPA is examined in numerous clinical trials for
different leukaemias and solid tumour entities. In addition to clinical
assessment, the experimental examination of VPA as anti-cancer drug is ongoing
and many questions remain unanswered. Although other mechanisms may also
contribute to VPA-induced anti-cancer effects, inhibition of histone deacetylases
appears to play a central role. This review focuses on recent developments
regarding the anti-cancer activity of VPA.
PMID- 18045193
TI - Cancer control by phytochemicals.
AB - Chemoprevention is one of the most important strategy in the field of cancer
control. Molecular mechanism-based cancer chemoprevention by phytochemicals seems
to be very attractive method. In this review, possible molecular targets for
cancer prevention are overviewed, and some examples of cancer preventive
phytochemicals, such as carotenoids, are presented.
PMID- 18045194
TI - Anticancer drugs designed by mother nature: ancient drugs but modern targets.
AB - Nuclear factor-kappaBeta (NF-kappaBeta) is a transcription factor that is
activated in response to various inflammatory stimuli such as cytokines, growth
factors, hormones, mitogens, carcinogens, chemotherapeutic agents, viral
products, eukaryotic parasites, endotoxin, fatty acids, metals, radiation,
hypoxia, and psychological, physical, oxidative, and chemical stresses. In
addition, constitutively active NF-kappaBeta is frequently encountered in a wide
variety of tumors. Furthermore, NF-kappaBeta activation has been shown to
regulate the expression of over 400 genes involved in cellular transformation,
proliferation, inflammation, viral replication, antiapoptosis, angiogenesis,
invasion and metastasis, oxidative stress, and osteoclastogenesis. Therefore,
because of the critical role NF-kappaBeta plays in the pathogenesis of cancer,
specific inhibitors of this factor are being sought. Agents that prevent cancer
or inflammation have been found to suppress NF-kappaBeta activation. Numerous
reports indicate that ancient plants and their components are potent as NF-kappaB
inibitors. However, ancient medicine such as traditional Chinese medicine, Kampo,
Ayurveda requires rediscovery in light of our current knowledge of allopathic
(modern) medicine for the therapeutic and preventive purpose. In this review, we
present evidence that numerous agents identified from fruits and vegetables can
interfere with NF-kappaBeta pathway. The structure of drugs and their
relationship with NF-kappaBeta inhibitory activity is discussed.
PMID- 18045195
TI - Novel marine-derived anti-cancer agents.
AB - There is an immense diversity of marine plants and animals from which an
estimated 14,000 pharmacologically active compounds have been isolated. However,
in terms of clinically useful anti-cancer agents, the oceans remain as a largely
untapped resource. Indeed, there are currently only two compounds used in the
clinic that are derived from marine sources. These are cytarabine, which is a
deoxycitidine analogue and aplidine, which has both growth inhibitory and anti
angiogenic effects. This situation is likely to change rather dramatically in the
near future, as attention has focused on the vast diversity of available agents
from marine organisms. The increased pace of activity in this area has resulted
in a several clinical trials of promising compounds with the probability that
these will be followed by other drugs currently under preclinical development.
PMID- 18045196
TI - Aplidine: a paradigm of how to handle the activity and toxicity of a novel marine
anticancer poison.
AB - The marine ecosystem that has contributed to the discovery of cytarabine and its
fluorinated derivative gemcitabine is now considered the most productive toll to
acquire new natural derived anticancer entities. Few marine anticancer agents
have entered clinical development, including bryostatin-1, dolastatin 10,
LU103793, ET-743, kahalalide F, didemnin B and aplidine. The marine plitidepsin
aplidine derived from the mediterranean tunicate Aplidium albicans is a
synthetically produced anticancer agent that is structurally related to
didemnins. Aplidine's mechanism of action involves several pathways, including
cell cycle arrest, inhibition of protein synthesis and antiangiogenic activity.
Phase I studies have been reported for a number of several schedules including 1
hour, 3-hour and 24-hour infusion. Evidences of antitumor activity and clinical
benefit of aplidine in several tumor types were noted across phase I trials,
particularly in advanced medullar thyroid carcinoma. Phase II studies are
underway. Within the entire phase I program, dose-limiting toxicities of aplidine
were neuromuscular toxicity, asthenia, skin toxicity, and diarrhea.
Interestingly, no hematological toxicity was observed. Aplidine displayed a very
peculiar delayed neuromuscular toxicity that was found to be closely related to
the symptoms described in the adult form of carnitine palmitoyl transferase
deficiency type 2, which is a genetic disease treated with L-carnitine.
Consistently, concomitant administration of L-carnitine allowed to improve
aplidine-induce neuromuscular toxicity. In summary, aplidine is a novel marine
anticancer agent with a very particular delayed neuromuscular toxicity that
requires careful follow-up with promising antitumor activity.
PMID- 18045197
TI - Connecting a tumor to the environment.
AB - Tumor cells are not only susceptible to signals from the environment, but they
likewise release signal substances. It is well known that tumor cells secrete
angiogenic factors--most prominently the vascular endothelial growth factor-
which initiate the vascularization of the tumor for its nourishment. This process
has been termed neoangiogenesis. Besides this, two further processes have
recently been discovered that facilitate the interaction of the tumor with the
lymphatic system and the nervous system, named lymphangiogenesis and
neoneurogenesis. These three "geneses" have a cognate, in part common regulation
and conjointly promote metastasis development. Neoangiogenesis and
lymphangiogenesis provide the structures for the two routes of tumor cell
dissemination, i.e. either hematogenous or lymphatic. Neoneurogenesis
accomplishes the innervation of the tumor by the ingrowth of nerve endings into
the tumor and alternatively or additionally by the protection of existing nerve
cells from destruction. These tumor-innervating nerve cells may release
neurotransmitters which are proliferative or promigratory signals for the tumor
cells. Furthermore, nerve fibers are used as routes for tumor cell dissemination,
too, which is known as perineural invasion.
PMID- 18045198
TI - Structural factors influencing potency of currently used acetylcholinesterase
reactivators for treatment of cyclosarin intoxications.
AB - Cyclosarin is one member of nerve agent family. Recent treatment of intoxications
by organophosphorus compounds, such as nerve agents or pesticides, consists of
rapid administration of anticholinergics and AChE reactivators. Owing to the
threat of terroristic use of these compounds during last years, improvement of
antidotal therapy still continues. As the part of the development of new
antidotes, many new AChE reactivators were synthesized and currently some of them
are under consideration for introducing them to the medical practice. Their
biological activity depends, as in the case of other drugs, on their chemical
structure, which affects their pharmacokinetics (adsorption, distribution,
metabolism and excretion) and pharmacodynamics. In this review, we would like to
discuss relationship between structure of currently available AChE reactivators
and their potency to reactivate cyclosarin-inhibited AChE. All outlined
structural factors presented in this work should be helpful for the design of new
generation of reactivators of cyclosarin-inhibited AChE.
PMID- 18045199
TI - Synthesis and biological evaluation of 2-amino-3-(3', 4', 5'-trimethoxy
phenylsulfonyl)-5-aryl thiophenes as a new class of antitubulin agents.
AB - Bioisosterism represents one approach used by the medicinal chemist for the
rational modification of lead compounds into safer and more clinically effective
agents. Bioisosteres are substituents or groups that have chemical or physical
similarities and that produce broadly similar biological effects. The sulfone
moiety is recognized as a nonclassical bioisostere for replacement of the
carbonyl group. When sulfonyl derivatives 5a-e were compared with carbonyl
compounds 4a-e, the sulfone substitution dramatically decreased the
antiproliferative activity of the series.
PMID- 18045200
TI - Design, synthesis and biological evaluation of a series of thioamides as non
nucleoside reverse transcriptase inhibitors.
AB - A series of thioamides were designed as bio-isosteres to the non-nucleoside
reverse transcriptase inhibitor trovirdine by replacement of the thiourea NH
groups with methylene groups. Eight thioamides were synthesized and in vitro
tested for inhibitory effects on the activity of HIV-1 reverse transcriptase wild
and mutant types. Three of the 8-thioamides exhibited enzyme inhibitory
activities with IC(50) values below 100 microM. While compound (2) exhibited
activity against the mutant strain L100I with IC(50) of 70.1 microM, compound (4)
showed activity against the mutant strain K103N with IC(50) of 92.7 microM, and
compound (8) with activity against the wild type enzyme with IC(50) of 8.9
microM. Each of the three thioamides could serve as a lead compound for further
activity optimization.
PMID- 18045201
TI - Synthesis and anti-picornaviridae in vitro activity of a new class of helicase
inhibitors the N,N'-bis[4-(1H(2H)-benzotriazol-1(2)-yl)phenyl]
alkyldicarboxamides.
AB - A series N,N'-bis[4-(1H(2H)-benzotriazol-1(2)-yl)phenyl]alkyldicarboxamides (3a-f
and 5a-j) were prepared starting from their already known (1a-d) and (4a-c) or
new (4d) amine parents. Because of the antiviral activity of several N-[4-(1H(2H)
benzotriazol-1(2)-yl)phenyl]alkylcarboxamides previously reported, title
compounds were evaluated in vitro for cytotoxicity and antiviral activity against
viruses representative of Picornaviridae, [i.e. Enterovirus Coxsackie B2 (CVB-2)
and Polio (Sb-1)] and of two of the three genera of the Flaviviridae [Bovine
Viral Diarrhea Virus (BVDV) and Yellow Fever Virus (YFV)]. Furthermore, because
of the in silico activity against the RNA-dependent RNA-helicase of Polio 1
previously reported, title compounds were evaluated against the 3D model of the
Sb-1 helicase and against the 2D model of the CVB-2 helicase. As a reference we
used the antiviral and in silico activities of an imidazo counterpart of the
title compounds, N,N'-bis[4-(2-benzimidazolyl)phenyl]alkyldicarboxamides (III)
that other authors reported to be able to inhibit the corresponding enzyme of
Hepatitis C Virus (HCV). In cell-based antiviral assays, N,N'-bis[4-(1H
benzotriazol-1-yl)phenyl]alkyldicarboxamides (3a-f) resulted completely inactive
whereas the bis-5,6-dimethyl-benzotriazol-2-yl derivatives (5d-f) exhibited good
activity against the Enteroviruses, (EC(50)s ranged between 7 and 11 microM
against CVB-2 and 19-52 against Sb-1). Interestingly, bis-5,6-dichloro
benzotriazol-2-yl derivatives (5h-j) showed very selective activity against CVB-2
(EC(50)s = 4-11 microM) whereas they resulted completely inactive against all the
other viruses screened. In general, all title compounds showed a good
cytotoxicity profile in MT-4 cells. Molecular modeling investigations showed that
active compounds may interact with the binding site of the Sb-1 helicase and that
their free binding energy values are in agreement with their EC(50)s values.
PMID- 18045202
TI - Design, synthesis and pharmacological evaluation of HIV-1 reverse transcriptase
inhibition of new indolin-2-ones.
AB - The design, synthesis and anti HIV-1 replication inhibition of 3
(cyclopropylethynyl)-3-hydroxy-indolin-2-ones, analogues of efavirenz
(Sustivatrade mark), are described. Different substituted isatins were used to
generate final products that contain pharmacophoric features for RT inhibition,
such as the oxoindole and cyclopropylethynyl groups. The suitability of the
indolin-2-one ring in the planned compounds in replacement to the benzoxazinone
ring of efavirenz was proven, since compound 15 presented a greater activity than
efavirenz against HIV-1 replication and was not significantly cytotoxic.
PMID- 18045203
TI - The preparation and human muscarinic receptor profiling of oxybutynin and N
desethyloxybutynin enantiomers.
AB - Oxybutynin (1) is a non-selective muscarinic receptor antagonist that is used
clinically for the treatment of urinary incontinence. The major metabolite of
oxybutynin in humans is desethyloxybutynin (2). We have prepared the enantiomers
of 1 and 2 and evaluated their ability to displace N-CT(3)-scopolamine chloride
((3)H-NMS) binding on human cloned muscarinic m1-5 receptors. Compounds 1 and 2
potently displaced (3)H-NMS binding at m1, m3 and m4 receptors, but were less
potent at the m2 and m5 subtypes. However, metabolite 2 was more potent than the
parent compound 1 in the binding assay. In general the R enantiomers were more
potent than their respective S enantiomers. Therefore, we suggest that the
cholinergic side effects associated with 2 may be due to its greater apparent
potency with m1 and m3 receptors, especially of its R-enantiomer, when compared
with parent drug 1.
PMID- 18045204
TI - A salicylic acid-based analogue discovered from virtual screening as a potent
inhibitor of human 20alpha-hydroxysteroid dehydrogenase.
AB - 20alpha-hydroxysteroid dehydrogenase (AKR1C1) plays a key role in the metabolism
of progesterone and other steroid hormones, thereby regulating their action at
the pre-receptor level. AKR1C1 is implicated in neurological and psychiatric
conditions such as catamenial epilepsy and depressive disorders. Increased
activity of AKR1C1 is associated with termination of pregnancy and the
development of breast cancer, endometriosis and endometrial cancer. Inhibition of
the undesired activity of AKR1C1 will help reduce risks of premature birth,
neurological disorders and the development of cancer. In order to identify
potential leads for new inhibitors of AKR1C1 we adopted a virtual screening-based
approach using the automated DOCK program. Approximately 250,000 compounds from
the NCI database were screened for potential ligands based on their chemical
complementarity and steric fit within the active site of AKR1C1. Kinetic analysis
revealed 3,5-diiodosalicylic acid, an analogue of salicylic acid, as a potent
competitive inhibitor with respect to the substrate 5beta-pregnane-3alpha,20alpha
diol with a K(i) of 9 nM. Aspirin, which is a well known salicylic acid-based
drug, was also found to inhibit AKR1C1 activity. This is the first report to show
aspirin (IC(50)=21 microM) and its metabolite salicylic acid (IC(50)=7.8 microM)
as inhibitors of AKR1C1.
PMID- 18045205
TI - Synthesis of 1,2,4-triazole derivatives: binding properties on endothelin
receptors.
AB - In the present study we describe the synthesis of a new series of 1,2,4
triazoles: [3-(arylmethyl)thio-5-aryl-4H-[1,2,4]triazol-4-yl]acetic acids 5a-g,
[5-(arylmethyl)thio-3-aryl-1H-[1,2,4]triazol-1-yl]acetic acids 8a-d, and [3-(aryl
methyl)thio-5-aryl-1H-[1,2,4]triazol-1-yl] acetic acids 9a-d. These compounds
were tested in binding assays to evaluate their ability as ligands for human
ET(A) and ET(B) receptors stably expressed in CHO cells; some of the tested
compounds showed affinity in the micromolar range.
PMID- 18045206
TI - Design and synthesis of new N-OMe fluoro-indole melatoninergics.
AB - The synthesis of a series of new N-OMe fluoro-indoles with melatoninergic
activity in the Xenopus melanophore assay is described. All of the 4-F
substituted compounds, 22a-e and 25a,b, were antagonists on the clonal Xenopus
melanophore line. Conversely, the 5-F substituted analogs (15a-e) did not share
the same pharmacological profile, as two of them, compounds 15d (R=c-C(3)H(5))
and 15e (R=c-C(4)H(7)), exhibited a weak agonistic and partial agonistic
activity, respectively, whilst the other three (15a-c) were all agonists. It
seems that in this case the nature of the response (agonist or antagonist
activity) is solely dependent on the shape of the R group.
PMID- 18045207
TI - New steroidal anti-inflammatory antedrugs: 21-thioalkylether derivatives of
methyl 16-prednisolone carboxylates.
AB - Antedrug approach of the corticosteroids has been described as a fundamentally
sound approach for the development of safer anti-inflammatory steroids devoid of
systemic side effects. In our continued efforts under the antedrug paradigm, we
have recently extended this effort to synthesize the 21-thioalkylether
derivatives of methyl 16-prednisolonecarboxylates. The 21-mesylate of the methyl
16-perdnisolonecarboxylates and 9-fluoro-17-dehydro methyl 16
prednisolonecarboxylate were reacted with Na-thioalkoxides to furnish the desired
thioalkylethers in 60-75% yields. These newly synthesized thioalkylether steroid
series were tested for their in vitro metabolism and corticosteroid receptor
binding affinity. They were metabolized in predictable manner to inactive 16
carboxylic acids. All the newly synthesized antedrugs showed lowered
glucocorticoid receptor binding affinity than prednisolone indicating that the
replacement of the 21-OH function with thioalkylether of the 16-prednisolone
carboxylate esters decreases their receptor binding affinity.
PMID- 18045208
TI - Computational approach to drug design for oxazolidinones as antibacterial agents.
AB - A three dimensional Quantitative Structure Activity Relationship (3D-QSAR) model
for a series of (S)-3-Aryl-5-substituted oxazolidinones was developed to gain
insights into the design for potential new antibacterial agents. It was found
that the Comparative Molecular Field Analysis (CoMFA) method yielded good results
while the Comparative Molecular Similarity Indices Analysis (CoMSIA) was less
satisfactory. The CoMFA method yielded a cross-validated correlation coefficient
q(2) = 0.681, non-cross-validated R(2) = 0.991, SE (Standard Error ) = 0.054, and
the value of statistical significance measure F = 266.98. The relative steric and
electrostatic contributions are 0.542 and 0.458, respectively. These results
indicate that the model possesses a high predictivity. Guided by this model,
three new compounds were synthesized. All these compounds exhibit inhibitory
activity; two of them were shown having high activity (MIC = 1.0 microg/ml). The
activity observed by experiments was in good agreement with the theoretical one.
It is anticipated that the present model would be of value in facilitating design
of new potent antibacterial agents.
PMID- 18045209
TI - Synthesis of opioidmimetics, 3-[H-Dmt-NH(CH(2))(m)]-6-[H-Dmt-NH(CH(2))(n)]-2(1H)
pyrazinones, and studies on structure-activity relationships.
AB - Opioidmimetics containing 3-[H-Dmt-NH-(CH(2))(m)]-6-[H-Dmt-NH-(CH(2))(n)]-2(1H)
pyrazinone symmetric (m = n, 1-4) (1 - 4) and asymmetric (m, n = 1 - 4) aliphatic
chains (5 - 16) were synthesized using dipeptidyl chloromethylketone
intermediates. They had high mu-affinity (K(i)mu = 0.021 - 2.94 nM), delta
affinity (K(i)delta = 1.06 - 152.6 nM), and mu selectivity (K(i)delta/K(i)mu = 14
- 3,126). The opioidmimetics (1 - 16) exhibited mu agonism in proportion to their
mu-receptor affinity. delta-Agonism was essentially lacking in the compounds
except (4) and (16), and (1) and (2) indicated weak delta antagonism (pA(2) =
6.47 and 6.56, respectively). The data verify that a specific length of aliphatic
linker is required between the Dmt pharmacophore and the pyrazinone ring to
produce unique mu-opioid receptor ligands.
PMID- 18045210
TI - In vitro binding receptors study by Valeriana adscendens, Iresine herbstii and
Brugmansia arborea extracts.
AB - In this work we examined the affinity and the selectivity of V. adscendens,
Iresine herbstii Hook. (Amaranthaceae) and Brugmansia arborea (L.) Lagerheim
(Solanaceae) towards 5-HT(1A), 5-HT(2A), 5-HT(2C) serotononergic, D(1) and D(2)
dopaminergic, alpha (1) and alpha (2) adrenergic receptors by radioligand assays.
The results show weak affinity to 5-HT(1A) only for the aqueous extract of V.
adscendens and no affinity for 5-HT(2A), 5-HT(2C) serotononergic receptors, alpha
(1) and alpha(2) adrenergic receptors and D(2) receptors. As it regards D(1)
receptors, only for the methanolic extract the IC(50) value was determinable. The
data obtained for I. herbstii extracts have shown a low affinity for the 5-HT(1A)
receptor (22.44%) and no affinity for 5-HT(2A) receptor. Otherwise these extracts
showed affinity for 5-HT(2C) receptor but only for the methanolic extract the
IC(50) value (inhibitory concentration 50%) was: 34.8 microg/ml. The B. arborea
aqueous extract displayed weak affinity for all receptors tested, the highest
levels of inhibition at the maximum concentration tested (125 microg/ml) were 38%
for the 5-HT(1A), 16% for the 5-HT(2A) and 39% for the 5-HT(2C) receptor. The
results of our experiments indicate that V. adscendens, Iresine herbstii and
Brugmansia arborea were able to interact with the central 5-HT receptors thus
confirming their ritual use.
PMID- 18045211
TI - Peptide-based soft materials as potential drug delivery vehicles.
AB - Emerging concepts in the construction of nanostructures hold immense potential in
the areas of drug delivery and targeting. Such nanoscopic assemblies/structures,
similar to natural proteins and self-associating systems, may lead to the
formation of programmable soft structures with expanded drug delivery options and
the capability to circumvent first-pass metabolism. This article aims to
illustrate key recent developments and innovative bioinspired design paradigms
pertaining to peptide-containing self-assembled tubular and vesicular soft
structures. Soft structures are composed of components that self-assemble to
reveal diverse morphologies stabilized by weak, noncovalent interactions.
Morphological properties of such structures and their ability to encapsulate
drugs, biologicals and bioactive small molecules, with the promise of targeted
delivery, are discussed.
PMID- 18045212
TI - Targeting of Th1-associated chemokine receptors CXCR3 and CCR5 as therapeutic
strategy for inflammatory diseases.
AB - CXCR3 and CCR5 are chemokine receptor that are predominantly expressed on the
surface of Th1 polarized T cells. In a variety of human and experimental
autoimmune diseases the enhanced expression of CXCR3 and CCR5 binding chemokine
ligands is followed by the recruitment of CXCR3- and CCR5-positive T cells,
indicating an important role for these chemokine receptors in T cell-mediated
tissue damage. In this review, we summarize a number of in vivo studies available
on the neutralization of CXCR3 and CCR5 in inflammatory disease, and specifically
focus on the potential therapeutic effects of CXCR3 and CCR5 blockade in human
autoimmune disease and organ transplantation.
PMID- 18045213
TI - Regression methods for developing QSAR and QSPR models to predict compounds of
specific pharmacodynamic, pharmacokinetic and toxicological properties.
AB - Quantitative structure-activity relationship (QSAR) and quantitative structure
property relationship (QSPR) models have been extensively used for predicting
compounds of specific pharmacodynamic, pharmacokinetic, or toxicological property
from structure-derived physicochemical and structural features. These models can
be developed by using various regression methods including conventional
approaches (multiple linear regression and partial least squares) and more
recently explored genetic (genetic function approximation) and machine learning
(k-nearest neighbour, neural networks, and support vector regression) approaches.
This article describes the algorithms of these methods, evaluates their
advantages and disadvantages, and discusses the application potential of the
recently explored methods. Freely available online and commercial software for
these regression methods and the areas of their applications are also presented.
PMID- 18045214
TI - Privileged structures: a useful concept for the rational design of new lead drug
candidates.
AB - Privileged structures are defined as molecular frameworks which are able of
providing useful ligands for more than one type of receptor or enzyme target by
judicious structural modifications. In the present work, we describe some
examples and applications of the usefulness of the privileged structure concept
for the structural design of new drug candidates, by discussing the eligibility
of such motifs, including the identification of the N-acylhydrazone template as
privileged structures.
PMID- 18045215
TI - Beneficial or detrimental effects of carotenoids contained in food: cell culture
models.
AB - Epidemiological studies have suggested a correlation between consumption of
carotenoid-rich food and incidence of chronic diseases. In this review chemical
structure, bioavailability and mechanisms of action of carotenoids most
represented in human diet, mainly beta-carotene and lycopene, are reported, with
focus on results obtained with cells in culture.
PMID- 18045216
TI - Peroxisome proliferator-activated receptor agonists as potential therapeutic
agents in multiple sclerosis.
AB - Peroxisome proliferator-activated receptors (PPARs) have been extensively studied
for gene regulation in glucose and lipid metabolism. It has been recently
implicated that PPARs regulate cellular proliferation and inflammatory responses;
some agonists for PPARs ameliorate experimental autoimmune encephalomyelitis, a
model of multiple sclerosis (MS) in humans. This article will outline current
experimental evidence suggesting potential clinical benefits for patients with
MS.
PMID- 18045217
TI - Molecular targets of FoxP3+ regulatory T cells.
AB - The important roles of FoxP3+ T cells in many immunological or cancerous diseases
are now well established. The research field is now moving in the direction to
fine-control the generation, migration, expansion, and function of FoxP3+ cells
in an effort to prevent and cure specific types of diseases. Potential molecular
targets to regulate FoxP3+ T cells are reviewed in this article.
PMID- 18045218
TI - Histidine kinases as antimicrobial targets: prospects and pitfalls.
AB - Histidine kinases are ubiquitous molecular sensors that are used by bacteria to
detect and respond to a myriad of environmental signals. They are attractive
antimicrobial targets because of their roles in mediating the virulence of
pathogenic organisms, as well as the ability of bacteria to resist host defenses
and develop resistance to antibiotics. In this review, we discuss the challenges
involved in developing specific inhibitors of this highly diverse group of
kinases.
PMID- 18045219
TI - Viral elements sense tumorigenic processes: approaching selective cancer therapy.
AB - Viruses can produce viral oncoproteins that drive multiple genetic alterations as
the consequence of neoplastic transformation. Viral proteins encoded by onco
related viruses such as polyomavirus SV40 or Epstein-Barr virus are involved in
cellular processes resulting in imbalance between proliferation and cell death,
knowledge of which continues to be crucial for combating cancer. On the other
hand, viruses also generate viral components that, from a cold viral protein, can
become a tumor-selective killer by sensing cellular tumorigenic hallmarks. For
instance, the avian virus derived apoptin protein has been proven to induce tumor
regression in various pre-clinical animal models without showing detectable side
effects. In particular, apoptin-interacting protein partners such as components
of the anaphase promoting complex were identified as potential anticancer drug
targets. The adenovirus-derived protein E4orf4, another viral protein with tumor
specific apoptosis characteristics, has been proven to interact with the tumor
suppressor protein phosphatase 2A. This review aims to describe recent studies
with representative viral elements that have contributed to our understanding of
critical tumorigenic processes and have conferred an impact on the development of
novel anti-cancer therapies.
PMID- 18045220
TI - RNA interference as a tool for Alzheimer's disease therapy.
AB - RNA interference is a biological process that controls gene silencing in all
living cells. Targeting the RNA interference system represents a novel
therapeutic strategy able to intercede with multiple disease-related genes and to
target many neurodegenerative diseases. Recently, the design of small interfering
RNA-selective compounds has become more straightforward because of the
significant progress made in predictive modeling for new therapeutic approaches.
Although in vivo delivery of RNA interference remains a significant obstacle, new
data show that RNAi blocks gene function in vivo, suggesting a potential
therapeutic approach for humans. Some groups have demonstrated the efficacy of
RNAi therapy in Alzheimer's disease. Results, based on animal models, show a down
regulation of the amyloid precursor protein and a consequent reduction of the
amyloid-beta peptide accumulation in the brain or the inactivation of beta
secretase (BACE1). Indeed, lentiviral vectors expressing siRNAs targeting BACE1
reduce amyloid production and the neurodegenerative and behavioural deficit in
APP transgenic mice. This review highlights recent advances in RNA research and
focuses on strengths and weaknesses of RNAi compounds in Alzheimer's disease.
PMID- 18045221
TI - Overview of anti-tuberculosis (TB) drugs and their resistance mechanisms.
AB - One-third of the world's population is infected with Mycobacterium (M.)
tuberculosis. Tuberculosis continues to be the most common infectious cause of
death and still has a serious impact, medically, socially and financially.
Multidrug-resistant tuberculosis (MDR-TB), caused by tubercle bacilli that are
resistant to at least isoniazid and rifampin, is among the most worrisome
elements of the pandemic of antibiotic resistance because TB patients for whom
treatment has failed have a high risk of death. Drugs used to treat tuberculosis
are classified into first-line and second-line agents. First-line essential anti
tuberculosis agents are the most effective, and are a necessary component of any
short-course therapeutic regimen. The drugs in this category are isoniazid,
rifampin, ethambutol, pyrazinamide and streptomycin. Second-line anti
tuberculosis drugs are clinically much less effective than first-line agents and
elicit severe reactions much more frequently. These drugs include para
aminosalicylic acid (PAS), ethionamide, cycloserine, amikacin and capreomycin.
New drugs, which are yet to be assigned to the above categories, include
rifapentine, levofloxacin, gatifloxacin and moxifloxacin. Recently there has been
much development in the molecular pharmacology of anti-tuberculosis drugs. This
review summarizes information for isoniazid, rifampicin, ethambutol,
pyrazinamide, and fluoroquinolones, and describes their resistance mechanisms.
PMID- 18045222
TI - The molecular nature and consequences of lipoprotein (a)'s association with
platelets.
AB - Lipoprotein (a) (Lp (a)) may be pro-thrombotic in humans due to its
apolipoprotein (a) (apo(a))-mediated decreases in fibrinolysis. Such decreased
fibrinolysis arises putatively from interference with plasminogen conversion to
plasmin due to the considerable homology between apolipoprotein (a) and
plasminogen. However, in vitro, most studies have shown that human Lp (a)
decreases agonist-stimulated platelet aggregation while in vivo it appears to
decrease aggregation as implied by increased bleeding times with higher blood
serum concentrations of Lp(a). Lp (a) binding to platelets mediated by apo (a)
increases platelet intracellular c-AMP levels in resting platelets, and decreases
platelet production of thromboxane A2 and fibrinogen binding to platelets all of
which reduce platelet aggregation. One, though not the only, explanation of these
conflicting data may be that Lp(a) self-regulates its interference with
fibrinolysis by reducing platelet aggregation and platelet binding of fibrinogen
and hence the degree of requirement for fibrinolysis. However, it is concluded
more in vivo work needs to be done to fully understand whether, if at all, Lp(a)
in varying concentrations and isoforms, favours reduced platelet aggregation or
fibrinolysis.
PMID- 18045223
TI - Conservation of average hydrophobicity of apolar aminoacids in polypeptides
constituting same glycosyl hydrolase sub-family enzymes.
AB - Polypeptides constituting the same functional enzyme in cells of different
origins have small sequence similarities among themselves. Amino acid analysis
reveals that each glycosyl hydrolase sub-family polypeptides conserves an average
hydrophobicity value for total constituent apolar amino acids. The value may be a
measure of the driving force present in the polypeptide for designed primary
collapse for three-dimensional active site formation.
PMID- 18045224
TI - Biologically active peptides interacting with the G protein-coupled formylpeptide
receptor.
AB - Leucocytes accumulate at sites of inflammation and microbial infection in
response to locally produced chemotactic factors. N-formylpeptides produced by
Gram negative bacteria were among the first chemotactic factors structurally
defined which signal through G protein-coupled formylpeptide receptor (FPR) and
FPR-like 1 (FPRL1) expressed by phagocytic leukocytes in human and in mouse
homogogues mFPR and mFPR2. During the past few years, a number of pathogen- and
host-derived agonists/antagonists for FPR, FPRL1 and another FPR variant FPR-like
2 (FPRL2) have been identified. Activation of formylpeptide receptors (FPRs) in
phagocytic leukocytes by agonists results in increased cell chemotaxis,
phagocytosis, and release of pro-inflammatory mediators. Peptide agonists for
FPRs have also been shown to possess immune adjuvant activity when injected in
mice. In addition, FPR aberrantly expressed on highly malignant human
glioblastoma cells promotes tumor cell migration, proliferation and production of
vascular endothelial growth factor in response to agonists released by necrotic
tumor cells. Therefore, formylpeptide receptor ligands, by interacting with FPRs,
play important roles in host defense and in the rapid progression of human
glioblastoma.
PMID- 18045225
TI - Freezing effect on chirality generation of DL-alanine-N-carboxy-anhydride
oligomerization in aqueous solution.
AB - This article is concerned with a study of the role of ice in the synthesis of
oligopeptides containing L- or D-enantiomeric excess (ee) from racemic alanine.
With this aim, the oligomerization of DL-alanine-N-carboxyanhydride was
investigated by keeping this activated derivative in liquid (+22 degrees C) or
frozen (-20 degrees C) aqueous solutions for 30 days. The aqueous solution of the
peptide mixtures were gel-filtered and the aliquots of the fractions were
completely hydrolyzed to alanine monomers. These monomers were then derivatized
with 1-fluoro-2,4-dinitrophenyl-5-L-alanine amide (Marfey's reagent) and analyzed
by RP-HPLC to reveal the occasional enantiomeric excess of L- or D-Ala. The mass
spectrometry of the gel-filtered fractions pointed to open-chain peptide mixtures
together with a slight amount of cyclic ones, where the residue numbers ranged
between 5-8. Our studies indicated that an enantiomeric excess of L- or D-Ala
appeared in some oligopeptide fractions. Their excesses were significantly larger
in the frozen than liquid solution. Speculations are made as concerns the
implications of our findings in the events of prebiotic chemistry.
PMID- 18045226
TI - Isolation and characterization of a trypsin-chymotrypsin inhibitor from the seeds
of green lentil (Lens culinaris).
AB - A Bowman-Birk type trypsin-chymotrypsin inhibitor was isolated from seeds of the
legume green lentil (Lens culinaris) by means of affinity chromatography on Affi
gel blue gel, ion exchange chromatography on Q-Sepharose, ion exchange
chromatography by fast protein liquid chromatography (FPLC) on Mono Q and Mono S,
and gel filtration by FPLC on Superdex 75. The trypsin-chymotrypsin inhibitor was
bound on the first three types of chromatographic media. It appeared as a single
16-kDa peak in gel filtration and a single 16-kDa band in sodium dodecyl sulfate
polyacrylamide gel electrophoresis. The trypsin inhibitory activity of the
inhibitor was sensitive to the reducing agent dithiothreitol. It was completely
abrogated after treatment with 10 mM dithiothreitol for 20 minutes. The protease
inhibitor did not exert any inhibitory effect on hepatoma (Hep G2) and breast
cancer (MCF 7) cell lines. There was no suppressive action on several fungal
species including Botrytis cinerea, Fusarium oxysporum and Mycosphaerella
arachidicola. It slightly inhibited the activity of HIV-1 reverse transcriptase,
with an IC50 of 30 mM.
PMID- 18045227
TI - Application of a chimeric synthetic peptide in the development of a serologic
method for the diagnosis of hepatitis G virus infection.
AB - New putative antigenic peptides corresponding to the N- and C-terminal of the E2
envelope protein of GBV-C/HGV were synthesized using solid-phase chemistry. The
antigens were obtained in linear and chimeric forms with the main aim of
improving the sensitivity of the enzyme immunoassays. Furthermore, CD and FTIR
have been used in conjunction to characterize their conformational changes
showing that the chimeric peptide presents a more ordered secondary structure
than its parent peptides.
PMID- 18045228
TI - Digital coding of amino acids based on hydrophobic index.
AB - Analysis of amino acid sequences can provide useful insights into the tertiary
structures of proteins and their biological functions. One of the critical
problems in amino acid analysis is how to establish a digital coding system to
better reflect the properties of amino acids and their degeneracy. Based on the
hydrophobic index, a one-to-one relationship has been established between the
amino acid sequence and the digital signal process. Such a "bridge" will make it
possible to apply all the existing powerful methods in the signal processing area
to analysis of the amino acid sequences.
PMID- 18045229
TI - Co-expression and purification of recombinant human insulin-like growth factor II
and insulin-like growth factor binding protein-6 in Pichia pastoris yeast.
AB - For the preparation of the complex of IGF-II and IGFBP-6, a co-expression vector
containing two copies of human IGF-II and IGFBP-6 expression cassette was
constructed with alcohol oxidase (AOX1) promoter and secretion signal sequence of
alpha-factor, and transformed to Pichia pastoris yeast. Through a purification
procedure involving anion-exchange chromatography and gel filtration, a complex
of IGF-II with IGFBP-6 was obtained. An additional C-terminal sequence of IGFBP-6
(CS-BP6) was found to be bound to this complex. Dynamic light scattering showed
that this complex was very stable and homogenous in solution. Western blotting
based on non-reducing Tricine-SDS-PAGE indicated that IGF-II expression coupled
with IGFBP-6 might significantly avoid the mispairing of disulfide bonds compared
with the IGF-II expressed alone.
PMID- 18045230
TI - Expression, purification, and immunological characterization of Cr PI.
AB - An efficient preparation of Periplaneta americana nymphae allergen, Cr PI (54
kDa) is described. It was expressed as a GST-tag fusion protein in Escherichia
coli, strain BL21 (DE3). Expression of recombinant Cr PI (rCr PI),
denaturation/renaturation of the inclusion bodies and the effects of protein and
L-arginine concentration on inclusion body aggregation were optimized. The fusion
protein was purified by affinity chromatography and size exclusion
chromatography, and Cr PI fusion protein was purified to >95%. rCr PI bound
strongly to IgE in the sera of individuals with cockroach allergies as shown by
western blot and ELISA. Highly refolded and purified recombinant protein was
obtained, providing a basis for the large-scale preparation of Cr PI allergen.
PMID- 18045231
TI - Inhibition of sea urchin fertilization by plant lectins.
AB - Effects of plant lectins on sea urchin (Lytechinus variegatus) fertilization and
a partial characterization of lectin-binding involved in the process were
evaluated. IC50 doses for inhibition of fertilization varied from 4.1 to 135.5
microg/ml when the lectins were pre-incubated with sperms and from 0.7 to 33.4
microg/ml when pre-incubated with eggs. Such effects were reversed when the
lectins were heat inactivated. FITC-labeled lectins bound egg surfaces while
their denatured forms did not. Glucose/mannose specific lectins bound weaker to
eggs when pre-incubated with the glycoprotein bovine lactotransferrin. None of
the glycoproteins assayed diminished FITC patterns of the Gal/GalNAc binding
lectins. Pre-incubation of Glucose/mannose binding lectins with eggs did not
alter binding of Gal/GalNAc lectins. Lectins with distinct competencies for
binding monosaccharide and glycoconjugates were able to inhibit sea urchin
fertilization.
PMID- 18045232
TI - Protein-protein and protein-ligand interactions studied by electrospray
ionization mass spectrometry.
AB - Preservation of non-covalent interactions in biopolymer mass spectrometry offers
new approaches to binding analysis. Recent work from our laboratory is reviewed
here and discussed with reference to recent literature in the field. Three issues
are considered in particular: hydrophobically stabilized complexes, pH-dependent
transitions, and linked protein-ligand and protein-protein binding equilibria.
PMID- 18045233
TI - Robust quantitative modeling of peptide binding affinities for MHC molecules
using physical-chemical descriptors.
AB - Major histocompatibility complex (MHC) molecules bind short peptides resulting
from intracellular processing of foreign and self proteins, and present them on
the cell surface for recognition by T-cell receptors. We propose a new robust
approach to quantitatively model the binding affinities of MHC molecules by
quantitative structure-activity relationships (QSAR) that use the physical
chemical amino acid descriptors E1-E5. These QSAR models are robust, sequence
based, and can be used as a fast and reliable filter to predict the MHC binding
affinity for large protein databases.
PMID- 18045234
TI - Design, synthesis and biological evaluation of antipicornaviral pyrrole
containing peptidomimetics.
AB - A series of new peptidomimetics based on the tripeptide sequence Z-Leu-Phe-Gln-OH
were synthesized, with ten of these including the alpha-nitrogen atom of the N
terminal amino acid incorporated into the pyrrole cycle. The synthesized
compounds were tested for antiviral activity by agar-diffusion plaque inhibition
test against Coxsackievirus B1 replication in FL cell. Four of the products were
observed to possess an antiviral activity, which was proven to be significant for
one product. N-terminal pyrrole moiety and C-terminal free carboxyl function are
available in all active compounds. On the other hand, their corresponding -OBzl
and -Obu t esters are inactive.
PMID- 18045235
TI - Crystallization and preliminary x-ray studies of the unliganded wild-type bovine
thrombin.
AB - Wild type of bovine thrombin has been crystallized in a ligand-free form by the
hanging drop vapor diffusion method with polyethylene glycol 4000 and 2-propanol.
The crystals belong to space group P4 3 2 12 with unit cell parameters of a = b =
87.7 A, c = 195.9 A. X-ray diffraction data were collected to 2.8 A resolution.
PMID- 18045236
TI - Cloning, expression, purification, characterization, crystallization and x-ray
diffraction of bifunctional pyrimidine deaminase/reductase from Shigella flexneri
2a.
AB - Bifunctional pyrimidine deaminase/reductase (RibD) plays an important role during
riboflavin biosynthesis in many microorganisms. The 40.4 kDa RibD from Shigella
flexneri 2a has been cloned, expressed, purified and characterized. Three
Crystals of RibD have been obtained by the hanging-drop technique at 291 K using
PEG 20k or NaCl as precipitant. The RibD crystal using PEG 20k as precipitant
diffracted to 2.5A.
PMID- 18045237
TI - Expression, purification, crystallization and preliminary x-ray analysis of Cyan
fluorescent protein CyPet.
AB - The technique of fluorescence (or Forster) resonance energy transfer (FRET) is
widely used to observe bimolecular interaction in living cells. Cyan and yellow
fluorescent proteins are the most widely used pair in FRET analysis. CyPet and
YPet are two newly optimized fluorescent proteins that have much better dynamic
range and sensitivity than CFP/YFP pair, although the crystallographic structure
and the mechanism of better fluorescent characteristics of CyPet are still
unknown. We have expressed the cyan fluorescent protein CyPet using pT7
prokaryocyte expression system in Escherichia coli strain Rosetta (DE3) pLysS by
auto-induction. After purification, the recombinant CyPet protein was
crystallized by hanging drop vapor diffusion technique and could diffract to
2.55A resolution. The data showed that the orthorhombic CyPet crystal was in
space group P212121 with unit cell parameters (51.55, 61.53, 63.36) and contained
one molecule in one asymmetric unit.
PMID- 18045239
TI - The association between protein S levels and anticoagulant activity of tissue
factor pathway inhibitor type 1.
PMID- 18045238
TI - EGF and amphiregulin differentially regulate Cbl recruitment to endosomes and EGF
receptor fate.
AB - EGF-R [EGF (epidermal growth factor) receptor] ligands can promote or inhibit
cell growth. The biological outcome of receptor activation is dictated, at least
in part, by ligand-specified patterns of endocytic trafficking. EGF-R trafficking
downstream of the ligands EGF and TGF-alpha (transforming growth factor-alpha)
has been investigated extensively. However, less is known about EGF-R fates
induced by the ligands BTC (betacellulin) and AR (amphiregulin). We undertook
comparative analyses to identify ligand-specific molecular events that regulate
EGF-R trafficking and degradation. EGF (17 nM) and BTC (8.5 nM) induced
significant EGF-R degradation, with or without ectopic expression of the
ubiquitin ligase Cbl. Human recombinant AR (17 nM) failed to affect receptor
degradation in either case. Notably, levels of ligand-induced EGF-R
ubiquitination did not correlate strictly with receptor degradation. Dose
response experiments revealed that AR at a saturating concentration was a partial
agonist at the EGF-R, with approx. 40% efficacy (relative to EGF) at inducing
receptor tyrosine phosphorylation, ubiquitination and association with Cbl. EGF-R
down-regulation and degradation also were compromised upon cell stimulation with
AR (136 nM). These outcomes correlated with decreased degradation of the Cbl
substrate and internalization inhibitor hSprouty2. Downstream of the hSprouty2
checkpoint in AR-stimulated cells, Cbl-free EGF-R was incorporated into endosomes
from which Cbl-EGF-R complexes were excluded. Our results suggest that the AR
specific EGF-R fate results from decreased hSprouty2 degradation and reduced Cbl
recruitment to underphosphorylated EGF-R, two effects that impair EGF-R
trafficking to lysosomes.
PMID- 18045240
TI - Immunologic and structural analysis of eight novel domain-deletion beta3 integrin
peptides designed for detection of HPA-1 antibodies.
AB - BACKGROUND: The single-nucleotide polymorphism (SNP) rs5918 in the ITGB3 gene
defines the human platelet antigen-1 (HPA-1) system encoding a Leu (HPA-1a) or
Pro (HPA-1b) at position 33. HPA-1 antibodies are clinically the most relevant in
the Caucasoid population, but detection currently requires alpha(IIb)beta3
integrin from the platelets of HPA-genotyped donors. OBJECTIVES: We set out to
define the beta3 integrin domains required for HPA-1a antibody binding and
produce recombinant soluble beta3 peptides for HPA-1 antibody detection. METHODS:
We designed two sets (1a and 1b) of four soluble beta3 domain-deletion peptides
(deltaSDL, deltabetaA, PSIHybrid, PSI), informed by crystallography studies and
computer modeling. The footprints of three human HPA-1a-specific phage antibodies
were defined by analyzing binding patterns to the beta3 peptides and canine
platelets, and models of antibody-antigen interfaces were derived. Specificity
and sensitivity for HPA-1a detection were assessed using sera from 140 cases of
fetomaternal alloimmune thrombocytopenia (FMAIT). RESULTS: Fusion of recombinant
proteins to calmodulin resulted in high-level expression in Drosophila S2 cells
of all eight beta3 peptides. Testing of FMAIT samples indicated that deltabetaA
Leu33 is the superior peptide for HPA-1a antibody detection, with 96% sensitivity
and 95% specificity. The existence of type I and II categories of HPA-1a
antibodies was confirmed by the study of HPA-1a phage antibody footprints and the
reactivity pattern of clinical samples with the four beta3-Leu33 peptides, but
there was no correlation between antibody category and clinical severity of
FMAIT. CONCLUSIONS: Soluble recombinant beta3 peptides can be used for detection
of clinical HPA-1a antibodies.
PMID- 18045241
TI - Psychosocial factors and venous thromboembolism: a long-term follow-up study of
Swedish men.
AB - BACKGROUND: The link between psychosocial factors and coronary heart disease is
well established, but although effects on coagulation and fibrinolysis variables
may be implicated, no population-based study has sought to determine whether
venous thromboembolism is similarly related to psychosocial factors. OBJECTIVE:
To determine whether venous thromboembolism (deep vein thrombosis or pulmonary
embolism) is related to psychosocial factors. PATIENTS/METHODS: A stress
questionnaire was filled in by 6958 men at baseline from 1970 to 1973,
participants in a cardiovascular intervention trial. Their occupation was used to
determine socio-economic status. RESULTS: After a maximum follow-up of 28.8
years, 358 cases of deep vein thrombosis and/or pulmonary embolism were
identified through the Swedish hospital discharge and cause-specific death
registries. In comparison with men who, at baseline, had no or moderate stress,
men with persistent stress had increased risk of pulmonary embolism [hazard ratio
(HR)=1.80, 95% CI: 1.21-2.67]. After multivariable adjustment, the HR decreased
slightly to 1.66 (95% CI: 1.12-2.48). When compared with manual workers, men with
white-collar jobs at intermediate or high level and professionals showed an
inverse relationship between occupational class and pulmonary embolism (multiple
adjusted HR=0.57, 95% CI: 0.39-0.83). Deep vein thrombosis was not significantly
related to either stress or occupational class. CONCLUSION: Both persistent
stress and low occupational class were independently related to future pulmonary
embolism. The mechanisms are unknown, but effects on coagulation and fibrinolytic
factors are likely.
PMID- 18045242
TI - SYNCRIP, a component of dendritically localized mRNPs, binds to the translation
regulator BC200 RNA.
AB - Dendritic transport of (m)RNA molecules and localized translation at post
synaptic sites is connected to synaptic plasticity and memory formation. Brain
cytoplasmic RNA, 200nt (BC200 RNA) is a brain-specific, small non-messenger RNA
with a somatodendritic distribution in primate neurons. The transcript is a
component of a ribonucleoprotein particle that is thought to act as a regulator
of decentralized translation in dendrites. To elucidate the cellular function of
the BC200 ribonucleoprotein particle, we purified BC200 RNA-binding proteins from
human brain. Here, we describe the interaction of human Synaptotagmin-binding
cytoplasmic RNA interacting protein (SYNCRIP) with BC200 RNA. SYNCRIP was
recently characterized as a component of large mRNA transport granules in neurons
and is probably involved in local protein synthesis at post-synaptic sites. Our
in vitro binding studies demonstrate that SYNCRIP interacts specifically with
BC200 RNA and that binding is mediated through its N-terminal RNA recognition
motifs and the internal A-rich region of BC200 RNA, respectively. Furthermore,
immunoprecipitation experiments indicate an in vivo association of SYNCRIP and
BC200 RNA in human brain. Thus, SYNCRIP may recruit BC200 RNA into mRNA transport
complexes involved in the regulation of localized translation in dendrites.
PMID- 18045243
TI - Genetic influences on behavioral inhibition and anxiety in juvenile rhesus
macaques.
AB - In humans and other animals, behavioral responses to threatening stimuli are an
important component of temperament. Among children, extreme behavioral inhibition
elicited by novel situations or strangers predicts the subsequent development of
anxiety disorders and depression. Genetic differences among children are known to
affect risk of developing behavioral inhibition and anxiety, but a more detailed
understanding of genetic influences on susceptibility is needed. Nonhuman
primates provide valuable models for studying the mechanisms underlying human
behavior. Individual differences in threat-induced behavioral inhibition
(freezing behavior) in young rhesus monkeys are stable over time and reflect
individual levels of anxiety. This study used the well-established human intruder
paradigm to elicit threat-induced freezing behavior and other behavioral
responses in 285 young pedigreed rhesus monkeys. We examined the overall
influence of quantitative genetic variation and tested the specific effect of the
serotonin transporter promoter repeat polymorphism. Quantitative genetic analyses
indicated that the residual heritability of freezing duration (behavioral
inhibition) is h(2) = 0.384 (P = 0.012) and of 'orienting to the intruder'
(vigilance) is h(2) = 0.908 (P = 0.00001). Duration of locomotion and hostility
and frequency of cooing were not significantly heritable. The serotonin
transporter polymorphism showed no significant effect on either freezing or
orienting to the intruder. Our results suggest that this species could be used
for detailed studies of genetic mechanisms influencing extreme behavioral
inhibition, including the identification of specific genes that are involved in
predisposing individuals to such behavior.
PMID- 18045244
TI - Systematic review: nutritional therapy in paediatric Crohn's disease.
AB - BACKGROUND: At least 25% of individuals diagnosed with Crohn's disease (CD) have
onset of disease in childhood. Almost all children with CD have nutritional
impairments, such as weight loss or stunting, at diagnosis or subsequently.
Nutritional therapy (exclusive enteral nutrition) is established as a valid and
effective treatment in paediatric CD. The advantages of this approach are
induction of remission and control of inflammatory changes, mucosal healing,
positive benefits to growth and overall nutritional status, and avoidance of
other medical therapies. AIM: To provide a comprehensive up-to-date review of the
roles of nutritional therapy in CD and of the data supporting this therapy.
METHODS: A search of PubMed was performed with search terms 'enteral nutrition',
'nutritional therapy', 'Crohn disease' and 'children'. Relevant articles were
selected from this search. In addition, the reference lists of available articles
were reviewed for further relevant articles. RESULTS: Nutritional therapy offers
numerous benefits in the management of CD. Recent work has begun to elucidate the
likely mechanisms of this therapy. These include direct mucosal anti-inflammatory
effects and alteration of intestinal microflora. CONCLUSION: Further studies are
required to define longer-term effects of nutritional therapy in patients with
CD.
PMID- 18045245
TI - Mouse models of human arrhythmia syndromes.
AB - Sudden cardiac death stemming from ventricular arrhythmogenesis is one of the
major causes of mortality in the developed world. Congenital and acquired forms
of long QT syndrome (LQTS) are in turn associated with life threatening
arrhythmias. Over the past decade our understanding of arrhythmogenic mechanisms
in the setting of these diseases has increased greatly due to the creation of a
number of animal models. Of these, the genetically amenable mouse has proved to
be a particularly powerful tool. This review summarizes the congenital and
acquired LQTS and describes the various mouse models that have been created to
further probe arrhythmogenic mechanisms.
PMID- 18045248
TI - A review of current approaches to identifying human genes involved in myopia.
AB - The prevalence of myopia is high in many parts of the world, particularly among
the Orientals such as Chinese and Japanese. Like other complex diseases such as
diabetes and hypertension, myopia is likely to be caused by both genetic and
environmental factors, and possibly their interactions. Owing to multiple genes
with small effects, genetic heterogeneity and phenotypic complexity, the study of
the genetics of myopia poses a complex challenge. This paper reviews the current
approaches to the genetic analysis of complex diseases and how these can be
applied to the identification of genes that predispose humans to myopia. These
approaches include parametric linkage analysis, non-parametric linkage analysis
like allele-sharing methods and genetic association studies. Basic concepts,
advantages and disadvantages of these approaches are discussed and explained
using examples from the literature on myopia. Microsatellites and single
nucleotide polymorphisms are common genetic markers in the human genome and are
indispensable tools for gene mapping. High throughput genotyping of millions of
such markers has become feasible and efficient with recent technological
advances. In turn, this makes the identification of myopia susceptibility genes a
reality.
PMID- 18045249
TI - Application of proteomic technology in eye research: a mini review.
AB - Proteomics is a rapidly growing research area for the study of the protein
cognate of genomic data. This review gives a brief overview of the modern
proteomic technology. In addition to general applications of proteomics, we
highlight its contribution to studying the physiology of different ocular
tissues. We also summarise the published proteomic literature in the broad
context of ophthalmic diseases, such as cataract, age-related maculopathy,
diabetic retinopathy, glaucoma and myopia. The proteomic technology is a useful
research tool and it will continue to advance our understanding of a variety of
molecular processes in ocular tissues and diseases.
PMID- 18045250
TI - New perspectives on keratoconus as revealed by corneal confocal microscopy.
AB - Confocal microscopy (CM) of keratoconus is reviewed. In the Manchester
Keratoconus Study (MKS), slit scanning CM was used to evaluate 29 keratoconic
patients and light microscopy (LM) was performed on two of the keratoconic
corneas post-keratoplasty. The findings of the MKS are compared with other CM
studies. Consideration of the differences between studies of cell counts is
confounded by the use of different experimental controls. A consensus exists
among studies with respect to qualitative observations. The epithelium appears
more abnormal with increasing severity of keratoconus. In severe disease, the
superficial epithelial cells are elongated and spindle shaped, epithelial wing
cell nuclei are larger and more irregularly spaced and basal epithelial cells are
flattened. Bowman's layer is disrupted and split in the region of the cone and
intermixed with epithelial cells and stromal keratocytes. Stromal haze and hyper
reflectivity observed with CM correspond with apical scarring seen with the
slitlamp biomicroscope (SLB). Hyper-reflective keratocyte nuclei are thought to
indicate the presence of fibroblastic cells. Increased haze detected with CM is
found with LM to be due to fibroblastic accumulation and irregular collagen
fibres. Dark stromal bands observed with CM correlate with the appearance of
Vogt's striae with SLB. Descemet's membrane appears normal with both CM and LM.
Some evidence of endothelial cell elongation is observed with CM. The application
of CM to ophthalmic practice has facilitated a greater understanding of medical
and surgical approaches that are used to treat keratoconus. This review offers
new perspectives on keratoconus and provides a framework, against which tissue
changes in this visually debilitating condition can be studied in a clinical
context in vivo using CM.
PMID- 18045251
TI - Synthetic corneal inlays.
AB - This review is based on the activities of the Vision Cooperative Research Centre
(previously Cooperative Research Centre for Eye Research and Technology) Corneal
Implant team from 1991 to 2007. The development of a synthetic polymer of
perfluoropolyether (PFPE), meeting essential physical and biological
requirements, for use as a corneal inlay is presented. Each inlay was placed in a
corneal flap created with a microkeratome and monitored over a two-year period in
a rabbit model. The results indicate that the PFPE implant shows excellent
biocompatibility and biostability. As a result, a Phase 1 clinical trial is being
conducted. Three years post-implantation, the PFPE inlays are exhibiting
continued excellent biocompatibility. Corneal inlays made from PFPE are
biocompatible with corneal tissue in the long term and offer a safe and
biologically-acceptable alternative to other forms of refractive surgery.
PMID- 18045252
TI - A review of the role of glial cells in understanding retinal disease.
AB - Retinal vascular diseases such as diabetic retinopathy and retinopathy of
prematurity are major causes of visual loss. Although the focus of a great deal
of research has been on the aetiology of vascular growth, it is now emerging that
anomalies in other retinal cell types, especially glial cells, occur very early
in the course of the disease. Glial cells have major roles in every stage of
disease, from the earliest subtle variations in neural function, to the
development of epi-retinal membranes and tractional detachment. Therefore, having
a firm understanding of the function of retinal glia is important in our
understanding of retinal disease and is crucial for the development of new
treatment strategies.
PMID- 18045253
TI - A review of the potential to restore vision with stem cells.
AB - Vision research involving stem cells is a rapidly evolving field. Animal
experiments have shown that in response to environmental cues, stem cells can
repopulate damaged retinas, regrow neuronal axons, repair higher cortical
pathways, and restore pupil reflexes, light responses and basic pattern
recognition. Viable corneas have been grown from stem cells and transplanted into
humans. Similarly, human trials to repair damaged retinas in retinitis pigmentosa
and age-related macular degeneration patients have produced preliminary
successes. This review attempts to place the collective contributions toward stem
cell/vision research into a broader clinical model of how stem cells might
ultimately be used to restore the entire visual pathway.
PMID- 18045254
TI - A web-based archive for topographic maps of retinal cell distribution in
vertebrates.
AB - Clinical and Experimental Optometry, in conjunction with Optometrists Association
Australia and Professor Shaun P Collin of the University of Queensland, announce
the launch of a web-based archive of previously published topographic maps of
retinal cell distribution in vertebrates. At present, the archive boasts more
than 770 different maps of the distribution of retinal neurons (for example,
photoreceptors, bipolar cells, amacrine cells, horizontal cells and ganglion
cells) in nearly 200 species within all vertebrate classes (Cephalospidomorpha,
Actinopterygii, Sarcopterygii, Amphibia, Reptilia, Aves and Mammalia). The
distribution of retinal neurons has been studied for more than 100 years and has
become a powerful means of predicting the spatial resolving power of the eye and
the retinal regions containing specialisations, such as areae centrales,
horizontal streaks and foveae, where increased densities of neurons define the
way in which a species visually samples its environment. The location of these
retinal specialisations thereby identifies the part(s) of the visual field of
critical importance for localising food and mates and for predator surveillance.
The distribution of sampling elements even reflects the symmetry of a species'
ecological habitat. The archive is a unique collection of most of the currently
available retinal maps, which also presents relevant information, where known,
about eye size, retinal cell density, retinal orientation, cell number, spatial
resolving power and the type of specialisation, in addition to basic physical
parameters of each species (body size, weight, sex and developmental stage). The
archive is accessible at http://www.optometrists.asn.au/ceo/retinalsearch and
will be updated regularly. The powerful database is interactive and freely
available, providing the opportunity to upload both published and unpublished
topographic maps. Following a review process, previously unpublished maps will be
'published' and available online worldwide. It is hoped that this comprehensive
new resource will provide not only an up-to-date method of accessing maps of the
distribution of retinal neurons in individual species but also allow broader
evolutionary comparisons of the visual capabilities, ecology, development and the
type(s) of retinal specialisations found in vertebrates.
PMID- 18045255
TI - The mobility of optometrists practising in Australia in 2005: do optometry
graduates move to states without schools of optometry?
AB - BACKGROUND: Three schools of optometry in the eastern states of Australia provide
optometrists for the entire country. Concerns have been expressed about
attracting optometrists to practise in the other states. This paper analyses the
source of optometric qualification of optometrists practising in each state, to
assess the proportion who have chosen to practise in a state different from that
in which they qualified and to determine whether there are gender differences in
preparedness to move to a different state. METHOD: Data on year and school of
qualification, primary professional activity and current state or territory of
practice were extracted from the Optometrists Association Australia database to
determine a profile of place of qualification of optometrists in each state or
territory of Australia in 2005. RESULTS: More than 77 per cent of practising
optometrists from Australian schools practised in the state where they graduated.
The majority of optometrists for the Australian Capital Territory (ACT), South
Australia (SA) and Western Australia (WA) were New South Wales graduates; the
majority of Tasmanian optometrists were Victorian graduates. Optometrists from
overseas accounted for 17.3, 24.6 and 19.9 per cent of optometrists in practice
in SA, Tasmania and WA, respectively, but less than 7.5 per cent elsewhere. The
highest number of optometrists who had qualified outside Australia practised in
NSW. Female graduates from 2000 and later were more likely to move interstate
than their male counterparts. DISCUSSION: Approximately 14 per cent of practising
optometry graduates practised in states without schools, where 21 per cent of the
population resided. This indicates some degree of mobility but the market needs
to work further to match supply of optometric services to demand at state level.
Further analysis is necessary to determine patterns of graduate movement in the
years following graduation, to determine whether movement to different states is
short- or long-term.
PMID- 18045256
TI - Could the coefficient of variation (COV) of the corneal endothelium be
overestimated when a centre-dot method is used?
AB - BACKGROUND: Little has been published on the reliability of estimates of the
coefficient of variation (COV) in cell area for human corneal endothelia. The
present study compares two methods. METHODS: A non-contact specular micrograph
(Topcon SP-2000P) was obtained from the central region of the corneal endothelium
of 20 healthy myopic white European subjects, aged from 32 to 53 years, half of
whom were successful long-term soft contact lens wearers. The captured image file
was either assessed using a machine-based algorithm, in which 25 cells in the
middle of the image were marked and their areas reported (designated as 'centre
dot' method) or by a manual method, by which all the cells in the image were
outlined on very high magnification prints of the endothelia and the cell areas
measured by a manual digitiser in stream mode. The average cell area was used to
calculate the endothelial cell density (ECD), while the COV was calculated from
the standard deviation (SD) of the cell area measures. RESULTS: Identical mean
cell area values were found (392 microm(2)) with the two methods, a marginally
higher ECD estimate (2,594 versus 2,569) with the centre-dot method (p = NS) but
a much higher COV with the centre-dot method (43.8 versus 29.0 per cent). This
highly statistically significant difference in COV (p < 0.001) was seen in both
contact lens wearers and non-contact lens wearers. A Bland-Altman analysis
reveals a bias in the centre-dot method, especially for the COV estimates, that
appears to be linked to erroneous definition of a single large cell domain on any
individual image. CONCLUSIONS: A centre-dot method can be reliably used to
generate useful data on cell area and ECD but it should be used cautiously for
estimates of polymegethism (COV).
PMID- 18045257
TI - Combined therapy for vernal shield ulcer.
AB - A 22-year-old male patient presented with complaints of pain and redness in the
right eye. Slitlamp examination revealed giant papillae on both tarsal
conjunctivae, bilateral punctate corneal epitheliopathy and a 2.9 x 2.9 mm
epithelial defect in the right eye. The diagnosis was bilateral vernal
keratoconjunctivitis with shield ulcer in the right eye. Treatment was commenced
with prednisolone (1%) eye drops four times daily and sodium hyaluronate (0.1%)
eye drops eight times daily. After one week, the patient had improved
symptomatically but the epithelial defect remained unchanged. Topical
cyclosporine (0.05%) applied eight times per day was added to the regimen of
topical corticosteroid and artificial tears. Three weeks after commencing
combined therapy, the shield ulcer had healed completely, leaving a corneal
opacity that negatively stained with fluorescein. The patient was followed for
five months and no recurrence of ulcer was noted, despite the discontinuation of
topical cyclosporine and prednisolone. Simultaneous institution of topical
corticosteroid, artificial tears and topical cyclosporine seems to augment the
therapeutic efficacy of each other and may be a promising regime in the
management of shield ulcer.
PMID- 18045258
TI - Is herpes simplex virus keratitis a different disease in children?
AB - Ocular infections resulting from herpes simplex viral infection can result in
significant ocular morbidity. Crucial in preventing this complication is the need
for appropriate diagnosis and initiation of treatment. In addition, most of the
studies on herpes simplex viral infections involve adult populations. It is
important to consider that children have a different progression of the disease
process and may require an altered treatment regimen.
PMID- 18045259
TI - Editorial: contribution of health systems to disease control.
PMID- 18045260
TI - Short communication: patterns of chronic and acute diseases after natural
disasters - a study from the International Committee of the Red Cross field
hospital in Banda Aceh after the 2004 Indian Ocean tsunami.
AB - OBJECTIVE: To assess the pattern of diseases in a natural disaster, which are not
necessarily a direct consequence of the event but can impact on the way health
assistance is to be provided. METHODS: Cross-sectional, record-based study in the
International Committee of the Red Cross field hospital in Aceh, Indonesia,
established immediately after the tsunami in 2004. Patients who presented to
hospital from January 15 to 31, and whose diagnoses were available, were included
in the study. RESULTS: One thousand one hundred and eighty-eight residents of
Aceh participated. 43.5% of the diagnoses was chronic diseases. The odds of
chronic vs. acute diseases increased by 16.4% per day up to January 23 [95%
confidence interval (CI): 7.8-25.6%] and decreased thereafter by 13.1% (95% CI:
6.6-19.1%) per day. The odds of acute diseases were 34% lower among females than
males (95% CI: 16-49%) and 4.3 times higher among children than the rest of the
population (95% CI: 2.4-7.6). There were relatively few trauma cases among
females and children. CONCLUSIONS: Medical teams providing relief after acute
disasters should be prepared to provide healthcare for chronic diseases too. A
delay in the presentation of many acute conditions has implications for long-term
health consequences of disasters, such as disability.
PMID- 18045261
TI - Taxonomy and inventory of the cytospecies and cytotypes of the Simulium damnosum
complex (Diptera: Simuliidae) in relation to onchocerciasis.
AB - We provide an inventory of all named cytoforms of the Simulium damnosum complex
(including those which are now considered invalid), along with all inversions
that have been recorded (including synonyms and homonyms). There are 55 valid and
distinct cytoforms known from the S. damnosum complex making it the largest
sibling species complex of any vectors, and probably of any insect or other
animal. All cytoforms are listed along with their fixed and diagnostic inversions
and country distribution. There are 183 inversions known from the complex as a
whole, of which 49% are fixed and/or diagnostic between cytoforms, and the
fixed/diagnostic inversions seem to occur disproportionately on chromosome arm
2L.
PMID- 18045262
TI - Influence of larval density or food variation on the geometry of the wing of
Aedes (Stegomyia) aegypti.
AB - BACKGROUND AND METHOD: Variation in wing length among natural populations of
Aedes (Stegomyia) aegypti (L.) (Diptera: Culicidae) is associated with different
vectorial capacities. Geometric morphometrics allowed us to use a more powerful
estimator of wing size ('centroid size'), as well as to visualize the variation
of wing shape, to describe the effects of density or food variation at larval
stage on 20 anatomical landmarks of the wing of A. aegypti. RESULTS: Almost
perfect correlations between (centroid) size and larval density or size and
larval food were observed in both sexes: a negative correlation with increasing
density and a positive one with increasing amount of food. The allometric
component of shape change was always highly significant, with stronger
contribution of size to shape under food effects. Within each experiment, either
food or density effects, and excluding extreme conditions, allometric trends were
similar among replicates and sexes. However, they differed between the two
experiments, suggesting different axes of wing growth. CONCLUSION: Aedes aegypti
size is highly sensible to food concentration or population density acting at
larval stages. As larger individuals could be better vectors, and because of the
stronger effect of food concentration on size, vector control activities should
pay more attention in eliminating containers with rich organic matter.
Furthermore, as a simple reduction in larval density could significantly increase
the size of the survivors, turning them into potentially better vectors, the
control activities should try to obtain a complete elimination of the domestic
populations.
PMID- 18045263
TI - Detection of mutant P2 adenosine transporter (TbAT1) gene in Trypanosoma brucei
gambiense isolates from northwest Uganda using allele-specific polymerase chain
reaction.
AB - OBJECTIVE: To assess the application of allele-specific PCR (AS-PCR) as a fast,
cheap and reliable method for detecting mutant TbAT1 associated with melarsoprol
relapse in Trypanosoma brucei gambiense isolates from northwest Uganda. METHODS:
A total of 105 trypanosome isolates were analysed using SfaN1 restriction
fragment length polymorphism (RFLP) and AS-PCR, the former used as the gold
standard. Sensitivity, specificity, positive and negative predictive values of AS
PCR as well as agreement between the tests were determined. RESULTS: Eleven
trypanosome isolates had mutant TbAT1 while 94 exhibited the wild-type TbAT1
genes. There was a highly significant agreement between SfaN1 RFLP and AS-PCR
with kappa and intra-class correlation values of 1.0. The sensitivity and
specificity of AS-PCR were both 100%, while the positive and negative predictive
values were found to be equal to 1.0. Cost and time analyses were performed and
AS-PCR was 4.3 times cheaper than SfaN1 RFLP, in addition to the less time
required for its execution. CONCLUSION: AS-PCR should be the test of choice for
screening for mutant TbAT1 in the ever-increasing numbers of field trypanosome
isolates.
PMID- 18045264
TI - Epidemiology and clinical features of pneumonia according to radiographic
findings in Gambian children.
AB - OBJECTIVE: To assess the effect of vaccines against pneumonia in Gambian
children. METHODS: Data from a randomized, controlled trial of a 9-valent
pneumococcal conjugate vaccine (PCV) were used. Radiographic findings,
interpreted using WHO definitions, were classified as primary end point
pneumonia, 'other infiltrates/abnormalities' pneumonia and pneumonia with no
abnormality. We calculated the incidence of the different types of radiological
pneumonia, and compared clinical and laboratory features between these groups.
RESULTS: Among children who did not receive PCV, the incidence of pneumonia with
no radiographic abnormality was about twice that of 'other infiltrates' pneumonia
and three times that of primary endpoint pneumonia. Most respiratory symptoms,
reduced feeding and vomiting occurred most frequently in children with primary
endpoint pneumonia. These children were more likely to be malnourished, to have
bronchial breath sounds or invasive bacterial diseases, and to die within 28 days
of consultation than children in the other groups. Conversely, a history of
convulsion, diarrhoea or fast breathing, malaria parasitaemia and isolation of
salmonellae were commoner in children with pneumonia with no radiographic
abnormality. Lower chest wall indrawing and rhonchi on auscultation were seen
most frequently in children with 'other infiltrates/abnormalities' pneumonia.
CONCLUSION: Primary endpoint pneumonia is strongly associated with bacterial
aetiology and severe pneumonia. Since this category of pneumonia is significantly
reduced after vaccination with Hib and pneumococcal vaccines, the risk-benefit of
antimicrobial prescription for clinical pneumonia for children with increased
respiratory rate may warrant re-examination once these vaccines are in widespread
use.
PMID- 18045265
TI - Healthcare-seeking behaviour and use of traditional healers after snakebite in
Hlabisa sub-district, KwaZulu Natal.
AB - OBJECTIVE: To quantify snakebite incidence in Hlabisa sub-district and examine
healthcare seeking behaviour, focussing on the use of traditional healers and
medications. METHODS: Snakebite incidence was calculated by retrospective
register review at Hlabisa Hospital for the period 2000-2005 and at associated
primary health care clinics for 2005. Fifty consecutive in-patient snakebite
victims were interviewed. Treatment-seeking pathways, bite-to-admission times and
factors associated with delay or use of traditional therapy were analysed.
RESULTS: The annual hospital snakebite incidence was 53 bites per 100,000
population. In 2005, combined hospital and community incidence was 58 per
100,000. Eighty per cent of admitted snakebite victims used traditional medicine
and 62.5% of these consulted a traditional health practitioner (THP). The median
time until admission was 7 h 15 min (interquartile range: 4-14.25 h). The median
time until THP consultation was 15 min (interquartile range 5-50 min). THP
consultation was associated with bite-to-hospital admission delays of more than 6
h [relative risk: 1.82, 95% confidence interval (CI): 1.09-3.03, P = 0.0016). Non
statistically significant trends towards THP use were observed if hospital access
was poor or if patients were younger than 9 years.
PMID- 18045266
TI - Editorial.
PMID- 18045267
TI - Inverse modeling methods for indoor airborne pollutant tracking: literature
review and fundamentals.
AB - Reduction in indoor environment quality calls for effective control and
improvement measures. Accurate and prompt identification of contaminant sources
ensures that they can be quickly removed and contaminated spaces isolated and
cleaned. This paper discusses the use of inverse modeling to identify potential
indoor pollutant sources with limited pollutant sensor data. The study reviews
various inverse modeling methods for advection-dispersion problems and summarizes
the methods into three major categories: forward, backward, and probability
inverse modeling methods. The adjoint probability inverse modeling method is
indicated as an appropriate model for indoor air pollutant tracking because it
can quickly find source location, strength and release time without prior
information. The paper introduces the principles of the adjoint probability
method and establishes the corresponding adjoint equations for both multi-zone
airflow models and computational fluid dynamics (CFD) models. The study proposes
a two-stage inverse modeling approach integrating both multi-zone and CFD models,
which can provide a rapid estimate of indoor pollution status and history for a
whole building. Preliminary case study results indicate that the adjoint
probability method is feasible for indoor pollutant inverse modeling. PRACTICAL
IMPLICATIONS: The proposed method can help identify contaminant source
characteristics (location and release time) with limited sensor outputs. This
will ensure an effective and prompt execution of building management strategies
and thus achieve a healthy and safe indoor environment. The method can also help
design optimal sensor networks.
PMID- 18045268
TI - Identification of contaminant sources in enclosed spaces by a single sensor.
AB - To protect occupants from infectious diseases or possible chemical/biological
agents released by a terrorist in an enclosed space, such as an airliner cabin,
it is critical to identify gaseous contaminant source locations and strengths.
This paper identified the source locations and strengths by solving inverse
contaminant transport with the quasi-reversibility (QR) and pseudo-reversibility
(PR) methods. The QR method replaces the second-order diffusion term in the
contaminant transport equation with a fourth-order stabilization term. By using
the airflow pattern calculated by computational fluid dynamics (CFD) and the time
when the peak contaminant concentration was measured by a sensor in downstream,
the QR method solves the backward probability density function (PDF) of
contaminant source location. The PR method reverses the airflow calculated by CFD
and solves the PDF in the same manner as the QR method. The position with the
highest PDF is the location of the contaminant source. The source strength can be
further determined by scaling the nominal contaminant concentration computed by
CFD with the concentration measured by the sensor. By using a two-dimensional and
a three-dimensional aircraft cabin as examples of enclosed spaces, the two
methods can identify contaminant source locations and strengths in the cabins if
the sensors are placed in the downstream location of the sources. The QR method
performed slightly better than the PR method but with a longer computing time.
PRACTICAL IMPLICATIONS: The paper presents a method that can be used to find a
gaseous contaminant source location and determine its strength in enclosed spaces
with the data of contaminant concentration measured by one sensor. The method can
be a very useful tool to find where, what, and how the contamination has
happened. The method is also useful for optimally placing sensors in enclosed
spaces. The results can be applied to develop appropriate measures to protect
occupants in enclosed environments from infectious diseases or
chemical/biological warfare agents released by a terrorist.
PMID- 18045269
TI - An experimental parametric study of VOC from flooring systems exposed to alkaline
solutions.
AB - This study outlined the influence of a number of parameters affecting the
emission rate from one of the largest sources of VOC in the building stock in the
Nordic countries. This source is flooring systems of polyvinyl chloride or
linoleum attached to a substrate of moisture damaged or insufficiently dried
concrete. The secondary emission rate of degradation products was measured, with
the Field and Laboratory Emission Cell, on different flooring systems consisting
of three different floorings and three adhesives, exposed to three different
aqueous solutions in the range of 11-13.1 pH. The conclusion drawn in this study
is that the great majority of the secondary emission originates from the floor
adhesive. The occurrence of adhesive and the amount of adhesive used have a
significant influence on the emission rate. A critical pH value for degradation
of the adhesive seems to lie somewhere between 11 and 13 pH. PRACTICAL
IMPLICATIONS: When designing a floor system or a renovation of a damaged flooring
system, it is important to bear in mind the influence of parameters that may
drastically shorten the service life. Flooring adhesive may decompose in a moist
alkaline environment and give rise to unacceptable secondary emission rates.
PMID- 18045270
TI - Building-related symptoms and inflammatory potency of dust from office buildings.
AB - The aim was to investigate the association between building-related symptoms
(BRS) in office buildings and the inflammatory potency of dust (PD). Furthermore,
the association between dust potency and various building characteristics was
investigated. Occupants of 22 office buildings received a retrospective
questionnaire about BRS (2301 respondents). Dust was collected from groups of
offices and building characteristics were recorded. The potency of a dust sample
to induce interleukin-8 (IL-8) secretion from the lung epithelial cell line A549
was measured as the slope of the initial linear part of the concentration
response curve. Symptoms of the central nervous system (CNS) were associated with
the potency of surface dust (OR = 1.4). This association may be due to an
association between an index of CNS symptoms and dust potency in offices of 1-6
occupants (OR = 1.5). No single symptoms correlated with the potency of surface
dust. The PD was not related to single building factors. The inflammatory PD may
be used as an integrated proxy measure of biologically active compounds in dust,
reflecting health relevant properties of the dust. PRACTICAL IMPLICATIONS: The
potency of surface dust may be used as a crude measurement of the chemical and
biological exposures present in the building, and being associated with the
development of central nervous system symptoms. However, additional research is
needed to establish the predictive value of the potency of surface dust.
PMID- 18045271
TI - Indoor air pollution from biomass combustion and respiratory symptoms of women
and children in a Zimbabwean village.
AB - Rural areas of developing countries are particularly reliant on biomass for
cooking and heating. Women and children in these areas are often exposed to high
levels of pollutants from biomass combustion that is associated with a range of
respiratory symptoms. Domestic exposure to carbon monoxide (CO) and respirable
particles (RSPs) in association with respiratory symptoms among women and
children in Zimbabwe was investigated in 48 households. Health status and
household characteristics were also recorded. In this study, indoor levels of CO
and RSPs exceeded World Health Organization (WHO) air quality guidelines in over
95% of kitchens. The level of indoor air pollutants was associated with the area
of kitchen windows and the length of cooking time combined with the level of fire
combustion. Prevalence of respiratory symptoms was 94% for women and 77% for
children. In addition, women reporting respiratory symptoms were exposed to
higher levels of RSPs when compared with those reporting no respiratory symptoms.
The study results indicated that levels of indoor air pollutants in rural
Zimbabwe may contribute to respiratory symptoms in both women and children.
PRACTICAL IMPLICATIONS: Levels of respirable particles and carbon monoxide in
kitchens in rural Zimbabwe are unacceptably high and measures to reduce levels
should be undertaken. Based on the study findings, recommendations for increasing
the area of kitchen windows may be considered as a practical method of reducing
indoor air pollutants in rural Zimbabwe.
PMID- 18045272
TI - Effects of environmental tobacco smoke on respiratory health of boys and girls
from kindergarten: results from 15 districts of northern China.
AB - The effects of childhood environmental tobacco smoke (ETS) exposure on
respiratory symptoms were investigated in 6053 kindergarten-aged children
residing in 15 districts of northern China. Responses to a self-administered
questionnaire completed by parents of children from 30 kindergartens were used to
ascertain children with persistent cough, persistent phlegm, asthma symptom,
current asthma, wheeze and wheeze without asthma. In first 2 years ETS exposure
and current ETS exposure were associated with increased prevalence of persistent
cough, persistent phlegm, wheeze and wheeze without asthma. Among boys, ETS
exposure was associated with more respiratory symptoms and diseases than in
girls. ETS exposure during pregnancy was associated with asthma symptom [odds
ratio (OR), 3.00; 95% confidence interval (CI): 1.28-7.03], current asthma (OR,
3.38; 95% CI: 1.25-9.14), persistent cough (OR, 1.64; 95% CI: 1.13-2.37),
persistent phlegm (OR, 1.74; 95% CI: 1.01-3.01), wheeze (OR, 1.75; 95% CI: 1.15
2.68), and wheeze without asthma (OR, 1.46; 95% CI: 1.01-2.37) only among boys.
In boys, the adjusted ORs for increased risk of asthma symptom and current asthma
for household exposures (> or =10 cigarettes smoked per day vs. none smoked)
during workday were 2.04 (95% CI: 1.01-3.89) and 2.76 (95% CI: 1.06-9.58),
respectively. We conclude that ETS exposure increases the occurrence of
respiratory symptoms and diseases during childhood. Boys may be more susceptible
to ETS than girls. PRACTICAL IMPLICATIONS: Environmental tobacco smoke (ETS) is a
highly prevalent respiratory irritant. In agreement with previous cross-sectional
studies, our study indicates that exposure to ETS may increase the occurrence of
respiratory symptoms and diseases in children, and the association of ETS
exposure and respiratory health of children increased in strength with number of
cigarettes smoked inside the house per day during workday and day-off. Boys may
be more susceptible to ETS than girls. These findings support the view that
measures should be taken to reduce ETS exposure for children.
PMID- 18045274
TI - Stem cell transplantation in primary immunodeficiency disease patients.
AB - BACKGROUND: Primary immunodeficiency diseases (PID) are rare but have a high
associated risk of death from overwhelming infection in early childhood. Stem
cell transplantation (SCT) can be curative for PID, but standardized protocols
for each disease have not yet been established. METHODS: Between May 1995 and May
2005, nine patients diagnosed with a PID received SCT at the Department of
Pediatrics, Hokkaido University Hospital. The median age of the patients (eight
boys and one girl) was 1.0 year (range: 6 months-4 years). Five patients had
Wiskott-Aldrich syndrome (WAS), three had severe combined immunodeficiency
(SCID), and one had X-linked hyper-IgM syndrome (X-HIGM). Four patients received
bone marrow transplantation (BMT), and five received cord blood stem cell
transplantation (CBSCT). All patients, including those with SCID, received a
conditioning regimen: six (WAS and X-HIGM) received a myeloablative conditioning
regimen, and three (SCID) received a reduced-intensity conditioning regimen.
RESULTS: All the patients are alive and have stable, complete chimerism, based on
a median follow-up period of 4 years. Moreover, all patients have good immune
reconstitution, and none required immunoglobulin replacement therapy. Two
patients had significant acute graft-versus-host disease (GVHD), and three
patients had chronic GVHD. Four of the nine patients developed cytomegalovirus
(CMV) infection after SCT. CONCLUSION: The transplantation procedures appear to
have provided a permanent cure in nine PID patients. Early diagnosis and prompt
performance of SCT with an optimal donor and conditioning regimen contributed to
the favorable outcomes.
PMID- 18045275
TI - Home mechanical ventilation in children: retrospective survey of a pediatric
population.
AB - BACKGROUND: Home care support is beneficial for children needing mechanical
ventilation, when clinically stable. METHODS: A retrospective analysis was
carried out of the long-term home ventilation management of a pediatric
population with chronic respiratory failure composed of 20 ventilator-dependent
children categorized according to age, diagnosis and ventilation support. Age
groups consisted of 10% under 1 year, 30% between 2 and 5 years, 30% between 6
and 12 years, and 30% older than 12 years. Diagnostic categories included
myopathic disorder, n = 5; congenital central hypoventilation syndrome, n = 6;
chest wall disorder, n = 5; cystic fibrosis, n = 1; pulmonary hypertension, n =
1; and diaphragmatic paralysis, n = 2. RESULTS: Sixty-five percent were
ventilated using non-invasive mode (NIMV): eight with nasal mask, five with full
face mask, and two children in NIMV also used negative pressure mode; 35% were
ventilated using tracheostomy, one of them also used a diaphragmatic pacer.
Seventy percent needed nocturnal ventilatory support, (20% 12-18 h, 10% full
day). A total of 18 children were included in the home care and follow-up
program. Two children died: one because of worsening of his chronic disease and
one because of septic shock. CONCLUSION: Although home care ventilation is not
yet widely diffused, it represents a valid alternative to long hospitalization
for children with stable chronic respiratory failure.
PMID- 18045276
TI - Predictive factors for organic central precocious puberty and utility of
simplified gonadotropin-releasing hormone tests.
AB - BACKGROUND: The aim of the present study was to determine whether the clinical
presentation of patients with central precocious puberty (CPP) permits
differentiation between idiopathic and organic forms, and to examine whether
luteinizing hormone (LH) determination in single blood sample after gonadotropin
releasing hormone (GnRH) administration is sufficient to diagnose CPP. METHODS:
Potential clinical and laboratory predictors for the presence of central nervous
system (CNS) abnormalities were assessed. Sensitivities and specificities of LH
and follicle-stimulating hormone (FSH) levels at 0, 15, 30, 60, 90 and 120 min
were compared after GnRH stimulation. RESULTS: In 45 girls with signs of breast
development, 26 were diagnosed as having CPP. The age of onset in patients with
organic CPP was 4.75 +/- 2.01 years (range 1.2-7.1 years, median 5.0 years),
whereas the age in patients with idiopathic CPP was 7.09 +/- 0.87 years (range
5.0-7.9 years, median 7.0 years). This parameter is the only one showing
statistical significance. In addition, the specimen at 30 min after GnRH
stimulation yielded highest sensitivity for the diagnosis of CPP. CONCLUSIONS:
The earlier the onset of disease, the higher the possibility of presence of CNS
lesion. According to the mean GnRH-stimulated LH levels and sensitivity at each
time, a single blood sample obtained for LH determined after GnRH administration
at 30 min can be used to diagnose CPP.
PMID- 18045277
TI - Epidemiological study of sleep characteristics in Chinese children 1-23 months of
age.
AB - BACKGROUND: Sleep plays a critical role in normal development and the effects of
culture upon sleep are especially important in young children. The purpose of the
present paper was to determine the sleep times and the prevalence of sleep
problems and co-sleeping in children from Shanghai. METHODS: A cross-sectional
design incorporating parental self-report was used to investigate the sleep
related habits of 1129 children, 1-23 months of age, randomly selected from the
five districts of Shanghai, China. RESULTS: The total sleep time (TST) of Chinese
young children was less than that reported in Western populations, and the
prevalence of sleep problems (65.97%) was higher than that for Western children.
The sleep problems of children were significantly related to perinatal factors
and certain behavior problems. The rate of bed sharing did not differ between
boys and girls but significantly increased with age from 44.07% in 1-month-olds
to 71.51% in 23-month-olds. CONCLUSIONS: Sleep problems that cross cultures
result from a variety of behavioral and health problems. Nevertheless, it is
speculated that reduced TST in Chinese children may be related to factors unique
to China, such as co-sleeping and child-rearing practices.
PMID- 18045278
TI - Immunoadsorbent apheresis eliminates pathogenic IgG in childhood lupus nephritis.
AB - BACKGROUND: It is suggested that the highly cation-charged fraction of the IgG
and IgG3 subclasses may play a pathogenic role in lupus nephritis. In contrast,
immunoadsorbent therapy using a sodium dextransulfate fixed cellulose gel column
low invasive selective immunoadsorbent apheresis therapy (SDSC-IAT) has been
applied to lupus nephritis with favorable results. However, elimination using
pathogenic IgG by SDSC-IAT has never been investigated. METHOD: Two patients with
diffuse proliferative lupus nephritis were treated using SDSC-IAT concomitant
with immunosuppressive therapy. The eluates from the SDSC, and the patients'
serum obtained before and just after SDSC-IAT were subjected to an IgG charge
analysis using isoelectric focusing and immunoblotting, and also to laser
nephelometry assay, which is used for measuring IgG subclass concentration.
Indirect immunofluorescence staining was performed to detect IgG subclass
deposition in the glomerulus. RESULTS: Both of the patients had an immediate
decrease in anti-double-strand DNA antibody and in the circulating immune complex
with a following clinical improvement. Repeated biopsies demonstrated improvement
of glomerular lesions with a marked reduction of IgG and C3 deposition. The IgG
of the SDSC eluates consisted of highly cation charged (isoelectric points: 9-10)
fractions. In addition, IgG3 was specifically removed from the patients' serum
using an SDSC among the IgG subclasses. The subclass of deposited IgG in the
glomeruli showed IgG3 predominance. CONCLUSION: SDSC-IAT specifically removed the
highly cation charged fractions of IgG and IgG3 from the patients' serum and the
elimination of these fractions may have resulted in clinical improvement.
PMID- 18045279
TI - Thyroid function and volume in epileptic children using carbamazepine,
oxcarbazepine and valproate.
AB - BACKGROUND: The aim of the present study was to investigate the effects of
carbamazepine (CBZ), oxcarbazepine (OXC), and valproic acid (VPA) on thyroid
function and volume in epileptic children. METHODS: Fifty-three epileptic
children (age, 3-17 years) treated with OXC (n = 10), CBZ (n = 12), or VPA (n =
31) at least for 1 year were evaluated in terms of thyroid hormones, thyroid
stimulating hormone (TSH) levels, response to thyrotropin-releasing hormone (TRH)
stimulation test, and thyroid volumes. RESULTS: The patients in the OXC and CBZ
groups had similar total thyroxin (TT4) and free T4 (fT4) median levels that were
significantly lower than those of the VPA group (P < 0.016). Total tri
iodothyrosin median levels were lower in the CBZ group compared to the VPA group
(P < 0.016). Basal TSH levels and thyroid volumes were similar in all groups (P >
0.016). One child from the OXC group (10%), one from the CBZ group (%8.3), and
six from the VPA group (19.3%) had hypothyroidic status according to the TRH
stimulation test. No statistically significant correlations were found between
thyroid gland volume and thyroid function variables and between anti-epileptic
drug receiving time and thyroid function or thyroid volume, respectively, in any
of the groups (P > 0.05). CONCLUSIONS: Thyroid function should be evaluated
periodically in children using CBZ, OXC or VPA. The children taking VPA seems to
be at greater risk compared to children onr CBZ or OXC therapy. Except for the
basal TSH values in the VPA group, the parameters predictive for the subclinical
hypothyroid status remain to be evaluated in further studies.
PMID- 18045280
TI - Correlation between white blood cell count and metabolic syndrome in adolescence.
AB - BACKGROUND: Metabolic syndrome (MetS) is associated with increased risk for
diabetes and coronary heart disease. Data suggest that MetS starts even in
children. Thus, it is important to understand the role of MetS and the risks
related to it. Furthermore, white blood cell count (WBCC) is available in routine
examination and it has been proved to be related to risks of MetS. METHODS: A
total of 1657 subjects aged 14-19 years were enrolled, with normal WBCC (< or =10
x 10(9) cells/L). The subjects were divided into four quartiles according to WBCC
(WBCC1-4, from the lowest to highest WBCC) in both genders. RESULTS: The female
subjects had significantly lower systolic blood pressure, fasting plasma glucose
(FPG), triglyceride (TG), body mass index (BMI) and higher high-density
lipoprotein-cholesterol (HDL-C) than the male subjects. When comparing the risks
of the quartiles of WBCC, WBCC4 had significant higher BMI than WBCC1 and WBCC2
in both genders; and lower HDL-C than WBCC3 in male subjects. On multiple
regression WBCC was positively related with BMI and TG and negatively related to
HDL-C in male subjects. In female subjects only BMI was positively correlated
with WBCC. Subjects with MetS did not have significant higher WBCC than those
without MetS. CONCLUSIONS: In adolescents with normal WBCC, BMI is significantly
related to the levels of WBCC and is the earliest component of MetS to be noted
in adolescents. Elevated TG and decreased HDL-C could also be important markers
for future risk factors only in male subjects.
PMID- 18045281
TI - Are laboratory tests necessary in making the diagnosis of limb pains typical for
growing pains in children?
AB - BACKGROUND: The present study was conducted to determine if performing laboratory
tests are necessary to exclude other possible diagnoses in a child with limb
pains typical for growing pains according to history and physical examination.
METHODS: A matched case-control study was carried out on children with limb pains
who attended the Motahary clinic, Shiraz, Iran, in a 2 year period. The inclusion
criteria were limb pains, which were recurrent and lasted for more than 3 months.
The exclusion criteria were any abnormal systemic symptoms and signs, localizing
signs, joint involvement; and limp or limitation of activity. The controls were
clinically normal children matched for age and sex. Laboratory tests including
complete blood count, erythrocyte sedimentation rate, serum calcium, phosphorus,
alkaline phosphatase and rheumatoid factor were done for all patients and
controls. RESULTS: In total, 100 patients and 150 controls were included in the
study. There were no significant differences between the patients and controls
with regard to all of the measured laboratory tests. CONCLUSION: Growing pain is
a clinical diagnosis and if precise inclusion and exclusion criteria in history
and physical examination are considered, there will be no need for laboratory
tests in order to make a diagnosis.
PMID- 18045282
TI - (13)C-phenylalanine breath test correlates with liver fibrosis in postoperative
biliary atresia.
AB - BACKGROUND: Values derived from the (13)C-phenylalanine breath test (PBT) may
serve as an index for liver fibrosis and clinically predictive readings for liver
diseases in adults. In the present study the PBT was conducted in postoperative
biliary atresia (BA) children to evaluate phenylalanine metabolism in the liver,
and the results based on biochemical data, especially the index on liver
fibrosis, were compared with PBT findings. METHODS: Hepatofunctional evaluations
were conducted in 10 postoperative BA children with moderate (group B; n = 4) and
severe (group A; n = 6) liver dysfunction, and the PBT results were compared with
those of 13 normal healthy children (group C). Subjects were orally given single
bolus (13)C-phenylalanine at 3.5 mg/kg (maximum dosing: 100 mg) in the morning.
Time-related exhaled gas was periodically collected until 120 min after dosing.
The (13)CO(2) levels were monitored with gas chromatography-mass spectrometry
before and after administration, and the (13)C excretion rate, (13)C cumulative
excretion and time of maximum (13)C excretion rate were monitored accordingly.
RESULTS: Total bile acid, hyaluronic acid, type IV collagen 7S, total bilirubin
or albumin and the PBT findings were significantly correlated. The PBT findings
in group A were significantly lower those of group B, indicating that
phenylalanine metabolism was markedly attenuated in the former. CONCLUSION: The
PBT values correlated well with liver fibrosis in postoperative BA children.
Because PBT is a non-invasive approach, results from this method may serve as a
useful and reliable index for post-surgical monitoring of children operated on
for liver fibrosis.
PMID- 18045283
TI - Effect of zinc sulfate on common cold in children: randomized, double blind
study.
AB - BACKGROUND: The aim of the present randomized, double-blind, placebo-controlled
study was to determine the efficacy of zinc sulfate on the duration and severity
of common cold in children. METHODS: Children presenting at least two of 10
symptoms of common cold within the 24-48 h of the onset of illness were eligible
for the study. Children were randomized to receive either oral zinc containing
zinc sulfate or placebo. A diary was completed to record symptoms and adverse
effects. Symptoms were scored as absent (0), mild (1), moderate (2), or severe
(3). RESULTS: One hundred and fifty children participated in the study, and 120
children were included in the final analysis. The median duration of all cold
symptoms was 6 days (P = 0.20), and the median duration of nasal symptoms was 5
days in both groups (P = 0.09). However, total symptom severity scores were
significantly lower in the zinc group, starting from the second day of the study.
The lower scores in the zinc group were largely due to improvement of nasal
symptom scores. Adverse effects were similar in both groups. CONCLUSION: Zinc
sulfate had no effect on the duration of cold symptoms. However, it appears to be
effective in reducing the severity of the cold symptoms in healthy children.
PMID- 18045284
TI - Therapeutic efficacy of sequential and simultaneous treatments with interferon
alpha and lamivudine in children with chronic hepatitis B.
AB - BACKGROUND: Interferon (IFN)-alpha and lamivudine (LAM), a nucleoside analog, are
frequently used drugs for the treatment of chronic hepatitis B (CHB), and their
combined therapy has been shown to be effective. The purpose of the present study
was to examine the therapeutic efficacy of sequential and simultaneous
combination therapies of IFN-alpha and LAM in children with CHB. METHODS: A total
of 45 children with CHB, whose antibody status was positive for hepatitis B
surface antigen (HBsAg), hepatitis B envelope antigen (HBeAg), and HBV-DNA at
least for 6 months; who had alanine aminotransferase (ALT) levels 1.5-fold higher
than normal and hepatic activity index scores higher than 6, were allocated to
two groups. The first group included 24 children who were given standard dose IFN
alpha (5 MU/m(2) s.c., thrice weekly) for 6 months, followed by LAM (4 mg/kg per
day per oral, maximum 100 mg/day) for an additional 6 months (sequential therapy
group). The second group included 21 children who were given IFN-alpha and LAM
therapy simultaneously for 6 months and who continued with LAM alone for another
6 months (simultaneous therapy group). Partial response was defined as
normalization of ALT and eradication of HBV-DNA. Complete response was defined as
normalization of ALT, eradication of HBV-DNA and e seroconversion. Non-responders
were defined as having positive HBV-DNA and abnormal ALT levels. Sustained
response was defined as absence of HBsAg and presence of hepatitis B surface
antibody (anti-HBs). RESULTS: The mean age of the sequential therapy group was
12.7 +/- 4.1 years, and 16 (66.7%) of the patients were male. The mean age of the
simultaneous therapy group was 14.8 +/- 4.6 years, and 15 (71.4%) were male. In
the first group, 13 patients (54.2%) were non-responders; partial response was
observed in five patients (20.8%), and complete response was seen in six patients
(25%). Despite the occurrence of e seroconversion, normalization of ALT was not
achieved in one case. In the second group, which consisted of 21 patients, 11
subjects (52.4%) were non-responders; partial response was observed in one case
(4.8%), and complete response was seen in seven (33.3%). Sustained response was
found in two patients (9.5%). There were no significant differences between the
groups (P > 0.05). CONCLUSION: When the therapeutic efficiency of two different
treatment regimens applied for 1 year was evaluated in childhood CHB therapy, it
was remarkable that there was a sustained response and a higher complete response
in group 2, although there was no considerable difference between the therapy
results of both groups.
PMID- 18045285
TI - Factors affecting oral health habits among children with cerebral palsy: pilot
study.
AB - BACKGROUND: The aim of the present study was to investigate the factors affecting
the oral health habits of children with spastic-type cerebral palsy (CP).
METHODS: A total of 35 children with CP and their mothers were randomly selected
for this cross-sectional study. Data regarding their sociodemographic
characteristics and their oral health habits were collected during face-to-face
interviews. RESULTS: Logistic regression analysis indicated that mothers'
irregular brushing habits were a risk factor for their children's lack of regular
brushing habits (odds ratio: 6.4, P = 0.048). Additionally, a significant
association was observed between the mother's length of time between dental
visits and the length of time between children's dental visits (R(2)= 0.11, P =
0.046). CONCLUSIONS: Mothers' oral health habits, including brushing habits and
frequency of dental visits, are important factors in promoting healthy and
beneficial oral health habits in children with CP in Turkey.
PMID- 18045286
TI - Association of Helicobacter pylori infection with gastroduodenal disease,
epidemiologic factors and iron-deficiency anemia in Turkish children undergoing
endoscopy, and impact on growth.
AB - BACKGROUND: The purpose of the present paper was to investigate the relationship
between Helicobacter pylori infection and clinical symptomatology, breast-feeding
and socioeconomic level. The relationship between H. pylori and iron-deficiency
anemia (IDA) and the effect of H. pylori infection on growth were also
investigated. METHODS: The subjects consisted of 70 patients aged 4-16 years who
underwent upper gastrointestinal endoscopy for recurrent abdominal pain, nausea,
vomiting, and dyspeptic complaints during a 2 year period. Patients were divided
into two groups according to presence of histological evidence of H. pylori
infection (group 1, H. pylori positive; group 2, H. pylori negative) and groups
were compared with respect to epidemiologic characteristics, gastrointestinal
complaints, height and weight SD scores and IDA. RESULTS: Thirty-five (50%) of
the 70 patients participating in the study were H. pylori positive. The mean age
of group 1 was significantly higher than that of group 2. There were similar
characteristics and symptomatology between groups. The majority of the patients
in group 1 belonged to low socioeconomic class (class I and II; P < 0.05). The
number of the patients exclusively breast-fed for > or =4 months was
significantly higher in group 2 than in group 1. Gastritis was significantly more
frequent in group 1. Mean hemoglobin, serum Fe and ferritin levels were 11.6 +/-
1.7 g/dL, 45.0 +/- 23.2 microg/dL and 11.9 +/- 8.4 microg/dL, respectively, for
group 1 and 12.2 +/- 0.7 g/dL, 79.3 +/- 26.4 microg/dL and 42.1 +/- 31.8
microg/dL, respectively, for group 2. The mean serum Fe and ferritin levels of
group 2 were significantly higher than those of group 1. IDA was observed in 20
(57.1%) and six (17.1%) patients in groups 1 and 2, respectively. IDA was
significantly more frequent in group 1. Helicobacter pylori infection was found
to be the only variable that had significant effect on IDA. Mean SD height and
weight for group 1 were lower than those of the group 2. When the patients were
evaluated in four groups according to H. pylori and IDA status, mean height SD
score of patients with both H. pylori infection and IDA was significantly lower
than that of the patients negative for H. pylori and IDA concomitantly.
CONCLUSION: Low socioeconomic status seems to be an important risk factor for H.
pylori infection. Exclusive breast-feeding at least for 4 months can have a
protective role against H. pylori infection. Increased frequency of growth
retardation and IDA in H. pylori-infected patients in the present study supports
similar findings in the literature, although there is still need for detailed
studies to clarify the causative mechanisms.
PMID- 18045287
TI - Quantitative measurements of Hemophilus influenzae type b capsular polysaccharide
antibodies in Japanese children.
AB - BACKGROUND: Hemophilus influenzae type b (Hib) infection has a high morbidity and
mortality rate in children. The frequency of natural immunity against Hib in
Japanese children is not known, and Hib vaccine has not yet been introduced in
Japan. METHODS: Anti-capsular polysaccharide-specific IgG (anti-CP) antibody
titers were examined in serum samples from 100 children and 107 young adults who
were not vaccinated against Hib, in serum samples from eight patients with Hib
systemic infection and in 10 commercially available human immune globulin
preparations on enzyme-linked immunosorbent assay. RESULTS: A total of 44%
(44/100) of Japanese children and all patients with Hib systemic infection in the
acute phase did not have the minimum protective level of anti-CP antibodies
(>0.15 microg/mL). The rate of natural Hib immunity was lowest in children under
1 year of age and gradually increased with age. Only 3.74% (4/107) of Japanese
young adults did not have the minimum protective level of anti-CP antibodies.
Analysis of 10 commercially available human immune globulin preparations
indicated an average level of 28.25 microg anti-CP antibody/mL immune globulin
(range 14.96-44.17 microg/mL). CONCLUSIONS: Approximately half of Japanese
children are not protected against Hib infection. Therefore, Hib vaccine should
immediately be included as part of the routine immunization program in Japan. It
was also found that all tested commercially available immune globulin
preparations had high anti-CP titers. Well-controlled clinical trials of i.v.
immune globulin administration for prevention and treatment of Hib systemic
infection are needed in Japan.
PMID- 18045288
TI - Utility of diagnostic tests for detection of Helicobacter pylori in children in
northeastern Mexico.
AB - BACKGROUND: The presence of Helicobacter pylori in pediatric population has been
associated with recurrent abdominal pain (RAP), although this association is
unclear. One of the major problems in studying the role of H. pylori in RAP is
that methods used to detect the bacteria in children have poor sensitivity and
specificity. The aims of the present study were to determine the prevalence of H.
pylori in pediatric patients with RAP in northeastern Mexico and to assess the
diagnostic utility of invasive tests and serology in this population. METHODS: A
total of 40 patients (mean age, 7.9 years; range 2-16 years; F: M, 0.81), who
underwent an endoscopy procedure for RAP, were studied. The presence of H. pylori
was assessed using invasive diagnostic tests (culture, rapid urease test,
polymerase chain reaction and histology) and one non-invasive test: determination
of IgG antibodies. The prevalence of H. pylori in the present group and the
diagnostic utility for each test were evaluated. RESULTS: The prevalence of H.
pylori in the present pediatric group with RAP was 12.5-42.5% depending on the
criteria of positivity used. The non-invasive methods (serology) had acceptable
values in sensitivity and specificity in comparison with invasive tests.
CONCLUSIONS: This is the first report on prevalence of H. pylori in pediatric
patients with RAP from the northeastern region of Mexico. The prevalence of H.
pylori was low compared with the adult population in the same geographic region.
Serology had the best diagnostic utility.
PMID- 18045289
TI - Nosocomial Gram-positive bacterial infections in children: results of a 7 year
study.
AB - BACKGROUND: The aim of the present paper was to determine the rate of culture
proven nosocomial infections and evaluate the episodes of nosocomial Gram
positive (GP) bacterial infections in pediatric patients. METHODS: The data of
children with positive culture, who were diagnosed as having nosocomial infection
on the Centers for Disease Control and Prevention criteria, were examined and
only the patients with nosocomial GP bacterial infections were included in the
study. RESULTS: Between January 1997 and January 2004 a total of 836 episodes of
nosocomial GP bacterial infections were observed. The most frequently seen
nosocomial GP bacterial infections were primary bloodstream infections (BSI;
43%), ventriculoperitoneal shunt infections (18%), and nosocomial pneumonias
(11%). Coagulase-negative staphylococci (CONS; 46%) were the most common
nosocomial GP bacteria isolated, followed by Staphylococcus aureus (33%).
Methicillin resistance rates for CONS and S. aureus were 85% and 25.2%;
respectively. The mortality rate was 4% of all children with nosocomial GP
bacterial infections in the present study. CONCLUSION: In the present patients
primary BSI were the most common nosocomial GP bacterial infections and CONS were
the most frequent GP pathogen isolated. Antimicrobial resistance in GP isolates
is an increasing problem.
PMID- 18045290
TI - Post-mortem analysis for two prevalent beta-oxidation mutations in sudden infant
death.
AB - BACKGROUND: Fatty acid oxidation disorders may cause sudden and unexpected infant
death and are associated with the histological hallmark of hepatic steatosis. The
goal of the present study was to assess the value of post-mortem molecular
analysis for medium-chain acyl-coenzyme A dehydrogenase (MCAD) and mitochondrial
trifunctional protein (MTP) defects in unexplained sudden infant death (SID)
associated with fatty infiltration of the liver. MCAD catalyzes the first step of
medium-chain fatty acid oxidation while MTP catalyzes the last three steps of
long-chain fatty acid oxidation. METHODS: In a retrospective study, 220
consecutive cases of sudden and unexplained infant death certified by medical
examiners at Wake Forest University Medical Center were assessed for hepatic
steatosis. Subjects with evidence of hepatic steatosis were screened for
mutations in MCAD and MTPalpha-subunit using DNA isolated from paraffin-embedded
liver tissue, single-strand conformation variance, and nucleotide sequence
analyses. RESULTS: Sixteen cases (7.3%) were associated with diffuse micro
vesicular or mixed micro- and macro-vesicular hepatic steatosis. Two of these 16
cases (12.5%) had disease-causing mutations. One was homozygous for the prevalent
MCAD A985G mutation. The second was a compound heterozygous for the prevalent MTP
G1528C mutation and a novel 1 bp deletion in exon 18 of the MTPalpha-subunit
gene. CONCLUSIONS: A significant proportion (7.3%) of SID is associated with
hepatic steatosis. The present data support post-mortem molecular analysis for
the MCAD A985G and MTP G1528C prevalent mutations in cases of sudden and
unexplained infant death associated with hepatic steatosis.
PMID- 18045291
TI - Elevation of serum stem-cell factor in postoperative biliary atresia.
AB - BACKGROUND: Biliary atresia (BA) is one of the most common causes of neonatal
cholestasis. Stem-cell factor (SCF) has been implicated in the development of
fibrosis in various diseases. The objective of the present study was to examine
the significant role of SCF in BA. METHODS: Fifty-seven pediatric patients with
BA after Kasai operation and 30 healthy children were recruited. The mean ages of
BA patients and controls were 6.1 +/- 0.6 years and 6.1 +/- 0.7 years,
respectively. The patients were categorized into two groups according to their
serum levels of total bilirubin (TBil < 2 mg/dL, no jaundice vs TBil > or = 2
mg/dL, persistent jaundice) and alanine aminotransferase (ALT < 100 vs ALT > or =
100 U/L). The serum SCF levels were determined on commercially available enzyme
linked immunosorbent assay. RESULTS: The mean serum SCF level of the BA children
was higher than that of normal controls (748.3 +/- 17.9 pg/mL vs 582.2 +/- 17.3
pg/mL; P < 0.001). Subsequent analysis demonstrated that the BA patients with
serum ALT > or = 100 U/L had significantly greater levels of serum SCF compared
to those with serum ALT < 100 U/L (796.5 +/- 22.6 pg/mL vs 694.7 +/- 25.0 pg/mL,
respectively; P = 0.002). In addition, serum SCF levels were significantly
elevated in the patients with portal hypertension (PH) compared with those
without PH (810.0 +/- 18.8 pg/mL vs 634.1 +/- 20.1 pg/mL, P < 0.001). CONCLUSION:
The current study showed that BA patients had higher serum SCF levels compared
with controls. The significant elevation in SCF levels is associated with the
presence of PH and the degree of hepatic injury. These findings suggest that SCF
may play a part in the pathogenesis of hepatic fibrosis in BA patients after
Kasai procedure.
PMID- 18045292
TI - Human milk reduces the risk of retinal detachment in extremely low-birthweight
infants.
AB - BACKGROUND: Retinopathy of prematurity (ROP) is a major cause of blindness in
children. Because the use of oxygen is a known risk factor for development of
ROP, supplemental oxygen is used carefully. However, it does not necessarily
reduce the morbidity of ROP-induced blindness. The aim of the present study was
to identify the possible risk factors for progression to retinal detachment, a
most relevant cause of visual impairment, in extremely low-birthweight infants
(ELBWI). METHODS: The medical records of the 42 ELBWI who were admitted to the
neonatal intensive care unit in Asahikawa Kosei Hospital from April 1999 to March
2004 were retrospectively reviewed. Seven infants (16.7% of the ELBWI) developed
retinal detachment and two of them became blind. Perinatal and postnatal
variables in these infants with retinal detachment were compared with those in
infants without retinal detachment. RESULTS: A striking difference in the daily
intake of human milk was found between the infants with or without retinal
detachment when their gestational ages at birth were matched. The infants without
retinal detachment were fed more human milk (67-83% volume of total nutritional
intake) as compared to those with retinal detachment (24-38% volume of total
nutritional intake) at a specific postnatal period, 5-7 weeks postnatal age.
CONCLUSIONS: Human milk may contain some beneficial factors to reduce the
severity of ROP. Identifying these factors in human milk may contribute to
development of a strategy to rescue premature infants from blindness.
PMID- 18045293
TI - Impaired transient elevation of blood hemoglobin in response to acute hypoxia in
neonates with asplenia.
AB - BACKGROUND: It has been shown that acute hypoxia induces the transient elevation
of blood hemoglobin concentration ([Hb]) as a consequence of sympathetic-mediated
splenic contraction to maintain the supply of oxygen, and splenectomy abolishes
this phenomenon. The purpose of the present paper was to determine,
retrospectively, whether the ability of transient elevation of [Hb] against acute
hypoxia would be impaired in neonates with asplenia. METHOD: Eleven neonates who
underwent surgery from 1998 to 2003 were enrolled in this retrospective study.
They were divided into two groups: (i) five patients with asplenia syndrome with
cyanotic congenital heart disease (asplenia group); and (ii) six patients with
hypoplastic left heart syndrome who needed nitrogen gas inhalation therapy (HLHS
group). In the asplenia group simultaneous data of arterial oxygen saturation
(Sao(2)) and [Hb] were obtained before and after the temporary unexpected
decrease of percutaneous arterial oxygen saturation. In the HLHS group they were
obtained before and after nitrogen gas administration therapy. The arterial
oxygen content (Cao(2)) changes and the ratio of Cao(2) change (Cao(2) after
hypoxia divided by Cao(2) before hypoxia) were also calculated. RESULTS: In the
asplenia group [Hb] was unchanged (12.9 +/- 1.6 g/dL to 12.8 +/- 1.4, n.s.) and
Cao(2) was decreased (14.5 +/- 1.6 mL/dL to 11.9 +/- 1.1, P = 0.018). In the HLHS
group [Hb] was increased (14.6 +/- 1.3 g/dL to 15.4 +/- 1.5, P = 0.028), but
Cao(2) was changed (18.2 +/- 2.2 mL/dL to 16.7 +/- 3.0, P = 0.043). The ratio of
Cao(2) change for the HLHS group was significantly different from that of the
asplenia group (0.92 +/- 0.10, 0.83 +/- 0.10, respectively, P = 0.02).
CONCLUSIONS: Patients with asplenia syndrome have some disadvantage regarding
this protective mechanism against acute hypoxia.
PMID- 18045294
TI - Neonatal subgaleal hemorrhage: clinical presentation, treatment, and predictors
of poor prognosis.
AB - BACKGROUND: Neonatal subgaleal hemorrhage (SGH) is a rare but potentially lethal
medical emergency. The objective of the present retrospective study was to
clarify the clinical presentation, management, and factors associated with
morbidity and mortality. METHODS: The records of all newborns from January 1995
to December 2004 were reviewed for evidence of SGH, based on the presence of a
fluctuating hemorrhagic mass that crossed suture lines and extended toward the
neck. Characteristics were compared between those with a poor or a good outcome.
RESULTS: Forty-two newborns with SGH were identified, 77% having had an
instrumental delivery. The incidence was 0.6/1000 deliveries and 4.6/1000 vacuum
assisted deliveries. Thirteen patients (31%) had a poor outcome (five died, four
had epilepsy, three with severe auditory dysfunction, two with cerebral palsy,
and one with renal vein thrombosis). The group with the poor outcome had
significantly more patients who had been transferred from other hospitals (P <
0.001). Those with a poor outcome had significantly more hypotension (P < 0.001)
and seizures (P < 0.05). Laboratory findings associated with a poor outcome
included anemia, coagulopathy, metabolic acidosis, and renal impairment. Other
predictors of a poor prognosis included skull bone fracture, the need for
pressors, blood transfusion, ventilator support, intensive care unit admission,
and a longer hospital stay. CONCLUSIONS: SGH may be associated with serious
complications and death. The condition must be recognized promptly and monitored
closely. Those with poor prognostic factors should be referred for intensive
care, treated aggressively, and followed in the long term.
PMID- 18045295
TI - Hemodynamic features of congenital aortic valve stenosis with patent ductus
arteriosus in children.
AB - BACKGROUND: The aim of the present paper was to investigate the hemodynamic
features of congenital aortic valvar stenosis (AS) associated with patent ductus
arteriosus (PDA), and to develop information to guide management of AS with
coexistent PDA. METHODS: Hemodynamic measurements were performed in eight of 11
patients with AS before and following transcatheter closure of a PDA. Doppler
cardiac ultrasound and chest X-rays were performed during follow up. RESULTS: In
each of the 11 patients, aortic valve gradient was markedly reduced following
transcatheter ductal closure. In only one of them was balloon aortic
valvuloplasty necessary. CONCLUSION: Aortic valve gradient was markedly
overestimated before closure of PDA. The significance of this finding has focused
on selecting appropriate management so that a PDA is not overlooked when
intervention for AS is performed.
PMID- 18045296
TI - Tissue Doppler echocardiographic assessment of cardiac function in children with
bronchial asthma.
AB - BACKGROUND: The aim of the present study was to evaluate the role of tissue
Doppler echocardiography in assessment of ventricular function in pediatric
patients with bronchial asthma (BA). PATIENTS AND METHODS: Fifty-one pediatric
patients with BA and 30 age- and sex-matched healthy subjects were studied. BA
patients were divided into two groups: mild BA (n = 33) and moderate to severe BA
(n = 18). All subjects were examined on conventional and tissue Doppler
echocardiography, and 44 patients had pulmonary function tests on spirometry
within 1 week of echocardiographic examination. RESULTS: Conventional
echocardiographic parameters were all similar in mild asthmatic patients and
control subjects. Tricuspid E velocity, E/A ratio and isovolumetric relaxation
time (IVRT) in moderate and severe cases differed significantly from mild cases
and control subjects. E', A', E'/A' ratio and IVRT of the lateral tricuspid
annulus, and IVRT of the medial and lateral mitral annuli were different between
mild cases and control subjects. E' velocity and IVRT of the lateral tricuspid
annulus and IVRT of the medial and lateral mitral annuli were also different
between mild cases and moderate to severe cases. Pulmonary function tests
correlated well with E', E'/A' and IVRT of lateral tricuspid annulus. CONCLUSION:
Patients with BA have subclinical right ventricular diastolic dysfunction even in
the early stages. The severity of the functional impairment is parallel with the
severity of the disease. Tissue Doppler echocardiography has a greater predictive
value than conventional imaging, and is useful for evaluating ventricular
function in patients with BA.
PMID- 18045297
TI - Suppression of plasma matrix metalloproteinase-9 following montelukast treatment
in childhood asthma.
AB - BACKGROUND: Montelukast and ketotifen are commonly prescribed anti-inflammatory
medications used in the treatment of childhood asthma. METHODS: To investigate
the modulation effect of montelukast and ketotifen, the levels of exhaled nitric
oxide (eNO) and plasma matrix metalloproteinase-9 (MMP-9) were analyzed in a
group of 30 children with mild persistent asthma. RESULTS: Patients on
montelukast therapy for 8 weeks had significantly decreased levels of eNO and
plasma MMP-9, which were associated with improved symptoms and enhanced peak
expiratory flow but not significantly associated with increased level of tissue
inhibitor metalloproteinase-1 (TIMP-1). In contrast, treatment with ketotifen
produced no significant changes in these parameters until 4-6 weeks into the
therapy and no effect on plasma MMP-9. CONCLUSION: Leukotriene antagonists, such
as montelukast, may be better non-steroidal anti-inflammatory drugs for
preventing airway inflammation in mild childhood asthma.
PMID- 18045298
TI - Epidural air associated with spontaneous pneumomediastinum in children: uncommon
complication?
AB - BACKGROUND: The purpose of the present study was to evaluate the incidence of
epidural air associated with spontaneous pneumomediastinum in children. METHODS:
The subjects consisted of 25 boys and 17 girls with an age range of 3-14 years
(mean age: 8.5 years) who underwent chest computed tomography (CT) for evaluation
of spontaneous pneumomediastinum. The CT scans and medical records were reviewed
retrospectively. RESULTS: Of the 42 patients, four (9.5%) had intraspinal air on
CT. The air was interpreted as epidural in every patient. The small number of
patients with epidural air meant that there was no significant difference in age,
gender, or clinical manifestations between groups with and without epidural air.
Subcutaneous emphysema was identified in all four patients with epidural air
versus 18 out of 38 patients (47%) without epidural air. The patients with
epidural air did not have any neurologic symptoms. Irrespective of the presence
or absence of epidural air, every patient had a favorable outcome without any
serious complications. CONCLUSION: In patients with spontaneous
pneumomediastinum, epidural air may be more common than was previously realized.
It is suggested that an air leak may spread from the mediastinum into the
epidural space via the cervical fascial planes and neural foramina.
PMID- 18045299
TI - Addition of sufentanil to bupivacaine in caudal block effect on stress responses
in children.
AB - BACKGROUND: The aim of the present randomized study was to determine the effect
of adding sufentanil to bupivacaine, compared with bupivacaine alone in caudal
block, on the surgical stress response in children. METHODS: The children were
premedicated with midazolam 0.5 mg/kg. All children received induction with
nitrous oxide and sevoflurane. Anesthesia was maintained with the same volatile
agents in the both groups. The children were randomly allocated to two groups.
Group I received bupivacaine alone (n = 17) and group II received bupivacaine +
sufentanil (n = 16). Caudal block was performed with 0.25% bupivacaine 2 mg/kg
(group I) or 0.25% bupivacaine 2 mg/kg with sufentanil 0.5 microg/kg (group II)
after induction of anesthesia. Blood samples were obtained after induction of
anesthesia (T(0)) to measure baseline concentrations of cortisol, prolactin,
glucose and insulin. Additional samples were obtained 30 min after the start of
surgery (T(1)), and 60 min after the end of surgery (T(2)). RESULTS: All of the
basal values (T(0)) were within the normal ranges of the authors' laboratory for
children of this age group and there were no differences between the groups (P >
0.05). In both groups, glucose concentration increased at T(1), compared with
T(0) and T(2) (P < 0.05). The glucose concentration was unchanged at T(2)
compared with T(0) in both group (P > 0.05). In both groups, prolactin
concentration increased at T(1), compared with T(0) and decreased at T(2),
compared with T(1) (P < 0.05). Cortisol decreased at T(1) and T(2), compared with
T(0) in both groups. (P < 0.05). Insulin concentration remained unchanged at T(0)
and T(2), but increased slightly at T(1) in both groups (P > 0.05). There were no
significant differences in plasma prolactin, cortisol, glucose and insulin levels
between the two groups at T(1) and T(2) (P > 0.05). CONCLUSION: There is no
advantage in adding 0.5 microg/kg sufentanil to bupivacaine over bupivacaine
alone in the caudal block, with regard to the surgical stress response in
children.
PMID- 18045300
TI - Mycophenolate mofetil therapy for children with intractable nephrotic syndrome.
AB - BACKGROUND: Cyclosporin A (CyA) can suppress relapses and reduce proteinuria in
frequent-relapse nephrotic syndrome (FRNS) and steroid-resistant nephrotic
syndrome (SRNS). However, some patients remain resistant to CyA therapy. The
purpose of the present paper was to evaluate mycophenolate mofetil (MMF)
treatment in pediatric patients with CyA-resistant intractable nephrotic
syndrome. METHODS: MMF therapy was given to 11 patients with FRNS who had relapse
despite CyA therapy, and one patient with SRNS who had been receiving combined
therapy using steroid and CyA until immediately before the start of MMF. MMF was
administered at a daily dose of 750-1000 mg/m(2) in two divided doses. RESULTS:
Ten of the 11 patients with FRNS were able to maintain remission. Among them,
seven patients remained relapse free for 1 year, and two patients had a decrease
in the frequency of relapse after initiation of MMF therapy. One patient,
however, had repeated cycles of remission and relapse, and was considered
resistant to MMF therapy. The total prednisolone dose during the period from
month 6 to month 12 after the start of MMF therapy was significantly lower than
that during the 6 month period before the start of MMF therapy. The patient with
SRNS, who had not achieved remission despite CyA administration, had complete
remission on MMF. No serious adverse effects were seen in any of the present
patients. CONCLUSION: MMF could be useful in CyA-treatment-refractory FRNS and
CyA-resistant SRNS.
PMID- 18045301
TI - Menstrual pattern and common menstrual disorders among university students in
Turkey.
AB - BACKGROUND: Menstrual disorders may affect the life of adolescents and young
adult women, and may sometimes cause serious problems. The patterns of menstrual
cycles were analyzed for association with age of menarche, prevalence of
menstrual irregularity, dysmenorrhea, prolonged menstrual bleeding, and effect of
menstrual disorders, especially dysmenorrhea, on social activities and school
attendance among the female students. METHODS: A total of 480 students were
randomly selected and asked to complete an the anonymous questionnaire of 25
items. RESULTS: The mean age of the subjects at menarche was 12.8 +/- 1.3 years
with a range of 9-17 years. The duration between two periods and the menstrual
flow were 27.7 +/- 2.5 days and 5.8 +/- 1.4 days, respectively. The prevalence of
menstrual irregularity, prolonged menstrual bleeding and dysmenorrhea were 31.2%,
5.3% and 89.5%, respectively. Approximately 10% of dysmenorrheic subjects had
severe dysmenorrhea; and school absenteeism and need to consult a physician were
more common in those subjects. The first source of their knowledge about menarche
and menstruation was their mothers in 211 subjects (54%); only 18 subjects (4.6%)
were given a lecture about menstruation and menarche at school. CONCLUSION: The
prevalence of dysmenorrhea and menstrual irregularity was high, and most
adolescents have inappropriate and insufficient information about menstrual
problems. Hence, an education program is needed at the end of primary school
about menarche and menstrual problems.
PMID- 18045302
TI - Prevalence and clinical findings of migraine and tension-type headache in
adolescents.
AB - BACKGROUND: The majority of previous studies on headache in children and
adolescents have focused mainly on migraine. There is a paucity of population
based studies investigating the prevalence of tension-type headache (TTH). The
objectives of the present study were to estimate the prevalence of migraine and
TTH in adolescents using the 2004 International Headache Society (IHS) criteria
and to determine the sociodemographic and clinical differences between the
migraine and TTH. METHODS: Stratified group sampling was used in the present
analytic, school-based, cross-sectional study. From the city of Izmir, 2384
students aged 14-18 years were invited to complete a questionnaire. RESULTS:
Migraine was found to be more common than TTH (21.3% vs 5.1%). The prevalence
increased considerably to 29.9 and 15%, respectively, when the criteria defining
the number and duration of headaches were excluded. All types of headaches were
more frequent in female subjects but no significant difference was found in
gender between the headache groups (P= 0.073). Headache in temples, number of
siblings (more than one sibling), mother's and father's education (at least high
school graduation) were more commonly seen in students with TTH. Absenteeism rate
due to the headache was found to be higher in the migraine group than in the TTH
group (P= 0.000). CONCLUSIONS: Migraine and TTH were found to be common types of
headaches in adolescents. It was thought that, with the use of modified IHS
criteria, the number of undiagnosed patients with headache will decrease.
PMID- 18045303
TI - Preliminary early intervention study using Nursing Child Assessment Teaching
Scale in Japan.
AB - BACKGROUND: Mother-infant interactions have been acknowledged as one of the most
important elements in measuring outcomes of parent support and infant mental
health interventions. The present study was conducted to measure early
intervention outcomes using the Nursing Child Assessment Teaching Scale (NCATS),
and to identify factors that affected Japanese mother-infant interactions.
METHODS: Healthy Japanese mother-infant dyads who had lower scores on the NCATS,
indicating potential problems, were assigned to an intervention group, and
compared with a control group who had higher scores on NCATS. Health-care
professionals visited the intervention group dyads in their homes, and gave them
positive feedback on their interactions, consultations on parenting, and health
advice. The control group dyads had home visitation once every 6 months for
assessment only. RESULTS: The home visitations started at 3 months of age and
continued until 18 months. NCATS scores in both groups were significantly
different at baseline but differences faded by the end, which suggests that the
intervention promoted improved interactions in the intervention group. Two of
five factors were identified as influencing mother-infant interactions: maternal
age; and personal networks, and together they significantly explained 27-30% of
NCATS variance. CONCLUSION: The results appear to support the validity of
measuring Japanese mother-infant interactions with NCATS. This study is the first
to measure the outcomes of early intervention on Japanese dyads' interactions
using NCATS. Additional replication studies should be conducted elsewhere in
Japan, and clinical practices for promoting mother-infant interactions should
begin to assess their effectiveness with NCATS as an outcome measure.
PMID- 18045304
TI - Roles of specific cytokines in bone remodeling and hematopoiesis in Gaucher
disease.
AB - BACKGROUND: Gaucher disease type 1 and type 3 are characterized by bone disease
and hematological symptoms. It is known that monocyte/macrophage lineage is
activated in Gaucher disease, and accordingly certain cytokines are elevated in
blood. The aim of the present study was to explore the possible relationships
between cytokines and bone remodeling and hematological abnormalities in this
disease. METHODS: The concentrations of seven cytokines and two related proteins
were measured in patients with Gaucher disease type 1 and type 3 (n= 8; age
range, 2-50 years) who had received enzyme replacement therapy. RESULTS:
Concentrations of interleukin-18 and transforming growth factor-beta1 were
elevated in patients of all clinical types. Elevation of these cytokines in
Gaucher disease has not been previously reported. Analysis of correlation among
cytokines and bone-turnover markers showed that interleukin-18 concentration was
correlated with each of two bone formation markers of bone-specific alkaline
phosphatase activity and osteocalcin concentration, whereas macrophage colony
stimulating factor concentration correlated with the bone absorption marker of N
telopeptide to helix in urine. Concentrations of macrophage colony-stimulating
factor and tumor necrosis factor-alpha were inversely correlated with hemoglobin
concentration. CONCLUSIONS: Interleukin-18 and monocyte macrophage colony
stimulating factor are cytokines mainly involved in the mechanism of bone
disease, while macrophage colony-stimulating factor and tumor necrosis factor
alpha may play a role in the development of hematological abnormalities in
Gaucher disease.
PMID- 18045305
TI - Stromal cell-derived factor-1alpha improves infarcted heart function through
angiogenesis in mice.
AB - BACKGROUND: Local delivery of stromal cell-derived factor-1alpha (SDF-1) has been
demonstrated to improve hind limb ischemia through enhanced neovascularization in
animals. It was hypothesized that local administration of SDF-1 also contributes
to neovascularization of ischemic heart. METHOD: Acute myocardial infarction was
created by left coronary artery ligation in C57BL/6J mice. Immediately after
infarction induction, mice were treated by injection directly into the center of
ischemic myocardium either with saline (control group) or SDF-1 (SDF-1 group).
Cardiac function was measured on echocardiogram 2 and 4 weeks after infarction.
On week 4 mice were killed to evaluate infarction size and capillary vessel
density. To determine the contribution of bone marrow cells to angiogenesis, the
same procedures were performed on C57BL/6J chimeric mice reconstituted with green
fluorescent protein-positive bone marrow cells. RESULTS: Fractional shortening
was greater in the SDF-1 group at 4 weeks (0.31 +/- 0.06% vs 0.23 +/- 0.03%, P =
0.037). The infarct area was smaller in the SDF-1 group compared to the control
group (9.31 +/- 2.76% vs 18.07 +/- 5.69%, P = 0.028). Green fluorescent protein
positive cells accumulated predominantly at the peri-infarction site, and were
located with the capillary vessels. Capillary vessel density was significantly
increased in the SDF-1 group (13.08 +/- 4.11 vessels/mm(2) vs 34.50 +/- 7.59
vessels/mm(2), P = 0.014). CONCLUSIONS: SDF-1 protects against deterioration of
cardiac function after acute myocardial infarction by promoting angiogenesis. The
safety and long-term prognosis of this treatment remains to be determined.
PMID- 18045307
TI - Study of Japanese encephalitis and other viral encephalitis in Nepali children.
AB - BACKGROUND: A hospital-based prospective cross-sectional study was conducted in
children aged 1 month-14 years to identify the proportion of viral encephalitis
due to Japanese encephalitis (JE) and compare the clinico-laboratory profile and
outcome of JE with that of other viral encephalitis (non-JE). METHODS: All
probable cases of viral encephalitis on clinical and laboratory evaluation were
confirmed as JE on anti-JE IgM in cerebrospinal fluid (CSF) and/or serum.
Patients not having anti-JE IgM in CSF and/or serum were diagnosed as having non
JE. RESULTS: Of 94 cases, 58 were JE and 36 non-JE. Although practice of rearing
pigs at home was associated with JE (P = 0.0001), significantly higher serum
creatinine, protein, aspartate aminotransferase and CSF protein levels were
observed in non-JE. Longer duration of fever was associated with complete
recovery in JE whereas shorter duration of fever was associated with recovery in
non-JE. Risk of neurological sequelae (P = 0.01), especially hemiparesis (P =
0.03) was significantly more in JE. Sequelae were observed at 6 weeks follow up
in 18.8% of JE and 13.9% of non-JE. CONCLUSION: JE was the most common cause of
viral encephalitis in eastern Nepal and should be suspected in encephalitic
patients having pig rearing at home and neurological sequelae. Although duration
of hospitalization and complication were higher in JE, final outcome was similar
to non-JE. Longer duration of fever in JE and shorter duration of fever in non-JE
correlated with recovery, while altered sensorium and focal neurological deficit
were independent predictors of sequelae at 6 weeks only in JE and not in non-JE.
PMID- 18045306
TI - Randomized controlled trial of short-term withdrawal of i.v. immunoglobulin
therapy for selected children with human immunodeficiency virus infection.
AB - BACKGROUND: The aim of the present paper was to determine whether monthly i.v.
immunoglobulin (IVIG) could be safely discontinued in antiretroviral-treated
human immunodeficiency virus (HIV)-infected children. METHODS: In a double-blind
cross-over trial, children < or =18 years with HIV infection, well controlled on
antiretroviral therapy, were randomized to alternating courses of 3 consecutive
months of IVIG (400 mg/kg once a month) and 3 consecutive months of placebo for 1
year. The primary outcome was days of fever per month. Secondary outcomes were
frequency of serious infections, changes in HIV viral load (VL), CD4+ counts and
IgG levels. RESULTS: Fifteen children were enrolled. Using the revised pediatric
HIV clinical classification system of the Centers for Disease Control and
Prevention, eight were severely symptomatic (C), four were moderately symptomatic
(B) and three were mildly symptomatic (A). There were no statistically
significant outcome measures. The mean number of days of fever per month with
IVIG versus placebo was 0.55 days versus 1.48 days (P = 0.11). The difference was
0.9 days (95% confidence interval: +2.05 to -0.25). There were no serious
infections in either period. For the IVIG versus placebo periods, mean CD4 counts
were 970 cells/microL versus 906 cells/microL (P = 0.12), VL 2.90 log(10)
copies/mL versus 2.82 log(10) copies/mL (P = 0.70) and IgG levels were 17.41 g/L
versus 16.6 g/L (P = 0.13). CONCLUSION: In antiretroviral-treated HIV-infected
children short-term withdrawal of monthly IVIG was not associated with a
significant increase in incidence of infections or a decline in immunologic
function (CD4 count, viral load and IgG levels). These results suggest that
monthly IVIG can be safely discontinued in HIV-infected children who are
clinically stable and receiving combination antiretroviral therapy.
PMID- 18045308
TI - Risk factors for small for gestational age.
AB - BACKGROUND: The purpose of the paper was to determine the risk factors for small
for-gestational-age (SGA) infants at full term, in Japan. METHODS: The study was
conducted at four hospitals and clinics in the Tokyo metropolitan area. A
retrospective review of 2972 mothers and their infants born from singleton
pregnancies at any time during the years 2002 and 2003 was conducted. RESULTS: Of
these women, 8.4% gave birth to SGA infants. The proportion of SGA infants was
significantly higher among heavy smokers (>10 cigarettes/day; 13.7%, P < 0.01).
The odds ratio (OR) for SGA decreased significantly in proportion to the
pregnancy body mass index (OR, 0.89; 95% confidence interval [CI]: 0.84-0.94, P <
0.001). The OR of SGA for stratified maternal weight gain was 1.79 (95%CI: 1.24
2.58, P = 0.01) for weight gain < 8.0 kg; 1.16 (95%CI: 0.79-1.71, P = 0.45) for
weight gain 8.0-10.0 kg; and 0.49 (95%CI: 0.3-0.78, P < 0.01) for weight gain >12
kg. CONCLUSION: The present study clearly confirms the detrimental effect of a
low prepregnancy body mass index, low maternal weight gain and maternal smoking
during pregnancy on the incidence of SGA infants.
PMID- 18045309
TI - Are foot posture and functional health different in children with growing pains?
AB - BACKGROUND: The aim of the present paper was to investigate and compare findings
of foot posture and functional health between groups of children aged 4-6 years
with and without leg pain (described as "growing pains"). The null hypothesis:
that there is no difference in measures of either foot posture or functional
health between groups of children with and without leg pain. METHODS: A
stratified random sample of children was obtained. The children were identified
with and without leg pain using a validated questionnaire for parents. The
examiner was blind to the children's pain status. The schools and child care
centers were from each geographical quadrant of metropolitan Adelaide and a
northern rural region of South Australia. One hundred and eighty children (94
boys, 86 girls) entered and completed the study. Children whose parents returned
a completed questionnaire and consent form were entered into the study. All
participants were assessed by the one examiner. The foot posture measures used
were those found to be most reliable in previous studies and for which the intra
rater reliability of the examiner was ascertained. RESULTS: Initial analysis of
foot posture measures between the leg pain and no leg pains groups indicated a
statistically significant result for the measure of navicular height, but only on
the left side (P = 0.033). Logistic regression modeling showed that navicular
height (left foot only) was positively yet weakly related to growing pains (odds
ratio, 1.072; 95% confidence interval: 0.991-1.160) and the effect was not
significant (P = 0.08). Measures of functional health returned many statistically
significant yet weakly correlated relationships. CONCLUSIONS: The null hypothesis
of the present study was supported in terms of clinical significance. While the
foot posture measure of navicular height on the left foot was statistically
significant it was not predictive for growing pains nor clinically significant as
a measure between groups. The present study does not support the anatomical
theory for growing pains and does not find a meaningful relationship between foot
posture or functional health measures and leg pain in young children.
PMID- 18045310
TI - Hemophagocytic lymphohistiocytosis in a human immunodeficiency virus-positive
homosexual high school student.
AB - The spread of human immunodeficiency virus (HIV) infection has exploded over the
past two decades and such infections in young people are no longer uncommon.
However, the major infection route of pediatric patients remains vertical
transmission, and sexual, especially homosexual transmission, is highly unusual.
We herein describe the case of a 17-year-old boy who developed hemophagocytic
lymphohistiocytosis (HLH). Although HLH was remitted soon with dexamethasone
therapy, an HIV infection caused by homosexual transmission was detected.
PMID- 18045311
TI - Severe, widespread vasculopathy in late-onset group B streptococcal meningitis.
AB - Although improvements in antimicrobial therapy during the last few decades have
decreased mortality in childhood bacterial meningitis, some patients are left
with neurologic sequelae. Cerebrovascular complications are often associated with
unfavorable clinical outcome of the disease. We report a 36-day-old infant with
severe, widespread cerebrovascular complications due to group B streptococcal
meningitis. Magnetic resonance imaging (MRI) and magnetic resonance angiography
(MRA) contributed to a non-invasive demonstration of meningeal inflammatory
changes and vascular lesions with the meningitis in this patient.
PMID- 18045312
TI - Acute pancreatitis complicating typhoid fever in a 4-year-old girl.
AB - Pancreatitis represents an extremely rare complication of typhoid fever. Herein
we report the case of a 4-year-old Bangladeshi girl with acute pancreatitis
caused by Salmonella typhi.
PMID- 18045313
TI - Juvenile form of myasthenia gravis presenting as recurrent pulmonary infection
with atelectasis.
AB - Myasthenia gravis (MG), a chronic disease characterized by unusual fatigability
of voluntary muscles, was first described by Willis. Three forms of MG are seen
in childhood: juvenile MG, congenital MG and transient neonatal MG. Aside from
age of onset, there is no difference in terms of pathology and pathogenesis
between juvenile MG and adult-onset MG. Juvenile MG, like adult MG, appears to
result from T-cell-initiated antibodies directed against end-plate Ach receptor
protein. The onset of juvenile myasthenia can be insidious, although at times it
is rapid, often a sequel to an acute febrile illness. Generally, muscles
innervated by the cranial nerves are affected first, with bilateral ptosis being
the most common presenting sign. Generalized weakness and dysphagia are less
common presenting symptoms, while the clinical course is highly variable.
PMID- 18045314
TI - Nephrotic syndrome in mesangial proliferative lupus nephritis.
AB - Renal involvement is a major complication of systemic lupus erythematosus (SLE)
and occurs in 30-70% of patients with SLE. Lupus nephritis is classified into six
classes (I-VI) by the International Society of Nephrology and Renal Pathology
Society (ISN/RPS). Although nephrotic syndrome is commonly associated with
diffuse (ISN/RPS class IV) or membranous (ISN/RPS class V) lupus nephritis,
several reports have described nephrotic syndrome in adult patients with minimal
mesangial lupus nephritis (ISN/RPS class I) or mesangial proliferative lupus
nephritis (ISN/RPS class II). However, nephrotic syndrome in mesangial
proliferative lupus nephritis has rarely been reported in children. Although the
pathogenesis of nephrotic syndrome with mesangial lupus nephritis is incompletely
understood, three potential mechanisms have been postulated including lupus
nephritis itself, non-steroidal anti inflammatory drug (NSAID)-induced minimal
change nephrotic syndrome (MCNS) and coincidental occurrence of MCNS. We describe
here a child with mesangial proliferative lupus nephritis who developed MCNS.
PMID- 18045315
TI - Inspiratory stridor in a 12-month-old girl.
AB - Congenital tracheal stenosis is a rare disorder, characterized by the presence of
focal or diffuse complete tracheal cartilage rings, resulting in a fixed tracheal
narrowing. The entity may be seen in isolation or in conjunction with other
malformations that include an anomalous right upper lobe bronchus. We here report
the case of a 12-month-old girl who had severe bi-segmental congenital tracheal
stenosis with the interposition of a tracheal bronchus between the two stenotic
segments.
PMID- 18045316
TI - Massive periosteal reaction a presenting feature of acute megakaryocytic
leukemia.
AB - Acute megakaryoblastic leukemia (AML M7) is a biologically heterogeneous form of
acute myeloid leukemia accounting for 14.6% of cases. In many instances in the
past, AML M7 has been classified as undifferentiated leukemia, myelodysplasia,
myelofibrosis or some other disease because of its complex clinical presentation
or the difficulty of obtaining and interpreting bone marrow samples. However,
with currently available morphological, cytochemical, cytogenetic and
immunophenotypic methods, AML M7 can now be reliably diagnosed. Although the
radiographic spectrum of bony changes in leukemia have been well characterized,
skeletal X-ray abnormalities in the setting of AML M7 in pediatric patients have
been described in few reports that were associated with bone marrow fibrosis.
Here we report on a 14-month-old girl who presented with a massive periosteal
reaction of the extremities and clavicles associated with myelofibrosis, a
presenting feature of AML M7. The bone changes were very unusual in this case.
PMID- 18045317
TI - Successful high-dose methotrexate chemotherapy in a patient with acute
lymphocytic leukemia who developed acute renal failure during the initial
treatment.
AB - Methotrexate (MTX) is a key drug in the chemotherapy for childhood acute
lymphocytic leukemia (ALL). It is essential in the treatment of such areas as the
central nervous system (CNS) and reproductive organs. High-dose chemotherapy is
applied for this purpose to obtain an effective plasma concentration in the
target organs. There are three major mechanisms of nephrotoxicity related with
MTX. One is that induced by allergic reaction, which usually appears as
interstitial nephritis. In this case MTX is contraindicated. Another is direct
pharmacological toxicity against renal tubules. The third is precipitation of
MTX, which plugs the renal tubules. The latter two are consequently dose
dependent, and are usually associated with high-dose chemotherapy. To prevent
these nephrotoxicities, hydration and alkalinization of the urine are performed
to accelerate the urinary excretion and avoid the precipitation of MTX.
PMID- 18045318
TI - Langerhans cell histiocytosis manifesting as recurrent simultaneous bilateral
spontaneous pneumothorax in early infancy.
AB - Langerhans cell histiocytosis (LCH) is a rare disorder characterized by
infiltration of either single or multiple organs by a distinct cell type that is
S-100 and CD1a positive and contains ultrastructural Birbeck granules on electron
microscopy. Historically, LCH included four main clinical forms: Letter-Siwe
disease, Hand-Schuller-Christian disease, eosinophilic granuloma (together
grouped as histiocytosis) and Hashimoto-Pritzker disease. The writing group of
the Histiocytotic Society in 1987 proposed the uniform term of 'Langerhans cell
histiocytosis' to encompass all the aforementioned eponymous forms. Lung
involvement occurs in up to half of all children with multisystem disease and
usually parallels overall disease activity. Spontaneous pneumothorax (SP) occurs
in approximately 10% of children with pulmonary disease and may be a fatal
complication. Patients with pulmonary LCH are likely predisposed to the
development of pneumothorax based on destructive changes in the lung parenchyma.
Here, we report a case of multisystem LCH in which the patient presented at 2
months of age because of simultaneous bilateral pneumothorax.
PMID- 18045319
TI - New Japanese pediatric guidelines for the treatment and management of bronchial
asthma.
AB - There have been a number of guidelines for asthma treatment published throughout
the world. However, childhood asthma guidelines must be developed in
consideration of the background of the individual countries. The second version
of the Japanese Pediatric Guideline for the Treatment and Management of Bronchial
Asthma 2002 (JPGL 2002) was published by the Japanese Society of Pediatric
Allergy and Clinical Immunology (JSPACI) in November 2002, and was popular among
Japanese physicians. After the publication of the JPGL, the incidence of
pediatric asthma deaths decreased in Japan. In JPGL 2005 the recommendations were
re-edited based on Global Initiative for Asthma (GINA) and the JPGL 2002. In
comparison to the GINA guidelines, the JPGL 2005 include a classification system
of asthma severity, recommendations for long-term management organized by age, a
special mention of infantile asthma, and an emphasis on prevention and early
intervention.
PMID- 18045320
TI - Software image alignment for X-ray microtomography with submicrometre resolution
using a SEM-based X-ray microscope.
AB - Improved X-ray sources and optics now enable X-ray imaging resolution down to
approximately 50 nm for laboratory-based X-ray microscopy systems. This offers
the potential for submicrometre resolution in tomography; however, achieving this
resolution presents challenges due to system stability. We describe the use of
software methods to enable submicrometre resolution of approximately 560 nm. This
is a very high resolution for a modest laboratory-based point-projection X-ray
tomography system. The hardware is based on a scanning electron microscope, and
benefits from inline X-ray phase contrast to improve visibility of fine features.
Improving the resolution achievable with the system enables it to be used to
address a greater range of samples.
PMID- 18045321
TI - A highly reliable and budget-friendly Peltier-cooled camera for biological
fluorescence imaging microscopy.
AB - The SAC8.5, a low-cost Peltier-cooled black and white 8-bit CCD camera for
astronomy, was evaluated for its use in imaging microscopy. Two camera-microscope
configurations were used: an epifluorescence microscope (Nikon Eclipse TE2000-U)
and a bottom port laser scanning confocal microscope system (Zeiss LSCM 510
META). Main advantages of the CCD camera over the currently used photomultiplier
detection in the scanning setup are fast image capturing, stable background, an
improved signal-to-noise ratio and good linearity. Based on DAPI-labelled Chinese
Hamster Ovarian cells, the signal-to-noise ratio was estimated to be 4 times
higher with respect to the currently used confocal photomultiplier detector. A
linear relationship between the fluorescence signal and the FITC-inulin
concentrations ranging from 0.05 to 1.8 mg mL(-1) could be established. With the
SAC8.5 CCD camera and using DAPI, calcein-AM and propidium iodide we could also
distinguish between viable, apoptotic and necrotic cells: exposure to CdCl(2)
caused necrosis in A6 cells. Additional examples include the observation of wire
like mitochondrial networks in Mito Tracker Green-loaded Madin-Darby canine
kidney cells. Furthermore, it is straightforward to interface the SAC8.5 with
automated shutters to prevent rapid fluorophore photobleaching via easy to use
astrovideo software. In this study, we demonstrate that the SAC8.5 black and
white CCD camera is an easy-to-implement and cost-conscious addition to
quantitative fluorescence microfluorimetry on living tissues and is suitable for
teaching laboratories.
PMID- 18045322
TI - Statistical modelling of the geometry of planar sections of prostatic capillaries
on the basis of stationary Strauss hard-core processes.
AB - In a recent study, the capillarization of normal prostatic tissue and prostatic
carcinoma tissue was characterized by means of explorative methods of spatial
statistics. In the present paper, an attempt was made to go beyond the
explorative approach and to characterize the observed point patterns of the
capillary profiles on sections by means of a parametric model. For this purpose,
the flexible class of Gibbs processes was considered. Specifically, stationary
Strauss hard-core processes were fitted to the observed point patterns. The
goodness of fit achieved by the model was checked by simulations with the Markov
chain Monte Carlo method using the Metropolis-Hastings algorithm. Model fitting
and simulations were performed with the help of the spatstat package under R. The
observed point patterns were in some cases compatible with realizations of
stationary Strauss hard-core processes for all ranges of spatial interaction.
However, deviations from the model were found for one or more domains of ranges
in other cases. In the tumour tissue, a highly significant decrease of the
interaction parameter of the Strauss hard-core process could be found as compared
to the normal prostatic tissue. This finding is discussed in terms of a loss of
the normal lobular architecture of the glands in the tumour tissue.
PMID- 18045323
TI - Analytic solutions to modelling exponential and harmonic functions using
Chebyshev polynomials: fitting frequency-domain lifetime images with
photobleaching.
AB - A novel approach is introduced for modelling linear dynamic systems composed of
exponentials and harmonics. The method improves the speed of current numerical
techniques up to 1000-fold for problems that have solutions of multiple
exponentials plus harmonics and decaying components. Such signals are common in
fluorescence microscopy experiments. Selective constraints of the parameters
being fitted are allowed. This method, using discrete Chebyshev transforms, will
correctly fit large volumes of data using a noniterative, single-pass routine
that is fast enough to analyse images in real time. The method is applied to
fluorescence lifetime imaging data in the frequency domain with varying degrees
of photobleaching over the time of total data acquisition. The accuracy of the
Chebyshev method is compared to a simple rapid discrete Fourier transform
(equivalent to least-squares fitting) that does not take the photobleaching into
account. The method can be extended to other linear systems composed of different
functions. Simulations are performed and applications are described showing the
utility of the method, in particular in the area of fluorescence microscopy.
PMID- 18045324
TI - The large-scale digital cell analysis system: an open system for nonperturbing
live cell imaging.
AB - The Large-Scale Digital Cell Analysis System (LSDCAS) was designed to provide a
highly extensible open source live cell imaging system. Analysis of cell growth
data has demonstrated a lack of perturbation in cells imaged using LSDCAS,
through reference to cell growth data from cells growing in CO(2) incubators.
LSDCAS consists of data acquisition, data management and data analysis software,
and is currently a Core research facility at the Holden Comprehensive Cancer
Center at the University of Iowa. Using LSDCAS analysis software, this report and
others show that although phase-contrast imaging has no apparent effect on cell
growth kinetics and viability, fluorescent image acquisition in the cell lines
tested caused a measurable level of growth perturbation using LSDCAS. This report
describes the current design of the system, reasons for the implemented design,
and details its basic functionality. The LSDCAS software runs on the GNU/Linux
operating system, and provides easy to use, graphical programs for data
acquisition and quantitative analysis of cells imaged with phase-contrast or
fluorescence microscopy (alone or in combination), and complete source code is
freely available under the terms of the GNU Public Software License at the
project website (http://lsdcas.engineering.uiowa.edu).
PMID- 18045325
TI - In situ fluorescence microscopy of bacteriophage aggregates.
AB - Virus aggregation is analyzed because of its potential use for both classifying
viruses and understanding virus ecology and evolution. Virus aggregation is,
however, problematic because aggregation causes loss of virions during processing
for microscopy of any type. Thus, here we detect virus aggregation by
fluorescence microscopy of wet-mounted dissections of dilute gel-supported
plaques (in situ fluorescence microscopy) of a test virus, the long-tail
aggregating Bacillus thuringiensis bacteriophage, 0305phi8-36. Background
fluorescence is reduced to nonproblematic levels by using the dye, DAPI (4',6
diamidino-2-phenylindole), to stain viral nucleic acid. In situ fluorescence
microscopy reveals two in situ phases, one weakly fluorescent. Most
bacteriophages partition to the weakly fluorescent phase. Aggregates of
bacteriophage 0305phi8-36 are detected during fluorescence microscopy via the
following. (1) Coordinated motion is found for visibly separate particles in
solution; the motion is either thermally generated, fluid drift-induced or
mechanical pressure-induced. (2) Aggregate dissociation is observed. Some of the
larger aggregates are elastic and entangled with material of the weakly
fluorescent phase. The larger aggregates sometimes sink at 1-g within specimens.
In situ fluorescence microscopy rapidly distinguishes 0305phi8-36 from
nonaggregating bacteriophages.
PMID- 18045326
TI - Can scanning near-field optical microscopy be compared with confocal laser
scanning microscopy? A preliminary study on alpha-sarcoglycan and beta1D-integrin
in human skeletal muscle.
AB - The dystrophin-glycoprotein complex and the vinculin-talin-integrin system
constitute, together a protein machinery, called costameres. The dystrophin
glycoprotein complex contains, among other proteins, also dystrophin and the
sarcoglycans subcomplex, proteins playing a key role in the pathogenesis of many
muscular dystrophies and linking the cytoplasmic myofibrillar contractile
elements to the signal transducing molecules of the extracellular matrix, also
providing structural support to the sarcolemma. The vinculin-talin-integrin
system connects some components of the extracellular matrix with intermediate
filaments of desmin, forming transverse bridges between Z and M lines. In our
previous reports we always studied these systems by confocal laser scanning
microscopy (CLSM). In this paper we report on the first applications of optical
near-field fluorescence microscopy to the spatial localization of alpha
sarcoglycan and beta1D-integrin in human skeletal muscle fibres in order to
better compare and test the images obtained with conventional CLSM and with
scanning near-field optical microscopy (SNOM). In addition, the analysis of the
surface morphology, and the comparison with the fluorescence map is put forward
and analyzed for the first time on human muscle fibres. In aperture-SNOM the
sample is excited through the nanometre-scale aperture produced at the apex of an
optical fibre after tapering and subsequent metal coating. The acquisition of the
topography map, simultaneously to the optical signal, by SNOM, permits to exactly
overlap the fluorescence images obtained from the two consecutive scans needed
for the double localization. Besides, the differences between the topography and
the optical spatial patterns permit to assess the absence of artefacts in the
fluorescence maps. Although the SNOM represented a good method of analysis, this
technique remains a complementary method to the CLSM and it can be accepted in
order to confirm the hypothesis advanced by CLSM.
PMID- 18045327
TI - Optimization of multiphoton excitation microscopy by total emission detection
using a parabolic light reflector.
AB - We have constructed a device that maximizes the probability of collecting all of
the scattered and ballistic light isotropically generated at the focal spot of
multiphoton excited emissions (MPE) to optimize the signal-to-noise ratio (SNR)
for micro-imaging. This was accomplished by optically coupling a parabolic
reflector (that surrounds the sample and top of the objective) to a pair of
collimating lenses (above the sample) that redirects emitted light to a separate
detector. These additional optics, combined with the objective, allow the total
emission detection (TED) condition to be approached. Numerical simulations
suggest an approximately 10-fold improvement in SNR with TED. Comparisons between
the objective detection and TED reveal an enhancement of 8.9 in SNR (77% of
predicted) for GFP-labelled brain slices and similar results for fluorescent
beads. This increase in SNR can be used to improve time resolution, reduce laser
power requirements/photodynamic damage, and, in certain cases, detection depth,
for MPE imaging techniques.
PMID- 18045328
TI - Analytical TEM study of annealed nanocrystalline cobalt-phosphorous
electrodeposits.
AB - Investigation of thermal stability of two nanocrystalline Co-P alloys shows that
P atoms segregate to the grain boundaries upon annealing until precipitation of
Co(2)P and CoP precipitates takes place. The P-rich precipitates formed have been
investigated by analytical transmission electron microscopy to obtain statistical
results of precipitate size, volume fraction and spatial distribution. Electron
spectroscopic imaging maps show that the P-rich precipitates are 33 +/- 9 nm in
Co-1.1at.%P and 33 +/- 12 nm in Co-3.2at.%P. The main differences between the
alloys are the precipitate size distribution (Co-3.2at.%P having broader
distribution) and precipitate volume number density (Co-3.2at.%P has 1.8 times
more precipitates than Co-1.1at.%P). The volume fraction of precipitates is 3.0%
in Co-1.1at.%P and 4.4% in Co-1.1at.%P. Most of the precipitates are of nearly
spherical or slightly elongated shape, and only a few have a platelet-like shape
as expected from previous tomographic atom probe measurements. Due to the
truncation and projection effects, the composition of the precipitates could not
be determined.
PMID- 18045329
TI - The morphology of the inner ear from the domestic pig (Sus scrofa).
AB - The morphology of the hair cells of the inner ear end organs from the domestic
pig (Sus scrofa) have been studied using a combination of Scanning and
Transmission Electron Microscopy (SEM and TEM), revealing hair cells from the
cochlea and vestibule using a novel surgical and technical approach. This is the
first time that the inner ear hair cells from S. scrofa have been studied, thus
providing useful anatomical information on the morphology of the hair cells from
the cochlea, saccule and utricle from a large mammal. Anatomical information in
relation to the morphology of the inner ear is of considerable importance, both
in the pathological diagnosis of trauma and in the development of cochlea
implants and other biotechnological systems associated with the enhancement of
hearing. Standard fixation protocols using cardiac perfusion was not employed in
this study as this method cannot always be applied, such as the pathological
examination of the human ear, or the study of animals protected by endangered
species legislation. With the exception of a very few countries, cetaceans cannot
be killed for research purposes, yet physiological information on the inner ear
from these species is urgently required for ecological assessment reasons.
Supporting the use of S. scrofa as a model for cetacean hearing research is that
this animal is a member of the order Artiodactyla, which includes both the
hippopotamus and cetaceans. Being of a similar size, the pig is an ideal subject
for developing protocols and surgical techniques required to investigate both the
human and small cetacean auditory systems.
PMID- 18045330
TI - Morphological analyses of minute crystals by using stereo-photogrammetric
scanning electron microscopy and electron back-scattered diffraction.
AB - We present a new method for the morphological analyses of minute faceted crystals
by combining stereo-photogrammetric analysis of scanning electron microscope
images and electron back-scattered diffraction. Two scanning electron microscope
images of the same crystal, recorded at different tilt angles of the specimen
stage, are used to determine the orientations of crystal edges in a specimen
fixed coordinate system. The edge orientations are converted to the indices [uvw]
in the crystal system using the crystal orientation determined by electron back
scattered diffraction analysis. The Miller indices of crystal facets are derived
from the indices of the edges surrounding the facets. The method is applicable to
very small crystal facets. The angular error, as derived from tests using a
calcite crystal of known morphology, is a few degrees. To demonstrate the
applicability of the method, the morphology of boehmite (gamma-AlOOH)
precipitated from solution during the dissolution of anorthite was analyzed. The
micrometre-sized boehmite crystals are surrounded by two {010} basal facets and
eight equivalent side facets that can be indexed equally well as {323}, {434} or
{545}. We suggest that these side facets are in fact {111}, the morphology having
been modified slightly (by a few degrees) by a small extension associated with
opening along (010) microcleavage planes. Tiny {140} facets are also commonly
observed.
PMID- 18045331
TI - Near-field photothermal microspectroscopy for adult stem-cell identification and
characterization.
AB - The identification of stem cells in adult tissue is a challenging problem in
biomedicine. Currently, stem cells are identified by individual epitopes, which
are generally tissue specific. The discovery of a stem-cell marker common to
other adult tissue types could open avenues in the development of therapeutic
stem-cell strategies. We report the use of the novel technique of Fourier
transform infrared near-field photothermal microspectroscopy (FTIR-PTMS) for the
characterization of stem cells, transit amplifying (TA) cells and terminally
differentiated (TD) cells in the corneal epithelium. Principal component analysis
(PCA) data demonstrate excellent discrimination of cell type by spectra. PCA in
combination with linear discriminant analysis (PCA-LDA) shows that FTIR-PTMS very
effectively discriminates between the three cell populations. Statistically
significant differences above the 99% confidence level between IR spectra from
stem cells and TA cells suggest that nucleic acid conformational changes are an
important component of the differences between spectral data from the two cell
types. FTIR-PTMS is a new addition to existing spectroscopy methods based on the
concept of interfacing a conventional FTIR spectrometer with an atomic force
microscope equipped with a near-field thermal sensing probe. FTIR-PTMS
spectroscopy currently has spatial resolution that is similar to that of
diffraction-limited optical detection FTIR spectroscopy techniques, but as a near
field probing technique has considerable potential for further improvement. Our
work also suggests that FTIR-PTMS is potentially more sensitive than synchrotron
radiation FTIR spectroscopy for some applications. Microspectroscopy techniques
like FTIR-PTMS provide information about the entire molecular composition of
cells, in contrast to epitope recognition that only considers the presence or
absence of individual molecules. Our results with FTIR-PTMS on corneal stem cells
are promising for the potential development of an IR spectral fingerprint for
stem cells.
PMID- 18045332
TI - Evaluation of intragranular misorientation parameters measured by EBSD in a hot
worked austenitic stainless steel.
AB - An extensive characterization of hot deformed austenitic stainless steel was
carried out using the electron backscatter diffraction technique. Special
emphasis was given to the misorientation parameters related to different length
scales. These parameters show a behaviour that is sensitive to the amount of
applied strain and also lead to increasing values for both the strain and the
scale length. At the same time, the use of different thresholds and scan steps in
the evaluation of the parameters were analyzed in order to assess the validity of
the results.
PMID- 18045333
TI - Modular software platform for low-dose electron microscopy and tomography.
AB - Transmission electron microscopy imaging protocols required by structural
scientists vary widely and can be laborious without tailor-made applications. We
present here the jeol automated microscopy expert system (james) api integrator,
a programming library for computer control of transmission electron microscopy
operations and equipment. james has been implemented on JEOL microscopes with
Gatan CCDs but is designed to be modular so it can be adapted to run on different
microscopes and detectors. We have used the james api integrator to develop two
applications for low-dose digital imaging: james imaging application and the mr t
tomographic imaging application. Both applications have been widely used within
our NCRR-supported Center for routine data collection and are now made available
for public download.
PMID- 18045335
TI - Automated electron tomography with scanning transmission electron microscopy.
AB - We report the successful implementation of a fully automated tomographic data
collection system in scanning transmission electron microscopy (STEM) mode.
Autotracking is carried out by combining mechanical and electronic corrections
for specimen movement. Autofocusing is based on contrast difference of a focus
series of a small sample area. The focus gradient that exists in normal images
due to specimen tilt is effectively removed by using dynamic focusing. An
advantage of STEM tomography with dynamic focusing over TEM tomography is its
ability to reconstruct large objects with a potentially higher resolution.
PMID- 18045334
TI - Evaluating performance in three-dimensional fluorescence microscopy.
AB - In biological fluorescence microscopy, image contrast is often degraded by a high
background arising from out of focus regions of the specimen. This background can
be greatly reduced or eliminated by several modes of thick specimen microscopy,
including techniques such as 3-D deconvolution and confocal. There has been a
great deal of interest and some confusion about which of these methods is
'better', in principle or in practice. The motivation for the experiments
reported here is to establish some rough guidelines for choosing the most
appropriate method of microscopy for a given biological specimen. The approach is
to compare the efficiency of photon collection, the image contrast and the signal
to-noise ratio achieved by the different methods at equivalent illumination,
using a specimen in which the amount of out of focus background is adjustable
over the range encountered with biological samples. We compared spot scanning
confocal, spinning disk confocal and wide-field/deconvolution (WFD) microscopes
and find that the ratio of out of focus background to in-focus signal can be used
to predict which method of microscopy will provide the most useful image. We also
find that the precision of measurements of net fluorescence yield is very much
lower than expected for all modes of microscopy. Our analysis enabled a clear,
quantitative delineation of the appropriate use of different imaging modes
relative to the ratio of out-of-focus background to in-focus signal, and defines
an upper limit to the useful range of the three most common modes of imaging.
PMID- 18045336
TI - Circadian variation of the Ca2+ -PTH curve during hypercalcaemia in dogs.
AB - To test the hypothesis that the parathyroid hormone (PTH) response to
hypercalcaemia is influenced by circadian rhythms, the Ca2+ -PTH curve was
studied in six dogs after infusion of CaCl2 (0.66 mEq/kg/h) at daytime (09:00 h)
and at night-time (21:00 h). Plasma Ca2+ and PTH values measured before or after
CaCl2 infusion were not different at day and at night. However, in the recovery
from hypercalcaemia, PTH concentrations were significantly lower (P < 0.05) at
21:00 h (23 +/- 7.5 pg/ml at Ca2+ = 1.30 mm) than at 09:00 h (38.8 +/- 6.9 pg/ml
at Ca2+ = 1.30 mm). In addition, the Ca2+ -PTH curve showed hysteresis at daytime
(for the same Ca2+ concentration, PTH values were higher during recovery than
during induction of hypercalcaemia) but not at night-time (PTH values were lower
during recovery than during induction of hypercalcaemia). In conclusion, a
circadian variation in the PTH secretory pattern during recovery from
hypercalcaemia has been identified in dogs.
PMID- 18045337
TI - Development-related expression of KGF and FGF-10 mRNA in the canine prostate
gland.
AB - Keratinocyte growth factor (KGF) and fibroblast growth factor 10 (FGF-10) are
stromal-derived growth factors that interact with their epithelial FGFR2
receptors to mediate stromal--epithelial cell interaction within the prostate
gland. This study was conducted to compare the development-related mRNA
expression of KGF, FGF-10 and their receptor FGFR2 in immature and mature canine
prostate glands. In addition, their expression levels were correlated with the
differentiation of stromal cells using vimentin as a mesenchymal cell marker.
Quantitative mRNA expression was assessed by real-time polymerase chain reaction
(PCR) and the results were expressed as relative mRNA expression of the target
gene, which was normalized to the GAPDH reference gene. mRNA analysis revealed a
differential expression of KGF, FGF-10 and FGFR2 receptor by the prostate glands
of immature and mature dogs. The results showed a 7.3- and 9-fold decrease in
mRNA expression of KGF and FGF-10 by mature and immature prostate glands
respectively. However, there was no significant change in FGFR2 receptor mRNA
expression by mature or immature prostate glands. This downregulation of KGF and
FGF-10 expression was associated with a 15-fold decrease in vimentin expression.
These results indicate that KGF and FGF-10 expression varied according to the
differentiation status of stromal cells and might reflect differential
developmental requirements of immature and mature canine prostate glands.
PMID- 18045338
TI - Detection and localization of naturally transmitted avian leukosis subgroup J
virus in egg-type chickens by in situ PCR hybridization.
AB - Avian leukosis virus (ALV) subgroup J (ALV-J) is an exogenous ALV and causes
myeloid leukosis in meat-type chickens. We have previously reported the isolation
and identification of ALV-J in commercial layer flocks from 12 farms in northern
China. In this report, we further characterized this virus by in situ polymerase
chain reaction (PCR) hybridization in various affected organs of chickens from
six of the 12 farms. A routine method for hybridization of nucleic acid uses
radioactive probe, such as a P32-labelled probe. We found that the non
radioactive digoxigenin (DIG) probe is sensitive enough to detect the nucleic
acid of virus in chicken tissues. We used a pair of published primers (H5/H7)
specific to the gp85 envelope gene and 3' region of pol gene of prototype ALV-J
strain HPRS-103. The total RNA extracted from tumour, bone marrow, oviduct, liver
and spleen of the diseased chickens from six commercial flocks, and cDNA was
successfully amplified. Using the primers and cDNA, we obtained an ALV-J-specific
cDNA probe of 545 bp in length by PCR. In situ PCR with H5/H7 primers was carried
out in the paraffin sections from tissues of the diseased chickens, followed by
in situ hybridization using the DIG-labelled cDNA probe. Positive hybridization
signals were detected in the cytoplasm of paraffin sections of tumours and other
organ tissues. The intensity of the signals was documented using an image
analysis system measuring integral optical density (IOD). The IOD values for
tissue sections treated by in situ PCR hybridization are significantly higher
than that by in situ hybridization alone (P < 0.01). These data taken together
suggest that in situ PCR hybridization is a more sensitive technique for
detection of ALV-J in tissue sections.
PMID- 18045339
TI - Identification and antimicrobial susceptibility of bacteria and yeasts isolated
from healthy dogs and dogs with otitis externa.
AB - The bacterial and fungal flora of the external ear canal of dogs with otitis
externa and of healthy dogs were studied. The most frequently isolated
microorganism from otitic ears was Staphylococcus intermedius (58.8%), followed
by Malassezia pachydermatis (30.9%), Streptococcus canis (29.9%), Proteus spp.
(14.4%) and Escherichia coli (10.3%). A statistical analysis of our results
showed that the prevalence of these microorganisms is significant in dogs with
otitis externa. Furthermore, the antimicrobial susceptibility patterns of
isolated strains were determined. Majority of all bacterial isolates were most
susceptible to gentamicin. Malassezia pachydermatis, the most prevalent yeast in
this study, showed an excellent level of susceptibility to all antifungal agents
tested.
PMID- 18045340
TI - Proliferation of pulmonary artery smooth muscle cells in the development of
ascites syndrome in broilers induced by low ambient temperature.
AB - Pulmonary vascular remodelling, mainly characterized by arterial medial
thickening, is an important pathological feature of broiler ascites syndrome
(AS). Since vascular smooth muscle cells (VSMC) form the major cellular component
of arterial medial layer, we speculate that VSMC proliferation is one of the
causes of pulmonary arterial medial thickening in ascitic broilers. Hence, the
present study was designed to investigate the role of VSMC proliferation in
pulmonary vascular remodelling in development of AS induced by low ambient
temperature. Broilers in control group (22 +/- 1.5 degrees C) and low temperature
group (11 +/- 2 degrees C) were sampled every week at 15-50 days of age.
Proliferative indexes of VSMC in pulmonary arteries were assessed with
proliferating cell nuclear antigen, and the relative medial thickness (RMT) and
relative wall area (RWA), as indexes of pulmonary vascular remodelling, were
examined by computer-image analysing system. The results showed that the high
incidence (18.75%) of AS was induced by low temperature, and a significantly
increased VSMC proliferation was observed in pulmonary arteries in the low
temperature group at 22-50 days of age (P < 0.05). In addition, RMT and RWA in
pulmonary arteries were significantly elevated in the low temperature group from
36 days of age (P < 0.05), indicating that pulmonary vascular remodelling
occurred following VSMC proliferation in AS. Our data suggest that proliferation
of VSMC may facilitate pulmonary vascular remodelling and have a pivotal role in
AS induced by low ambient temperature.
PMID- 18045341
TI - Immunohistochemical detection of porcine teschovirus antigen in the formalin
fixed paraffin-embedded specimens from pigs experimentally infected with porcine
teschovirus.
AB - Porcine teschovirus (PTV) antigens were detected by a streptavidin-biotin complex
method in formalin-fixed paraffin-embedded tissues of 3-week-old pigs that had
been inoculated intravenously with PTV Talfan strain. PTV antigens were detected
in cytoplasm of nerve cells, glial cells and endothelial cells in the cerebellar
nuclei, the grey matter of the midbrain, pons and medulla oblongata and the
ventral horn of the spinal cord and of ganglion cells in the spinal ganglion
corresponding to those lesions characterized as non-suppurative encephalomyelitis
and ganglionitis. The results of this study suggest that nerve cells of the brain
stem and spinal cord and ganglion cells of the spinal ganglion permit PTV
replication and represent the main target cell population of PTV. This is the
first study to demonstrate PTV antigen by immunohistochemistry in formalin-fixed
paraffin-embedded tissue specimens from pigs infected with PTV.
PMID- 18045342
TI - A case of intravascular lymphoma with mixed lineage antigen expression in a cat.
AB - A 3-year-old neutered male British shorthair cat was presented to the Clinic for
Small Animal Medicine, Internal Medicine and Clinical Pathology, at the Justus
Liebig-University Giessen with a short history of lethargy, severe dyspnoea,
fever, anaemia, thrombocytopenia and hyperbilirubinaemia. In blood smears and
lymph node aspirates, large pleomorphic lymphatic blasts were detected. On
necropsy splenic infarcts and moderate hydrothorax as well as moderate ascites
could be observed. Histological examination revealed large numbers of lymphoid
blasts within the lumen of the vessels of the lung, lymph nodes, the liver, the
chorioid coat of the eyes, in meningeal vessels, arteries and veins of the brain,
and within the larger splenic vessels. Neoplastic cells immunohistochemically
stained positive for CD45R and CD3. Based on these findings, an intravascular
lymphoma was diagnosed.
PMID- 18045343
TI - Kinematic gait analysis of the hind limb after tibial plateau levelling osteotomy
and cranial tibial wedge osteotomy in ten dogs.
AB - This study identifies and compares the kinematic gait changes occurring in tibial
plateau levelling osteotomy (TPLO) and cranial tibial wedge osteotomy (CTWO)
limbs after transection of the cranial cruciate ligament (CrCL). Ten, healthy,
adult beagle dogs were assigned to TPLO (five dogs) and CTWO (five dogs) groups.
Hind limb kinematics were assessed, while dogs were trotted at speeds ranging
from 2.0 to 2.3 m/s. The animals were evaluated preoperatively (prior to TPLO and
CTWO surgery) and at both 8 and 12 weeks after surgery. Two-dimensional
evaluation was synchronized to obtain the three-dimensional coordinates using the
APAS motion analysis software. Gait patterns were assessed by measuring stifle,
tibiotarsal joint angles and stifle joints angular velocity. Stifle and
tibiotarsal joint functions were not affected by TPLO surgery, but stifle and
tibiotarsal joint angles were changed, following CTWO surgery, compared with
their preoperative values. The angular velocity patterns of CTWO were
characterized by increased stifle joint extension velocity from the middle to end
swing phase and decrease in the peak velocities (flexion) during swing phase.
None of these changes was observed in the stance phase after the CTWO surgery.
These kinematic results showed that dogs that underwent a CTWO procedure were
more likely to have significantly hyperextended gait patterns of the swing phase
postoperatively than the dogs that had a TPLO procedure for repair of a ruptured
CrCL.
PMID- 18045344
TI - Effects of 4-chloro-2,6-bis-(2-hydroxyl-benzyl)-phenol on healing of skin wounds
and growth of bacteria.
AB - In this investigation, the effects of synthesized 4-chloro-2,6-bis-(2-hydroxyl
benzyl)-phenol (CBHBP) on cutaneous wound healing and growth of some of the wound
contaminating microorganisms were studied. The antibacterial effects of this
compound were then evaluated on Staphylococcus aureus (S. aureus), Pseudomonas
aeruginosa (P. aeruginosa), Escherichia coli (E. coli) and Klebsiella spp., using
solid dilution method. It was demonstrated that CBHBP has a significant
antimicrobial activity against S. aureus but it is not effective in the case of
other microorganisms studied in this experiment. The effect of local
administration of CBHBP on healing of a standard full-thickness 2 cm skin
incision of skeletally mature rats was evaluated. Histological changes together
with mechanical properties and dry weight content of the healing tissues at the
site of the lesions were assessed in treated and untreated animals. It was
observed that the injured area of the treated animals was more organized and
showed more fibroblasts and less inflammatory cells. Much better maturation
criteria in treated tissues were observed in comparison with those of the
untreated ones which contained numerous polymorphonuclear inflammatory cells
after 14 days post-injury. Many infiltrated macrophages and lymphocytes were
present even 28 days after injury induction in the haphazardly organized dermis
and also in subcutaneous tissues of the untreated animals. The percentage dry
weight content of the treated lesions at 14 days post-injury was remarkably
higher than those of the untreated animals. The results of biomechanical tensile
testing showed that the ultimate tensile strength and stress of the injured skin
of the treated animals were higher than those of the untreated ones. From these
results, it could be concluded that CBHBP can be effective on wound healing and
may be considered as a treatment regimen after evaluating its mechanism of action
as well as testing its contraindications.
PMID- 18045345
TI - Effect of hydroxyethyl starch solution in normal horses and horses with colic or
acute colitis.
AB - Hydroxyethyl starch (HES) solution is an effective colloidal infusion solution in
humans for treatment of hypovolaemic shock, but it has not been compared with
fluids currently available for use in horses. On the basis of plasma-expanding
effect of HES in normal horses, a 10% medium-molecular 200/0.5 solution of HES
was subsequently tested in hypovolaemic horses. Six normal horses were given five
protocols of a single infusion of HES at varying dosage rates (5, 10, 15 ml
HES/kg), as well as isotonic saline (15 ml/kg) and hypertonic saline (4 ml/kg
b.w.). Dehydrated horses suffering from acute colitis or those which had been
treated surgically for ileus of the small or large intestine were given an i.v.
infusion of 10 ml HES/kg in combination with 10 ml saline/kg. Clinical data and
blood samples for testing were taken before the infusion, and then 10 min, 1 h,
2, 4, 6, 8, 10, 12 and 24 h after infusion (a.i.). A significant decrease in
haematocrit was observed in protocol 1-5 for a period of up to 4, 4, 10 h, 10 min
and up to 10 min; in group of colitis, during the entire 24-h testing period, and
in groups of ileus of small intestine and of large intestine, up to 4 and 10 h
a.i. HES decreases better and longer-lasting haematocrit and total protein than
either isotonic or hypertonic saline. Half-life of HES increases due to higher
dosage (5.83, 7.63 and 11.48 h) and distribution is exclusively intravascular. In
normal horses of protocol 1-3 using HES aPTT, sodium and potassium were within
the physiological range. Serum amylase activity is increased in horses using HES.
On the basis of this clinical study, the decreasing effect of urea and creatinine
in colic patients after surgery and fewer instances of postoperative ileus a
dosage of 10 ml HES/kg could be recommended.
PMID- 18045346
TI - Intra-tumoral gene delivery of feIL-2, feIFN-gamma and feGM-CSF using
magnetofection as a neoadjuvant treatment option for feline fibrosarcomas: a
phase-I study.
AB - Despite aggressive pre- or postoperative treatment, feline fibrosarcomas have a
high relapse rate. In this study, a new treatment option based on immune
stimulation by intra-tumoral delivery of three feline cytokine genes was
performed. The objective of this phase-I dose-escalation study was to determine a
safe dose for further evaluation in a subsequent phase-II trial. Twenty-five
client-owned cats with clinical diagnosis of fibrosarcoma - primary tumours as
well as recurrences - entered the study. Four increasing doses of plasmids coding
for feIL-2, feIFN-gamma or feGM-CSF, respectively, were previously defined. In
groups I, II, III and IV these doses were 15, 50, 150 and 450 microg per plasmid
and a corresponding amount of magnetic nanoparticles. Two preoperative intra
tumoral injections of the magnetic DNA solution were followed by magnetofection.
A group of four control cats received only surgical treatment. Side effects were
registered and graded according to the VCOG-CTCAE scale and correlated to
treatment. Statistical analyses included one-way anova, post hoc and Kruskal
Wallis tests. ELISA tests detecting plasma feIFN-gamma and plasma feGM-CSF were
performed. One cat out of group IV (450 microg per plasmid) showed adverse events
probably related to gene delivery. As these side effects were self-limiting and
occurred only in one of eight cats in group IV, this dose was determined to be
well tolerable. Altogether six cats developed local recurrences during a 1-year
observation period. Four of these cats had been treated with dose IV. Regarding
these observations, a subsequent phase-II trial including a representative amount
of cats should be tested for the efficacy of dose IV as well as dose III.
PMID- 18045347
TI - Pharmacokinetics and mammary residual depletion of erythromycin in healthy
lactating ewes.
AB - The aim of this investigation was to examine the pharmacokinetics and mammary
excretion of erythromycin administered to lactating ewes (n = 6) by the
intravenous (i.v.), intramuscular (i.m.) and subcutaneous (s.c.) routes at a
dosage of 10 mg/kg. Blood and milk samples were collected at pre-determined
times, and a microbiological assay method was used to measure erythromycin
concentrations in serum and milk. The concentration-time data were analysed by
compartmental and non-compartmental kinetic methods. The serum concentration-time
data of erythromycin were fit to a two-compartment model after i.v.
administration and a one-compartment model with first-order absorption after i.m.
and s.c. administration. The elimination half-life (t(1/2beta)) was 4.502 +/-
1.487 h after i.v. administration, 4.874 +/- 0.296 h after i.m. administration
and 6.536 +/- 0.151 h after s.c. administration. The clearance value (Cl tot)
after i.v. dosing was 1.292 +/- 0.121 l/h/kg. After i.m. and s.c. administration,
observed peak erthyromycin concentrations (Cmax) of 0.918 +/- 0.092 microg/ml and
0.787 +/- 0.010 microg/ml were achieved at 0.75 and 1.0 h (Tmax) respectively.
The bioavailability obtained after i.m. and s.c. administration was 91.178 +/-
10.232% and 104.573 +/- 9.028% respectively. Erythromycin penetration from blood
to milk was quick for all the routes of administration, and the high AUC milk/AUC
serum (1.186, 1.057 and 1.108) and Cmax-milk/Cmax-serum ratios reached following
i.v., i.m. and s.c. administration, respectively, indicated an extensive
penetration of erythromycin into the milk.
PMID- 18045348
TI - Insulin-like growth factor I concentration in dogs with inflammatory and
neoplastic liver diseases.
AB - Liver diseases are known to influence the serum concentration of insulin-like
growth factor I (IGF-I) in humans, but such an effect has rarely been
investigated in dogs. The aim of this study was to investigate serum IGF-I
concentrations in dogs with primary liver diseases, in comparison with levels in
healthy dogs and dogs with non-hepatic diseases. For this purpose, IGF-I serum
concentrations were measured (DSL-5600 kit) in 36 dogs with various liver
diseases and compared with 22 healthy controls and 20 dogs with non-hepatic
diseases. The results showed that dogs with liver diseases had significantly
lower IGF-I serum concentrations (P < 0.001) than clinical healthy dogs or dogs
with non-hepatic diseases. But the results also indicate that the aetiology of
liver disease has no influence on IGF-I serum concentration.
PMID- 18045349
TI - Third degree atrioventricular block and accelerated idioventricular rhythm
associated with a heart base chemodectoma in a syncopal Rottweiler.
AB - A 7-year-old male intact Rottweiler was presented with a 1-week history of
lethargy, anorexia, vomiting and multiple syncopal events. The results of the
clinical examination and electrocardiography were consistent with a third degree
atrioventricular block and an intermittent accelerated idioventricular rhythm.
Haematology, serum biochemistry, serology for Borrelia burgdorferi, blood
culture, total T4, thoracic radiography and echocardiography did not reveal the
cause of the arrhythmia. Response to medical treatment with isoproterenol was
poor. Pacemaker placement was declined by the owners and the dog was euthanized
at their request. Histopathological examination of the heart revealed a
chemodectoma at the base of the heart. There was no neoplastic infiltration of
the conduction tissue. Potential mechanisms explaining the association of the
arrhythmias and the tumour, such as vagal stimulation and neuroendocrine factors
are discussed.
PMID- 18045350
TI - Prolonged gestation in two Holstein cows: transabdominal ultrasonographic
findings in late pregnancy and pathologic findings in the fetuses.
AB - Prolonged pregnancy in cattle is a rare condition that is commonly accompanied
with fetal adenohypophyseal hypoplasia. The final diagnosis is often challenging
as breeding date error remains possible in virtually all situations. In this
report, fetal wellbeing assessment is depicted in two Holstein cows suffered from
prolonged pregnancy. Transabdominal ultrasonographic findings were compatible
with healthy non-stressed fetuses. The clinical and pathologic findings
encountered in both fetuses expelled after corticosteroid induction of
parturition consisted of adenohypophyseal aplasia and hydronephrosis. Other
nervous system anomalies (hydrocephaly or holocephaly) were observed. Tetralogy
of Fallot was also found in one calf.
PMID- 18045351
TI - Skeletal morphology and development of the olfactory region of Spea (Anura:
Scaphiopodidae).
AB - The nasal capsules of anurans are formed by an intricate set of sac-like cavities
that house the olfactory organ and constitute the beginning of the respiratory
system. In tadpoles, nasal capsules do not have a respiratory function, but each
is composed of a single soft tissue cavity lined with olfactory epithelium. Our
study has revealed that in Spea the nasal cartilages and septomaxillae are de
novo adult structures that form dorsal to the larval skeleton of the ethmoid
region. The only element of the adult nasal capsule that is partially derived
from the larval skeleton is the solum nasi. Development of the nasal skeleton
begins at about Gosner Stage 31, with chondrification of the septum nasi and
lamina orbitonasalis. The alary cartilage and superior prenasal cartilage are the
first of the anterior nasal cartilages to chondrify at Gosner Stage 37. By Gosner
Stages 40/41, the ethmoid region is composed of the larval structures ventrally
and the adult structures dorsally. By Stage 44, the larval structures have
eroded. The adult nasal capsule is characterized by: (1) a septum nasi that
projects ventrally beyond the plane of the nasal floor; (2) a paranasal
commissure that forms the ventral margin of the fenestra nasolateralis; and (3) a
large skeletal support for the eminentia olfactoria formed by the nasal floor and
vomer. The timing of chondrification of the anterior nasal cartilages and the
development of the postnasal wall, inferior prenasal cartilage, fenestra
nasolateralis, and paranasal commissure are discussed and compared with those of
other anuran species. This study also includes a discussion of the morphology of
the skeletal support for the eminentia olfactoria, a structure best developed in
distinctly ground-dwelling frogs such as spadefoot toads. Finally, we propose a
more precise restriction of the terminology that is used to designate the
posterior structures of the olfactory region of anurans.
PMID- 18045352
TI - Micro-magnetic resonance imaging of avian embryos.
AB - Chick embryos are useful models for probing developmental mechanisms including
those involved in organogenesis. In addition to classic embryological
manipulations, it is possible to test the function of molecules and genes while
the embryo remains within the egg. Here we define conditions for imaging chick
embryo anatomy and for visualising living quail embryos. We focus on the
developing limb and describe how different tissues can be imaged using micro
magnetic resonance imaging and this information then synthesised, using a three
dimensional visualisation package, into detailed anatomy. We illustrate the
potential for micro-magnetic resonance imaging to analyse phenotypic changes
following chick limb manipulation. The work with the living quail embryos lays
the foundations for using micro-magnetic resonance imaging as an experimental
tool to follow the consequences of such manipulations over time.
PMID- 18045353
TI - Estimation of dose requirements for sustained in vivo activity of a therapeutic
human anti-CD20 antibody.
AB - We evaluated the dose requirements for sustained in vivo activity of ofatumumab,
a human anti-CD20 antibody under development for the treatment of B cell-mediated
diseases. In a mouse xenograft model, a single dose, resulting in an initial
plasma antibody concentration of 5 microg/ml, which was expected to result in
full target saturation, effectively inhibited human B-cell tumour development.
Tumour growth resumed when plasma concentrations dropped below levels that are
expected to result in half-maximal saturation. Notably, tumour load significantly
impacted antibody pharmacokinetics. In monkeys, initial depletion of circulating
and tissue residing B cells required relatively high-dose levels. Re-population
of B-cell compartments, however, only became detectable when ofatumumab levels
dropped below 10 microg/ml. We conclude that, once saturation of CD20 throughout
the body has been reached by high initial dosing, plasma concentrations that
maintain target saturation on circulating cells (5-10 microg/ml) are probably
sufficient for sustained biological activity. These observations may provide a
rationale for establishing dosing schedules for maintenance immunotherapy
following initial depletion of CD20 positive (tumour) cells.
PMID- 18045355
TI - Skin lightening preparations and the hydroquinone controversy.
AB - Skin lightening preparations are widely used in dermatology by persons of all
Fitzpatrick skin types. Fitzpatrick skin types I-III require local pigment
lightening for the treatment of hormonally induced melasma and postinflammatory
hyperpigmentation caused by acne and trauma. Fitzpatrick skin types IV and darker
have an even greater need for skin lightening for social reasons, as well as
pigmentary changes that occur around the eyes, in the intertriginous areas,
following dermatitis, or with acne and trauma. The gold standard dermatologic
agent for skin lightening was hydroquinone, until regulatory agencies in Japan,
Europe, and most recently in the United States questioned the safety of this
substance. This has encouraged research into alternative agents to inhibit skin
pigmentation such as retinoids, mequinol, azelaic acid, arbutin, kojic acid,
aleosin, licorice extract, ascorbic acid, soy proteins, and N-acetyl glucosamine.
The efficacy and safety of each of these ingredients is examined as possible
topical alternatives to hydroquinone.
PMID- 18045356
TI - Interaction of vitamins C and E as better cosmeceuticals.
AB - Although many cosmeceutical formulations contain vitamin C and/or vitamin E, very
few are actually effective in topical application. First because there is only a
low concentration, second because the stability is compromised as soon as the
product is opened and exposed to air and light, and third because the form of the
molecule (an ester or a mixture of isomers) is not absorbed or metabolized
effectively by the skin. However, when a stable formulation delivers a high
concentration of the nonesterified, optimal isomer of the antioxidant, vitamins C
and E do indeed inhibit the acute ultraviolet (UV) damage of erythema, sunburn,
and tanning as well as chronic UV photoaging and skin cancer. Both are highly
effective depigmenting agents. Topical vitamin C also increases collagen
synthesis in both young and old fibroblasts. Because vitamin C regenerates
oxidized vitamin E, the combination in a cosmeceutical formulation is synergistic
- particularly in UV protection.
PMID- 18045357
TI - Idebenone, green tea, and Coffeeberry extract: new and innovative antioxidants.
AB - The use of topical antioxidants is gaining favor among dermatologists because of
their broad biologic activity. Many are not only antioxidants but also have
antiinflammatory and anticarcinogenic activities. Thus for dermatologists these
cosmeceuticals have many potential applications. In general, topical antioxidants
exert their effects by down-regulating free radical mediated pathways that damage
skin. The present study will describe the science behind some of the newest
topical antioxidants and outline how they can be used as part of a comprehensive
skin care regimen.
PMID- 18045358
TI - Less-known botanical cosmeceuticals.
AB - Complementary and alternative medicine (CAM) has become increasingly popular in
the United States during the last 10-15 years. The use of oral and topical
supplements falls within this framework. Most oral and topical supplements are
based on or include several botanical ingredients, many of which have long
histories of traditional or folk medicine usage. Several of the available
products derived from botanical sources are touted for their dermatologic
benefits. The focus in this discussion will be on a select group of botanical
compounds that have been used for dermatologic purposes or show promise for such
applications, including: rosemary, polypodium leucotomos, propolis, oatmeal,
olive oil, grape seed extract, lavender, mushrooms, and coffeeberry. Other more
commonly used products of botanical origin, such as arnica, bromelain, caffeine,
chamomile, ferulic acid, feverfew, green tea, licorice, pomegranate, and
resveratrol, are also briefly considered.
PMID- 18045359
TI - Cosmeceutical peptides.
AB - Peptide cosmeceuticals are one of the new, popular options to treat aging skin.
There are three main categories of cosmeceutical peptides: signal peptides,
neurotransmitter-affecting peptides and carrier peptides. Although their benefits
currently may not be as rigorously tested as most FDA-regulated drugs, the
evidence to support their use is growing. This article attempts to review the
various current popular cosmeceutical peptides, the published studies on their
theoretical effects, and their practical use in dermatology.
PMID- 18045360
TI - Endogenous growth factors as cosmeceuticals.
AB - Growth factors play an important role in reversing the effects of skin aging
mediated by chronological and environmental factors. Excessive oxidation of intra
and extracellular components result in breakdown of collagen and elastin network
in the dermis and produce the effect of facial aging. Topical application of
human growth factors in multiple clinical studies has been shown to reduce the
signs and symptoms of skin aging, including statically significant reduction in
fine lines and wrinkles and increase in dermal collagen synthesis. More double
blind and controlled studies are needed to confirm the preliminary clinical
effects of growth factor products, and more controls on product quality and
stability need to be established.
PMID- 18045362
TI - Clinical expertise research: a history lesson from those who wrote it.
PMID- 18045361
TI - Update on photoprotection.
AB - Photoprotection is an effective method for preventing damaging effects from
ultraviolet radiation (UVR). Photoprotection is accomplished through the use of
sunscreens, protective clothing, and safe sun practices. Sunscreens are composed
of various UV filters, including inorganic/physical blockers and organic/chemical
sunscreen agents. New technologies are emerging in sunscreen development and may
soon be available to U.S. consumers. General guidelines for sunscreen use should
be reinforced to improve patient compliance and sunscreen efficacy. Habitual use
of sunscreen is recommended with UV exposure, and concerns for vitamin D
deficiency with sunscreen use should not supersede proper photoprotective
behavior.
PMID- 18045363
TI - Prototypes and semantic qualifiers: from past to present.
PMID- 18045364
TI - Assessment: do we need to broaden our methodological horizons?
PMID- 18045365
TI - An expert-performance perspective of research on medical expertise: the study of
clinical performance.
AB - CONTEXT: Three decades ago Elstein et al. published their classic book on medical
expertise, in which they described their failure to identify superior performance
by peer-nominated diagnosticians using high- and low-fidelity simulations of the
everyday practice of doctors. OBJECTIVE: This paper reviews the results of
subsequent research, with a particular emphasis on the progress toward Elstein et
al.'s goal of capturing the essence of superior clinical performance in
standardised settings in order to improve clinical practice. RESULTS: Research
following publication of Elstein et al.'s book was influenced by laboratory
research in cognitive psychology, which resulted in a redirection of its original
focus on capturing clinical performance in practice to studies of changes in
cognitive processes as functions of extended clinical experience. There is
currently renewed interest in linking laboratory research with studies of the
acquisition of superior (expert) performance in the clinic. CONCLUSIONS: Research
on medical expertise and simulation training in technical procedures and
diagnosis provide exciting opportunities for establishing translational research
on the acquisition of superior (expert) performance in the clinic by capturing it
with representative tasks in the laboratory, reproducing it for experimental
analysis, and developing training activities, such as deliberate practice, that
can induce measurable improvements in performance in the clinic.
PMID- 18045366
TI - Found in translation: the impact of familiar symptom descriptions on diagnosis in
novices.
AB - CONTEXT: The language that patients use to communicate with doctors is quite
different from the language of diagnosis. Patients may describe tiredness and
swelling; doctors, fatigue and oedema. This paper addresses the process by which
novices, who have learned standard medical terms for symptoms, use lay
descriptions of symptoms to reach a diagnosis. Data in this paper indicate that
the familiarity of the language used to describe symptoms influences diagnosis in
novices and diagnosis does not, therefore, involve a simple translation into
standard terms that are the basis of diagnostic decision. METHODS: A total of 24
undergraduate students were trained to diagnose 4 pseudo-psychiatric disorders
presented in written vignettes. Participants were tested on cases that contained
2 equally probable diagnoses, in 1 of which the symptoms were expressed using
previously seen descriptions. A deviation from 50:50 in reported diagnostic
probabilities was expected if the familiar symptom descriptions biased diagnostic
decisions. Twelve participants were tested immediately after training and 12
after a 24-hour delay. RESULTS: Participants assigned greater diagnostic
probability to the diagnosis supported by the familiar feature descriptions
(F[1.242] = 19.35, P < 0.001, effect size = 0.40) on both immediate (52% versus
41%) and delayed (51% versus 38%) testing. DISCUSSION: The findings indicate that
diagnosis is not simply based on a process of translating patient descriptions of
symptoms to standard medical labels for those symptoms, which are then used to
make a diagnosis. Familiarity of symptom description has an effect on diagnosis
and therefore has implications for medical education, and for electronic decision
support systems.
PMID- 18045367
TI - Teaching from the clinical reasoning literature: combined reasoning strategies
help novice diagnosticians overcome misleading information.
AB - OBJECTIVE: Previous research has revealed a pedagogical benefit of instructing
novice diagnosticians to utilise a combined approach to clinical reasoning
(familiarity-driven pattern recognition combined with a careful consideration of
the presenting features) when diagnosing electrocardiograms (ECGs). This paper
reports 2 studies demonstrating that the combined instructions are especially
valuable in helping students overcome biasing influences. METHODS: Undergraduate
psychology students were trained to diagnose 10 cardiac conditions via ECG
presentation. Half of all participants were instructed to reason in a combined
manner and half were given no explicit instruction regarding the diagnostic task.
In Study 1 (n = 60), half of each group was biased towards an incorrect diagnosis
through presentation of counter-indicative features. In Study 2 (n = 48), a third
of the test ECGs were presented with a correct diagnostic suggestion, a third
with an incorrect suggestion, and a third without a suggestion. RESULTS: Overall,
the instruction to utilise a combined reasoning approach resulted in greater
diagnostic accuracy relative to leaving students to their own intuitions
regarding how best to approach new cases. The effect was particularly pronounced
when cases were made challenging by biasing participants towards an incorrect
diagnosis, either through mention of a specific feature or by making an
inaccurate diagnostic suggestion. DISCUSSION: These studies advance a growing
body of evidence suggesting that various diagnostic strategies identified in the
literature on clinical reasoning are not mutually exclusive and that trainees can
benefit from explicit guidance regarding the value of both analytic and non
analytic reasoning tendencies.
PMID- 18045368
TI - Clinical case processing: a diagnostic versus a management focus.
AB - CONTEXT: Most studies on medical expertise research have focused on diagnostic
performance, whereas patient management has been largely ignored. According to
knowledge encapsulation theory, applying encapsulated knowledge is a
characteristic of expert doctors' diagnostic reasoning, but it is unclear whether
or not encapsulated knowledge also plays a prominent role when processing a
clinical case with a management focus. METHODS: The participants were 40 medical
students (20 in Year 4 and 20 in Year 6) and 20 expert doctors (internists).
Participants were asked to study the cases with either a diagnostic (Dx) or a
management (Mx) focus. Subsequently, participants were asked to write down what
they remembered from the case. RESULTS: In both conditions, experts recalled
fewer propositions and used more high-level inferences than medical students.
Furthermore, they processed the cases faster and more accurately than medical
students, but no significant difference between Mx and Dx conditions was found.
Year 4 students also showed no significant differences in recall and processing
speed between conditions. By contrast, Year 6 students recalled more in a Dx than
in an Mx condition, but there was no significant difference in processing speed
between conditions. CONCLUSIONS: In both conditions, findings indicate that the
experts' and Year 4 students' performance was not affected by processing focus.
The fact that only Year 6 students were affected by processing focus might be
explained by the assumption that their diagnostic knowledge and management
knowledge are not fully integrated yet, a process that has already taken place in
the expert's knowledge structure.
PMID- 18045369
TI - Science is fundamental: the role of biomedical knowledge in clinical reasoning.
AB - CONTEXT: Although training in basic science is generally considered a critical
aspect of medical education, there is little consensus regarding its precise role
in clinical reasoning. Whereas some reports suggest that biomedical knowledge is
rarely used in routine diagnosis, other research has found that biomedical
knowledge can become an integral part of the expert knowledge base. OBJECTIVE:
The purpose of the current paper is to present evidence in support of different
views regarding the role of biomedical knowledge, including the two-world
hypothesis, encapsulation theory and recent work on the role of biomedical
knowledge in novice diagnosticians. The implications of these models for clinical
teaching will be examined. DISCUSSION: Recent work suggests that biomedical
knowledge can help novices develop a coherent and stable mental representation of
disease categories. As a result, learners are able to retain clinical knowledge
over time and maintain diagnostic accuracy when faced with clinical challenges.
This suggests that clinical teachers should attempt to make explicit connections
between biomedical knowledge and clinical facts during training.
PMID- 18045370
TI - Scripts and clinical reasoning.
AB - CONTEXT: Each clinical encounter represents an amazing series of psychological
events: perceiving the features of the situation; quickly accessing relevant
hypotheses; checking for signs and symptoms that confirm or rule out competing
hypotheses, and using related knowledge to guide appropriate investigations and
treatment. OBJECTIVE: Script theory, issued from cognitive psychology, provides
explanations of how these events are mentally processed. This essay is aimed at
clinical teachers who are interested in basic sciences of education. It describes
the script concept and how it applies in medicine via the concept of the 'illness
script'. METHODS: Script theory asserts that, to give meaning to a new situation
in our environment, we use goal-directed knowledge structures adapted to perform
tasks efficiently. These integrated networks of prior knowledge lead to
expectations, as well as to inferences and actions. Expectations and actions
embedded in scripts allow subjects to make predictions about features that may or
may not be encountered in a situation, to check these features in order to
adequately interpret (classify) the situation, and to act appropriately.
CONCLUSIONS: Theory raises questions about how illness scripts develop and are
refined with clinical experience. It also provides a framework to assist their
acquisition.
PMID- 18045371
TI - Breaking down automaticity: case ambiguity and the shift to reflective approaches
in clinical reasoning.
AB - CONTEXT: Two modes of case processing have been shown to underlie diagnostic
judgements: analytical and non-analytical reasoning. An optimal form of clinical
reasoning is suggested to combine both modes. Conditions leading doctors to shift
from the usual mode of non-analytical reasoning to reflective reasoning have not
been identified. This paper reports a study aimed at exploring these conditions
by investigating the effects of ambiguity of clinical cases on clinical
reasoning. METHODS: Participants were 16 internal medicine residents in the
Brazilian state of Ceara. They were asked to diagnose 20 clinical cases and
recall case information. The independent variable was the degree of ambiguity of
clinical cases, with 2 levels: straightforward (i.e. non-ambiguous) and
ambiguous. Dependent variables were processing time, diagnostic accuracy and
proposition per category recalled. Data were analysed using a repeated measures
design. RESULTS: Participants processed straightforward cases faster and more
accurately than ambiguous ones. The proportion of text propositions recalled was
significantly lower (t[15] = 2.29, P = 0.037) in ambiguous cases, and an
interaction effect between case version and proposition category was also found
(F[5, 75] = 4.52, P = 0.001, d = 0.232, observed power = 0.962). Furthermore,
participants recalled significantly more literal propositions from the ambiguous
cases than from the straightforward cases (t[15] = 2.28, P = 0.037). CONCLUSIONS:
Ambiguity of clinical cases was shown to lead residents to switch from automatic
to reflective reasoning, as indicated by longer processing time, and more literal
propositions recalled in ambiguous cases.
PMID- 18045372
TI - Postmortem intubation training: patient and family opinion.
AB - OBJECTIVE: Endotracheal intubation is a life-saving skill which requires training
to master. Learning opportunities for endotracheal intubation must be balanced
with patient rights and intentions. This study was conducted to explore patient
and family opinions about postmortem endotracheal intubation training. METHODS:
We carried out an observational, cross-sectional survey study in an urban,
teaching hospital, on the day of hospital discharge. Subjects were neurologically
unimpaired neurosurgical patients discharged from hospital in 2004-2005, and
their relatives. We carried out interviews using a standardised script to
determine whether subjects would permit postmortem intubation training on
themselves or relatives, and whether permission should be granted by relatives
before training. RESULTS: A total of 85% of patient and family respondents would
allow intubation training after death on themselves, 76% would allow endotracheal
intubation to be practised on a relative, and 81% felt the deceased's next-of-kin
should be asked for permission prior to endotracheal intubation training.
Subjects responded consistently as to what they would allow on self and family.
Knowledge that the deceased person would have agreed to his or her body being
used in endotracheal intubation training increased their likelihood of granting
permission for training (P = 0.008). White subjects were 4.6 times more likely
than non-Whites to allow intubation training on themselves (P = 0.01).
CONCLUSIONS: Patients and families are agreeable to postmortem intubation
training; however, most expect to be asked for permission. Utilising existing
mechanisms which communicate desired treatment, such as advance directives,
hospital admissions documents, donor registries or community health fairs may
facilitate training opportunities and altruistic patient intentions.
PMID- 18045373
TI - Portfolios in medical education: why do they meet with mixed success? A
systematic review.
AB - CONTEXT: The move towards competence-based medical education has created a need
for instruments that support and assess competence development. Portfolios seem
suitable but mixed reports of their success are emerging. METHODS: To examine the
effectiveness of portfolios, we searched PubMed and EMBASE using the keyword
'portfol*', PsychInfo and ERIC using the keywords 'portfol*' and 'medical
education' and references of retrieved papers for empirical studies on portfolios
in all phases of medical education. Thirty of 1939 retrieved papers met the
inclusion criteria and were analysed. Data were collated against the research
question, number of subjects, design, setting, findings and limitations, purpose
and content, mentoring and assessment. We analysed impact using a modified
version of Kirkpatrick's hierarchy. RESULTS: Because differences across studies
precluded statistical meta-analysis, the data were analysed by context, goals and
procedure. Positive effects were strongest in undergraduate education. Important
factors for success were: clearly communicated goals and procedures; integration
with curriculum and assessment; flexible structure; support through mentoring,
and measures to heighten feasibility and reduce required time. Moderately good
inter-rater reliability was reported and global criteria and discussions among
raters were beneficial. Formative and summative assessment could be combined.
Without assessment, portfolios were vulnerable to competition from other
summative assessment instruments. CONCLUSIONS: For portfolios to be effective in
supporting and assessing competence development, robust integration into the
curriculum and tutor support are essential. Further studies should focus on the
effectiveness and user-friendliness of portfolios, the merits of holistic
assessment procedures, and the competences of an effective portfolio mentor.
PMID- 18045374
TI - Is there a relationship between where students sit in lectures and their
performance in examinations?
PMID- 18045375
TI - Surgical e-learning: validation of multi-media, web-based lectures.
PMID- 18045377
TI - The need to improve the teaching of assessment of psychiatric symptoms at
undergraduate level.
PMID- 18045379
TI - Indications, outcomes and complications following lateral thoracotomy in dogs and
cats.
AB - OBJECTIVES: Lateral thoracotomy is widely used for surgical management of
thoracic diseases in small animals. The purpose of this paper is to describe the
indications for lateral thoracotomy in dogs and cats and the associated outcomes
and complications. METHODS: Medical records of animals undergoing lateral
thoracotomy were reviewed and owners contacted regarding complications and
survival. Relationships between signalment and treatment variables and outcome
variables were investigated. RESULTS: Seventy dogs and 13 cats underwent lateral
thoracotomy. Sixty-two per cent of cats and 91 per cent of dogs survived to
discharge. Survival to discharge was significantly lower in cats than dogs, for
neoplastic than non-neoplastic disease and in older animals. Survival to
discharge was higher in animals undergoing patent ductus arteriosus ligation than
in those undergoing lung lobectomy or oesophageal surgery. Survival to discharge
was not related to surgeon experience. The incidence of complications was not
related to species, age, disease, duration of surgery, surgeon experience or
duration of thoracostomy tube placement. A low complication rate (5 per cent) was
associated with thoracostomy tubes. CLINICAL SIGNIFICANCE: The approach of
lateral thoracotomy has a minimal complication rate and animals with a disease
requiring this approach have a high survival rate.
PMID- 18045381
TI - What is your diagnosis? Emphysematous pyometra with a large amount of gas.
PMID- 18045382
TI - Novel mitochondrion-related organelles in the anaerobic amoeba Mastigamoeba
balamuthi.
AB - Unicellular eukaryotes that lack mitochondria typically contain related
organelles such as hydrogenosomes or mitosomes. To characterize the evolutionary
diversity of these organelles, we conducted an expressed sequence tag (EST)
survey on the free-living amoeba Mastigamoeba balamuthi, a relative of the human
parasite Entamoeba histolytica. From 19 182 ESTs, we identified 21 putative
mitochondrial proteins implicated in protein import, amino acid interconversion
and carbohydrate metabolism, two components of the iron-sulphur cluster (Fe-S)
assembly apparatus as well as two enzymes characteristic of hydrogenosomes. By
immunofluorescence microscopy and subcellular fractionation, we show that
mitochondrial chaperonin 60 is targeted to small abundant organelles within
Mastigamoeba. In transmission electron micrographs, we identified double
membraned compartments that likely correspond to these mitochondrion-derived
organelles, The predicted organellar proteome of the Mastigamoeba organelle
indicates a unique spectrum of functions that collectively have never been
observed in mitochondrion-related organelles. However, like Entamoeba, the Fe-S
cluster assembly proteins in Mastigamoeba were acquired by lateral gene transfer
from epsilon-proteobacteria and do not possess obvious organellar targeting
peptides. These data indicate that the loss of classical aerobic mitochondrial
functions and acquisition of anaerobic enzymes and Fe-S cluster assembly proteins
occurred in a free-living member of the eukaryote super-kingdom Amoebozoa.
PMID- 18045383
TI - The crystal structure of staphylococcal superantigen-like protein 11 in complex
with sialyl Lewis X reveals the mechanism for cell binding and immune inhibition.
AB - Staphylococcus aureus is a major pathogen that produces a family of 14
staphylococcal superantigen-like (SSL) proteins, which are structurally similar
to superantigens but do not stimulate T cells. SSL11 is one member of the family
that is found in all staphylococcal strains. Recombinant SSL11 bound to
granulocytes and monocytes through a sialic acid-dependent mechanism and was
rapidly internalized. SSL11 also bound to sialic acid-containing glycoproteins,
such as the Fc receptor for IgA (FcalphaRI) and P-selectin glycoprotein ligand-1
(PSGL-1), and inhibited neutrophil attachment to a P-selectin-coated surface.
Biosensor analysis of two SSL11 alleles binding to sialyl Lewis X [sLe(x)-
Neu5Acalpha2-3Galbeta1-4(Fuc1-3)GlcNAc] coupled to bovine serum albumin gave
dissociation constants of 0.7 and 7 mum respectively. Binding of SSL11 to a
glycan array revealed specificity for glycans containing the trisaccharide
sialyllactosamine (sLacNac - Neu5Acalpha2-3Galbeta1-4GlcNAc). A 1.6 A resolution
crystal structure of SSL11 complexed with sLe(x) revealed a discrete binding site
in the C-terminal beta-grasp domain, with predominant interactions with the
sialic acid and galactose residues. A single amino acid mutation in the
carbohydrate binding site abolished all SSL11 binding. Thus, SSL11 is a
staphylococcal protein that targets myeloid cells by binding sialyllactosamine
containing glycoproteins.
PMID- 18045384
TI - A gene cluster that regulates both heterocyst differentiation and pattern
formation in Anabaena sp. strain PCC 7120.
AB - Wild-type Anabaena sp. strain PCC 7120, a filamentous nitrogen-fixing
cyanobacterium, produces single heterocysts at semi-regular intervals. asr0100
(patU5) and alr0101 (patU3) are homologous to the 5' and 3' portions of patU of
Nostoc punctiforme. alr0099 (hetZ) overlaps the 5' end of patU5. hetZ, patU5 and
patU3 were all upregulated, or expressed specifically, in proheterocysts and
heterocysts. Mutants of hetZ showed delayed or no heterocyst differentiation. In
contrast, a patU3 mutation produced a multiple contiguous heterocyst (Mch)
phenotype and restored the formation of otherwise lost intercalary heterocysts in
a patA background. Decreasing the expression of patU3 greatly increased the
frequency of heterocysts in a mini-patS strain. Two promoter regions and two
principal, corresponding transcripts were detected in the hetZ-patU5-patU3
region. Transcription of hetZ was upregulated in a hetZ mutant and downregulated
in a patU3 mutant. When mutants hetZ::C.K2 and hetZ::Tn5-1087b were nitrogen
deprived, P(hetC)-gfp was very weakly expressed, and in hetZ::Tn5-1087b, P(hetR)
gfp was relatively strongly expressed in cells that had neither a regular pattern
nor altered morphology. We conclude that the hetZ-patU5-patU3 cluster plays an
important role in co-ordination of heterocyst differentiation and pattern
formation. The presence of homologous clusters in filamentous genera without
heterocysts is suggestive of a more general role.
PMID- 18045385
TI - RsaL provides quorum sensing homeostasis and functions as a global regulator of
gene expression in Pseudomonas aeruginosa.
AB - The quorum sensing (QS) signalling system of Pseudomonas aeruginosa controls many
important functions, including virulence. Although the production of the QS
signal molecule N-3-oxo-dodecanoyl-homoserine lactone (3OC(12)-HSL) is positively
autoregulated, its concentration reaches a steady level long before stationary
phase. The RsaL protein represses transcription of the lasI signal synthase gene,
and thus reduces QS signal production. We show that RsaL binds simultaneously
with LasR to the rsaL-lasI bidirectional promoter thereby preventing the LasR
dependent activation of both genes. In an rsaL mutant, 3OC(12)-HSL production
continues to increase throughout growth. Thus RsaL provides homeostasis by
functioning in opposition to LasR and limiting 3OC(12)-HSL production to a
physiological concentration. Furthermore, transcription profiling revealed that
RsaL regulates 130 genes independent of its effect on QS signal molecule
production, including genes involved in virulence. We show that RsaL can repress
pyocyanin and hydrogen cyanide virulence genes in two ways: directly, by binding
to their promoters, and indirectly, by decreasing levels of the signals for their
QS signal-dependent transcription. These investigations highlight the importance
of RsaL as a global regulator of P. aeruginosa physiology that provides a
counterbalance to 3OC(12)-HSL-dependent gene activation via multiple mechanisms.
PMID- 18045386
TI - Haloferax volcanii twin-arginine translocation substates include secreted
soluble, C-terminally anchored and lipoproteins.
AB - Recent in silico and in vivo studies have suggested that the majority of proteins
destined for secretion in the haloarchaea are trafficked through the twin
arginine translocation (Tat) pathway. The presence of lipobox motifs in most
haloarchaeal Tat signal sequences is intriguing as: (i) bioinformatic searches of
archaeal genomes have not identified lipoprotein biogenesis enzymes and (ii)
there are no known Tat substrates containing both a twin-arginine and a bona fide
lipobox. We have examined six computationally designated Tat substrates in the
haloarchaeon Haloferax volcanii to verify previous computational predictions and
to initiate studies of lipoprotein biogenesis via the Tat pathway. Our results
confirmed that the six candidate proteins were not only Tat substrates, but also
belonged to diverse classes of secretory proteins. Analysis of predicted
lipoprotein Tat substrates revealed that they are anchored to the archaeal
membrane in a cysteine-dependent manner. Interestingly, despite the absence of an
archaeal lipoprotein signal peptidase II (SPase II) homologue, the SPase II
inhibitor globomycin impeded cell growth and specifically prevented maturation of
lipoproteins. Together, this work not only represents the first experimental
demonstration of a lipoprotein Tat substrate, but also indicates the presence of
an unidentified lipoprotein biogenesis pathway in archaea.
PMID- 18045387
TI - The prion/lipid hypothesis--further evidence to support the molecular basis for
transmissible spongiform encephalopathy risk assessment.
AB - Defining the molecular structure of the transmissible spongiform encephalopathy
(TSE) agent is important both for underpinning risk assessments and for
developing and understanding decontamination strategies. Recent studies have
shown that oligomeric particles comprising 14-28 prion protein (PrP) molecules
are much more infectious than larger fibrils (prion rods) or indeed smaller
oligomers (trimers) and PrP monomers. Here, results from deactivation studies
(with alkali, heat, hexane or formaldehyde) are interpreted in terms of the
infectious nucleation seed comprising 14-28 PrP molecules held together by
interactions with amphipathic phospholipid (PL) or more probably sphingolipid
(SL) from the host. According to the PrP/lipid hypothesis, the strength of the
protein/lipid interactions accounts for the high thermostability of TSE
infectivity and for differences in thermostability between strains. The
implications of the molecular biophysics data for environmental TSE risk
assessments are discussed with respect to behaviour in soil. While formaldehyde
appears to cause inactivation of scrapie infectivity by increasing the ID(50),
the dose-response is complicated by apparent heterogeneity between hamster
subpopulations in susceptibility. The process of inactivation by formaldehyde may
be due to cross-linking the highly infectious 14-28 PrP oligomers into larger,
but less infectious aggregates. This process appears more reversible in some
hamster subpopulations than others.
PMID- 18045388
TI - The application of chromogenic media in clinical microbiology.
AB - Since 1990, a wide range of chromogenic culture media has been made commercially
available providing useful tools for diagnostic clinical microbiology. By the
inclusion of chromogenic enzyme substrates targeting microbial enzymes, such
media are able to target pathogens with high specificity. Examples of target
pathogens include Staphylococcus aureus, Streptococcus agalactiae, Salmonella
spp. and Candida spp. The inclusion of multiple chromogenic substrates into
culture media facilitates the differentiation of polymicrobial cultures, thus
allowing for the development of improved media for diagnosis of urinary tract
infections and media for the enhanced discrimination of yeasts. The purpose of
this review is to provide some insight into how such media work and appraise
their utility in routine clinical diagnostics, in comparison with conventional
media.
PMID- 18045389
TI - Antimicrobial activity of flavonoids extracted from bergamot (Citrus bergamia
Risso) peel, a byproduct of the essential oil industry.
AB - AIMS: To evaluate the antimicrobial properties of flavonoid-rich fractions
derived from bergamot peel, a byproduct from the Citrus fruit processing industry
and the influence of enzymatic deglycosylation on their activity against
different bacteria and yeast. METHODS AND RESULTS: Bergamot ethanolic fractions
were tested against Gram-negative bacteria (Escherichia coli, Pseudomonas putida,
Salmonella enterica), Gram-positive bacteria (Listeria innocua, Bacillus
subtilis, Staphylococcus aureus, Lactococcus lactis) and the yeast Saccharomyces
cerevisiae. Bergamot fractions were found to be active against all the Gram
negative bacteria tested, and their antimicrobial potency increased after
enzymatic deglycosylation. The minimum inhibitory concentrations of the fractions
and the pure flavonoids, neohesperidin, hesperetin (aglycone), neoeriocitrin,
eriodictyol (aglycone), naringin and naringenin (aglycone), were found to be in
the range 200 to 800 microg ml(-1). The interactions between three bergamot
flavonoids were also evaluated. CONCLUSION: The enzyme preparation Pectinase 62L
efficiently converted common glycosides into their aglycones from bergamot
extracts, and this deglycosylation increased the antimicrobial potency of Citrus
flavonoids. Pairwise combinations of eriodictyol, naringenin and hesperetin
showed both synergistic and indifferent interactions that were dependent on the
test indicator organism. SIGNIFICANCE AND IMPACT OF THE STUDY: Bergamot peel is a
potential source of natural antimicrobials that are active against Gram-negative
bacteria.
PMID- 18045390
TI - Ruminococcus bromii, identification and isolation as a dominant community member
in the rumen of cattle fed a barley diet.
AB - AIMS: To identify dominant bacteria in grain (barley)-fed cattle for isolation
and future use to increase the efficiency of starch utilization in these cattle.
METHODS AND RESULTS: Total DNA was extracted from samples of the rumen contents
from eight steers fed a barley diet for 9 and 14 days. Bacterial profiles were
obtained using denaturing gradient gel electrophoresis (DGGE) of the PCR
amplified V2/V3 region of the 16S rRNA genes from total bacterial DNA. Apparently
dominant bands were excised and cloned, and the clone insert sequence was
determined. One of the most common and dominant bacteria present was identified
as Ruminococcus bromii. This species was subsequently isolated using traditional
culture-based techniques and its dominance in the grain-fed cattle was confirmed
using a real-time Taq nuclease assay (TNA) designed for this purpose. In some
animals, the population of R. bromii reached densities above 10(10)R. bromii cell
equivalents per ml or approximately 10% of the total bacterial population.
CONCLUSIONS: Ruminococcus bromii is a dominant bacterial population in the rumen
of cattle fed a barley-based diet. SIGNIFICANCE AND IMPACT OF THE STUDY:
Ruminococcus bromii YE282 may be useful as a probiotic inoculant to increase the
efficiency of starch utilization in barley-fed cattle. The combination of DGGE
and real-time TNA has been an effective process for identifying and targeting for
isolation, dominant bacteria in a complex ecosystem.
PMID- 18045391
TI - Effect of insertional mutations in the pueA and pueB genes encoding two
polyurethanases in Pseudomonas chlororaphis contained within a gene cluster.
AB - AIMS: To better understand the role of PueA and PueB from Pseudomonas
chlororaphis in polyurethane degradation, the present study was conducted to
create insertional mutants in their respective genes. METHODS AND RESULTS: Growth
kinetic studies showed that the pueA knockout mutant had a greater effect than
the pueB knockout mutant. The pueA mutant had an 80% decrease in cell density
from that of the wild type, while the pueB mutant had an 18% decrease in cell
density. Polyurethane utilization followed Michaelis-Menten kinetics. The pueA
and pueB mutants exhibited a 17% and 10% decrease respectively in growth rate
using polyurethane when compared with the wild type. CONCLUSIONS: In this present
study, pueA and pueB, are shown to be part of an ABC transporter gene cluster
that consists of seven open reading frames. Mutational analysis results suggest
that PueA may play a more major role in polyurethane degradation than PueB based
on cell density and growth rates. SIGNIFICANCE AND IMPACT OF THE STUDY: The
results from this study provide a starting point for the eventual enhancement and
bioremediation of polyurethane waste. Understanding the role of polyurethane
degrading enzymes is useful for the creation of strains for this purpose.
PMID- 18045392
TI - Production of recombinant human erythropoietin from Pichia pastoris and its
structural analysis.
AB - AIMS: To design and investigate a recombinant expression system producing a
therapeutically important glycoprotein, human erythropoietin (rHuEPO), by Pichia
pastoris. METHODS AND RESULTS: EPO cDNA was cloned into pPICZalphaA for
expression under control of AOX1 promoter and fused, on the amino-terminal end,
with a polyhistidine tag for rapid purification. A target site for factor Xa
protease was also introduced, such that cleavage in vitro produced a mature form
of rHuEPO having the native N- and C-termini. RHuEPO was characterized as to the
extent and nature of N-linked glycosylation using matrix-assisted laser
desorption/ionization time-of-flight mass spectrometry and western blotting. The
rHuEPO produced was approximately 30 kDa. All three N-linked glycosylation sites
were occupied dominantly by Man(17)(GlcNAc)(2). N-glycanase-treated rHuEPO
purified but not digested with factor-Xa-protease, showed a spectral peak
centered about m/z 20400 Da. CONCLUSIONS: The native polypeptide form of human
EPO (c. 18 kDa) was obtained for the first time in P. pastoris expression system,
after affinity purification, deglycosylation and factor-Xa-protease digestion.
The amount of sodium dodecyl sulfate used prior to deglycosylation was found to
be crucial in determining the dominant form of glycan in glycoproteins.
SIGNIFICANCE AND IMPACT OF THE STUDY: The novel approaches to protein expression
and purification system and structural analysis presented, would be important
especially for therapeutic proteins expressed in P. pastoris.
PMID- 18045393
TI - Orthogonal array design in optimizing ERIC-PCR system for fingerprinting rat's
intestinal microflora.
AB - AIMS: The aim of the present study was to rapidly optimize enterobacterial
repetitive intergenic consensus (ERIC)-PCR amplification systems for
fingerprinting rat's intestinal microflora. METHODS AND RESULTS: Orthogonal array
design and statistic analysis methods were attempted to rapidly optimize ERIC-PCR
reaction system for fingerprinting intestinal microflora. The results showed that
variations of the four factors (Mg(2+), dNTP, primer and HotstarTaq polymerase
concentrations) changed the fingerprinting patterns significantly. The order of
effects of those factors on fingerprinting patterns was primers (F = 274.000, P =
0.000), Hotstar Taq polymerase (F = 197.000, P = 0.001), Mg(2+) (F = 181.000, P =
0.001) and dNTP (F = 27.000, P = 0.011). The optimal ERIC-PCR condition was
containing 200 micromol l(-1) dNTP, 2.5 mmol l(-1) Mg(2+), 0.4 micromol l(-1)
primer, 1 U HotstarTaq DNA polymerase namely 25 microl reaction system, which is
proved to be a simple, fast and reliable method suitable for fingerprinting rat's
intestinal microflora. CONCLUSIONS: The results suggest that Mg(2+), dNTP, primer
and HotstarTaq polymerase concentrations play important roles on ERIC-PCR
fingerprinting patterns. Orthogonal array design is a considerable method to
optimize ERIC-PCR reaction system for its rapidness, simplicity, potential to
investigate mutual effects of parameters. SIGNIFICANCE AND IMPACT OF THE STUDY:
It is the first report on optimization of ERIC-PCR amplification systems for
fingerprinting intestinal microflora using orthogonal array design or statistic
analysis methods and systematically observing the effects of variables of
reaction conditions.
PMID- 18045394
TI - A rapid monitoring assay for the detection of Salmonella spp. and Salmonella
Senftenberg strain W775 in composts.
AB - AIMS: The composting process needs to be validated for its hygienic status in
order to ensure that it is free of pathogens. Generally, this is evaluated
through temperature monitoring, or additionally through active inoculation and
monitoring of indicator organisms. We aimed to develop a monitoring method for
the heat-resistant indicator organism Salmonella enterica ssp. enterica serovar
Senftenberg strain W775 for detection in composting biowastes. METHODS AND
RESULTS: The method development is comprised of: (i) optimization of molecular
detection of bacteria belonging to the genus Salmonella; (ii) identification of a
DNA marker for Salmonella strain W775; and (iii) development of a multiplex
polymerase chain reaction (PCR)-based on both DNA markers. Subsequently,
Salmonella strain W775 was inoculated and monitored during composting of
biowastes in an industrial composting facility. CONCLUSIONS: A highly sensitive
and specific detection of viable cells was obtained by enriching the compost
sample prior to multiplex PCR analysis. Complete inactivation of Salmonella
strain W775 was obtained within 4 days in an industrial composting facility at
temperatures ranging between 41 and 57 degrees C. SIGNIFICANCE AND IMPACT OF THE
STUDY: We describe a monitoring method for the simultaneous detection of
naturally occurring Salmonella strains and artificially introduced Salmonella
strain W775 in composting biowastes that can be applied in routine analysis.
PMID- 18045395
TI - Statistical comparison of Campylobacter jejuni subtypes from human cases and
environmental sources.
AB - AIM: To analyse Campylobacter jejuni typing data to define statistically which
potential reservoirs and transmission sources contain isolates that are most
similar to one another and to isolates from human infections. METHODS AND
RESULTS: Serotyping and SmaI macrorestriction profiling data for C. jejuni
isolates from human campylobacteriosis cases, chicken carcass rinses, duck,
sheep, dairy and beef cattle faeces, river water, and sheep, beef and pork offal
obtained from a defined rural area of New Zealand were compared using the
Czekanowski proportional similarity index. Subtypes of isolates from ruminant
animals, whether derived from their faeces or offals, were generally similar to
one another. The spectrum of isolate subtypes from human cases was more similar
to that from ruminant faeces than the other matrices considered. Isolate subtypes
from chicken rinses, pork offal, water and duck faeces were not highly similar to
those from other matrices. CONCLUSIONS: Results from a combination of phenotypic
and genotypic approaches suggest that, for this rural population, exposures
associated with a rural lifestyle may be significant sources of human
campylobacteriosis. SIGNIFICANCE AND IMPACT OF THE STUDY: The Czekanowski index
was applied to subtyping data and supported the greater importance of contact
with livestock in campylobacteriosis cases associated with a rural setting, in
comparison with urban studies that have identified poultry-related factors.
PMID- 18045396
TI - Effect of a blend of essential oil compounds on the colonization of starch-rich
substrates by bacteria in the rumen.
AB - AIMS: To investigate the mode of action of a blend of essential oil compounds on
the colonization of starch-rich substrates by rumen bacteria. METHODS AND
RESULTS: Starch-rich substrates were incubated, in nylon bags, in the rumen of
sheep organized in a 4 x 4 latin square design and receiving a 60:40 silage :
concentrate diet. The concentrate was either high or low in crude protein, and
the diet was supplemented or not with a commercial blend of essential oil
compounds (110 mg per day). The total genomic DNA was extracted from the residues
in the bags. The total eubacterial DNA was quantified by real-time PCR and the
proportion of Ruminobacter amylophilus, Streptococcus bovis and Prevotella
bryantii was determined. Neither the supplementation with essential oil compounds
nor the amount of crude protein affected the colonization of the substrates by
the bacteria quantified. However, colonization was significantly affected by the
substrate colonized. CONCLUSIONS: The effect of essential oils on the
colonization of starch-rich substrates is not mediated through the selective
inhibition of R. amylophilus. SIGNIFICANCE AND IMPACT OF THE STUDY: This study
enhances our understanding of the colonization of starch-rich substrates, as well
as of the mode of action of the essential oils as rumen manipulating agents.
PMID- 18045397
TI - Preliminary characterization of lactic acid bacteria isolated from Zlatar cheese.
AB - AIMS: Isolation, characterization and identification of lactic acid bacteria
(LAB) from artisanal Zlatar cheese during the ripening process and selection of
strains with good technological characteristics. METHODS AND RESULTS:
Characterization of LAB was performed based on morphological, physiological and
biochemical assays, as well as, by determining proteolytic activity and plasmid
profile. rep-polymerase chain reaction (PCR) analysis and 16S rDNA sequencing
were used for the identification of LAB. PCR analysis was performed with specific
primers for detection of the gene encoding nisin production. Strains
Lactobacillus paracasei subsp. paracasei, Lactobacillus plantarum, Lactobacillus
brevis, Lactococcus lactis subsp. lactis, Enterococcus faecium and Enterococcus
faecalis were the main groups present in the Zlatar cheese during ripening.
CONCLUSIONS: Temporal changes in the species were observed during the Zlatar
cheese ripening. Mesophilic lactobacilli are predominant microflora in Zlatar
cheese. SIGNIFICANCE AND IMPACT OF THE STUDY: In this study we determined that
Zlatar cheese up to 30 days old could be used as a source of strains for the
preparation of potential starter cultures in the process of industrial cheese
production. As the Serbian food market is adjusting to European Union
regulations, the standardization of Zlatar cheese production by using starter
culture(s) based on autochtonous well-characterized LAB will enable the
industrial production of this popular cheese in the future.
PMID- 18045398
TI - Quantitative analysis of human enteric adenoviruses in aquatic environments.
AB - AIMS: The aim of this study was to determine human adenoviruses (HuAdVs) in
aquatic environments by real-time polymerase chain reaction (PCR). METHODS AND
RESULTS: In order to describe the ratio of enteric serotypes to the total HuAdVs,
the primer set specific for the enteric serotypes 40 and 41 was used in parallel
with the universal primer set for all 51 serotypes of HuAdVs. The enteric
serotypes of HuAdVs were detected at the concentration of 7.3-1500 PCR-detection
units (PDU) per ml in raw sewage (n = 17), 0.00060-4.1 PDU ml(-1) in secondary
treated sewage before chlorination (n = 17), 0.0018-7.0 PDU ml(-1) in river water
(n = 36), and 0.032-6.1 PDU ml(-1) in seawater (n = 18). The concentration of
HuAdVs, determined by the universal primer set, was equivalent to that of enteric
serotypes in almost all the samples tested. CONCLUSIONS: Enteric serotypes were
predominant among all serotypes of HuAdVs in the aquatic environments.
SIGNIFICANCE AND IMPACT OF THE STUDY: The abundance of enteric serotypes of
HuAdVs should be more emphasized than other serotypes in order to assess the risk
of their infection via water.
PMID- 18045399
TI - Characterization of dehydromonacolin-MV2 from Monascus purpureus mutant.
AB - AIM: Characterization of dehydromonacolin-MV2, a bioactive metabolite isolated
from Monascus purpureus mutant (CFR 410-11). METHODS AND RESULTS: Chloroform
extract of rice, fermented with a hyperpigment-producing mutant of M. purpureus
(CFR 410-11) was found to contain metabolites that inhibited the growth of
Bacillus, Pseudomonas and Streptococcus in agar gel diffusion assays. The extract
inhibited lipid peroxidation and scavenged 2,2-diphenyl-1-pycrylhydrazyl and
hydroxyl radicals. The active compound purified by silica gel column
chromatography was characterized by NMR. The carbon, proton and 2D HSQCT
assignments identified dehydromonacolin-MV2 as the bioactive metabolite.
CONCLUSIONS: Dehydromonacolin-MV2 apparently originated in the mutant by
hydroxylation and oxidation of monacolin-J, an intermediate of monacolin
biosynthetic pathway. SIGNIFICANCE AND IMPACT OF THE STUDY: Identification of the
production of dehydromonacolin-MV2 by M. purpureus mutant (CFR 410-11) is new to
literature. Bioactive properties of the compound suggested its pharmaceutical
applications.
PMID- 18045400
TI - Regulation of alkaline metalloprotease promoter by N-acyl homoserine lactone
quorum sensing in Pseudomonas fluorescens.
AB - AIMS: To examine the involvement of N-acyl homoserine lactone (AHL) quorum
sensing in alkaline metalloprotease (aprX) promoter regulation in a Pseudomonas
fluorescens milk isolate. METHODS AND RESULTS: N-acyl homoserine lactone signals
from P. fluorescens strain 395 were separated and detected by thin layer
chromatography (TLC). Further analysis of the AHL signals using liquid
chromatography-electrospray ionization-mass spectrometry (LC-ESI-MS) indicated
the presence of C4-HSL and 3OC8-HSL. The expression of aprX in P. fluorescens 395
was investigated, using a transcriptional fusion between the aprX promoter and a
mutated gfp variant gene (gfp[mut3]). The results demonstrated that the activity
of the aprX promoter increased dramatically in the late exponential phase of
growth, indicating growth phase-dependent regulation. The activity was repressed
in an AHL-deficient environment, in which the signal molecules were hydrolysed by
the enzyme AHL lactonase. CONCLUSIONS: N-acyl homoserine lactones produced by P.
fluorescens 395 were identified to be C4-HSL and 3OC8-HSL. The protease gene in
P. fluorescens is regulated by the AHL-based quorum sensing system at a
transcriptional level during the late exponential growth phase. SIGNIFICANCE AND
IMPACT OF THE STUDY: This study contributes to our understanding of the genetic
regulation and ecology of P. fluorescens in the economically important system of
food spoilage.
PMID- 18045402
TI - Production of cellulases and hemicellulases by Penicillium echinulatum grown on
pretreated sugar cane bagasse and wheat bran in solid-state fermentation.
AB - AIM: To evaluate the solid-state fermentation (SSF) production of cellulase and
hemicellulases (xylanases), by Penicillium echinulatum 9A02S1, in experiments
carried out with different concentrations of the pretreated sugar cane bagasse
(PSCB) and wheat bran (WB). METHODS AND RESULTS: This study reports the
production of xylanolytic and cellulolytic enzymes by P. echinulatum 9A02S1 using
a cheap medium containing PSCB and WB under SSF. The highest amounts of filter
paper activity (FPA) could be measured on mixtures of PSCB and WB (32.89 +/- 1.90
U gdm(-1)). The highest beta-glucosidase activity was 58.95 +/- 2.58 U gdm(-1) on
the fourth day. The highest activity for endoglucanases was 282.36 +/- 1.23 U
gdm(-1) on the fourth day, and for xylanases the activity was around 10 U gdm(-1)
from the second to the fourth day. CONCLUSIONS: The present work has established
the potential of P. echinulatum for FPA, endoglucanase, beta-glucosidase and
xylanase productions in SSF, indicating that WB may be partially substituted by
PSCB. SIGNIFICANCE AND IMPACT OF THE STUDY: The incorporation of cheap sources,
such as sugar cane bagasse, into media for the production of lignocellulose
enzymes should help decrease the production costs of enzymatic complexes that can
hydrolyse lignocellulose residues for the formation of fermented syrups, thus
contributing to the economic production of bioethanol.
PMID- 18045401
TI - High degree of correlation between molecular polymorphism and geographic origin
of wine yeast strains.
AB - AIMS: To guarantee the endemic genetic background of the isolates obtained in
yeast isolation programs, it is necessary to differentiate between endemic and
commercial strains because the progressive use of commercial yeast in wine areas
around the world would affect the autochthonous yeast populations. METHODS AND
RESULTS: Mitochondrial DNA restriction analysis, electrophoretic karyotyping and
random amplification of polymorphic DNA (RAPD) were evaluated as experimental
approaches to correlate genomic polymorphism and geographic origin of native wine
yeast strains. The three molecular methods were capable of detecting a European
commercial strain among native Chilean strains; however, RAPD proved to have the
best performance. CONCLUSIONS: The molecular polymorphism analysis is useful to
evaluate the geographical origin of native yeast isolates and confirms or refutes
the genetic background of currently marketed strains. SIGNIFICANCE AND IMPACT OF
THE STUDY: This study permits a genetic characterization of native yeast
populations and confirms its utility as a tool for evaluating if a native isolate
derives from the region where it was collected, permitting, furthermore, to
develop studies on the evolution of native yeast populations and to evaluate the
effect of introduced yeasts on these populations.
PMID- 18045403
TI - Purification and characterization of a milk-clotting protease from Bacillus
licheniformis strain USC13.
AB - AIM: The study of a milk-clotting protease secreted by Bacillus licheniformis
strain USC13. METHODS AND RESULTS: Growth of B. licheniformis USC13 in LB medium
resulted in the production of a serine protease with a molecular weight of 62 kDa
processed to its mature form of 34 kDa, both forms were found in the
extracellular medium. The enzyme exhibited typical milk-clotting kinetics.
CONCLUSIONS: The capacity of this protease to produce milk curds could make it
useful as a new source of milk coagulants. SIGNIFICANCE AND IMPACT OF THE STUDY:
Cheese-making industry seeks for novel enzyme sources, and microbial coagulants
have several advantages over animal and plant counterparts. The protease from B.
licheniformis has the ability to produce milk curds although more studies about
quality of both the enzyme and the milk curds formed should be carried out in the
future to confirm its usefulness in the dairy industry.
PMID- 18045404
TI - Characterization and functional analysis of a novel gene cluster involved in
biphenyl degradation in Rhodococcus sp. strain R04.
AB - AIMS: Isolation of the genes relative to PCB biodegradation and identification of
the bph gene function in Rhodococcus sp. R04. METHODS AND RESULTS: A 8.7-kb
fragment carrying the biphenyl catabolic genes bphABCD was isolated from the gene
library in Rhodococcus sp. R04. Based on the deduced amino acid sequence
homology, seven bph genes, bphA1A2A3A4, bphB, bphC and bphD, were thought to be
responsible for the initial four steps of biphenyl degradation. In Escherichia
coli, BphA exhibited poor activity for biphenyl transformation, and BphB, BphC
and BphD were found to be catalytically active towards 2,3-dihydro-2,3
dihydroxybiphenyl, 2,3-dihydroxybiphenyl and 2-hydroxy-6-oxo-6-phenylhexa-2,4
dienoate, respectively (activities of 50, 8.1 and 2.4 micromol l(-1) min(-1) mg(
1)). SDS-PAGE analysis indicated that the sizes of bphA1A2A3A4, bphB, bphC and
bphD gene products were 49, 19, 14, 47, 32, 30 and 31 kDa, respectively. After
disruption of bph genes, the bphA1 mutants lost the ability to grow on biphenyl,
the bphB and bphD mutants were able to transform a little of biphenyl, but hardly
grew on biphenyl. CONCLUSION: The cloned bph genes indeed play an important role
in the biphenyl catabolism in this strain. SIGNIFICANCE AND IMPACT OF THE STUDY:
This bph gene organization in Rhodococcus sp. R04 differs from that of other
biphenyl degraders reported previously, indicating it is a novel type of bph gene
cluster. Analysis of the phylogenetic tree suggested that BphA1 and BphA2 in
Rhodococcus sp. R04 had a different evolutionary relationship with those in the
other PCB degraders.
PMID- 18045405
TI - Inactivation of hepatitis A HM-175/18f, reovirus T1 Lang and MS2 during alkaline
stabilization of human biosolids.
AB - AIM: To compare the inactivation rates of male-specific bacteriophage-2 (MS2),
hepatitis A HM-175/18f (HM-175) and reovirus T1 Lang (T1 L) during alkaline
stabilization of wastewater residues. METHODS AND RESULTS: A bench scale alkaline
stabilization model was used to evaluate the inactivation of MS2 seeded into raw
sludge simultaneously with HM-175 or T1 L. Stabilization was performed in
triplicate at 28 and 4 degrees C for both viral combinations. During
stabilization at 28 and 4 degrees C, MS2 and T1 L concentrations were similar at
each time point (t = 0.1, 2, 12 and 24 h). MS2 and HM-175 concentrations were
also similar at each time point during stabilization at 28 degrees C. At 4
degrees C, MS2 and HM-175 concentrations were not similar at the first two time
points (t = 0.1 and 2 h), but were similar at later time points (t = 12 and 24
h). CONCLUSIONS: The inactivation rates of T1 L at 4 degrees C and both T1 L and
HM-175 at 28 degrees C were similar to the inactivation rate of MS2 at all time
points. At 4 degrees C, MS2 was inactivated at a faster rate during the first two
time points (t = 0.1 and 2 h) than HM-175, but was inactivated similarly at later
time points (t = 12 and 24 h). SIGNIFICANCE AND IMPACT OF THE STUDY: Phages, such
as MS2, would be ideal indicators for the presence of enteric viruses in
wastewater residues because of their ubiquity, nonpathogenic nature, low cost and
time associated with their detection. The findings of this study suggest that MS2
could serve as an indicator for monitoring the persistence of enteric viruses,
such as HM-175 and T1 L, during alkaline stabilization performed at moderate
temperatures (28 degrees C), but may not serve as an indicator for HM-175 at
reduced temperature (4 degrees C). The utility of MS2 as an indicator of viral
persistence during biosolids treatment should be further evaluated, as the
increased efficiency and frequency of pathogen monitoring associated with their
use may reduce the potential public health risk associated with biosolids,
facilitating a greater acceptance for their land application.
PMID- 18045406
TI - Efficacy of natamycin for control of growth and ochratoxin A production by
Aspergillus carbonarius strains under different environmental conditions.
AB - AIMS: To examine the efficacy of natamycin produced by Streptomyces natalensis
against strains of Aspergillus carbonarius growth and ochratoxin A (OTA)
production under different environmental factors on a grape juice-based medium.
METHODS AND RESULTS: Detailed studies in the range 0-20 ng ml(-1) for control of
growth and ochratoxin production by strains of A. carbonarius at 0.98, 0.96 and
0.94 water availabilities (a(w)) and 15-25 degrees C on a fresh red grape extract
medium were examined. Inhibition of growth was depending on temperature and a(w)
level. At 15 degrees C, 5-10 ng ml(-1) natamycin was effective in reducing growth
almost completely. However, at 20-25 degrees C and all the three a(w) levels,
growth was only slightly inhibited by 5-10 ng ml(-1) natamycin. There were strain
differences with regard to inhibition of OTA production. At 15 degrees C and 0.98
a(w), 10 ng ml(-1) was required to inhibit production by >90%. However, at 0.96
and 0.94 a(w), almost complete inhibition occurred. At 20 degrees C, OTA
production was only significantly inhibited by 10 ng ml(-1) natamycin at 0.94
a(w). At 0.96 and 0.98 a(w), some inhibition occurred with 5-10 ng ml(-1), but
greater concentrations would be required for effective inhibition. At 25 degrees
C, 5 ng ml(-1) was effective at all a(w) levels. However, at 15 degrees C and 25
degrees C and a wide range of a(w) levels, natamycin effectively controlled OTA
production. CONCLUSIONS: Natamycin appears to be a very effective for controlling
growth and OTA production by strains of A. carbonarius over a range of a(w) and
temperature conditions on grape-based media. SIGNIFICANCE AND IMPACT OF THE
STUDY: This is the first detailed study to demonstrate the impact of natamycin
against A. carbonarius. This study suggests that use of natamycin at 50-100 ng
ml(-1) can give complete inhibition of growth of A. carbonarius and OTA
production over a range of environmental conditions. Natamycin could be an
important component of a system to prevent OTA contamination of wine as well
during the drying and production of vine fruits.
PMID- 18045407
TI - Desorption of zinc by extracellularly produced metabolites of Trichoderma
harzianum, Trichoderma reesei and Coriolus versicolor.
AB - AIMS: To determine the role of fungal metabolites in the desorption of metals.
METHODS AND RESULTS: Desorption of Zn from charcoal by three different fungi was
compared against metal desorption with reverse osmosis water, a 0.1% Tween 80
solution and a 0.1 mol l(-1) CaCl(2) solution. All three fungal filtrates
desorbed three times more Zn than either 0.1% Tween 80 or 0.1 mol l(-1) CaCl(2).
Metal chelator production in Trichoderma harzianum and Coriolus versicolor was
constitutively expressed while chelator production in Trichoderma reesei was
induced by Zn. The presence of Zn inhibited the production of metal chelators by
C. versicolor. Only C. versicolor was found to produce oxalic acid (a strong
metal chelator). All fungi caused a marked decrease in pH, although this was not
enough to explain the increased desorption of the metals by the different fungal
filtrates. CONCLUSIONS: Metal chelation via organic acids and proteins are the
main mechanisms by which the fungal filtrates increase zinc desorption.
SIGNIFICANCE AND IMPACT OF THE STUDY: The results of this study explain why
plants inoculated with T. harzianum T22 take up more metal from soil, than
noninoculated plants while metabolites produced by fungi could be used for metal
leaching from contaminated soils.
PMID- 18045408
TI - The heterologous expression of polysaccharidase-encoding genes with oenological
relevance in Saccharomyces cerevisiae.
AB - AIMS: The main objective of this study was to develop polysaccharide-degrading
wine strains of Saccharomyces cerevisiae, which are able to improve aspects of
wine processing and clarification, as well as colour extraction and stabilization
during winemaking. METHODS AND RESULTS: Two yeast expression/secretion gene
cassettes were constructed, namely (i) a pectinase gene cassette (pPPK)
consisting of the endo-polygalacturonase gene (pelE) from Erwinia chrysanthemi
and the pectate lyase gene (peh1) from Erwinia carotovora and (ii) a
glucanase/xylanase gene cassette (pEXS) containing the endo-beta-1,4-glucanase
gene (end1) from Butyrivibrio fibrisolvens and the endo-beta-1,4-xylanase gene
(xynC) from Aspergillus niger. The commercial wine yeast strain, VIN13, was
transformed separately with these two gene cassettes and checked for the
production of pectinase, glucanase and xylanase activities. Pinot Noir, Cinsaut
and Muscat d'Alexandria grape juices were fermented using the VIN13[pPPK]
pectinase- and the VIN13[pEXS] glucanase/xylanase-producing transformants.
Chemical analyses of the resultant wines indicated that (i) the pectinase
producing strain caused a decrease in the concentration of phenolic compounds in
Pinot Noir whereas the glucanase/xylanase-producing strain caused an increase in
phenolic compounds presumably because of the degradation of the grape skins; (ii)
the glucanase/xylanase-producing strain caused a decrease in wine turbidity,
especially in Pinot Noir wine, as well as a clear increase in colour intensity
and (iii) in the Muscat d'Alexandria and Cinsaut wines, the differences between
the control wines (fermented with the untransformed VIN3 strain) and the wines
produced by the two transformed strains were less prominent showing that the
effect of these polysaccharide-degrading enzymes is cultivar-dependent.
CONCLUSIONS: The recombinant wine yeasts producing pectinase, glucanase and
xylanase activities during the fermentation of Pinot Noir, Cinsaut and Muscat
d'Alexandria grape juice altered the chemical composition of the resultant wines
in a way that such yeasts could potentially be used to improve the clarity,
colour intensity and stability and aroma of wine. SIGNIFICANCE AND IMPACT OF THE
STUDY: Aspects of commercial-scale wine processing and clarification, colour
extraction and stabilization, and aroma enhancement could potentially be improved
by the use of polysaccharide-degrading wine yeasts without the addition of
expensive commercial enzyme preparations. This offers the potential to further
improve the price:quality ratio of wine according to consumer expectations.
PMID- 18045409
TI - Characterization of the cellulolytic and hydrogen-producing activities of six
mesophilic Clostridium species.
AB - AIMS: To characterize cellulolytic, hydrogen-producing clostridia on a comparable
basis. METHODS AND RESULTS: H(2) production from cellulose by six mesophilic
clostridia was characterized in standardized batch experiments using MN301
cellulose, Avicel and cellobiose. Daily H(2) production, substrate degradation,
biomass production and the end-point distribution of soluble fermentation
products varied with species and substrates. All species produced a significant
amount of H(2) from cellobiose, with Clostridium acetobutylicum achieving the
highest H(2) yield of 2.3 mol H(2) mol(-1) hexose, but it did not degrade
cellulose. Clostridium cellulolyticum and Clostridium populeti catalysed the
highest H(2) production from cellulose, with yields of 1.7 and 1.6 mol H(2 )mol(
1) hexose from MN301 and 1.6 and 1.4 mol H(2) mol(-1) hexose from Avicel,
respectively. These species also achieved 25-100% higher H(2) production rates
from cellulose than the other species. CONCLUSIONS: These cellulolytic, hydrogen
producing clostridia varied in H(2) production, with Cl. cellulolyticum and Cl.
populeti achieving the highest H(2) yields and cellulose degradation.
SIGNIFICANCE AND IMPACT OF THE STUDY: The fermentation of cellulosic materials
presents a means of H(2) production from renewable resources. This standardized
comparison provides a quantitative baseline for improving H(2) production from
cellulose through medium and process optimization and metabolic engineering.
PMID- 18045410
TI - Modelling the effect of temperature and water activity on the growth of two
ochratoxigenic strains of Aspergillus carbonarius from Greek wine grapes.
AB - AIMS: To develop descriptive models for the combined effect of temperature (10-40
degrees C) and water activity (0.850-0.980) on the growth of two ochratoxin A
producing strains of Aspergillus carbonarius from Greek wine grapes on a
synthetic grape juice medium. METHODS AND RESULTS: Fungal growth was measured as
changes in colony diameter on a daily basis. The maximum specific colony growth
rates (mu(max)) were determined by fitting the primary model of Baranyi
describing the change in colony diameter (mm) with respect to time (days).
Secondary models, relating mu(max) with temperature and a(w) were developed and
comparatively evaluated based on polynomial, Parra, Miles, Davey and Rosso
equations. No growth was observed at 0.850 a(w) (water activity) regardless of
temperature, as well as at marginal temperature levels assayed (10 and 40 degrees
C) regardless of water activity. The data set was fitted successfully in all
models as indicated by the values of regression coefficients and root mean square
error. Models with biological interpretable parameters were highly rated compared
with the polynomial model, providing realistic cardinal values for temperature
and a(w). The optimum values for growth were found in the range 0.960-0.970 a(w)
and 34-35 degrees C respectively for both strains. The developed models were
validated on independently derived data from the literature and presented
reasonably good predictions as inferred by graphical plots and statistical
indices (bias and accuracy factors). CONCLUSIONS: The effect of temperature and
a(w) on the growth of A. carbonarius strains could be satisfactorily predicted
under the current experimental conditions, and the proposed models could serve as
a tool for this purpose. SIGNIFICANCE AND IMPACT OF THE STUDY: The results could
be successfully employed as an empirical approach in the development and
prediction of risk models of contamination of grapes and grape products by A.
carbonarius.
PMID- 18045411
TI - Cloning of a gene encoding thermostable glucoamylase from Chaetomium thermophilum
and its expression in Pichia pastoris.
AB - AIMS: Chaetomium thermophilum is a soil-borne thermophilic fungus whose molecular
biology is poorly understood. Only a few genes have been cloned from the
Chaetomium genus. This study attempted to clone, to sequence and to express a
thermostable glucoamylase gene of C. thermophilum. METHODS AND RESULTS: First
strand cDNA was prepared from total RNA isolated from C. thermophilum and the
glucoamylase gene amplified by using PCR. Degenerate primers based on the N
terminal sequences of the purified glucoamylase according to our previous works
and a cDNA fragment encoding the glucoamylase gene was obtained through RT-PCR.
Using RACE-PCR, full-length cDNA of glucoamylase gene was cloned from C.
thermophilum. The full-length cDNA of the glucoamylase was 2016 bp and contained
a 1797-bp open reading frame encoding a protein glucoamylase precursor of 599
amino acid residues. The amino-acid sequence from 31 to 45 corresponded to the N
terminal sequence of the purified protein. The first 30 amino acids were presumed
to be a signal peptide. The alignment results of the putative amino acid sequence
showed the catalytic domain of the glucoamylase was high homology with the
catalytic domains of the other glucoamylases. The C. thermophilum glucoamylase
gene was expressed in Pichia pastoris, and the glucoamylase was secreted into the
culture medium by the yeast in a functionally active form. The recombinant
glucoamylase purified was a glycoprotein with a size of about 66 kDa, and
exhibited optimum catalytic activity at pH 4.5-5.0 and 65 degrees C. The enzyme
was stable at 60 degrees C, the enzyme activity kept 80% after 60 min incubation
at 70 degrees C. The half-life was 40 and 10 min under incubation at 80 and 90
degrees C respectively. CONCLUSIONS: A new thermostable glucoamylase gene of C.
thermophilum was cloned, sequenced, overexpressed successfully in P. pastoris.
SIGNIFICANCE AND IMPACT OF THE STUDY: Because of its thermostability and
overexpression, this glucoamylase enzyme offers an interesting potential in
saccharification steps in both starch enzymatic conversion and in alcohol
production.
PMID- 18045412
TI - Comparison of the inactivation of Bacillus subtilis spores and MS2 bacteriophage
by MIOX, ClorTec and hypochlorite.
AB - AIMS: To compare the disinfection ability of two widely used electrolytic
generation systems (ClorTec and MIOX) and the conventional chlorine disinfectant
(sodium hypochlorite) using three strains of Bacillus subtilis spores and MS2
bacteriophage. METHODS AND RESULTS: Three B. subtilis aerobic spore strains
(ATCC1A1, 35021 and 35946) and the bacteriophage MS2 (ATCC 15597-B1) were
propagated and sporulated. Four indicator organisms were exposed to four
disinfectant treatments for comparing the effectiveness of inactivation:
hypochlorite, ClorTec, MIOX and MIOX-anode. The results indicated that the two
electrolytic generation systems were as effective as the conventional
chlorination for the inactivation of micro-organisms used. Some data points
showed the variation using anova analysis, in which the inactivation of MIOX and
ClorTec was higher than that of hypochlorite. CONCLUSIONS: The ClorTec and MIOX
systems are quite similar to hypochlorite in the inactivation-effectiveness for
aerobic spores and bacteriophage in drinking water. SIGNIFICANCE AND IMPACT OF
THE STUDY: Laboratory-scale investigation proved that gaseous chlorine could be
replaced by either ClorTec or MIOX systems for the drinking water treatment
utilities, which still could maintain the same disinfection efficiency.
PMID- 18045413
TI - New water disinfection system using UVA light-emitting diodes.
AB - AIM: To evaluate the ability of high-energy ultraviolet A (UVA) light-emitting
diode (LED) to inactivate bacteria in water and investigate the inactivating
mechanism of UVA irradiation. METHODS AND RESULTS: We developed a new
disinfection device equipped with high-energy UVA-LED. Inactivation of bacteria
was determined by colony-forming assay. Vibrio parahaemolyticus, enteropathogenic
Escherichia coli, Staphylococcus aureus and Escherichia coli DH5alpha were
reduced by greater than 5-log(10) stages within 75 min at 315 J cm(-2) of UVA.
Salmonella enteritidis was reduced greater than 4-log(10) stages within 160 min
at 672 J cm(-2) of UVA. The formation of 8-hydroxy-2'-deoxyguanosine in UVA-LED
irradiated bacteria was 2.6-fold higher than that of UVC-irradiated bacteria at
the same inactivation level. Addition of mannitol, a scavenger of hydroxyl
radicals (OH(*)), or catalase, an enzyme scavenging hydrogen peroxide (H(2)O(2))
to bacterial suspensions significantly suppressed disinfection effect of UVA-LED.
CONCLUSION: This disinfection system has enough ability to inactivate bacteria
and OH(*) and H(2)O(2) participates in the disinfection mechanism of UVA
irradiation. SIGNIFICANCE AND IMPACT OF THE STUDY: We newly developed UVA
irradiation system and found that UVA alone was able to disinfect the water
efficiently. This will become a useful disinfection system.
PMID- 18045414
TI - Arsenic-resistant bacteria isolated from contaminated sediments of the Orbetello
Lagoon, Italy, and their characterization.
AB - AIMS: The aim of this study was to isolate arsenic-resistant bacteria from
contaminated sediment of the Orbetello Lagoon, Italy, to characterize isolates
for As(III), As(V), heavy metals resistance, and from the phylogenetic point of
view. METHODS AND RESULTS: Enrichment cultures were carried out in the presence
of 6.75 mmol l(-1) of As(III), allowing isolation of ten bacterial strains. Four
isolates, ORAs1, ORAs2, ORAs5 and ORAs6, showed minimum inhibitory concentration
values equal or superior to 16.68 mmol l(-1) and 133.47 mmol l(-1) in the
presence of As(III) and As(V), respectively. Isolate ORAs2 showed values of 1.8
mmol l(-1) in the presence of Cd(II) and 7.7 mmol l(-1) of Zn(II), and isolate
ORAs1 pointed out a value of 8.0 mmol l(-1) in the presence of Cu(II). Analysis
of 16S rRNA gene sequences revealed that they can be grouped in the three genera
Aeromonas, Bacillus and Pseudomonas. Phylogenetic analysis of the four more
arsenic-resistant strains was also performed. CONCLUSION: Isolates are highly
resistant to both As(III) and As(V) and they could represent good candidates for
bioremediation processes of native polluted sediments. SIGNIFICANCE AND IMPACT OF
THE STUDY: This study provides original results on levels of resistance to
arsenic and to assigning genera of bacterial strains isolated from arsenic
polluted sediments.
PMID- 18045415
TI - Diagnosis of Xanthomonas axonopodis pv. citri, causal agent of citrus canker, in
commercial fruits by isolation and PCR-based methods.
AB - AIMS: To show the results of the detection of an EU quarantine organism,
Xanthomonas axonopodis pv. citri (Xac), in citrus fruits imported from countries
where this bacterium is present, using an integrated approach that includes
isolation, pathogenicity assays and molecular techniques. METHODS AND RESULTS:
Citrus fruits with canker-like symptoms, exported to Spain from South American
countries were analysed by several methods. Bacterial isolation, three
conventional polymerase chain reaction (PCR) protocols, and real-time PCR with
SYBR Green or a TaqMan probe, were compared. Canker-like lesions were disrupted
in PBS buffer, and the extract used for bacterial isolation and DNA extraction
followed by PCR amplification. Canker lesions, identified by PCR, showed viable
bacteria in eleven of fifteen fruit samples. In 16 out of 130 lesions analysed
from these samples, Xac was isolated, and pathogenicity on grapefruit leaves
confirmed. By real-time PCR, using SYBR green or a Taqman probe, Xac was detected
in 58 and 80 lesions respectively. By conventional PCR the bacterium was detected
in 39-52 lesions depending on the protocol employed. CONCLUSIONS: An integrated
approach for reliable detection of Xac in lesions of fruit samples, employing
several techniques and with real-time PCR using a TaqMan probe as the fastest and
most sensitive screening method, has been established and validated and is
proposed as a useful tool for the analysis of Xac on fresh fruits. SIGNIFICANCE
AND IMPACT OF THE STUDY: This work faces up to the real threat of the importation
of citrus fruits that can harbour quarantine bacteria and will be useful in
diagnostic laboratories for the analysis of commercial fresh fruits from
countries where citrus canker is present.
PMID- 18045416
TI - The glutamate decarboxylase acid resistance mechanism affects survival of
Listeria monocytogenes LO28 in modified atmosphere-packaged foods.
AB - AIMS: The contribution of the glutamate decarboxylase (GAD) acid resistance
system to survival and growth of Listeria monocytogenes LO28 in modified
atmosphere-packaged foods was examined. METHODS AND RESULTS: The survival and
growth of the wild-type LO28 and four GAD deletion mutants (DeltagadA, DeltagadB,
DeltagadC, DeltagadAB) in packaged foods (minced beef, lettuce, dry coleslaw mix)
during storage at 4, 8 and 15 degrees C were studied. Survival and growth
patterns varied with strain, product type, gas atmosphere and storage
temperature. In minced beef, the wild-type LO28 survived better (P < 0.05) than
the GAD mutant strains at 8 and 15 degrees C. In both packaged vegetables at all
storage temperatures, the wild-type strain survived better (P < 0.05) than the
double mutant DeltagadAB. The requirement for the individual gad genes varied
depending on the packaged food. In the case of lettuce, gadA played the most
important role, while the gadB and gadC genes played the greatest role in
packaged coleslaw (at 15 degrees C). CONCLUSIONS: This work demonstrates that
elements of the GAD system play significant roles in survival of L. monocytogenes
LO28 during storage in modified atmosphere-packaged foods. SIGNIFICANCE AND
IMPACT OF THE STUDY: A better understanding of how L. monocytogenes behaves in
modified atmosphere-packaged foods, and how it responds to elevated carbon
dioxide atmospheres.
PMID- 18045417
TI - Grapevine yellows in Northern Italy: molecular identification of Flavescence
doree phytoplasma strains and of Bois Noir phytoplasmas.
AB - AIMS: Verify the presence and the molecular identity of phytoplasmas in Northern
and Central Italy vineyards where yellows diseases are widespread. METHODS AND
RESULTS: Phytoplasma presence and identity were determined by PCR/RFLP analyses
on 16S ribosomal gene testing 1424 symptomatic samples. The 65% of samples
resulted phytoplasma infected; in particular 256 samples were found positive to
phytoplasmas belonging to group 16SrV (mainly Flavescence doree associated), and
the remaining 37% was infected by phytoplasmas belonging to ribosomal subgroup
16SrXII-A (Stolbur or Bois Noir associated). 16SrV ribosomal group representative
strains were further typed for variability in SecY and rpS3 genes. The results
showed the presence of phytoplasmas belonging to 16SrV-C, 16SrV-D and to a lesser
extent, 16SrV-A subgroup. CONCLUSIONS: Possible relationships between genetic
polymorphisms of phytoplasma strains belonging to subgroup 16SrV-C and their
geographic distribution and/or epidemic situations were detected. SIGNIFICANCE
AND IMPACT OF THE STUDY: Bois Noir and Flavescence doree phytoplasmas are present
in significant percentages in the areas under investigation. Molecular tools
allowed to identify phytoplasma-infected plants and the genes employed as
polymorphism markers resulted useful in distinguishing and monitoring the
spreading of the diseases associated with diverse phytoplasmas belonging to 16SrV
subgroup in vineyards.
PMID- 18045418
TI - Isolation, purification and characterization of an antifungal molecule produced
by Bacillus licheniformis BC98, and its effect on phytopathogen Magnaporthe
grisea.
AB - AIMS: Isolation of bacterial antagonist for use in the biological control of
phytopathogenic fungi like rice blast fungus, Magnaporthe grisea, and to further
purify and characterize the antifungal molecule produced by the antagonist.
METHODS AND RESULTS: Bacterial antagonist exhibiting highest antifungal activity
against the rice blast fungus M. grisea was isolated from soil and identified as
Bacillus licheniformis BC98. Besides M. grisea, the isolate also inhibited the
growth of other phytopathogens such as Curvularia lunata and Rhizoctonia
bataticola. Biologically active fractions were isolated from the culture filtrate
and further fractionated by reverse-phase high-performance liquid chromatography
(HPLC) enabling detailed structural characterization of a component of molecular
mass 1035 Da. The active peptide was identified as surfactin after 500 MHz (1)H
NMR analysis. Microscopic analysis of the effect of the antagonist on M. grisea
revealed bulbous hyphae showing patchy and vacuolated cytoplasm when observed
under the electron microscope. CONCLUSIONS: The antagonistic lipopeptide secreted
by B. licheniformis BC98 and identified as surfactin, induced morphological
changes in M. grisea, inhibiting its further growth, and thus exhibiting
fungicidal activity. SIGNIFICANCE AND IMPACT OF THE STUDY: The antagonist
inhibits germination of M. grisea, a potent rice phytopathogen, and therefore
appears to be a potential candidate for control of rice blast disease.
PMID- 18045419
TI - The effect of NADP-dependent malic enzyme expression and anaerobic C4 metabolism
in Escherichia coli compared with other anaplerotic enzymes.
AB - AIMS: To understand the modification of C4-metabolism under anaerobic glycolysis
condition by overexpressing anaplerotic enzymes, which mediating carboxylation of
C3 into C4 metabolites, in Escherichia coli. METHODS AND RESULTS: Anaplerotic
NADP-dependent malic enzyme (MaeB), as well as the other anaplerotic enzymes,
including phosphoenolpyruvate carboxylase (Ppc), phosphoenolpyruvate
carboxykinase (Pck) and NAD-dependent malic enzyme (MaeA), were artificially
expressed and their C4 metabolism was compared in E. coli. Increasing MaeB
expression enhanced the production of C4 metabolites by 2.4 times compared to the
wild-type strain in anaerobic glucose medium with bicarbonate supplementation. In
MaeB expression, C4 metabolism by supplementing 10 g l(-1) of NaHCO(3) was three
times than that by no supplementation, which showed the greatest response to
increased CO(2) availability among the tested anaplerotic enzyme expressions.
CONCLUSIONS: The higher C4 metabolism was achieved in E. coli expressing
increased levels of the NADPH-dependent MaeB. The greatest increase in the C4
metabolite ratio compared to the other tested enzymes were also found in E. coli
with enhanced MaeB expression as CO(2) availability increased. SIGNIFICANCE AND
IMPACT OF THE STUDY: The higher C4 metabolites and related biomolecule
productions can be accomplished by MaeB overexpression in metabolically
engineered E. coli.
PMID- 18045420
TI - Global gene expression of Trichophyton rubrum in response to PH11B, a novel fatty
acid synthase inhibitor.
AB - AIMS: To determine the transcriptional responses of Trichophyton rubrum to the
artificial substance, PH11B. METHODS AND RESULTS: The broth microdilution assay
for antifungal susceptibility testing of dermatophytes was used to measure the
minimum inhibitory concentration (MIC) of PH11B. cDNA microarray technology and
real-time RT-PCR were used to study the transcriptional responses of T. rubrum to
PH11B. The MIC determined was 16 microg ml(-1). The analysis of microarray data
revealed that 787 genes were affected. Transcript levels from 476 genes increased
at least two times, while 311 gene transcript levels decreased at least two
times. CONCLUSIONS: PH11B has strong antifungal activity and the transcriptional
response of T. rubrum to PH11B was determined. SIGNIFICANCE AND IMPACT OF THE
STUDY: This microarray data set provides an analysis of gene expression of T.
rubrum under PH11B treatment. The data provide an insight into the various
metabolic processes altered or activated by PH11B. This study provided an insight
into the action mode of the PH11B on T. rubrum.
PMID- 18045421
TI - Characterization of antimicrobial resistant Escherichia coli isolated from
processed bison carcasses.
AB - AIM: To determine the phenotypic and genotypic antimicrobial susceptibility
profiles of Escherichia coli from bison carcasses. METHODS AND MATERIALS: The
antimicrobial resistance of 138 E. coli isolates recovered from processed bison
carcasses was determined by using the National Antimicrobial Resistance
Monitoring System panels, polymerase chain reaction assays, plasmid analysis and
conjugation studies. RESULTS: Resistance to 14 of the 16 antimicrobials was
observed. Twenty-three (16.7%) isolates displayed resistance to at least one
antimicrobial agent. The most prevalent resistances were to tetracycline (13.0%),
sulfamethoxazole (7.9%) and streptomycin (5.8%). No resistance was observed to
amikacin and ciprofloxacin. Further analysis of 23 antimicrobial-resistant E.
coli isolates showed the presence of resistance genes corresponding to their
phenotypic profiles. Results of conjugation studies carried out showed most
isolates tested were able to transfer their resistance to recipients. CONCLUSION:
This study indicated that multidrug-resistant E. coli isolates are present in
bison. However, the resistance rate is lower than that reported in other meat
species. SIGNIFICANCE AND IMPACT OF THE STUDY: The beneficial effects of
antimicrobial-free feeding practice in bison may be promoting a reduction in the
prevalence of antimicrobial resistance in commensal flora of bison.
PMID- 18045422
TI - The in vitro effect of gossypol and its interaction with salts on conidial
germination and viability of Fusarium oxysporum sp. vasinfectum isolates.
AB - AIMS: To assess the effect of different concentrations of gossypol (0, 2, 4, 10
and 20 mg l(-1)) in combination with NaCl and Na(2)SO(4) (20 mS cm(-1)) on the
conidial germination and viability of Fusarium oxysporum f.sp. vasinfectum (Fov).
METHODS AND RESULTS: A multinomial logistic model was developed to estimate the
germination probability of Fov. The inhibitory effect was markedly evident at the
two highest concentrations of gossypol; it varied among the isolates tested and
with time, and it was attenuated by the presence of sodium salts. The inhibition
was temporary as the germination probability increased after 8 h. Fluorescent
staining revealed that gossypol either killed the conidia or retarded the
elongation of the germ tubes. CONCLUSION: Fov showed the ability to overcome
gossypol inhibition over time, and the inhibitory effect is reduced under saline
conditions. Differential responses among Fov isolates to the presence of gossypol
suggest that gossypol tolerance is genetically determined in the pathogen.
SIGNIFICANCE AND IMPACT OF THE STUDY: This study suggests that selecting for high
plant gossypol cultivars would have minimal effect on the overall Fov resistance
of cotton. A new statistical model was developed to explore the statistical
significance of plant-pathogen interactions.
PMID- 18045423
TI - Potential of AbiS as defence mechanism determined by conductivity measurement.
AB - AIM: To compare pH and conductivity used in the determination of growth in
reconstituted skim milk (RSM), to determine whether the presence of one or two
plasmids in Lactococcus lactis had any influence on growth, and whether AbiS
improved bacteriophages resistance of L. lactis. METHODS AND RESULTS:
Conductivity and pH were used to determine growth in RSM. A small increase in the
generation time was found with increasing number of plasmids, while their size
was unimportant. The introduction of a plasmid-encoding AbiS did only enhance the
level of phage resistance significant when other plasmids encoding either AbiS1
or the restriction modification system LlaBIII was present. CONCLUSIONS: The
earliest detection of growth was observed by measuring pH, rather than
conductance. The plasmid-encoded AbiS system has a potential to be used as a
phage resistance mechanisms in L. lactis during milk fermentations, especially
when combined with other anti-phage mechanisms. SIGNIFICANCE AND IMPACT OF THE
STUDY: This study widened the knowledge about the influence of plasmid
introduction on the growth rate of L. lactis, which is important for the
construction of new strains. The level of protection against 936 groups of phages
was only significant when the mechanism was present together with the RM system
LlaBIII.
PMID- 18045424
TI - Prebiotics enhance survival and prolong the retention period of specific
probiotic inocula in an in vivo murine model.
AB - AIM: To identify novel prebiotics that could be used to maintain persistence of
three representative probiotic strains in vivo. METHODS AND RESULTS: Test mice
were treated with prebiotics soybean oligosaccharide (SOS), fructooligosaccharide
(FOS) or inulin, followed by probiotics Lactobacillus acidophilus LAFTI L10
(L10), Bifidobacterium lactis LAFTI B94 (B94) or Lactobacillus casei L26 LAFTI
(L26). Faecal samples were then collected and analysed using selective medium and
PCR analysis to determine the presence of the probiotic strains. In contrast to
the control groups, in mice fed prebiotics, the survival and retention time of
the test probiotics was increased extensively. SOS and FOS prolonged the
retention period of L10 from 24 to 30 h. Of the three prebiotics, FOS gave the
best result with B94, prolonging the retention period from 3 to > or =10 days. Of
the three prebiotics, inulin gave the best result for L26, prolonging the
retention period from 2 to > or =6 days. CONCLUSIONS: The prebiotics SOS, FOS and
inulin significantly enhance survival and prolong the retention period of L10,
B94 and L26 in vivo. SIGNIFICANCE AND IMPACT OF THE STUDY: Our results
demonstrate the potential use of FOS, inulin and SOS as prebiotics in conjunction
with the probiotic strains L10, B94 and L26 for new synbiotic products.
PMID- 18045425
TI - Prevalence and molecular characterization of Escherichia coli O157:H7 on Irish
lamb carcasses, fleece and in faeces samples.
AB - AIMS: To determine the prevalence, seasonal variation and virulence
characteristics of Escherichia coli O157:H7 in lambs presented for slaughter in
Ireland. METHODS AND RESULTS: Over a 13-month period, pre- and postchill carcass
swabs, faeces and fleece samples from 1600 lambs were examined for the presence
of E. coli O157:H7. Escherichia coli O157:H7 was isolated from 5.75% (23/400) of
fleece samples, 1.5% (6/400) of pre- and 1% (4/400) of postchill carcass swabs
but was not isolated in faeces (0/400). The present study detected no evidence of
seasonal variation. Polymerase chain reaction analysis showed that both the vt1
and vt2 genes associated with clinical illness were carried by five of the E.
coli O157:H7 isolates, while 24 of the remaining isolates carried the vt2 gene
only. Phage typing detected four different subtypes: PT 32 (48.48%), PT 8
(12.12%), PT 31 (12.12%) and PT 21/28 (12.12%). CONCLUSIONS: Escherichia coli
O157:H7 is present in lambs at slaughter in Irish abattoirs and the virulence
profiles of these isolates reveals that they are potentially harmful to humans.
SIGNIFICANCE AND IMPACT OF THE STUDY: The present study provides crucial
information indicating that sheep may be a significant contributing source to
human E. coli O157:H7 infection.
PMID- 18045426
TI - Volume recovery, surface properties and membrane integrity of Lactobacillus
delbrueckii subsp. bulgaricus dehydrated in the presence of trehalose or sucrose.
AB - AIMS: Although the practical importance of adding sugars before drying is well
known, the mechanism of protection of bacteria by sugars is not clear. The
response of the dehydrated micro-organisms to rehydration is analysed in terms of
structural and functional changes, and correlated with their potentiality to grow
in rich media. These aspects are related with the membrane integrity and the
metabolic state of the rehydrated bacteria, measured by means of surface
properties and permeability. To attain this objective, Lactobacillus delbrueckii
subsp. bulgaricus was dehydrated in the presence and in the absence of sucrose
and trehalose. The bacterial response upon rehydration was investigated by
determining: (i) the lag time of the bacterial growing in rich media, (ii) the
restoration of the surface properties and the cellular volume and (iii) the
membrane integrity. METHODS AND RESULTS: Lactobacillus delbrueckii subsp.
bulgaricus was grown in MRS at 37 degrees C overnight [De Man et al. (1960)J Appl
Bacteriol 23, 130] and then dehydrated for 10, 20 and 30 min at 70 degrees C in a
vacuum centrifuge. The lag time of micro-organisms was determined by optical
density changes after rehydration. The surface properties were determined by
measuring the zeta potential of the bacteria suspended in aqueous solution. The
cellular volume recovery was measured, after stabilization in saline solution, by
light scattering and by the haematocrit method [Alemohammad and Knowles (1974)J
Gen Microbiol 82, 125]. Finally, the membrane integrity has been determined by
using specific fluorescent probes [SYTO 9 and propidium iodide, (PI)] that bind
differentially depending on the integrity of the bacterial membrane. The lag time
of Lact. delbrueckii subsp bulgaricus, dehydrated by heat in the presence of
sucrose or trehalose and after that rehydrated, was significantly shortened, when
compared with that obtained for bacteria dried in the absence of sugars. In these
conditions, trehalose and sucrose maintained the zeta potential and the cell
volume close to the control (nondried) cells. However, the membrane integrity,
measured with fluorescent probes, was maintained only when cells were dehydrated
for 10 min in the presence of sugars. For larger times of dehydration, the
membrane integrity was not preserved, even in the presence of sugars.
CONCLUSIONS: When the micro-organisms are dehydrated in the absence of
protectants, the membrane damage occurs with a decrease in the absolute value of
the zeta potential and a decrease in the cellular volume recovered after
rehydration. In contrast, when the zeta potential and the cellular volume are
restored after rehydration to that corresponding to nondried cells, the micro
organisms are able to recover and grow with a reduced lag time. This can only be
achieved when the dehydration is carried out in the presence of sugars. At short
dehydration times, the response is associated with the preservation of the
membrane integrity. However, for longer times of dehydration the zeta potential
and volume recovery occurs in the presence of sugars in spite of a severe damage
at membrane level. In this condition, cells are also recovered. In conclusion, to
predict the ability of growing after dehydration, other bacterial structural
parameters besides membrane integrity, such as zeta potential and cellular
volume, should be taken into account. SIGNIFICANCE AND IMPACT OF THE STUDY: The
correlation of the lag time with the surface and permeability properties is of
practical importance because the correlation of these two parameters with cell
viability, allow to determine the potential bacterial capacity to grow in a rich
medium after the preservation procedure, without necessity of performing a
kinetic curve of growth, which is certainly time-consuming.
PMID- 18045427
TI - Occurrence and levels of indicators and selected pathogens in different sludges
and biosolids.
AB - AIMS: Determine the occurrence and levels of pathogens and indicators in raw and
treated sludges and compare their persistence after two different treatments.
METHODS AND RESULTS: Helminth ova, Cryptosporidium spp., Salmonella spp.,
enteroviruses, and bacterial and viral indicators were determined in raw sludges
and biosolids produced after mesophilic and thermophilic treatments. Except
Salmonella, all of the parameters were quantified. Helminth ova were found at
very low concentrations even in raw sludges. Viable Cryptosporidium oocysts were
still present in most samples of treated sludges. Faecal coliforms, spores of
sulphite-reducing clostridia (SSRC), and somatic coliphages were the only
indicators with values above their detection limits in most of the samples.
CONCLUSIONS: Pathogens were still detected in some treated sludge samples. SSRC
were the most resistant micro-organisms to treatments and hence may be an
indicator for the reduction of protozoan oocysts. Somatic coliphages constitute
an alternative as viral indicators due to their detection in sludges before and
after treatment. SIGNIFICANCE AND IMPACT OF THE STUDY: Because of the persistence
of some pathogens after sludge treatments, additional indicators are needed. SSRC
and somatic coliphages are good candidates. Easy and inexpensive methods for the
determination of these indicators are feasible both in industrialized and
developing countries.
PMID- 18045428
TI - Multilocus genetic analysis of Cryptosporidium in naturally contaminated bivalve
molluscs.
AB - AIMS: To evaluate the application of discriminatory multilocus PCR procedures for
the characterization of Cryptosporidium in samples of naturally contaminated
bivalve molluscan shellfish. METHODS AND RESULTS: Nucleic acid was extracted from
22 shellfish previously identified as contaminated with Cryptosporidium spp. and
subjected to PCR-based analysis for two independent fragments of the
Cryptosporidium oocyst wall protein (COWP) gene, three microsatellite markers (ML
1, GP 15 and MS 5) and an extra-chromosomal small double-stranded RNA (dsRNA).
Overall, at least one COWP gene fragment was amplified from all 22 samples, 21
amplified the dsRNA and 14 at least one of the three microsatellite loci. More
than one dsRNA or microsatellite allele was detected in 50% of samples. The
majority of samples were contaminated with Cryptosporidium parvum types
circulating in both humans and livestock. A novel dsRNA element was identified in
one sample, which did not amplify any of the three microsatellite loci
investigated. CONCLUSIONS: Multilocus analysis of Cryptosporidium can be applied
to DNA extracted from naturally contaminated shellfish. SIGNIFICANCE AND IMPACT
OF STUDY: This multilocus genetic analysis highlights that filter feeder molluscs
are a potential source of cryptosporidial oocysts, which may be infectious to
humans.
PMID- 18045429
TI - Use of selected indigenous Saccharomyces cerevisiae strains for the production of
the traditional cachaca in Brazil.
AB - AIMS: To test indigenous Saccharomyces cerevisiae as starters to produce cachaca
in large-scale in a traditional distillery, establishing the period in which,
each strain predominates in the vats, chemical composition and sensory attributes
of the beverage, and to compare these data with vats prepared by spontaneous
fermentation. METHODS AND RESULTS: Strains were evaluated for kinetic
fermentation parameters, permanence in vats, volatile compound production, and
sensory attributes for the cachacas produced. In general the vats in which
starter strains were used, no difference in restriction mitochondrial DNA (mtDNA)
profiles of isolates was observed. In the vats in which spontaneous fermentation
occurred, different mtDNA restriction profiles were observed. Most of the non
Saccharomyces species isolated could be regarded as contaminants of fermentation.
All cachacas produced, despite being recently distilled and with differences in
their chemical composition, were well accepted by the judges. CONCLUSIONS: It was
possible to detect the differences in the fermentation capacities of S.
cerevisiae strains, in their relative abundances at different time periods, and
in the chemical compositions and sensory attributes of the resulting beverages.
SIGNIFICANCE AND IMPACT OF THE STUDY: The indigenous strains utilized to prepare
cachaca have shown potential to be used as starters of this traditional
fermentation process.
PMID- 18045430
TI - Environment and virulence factors of Vibrio cholerae strains isolated in
Argentina.
AB - AIMS: To determine the presence of Vibrio cholerae in different areas of
Argentina in three sample types, to determine the composition of planktonic
communities in areas at which this pathogen was detected and to characterize the
virulence properties and antimicrobial resistance of the recovered environmental
isolates. METHODS AND RESULTS: Water and plankton samples were collected in
marine, brackish and freshwater environments. Vibrio cholerae non-O1, non-O139
was isolated in 36.1% of the samples analysed. The micro-organism was detected in
freshwater but not in marine or brackish samples. No relationship was found
between isolation of V. cholerae and presence of any species of plankton. All the
isolates presented very similar virulence profiles by PCR, lacking ctxA and tcpA
El Tor and containing hlyA (98.7%), rtxA (99.0%), toxR (98.7%) and stn-sto
(1.9%). Resistance to ampicillin was found in both Tucuman (21%) and Buenos Aires
isolates (45%). CONCLUSIONS: We identified two geographic areas in Argentina
where V. cholerae was present: freshwaters of the rivers from Tucuman and the Rio
de la Plata. SIGNIFICANCE AND IMPACT OF THE STUDY: The identification of V.
cholerae strains in the environment, carrying both virulence factors and
resistance to antimicrobial agents, highlight the need for a continuous and
active surveillance of this pathogen.
PMID- 18045431
TI - Shiga-toxigenic Escherichia coli O157 and non-Shiga-toxigenic E. coli O157
respond differently to culture and isolation from naturally contaminated bovine
faeces.
AB - AIM: To quantify the effect of enrichment, immunomagnetic separation (IMS), and
selective plating procedures on isolation of Shiga-toxigenic Escherichia coli
O157 (STEC O157) and non-Shiga-toxigenic Escherichia coli O157 (non-STEC O157)
from naturally contaminated bovine faeces. METHODS AND RESULTS: Two broth
enrichment times, two IMS strategies, and two selective plating media were
evaluated. STEC O157 and non-STEC O157 strains were often isolated from the same
faecal specimen and responded differently to the isolation protocols. A large
volume IMS system was more sensitive than a conventional small-volume IMS method,
but was also more expensive. STEC O157 was more frequently isolated from 6 h
enriched broth and ChromAgar plates containing 0.63 mg l(-1) potassium tellurite
(TCA). Non-STEC O157 was more frequently isolated from un-enriched broth and
ChromAgar plates without tellurite (CA). CONCLUSIONS: The combination of 6-h
enrichment in Gram-negative broth containing vancomycin, cefixime and cefsuludin,
large volume IMS and selective plating on TCA maximized STEC O157 recovery from
naturally contaminated cattle faecal specimens. SIGNIFICANCE AND IMPACT OF THE
STUDY: The pairing of proper enrichment with a specific plating procedure is key
for STEC O157 recovery from naturally contaminated bovine faeces. Incorporating
tellurite into an E. coli O157 detection strategy may select for the subset of E.
coli O157 that contains the Shiga-toxin genes.
PMID- 18045432
TI - Integration of the group c phage JCL1032 of Lactobacillus delbrueckii subsp.
lactis and complex phage resistance of the host.
AB - AIMS: Sequences related to Lactobacillus delbrueckii phage JCL1032 genome
integration, the maintenance of lysogeny and putative immunity genes were
characterized. Phenotypic changes of the JCL1032 lysogens were investigated.
METHODS AND RESULTS: Integration of JCL1032 DNA into the host genome and the
location of phage and bacterial attachment sites were studied by standard
molecular methods. The frequency of lysogenization was 10(-7), and stable
lysogeny was an even rarer phenomenon. JCL1032 integrates its genome into two
distinct host genes of unknown functions. According to EOP (efficiency of
plating) and adsorption tests JCL1032 lysogens showed resistance against several
virulent and temperate Lactobacillus phages at different steps of phage
infection. CONCLUSIONS: Temperate JCL1032 integrates its genome into bacterial
DNA with exceptionally low frequency. JCL1032 lysogens express a complex phage
resistance against several Lact. delbrueckii phages. An antagonistic arms race
between the temperate phage and its host is proposed. SIGNIFICANCE AND IMPACT OF
THE STUDY: This is the first time that the genome integration of a group c Lact.
delbrueckii phage has been described. The characterized lysogens could facilitate
studies on Lact. delbrueckii phage receptors and phage resistance mechanisms. The
genetic information gained from this study benefits the development of
integration vectors and phage resistant starters.
PMID- 18045433
TI - Cloning and phylogenetic analysis of the chitinase gene from the facultative
pathogen Paecilomyces lilacinus.
AB - AIMS: To PCR-amplify the full-length genomic-encoding sequence for one chitinase
from the facultative fungal pathogen Paecilomyces lilacinus, analyse the DNA and
deduced amino acid sequences and compare the amino acid sequence with chitinases
reported from mycopathogens, entomopathogens and nematopathogens. METHODS AND
RESULTS: The encoding gene (designated as PLC) was isolated using the degenerate
PCR primers and the DNA-Walking method. The gene is 1458 bp in length and
contains three putative introns. A number of sequence motifs that might play a
role in its regulation and function had also been found. Alignment of the
translation product (designated as Plc, molecular mass of 45.783 kDa and pI of
5.65) with homologous sequences from other species showed that Plc belongs to
Class V chitinase within the glycosyl hydrolase family 18. The phylogenetic and
molecular evolutionary analysis using mega (Molecular Evolutionary Genetics
Analysis) indicated that these chitinases from mycopathogens, entomopathogens and
nematopathogens, the majority of which belong to glycosyl hydrolase family 18,
were clustered into two well-supported subgroups corresponding to ascomycetes
fungal and nonfungal chitinases (bacteria, baculoviruses). CONCLUSIONS: Our study
showed that chitinases from mycoparasitic, entomopathogenic and nematophagous
fungi are closely related to each other and reaffirmed the hypothesis that
baculovirus chitinase is most likely to be of a bacterial origin - acquired by
gene transfer. Bacterial and baculoviral chitinases in our study are potential
pathogenicity factors; however, we still cannot ascribe any specific function to
those chitinases from the fungi. SIGNIFICANCE AND IMPACT OF THE STUDY: To our
knowledge, this is the first report describing the chitinase gene and its
translation product from Paecilomyces lilacinus, which constitutes the largest
number of formulated biological nematicides reported so far, this is also the
first study to analyse and resolve the phylogenetic and molecular evolutionary
relationships among the chitinases produced by mycopathogens, entomopathogens and
nematopathogens.
PMID- 18045434
TI - Characterization of dominant cultivable lactobacilli and their antibiotic
resistance profiles from faecal samples of weaning piglets.
AB - AIMS: To examine the lactic acid bacteria flora of weaning piglets, to define the
distribution of different lactobacilli species in piglet faecal samples, and to
determine the susceptibility phenotype to 11 antibiotic of different families.
METHODS AND RESULTS: The faecal samples were taken from piglets with good herd
status at 11 and 28 days after weaning. The Lactobacillus isolates (n = 129) from
78 animals housed in pairs in 39 pens were preliminarily identified by their
morphology and biochemical characteristics. Partial 16S ribosomal DNA (16S rDNA)
was used to identify the isolates to the species level, and RAPD (randomly
amplified polymorphism DNA) profiles to differentiate Lactobacillus isolates to
the strain level. Based on these studies, 67 strains were selected for antibiotic
resistant tests. The most numerous Lactobacillus species found in the piglets was
Lactobacillus reuteri (n = 43). Other lactobacilli were L. salivarius (n = 15),
L. agilis (n = 4), L. johnsonii (n = 2), L. vaginalis (n = 1), L. mucosae (n = 1)
and L. gallinarum (n = 1). All the strains were susceptible to chloramphenicol,
ampicillin and gentamicin. Two L. salivarius isolates and two L. reuteri isolates
were found to be multiresistant. CONCLUSIONS: This study indicates that the
faecal Lactobacillus flora in piglets consists mainly of L. reuteri, L.
salivarius and L. acidophilus group lactobacilli, and the distribution of
lactobacilli is similar between individuals of the same age and with the same
diet. Most of the Lactobacillus isolates tested were sensitive to the antibiotics
used in this study. SIGNIFICANCE AND IMPACT OF THE STUDY: Valuable information on
Lactobacillus species distribution and their antibiotic resistance profiles in
piglets is obtained.
PMID- 18045435
TI - Inhibition of biofilms associated with dentures and toothbrushes by tetrasodium
EDTA.
AB - AIMS: We examined the efficacy of tetrasodium EDTA in eradicating biofilms
derived from salivary inocula or pure cultures of Candida albicans on discs of
polymethyl methacrylate (PMMA) denture base or on toothbrushes that had been used
normally for 4-8 weeks. Its efficiency in virus neutralization was also
determined. METHODS AND RESULTS: Overnight (16 h) treatment with 4% (w/v)
tetrasodium EDTA solution reduced salivary and C. albicans biofilm viable counts
by > or =99%. Biofilm removal was confirmed using confocal laser scanning
microscopy. Presence/absence of sucrose during biofilm formation had no effect on
killing efficacy. Prolonged treatment of PMMA with tetrasodium EDTA did not
influence subsequent formation of C. albicans biofilms or affect surface
roughness of the PMMA, but it reduced subsequent biofilm formation from a
salivary inoculum. Infectivities of herpes simplex virus and polio virus
suspensions were reduced by >99.99% by treatment for 1 and 2 h, respectively.
CONCLUSIONS: Tetrasodium EDTA solution efficiently disinfected toothbrushes and
PMMA discs, with the detachment of biofilms, and rapidly neutralized both
nonenveloped and enveloped viruses. SIGNIFICANCE AND IMPACT OF THE STUDY:
Dentures and toothbrushes become contaminated by bacterial biofilms and by
viruses. There is a need for disinfection methods that are rapidly effective,
cost-effective, nontoxic and easily implemented. These studies indicate that
tetrasodium EDTA solution has disinfection applications in the oral care field.
PMID- 18045436
TI - The influence of L-ascorbic acid on the antibacterial-toxic activity of
aflatoxins on adsorbent layer.
AB - AIMS: To substantiate the role of formaldehyde (HCHO) and its reaction products
in the mechanism of the antibacterial-toxic effect of aflatoxins B1 (AFB1), B2,
G1 and G2. MATERIALS AND RESULTS: Toxins were separated by overpressured layer
chromatography, which was followed by biological evaluation directly on the
adsorbent layer (BioArena system with Pseudomonas savastanoi pv. phaseolicola
indicator bacteria). HCHO formed in this system was eliminated with exogenously
added capturer molecule dimedone and L-ascorbic acid (AA) and measured as the
adduct of dimedone and HCHO. The amount of HCHO was higher in the toxin
containing spots, particularly in the most toxic AFB1 spot, compared to a
toxinless background. 0.1 mg ml(-1)AA augmented, 0.2 mg ml(-1) dimedone or 0.5
and 1 mg ml(-1) AA reduced the antibacterial effect of all four aflatoxins.
CONCLUSION: The antibacterial-toxic effect of aflatoxins may be mediated by HCHO
(and/or its reaction products) generated from bound HCHO forms in the bacterial
cells. Basis of antibacterial-toxic activity of the four aflatoxins appears the
same. SIGNIFICANCE AND IMPACT OF THE STUDY: Involvement of HCHO as a key molecule
in the effect of aflatoxins indicates a totally new mechanism of action of these
dangerous molecules. The BioArena system is useful to dissect the mode of action
of antimicrobial compounds from different biological matrices.
PMID- 18045437
TI - Isolation of a novel strain of Aeromonas media producing high levels of DOPA
melanin and assessment of the photoprotective role of the melanin in
bioinsecticide applications.
AB - AIMS: To isolate a bacterium that produces high yield of melanin and to examine
the effect of this bacterial pigment on the efficacy of a bioinsecticide. METHODS
AND RESULTS: A novel melanin-producing bacterium, designated as strain WS, was
isolated from the East Lake, Wuhan, China. Taxonomic studies of this strain
indicate that it belongs to Aeromonas media. Physicochemical analysis of the
pigment produced by strain WS (melanin WS) suggests that it is the authentic 3,4
dihydroxyphenylalanine (DOPA)-melanin. This melanin and that produced by
Pseudomonas maltophilia P28 (melanin P28) share many biophysical properties, but
the yield of the melanin WS is significantly higher than that of the melanin P28.
In addition, the melanin WS appears to be more effective in the protection of a
bioinsecticide against ultraviolet (UV) or solar radiation. At the concentration
of 10 ppm, the melanin P28 exhibited no photoprotective effect on the
bioinsecticide against UV radiation; in contrast, 5 ppm of melanin WS displayed
an obvious protective effect. Similarly, the melanin WS displayed more protective
effect on the bioinsecticide against solar radiation than the melanin P28 did
over a 4-day period, with the effect being more dramatic for the last 2 days.
CONCLUSIONS: We have isolated a novel bacterial strain of A. media that produces
high levels of melanin. The melanin produced by this strain offers effective
photoprotection of a commercial bioinsecticide BTI against UV and solar
radiation. SIGNIFICANCE AND IMPACT OF THE STUDY: Our study suggests that the
melanin produced by our newly isolated A. media strain has the potential to be
used as a general photoprotective agent for bioinsecticides.
PMID- 18045438
TI - Potential microbial risk factors related to soil amendments and irrigation water
of potato crops.
AB - AIMS: This study assesses the potential microbial risk factors related to the use
of soil amendments and irrigation water on potato crops, cultivated in one
traditional and two intensive farms during two harvest seasons. METHODS AND
RESULTS: The natural microbiota and potentially pathogenic micro-organisms were
evaluated in the soil amendment, irrigation water, soil and produce. Uncomposted
amendments and residual and creek water samples showed the highest microbial
counts. The microbial load of potatoes harvested in spring was similar among the
tested farms despite the diverse microbial levels of Listeria spp. and faecal
coliforms in the potential risk sources. However, differences in total coliform
load of potato were found between farms cultivated in the autumn.
Immunochromatographic rapid tests and the BAM's reference method (Bacteriological
Analytical Manual; AOAC International) were used to detect Escherichia coli
O157:H7 from the potential risk sources and produce. Confirmation of the positive
results by polymerase chain reaction procedures showed that the
immunochromatographic assay was not reliable as it led to false-positive results.
CONCLUSIONS: The potentially pathogenic micro-organisms of soil amendment,
irrigation water and soil samples changed with the harvest seasons and the use of
different agricultural practices. However, the microbial load of the produce was
not always influenced by these risk sources. Improvements in environmental sample
preparation are needed to avoid interferences in the use of immunochromatographic
rapid tests. SIGNIFICANCE AND IMPACT OF THE STUDY: The potential microbial risk
sources of fresh produce should be regularly controlled using reliable detection
methods to guarantee their microbial safety.
PMID- 18045439
TI - Diversity and antimicrobial susceptibility of oxytetracycline-resistant isolates
of Stenotrophomonas sp. and Serratia sp. associated with Costa Rican crops.
AB - AIMS: To ameliorate the identification, evaluate the diversity, and determine the
antimicrobial sensitivity of 19 oxytetracycline-resistant isolates of
Stenotrophomonas sp. and Serratia sp. associated with Costa Rican crops. METHODS
AND RESULTS: Phenotypical, chemotaxonomical, and molecular data allocated most
isolates to the species Sten. maltophilia and Ser. marcescens. The API profiles,
antimicrobial resistance patterns (ATB system), and BOX-polymerase chain reaction
(PCR) genomic fingerprints of isolates of Stenotrophomonas sp. exhibited a higher
degree of heterogeneity than those obtained for the isolates of Serratia sp. The
former group of bacteria exhibited multiresistance to antimicrobials. In
contrast, isolates of Serratia sp. were sensitive to the majority of the drugs
tested. Changes in the results of the antibiograms throughout incubation, which
indicate an induction of tolerance, were observed for isolates of both the
species. Minimum inhibitory concentration of oxytetracycline, determined using E
test stripes, were rather elevated. CONCLUSIONS: The occurrence of two species of
opportunistic pathogens in crop-associated materials poses a risk to consumers in
the community. SIGNIFICANCE AND IMPACT OF THE STUDY: The phenotypic and genotypic
data presented could support epidemiologist and physicians dealing with
infections caused by environmental strains of these taxa.
PMID- 18045440
TI - Development of a novel triplex PCR assay for the detection and differentiation of
thermophilic species of Campylobacter using 16S-23S rDNA internal transcribed
spacer (ITS) region.
AB - AIM: Campylobacter species are significantly implicated in human gastrointestinal
infections. Of 20 species of Campylobacter, C. jejuni, C. coli and C. lari have
been considered as the most important causative agents of human infections. In
order to better understand the occurrence and epidemiology of these thermophilic
Campylobacter species, an improved and rapid detection method is warranted. A
novel triplex polymerase chain reaction (PCR) assay was developed based on the
variable 16S-23S rDNA internal transcribed spacer (ITS) region to identify and
discriminate between these species in water samples. METHODS AND RESULTS:
Campylobacter species-specific primers for C. jejuni, C. coli and C. lari derived
from highly variable sequences in the ITS region were used. Specificity of the
newly designed primers and PCR conditions were verified using other species of
Campylobacter as well as 31 different negative control species. The assay was
further validated with 97 Campylobacter cultures from water samples. CONCLUSIONS:
The assay was found to be simple, easy to perform, and had a high sensitivity,
specificity and reproducibility. It enabled simultaneous detection and
differentiation of multiple Campylobacter species in water samples. SIGNIFICANCE
AND IMPACT OF STUDY: Use of the newly developed PCR assay, coupled with a
previously developed rapid DNA template preparation step, will enable improved
detection capabilities for Campylobacter species in environmental matrices.
PMID- 18045441
TI - Antagonistic effects of the endophytic fungus Meira geulakonigii on the citrus
rust mite Phyllocoptruta oleivora.
AB - AIMS: The fungus Meira geulakonigii has been shown to reduce populations of
citrus rust mite (CRM; Phyllocoptruta oleivora) on citrus leaves and fruits, in
both the field and laboratory. However, attempts to isolate the fungus from
leaves and fruits have been unsuccessful. The aims of this study were therefore
to determine whether M. geulakonigii is a citrus endophyte, and to assess
possible mechanisms involved in its mite-antagonist activity. METHODS AND
RESULTS: A quantitative real-time PCR and regular PCR approaches were developed
to detect M. geulakonigii in both the field and laboratory. The fungus was
detected throughout. Different methods revealed that M. geulakonigii is an
endophyte, which colonizes both the peel of grapefruits. Applications of conidia
protected the grapefruits against CRM, and fungal secretions extracted from
growth media caused 100% CRM mortality. CONCLUSIONS: Meira geulakonigii is a
beneficial endophyte of grapefruits that colonizes the fruit's peel, and protects
it from CRM. SIGNIFICANCE AND IMPACT OF THE STUDY: Findings from this study
demonstrate the endophytic nature of M. geulakonigii in its interaction with
grapefruits. In addition, a molecular approach was developed to specifically
detect the fungus inside the grapefruit peel. This approach can be used to assess
the natural occurrence of M. geulakonigii in grapefruit.
PMID- 18045442
TI - Molecular determination of oxytetracycline-resistant bacteria and their
resistance genes from mariculture environments of China.
AB - AIMS: To assess the diversity of antibiotic-resistant bacteria and their
resistance genes in typical maricultural environments. METHODS AND RESULTS:
Multidrug-resistant bacteria and resistance genes from a mariculture farm of
China were analysed via cultivation and polymerase chain reaction (PCR) methods.
Oxytetracycline (OTC)-resistant bacteria were abundant in both abalone and turbot
rearing waters, accounting for 3.7% and 9.9% of the culturable microbes.
Multidrug resistance was common, with simultaneous resistance to OTC,
chloramphenicol and ampicillin the most common resistance phenotype. 16S rDNA
sequence analyses indicate that the typical resistant isolates belonged to marine
Vibrio, Pseudoalteromonas or Alteromonas species, with resistance most common in
Vibrio splendidus isolates. For OTC resistance, tet(A), tet(B) and tet(M) genes
were detected in some multidrug-resistant isolates, with tet(D) being the most
common molecular determinant. For chloramphenicol resistance, cat II was common,
and floR was also detected, especially in marine Pseudoalteromonas strains.
CONCLUSIONS: There is the risk of multidrug-resistant bacteria contamination in
mariculture environments and marine Vibrio and Pseudoalteromonas species serve as
reservoirs of specific antibiotic resistance determinants. SIGNIFICANCE AND
IMPACT OF THE STUDY: This paper and similar findings from Korea and Japan
indicate the potential for widespread distribution of antibiotic resistance genes
in mariculture environments from the East Asian region of the world.
PMID- 18045443
TI - Immigration of Bacillus thuringiensis to bean leaves from soil inoculum or distal
plant parts.
AB - AIMS: We addressed the process of immigration of Bacillus thuringiensis from soil
to leaves and its capacity to grow on bean diffusate medium (BDM), a medium
designed to simulate the nutrient composition of the phylloplane. METHODS AND
RESULTS: Two different B. thuringiensis strains were inoculated into soils, onto
seeds or onto lower leaves of bean plants to determine if they were able to
disperse to upper leaves under controlled conditions. While B. thuringiensis
isolates were commonly recovered from leaves exposed to such inocula, populations
were very low (<10 CFU cm(-2) of leaf). In addition, the number of cells of B.
thuringiensis recovered decreased with increasing distance from the soil or from
the inoculated leaves. Moreover, B. thuringiensis colonies did not grow well on
BDM. CONCLUSIONS: This indicates that B. thuringiensis disperses poorly from the
soil or the seed to the leaves or between leaves of the same plant under
controlled conditions. Bacillus thuringiensis apparently has greater nutrient
requirements than other bacterial species that are prominent inhabitants of the
phylloplane. SIGNIFICANCE AND IMPACT OF THE STUDY: Finding the mechanisms that
favour bacteria colonization on leaves will in turn help to improve the efficacy
of biocontrol agents against the target pests.
PMID- 18045444
TI - Can the high levels of human verocytotoxigenic Escherichia coli O157 infection in
rural areas of NE Scotland be explained by consumption of contaminated meat?
AB - AIMS: To determine if contamination levels of Escherichia coli O157 and generic
E. coli in retail-minced meat products are greater in rural shops compared with
urban shops in Grampian, NE Scotland. We also investigated whether meat from
supermarkets and meat from local butcher shops had a similar bacteriological
quality. METHODS AND RESULTS: Minced beef and minced lamb were tested from
November 2004 to August 2006. Escheichia coli O157 was found at low levels in
four samples out of 530 tested samples (0.75%). Generic E. coli were present in
11% of the samples tested, of which 67% came from supermarkets. We observed no
significant difference in the prevalence of generic E. coli between rural and
urban areas. CONCLUSIONS: Low levels of contamination with E. coli O157 and
generic E. coli in retail meat suggest that meat is not a major route of
infection in NE Scotland. SIGNIFICANCE AND IMPACT OF THE STUDY: The study does
not suggest that the high incidence of E. coli O157 human infection in the rural
areas of Grampian is because of meat consumption--this provides further evidence
of contact with animals or water being the routes of infection. Hence, risk
mitigation should be focussed more on environmental pathways of infection.
PMID- 18045445
TI - Two different PCR approaches for universal diagnosis of brown rot and
identification of Monilinia spp. in stone fruit trees.
AB - AIMS: To design a protocol for the universal diagnosis of brown rot by polymerase
chain reaction (PCR) in plant material and subsequently Monilinia spp.
identification. METHODS AND RESULTS: Primers for discrimination of Monilinia spp.
from other fungal genera by PCR were designed following a ribosomal DNA analysis.
Discrimination among species of Monilinia was subsequently achieved by developing
primers using SCAR (Sequence Characterised Amplified Region) markers obtained
after a random amplified polymorphic DNA study. In addition, an internal control
(IC) based on the utilization of a mimic plasmid was designed to be used in the
diagnostic protocol of brown rot to recognize false negatives due to the
inhibition of PCR. CONCLUSIONS: The four sets of primers designed allowed
detection and discrimination of all Monilinia spp. causing brown rot in fruit
trees. Addition of an IC in each PCR reaction performed increased the reliability
of the diagnostic protocol. SIGNIFICANCE AND IMPACT OF THE STUDY: The detection
protocol presented here, that combined a set of universal primers and the
inclusion of the plasmid pGMON as an IC for diagnosis of all Monilinia spp., and
three sets of primers to discriminate the most important species of Monilinia,
could be an useful and valuable tool for epidemiological studies. The method
developed could be used in programmes to avoid the spread and introduction of
this serious disease in new areas.
PMID- 18045446
TI - Diversity of the Lactobacillus group in breast milk and vagina of healthy women
and potential role in the colonization of the infant gut.
AB - AIMS: To evaluate the diversity of the Lactobacillus group in breast milk and the
vagina of healthy women and understand their potential role in the infant gut
colonization using the 16S rRNA gene approaches. METHODS AND RESULTS: Samples of
breast milk, vaginal swabs and infant faeces were aseptically collected from five
mothers whose neonates were born by vaginal delivery and another five that had
their babies by caesarean section. After polymerase chain reaction (PCR)
amplification using Lactobacillus group-specific primers, amplicons were analysed
by denaturing gradient gel electrophoresis (DGGE). Clone libraries were
constructed to describe the Lactobacillus group diversity. DGGE fingerprints were
not related to the delivery method. None of the species detected in vaginal
samples were found in breast milk-derived libraries and only few were detected in
infant faeces. CONCLUSIONS: The bacterial composition of breast milk and infant
faeces is not related to the delivery method. SIGNIFICANCE AND IMPACT OF THE
STUDY: It has been suggested that neonates acquire lactobacilli by oral
contamination with vaginal strains during delivery; subsequently, newborns would
transmit such bacteria to the breast during breastfeeding. However, our findings
confirm, at the molecular level that in contrast to the maternal vagina, breast
milk seems to constitute a good source of lactobacilli to the infant gut.
PMID- 18045447
TI - Mutational analysis of the Lactococcus lactis NIZO B40 exopolysaccharide (EPS)
gene cluster: EPS biosynthesis correlates with unphosphorylated EpsB.
AB - AIMS: To determine the role of the EpsA, EpsB, and EpsC proteins encoded at the
5'-end of the exopolysaccharide (EPS) gene cluster in regulation of EPS
production in Lactococcus lactis. METHODS AND RESULTS: Deletion and paralog
replacement mutants of epsABCD were used to determine the function of EpsA, EpsB
and EpsC in EPS production and polymer chain length determination in L. lactis.
EpsA and EpsB appeared to be essential for EPS biosynthesis in L. lactis, while
deletion of the phosphatase (EpsC) only had a minor effect on the EPS production
level. Determination of the phosphorylation state of EpsB and analysis of a C
terminally truncated EpsB variant indicate that EPS biosynthesis in L. lactis is
driven by a nonphosphorylated form of EpsB. CONCLUSIONS: The data presented here
show that in L. lactis, EPS production is under control of a phosphoregulatory
system and that EPS biosynthesis correlates with an unphosphorylated EpsB.
SIGNIFICANCE AND IMPACT OF THE STUDY: This study provides molecular understanding
of polysaccharide production in L. lactis that could eventually enable novel
approaches to control EPS production by lactic acid bacteria during industrial
fermentation processes.
PMID- 18045448
TI - Quantification by real-time PCR of cellulolytic bacteria in the rumen of sheep
after supplementation of a forage diet with readily fermentable carbohydrates:
effect of a yeast additive.
AB - AIM: To examine the effect of concentrate and yeast additive on the number of
cellulolytic bacteria in the rumen of sheep. METHODS AND RESULTS: Fibrobacter
succinogenes, Ruminococcus albus and Ruminococcus flavefaciens were quantified
using real-time PCR (targeting 16S rDNA) in parallel to cellulolytic flora
enumeration with cultural techniques. Whatever the conditions tested, R.
flavefaciens was slightly more abundant than F. succinogenes, with both species
outnumbering R. albus. Before feeding, the shift from hay to hay plus concentrate
diet had no effect on rumen pH and on the number of the three specie; while after
feeding, the concentrate-supplemented diet induced a decrease (-1 log) of the
number of the three species concomitant with the rumen acidification. Overall,
the presence of the live yeast resulted in a significant increase (two- to
fourfold) of the Ruminococci. CONCLUSION: The use of real-time PCR allowed us to
show changes in the number of cellulolytic bacterial species in vivo in response
to diet shift and additives that could not be as easily evidenced by classical
microbial methods. SIGNIFICANCE AND IMPACT OF THE STUDY: This study contributes
to the understanding of the negative impact of readily fermentable carbohydrates
on rumen cellulolysis and the beneficial effect of yeast on rumen fermentation.
PMID- 18045449
TI - Efficient removal of hexavalent chromium by a tolerant Streptomyces sp. affected
by the toxic effect of metal exposure.
AB - AIMS: To isolate and analyse chromium-resistant micro-organisms suitable for
bioremediation. METHODS AND RESULTS: Strain CG252, with a minimal inhibitory
concentration of 500 microg ml(-1), was isolated from contaminated soils and
identified as a Streptomyces sp. by 16S rDNA sequence analysis. Assays carried
out at various Cr(VI) concentrations indicated that chromium removal was more
efficient at lower concentrations and that this activity resulted in accumulation
of Cr(III). Atomic adsorption analysis indicated that the chromium removed was
not associated with cell mass and activity assays showed that the capacity to
reduce Cr(VI) was most probably due to a soluble cytosolic enzyme. Cells grown as
biofilms showed enhanced removal of Cr(VI) with respect to planktonic cells,
while analysis of growth and colony morphology indicated that Cr(VI) had a toxic
effect on this strain. CONCLUSIONS: Streptomyces sp. CG252 tolerated heavy metals
and elevated levels of chromium, despite its negative effect on growth and
development, and was efficient at removing Cr(VI) by promoting reduction to
Cr(III). SIGNIFICANCE AND IMPACT OF THE STUDY: Strain CG252's capacity to
tolerate heavy metals and to reduce Cr(VI) to the less toxic Cr(III), especially
when forming biofilms, makes it a promising candidate for detoxification of sites
containing this heavy metal.
PMID- 18045450
TI - Influence of avilamycin administration and its subsequent withdrawal on emergence
and disappearance of antimicrobial resistance in enterococci in the intestine of
broiler chickens.
PMID- 18045452
TI - Acute isolated acetabular fracture following a game of squash: a case report.
AB - Although hip injuries do not account a large amount of the Sports Physician's
workload they can result in significant morbidity. We present a case where an
acetabular fracture was sustained in a relatively young female while playing
squash without any history of fall or injury but was treated successfully non
operatively. Such patients who present with acute hip pain must not be dismissed
as simply having a soft tissue injury.
PMID- 18045453
TI - A comparative study between mixed-type tumours from human salivary and canine
mammary glands.
AB - BACKGROUND: In comparative pathology, canine mammary tumours have special
interest because of their similarities with human breast cancer. Mixed tumours
are uncommon lesions in the human breast, but they are found most frequently in
the mammary gland of the female dogs and in the human salivary glands. The aim of
the study was to compare clinical, morphological and immunohistochemical features
of human salivary and canine mammary gland mixed tumours, in order to evaluate
the latter as an experimental model for salivary gland tumours. METHODS: Ten
examples of each mixed tumour type (human pleomorphic adenoma and carcinomas ex
pleomorphic adenomas and canine mixed tumour and metaplastic carcinoma) were
evaluated. First, clinical and morphologic aspects of benign and malignant
variants were compared between the species. Then, streptavidin-biotin-peroxidase
immunohistochemistry was performed to detect the expression of cytokeratins,
vimentin, p63 protein, estrogen receptor, beta-catenin, and E-cadherin. RESULTS:
After standardization, similar age and site distributions were observed in human
and canine tumours. Histological similarities were identified in the comparison
of the benign lesions as well. Metaplastic carcinomas also resembled general
aspects of carcinomas ex-pleomorphic adenomas in morphological evaluation.
Additionally, immunohistochemical staining further presented similar antigenic
expression between lesions. CONCLUSION: There are many similar features between
human salivary and canine mammary gland mixed tumours. This observation is of
great relevance for those interested in the study and management of salivary
gland tumours, since canine lesions may constitute useful comparative models for
their investigations.
PMID- 18045454
TI - Locally advanced leiomyosarcoma of the spleen. A case report and review of the
literature.
AB - BACKGROUND: Leiomyosarcomas are rare tumours, predominantly localized in the
stomach, small intestine and retroperitoneum. Only one case of primary
leiomyosarcoma of the spleen is described in human beings in literature. CASE
PRESENTATION: We report a case of locally advanced primary leiomyosarcoma of the
spleen in a 54 year-old woman, diagnosed only after splenectomy, performed with
the suspicion of splenic haematoma. CONCLUSION: Due to the lack of cases, no
specific chemotherapy regimen has been tested to provide a longer survival.
PMID- 18045455
TI - Bioinformatic evaluation of L-arginine catabolic pathways in 24 cyanobacteria and
transcriptional analysis of genes encoding enzymes of L-arginine catabolism in
the cyanobacterium Synechocystis sp. PCC 6803.
AB - BACKGROUND: So far very limited knowledge exists on L-arginine catabolism in
cyanobacteria, although six major L-arginine-degrading pathways have been
described for prokaryotes. Thus, we have performed a bioinformatic analysis of
possible L-arginine-degrading pathways in cyanobacteria. Further, we chose
Synechocystis sp. PCC 6803 for a more detailed bioinformatic analysis and for
validation of the bioinformatic predictions on L-arginine catabolism with a
transcript analysis. RESULTS: We have evaluated 24 cyanobacterial genomes of
freshwater or marine strains for the presence of putative L-arginine-degrading
enzymes. We identified an L-arginine decarboxylase pathway in all 24 strains. In
addition, cyanobacteria have one or two further pathways representing either an
arginase pathway or L-arginine deiminase pathway or an L-arginine
oxidase/dehydrogenase pathway. An L-arginine amidinotransferase pathway as a
major L-arginine-degrading pathway is not likely but can not be entirely
excluded. A rather unusual finding was that the cyanobacterial L-arginine
deiminases are substantially larger than the enzymes in non-photosynthetic
bacteria and that they are membrane-bound. A more detailed bioinformatic analysis
of Synechocystis sp. PCC 6803 revealed that three different L-arginine-degrading
pathways may in principle be functional in this cyanobacterium. These are (i) an
L-arginine decarboxylase pathway, (ii) an L-arginine deiminase pathway, and (iii)
an L-arginine oxidase/dehydrogenase pathway. A transcript analysis of cells grown
either with nitrate or L-arginine as sole N-source and with an illumination of 50
mumol photons m-2 s-1 showed that the transcripts for the first enzyme(s) of all
three pathways were present, but that the transcript levels for the L-arginine
deiminase and the L-arginine oxidase/dehydrogenase were substantially higher than
that of the three isoenzymes of L-arginine decarboxylase. CONCLUSION: The
evaluation of 24 cyanobacterial genomes revealed that five different L-arginine
degrading pathways are present in the investigated cyanobacterial species. In
Synechocystis sp. PCC 6803 an L-arginine deiminase pathway and an L-arginine
oxidase/dehydrogenase pathway represent the major pathways, while the L-arginine
decarboxylase pathway most likely only functions in polyamine biosynthesis. The
transcripts encoding the enzymes of the two major pathways were constitutively
expressed with the exception of the transcript for the carbamate kinase, which
was substantially up-regulated in cells grown with L-arginine.
PMID- 18045456
TI - Prolactin, TNF alpha and nitric oxide expression in nitroso-N-methylurea-induced
mammary tumours.
AB - BACKGROUND: The N-Nitrosomethylurea breast cancer model induced in rats is used
for the study of carcinogenesis in mammary cancer, prostate, pancreas, etc. This
model is very similar to human neoplastic disease. METHODS: The present
experimental study was designed to assess whether metoclopramide administration
has any effect on development of MNU-induced tumours, and evaluate the treatment
of goserelin acetate on PRL, TNF alpha and NO expression. NMU was administered to
female Wistar rats on 2 occasions (5 mg/100 g body w/rat). PRL and TNF alpha were
performed by immune-assay. Nitric Oxide by semi automated-assay and ploidy
analyses by flow cytometry. RESULTS: The administration of metoclopramide made
the induction time shorter and increased the incidence and average of tumours per
rat. Tumours development was inhibited by a goserelin chronic administration. The
ploidy of adenocarcinoma was polyploid-aneuploid type (average S = 60%). It was
higher basal PRL plasma levels in rats with NMU induced tumours than in basal
controls without tumour (p < 0.001). The goserelin "in bolus" administration
showed maximal inhibition of plasma PRL at 90 min. Plasmatic TNF alpha expression
was inhibited at 60 min and also remained inhibited in tissue homogenate post
chronic treatment (P < 0.0125). Plasmatic NO expression is higher in rats with
induced tumours than healthy controls (P < 0.001). In tissue homogenate NO values
were inhibited at 90 min (P < 0.01), as well during chronically goserelin
treatment (P < 0.005). CONCLUSION: The increase of blood PRL levels in NMU
induced rats may be an indicator of a poor prognosis of mammary cancer evolution.
The metoclopramide administration accelerates tumour growth. However goserelin
administration achieves regression in tumour development associated to inhibition
PRL, TNF alpha and NO expression.
PMID- 18045457
TI - Kinesiophobia in patients with non-traumatic arm, neck and shoulder complaints: a
prospective cohort study in general practice.
AB - BACKGROUND: Complaints of arm, neck and shoulder are common in Western societies.
Of those consulting a general practitioner (GP) with non-traumatic arm, neck or
shoulder complaints, about 50% do not recover within 6 months. Kinesiophobia
(also known as fear of movement/(re)injury) may also play a role in these
complaints, as it may lead to avoidance behaviour resulting in hypervigilance to
bodily sensations, followed by disability, disuse and depression. However, in
relation to arm, neck and shoulder complaints little is known about kinesiophobia
and its associated variables. Therefore this study aimed to: describe the degree
of kinesiophobia in patients with non-traumatic complaints of arm, neck and
shoulder in general practice; to determine whether mean scores of kinesiophobia
change over time in non-recovered patients; and to evaluate variables associated
with kinesiophobia at baseline. METHODS: In this prospective cohort study set in
general practice, consulters with a first or new episode of non-traumatic arm,
neck or shoulder complaints (aged 18-64 years) entered the cohort. Baseline data
were collected on kinesiophobia using the Tampa Scale for Kinesiophobia, the 13
item adjusted version: TSK-AV, and on patient-, complaint-, and psychosocial
variables using self-administered questionnaires. The mean TSK-AV score was
calculated. In non-recovered patients the follow-up TSK-AV scores at 6 and 12
months were analyzed with the general linear mixed model. Variables associated
with kinesiophobia at baseline were evaluated using multivariate linear
regression analyses. RESULTS: The mean TSK-AV score at baseline was 24.8 [SD:
6.2]. Among non-recovered patients the mean TSK-AV score at baseline was 26.1
[SD: 6.6], which remained unchanged over 12- months follow-up period. The
strongest associations with kinesiophobia were catastrophizing, disability, and
comorbidity of musculoskeletal complaints. Additionally, having a shoulder
complaint, low social support, high somatization and high distress contributed to
the kinesiophobia score. CONCLUSION: The mean TSK-AV score in our population
seems comparable to those in other populations in primary care. In patients who
did not recover during the 12- month follow-up, the degree of kinesiophobia
remained unchanged during this time period. The variables associated with
kinesiophobia at baseline appear to be in line with the fear-avoidance model.
PMID- 18045458
TI - Assessing fetal growth impairments based on family data as a tool for identifying
high-risk babies. An example with neonatal mortality.
AB - BACKGROUND: Low birth weight is associated with an increased risk of neonatal and
infant mortality and morbidity, as well as with other adverse conditions later in
life. Since the birth weight-specific mortality of a second child depends on the
birth weight of an older sibling, a failure to achieve the biologically intended
size appears to increase the risk of adverse outcome even in babies who are not
classified as small for gestation. In this study, we aimed at quantifying the
risk of neonatal death as a function of a baby's failure to fulfil its biologic
growth potential across the whole distribution of birth weight. METHODS: We
predicted the birth weight of 411,957 second babies born in Denmark (1979-2002),
given the birth weight of the first, and examined how the ratio of achieved birth
weight to predicted birth weight performed in predicting neonatal mortality.
RESULTS: For any achieved birth weight category, the risk of neonatal death
increased with decreasing birth weight ratio. However, the risk of neonatal death
increased with decreasing birth weight, even among babies who achieved their
predicted birth weight. CONCLUSION: While a low achieved birth weight was a
stronger predictor of mortality, a failure to achieve the predicted birth weight
was associated with increased mortality at virtually all birth weights. Use of
family data may allow identification of children at risk of adverse health
outcomes, especially among babies with apparently "normal" growth.
PMID- 18045459
TI - Spleen tyrosine kinase Syk is critical for sustained leukocyte adhesion during
inflammation in vivo.
AB - BACKGROUND: During inflammation, beta2-integrins mediate leukocyte adhesion to
the endothelium accompanied by the activation of the spleen tyrosine kinase Syk.
RESULTS: We investigated leukocyte adhesion and rolling in cremaster muscle
venules before and during stimulation with fMLP using mice with a Syk-/-
hematopoietic system. In unstimulated venules, Syk-/- leukocytes adhered less
efficiently than control leukocytes while rolling was similar between Syk-/- and
control leukocytes. During fMLP-superfusion, control mice showed significantly
increased adhesion accompanied by reduced rolling. For Syk-/- leukocytes, an
increase in adhesion with a concomitant decrease in rolling was only observed
during the first three minutes during fMLP stimulation, but not at later time
points. We also investigated leukocyte spreading against the vessel wall during
fMLP stimulation and found a significant impairment of spreading for Syk-/-
leukocytes. Additional in vitro experiments revealed that the adhesion and
spreading defect seen in Syk-/- chimeric mice was due to compromised beta2
integrin-mediated outside-in signaling. CONCLUSION: We provide substantial
evidence for an important role of Syk in mediating beta2-integrin dependent
outside-in signaling leading to sustained leukocyte adhesion and spreading during
the inflammatory response in vivo.
PMID- 18045460
TI - Homocysteine levels in preterm infants: is there an association with
intraventricular hemorrhage? A prospective cohort study.
AB - BACKGROUND: The purpose of this study was to characterize total homocysteine
(tHcy) levels at birth in preterm and term infants and identify associations with
intraventricular hemorrhage (IVH) and other neonatal outcomes such as mortality,
sepsis, necrotizing enterocolitis, bronchopulmonary dysplasia, and
thrombocytopenia. METHODS: 123 infants < 32 weeks gestation admitted to our Level
III nursery were enrolled. A group of 25 term infants were enrolled for
comparison. Two blood spots collected on filter paper with admission blood
drawing were analyzed by a high performance liquid chromatography (HPLC) method.
Statistical analysis included ANOVA, Spearman's Rank Order Correlation and Mann
Whitney U test. RESULTS: The median tHcy was 2.75 micromol/L with an
interquartile range of 1.34 - 4.96 micromol/L. There was no difference between
preterm and term tHcy (median 2.76, IQR 1.25 - 4.8 micromol/L vs median 2.54, IQR
1.55 - 7.85 micromol/L, p = 0.07). There was no statistically significant
difference in tHcy in 31 preterm infants with IVH compared to infants without IVH
(median 1.96, IQR 1.09 - 4.35 micromol/L vs median 2.96, IQR 1.51 - 4.84
micromol/L, p = 0.43). There was also no statistically significant difference in
tHcy in 7 infants with periventricular leukomalacia (PVL) compared to infants
without PVL (median 1.55, IQR 0.25 - 3.45 micromol/L vs median 2.85, IQR 1.34 -
4.82 micromol/L, p = 0.07). Male infants had lower tHcy compared to female;
prenatal steroids were associated with a higher tHcy. CONCLUSION: In our
population of preterm infants, there is no association between IVH and tHcy. Male
gender, prenatal steroids and preeclampsia were associated with differences in
tHcy levels.
PMID- 18045461
TI - Cost-utility analysis of a national project to reduce hypertension in Israel.
AB - BACKGROUND: This study aims to calculate the health effects and costs of a
proposed national hypertension prevention and control program. METHODS:
Interventions are based on experience from our two programs: 10-year period of
Ashkelon Hypertension Detection and Control Program (AHDC Program) and the Israel
Blood Pressure Control (IBPC) program. The costs of a nationwide program were
calculated based on economic data, training staff levels, course frequency and
unit costs. RESULTS: Over the next 20 years, the program should decrease the risk
in one-half of the treated hypertensive cases of the following ailments:
cardiovascular events such as Acute Myocardial Infarction (AMI) and Unstable
Angina Pectoris (UAP) by 16.0%, stroke by 41.2%, End stage renal disease (ESRD)
by 50.0% and peripheral vascular disease (PVD) by 42.6%. In total, around 2,242
lives, 35,117 years of life or 24,433 disability adjusted life years will be
saved due to decreased mortality.Program costs amount to $352.7 million. However
savings ($537.6 million), from reduced medical treatment ($444.3 million) and
reduced pharmaceutical use ($93.3 million) as a result of morbidity decreases,
exceed costs by $185.0 million. CONCLUSION: The project which saves both lives
and resources should be extended nation-wide to reach as wide a population as
possible.
PMID- 18045463
TI - Jejunal perforation in gallstone ileus - a case series.
AB - INTRODUCTION: Gallstone ileus is an uncommon complication of cholelithiasis but
an established cause of mechanical bowel obstruction in the elderly. Perforation
of the small intestine proximal to the obstructing gallstone is rare, and only a
handful of cases have been reported. We present two cases of perforation of the
jejunum in gallstone ileus, and remarkably in one case, the gallstone ileus
caused perforation of a jejunal diverticulum and is to the best of our knowledge
the first such case to be described. CASE PRESENTATIONS: Case 1A 69 year old man
presented with two days of vomiting and central abdominal pain. He underwent
laparotomy for small bowel obstruction and was found to have a gallstone
obstructing the mid-ileum. There was a 2 mm perforation in the anti-mesenteric
border of the dilated proximal jejunum. The gallstone was removed and the
perforated segment of jejunum was resected.Case 2A 68 year old man presented with
a four day history of vomiting and central abdominal pain. Chest and abdominal
radiography were unremarkable however a subsequent CT scan of the abdomen showed
aerobilia. At laparotomy his distal ileum was found to be obstructed by an
impacted gallstone and there was a perforated diverticulum on the mesenteric
surface of the mid-jejunum. An enterolithotomy and resection of the perforated
small bowel was performed. CONCLUSION: Gallstone ileus remains a diagnostic
challenge despite advances in imaging techniques, and pre-operative diagnosis is
often delayed. Partly due to the elderly population it affects, gallstone ileus
continues to have both high morbidity and mortality rates. On reviewing the
literature, the most appropriate surgical intervention remains unclear.Jejunal
perforation in gallstone ileus is extremely rare. The cases described illustrate
two quite different causes of perforation complicating gallstone ileus. In the
first case, perforation was probably due to pressure necrosis caused by the
gallstone. The second case was complicated by the presence of a perforated
jejunal diverticulum, which was likely to have been secondary to the increased
intra-luminal pressure proximal to the obstructing gallstone.These cases should
raise awareness of the complications associated with both gallstone ileus, and
small bowel diverticula.
PMID- 18045462
TI - Integrative analysis for finding genes and networks involved in diabetes and
other complex diseases.
AB - We have developed an integrative analysis method combining genetic interactions,
identified using type 1 diabetes genome scan data, and a high-confidence human
protein interaction network. Resulting networks were ranked by the significance
of the enrichment of proteins from interacting regions. We identified a number of
new protein network modules and novel candidate genes/proteins for type 1
diabetes. We propose this type of integrative analysis as a general method for
the elucidation of genes and networks involved in diabetes and other complex
diseases.
PMID- 18045464
TI - Cytokine responses to Schistosoma haematobium in a Zimbabwean population:
contrasting profiles for IFN-gamma, IL-4, IL-5 and IL-10 with age.
AB - BACKGROUND: The rate of development of parasite-specific immune responses can be
studied by following their age profiles in exposed and infected hosts. This study
determined the cytokine-age profiles of Zimbabweans resident in a Schistosoma
haematobium endemic area and further investigated the relationship between the
cytokine responses and infection intensity. METHODS: Schistosome adult worm
antigen-specific IFN-gamma, IL-4, IL-5 and IL-10 cytokine responses elicited from
whole blood cultures were studied in 190 Zimbabweans exposed to S. haematobium
infection (aged 6 to 40 years old). The cytokines were measured using capture
ELISAs and the data thus obtained together with S. haematobium egg count data
from urine assays were analysed using a combination of parametric and
nonparametric statistical approaches. RESULTS: Age profiles of schistosome
infection in the study population showed that infection rose to peak in childhood
(11-12 years) followed by a sharp decline in infection intensity while prevalence
fell more gradually. Mean infection intensity was 37 eggs/10 ml urine (SE 6.19
eggs/10 ml urine) while infection prevalence was 54.7%. Measurements of parasite
specific cytokine responses showed that IL-4, IL-5 and IL-10 but not IFN-gamma
followed distinct age-profiles. Parasite-specific IL-10 production developed
early, peaking in the youngest age group and declining thereafter; while IL-4 and
IL-5 responses were slower to develop with a later peak. High IL-10 producers
were likely to be egg positive with IL-10 production increasing with increasing
infection intensity. Furthermore people producing high levels of IL-10 produced
little or no IL-5, suggesting that IL-10 may be involved in the regulation of IL
5 levels. IL-4 and IFN-gamma did not show a significant relationship with
infection status or intensity and were positively associated with each other.
CONCLUSION: Taken together, these results show that the IL-10 responses develop
early compared to the IL-5 response and may be down-modulating immunopathological
responses that occur during the early phase of infection. The results further
support current suggestions that the Th1/Th2 dichotomy does not sufficiently
explain susceptibility or resistance to schistosome infection.
PMID- 18045465
TI - Disease proportions attributable to environment.
AB - Population disease proportions attributable to various causal agents are popular
as they present a simplified view of the contribution of each agent to the
disease load. However they are only summary figures that may be easily
misinterpreted or over-interpreted even when the causal link between an exposure
and an effect is well established. This commentary discusses several issues
surrounding the estimation of attributable proportions, particularly with
reference to environmental causes of cancers, and critically examines two
recently published papers. These issues encompass potential biases as well as the
very definition of environment and of environmental agent. The latter aspect is
not just a semantic question but carries implications for the focus of preventive
actions, whether centred on the material and social environment or on single
individuals.
PMID- 18045466
TI - Enhanced transduction of colonic cell lines in vitro and the inflamed colon in
mice by viral vectors, derived from adeno-associated virus serotype 2, using
virus-microbead conjugates bearing lectin.
AB - BACKGROUND: Virus-mediated delivery of therapeutic transgenes to the inflamed
colon holds a great potential to serve as an effective therapeutic strategy for
inflammatory bowel disease, since local, long-term expression of the encoded
therapeutic proteins in the colorectal system is potentially achievable. Viral
vectors, derived from adeno-associated virus (AAV), should be very useful for
such therapeutic strategies, particularly because they can establish long-term
expression of transgenes. However, few studies have been carried out to
investigate the ability of AAV-based vectors to transduce the inflamed colon.
RESULTS: AAV, derived from adeno-associated virus serotype 2 (AAV2), showed a
limited ability to transduce colonic cell lines in vitro when used in free form.
No appreciable enhancement of the transduction efficiency was seen when AAV2
particles were attached stably to the surfaces of microbeads and delivered to
target cells in the form of AAV2-microbead conjugates. However, the transduction
efficiency of these colonic cell lines was enhanced substantially when a lectin,
concanavalin A (Con A), was co-attached to the microbead surfaces, to which AAV2
particles had been conjugated. This considerable infectivity enhancement of AAV2
microbead conjugates by the co-attachment of Con A may be derived from the fact
that Con A binds to alpha-D-mannosyl moieties that are commonly and abundantly
present in cell-surface carbohydrate chains, allowing the conjugates to associate
stably with target cells. Intracolonical administration of free AAV2 or AAV2
microbead conjugates without Con A into a mouse colitis model by enema showed
very poor transduction of the colonic tissue. In contrast, the delivery of AAV2
in the form of AAV2-microbead conjugates bearing Con A resulted in efficient
transduction of the inflamed colon. CONCLUSION: AAV2-microbead conjugates bearing
Con A can serve as efficient gene transfer agents both for poorly permissive
colonic cell lines in vitro and for the inflamed colon in a mouse colitis model.
This efficient transduction system for the inflamed colon should be useful for
the development of gene therapy strategies for inflammatory bowel disease.
PMID- 18045467
TI - A flexible routing scheme for patients with topographical disorientation.
AB - BACKGROUND: Individuals with topographical disorientation have difficulty
navigating through indoor environments. Recent literature has suggested that
ambient intelligence technologies may provide patients with navigational
assistance through auditory or graphical instructions delivered via embedded
devices. METHOD: We describe an automatic routing engine for such an ambient
intelligence system. The method routes patients with topographical disorientation
through indoor environments by repeatedly computing the route of minimal cost
from the current location of the patient to a specified destination. The cost of
a given path not only reflects the physical distance between end points, but also
incorporates individual patient abilities, the presence of mobility-impeding
physical barriers within a building and the dynamic nature of the indoor
environment. We demonstrate the method by routing simulated patients with either
topographical disorientation or physical disabilities. Additionally, we exemplify
the ability to route a patient from source to destination while taking into
account changes to the building interior. RESULTS: When compared to a random
walk, the proposed routing scheme offers potential cost-savings even when the
patient follows only a subset of instructions. CONCLUSION: The routing method
presented reduces the navigational effort for patients with topographical
disorientation in indoor environments, accounting for physical abilities of the
patient, environmental barriers and dynamic building changes. The routing
algorithm and database proposed could be integrated into wearable and mobile
platforms within the context of an ambient intelligence solution.
PMID- 18045468
TI - Dynamic gene expression in fish muscle during recovery growth induced by a
fasting-refeeding schedule.
AB - BACKGROUND: Recovery growth is a phase of rapid growth that is triggered by
adequate refeeding of animals following a period of weight loss caused by
starvation. In this study, to obtain more information on the system-wide
integration of recovery growth in muscle, we undertook a time-course analysis of
transcript expression in trout subjected to a food deprivation-refeeding
sequence. For this purpose complex targets produced from muscle of trout fasted
for one month and from muscle of trout fasted for one month and then refed for 4,
7, 11 and 36 days were hybridized to cDNA microarrays containing 9023 clones.
RESULTS: Significance analysis of microarrays (SAM) and temporal expression
profiling led to the segregation of differentially expressed genes into four
major clusters. One cluster comprising 1020 genes with high expression in muscle
from fasted animals included a large set of genes involved in protein catabolism.
A second cluster that included approximately 550 genes with transient induction 4
to 11 days post-refeeding was dominated by genes involved in transcription,
ribosomal biogenesis, translation, chaperone activity, mitochondrial production
of ATP and cell division. A third cluster that contained 480 genes that were up
regulated 7 to 36 days post-refeeding was enriched with genes involved in
reticulum and Golgi dynamics and with genes indicative of myofiber and muscle
remodelling such as genes encoding sarcomeric proteins and matrix compounds.
Finally, a fourth cluster of 200 genes overexpressed only in 36-day refed trout
muscle contained genes with function in carbohydrate metabolism and lipid
biosynthesis. Remarkably, among the genes induced were several transcriptional
regulators which might be important for the gene-specific transcriptional
adaptations that underlie muscle recovery. CONCLUSION: Our study is the first
demonstration of a coordinated expression of functionally related genes during
muscle recovery growth. Furthermore, the generation of a useful database of novel
genes associated with muscle recovery growth will allow further investigations on
particular genes, pathways or cellular process involved in muscle growth and
regeneration.
PMID- 18045470
TI - Breast MRI in nonpalpable breast lesions: a randomized trial with diagnostic and
therapeutic outcome - MONET - study.
AB - BACKGROUND: In recent years there has been an increasing interest in MRI as a non
invasive diagnostic modality for the work-up of suspicious breast lesions. The
additional value of Breast MRI lies mainly in its capacity to detect multicentric
and multifocal disease, to detect invasive components in ductal carcinoma in situ
lesions and to depict the tumor in a 3-dimensional image. Breast MRI therefore
has the potential to improve the diagnosis and provide better preoperative
staging and possibly surgical care in patients with breast cancer. The aim of our
study is to assess whether performing contrast enhanced Breast MRI can reduce the
number of surgical procedures due to better preoperative staging and whether a
subgroup of women with suspicious nonpalpable breast lesions can be identified in
which the combination of mammography, ultrasound and state-of-the-art contrast
enhanced Breast MRI can provide a definite diagnosis. METHODS/DESIGN: The MONET -
study (MR mammography Of Nonpalpable BrEast Tumors) is a randomized controlled
trial with diagnostic and therapeutic endpoints. We aim to include 500 patients
with nonpalpable suspicious breast lesions who are referred for biopsy. With this
number of patients, the expected 12% reduction in surgical procedures due to more
accurate preoperative staging with Breast MRI can be detected with a high power
(90%). The secondary outcome is the positive and negative predictive value of
contrast enhanced Breast MRI. If the predictive values are deemed sufficiently
close to those for large core biopsy then the latter, invasive, procedure could
possibly be avoided in some women. The rationale, study design and the baseline
characteristics of the first 100 included patients are described. TRIAL
REGISTRATION: Study protocol number NCT00302120.
PMID- 18045469
TI - DprA/Smf protein localizes at the DNA uptake machinery in competent Bacillus
subtilis cells.
AB - BACKGROUND: DprA is a widely conserved bacterial protein and has been shown to
confer an important function during transformation in competent cells, possibly
through protection of incoming DNA. B. subtilis DprA (called Smf) and has been
shown to play an important role during transformation with chromosomal DNA, but
its mode of action is unknown. RESULTS: We show that B. subtilis DprA/Smf is more
important for transformation with plasmid DNA than with chromosomal DNA. A
functional Smf-YFP fusion localized as discrete foci to the cell pole in a subset
of cells grown to competence, dependent on the ComK master transcription factor.
Smf-YFP foci colocalized with ComGA-CFP. However, a considerable number of cells
having high ComK activity contained Smf dispersed throughout the cytosol and
lacked a polar Smf assembly. The absence of polar Smf-YFP foci in these cells
strongly correlated with the absence of ComGA-CFP foci, and comGA mutant cells
mostly lacked polar Smf-YFP foci. Smf formed polar assemblies in the absence of
RecA, and RecA formed dynamic threads after addition of DNA in a smf deletion
strain. Upon addition of DNA, Smf-YFP foci relocalized from the poles to the cell
centre, dependent on the presence of RecA protein. CONCLUSION: Our data show that
Smf is recruited to the polar competence machinery, and that polar Smf assembly
requires a functional DNA uptake complex. High ComK levels drive expression of
Smf in 20% of all cells grown to competence, but not all competent cells contain
a polar DNA uptake machinery, showing that ComK activity is necessary but not
sufficient to achieve assembly of the uptake machinery in all cells. Smf and RecA
localize independently of each other, in agreement with our finding that Smf is
much more important for plasmid transformation than RecA, but RecA influences the
dynamic localization pattern of Smf. Our data show that DprA/Smf acts downstream
of the DNA uptake machinery, and support the idea that Smf protects incoming
ssDNA, possibly in conjunction with RecA.
PMID- 18045471
TI - Breastfeeding and childhood asthma: a six-year population-based cohort study.
AB - BACKGROUND: The question of the protective effect of breastfeeding on development
of asthma has raised substantial interest, but the scientific evidence of the
optimal duration of breastfeeding is controversial. METHODS: The authors
elaborated the optimal duration of breastfeeding with respect to the risk of
asthma primarily, and secondarily to the risk of persistent wheezing, cough and
phlegm in school age in a population-based cohort study with the baseline in 1991
and follow-up in 1997. The study population comprised 1984 children aged 7 to 14
years at the end of the follow-up (follow-up rate 77). Information on
breastfeeding was based on the baseline survey and information on the health
outcomes at the follow-up. RESULTS: There was a U-shaped relation between
breastfeeding and the outcomes with the lowest risk with breastfeeding from four
to nine months for asthma and seven to nine months for persistent wheezing, cough
and phlegm. CONCLUSION: Our results suggest a U shape relation between duration
of breastfeeding and risk of asthma with an optimal duration of 4 to 6 months. A
true concave relation would explain the inconsistent results from the previous
studies.
PMID- 18045472
TI - How many mailouts? Could attempts to increase the response rate in the Iraq war
cohort study be counterproductive?
AB - BACKGROUND: Low response and reporting errors are major concerns for survey
epidemiologists. However, while nonresponse is commonly investigated, the effects
of misclassification are often ignored, possibly because they are hard to
quantify. We investigate both sources of bias in a recent study of the effects of
deployment to the 2003 Iraq war on the health of UK military personnel, and
attempt to determine whether improving response rates by multiple mailouts was
associated with increased misclassification error and hence increased bias in the
results. METHODS: Data for 17,162 UK military personnel were used to determine
factors related to response and inverse probability weights were used to assess
nonresponse bias. The percentages of inconsistent and missing answers to health
questions from the 10,234 responders were used as measures of misclassification
in a simulation of the 'true' relative risks that would have been observed if
misclassification had not been present. Simulated and observed relative risks of
multiple physical symptoms and post-traumatic stress disorder (PTSD) were
compared across response waves (number of contact attempts). RESULTS: Age, rank,
gender, ethnic group, enlistment type (regular/reservist) and contact address
(military or civilian), but not fitness, were significantly related to response.
Weighting for nonresponse had little effect on the relative risks. Of the
respondents, 88% had responded by wave 2. Missing answers (total 3%) increased
significantly (p < 0.001) between waves 1 and 4 from 2.4% to 7.3%, and the
percentage with discrepant answers (total 14%) increased from 12.8% to 16.3% (p =
0.007). However, the adjusted relative risks decreased only slightly from 1.24 to
1.22 for multiple physical symptoms and from 1.12 to 1.09 for PTSD, and showed a
similar pattern to those simulated. CONCLUSION: Bias due to nonresponse appears
to be small in this study, and increasing the response rates had little effect on
the results. Although misclassification is difficult to assess, the results
suggest that bias due to reporting errors could be greater than bias caused by
nonresponse. Resources might be better spent on improving and validating the
data, rather than on increasing the response rate.
PMID- 18045473
TI - The metal tolerance profile of Thlaspi goesingense is mimicked in Arabidopsis
thaliana heterologously expressing serine acetyl-transferase.
AB - BACKGROUND: The Ni hyperaccumulator Thlaspi goesingense is tolerant to Ni
congruent with Zn, congruent with Co and slightly resistant to > Cd. We
previously observed that elevated glutathione, driven by constitutive activation
of serine acetyltransferase (SAT), plays a role in the Ni tolerance of T.
goesingense. RESULTS: Here we show that the elevated shoot concentration of
glutathione, previously shown to cause elevated Ni tolerance in Arabidopsis
thaliana heterologously expressing T. goesingense mitochondrial serine
acetyltransferase (SATm), also causes tolerance to Co and Zn while slightly
enhancing resistance to Cd. The level of tolerance afforded to each metal is
ranked Ni congruent with Co, > Zn > Cd. The Ni congruent with Co, > Zn tolerances
are positively correlated with both the accumulation of glutathione (GSH) and the
ability to resist the oxidative damage induced by these different metals. Based
on the relative concentrations of each metal used a relatively low level of
resistance to Cd was observed in both T. goesingense and TgSATm expressing lines
and Cd resistance was least correlated to GSH accumulation. CONCLUSION: Such data
supports the conclusion that elevated glutathione levels, driven by
constitutively enhanced SAT activity in the hyperaccumulator T. goesingense,
plays an important role in the Ni, Co and Zn tolerance of this and other Thlaspi
species. The hyper-activation of S assimilation through SAT is an excellent
strategy for engineering enhanced metal tolerance in transgenic plants
potentially used for phytoremediation.
PMID- 18045474
TI - Pancreatic Expression database: a generic model for the organization, integration
and mining of complex cancer datasets.
AB - BACKGROUND: Pancreatic cancer is the 5th leading cause of cancer death in both
males and females. In recent years, a wealth of gene and protein expression
studies have been published broadening our understanding of pancreatic cancer
biology. Due to the explosive growth in publicly available data from multiple
different sources it is becoming increasingly difficult for individual
researchers to integrate these into their current research programmes. The
Pancreatic Expression database, a generic web-based system, is aiming to close
this gap by providing the research community with an open access tool, not only
to mine currently available pancreatic cancer data sets but also to include their
own data in the database. DESCRIPTION: Currently, the database holds 32 datasets
comprising 7636 gene expression measurements extracted from 20 different
published gene or protein expression studies from various pancreatic cancer
types, pancreatic precursor lesions (PanINs) and chronic pancreatitis. The
pancreatic data are stored in a data management system based on the BioMart
technology alongside the human genome gene and protein annotations, sequence,
homologue, SNP and antibody data. Interrogation of the database can be achieved
through both a web-based query interface and through web services using combined
criteria from pancreatic (disease stages, regulation, differential expression,
expression, platform technology, publication) and/or public data (antibodies,
genomic region, gene-related accessions, ontology, expression patterns, multi
species comparisons, protein data, SNPs). Thus, our database enables connections
between otherwise disparate data sources and allows relatively simple navigation
between all data types and annotations. CONCLUSION: The database structure and
content provides a powerful and high-speed data-mining tool for cancer research.
It can be used for target discovery i.e. of biomarkers from body fluids,
identification and analysis of genes associated with the progression of cancer,
cross-platform meta-analysis, SNP selection for pancreatic cancer association
studies, cancer gene promoter analysis as well as mining cancer ontology
information. The data model is generic and can be easily extended and applied to
other types of cancer. The database is available online with no restrictions for
the scientific community at http://www.pancreasexpression.org/.
PMID- 18045475
TI - Carbohydrate restriction and dietary cholesterol modulate the expression of HMG
CoA reductase and the LDL receptor in mononuclear cells from adult men.
AB - The liver is responsible for controlling cholesterol homeostasis in the body. HMG
CoA reductase and the LDL receptor (LDL-r) are involved in this regulation and
are also ubiquitously expressed in all major tissues. We have previously shown in
guinea pigs that there is a correlation in gene expression of HMG-CoA reductase
and the LDL-r between liver and mononuclear cells. The present study evaluated
human mononuclear cells as a surrogate for hepatic expression of these genes. The
purpose was to evaluate the effect of dietary carbohydrate restriction with low
and high cholesterol content on HMG-CoA reductase and LDL-r mRNA expression in
mononuclear cells. All subjects were counseled to consume a carbohydrate
restricted diet with 10-15% energy from carbohydrate, 30-35% energy from protein
and 55-60% energy from fat. Subjects were randomly assigned to either EGG (640
mg/d additional dietary cholesterol) or SUB groups [equivalent amount of egg
substitute (0 dietary cholesterol contributions) per day] for 12 weeks. At the
end of the intervention, there were no changes in plasma total or LDL cholesterol
(LDL-C) compared to baseline (P > 0.10) or differences in plasma total or LDL-C
between groups. The mRNA abundance for HMG-CoA reductase and LDL-r were measured
in mononuclear cells using real time PCR. The EGG group showed a significant
decrease in HMG-CoA reductase mRNA (1.98 +/- 1.26 to 1.32 +/- 0.92 arbitrary
units P < 0.05) while an increase was observed for the SUB group (1.13 +/- 0.52
to 1.69 +/- 1.61 arbitrary units P < 0.05). Additionally, the LDL-r mRNA
abundance was decreased in the EGG group (1.72 +/- 0.69 to 1.24 +/- 0.55
arbitrary units P < 0.05) and significantly increased in the SUB group (1.00 +/-
0.60 to 1.67 +/- 1.94 arbitrary units P < 0.05). The findings indicate that
dietary cholesterol during a weight loss intervention alters the expression of
genes regulating cholesterol homeostasis.
PMID- 18045476
TI - Effects of arachidonic acid supplementation on training adaptations in resistance
trained males.
AB - BACKGROUND: To determine the impact of AA supplementation during resistance
training on body composition, training adaptations, and markers of muscle
hypertrophy in resistance-trained males. METHODS: In a randomized and double
blind manner, 31 resistance-trained male subjects (22.1 +/- 5.0 years, 180 +/-
0.1 cm, 86.1 +/- 13.0 kg, 18.1 +/- 6.4% body fat) ingested either a placebo (PLA:
1 g.day-1 corn oil, n = 16) or AA (AA: 1 g.day-1 AA, n = 15) while participating
in a standardized 4 day.week-1 resistance training regimen. Fasting blood
samples, body composition, bench press one-repetition maximum (1RM), leg press
1RM and Wingate anaerobic capacity sprint tests were completed after 0, 25, and
50 days of supplementation. Percutaneous muscle biopsies were taken from the
vastus lateralis on days 0 and 50. RESULTS: Wingate relative peak power was
significantly greater after 50 days of supplementation while the inflammatory
cytokine IL-6 was significantly lower after 25 days of supplementation in the AA
group. PGE2 levels tended to be greater in the AA group. However, no
statistically significant differences were observed between groups in body
composition, strength, anabolic and catabolic hormones, or markers of muscle
hypertrophy (i.e. total protein content or MHC type I, IIa, and IIx protein
content) and other intramuscular markers (i.e. FP and EP3 receptor density or MHC
type I, IIa, and IIx mRNA expression). CONCLUSION: AA supplementation during
resistance-training may enhance anaerobic capacity and lessen the inflammatory
response to training. However, AA supplementation did not promote statistically
greater gains in strength, muscle mass, or influence markers of muscle
hypertrophy.
PMID- 18045477
TI - Cooperative learning in the first year of undergraduate medical education.
AB - BACKGROUND: Despite extensive research data indicating that cooperative learning
promotes higher achievement, the creation of positive relationships, and greater
psychological health for students at all levels in their education, cooperative
learning as a teaching strategy is still underutilized in undergraduate medical
education. METHODS: A cooperative learning task was introduced as part of the
mandatory first Year undergraduate Pathology course. The task was to create an
8.5" x 11" poster summary of pre-assigned content in self-chosen groups of four
or five students. On the designated "Poster Day," the posters were displayed and
evaluated by the students using a group product evaluation. Students also
completed an individual group process reflection survey. An objective evaluation
of their understanding was gauged at the midterm examination by specific content
related questions. RESULTS: Majority (91-96%) of students judged the group
products to be relevant, effective, easy-to-understand, and clearly communicated.
The majority of the students (90-100%) agreed that their group process skills of
time management, task collaboration, decision-making and task execution were
effective in completing this exercise. This activity created a dynamic learning
environment as was reflected in the students' positive, professional discussion,
and evaluation of their posters. The content-related questions on the midterm
examination were answered correctly by 70-92% of the students. This was a
mutually enriching experience for the instructor and students. CONCLUSION: These
findings demonstrate that cooperative learning as a teaching strategy can be
effectively incorporated to address both content and interpersonal skill
development in the early years of undergraduate medical education.
PMID- 18045478
TI - Gene expression profiling of leukemic cells and primary thymocytes predicts a
signature for apoptotic sensitivity to glucocorticoids.
AB - BACKGROUND: Glucocorticoids (GC's) play an integral role in treatment strategies
designed to combat various forms of hematological malignancies. GCs also are
powerful inhibitors of the immune system, through regulation of appropriate
cytokines and by causing apoptosis of immature thymocytes. By activating the
glucocorticoid receptor (GR), GCs evoke apoptosis through transcriptional
regulation of a complex, interactive gene network over a period of time preceding
activation of the apoptotic enzymes. In this study we used microarray technology
to determine whether several disparate types of hematologic cells, all sensitive
to GC-evoked apoptosis, would identify a common set of regulated genes. We
compared gene expression signatures after treatment with two potent synthetic
GCs, dexamethasone (Dex) and cortivazol (CVZ) using a panel of hematologic cells.
Pediatric CD4+/CD8+ T-cell leukemia was represented by 3 CEM clones: two
sensitive, CEM-C7-14 and CEM-C1-6, and one resistant, CEM-C1-15, to Dex. CEM-C1
15 was also tested when rendered GC-sensitive by several treatments. GC-sensitive
pediatric B-cell leukemia was represented by the SUP-B15 line and adult B-cell
leukemia by RS4;11 cells. Kasumi-1 cells gave an example of the rare Dex
sensitive acute myeloblastic leukemia (AML). To test the generality of the
correlations in malignant cell gene sets, we compared with GC effects on mouse
non-transformed thymocytes. RESULTS: We identified a set of genes regulated by
GCs in all GC-sensitive malignant cells. A portion of these were also regulated
in the thymocytes. Because we knew that the highly Dex-resistant CEM-C1-15 cells
could be killed by CVZ, we tested these cells with the latter steroid and again
found that many of the same genes were now regulated as in the inherently GC
sensitive cells. The same result was obtained when we converted the Dex-resistant
clone to Dex-sensitive by treatment with forskolin (FSK), to activate the adenyl
cyclase/protein kinase A pathway (PKA). CONCLUSION: Our results have identified
small sets of genes that correlate with GC-sensitivity in cells from several
hematologic malignancies. Some of these are also regulated in normal mouse
thymocytes.
PMID- 18045480
TI - Seek first to understand.
AB - A recent study suggests that doctors often diminish effective time with patients
by talking about themselves in a manner that does not improve the patient visit
and is sometimes disruptive to it. Good care requires hearing what the patient
has to say, as the doctor cannot set proper goals for a visit without knowing the
patient's agenda. Listening to the patient is the key both to good patient care
and to caring for the patient.
PMID- 18045479
TI - Dynamic and redundant regulation of LRRK2 and LRRK1 expression.
AB - BACKGROUND: Mutations within the leucine-rich repeat kinase 2 (LRRK2) gene
account for a significant proportion of autosomal-dominant and some late-onset
sporadic Parkinson's disease. Elucidation of LRRK2 protein function in health and
disease provides an opportunity for deciphering molecular pathways important in
neurodegeneration. In mammals, LRRK1 and LRRK2 protein comprise a unique family
encoding a GTPase domain that controls intrinsic kinase activity. The expression
profiles of the murine LRRK proteins have not been fully described and
insufficiently characterized antibodies have produced conflicting results in the
literature. RESULTS: Herein, we comprehensively evaluate twenty-one commercially
available antibodies to the LRRK2 protein using mouse LRRK2 and human LRRK2
expression vectors, wild-type and LRRK2-null mouse brain lysates and human brain
lysates. Eleven antibodies detect over-expressed human LRRK2 while four
antibodies detect endogenous human LRRK2. In contrast, two antibodies recognize
over-expressed mouse LRRK2 and one antibody detected endogenous mouse LRRK2.
LRRK2 protein resides in both soluble and detergent soluble protein fractions.
LRRK2 and the related LRRK1 genes encode low levels of expressed mRNA species
corresponding to low levels of protein both during development and in adulthood
with largely redundant expression profiles. CONCLUSION: Despite previously
published results, commercially available antibodies generally fail to recognize
endogenous mouse LRRK2 protein; however, several antibodies retain the ability to
detect over-expressed mouse LRRK2 protein. Over half of the commercially
available antibodies tested detect over-expressed human LRRK2 protein and some
have sufficient specificity to detect endogenous LRRK2 in human brain. The
mammalian LRRK proteins are developmentally regulated in several tissues and
coordinated expression suggest possible redundancy in the function between LRRK1
and LRRK2.
PMID- 18045481
TI - Loss of international medical experiences: knowledge, attitudes and skills at
risk.
AB - BACKGROUND: Despite the great influence International Medical Experiences (IMs)
can have on young physicians and their impact on patients and communities, they
are not offered in all training programs and are at risk of being reduced in some
due to stringent guidelines for funding of graduate medical education.
DISCUSSION: IMs provide unique experiences in clinical, epidemiologic, cultural,
and political arenas. From an educational perspective, they broaden a physician's
differential diagnostic skills and introduce clinical entities rarely seen in the
U.S. Time spent in developing countries emphasizes the importance of community
health and increases cultural and linguistic competence. Experience working with
the underserved during an IM has been shown to increase interest in volunteerism,
humanitarian efforts, and work with underserved populations both in the US and
abroad. IMs also afford physicians the opportunity to learn about the delivery of
health care abroad and are associated with an increase in primary care specialty
choice. SUMMARY: It is time for the leaders in graduate medical education to
prioritize international health opportunities. Leaders in academic medicine can
press for changes in reimbursement patterns at the national level or special
funds for international electives. Hospitals can set up separate accounts to help
finance resident salaries and benefits while abroad. Individual departments must
be flexible with resident schedules to allow elective time. Medical students and
housestaff can organize and lobby larger organizations such as the American
Medical Association (AMA), the American Association of Medical Colleges (AAMC),
and specialty groups to make IMs universally accessible.
PMID- 18045482
TI - Effects of insurance status on children's access to specialty care: a systematic
review of the literature.
AB - BACKGROUND: The current climate of rising health care costs has led many health
insurance programs to limit benefits, which may be problematic for children
needing specialty care. Findings from pediatric primary care may not transfer to
pediatric specialty care because pediatric specialists are often located in
academic medical centers where institutional rules determine accepted insurance.
Furthermore, coverage for pediatric specialty care may vary more widely due to
systematic differences in inclusion on preferred provider lists, lack of
availability in staff model HMOs, and requirements for referral. Our objective
was to review the literature on the effects of insurance status on children's
access to specialty care. METHODS: We conducted a systematic review of original
research published between January 1, 1992 and July 31, 2006. Searches were
performed using Pubmed. RESULTS: Of 30 articles identified, the majority use
number of specialty visits or referrals to measure access. Uninsured children
have poorer access to specialty care than insured children. Children with public
coverage have better access to specialty care than uninsured children, but poorer
access compared to privately insured children. Findings on the effects of managed
care are mixed. CONCLUSION: Insurance coverage is clearly an important factor in
children's access to specialty care. However, we cannot determine the structure
of insurance that leads to the best use of appropriate, quality care by children.
Research about specific characteristics of health plans and effects on health
outcomes is needed to determine a structure of insurance coverage that provides
optimal access to specialty care for children.
PMID- 18045483
TI - LysoPC acyltransferase/PC transacylase activities in plant plasma membrane and
plasma membrane-associated endoplasmic reticulum.
AB - BACKGROUND: The phospholipids of the plant plasma membrane are synthesized in the
endoplasmic reticulum (ER). The majority of these lipids reach the plasma
membrane independently of the secretory vesicular pathway. Phospholipid delivery
to the mitochondria and chloroplasts of plant cells also bypasses the secretory
pathway and here it has been proposed that lysophospholipids are transported at
contact sites between specific regions of the ER and the respective organelle,
followed by lysophospholipid acylation in the target organelle. To test the
hypothesis that a corresponding mechanism operates to transport phospholipids to
the plasma membrane outside the secretory pathway, we investigated whether
lysolipid acylation occurs also in the plant plasma membrane and whether this
membrane, like the chloroplasts and mitochondria, is in close contact with the
ER. RESULTS: The plant plasma membrane readily incorporated the acyl chain of
acyl-CoA into phospholipids. Oleic acid was preferred over palmitic acid as
substrate and acyl incorporation occurred predominantly into phosphatidylcholine
(PC). Phospholipase A2 stimulated the reaction, as did exogenous lysoPC when
administered in above critical micellar concentrations. AgNO3 was inhibitory. The
lysophospholipid acylation reaction was higher in a membrane fraction that could
be washed off the isolated plasma membranes after repeated freezing and thawing
cycles in a medium with lowered pH. This fraction exhibited several ER-like
characteristics. When plasma membranes isolated from transgenic Arabidopsis
expressing green fluorescent protein in the ER lumen were observed by confocal
microscopy, membranes of ER origin were associated with the isolated plasma
membranes. CONCLUSION: We conclude that a lysoPC acylation activity is associated
with plant plasma membranes and cannot exclude a PC transacylase activity. It is
highly plausible that the enzyme(s) resides in a fraction of the ER, closely
associated with the plasma membrane, or in both. We suggest that this fraction
might be the equivalent of the mitochondria associated membrane of ER origin that
delivers phospholipids to the mitochondria, and to the recently isolated ER
derived membrane fraction that is in close contact with chloroplasts. The in situ
function of the lysoPC acylation/PC transacylase activity is unknown, but
involvement in lipid delivery from the ER to the plasma membrane is suggested.
PMID- 18045484
TI - The invariant phenylalanine of precursor proteins discloses the importance of
Omp85 for protein translocation into cyanelles.
AB - BACKGROUND: Today it is widely accepted that plastids are of cyanobacterial
origin. During their evolutionary integration into the metabolic and regulatory
networks of the host cell the engulfed cyanobacteria lost their independency.
This process was paralleled by a massive gene transfer from symbiont to the host
nucleus challenging the development of a retrograde protein translocation system
to ensure plastid functionality. Such a system includes specific targeting
signals of the proteins needed for the function of the plastid and membrane-bound
machineries performing the transfer of these proteins across the envelope
membranes. At present, most information on protein translocation is obtained by
the analysis of land plants. However, the analysis of protein import into the
primitive plastids of glaucocystophyte algae, revealed distinct features placing
this system as a tool to understand the evolutionary development of translocation
systems. Here, bacterial outer membrane proteins of the Omp85 family have
recently been discussed as evolutionary seeds for the development of
translocation systems. RESULTS: To further explore the initial mode of protein
translocation, the observed phenylalanine dependence for protein translocation
into glaucophyte plastids was pursued in detail. We document that indeed the
phenylalanine has an impact on both, lipid binding and binding to proteoliposomes
hosting an Omp85 homologue. Comparison to established import experiments,
however, unveiled a major importance of the phenylalanine for recognition by
Omp85. This finding is placed into the context of the evolutionary development of
the plastid translocon. CONCLUSION: The phenylalanine in the N-terminal domain
signs as a prerequisite for protein translocation across the outer membrane
assisted by a "primitive" translocon. This amino acid appears to be optimized for
specifically targeting the Omp85 protein without enforcing aggregation on the
membrane surface. The phenylalanine has subsequently been lost in the transit
sequence, but can be found at the C-terminal position of the translocating pore.
Thereby, the current hypothesis of Omp85 being the prokaryotic contribution to
the ancestral Toc translocon can be supported.
PMID- 18045486
TI - Ocular pathology of uncommon hematologic malignancies: a case series.
AB - INTRODUCTION: In general, ocular complications of hematologic malignancies such
as leukemia are well documented. However, reports of ocular involvement in such
diseases as lymphomatoid granulomatosis and chronic myelomonocytic leukemia are
uncommon. Here we present cases of these two relatively rare hematologic
malignancies demonstrating clinical and subclinical ocular involvement. CASE
PRESENTATION: In the first case, a 54-year-old man with a previous diagnosis of
lymphomatoid granulomatosis presented with a new-onset conjunctival lesion while
his systemic disease was thought to be in remission. A biopsy was taken that
revealed heavy infiltrates of B and T cells at the site of the lesion. Molecular
analysis confirmed that these cells were positive for both Epstein-Barr viral DNA
and immunoglobulin heavy chain gene rearrangement, consistent with a
manifestation of his systemic disease. In the second case, a 51-year-old man with
chronic myelomonocytic leukemia died after a waxing and waning clinical course.
Post-mortem studies revealed the presence of atypical monocytes in the choroidal
and subretinal spaces, consistent with his previous diagnosis. CONCLUSION: While
ocular involvement in hematologic malignancies is not uncommon, these two cases
describe involvement of the eye by two relatively rare neoplasms. We herein
emphasize novel findings in each case, including conjunctival involvement as the
first sign of recurrent lymphomatoid granulomatosis and the combination of
subretinal and choroidal myelomonocytic leukemic infiltration. With the evolution
of new antineoplastic therapies that may prolong life, these cases exemplify the
importance of eye care in patients diagnosed with hematologic malignancies.
PMID- 18045485
TI - The candidate genes TAF5L, TCF7, PDCD1, IL6 and ICAM1 cannot be excluded from
having effects in type 1 diabetes.
AB - BACKGROUND: As genes associated with immune-mediated diseases have an increased
prior probability of being associated with other immune-mediated diseases, we
tested three such genes, IL23R, IRF5 and CD40, for an association with type 1
diabetes. In addition, we tested seven genes, TAF5L, PDCD1, TCF7, IL12B, IL6,
ICAM1 and TBX21, with published marginal or inconsistent evidence of an
association with type 1 diabetes. METHODS: We genotyped reported polymorphisms of
the ten genes, nonsynonymous SNPs (nsSNPs) and, for the IL12B and IL6 regions,
tag SNPs in up to 7,888 case, 8,858 control and 3,142 parent-child trio samples.
In addition, we analysed data from the Wellcome Trust Case Control Consortium
genome-wide association study to determine whether there was any further evidence
of an association in each gene region. RESULTS: We found some evidence of
associations between type 1 diabetes and TAF5L, PDCD1, TCF7 and IL6 (ORs = 1.05 -
1.13; P = 0.0291 - 4.16 x 10-4). No evidence of an association was obtained for
IL12B, IRF5, IL23R, ICAM1, TBX21 and CD40, although there was some evidence of an
association (OR = 1.10; P = 0.0257) from the genome-wide association study for
the ICAM1 region. CONCLUSION: We failed to exclude the possibility of some effect
in type 1 diabetes for TAF5L, PDCD1, TCF7, IL6 and ICAM1. Additional studies, of
these and other candidate genes, employing much larger sample sizes and analysis
of additional polymorphisms in each gene and its flanking region will be required
to ascertain their contributions to type 1 diabetes susceptibility.
PMID- 18045487
TI - Identifying the determinants of premature mortality in Russia: overcoming a
methodological challenge.
AB - BACKGROUND: It is thought that excessive alcohol consumption is related to the
high mortality among working age men in Russia. Moreover it has been suggested
that alcohol is a key proximate driver of the very sharp fluctuations in
mortality seen in this group since the mid-1980s. Designing an individual-level
study suitable to address the potential acute effects of alcohol consumption on
mortality in Russia has posed a challenge to epidemiologists, especially because
of the need to identify factors that could underlie the rapid changes up and down
in mortality rates that have been such a distinctive feature of the Russian
mortality crisis. In order to address this study question which focuses on
exposures acting shortly before sudden death, a cohort would be unfeasibly large
and would suffer from recruitment bias. METHODS: Although the situation in Russia
is unusual, with a very high death rate characterised by many sudden and
apparently unexpected deaths in young men, the methodological problem is common
to research on any cause of death where many deaths are sudden. RESULTS: We
describe the development of an innovative approach that has overcome some of
these challenges: a case-control study employing proxy informants and external
data sources to collect information about proximate determinants of mortality.
CONCLUSION: This offers a set of principles that can be adopted by
epidemiologists studying sudden and unexpected deaths in other settings.
PMID- 18045488
TI - Peroxisome proliferators-activated alpha agonist treatment ameliorates hepatic
damage in rats with obstructive jaundice: an experimental study.
AB - BACKGROUND: Peroxisome proliferators-activated receptor alpha (PPARalpha)
activation modulates cholesterol metabolism and suppresses bile acid synthesis.
This study aims to evaluate the effect of short-term administration of
fenofibrate, a PPARalpha agonist, on proinflammatory cytokines, apoptosis, and
hepatocellular damage in cholestasis. METHODS: Forty male Wistar rats were
randomly divided into four groups: I = sham operated, II = bile duct ligation
(BDL), III = BDL + vehicle (gum Arabic), IV = BDL + fenofibrate (100 mg/kg/day).
All rats were sacrificed on 7th day after obtaining blood samples and liver
tissue. Total bilirubin, aminotransferase (AST), alanine aminotransferase (ALT)
and alkaline phosphatase (ALP), gamma-glutamyl transferase, (GGT), tumor necrosis
factor alpha (TNF-alpha), interleukin 1 beta (IL-1 beta), and total bile acid
(TBA) in serum, and liver damage scores; portal inflammation, necrosis, bile duct
number, in liver tissue were evaluated. Apoptosis in liver was also assessed by
immunohistochemical staining. RESULTS: Fenofibrate administration significantly
reduced serum total bilirubin, AST, ALT, ALP, and GGT, TNF-alpha, IL-1 beta
levels, and TBA (P < 0.01). Hepatic portal inflammation, hepatic necrosis, number
of the bile ducts and apoptosis in rats with BDL were more prominent than the
sham-operated animals (P < 0.01). PPARalpha induction improved all
histopathologic parameters (P < 0.01), except for the number of the bile duct,
which was markedly increased by fenofibrate therapy (P < 0.01). CONCLUSION: Short
term administration of fenofibrate to the BDL rats exerts beneficial effects on
hepatocellular damage and apoptosis.
PMID- 18045490
TI - Foundation doctors in anaesthesia: should they be taught to administer an
anaesthetic?
AB - BACKGROUND: Anaesthetic pre-registration house officer posts have been available
since 1997. With the change to postgraduate medical training introduced in 2005,
these posts have become vital building blocks for Foundation Programmes.
DISCUSSION: We debate the skills that new Foundation Programme doctors in such
posts should be taught, particularly whether administration of an anaesthetic
holds an important place. The opinion of college tutors prior to the institution
of the foundation programme is included. These were obtained from a postal
questionnaire. SUMMARY: We maintain that teaching how to administer an
anaesthetic remains an important learning objective and something that should be
actively pursued.
PMID- 18045489
TI - Genome-wide changes in expression profile of murine endogenous retroviruses
(MuERVs) in distant organs after burn injury.
AB - BACKGROUND: Previous studies have shown that burn-elicited stress signals alter
expression of certain murine endogenous retroviruses (MuERVs) in distant organs
of mice. These findings suggest that MuERVs may participate in a network of
pathophysiologic events during post-burn systemic response. To gain a better
understanding of the biological roles of MuERVs in post-burn systemic response,
we examined the genome-wide changes in the MuERV expression profiles in distant
organs and the biological properties of the putative-burn related MuERVs were
characterized. RESULTS: Female C57BL/6J mice were subjected to an approximately
18 % total body surface area flame burn and tissues (liver, lung, and kidney)
were harvested at 3 hours and 24 hours after injury. The changes in the MuERV
expression profiles in these tissues were examined by RT-PCR using a primer set
flanking the non-ecotropic MuERV U3 promoter region within the 3' long terminal
repeat. There were differential changes in the expression profiles of MuERV U3
regions after injury in all three tissues examined. Subsequently, a total of 31
unique U3 promoter sequences were identified from the tissues of both burn and no
burn mice. An analysis of viral tropisms revealed that putative MuERVs harboring
these U3 promoter sequences were presumed to be either xenotropic or polytropic.
Some putative transcription regulatory elements were present predominantly in U3
promoter sequences isolated from burn and no burn mice, respectively. In
addition, in silico mapping using these U3 sequences as a probe against the mouse
genome database identified 59 putative MuERVs. The biological properties (coding
potentials for retroviral polypeptides, primer binding sites, tropisms, branching
ages, recombination events, and neighboring host genes) of each putative MuERV
were characterized. In particular, 16 putative MuERVs identified in this study
retained intact coding potentials for all three retroviral polypeptides (gag,
pol, and env). None of the putative MuERVs identified in this study were mapped
to the coding sequences of host genes. CONCLUSION: In this study, we identified
and characterized putative MuERVs whose expression might be altered in response
to burn-elicited systemic stress signals. Further investigation is needed to
understand the role of these MuERVs in post-burn systemic pathogenesis, in
particular, via characterization of their interaction with host genes, MuERV gene
products, and viral activities.
PMID- 18045491
TI - Predicting RNA secondary structure by the comparative approach: how to select the
homologous sequences.
AB - BACKGROUND: The secondary structure of an RNA must be known before the
relationship between its structure and function can be determined. One way to
predict the secondary structure of an RNA is to identify covarying residues that
maintain the pairings (Watson-Crick, Wobble and non-canonical pairings). This
"comparative approach" consists of identifying mutations from homologous sequence
alignments. The sequences must covary enough for compensatory mutations to be
revealed, but comparison is difficult if they are too different. Thus the choice
of homologous sequences is critical. While many possible combinations of
homologous sequences may be used for prediction, only a few will give good
structure predictions. This can be due to poor quality alignment in stems or to
the variability of certain sequences. This problem of sequence selection is
currently unsolved. RESULTS: This paper describes an algorithm, SSCA, which
measures the suitability of sequences for the comparative approach. It is based
on evolutionary models with structure constraints, particularly those on sequence
variations and stem alignment. We propose three models, based on different
constraints on sequence alignments. We show the results of the SSCA algorithm for
predicting the secondary structure of several RNAs. SSCA enabled us to choose
sets of homologous sequences that gave better predictions than arbitrarily chosen
sets of homologous sequences. CONCLUSION: SSCA is an algorithm for selecting
combinations of RNA homologous sequences suitable for secondary structure
predictions with the comparative approach.
PMID- 18045492
TI - Cerebral haemodynamics and carbon dioxide reactivity during sepsis syndrome.
AB - BACKGROUND: Most patients with sepsis develop potentially irreversible cerebral
dysfunctions. It is yet not clear whether cerebral haemodynamics are altered in
these sepsis patients at all, and to what extent. We hypothesized that cerebral
haemodynamics and carbon dioxide reactivity would be impaired in patients with
sepsis syndrome and pathological electroencephalogram patterns. METHODS: After
approval of the institutional ethics committee, 10 mechanically ventilated
patients with sepsis syndrome and pathological electroencephalogram patterns
underwent measurements of cerebral blood flow and jugular venous oxygen
saturation before and after reduction of the arterial carbon dioxide partial
pressure by 0.93 +/- 0.7 kPa iu by hyperventilation. The cerebral capillary
closing pressure was determined from transcranial Doppler measurements of the
arterial blood flow of the middle cerebral artery and the arterial pressure
curve. A t test for matched pairs was used for statistical analysis (P < 0.05).
RESULTS: During stable mean arterial pressure and cardiac index, reduction of the
arterial carbon dioxide partial pressure led to a significant increase of the
capillary closing pressure from 25 +/- 11 mmHg to 39 +/- 15 mmHg (P < 0.001),
with a consecutive decrease of blood flow velocity in the middle cerebral artery
of 21.8 +/- 4.8%/kPa (P < 0.001), of cerebral blood flow from 64 +/- 29 ml/100
g/min to 39 +/- 15 ml/100 g/min (P < 0.001) and of jugular venous oxygen
saturation from 75 +/- 8% to 67 +/- 14% (P < 0.01). CONCLUSION: In contrast to
other experimental and clinical data, we observed no pathological findings in the
investigated parameters of cerebral perfusion and oxygenation.
PMID- 18045493
TI - Effects of body position on autonomic regulation of cardiovascular function in
young, healthy adults.
AB - BACKGROUND: Analysis of rhythmic patterns embedded within beat-to-beat variations
in heart rate (heart rate variability) is a tool used to assess the balance of
cardiac autonomic nervous activity and may be predictive for prognosis of some
medical conditions, such as myocardial infarction. It has also been used to
evaluate the impact of manipulative therapeutics and body position on autonomic
regulation of the cardiovascular system. However, few have compared cardiac
autonomic activity in supine and prone positions, postures commonly assumed by
patients in manual therapy. We intend to redress this deficiency. METHODS: Heart
rate, heart rate variability, and beat-to-beat blood pressure were measured in
young, healthy non-smokers, during prone, supine, and sitting postures and with
breathing paced at 0.25 Hz. Data were recorded for 5 minutes in each posture: Day
1 - prone and supine; Day 2 - prone and sitting. Paired t-tests or Wilcoxon
signed-rank tests were used to evaluate posture-related differences in blood
pressure, heart rate, and heart rate variability. RESULTS: Prone versus supine:
blood pressure and heart rate were significantly higher in the prone posture (p <
0.001). Prone versus sitting: blood pressure was higher and heart rate was lower
in the prone posture (p < 0.05) and significant differences were found in some
components of heart rate variability. CONCLUSION: Cardiac autonomic activity was
not measurably different in prone and supine postures, but heart rate and blood
pressure were. Although heart rate variability parameters indicated sympathetic
dominance during sitting (supporting work of others), blood pressure was higher
in the prone posture. These differences should be considered when autonomic
regulation of cardiovascular function is studied in different postures.
PMID- 18045494
TI - Interspecies and intraspecies transmission of triple reassortant H3N2 influenza A
viruses.
AB - The triple reassortant H3N2 viruses were isolated for the first time from pigs in
1998 and are known to be endemic in swine and turkey populations in the United
States. In 2004, we isolated two H3N2 triple reassortant viruses from two turkey
breeder flocks in Ohio and Illinois. Infected hens showed no clinical signs, but
experienced a complete cessation of egg production. In this study, we evaluated
three triple reassortant H3N2 isolates of turkey origin and one isolate of swine
origin for their transmission between swine and turkeys. Although all 4 viruses
tested share high genetic similarity in all 8 genes, only the Ohio strain
(A/turkey/Ohio/313053/04) was shown to transmit efficiently both ways between
swine and turkeys. One isolate, A/turkey/North Carolina/03, was able to transmit
from pigs to turkeys but not vice versa. Neither of the other two viruses
transmitted either way. Sequence analysis of the HA1 gene of the Ohio strain
showed one amino acid change (D to A) at residue 190 of the receptor binding
domain upon transmission from turkeys to pigs. The Ohio virus was then tested for
intraspecies transmission in three different avian species. The virus was shown
to replicate and transmit among turkeys, replicate but does not transmit among
chickens, and did not replicate in ducks. Identifying viruses with varying inter-
and intra-species transmission potential should be useful for further studies on
the molecular basis of interspecies transmission.
PMID- 18045495
TI - Effect of electronic patient record use on mortality in End Stage Renal Disease,
a model chronic disease: retrospective analysis of 9 years of prospectively
collected data.
AB - BACKGROUND: In chronic disease, health information technology promises but has
yet to demonstrate improved outcomes and decreased costs. The main aim of the
study was to determine the effects on mortality and cost of an electronic patient
record used in daily patient care in a model chronic disease, End Stage Renal
Disease, treated by chronic maintenance hemodialysis. Dialysis treatment is
highly regulated, and near uniform in treatment modalities and drugs used.
METHODS: The particular electronic patient record, patient-centered and
extensively coded, was used first in patient care in 3 dialysis units in New
York, NY in 1998, 1999, and 2000. All data were stored "live"; none were
archived. By December 31, 2006, the patients had been treated by maintenance
hemodialysis for a total of 3924 years. A retrospective analysis was made using
query tools embedded in the software. The United States Renal Data System
dialysis population served as controls. In all there were 1790 patients, with
many underlying primary diseases and multiple comorbid conditions affecting many
organ systems. Year by year mortality, hospital admissions, and staffing were
analyzed, and the data were compared with national data compiled by the United
States Renal Data System. RESULTS: Analyzed by calendar year after electronic
patient record implementation, mortality decreased strikingly. In years 3-9
mortality was lower than in years 1-2 by 23%, 48%, and 34% in the 3 units, and
was 37%, 37%, and 35% less than that reported by the United States Renal Data
System. Clinical staffing was 25% fewer per 100 patients than the national
average, thereby lowering costs. CONCLUSION: To our knowledge, this is the first
demonstration that an electronic patient record, albeit of particular design, can
have a favorable effect on outcomes and cost in chronic disease. That the
population studied has many underlying diseases affecting all organ systems
suggests that the electronic patient record design may enable application to many
fields of medical practice.
PMID- 18045496
TI - HCCR-1, a novel oncogene, encodes a mitochondrial outer membrane protein and
suppresses the UVC-induced apoptosis.
AB - BACKGROUND: The Human cervical cancer oncogene (HCCR-1) has been isolated as a
human oncoprotein, and has shown strong tumorigenic features. Its potential role
in tumorigenesis may result from a negative regulation of the p53 tumor
suppressor gene. RESULTS: To investigate the biological function of HCCR-1 in the
cell, we predicted biological features using bioinformatic tools, and have
identified a LETM1 homologous domain at position 75 to 346 of HCCR-1. This domain
contains proteins identified from diverse species predicted to be mitochondrial
proteins. Fluorescence microscopy and fractionation experiments showed that HCCR
1 is located in mitochondria in the COS-7, MCF-7 and HEK/293 cell lines, and
subcompartamentally at the outer membrane in the HEK/293 cell line. The
topological structure was revealed as the NH2-terminus of HCCR-1 oriented toward
the cytoplasm. We also observed that the D1-2 region, at position 1 to 110 of
HCCR-1, was required and sufficient for posttranslational mitochondrial import.
The function of HCCR-1 on mitochondrial membrane is to retard the intrinsic
apoptosis induced by UVC and staurosporine, respectively. CONCLUSION: Our
experiments show the biological features of HCCR-1 in the cell, and suggest that
uncontrolled expression of HCCR-1 may cause mitochondrial dysfunction that can
result in resisting the UVC or staurosporine-induced apoptosis and progressing in
the tumor formation.
PMID- 18045497
TI - Cadherin-mediated adhesion regulates posterior body formation.
AB - BACKGROUND: The anterior-posterior axis of the vertebrate embryo undergoes a
dramatic elongation during early development. Convergence and extension of the
mesoderm, occurring during gastrulation, initiates the narrowing and lengthening
of the embryo. However the lengthening of the axis continues during post-gastrula
stages in the tailbud region, and is thought to involve convergent extension
movements as well as other cell behaviors specific to posterior regions. RESULTS:
We demonstrate here, using a semi-dominant N-cadherin allele, that members of the
classical cadherin subfamily of cell-cell adhesion molecules are required for
tailbud elongation in the zebrafish. In vivo imaging of cell behaviors suggests
that the extension of posterior axial mesodermal cells is impaired in embryos
that carry the semi-dominant N-cadherin allele. This defect most likely results
from a general loss of cell-cell adhesion in the tailbud region. Consistent with
these observations, N-cadherin is expressed throughout the tailbud during post
gastrulation stages. In addition, we show that N-cadherin interacts
synergistically with vang-like 2, a member of the non-canonical Wnt
signaling/planar cell polarity pathway, to mediate tail morphogenesis.
CONCLUSION: We provide the first evidence here that N-cadherin and other members
of the classical cadherin subfamily function in parallel with the planar cell
polarity pathway to shape the posterior axis during post-gastrulation stages.
These findings further highlight the central role that adhesion molecules play in
the cellular rearrangements that drive morphogenesis in vertebrates and identify
classical cadherins as major contributors to tail development.
PMID- 18045499
TI - Malnutrition and the disproportional burden on the poor: the case of Ghana.
AB - BACKGROUND: Malnutrition is a major public health and development concern in the
developing world and in poor communities within these regions. Understanding the
nature and determinants of socioeconomic inequality in malnutrition is essential
in contemplating the health of populations in developing countries and in
targeting resources appropriately to raise the health of the poor and most
vulnerable groups. METHODS: This paper uses a concentration index to summarize
inequality in children's height-for-age z-scores in Ghana across the entire
socioeconomic distribution and decomposes this inequality into different
contributing factors. Data is used from the Ghana 2003 Demographic and Health
Survey. RESULTS: The results show that malnutrition is related to poverty,
maternal education, health care and family planning and regional characteristics.
Socioeconomic inequality in malnutrition is mainly associated with poverty,
health care use and regional disparities. Although average malnutrition is higher
using the new growth standards recently released by the World Health
Organization, socioeconomic inequality and the associated factors are robust to
the change of reference population. CONCLUSION: Child malnutrition in Ghana is a
multisectoral problem. The factors associated with average malnutrition rates are
not necessarily the same as those associated with socioeconomic inequality in
malnutrition.
PMID- 18045498
TI - Phylogenomics and signature proteins for the alpha proteobacteria and its main
groups.
AB - BACKGROUND: Alpha proteobacteria are one of the largest and most extensively
studied groups within bacteria. However, for these bacteria as a whole and for
all of its major subgroups (viz. Rhizobiales, Rhodobacterales, Rhodospirillales,
Rickettsiales, Sphingomonadales and Caulobacterales), very few or no distinctive
molecular or biochemical characteristics are known. RESULTS: We have carried out
comprehensive phylogenomic analyses by means of Blastp and PSI-Blast searches on
the open reading frames in the genomes of several alpha-proteobacteria (viz.
Bradyrhizobium japonicum, Brucella suis, Caulobacter crescentus, Gluconobacter
oxydans, Mesorhizobium loti, Nitrobacter winogradskyi, Novosphingobium
aromaticivorans, Rhodobacter sphaeroides 2.4.1, Silicibacter sp. TM1040,
Rhodospirillum rubrum and Wolbachia (Drosophila) endosymbiont). These studies
have identified several proteins that are distinctive characteristics of all
alpha-proteobacteria, as well as numerous proteins that are unique repertoires of
all of its main orders (viz. Rhizobiales, Rhodobacterales, Rhodospirillales,
Rickettsiales, Sphingomonadales and Caulobacterales) and many families (viz.
Rickettsiaceae, Anaplasmataceae, Rhodospirillaceae, Acetobacteraceae,
Bradyrhiozobiaceae, Brucellaceae and Bartonellaceae). Many other proteins that
are present at different phylogenetic depths in alpha-proteobacteria provide
important information regarding their evolution. The evolutionary relationships
among alpha-proteobacteria as deduced from these studies are in excellent
agreement with their branching pattern in the phylogenetic trees and character
compatibility cliques based on concatenated sequences for many conserved
proteins. These studies provide evidence that the major groups within alpha
proteobacteria have diverged in the following order:
(Rickettsiales(Rhodospirillales (Sphingomonadales (Rhodobacterales
(Caulobacterales-Parvularculales (Rhizobiales)))))). We also describe two
conserved inserts in DNA Gyrase B and RNA polymerase beta subunit that are
distinctive characteristics of the Sphingomonadales and Rhodosprilllales species,
respectively. The results presented here also provide support for the grouping of
Hyphomonadaceae and Parvularcula species with the Caulobacterales and the
placement of Stappia aggregata with the Rhizobiaceae group. CONCLUSION: The alpha
proteobacteria-specific proteins and indels described here provide novel and
powerful means for the taxonomic, biochemical and molecular biological studies on
these bacteria. Their functional studies should prove helpful in identifying
novel biochemical and physiological characteristics that are unique to these
bacteria.
PMID- 18045500
TI - Assessment of higher order cognitive skills in undergraduate education: modified
essay or multiple choice questions? Research paper.
AB - BACKGROUND: Reliable and valid written tests of higher cognitive function are
difficult to produce, particularly for the assessment of clinical problem
solving. Modified Essay Questions (MEQs) are often used to assess these higher
order abilities in preference to other forms of assessment, including multiple
choice questions (MCQs). MEQs often form a vital component of end-of-course
assessments in higher education. It is not clear how effectively these questions
assess higher order cognitive skills. This study was designed to assess the
effectiveness of the MEQ to measure higher-order cognitive skills in an
undergraduate institution. METHODS: An analysis of multiple-choice questions and
modified essay questions (MEQs) used for summative assessment in a clinical
undergraduate curriculum was undertaken. A total of 50 MCQs and 139 stages of
MEQs were examined, which came from three exams run over two years. The
effectiveness of the questions was determined by two assessors and was defined by
the questions ability to measure higher cognitive skills, as determined by a
modification of Bloom's taxonomy, and its quality as determined by the presence
of item writing flaws. RESULTS: Over 50% of all of the MEQs tested factual
recall. This was similar to the percentage of MCQs testing factual recall. The
modified essay question failed in its role of consistently assessing higher
cognitive skills whereas the MCQ frequently tested more than mere recall of
knowledge. CONCLUSION: Construction of MEQs, which will assess higher order
cognitive skills cannot be assumed to be a simple task. Well-constructed MCQs
should be considered a satisfactory replacement for MEQs if the MEQs cannot be
designed to adequately test higher order skills. Such MCQs are capable of
withstanding the intellectual and statistical scrutiny imposed by a high stakes
exit examination.
PMID- 18045501
TI - Simulation of propofol anaesthesia for intracranial decompression using brain
hypothermia treatment.
AB - BACKGROUND: Although propofol is commonly used for general anaesthesia of
normothermic patients in clinical practice, little information is available in
the literature regarding the use of propofol anaesthesia for intracranial
decompression using brain hypothermia treatment. A novel propofol anaesthesia
scheme is proposed that should promote such clinical application and improve
understanding of the principles of using propofol anaesthesia for hypothermic
intracranial decompression. METHODS: Theoretical analysis was carried out using a
previously-developed integrative model of the thermoregulatory, hemodynamic and
pharmacokinetic subsystems. Propofol kinetics is described using a framework
similar to that of this model and combined with the thermoregulation subsystem
through the pharmacodynamic relationship between the blood propofol concentration
and the thermoregulatory threshold. A propofol anaesthesia scheme for hypothermic
intracranial decompression was simulated using the integrative model. RESULTS:
Compared to the empirical anaesthesia scheme, the proposed anaesthesia scheme can
reduce the required propofol dosage by more than 18%. CONCLUSION: The integrative
model of the thermoregulatory, hemodynamic and pharmacokinetic subsystems is
effective in analyzing the use of propofol anaesthesia for hypothermic
intracranial decompression. This propofol infusion scheme appears to be more
appropriate for clinical application than the empirical one.
PMID- 18045502
TI - TFCONES: a database of vertebrate transcription factor-encoding genes and their
associated conserved noncoding elements.
AB - BACKGROUND: Transcription factors (TFs) regulate gene transcription and play
pivotal roles in various biological processes such as development, cell cycle
progression, cell differentiation and tumor suppression. Identifying cis
regulatory elements associated with TF-encoding genes is a crucial step in
understanding gene regulatory networks. To this end, we have used a comparative
genomics approach to identify putative cis-regulatory elements associated with TF
encoding genes in vertebrates. DESCRIPTION: We have created a database named
TFCONES (Transcription Factor Genes & Associated COnserved Noncoding ElementS)
(http://tfcones.fugu-sg.org) which contains all human, mouse and fugu TF-encoding
genes and conserved noncoding elements (CNEs) associated with them. The CNEs were
identified by gene-by-gene alignments of orthologous TF-encoding gene loci using
MLAGAN. We also predicted putative transcription factor binding sites within the
CNEs. A significant proportion of human-fugu CNEs contain experimentally defined
binding sites for transcriptional activators and repressors, indicating that a
majority of the CNEs may function as transcriptional regulatory elements. The TF
encoding genes that are involved in nervous system development are generally
enriched for human-fugu CNEs. Users can retrieve TF-encoding genes and their
associated CNEs by conducting a keyword search or by selecting a family of DNA
binding proteins. CONCLUSION: The conserved noncoding elements identified in
TFCONES represent a catalog of highly prioritized putative cis-regulatory
elements of TF-encoding genes and are candidates for functional assay.
PMID- 18045503
TI - Evaluating the effect of neighbourhood weight matrices on smoothing properties of
Conditional Autoregressive (CAR) models.
AB - BACKGROUND: The Conditional Autoregressive (CAR) model is widely used in many
small-area ecological studies to analyse outcomes measured at an areal level.
There has been little evaluation of the influence of different neighbourhood
weight matrix structures on the amount of smoothing performed by the CAR model.
We examined this issue in detail. METHODS: We created several neighbourhood
weight matrices and applied them to a large dataset of births and birth defects
in New South Wales (NSW), Australia within 198 Statistical Local Areas. Between
the years 1995-2003, there were 17,595 geocoded birth defects and 770,638
geocoded birth records with available data. Spatio-temporal models were developed
with data from 1995-2000 and their fit evaluated within the following time
period: 2001-2003. RESULTS: We were able to create four adjacency-based weight
matrices, seven distance-based weight matrices and one matrix based on similarity
in terms of a key covariate (i.e. maternal age). In terms of agreement between
observed and predicted relative risks, categorised in epidemiologically relevant
groups, generally the distance-based matrices performed better than the adjacency
based neighbourhoods. In terms of recovering the underlying risk structure, the
weight-7 model (smoothing by maternal-age 'Covariate model') was able to
correctly classify 35/47 high-risk areas (sensitivity 74%) with a specificity of
47%, and the 'Gravity' model had sensitivity and specificity values of 74% and
39% respectively. CONCLUSION: We found considerable differences in the smoothing
properties of the CAR model, depending on the type of neighbours specified. This
in turn had an effect on the models' ability to recover the observed risk in an
area. Prior to risk mapping or ecological modelling, an exploratory analysis of
the neighbourhood weight matrix to guide the choice of a suitable weight matrix
is recommended. Alternatively, the weight matrix can be chosen a priori based on
decision-theoretic considerations including loss, cost and inferential aims.
PMID- 18045504
TI - Verification of the formulation and efficacy of Danggui Buxue Tang (a decoction
of Radix Astragali and Radix Angelicae Sinensis): an exemplifying systematic
approach to revealing the complexity of Chinese herbal medicine formulae.
AB - This article exemplifies a systematic approach to revealing the complexity of
Chinese herbal medicine formulae through three levels of scientific research:
standardization of herbs, verification of ancient formulae and mechanism studies.
We use Danggui Buxue Tang (DBT) as an example for this approach. Among thousands
of traditional Chinese medicine herbal formulae, almost all of which consist of
multiple herbs, DBT is one of the simplest. Containing only two herbs, namely
Radix Astragali (RA) and Radix Angelicae Sinensis (RAS), DBT is traditionally
used to treat ailments in women. The weight ratio of RA to RAS in DBT was
prescribed to be 5:1 as early as in 1247 AD. In addition to advanced chemical
analysis of herbal constituents, DNA genotyping techniques have been developed
for reliable standardization of RA and RAS. Chemical evaluation shows that main
active constituents in DBT, including astragaloside IV, calycosin, formononetin
and ferulic acid, were most abundant after extraction at the RA to RAS ratio of
5:1, whereas other tested RA to RAS ratios only gave sub-optimal levels of the
active constituents. Biological evaluation indicates that bioactivities of DBT,
e.g. immuno-modulatory, oesteotropic and estrogenic effects are also best exerted
at the RA to RAS ratio of 5:1. Correlation analysis demonstrates statistically
significant relationship between the tested chemical constituents and tested
bioactivities. Up- and down-regulation of expression of some genes as potential
biomarkers has been detected by using gene chip technology. This systematic
approach on the basis of herbal standardization, chemical and biological
verification and mechanism studies, as exemplified in this article, will be
useful to reveal the complexity of not only DBT but also other Chinese medicine
herbal formulae.
PMID- 18045505
TI - Multiple host-switching of Haemosporidia parasites in bats.
AB - BACKGROUND: There have been reported cases of host-switching in avian and lizard
species of Plasmodium (Apicomplexa, Haemosporidia), as well as in those infecting
different primate species. However, no evidence has previously been found for
host-swapping between wild birds and mammals. METHODS: This paper presents the
results of the sampling of blood parasites of wild-captured bats from Madagascar
and Cambodia. The presence of Haemosporidia infection in these animals is
confirmed and cytochrome b gene sequences were used to construct a phylogenetic
analysis. RESULTS: Results reveal at least three different and independent
Haemosporidia evolutionary histories in three different bat lineages from
Madagascar and Cambodia. CONCLUSION: Phylogenetic analysis strongly suggests
multiple host-switching of Haemosporidia parasites in bats with those from avian
and primate hosts.
PMID- 18045506
TI - A rare case of primary mesenteric gastrointestinal stromal tumor with metastasis
to the cervix uteri.
AB - BACKGROUND: Gastrointestinal stromal tumors are CD117 (C Kit) positive
mesenchymal neoplasms, that may arise anywhere in the gastrointestinal tract.
Their current therapy is imatinib mesylate before or after surgery. CASE
PRESENTATION: We describe a case of 17-year-old female with metastasis to the
cervix uteri of a primary mesenteric gastrointestinal tumor. CONCLUSION: Surgery
remains the mainstay of known curative treatment. The manifestations of GIST are
not restricted to the typical locations within the bowel; may have very unusual
metastatic sites or infiltrations per continuitatem.
PMID- 18045507
TI - Comparison of genomes of three Xanthomonas oryzae bacteriophages.
AB - BACKGROUND: Xp10 and OP1 are phages of Xanthomonas oryzae pv. oryzae (Xoo), the
causative agent of bacterial leaf blight in rice plants, which were isolated in
1967 in Taiwan and in 1954 in Japan, respectively. We recently isolated the Xoo
phage Xop411. RESULTS: The linear Xop411 genome (44,520 bp, 58 ORFs) sequenced
here is 147 bp longer than that of Xp10 (60 ORFs) and 735 bp longer than that of
OP1 (59 ORFs). The G+C contents of OP1 (51%) and Xop411 and Xp10 (52% each) are
less than that of the host (65%). The 9-bp 3'-overhangs (5'-GGACAGTCT-3') in
Xop411 and Xp10 are absent from OP1. More of the deduced Xop411 proteins share
higher degrees of identity with Xp10 than with OP1 proteins, while the right end
of the genomes of Xp10 and OP1, containing all predicted promoters, share
stronger homology. Xop411, Xp10, and OP1 contain 8, 7, and 6 freestanding HNH
endonuclease genes, respectively. These genes can be classified into five groups
depending on their possession of the HNH domain (HNN or HNH type) and/or AP2
domain in intact or truncated forms. While the HNN-AP2 type endonuclease genes
dispersed in the genome, the HNH type endonuclease genes, each with a unique
copy, were located within the same genome context. Mass spectrometry and N
terminal sequencing showed nine Xop411 coat proteins, among which three were
identified, six were assigned as coat proteins (4) and conserved phage proteins
(2) in Xp10. The major coat protein, in which only the N-terminal methionine is
removed, appears to exist in oligomeric forms containing 2 to 6 subunits. The
three phages exhibit different patterns of domain duplication in the N-terminus
of the tail fiber, which are involved in determination of the host range. Many
short repeated sequences are present in and around the duplicated domains.
CONCLUSION: Geographical separation may have confined lateral gene transfer among
the Xoo phages. The HNN-AP2 type endonucleases were more likely to transfer their
genes randomly in the genome and may degenerate after successful transmission.
Some repeated sequences may be involved in duplication/loss of the domains in the
tail fiber genes.
PMID- 18045508
TI - An exploration of the use of simple statistics to measure consensus and stability
in Delphi studies.
AB - BACKGROUND: The criteria for stopping Delphi studies are often subjective. This
study aimed to examine whether consensus and stability in the Delphi process can
be ascertained by descriptive evaluation of trends in participants' views.
METHODS: A three round email-based Delphi required participants (n = 12) to
verify their level of agreement with 8 statements, write comments on each if they
considered it necessary and rank the statements for importance. Each statement
was analysed quantitatively by the percentage of agreement ratings, importance
rankings and the amount of comments made for each statement, and qualitatively
using thematic analysis. Importance rankings between rounds were compared by
calculating Kappa values to observe trends in how the process impacts on
subject's views. RESULTS: Evolution of consensus was shown by increase in
agreement percentages, convergence of range with standard deviations of
importance ratings, and a decrease in the number of comments made. Stability was
demonstrated by a trend of increasing Kappa values. CONCLUSION: Following the
original use of Delphi in social sciences, Delphi is suggested to be an effective
way to gain and measure group consensus in healthcare. However, the proposed
analytical process should be followed to ensure maximum validity of results in
Delphi methodology for improved evidence of consensual decision-making.
PMID- 18045509
TI - The influence of acculturation on breast-feeding initiation and duration in low
income women in the US.
AB - While the 'immigrant health paradox' posits better health behaviours and outcomes
for immigrants upon arrival to the US, research suggests that this advantage may
deteriorate over time. This study analysed the relationship of acculturation and
breast-feeding initiation and duration among a sample of predominantly Latina,
low-income women in the US. The four measures of acculturation included: mother's
nativity (foreign born vs US born), mother's parents' nativity (foreign born vs
US born), years of US residence (<8 years vs > or =8 years) and a dichotomous
measure of language acculturation adapted from three items on Marin's
acculturation scale (preferred language spoken at home, reading language and
writing language) as exclusive use of native language versus non-exclusive use
(mixed or English only) (Marin et al., 1987; Marin & Gamba, 1996). Final
multivariable models showed that mothers who exclusively used their native
language were more likely to initiate breast-feeding as well as breast-feed for
longer duration compared with mothers with non-exclusive use, whereas years of US
residence and mother's nativity were not significantly associated with breast
feeding initiation or duration. Mother's parents' nativity also emerged as a
significant predictor of breast-feeding duration, both within final models for
immigrants and across study participants. Programmes providing nutrition
education to low-income women may wish to consider the role of language as an
important determinant of breast-feeding. The role of mother's parents' nativity
on breast-feeding practices deserves exploration in future studies, as the
cultural practices taught by family members born outside the US may exert strong
pressure within immigrant families now living in the US.
PMID- 18045510
TI - Pathogenesis of infantile haemangioma: new molecular and cellular insights.
AB - Infantile haemangioma is the most common tumour of infancy, yet the origin of
these lesions remains controversial and the predictable life cycle is poorly
understood. Much new information on infantile haemangiomas has emerged over the
past decade, but experts continue to debate fundamental features, including cell
of origin, nonrandom distribution, and mechanisms regulating the sometimes
explosive growth and slow involution. The development of useful laboratory models
has been difficult, in turn restricting the development of treatment options
available to the clinician. Despite this, new research and creative thinking has
spawned several hypotheses on the origin of these tumours and their interesting
clinical behaviour, including suggestions of an intrinsic defect in local
endothelial cells, a contribution of circulating endothelial progenitors or
haemangioblasts, embolisation of shed placental cells and developmental field
defects. While no single hypothesis seems to describe all features of infantile
haemangioma, continued research seeks to integrate these ideas, create a better
understanding of these important tumours and bring new treatments to the clinic.
PMID- 18045511
TI - Spinal cord injuries from road traffic crashes in southeastern Iran.
AB - OBJECTIVE: To analyze the data of patients with spinal cord injury (SCI) induced
by road traffic crashes in southeastern Iran for better understanding the pattern
of these injuries and therefore for better designing health system planning.
METHODS: In this historical cohort study, the patients who had been transferred
to Level I trauma center in southeastern Iran due to road traffic accidents with
radiographic documented SCI were evaluated. RESULTS: Among 64 patients with SCI,
38 patients (59.4%, 36 males and 2 females, aged 27.42 years+/-9.44 years on
average) were injured by road traffic accidents. Car and motorcycle accidents
were responsible for 26 cases (68.4%) and 12 cases (31.6%), respectively. And 31
patients (81.6%) had complete SCI. Conus medularis (T12-L2) was the most affected
level. CONCLUSIONS: Results are discussed in terms of preventive measures,
specifically those concerning the use of restraint and helmet and driving
behavior. This study should be extended nationally to gain a larger case series
so that the SCI risk of particular vehicle configurations, considering other
crash factors, can be more precisely quantified and the characteristics for low
occurrence of SCI can be more precisely identified.
PMID- 18045512
TI - Biomechanical evaluation of vertebroplasty using calcium sulfate cement for
thoracolumbar burst fractures.
AB - OBJECTIVE: To evaluate the biomechanical performance of vertebroplasty using
calcium sulfate cement for thoracolumbar burst fractures. METHODS: Sixteen bovine
thoracolumbar spines (T11-L1) were divided into 4 groups (A,B,C and D). After
burst-fracture model was created, 12 vertebral bodies in Groups A, B and C were
augmented with calcium sulfate cement (CSC), calcium phosphate cement (CPC) and
polymethylmethacrylate (PMMA) bone cement, respectively. Each anterior vertebral
body height was measured with a caliper at 4 time points: intact conditions
(HInt), post-fracture (HFr), post-reduction (HRe) and post-vertebroplasty (HVP).
The filling volume of 3 different bone cements was also measured. Each vertebral
body was compressed at 0.5 mm/s using a hinged plating system on a materials
testing machine to 50% of the post-vertebroplasty height to determine strength
and stiffness. Difference was checked using t test or One-way ANOVA. RESULTS: The
average strike energy was 66.2 J. Vertebroplasty with different cements could
sustain vertebral height. The average filling volume of bone cement in 3 groups
was 4.35 ml (CSC), 3.72 ml (CPC) and 3.95 ml (PMMA), respectively, and there was
no statistically significant difference among them (P larger than 0.05).
Vertebroplasty with PMMA completely restored strength (116%) and stiffness
(105%). CSC or CPC partly recovered vertebral strength and stiffness. However,
greater strength restoration was got with CSC (1659 N) as compared with CPC
(1011N, P less than 0.01). Regarding stiffness, differences between CSC (140
N/mm+/-40 N/mm)and the other two bone cements (CPC:148 N/mm+/-33 N/mm, PMMA:236
N/mm+/-97 N/mm) were not significant (P larger than 0.05). CONCLUSIONS: For a
burst-fracture of calf spine, use of CSC for vertebroplasty yields similar
vertebral stiffness as compared with PMMA or CPC. Although augmentation with CSC
partly obtains the normal strength, this treatment still can be applied in
thoracolumbar burst fractures with other instrumental devices in light of its
bioactivation.
PMID- 18045513
TI - A follow-up study of arthroscopic combined reconstruction of anterior and
posterior cruciate ligaments with allograft patellar tendon.
AB - OBJECTIVE: To evaluate the therapeutic effect of combined reconstruction of
anterior cruciate ligament (ACL) and posterior cruciate ligament (PCL)
simultaneously by using allograft patellar tendon under arthroscopy. METHODS:
From May 2003 to November 2005, 10 cases of ruptured ACL and PCL were fixated
with compressed screws and reconstructed under arthroscopy with allograft
patellar tendon simultaneously. The clinical results were evaluated according to
IKDC, Lysholm, and Tegner clinical rating scales. RESULTS: All patients were
followed up for 12-30 months (mean: 18 months). At the last follow-up, there was
no knee extension limitation and knee flexion was between 120 degree and 135
degree,with an average of 128.38 degree. The Lysholm score of the 10 cases was
66.5+/-5.6 before operation and 89.8+/-3.4 at last follow up. The difference was
statistically significant (P less than 0.01). The average Tegner activity score
decreased from 6.9+/-1.7 (range: 4-9) before injury to 5.5+/-1.6 (rang:2-9) at
the follow-up (P equal to 0.53). At the end of follow-up, IKDC score was graded
as A in 4 cases (40.0%), B in 5 (50.0%), and C in 1 (10.0%). Of the 10 patients,
8 returned to the same sports level as before injury and 2 were under the level.
CONCLUSION: Arthroscopic combined reconstruction of ACL and PCL with allograft
patellar tendon has the advantages of minimal trauma in surgery and reliable
satisfactory outcome.
PMID- 18045514
TI - Effect of allograft compound vertebra on vertebral reconstruction in rabbits.
AB - OBJECTIVE: To study the effect of allograft compound vertebra on vertebral
reconstruction in rabbits so as to provide biomechanical direction for
manufacturing and selecting vertebral reconstruction materials. METHODS: Twenty
five healthy New Zealand white rabbits were divided randomly into three groups:
normal group (Group A, n equal to 5),iliac bone graft group (Group B, n equal to
10) and allograft compound vertebra group (Group C, equal to 10). After C4 was
resected, iliac bone implantation and allograft bone cage transplantation were
fulfilled in Group B and Group C, respectively. Every 5 rabbits from Group B and
Group C were selected to test the biomechanical strength and biological activity
one and two months postoperatively. RESULTS: No significant statistical
difference was found between Group A and Group C one and two months
postoperatively (P larger than 0.05). The biomechanical strength of Group B was
much weaker than that of Group A and Group C one month postoperatively (P less
than 0.05), but at two months postoperatively, no statistical difference was
found among the three groups. The biological activity and vertebral moulding
ability of Group C were better than those of Group B at one and two months
postoperatively. CONCLUSIONS: Compound vertebra, which is made up of allograft
cortical bone cage and autogenous cancellous bone, shows instantaneous and
permanent biomechanical stability and biological activity, therefore, it is an
ideal material for vertebral reconstruction.
PMID- 18045515
TI - Regulation of vascular endothelial growth factor on the expression of fracture
healing-related factors.
AB - OBJECTIVE: To study the effect of vascular endothelial growth factor (VEGF)and
anti-VEGF on the expression of fracture healing-related factors and observe
pathological changes at fractured sites. METHODS: Fracture models were
established in 105 New Zealand white rabbits and they were randomly divided into
control group, VEGF group and anti-VEGF group. The relevant factors expression at
fractured sites was assayed and pathological changes were observed in decalcified
samples at 8, 24, 72 hours and 1,3,5,8 weeks after fracture. RESULTS: After
application of VEGF, the expression of BMP appeared earlier and expression time
lasted longer. On the contrary, anti-VEGF completely inhibited the expression of
BMP. The fractured sites were filled with fibrous callus, cartilaginous callus
and bony callus at the 3rd week and woven bone was constructed at the 5th week.
Fracture healing was accomplished at the 8th week in VEGF group. In anti-VEGF
polyclonal antibody group, cellular necrosis increased at early period.
Continuous focal necrosis was seen in the fractured sites from the 1st week to
5th week. Vascularization reduced obviously at the 3rd week. CONCLUSIONS:
Fracture healing is a result of mutual regulation and coordination among many
factors. VEGF may be an important factor in fracture healing.
PMID- 18045516
TI - Pedicle screw fixation against burst fracture of thoracolumbar vertebrae.
AB - OBJECTIVE: To analyze the application of vertebral pedicle screw fixation in the
treatment of burst fracture of thoracolumbar vertebrae. METHODS: A total of 48
cases (31 males and 17 females, aged from 18-72 years, mean: 41.3 years) with
thoracolumbar vertebrae burst fracture were treated by pedicle screw system since
January 2004. According to the AO classification of thoracolumbar vertebrae
fracture, there are 36 cases of Type A, 9 of Type B and 3 of Type C. RESULTS: All
patients were followed up for 6-25 months (average 12 months), no secondary nerve
root injury, spinal cord injury, loosening or breakage of pedicle screw were
observed. The nerve function of 29 patients with cauda equina nerve injury was
restored to different degrees. The vertebral body height returned to normal level
and posterior process angle was rectified after operation. CONCLUSIONS: The
vertebral pedicle screw internal fixation was technologically applicable, which
can efficiently reposition and stabilize the bursting fractured vertebrae,
indirectly decompress canalis spinalis, maintain spine stability, scatter stress
of screw system, reduce the risk of loosening or breakage of screw and loss of
vertebral height, and prevent the formation of posterior convex after operation.
PMID- 18045517
TI - Construction and identification of immortalized rat astrocyte cell line
expressing enkephalin.
AB - OBJECTIVE: To provide a sound cell source for further ex-vivo gene therapy for
chronic pain, we attempt to develop an immortalized rat astrocyte cell line that
expresses enkephalin regulated by doxycycline. METHODS: Retrovirus infection
method was employed to develop an immortalized rat astrocyte cell line that could
express enkephalin regulated by doxycycline. The hPPE gene expression level of
immoralized astroyte cells (IAC)/ hPPE was detected by RT-PCR, indirect
immunofluorescence staining and radioimmunoassay. RESULTS: IAC carrying Tet-on
system transfected with preproenkephalin gene could secrete enkephalin that was
regulated by doxycycline in a dose-dependent manner and hPPE gene activation
could be repeated in on-off-on cycles through administration or removal of
doxycycline. CONCLUSION: An immortalized rat astrocyte cell line that secrete
enkephalin under the control of doxycycline is established successfully, which
provides a research basis for transgenic cell transplantation for analgesia.
PMID- 18045518
TI - First aid strategy for severe traumatic patients in hospital.
AB - OBJECTIVE: To study the emergency management principles of severe trauma in
hospital (injury severity score larger than or equal to 16). METHODS: We used
"ATP principle" to manage severe traumatic patients. The ATP principle is
composed of: 1) attending surgeons offering initial management (A); 2) teamwork
commencement immediately after patients admitted to hospital (T); 3) parallel
principle, ie, emergency resuscitation, evaluation and laboratory test performed
simultaneously (P). Clinical effects before and after applying ATP principle were
retrospectively analyzed and compared. RESULTS: During January 1, 2002 to
December 31, 2003, 338 patients were treated without applying ATP principle, in
which ISS was 25.9+/-6.4, 152 cases died with the mortality being 39.2%, and the
time stayed in emergency department and the time to operation room after
admission were (102.8+/-16.7) min, (140.3+/-20.6) min, respectively. During
January 1, 2004 to December 31, 2005, 438 patients were treated based on ATP
principle, in which ISS was 28.6+/-7.8, 87 cases died with the mortality being
19.9%, and the time in emergency department and the time to operation room after
admission were (69.5+/-11.5) min, (89.6+/-9.3) min, respectively. ISS showed no
significant difference between the two groups (P larger than 0.05) but the
mortality, the time stayed in emergency department and the time to operation room
after admission were greatly reduced and showed significant difference between
the two groups (P less than 0.05). CONCLUSIONS: Applying ATP principle to treat
severe traumatic patients can shorten emergency treatment time in hospital and
decrease mortality.
PMID- 18045519
TI - Relationship among bone mineral density, collagen composition, and biomechanical
properties of callus in the healing of osteoporotic fracture.
AB - OBJECTIVE: To study the change and relationship among bone mineral density (BMD),
collagen composition and biomechanical properties of the callus in the healing
process of osteoporotic fracture. METHODS: The osteoporotic rat model and
fracture model were established through bilateral ovariectomy (OVX) and osteotomy
of the middle shaft of the right hind tibiae, respectively. Ninety female SD rats
were randomly divided into OVX group and sham group. With the samples of blood
and callus, roentgenographic and histological observation were performed for the
assessment of the healing progress of the fracture, and the serum concentration
of TRAP-5b, proportion of type I collagen, BMD and biomechanical properties of
the callus were measured. RESULTS: The OVX group experienced a significant delay
of fracture healing. The mean serum concentration of TRAP-5b of rats in the OVX
group was much higher than that in the sham group after the operation (P less
than 0.05), but the difference at the same time point after fracture was smaller
than that before fracture (P less than 0.05). The BMD of the callus in both
groups reached the peak value at the 6 th week after fracture while the
proportion of the type I collagen and the biomechanical strength reached the peak
at the 8th week. CONCLUSIONS: The deficiency of estrogen after the ovariectomy
could induce the up-regulation of the osteoclasts activities, whereas the potency
of further activation after fracture was depressed. Although the synthesis of
collagen together with its mineralization determines the biomechanical properties
of new bone, the accumulation of collagen could be assessed as an index in the
prediction of biomechanical strength of bones independent of the bone mineral
deposition.
PMID- 18045520
TI - Surgery for posttraumatic syringomyelia: a retrospective study of seven patients.
AB - OBJECTIVE: To analyze retrospectively the clinical symptoms, signs, radiological
findings and results of treatment of posttraumatic syringomyelia. METHODS: The
data of 7 patients with posttraumatic syringomyelia confirmed by computerized
tomography (CT) and magnetic resonance imaging (MRI) in our hospital between 1999
and 2004 were reviewed retrospectively. The patients underwent decompressive
laminectomy or syringo-subarachnoid (S-S) shunting with microsurgery. Long-term
follow-up was available (range: 13-65 months). RESULTS: The major clinical
manifestations of posttraumatic syringomyelia usually included the onset of
increasing signs and the development of new symptoms after an apparently stable
period. The clinical symptoms included pain, sensory disturbance, weakness, and
problems in autonomic nerves. Syrinx existed merely at the cervical level in 4
cases and extended downward to the thoracic levels in the other 3 cases. One case
underwent decompressive laminectomy, 6 cases were treated by S-S shunting. During
the early postoperative period, all the patients showed an improvement of
symptoms of syrinx without major complication or death. The decreased size or
collapse of the syrinx was demonstrated by postoperative MRI. CONCLUSIONS:
Posttraumatic syringomyelia is a disabling sequela of spinal cord injury,
developing months to years after spinal injury. MRI is the standard diagnostic
technique for syringomyelia. The patients with posttraumatic syringomyelia
combined with progressive neurological deterioration should be treated with
operations. S-S shunting procedure is effective in some patients with
posttraumatic syringomyelia. Decompressive procedure may be an alternative
primary surgical treatment for patients with kyphosis and cord compression.
PMID- 18045521
TI - Orthopedic trauma of limbs associated with vascular injuries.
AB - OBJECTIVE: To evaluate the treatment for patients with major vascular injuries
associated with traumatic orthopedic injuries. METHODS: A total of 196 patients,
aged from 4-67 years with the mean age of 29.88 years, had major vascular
injuries associated with traumatic orthopedic injuries and were treated in our
hospital in a period of 44 years. The most common mechanism of trauma was blunt
trauma (67.3%), open injuries accounted for 32.4% and 54.5% of the injuries were
located in the lower extremities. The vascular injury frequently happened in the
femoral artery (26.7%) and popliteal artery (20.3%). The treatment principle
consisted of aggressive resuscitation, Doppler imaging and stable bone internal
fixation with subsequent vascular repair and debridement. The vascular repair for
injuries included end-to-end anastomosis (80 cases, 39.6%), interpositional vein
graft (94, 46.5%), vascular decompression through fracture distraction (18,
8.9%), arterial ligation (6, 3.0%), vein patch (2, 1.0%), bypass graft (2, 1.0%),
venous repair including autogenous vein graft (9, 24.3%) and ligation (28, 75.7%)
and prophylactical fasciotomy (15, 7.4%). Postoperative amputation was performed
in 16 cases (16.3%). RESULTS: No intraoperative death was observed and all
fractures united within 6 months. Limbs were salvaged in 180 patients (91.8%).
Among these patients, early complications were found in 19 patients (9.7%) and
late complications were observed in 8 patients (4.1%). CONCLUSIONS: A well
organized approach, based on a specific treatment principle, not only improves
clinical outcome but also does good to excellent functional recovery for patients
with severe orthopedic injuries and concomitant vascular lesion.
PMID- 18045522
TI - Research progress in mechanism of traumatic brain injury affecting speed of
fracture healing.
AB - In patients who have sustained traumatic brain injury with associated extremity
fracture, there is often a clinical perception that the rate of new bone
formation around the fracture site increases.(1) An overgrowth of callus is
observed and ectopic ossification even occurs in the muscle,(2) but the mechanism
remains unclear. Whether this rapidly-formed new bone is fracture callus or a
variant of heterotopic ossification, a common complication of traumatic brain
injury, is the subject of some debates.(3) It is generally believed that the
process of fracture healing is a recapitulation of normal embryonic
osteogenesis,(4) i.e. ,a series of changes in the intracellular and extracellular
matrix, which start from the injury of cells, blood vessels and bone matrix to a
complete reconstruction of the bone.(5) It is a complex process influenced by
multi-level and multi-route regulations of the general and local environments in
the body, and many growth factors participate in this process, which is the base
of bone healing;(6) whatever methods are used to promote bone healing, they are
based on accelerating the changes of growth factors.(7) So it is worth making a
thorough study on the mechanism, by which traumatic brain injury influences the
expression levels of growth factors and consequently affects the speed of bone
healing.
PMID- 18045523
TI - Abdominal splenosis: CT and MRI features of 2 cases.
AB - Splenosis is ectopic autotransplantation of splenic tissue after splenic trauma
or surgery.(1) The most frequent locations are the surface of visceral peritoneum
and parietal peritoneum. Liver and retroperitoneum are rarely involved.(1,2) We
present here 2 cases of splenosis involving the liver and retroperitoneum with
clinical information, imaging findings, and literature review.
PMID- 18045524
TI - ChIP-Seq data reveal nucleosome architecture of human promoters.
PMID- 18045526
TI - Induction of pluripotency: from mouse to human.
AB - In this issue of Cell, Takahashi et al. (2007) transfer their seminal work on
somatic cell reprogramming from the mouse to human. By overexpressing the
transcription factor quartet of Oct4, Sox2, Klf4, and c-Myc in adult human
fibroblasts, they successfully isolate human pluripotent stem cells that resemble
human embryonic stem cells by all measured criteria. This is a significant
turning point in nuclear reprogramming research with broad implications for
generating patient-specific pluripotent stem cells for research and therapeutic
applications.
PMID- 18045527
TI - DNA mismanagement leads to immune system oversight.
AB - Trex1, a major 3' DNA exonuclease in mammalian cells, has been thought to act
primarily in DNA replication or repair. Surprisingly, the major phenotype
resulting from Trex1 deficiency in humans and mice is a chronic inflammatory
disease. In this issue, Yang et al. (2007) report that Trex1 deficiency causes
chronic activation of the ATM-dependent DNA-damage checkpoint and accumulation of
a discrete single-stranded DNA species in the cytoplasm, either of which could
contribute to chronic inflammation.
PMID- 18045528
TI - tRNA traffic meets a cell-cycle checkpoint.
AB - The molecular pathways linking DNA-damage checkpoint proteins to cell-cycle
progression remain largely unresolved. Findings by Ghavidel et al. (2007)
reported in this issue suggest that tRNA trafficking and the transcription factor
Gcn4 are key intermediates in the process by which yeast cells detect DNA damage
and delay cell-cycle progression at the G1 to S phase transition.
PMID- 18045529
TI - Entosis: it's a cell-eat-cell world.
AB - In this issue, Overholtzer et al. (2007) describe a new nonapoptotic cell death
pathway termed "entosis" in mammary epithelial cells that have detached from the
extracellular matrix (ECM). Given that surviving detachment from the ECM is an
event associated with the progression of epithelial cancers, entosis--along with
apoptosis--may contribute to tumor suppression by promoting the elimination of
cancer cells.
PMID- 18045530
TI - Stem cells on patrol.
AB - Hematopoietic stem cells (HSCs) exist in the bone marrow and circulate in the
blood. In this issue, Massberg et al. (2007) report that HSCs also travel through
the lymphatic system. Furthermore, migration of HSCs--which express Toll-like
receptors--allows the recognition of pathogenic molecules in peripheral tissues
thereby promoting the local generation of innate immune cells at the site of
infection.
PMID- 18045531
TI - cis-Regulatory elements within the odorant receptor coding region.
AB - Complex regulatory mechanisms lead to the expression in each olfactory neuron of
one allele of only one of the 1000 odorant receptor (OR) genes. In this issue,
Nguyen et al. (2007) provide evidence that regulatory elements residing within
the coding region of OR genes are involved in the singularity of OR gene
expression.
PMID- 18045532
TI - Cytokinesis: placing and making the final cut.
AB - Cytokinesis is the process by which cells physically separate after the
duplication and spatial segregation of the genetic material. A number of general
principles apply to this process. First the microtubule cytoskeleton plays an
important role in the choice and positioning of the division site. Once the site
is chosen, the local assembly of the actomyosin contractile ring remodels the
plasma membrane. Finally, membrane trafficking to and membrane fusion at the
division site cause the physical separation of the daughter cells, a process
termed abscission. Here we will discuss recent advances in our understanding of
the mechanisms of cytokinesis in animals, yeast, and plants.
PMID- 18045533
TI - Trex1 exonuclease degrades ssDNA to prevent chronic checkpoint activation and
autoimmune disease.
AB - Trex1 is the major 3' DNA exonuclease in mammalian cells, and mutations in the
human TREX1 gene can cause Aicardi-Goutieres syndrome, characterized by perturbed
immunity. Similarly, Trex1(-/-) mice have an autoinflammatory phenotype; however,
the mechanism of Trex1-deficient disease is unknown. We report that Trex1,
ordinarily associated with the endoplasmic reticulum (ER), relocalizes to the S
phase nucleus after gamma irradiation or hydroxyurea treatment. Notably, Trex1
deficient cells show defective G1/S transition and chronic ATM-dependent
checkpoint activation, even in the absence of exogenous stress, correlating with
persistent single-stranded DNA molecules produced in S phase, which accumulate in
the ER. Our data indicate that Trex1 acts on a single-stranded DNA polynucleotide
species generated from processing of aberrant replication intermediates to
attenuate DNA damage checkpoint signaling and prevent pathological immune
activation.
PMID- 18045534
TI - Impaired tRNA nuclear export links DNA damage and cell-cycle checkpoint.
AB - In response to genotoxic stress, cells evoke a plethora of physiological
responses collectively aimed at enhancing viability and maintaining the integrity
of the genome. Here, we report that unspliced tRNA rapidly accumulates in the
nuclei of yeast Saccharomyces cerevisiae after DNA damage. This response requires
an intact MEC1- and RAD53-dependent signaling pathway that impedes the nuclear
export of intron-containing tRNA via differential relocalization of the
karyopherin Los1 to the cytoplasm. The accumulation of unspliced tRNA in the
nucleus signals the activation of Gcn4 transcription factor, which, in turn,
contributes to cell-cycle arrest in G1 in part by delaying accumulation of the
cyclin Cln2. The regulated nucleocytoplasmic tRNA trafficking thus constitutes an
integral physiological adaptation to DNA damage. These data further illustrate
how signal-mediated crosstalk between distinct functional modules, namely, tRNA
nucleocytoplasmic trafficking, protein synthesis, and checkpoint execution,
allows for functional coupling of tRNA biogenesis and cell-cycle progression.
PMID- 18045535
TI - Ribosomal protein S3: a KH domain subunit in NF-kappaB complexes that mediates
selective gene regulation.
AB - NF-kappaB is a DNA-binding protein complex that transduces a variety of
activating signals from the cytoplasm to specific sets of target genes. To
understand the preferential recruitment of NF-kappaB to specific gene regulatory
sites, we used NF-kappaB p65 in a tandem affinity purification and mass
spectrometry proteomic screen. We identified ribosomal protein S3 (RPS3), a KH
domain protein, as a non-Rel subunit of p65 homodimer and p65-p50 heterodimer DNA
binding complexes that synergistically enhances DNA binding. RPS3 knockdown
impaired NF-kappaB-mediated transcription of selected p65 target genes but not
nuclear shuttling or global protein translation. Rather, lymphocyte-activating
stimuli caused nuclear translocation of RPS3, parallel to p65, to form part of NF
kappaB bound to specific regulatory sites in chromatin. Thus, RPS3 is an
essential but previously unknown subunit of NF-kappaB involved in the regulation
of key genes in rapid cellular activation responses. Our observations provide
insight into how NF-kappaB selectively controls gene expression.
PMID- 18045536
TI - Spatiotemporal coupling of cAMP transporter to CFTR chloride channel function in
the gut epithelia.
AB - Cystic fibrosis transmembrane conductance regulator (CFTR) is a cAMP-regulated
chloride channel localized at apical cell membranes and exists in macromolecular
complexes with a variety of signaling and transporter molecules. Here, we report
that the multidrug resistance protein 4 (MRP4), a cAMP transporter, functionally
and physically associates with CFTR. Adenosine-stimulated CFTR-mediated chloride
currents are potentiated by MRP4 inhibition, and this potentiation is directly
coupled to attenuated cAMP efflux through the apical cAMP transporter. CFTR
single-channel recordings and FRET-based intracellular cAMP dynamics suggest that
a compartmentalized coupling of cAMP transporter and CFTR occurs via the PDZ
scaffolding protein, PDZK1, forming a macromolecular complex at apical surfaces
of gut epithelia. Disrupting this complex abrogates the functional coupling of
cAMP transporter activity to CFTR function. Mrp4 knockout mice are more prone to
CFTR-mediated secretory diarrhea. Our findings have important implications for
disorders such as inflammatory bowel disease and secretory diarrhea.
PMID- 18045537
TI - Force-induced bidirectional stepping of cytoplasmic dynein.
AB - Cytoplasmic dynein is a minus-end-directed microtubule motor whose mechanism of
movement remains poorly understood. Here, we use optical tweezers to examine the
force-dependent stepping behavior of yeast cytoplasmic dynein. We find that
dynein primarily advances in 8 nm increments but takes other sized steps (4-24
nm) as well. An opposing force induces more frequent backward stepping by dynein,
and the motor walks backward toward the microtubule plus end at loads above its
stall force of 7 pN. Remarkably, in the absence of ATP, dynein steps processively
along microtubules under an external load, with less force required for minus-end
than for plus-end-directed movement. This nucleotide-independent walking reveals
that force alone can drive repetitive microtubule detachment-attachment cycles of
dynein's motor domains. These results suggest a model for how dynein's two motor
domains coordinate their activities during normal processive motility and provide
new clues for understanding dynein-based motility in living cells.
PMID- 18045538
TI - A nonapoptotic cell death process, entosis, that occurs by cell-in-cell invasion.
AB - Epithelial cells require attachment to extracellular matrix (ECM) to suppress an
apoptotic cell death program termed anoikis. Here we describe a nonapoptotic cell
death program in matrix-detached cells that is initiated by a previously
unrecognized and unusual process involving the invasion of one cell into another,
leading to a transient state in which a live cell is contained within a
neighboring host cell. Live internalized cells are either degraded by lysosomal
enzymes or released. We term this cell internalization process entosis and
present evidence for entosis as a mechanism underlying the commonly observed
"cell-in-cell" cytological feature in human cancers. Further we propose that
entosis is driven by compaction force associated with adherens junction formation
in the absence of integrin engagement and may represent an intrinsic tumor
suppression mechanism for cells that are detached from ECM.
PMID- 18045539
TI - Integrating patterning signals: Wnt/GSK3 regulates the duration of the BMP/Smad1
signal.
AB - BMP receptors determine the intensity of BMP signals via Smad1 C-terminal
phosphorylations. Here we show that a finely controlled cell biological pathway
terminates this activity. The duration of the activated pSmad1(Cter) signal was
regulated by sequential Smad1 linker region phosphorylations at conserved MAPK
and GSK3 sites required for its polyubiquitinylation and transport to the
centrosome. Proteasomal degradation of activated Smad1 and total
polyubiquitinated proteins took place in the centrosome. Inhibitors of the Erk,
p38, and JNK MAPKs, as well as GSK3 inhibitors, prolonged the duration of a pulse
of BMP7. Wnt signaling decreased pSmad1(GSK3) antigen levels and redistributed it
from the centrosome to cytoplasmic LRP6 signalosomes. In Xenopus embryos, it was
found that Wnts induce epidermis and that this required an active BMP-Smad
pathway. Epistatic experiments suggested that the dorsoventral (BMP) and
anteroposterior (Wnt/GSK3) patterning gradients are integrated at the level of
Smad1 phosphorylations during embryonic pattern formation.
PMID- 18045540
TI - Immunosurveillance by hematopoietic progenitor cells trafficking through blood,
lymph, and peripheral tissues.
AB - Constitutive egress of bone marrow (BM)-resident hematopoietic stem and
progenitor cells (HSPCs) into the blood is a well-established phenomenon, but the
ultimate fate and functional relevance of circulating HSPCs is largely unknown.
We show that mouse thoracic duct (TD) lymph contains HSPCs that possess short-
and long-term multilineage reconstitution capacity. TD-derived HSPCs originate in
the BM, enter the blood, and traffic to multiple peripheral organs, where they
reside for at least 36 hr before entering draining lymphatics to return to the
blood and, eventually, the BM. HSPC egress from extramedullary tissues into lymph
depends on sphingosine-1-phosphate receptors. Migratory HSPCs proliferate within
extramedullary tissues and give rise to tissue-resident myeloid cells,
preferentially dendritic cells. HSPC differentiation is amplified upon exposure
to Toll-like receptor agonists. Thus, HSPCs can survey peripheral organs and can
foster the local production of tissue-resident innate immune cells under both
steady-state conditions and in response to inflammatory signals.
PMID- 18045541
TI - Prominent roles for odorant receptor coding sequences in allelic exclusion.
AB - Mammalian odorant receptors (ORs) are crucial for establishing the functional
organization of the olfactory system, but the mechanisms controlling their
expression remain largely unexplained. Here, we utilized a transgenic approach to
explore OR gene regulation. We determined that although olfactory sensory neurons
(OSNs) are capable of supporting expression of multiple functional ORs, several
levels of control ensure that each neuron normally expresses only a single
odorant receptor. Surprisingly, this regulation extends beyond endogenous ORs
even preventing expression of transgenes consisting of OR-coding sequences driven
by synthetic promoters. Thus, part of the intrinsic feedback system must rely on
elements present in the OR-coding sequence. Notably, by expressing the same
transgenic ORs precociously in immature neurons, we have overcome this
suppression and established a generic method to express any OR in approximately
90% of OSNs. These results provide important insights into the hierarchy of OR
gene expression and the vital role of the OR-coding sequence in this regulation.
PMID- 18045542
TI - SnapShot: EGFR signaling pathway.
PMID- 18045544
TI - Oxidative stress causes a decline in lysosomal integrity during hypothermic
incubation of rat hepatocytes.
AB - Oxidative stress during cold preservation has been identified as a significant
cause of cell injury but the process by which injury occurs is poorly understood.
We examined loss of lysosomal integrity as a possible cause of cell injury during
extended cold storage of isolated rat hepatocytes. After 21 h of hypothermia,
there was a marked decline in lysosomal integrity, which was correlated with an
increase in lipid peroxidation. When lipid peroxidation was prevented with the
antioxidant Trolox (a vitamin E analog) or the iron chelator desferrioxamine,
lysosomal integrity was preserved. In contrast, increasing lysosomal iron with
ferric chloride caused an increase in lipid peroxidation and decreased lysosomal
integrity. Loss of lysosomal integrity during cold preservation in this
experimental model was consistent with iron-initiated oxidative stress. The
progressive loss of lysosomal integrity during hypothermic incubation has the
potential to affect liver function after transplantation.
PMID- 18045543
TI - Peroxynitrite inhibits myofibrillar protein function in an in vitro assay of
motility.
AB - We determined the effects of peroxynitrite (ONOO-) on cardiac myosin, actin, and
thin filaments in order to more clearly understand the impact of this reactive
compound in ischemia/reperfusion injury and heart failure. Actin filaments,
native thin filaments, and alpha-cardiac myosin from rat hearts were exposed to
ONOO- in the presence of 2 mM bicarbonate. Filament velocities over myosin,
calcium sensitivity, and relative force generated by myosin were assessed in an
in vitro motility assay in the absence of reducing agents. ONOO- concentrations >
or =10 microM significantly reduced the velocities of thin filaments or bare
actin filaments over alpha-cardiac myosin when any of these proteins were exposed
individually. These functional deficits were linearly related to the degree of
tyrosine nitration, with myosin being the most sensitive. However, at 10 microM
ONOO- the calcium sensitivity of thin filaments remained unchanged. Cotreatment
of myosin and thin filaments, analogous to the in vivo situation, resulted in a
significantly greater functional deficit. The load supported by myosin after ONOO
exposure was estimated using mixtures experiments to be increased threefold.
These data suggest that nitration of myofibrillar proteins can contribute to
cardiac contractile dysfunction in pathologic states in which ONOO- is liberated.
PMID- 18045545
TI - Selenium inhibits 15-hydroperoxyoctadecadienoic acid-induced intracellular
adhesion molecule expression in aortic endothelial cells.
AB - Increased intracellular adhesion molecule 1 (ICAM-1) expression and enhanced
monocyte recruitment to the endothelium are critical steps in the early
development of atherosclerosis. The 15-lipoxygenase 1 (15-LOX1) pathway can
generate several proinflammatory eicosanoids that are known to enhance ICAM-1
expression within the vascular endothelium. Oxidative stress can exacerbate
endothelial cell inflammatory responses by modifying arachidonic acid metabolism
through the 15-LOX1 pathway. Because selenium (Se) influences the oxidant status
of cells and can modify the expression of eicosanoids, we investigated the role
of this micronutrient in modifying ICAM-1 expression as a consequence of enhanced
15-LOX1 activity. Se supplementation reduced ICAM-1 expression in bovine aortic
endothelial cells, an effect that was reversed with 15-LOX1 overexpression or
treatment with exogenous 15-hydroperoxyoctadecadienoic acid (15-HPETE). ICAM-1
expression increased proportionately when intracellular15-HPETE levels were
allowed to accumulate. However, changes in intracellular 15-HETE levels did not
seem to affect ICAM-1 expression regardless of Se status. Our results indicate
that Se supplementation can reduce 15-HPETE-induced expression of ICAM-1 by
controlling the intracellular accumulation of this fatty acid hydroperoxide in
endothelial cells.
PMID- 18045546
TI - Neurotoxicity from glutathione depletion is mediated by Cu-dependent p53
activation.
AB - Loss of intracellular neuronal glutathione (GSH) is an important feature of
neurodegenerative disorders including Alzheimer's disease, Parkinson's disease,
and amyotrophic lateral sclerosis. The consequences of GSH depletion include
increased oxidative damage to proteins, lipids, and DNA and subsequent cytotoxic
effects. GSH is also an important modulator of cellular copper (Cu) homeostasis
and altered Cu metabolism is central to the pathology of several
neurodegenerative diseases. The cytotoxic effects of Cu in cells depleted of GSH
are not well understood. We have previously reported that depletion of neuronal
GSH levels results in cell death from trace levels of extracellular Cu due to
elevated Cu(I)-mediated free radical production. In this study we further
examined the molecular pathway of trace Cu toxicity in neurons and fibroblasts
depleted of GSH. Treatment of primary cortical neurons or 3T3 fibroblasts with
the glutathione synthetase inhibitor buthionine sulfoximine resulted in
substantial loss of intracellular GSH and increased cytotoxicity. We found that
both neurons and fibroblasts revealed increased expression and activation of p53
after depletion of GSH. The increased p53 activity was induced by extracellular
trace Cu. Furthermore, we showed that in GSH-depleted cells, Cu induced an
increase in oxidative stress resulting in DNA damage and activation of p53
dependent cell death. These findings may have important implications for
neurodegenerative disorders that involve GSH depletion and aberrant Cu
metabolism.
PMID- 18045547
TI - The roles of thiol-derived radicals in the use of 2',7'
dichlorodihydrofluorescein as a probe for oxidative stress.
AB - 2',7'-Dichlorodihydrofluorescein (DCFH2) is one of the most widely used probes
for detecting intracellular oxidative stress, but requires a catalyst to be
oxidized by hydrogen peroxide or superoxide and reacts nonspecifically with
oxidizing radicals. Thiyl radicals are produced when many radicals are "repaired"
by thiols, but are oxidizing agents and thus potentially capable of oxidizing
DCFH2. The aim of this study was to investigate the reactivity of thiol-derived
radicals toward DCFH2 and its oxidized, fluorescent form 2',7'
dichlorofluorescein (DCF). Thiyl radicals derived from oxidation of glutathione
(GSH) or cysteine (CysSH) oxidized DCFH2 with rate constants at pH 7.4 of
approximately 4 or approximately 2x10(7) M(-1) s(-1), respectively. Both the
rates of oxidation and the yields of DCF were pH-dependent. Glutathione-derived
radicals interacted with DCF, resulting in the formation of DCFH* absorbing at
390 nm and loss of fluorescence; in contrast, cysteine-derived radicals did not
cause any depletion of DCF fluorescence. We postulate that the observed apparent
difference in reactivity between GS* and CysS* toward DCF is related to the
formation of carbon-centered, reducing radicals from base-catalyzed isomerization
of GS*. DCF formation from interaction of DCFH2 with GS* was inhibited by oxygen
in a concentration-dependent manner over the physiological range. These data
indicate that in applying DCFH2 to measure oxidizing radicals in biological
systems, we have to consider not only the initial competition between thiols and
DCFH2 for the oxidizing radicals, but also subsequent reactions of thiol-derived
radicals, together with variables--including pH and oxygen concentration--which
control thiyl radical chemistry.
PMID- 18045548
TI - Phenyl-tert-butylnitrone induces tumor regression and decreases angiogenesis in a
C6 rat glioma model.
AB - The prognosis of patients who are diagnosed with glioblastoma multiforme is very
poor, due to the difficulty of an early and accurate diagnosis and the lack of
currently efficient therapeutic compounds. The efficacy of phenyl-tert
butylnitrone (PBN) as a potential anti-glioma therapeutic drug was assessed by
magnetic resonance (MR) imaging (T(1)/T(2)-weighted imaging) and MR angiography
(time-of-flight imaging, in conjunction with a Mathematica-based program) methods
by monitoring morphologic properties, growth patterns, and angiogenic behaviors
of a moderately aggressive rat C6 glioma model. MR results from untreated rats
showed the diffusive invasiveness of C6 gliomas, with some associated
angiogenesis. PBN administration as a pretreatment was found to clearly induce a
decrease in growth rate and tumor regression as well as preventing angiogenesis.
This compound even had a 40% efficiency in reducing well-established tumors. MR
findings rivaled those from histology and angiogenesis marker immunostaining
evaluations. In this study we demonstrated the efficiency of PBN as a potential
anti-glioma drug and found it to inhibit tumor cell proliferation and prevent
vascular alterations in early stages of glioma progression. The MR methods that
we used also proved to be particularly suitable in following the angiogenic
behavior and treatment response of a potential anti-glioma agent in a rat C6
glioma model.
PMID- 18045549
TI - Beneficial effect of a short-acting NO donor for the prevention of neointimal
hyperplasia.
AB - Nitric oxide (NO)-based therapies effectively inhibit neointimal hyperplasia in
animal models of arterial injury and bypass grafting, but are not available
clinically. We created a simple, effective, locally applied NO-eluting therapy to
prevent restenosis after vascular procedures. We investigated the efficacy of
perivascular delivery of two distinctly different diazeniumdiolate NO donors, 1
[2-(carboxylato)pyrrolidin-1-yl]diazen-1-ium-1,2-diolate (PROLI/NO) (short half
life) and diazeniumdiolated poly(acrylonitrile) (PAN/NO) (long half-life), in
powder or gel form (30% poloxamer 407), at inhibiting neointimal hyperplasia
using the rat carotid artery injury model. Two weeks postinjury, all of the NO
eluting therapies successfully reduced neointimal hyperplasia. However, most
dramatically, PROLI/NO powder reduced intimal area by 91.2% (p<0.05) versus
injury alone. PROLI/NO powder was noted to reduce the medial area (40.2% vs
injury alone, p<0.05), whereas other groups showed no such effect. Three days
postinjury, each NO treatment group significantly reduced cellular proliferation.
However, inflammatory markers revealed a distinct pattern: PAN/NO groups
displayed increased leukocyte infiltration (p<0.05), whereas PROLI/NO groups
displayed less macrophage infiltration (p<0.05). In conclusion, perivascular
delivery of diazeniumdiolate NO donors in powder or gel form effectively inhibits
neointimal hyperplasia. Application of short-acting PROLI/NO powder most
effectively inhibited neointimal hyperplasia and inflammation and may represent a
simple, clinically applicable NO-eluting therapy to prevent neointimal
hyperplasia and restenosis after open vascular interventions.
PMID- 18045550
TI - Redox regulation of resveratrol-mediated switching of death signal into survival
signal.
AB - In this study, we determined the changes in the intracellular redox environment
of the heart during ischemia and reperfusion and the effects of resveratrol on
such changes. Because redox regulation by thioredoxin (Trx) plays a crucial role
in signal transduction and cytoprotection against ROS, the effects of resveratrol
on the changes in the amounts of thioredoxin were monitored in an attempt to
determine the role of intracellular thioredoxin in resveratrol-mediated changes
in intracellular redox environment and its role in resveratrol-mediated
cardioprotection. Rats were randomly divided into four groups: group I, control
(rats were gavaged with vehicle only); group II, rats were gavaged with 2.5 mg/kg
body wt resveratrol per day for 10 days; group III, rats were given resveratrol
for 10 days, but on the 7th day, they were treated with shRNA against Trx-1;
group IV, rats were given resveratrol for 10 days, but were injected (iv) with
cisplatin (1 mg/kg body wt) on days 1, 3, 5, 7, and 9. In concert, two groups of
mice (Dn-Trx-1) and a corresponding wild-type group were also gavaged with 2.5
mg/kg body wt resveratrol for 10 days. After 10 days, isolated rat and mouse
hearts perfused via working mode were made globally ischemic for 30 min followed
by 2 h of reperfusion. Ischemia/reperfusion developed an infarct size of about
40% and resulted in about 25% apoptotic cardiomyocytes, which were reduced by
resveratrol. Cisplatin, but not shRNA-Trx-1, abolished the cardioprotective
abilities of resveratrol. In the experiments with mouse hearts, similar to rat
hearts, resveratrol significantly reduced the ischemia/reperfusion-mediated
increase in infarct size and apoptosis in both groups. MDA formation, a
presumptive marker for lipid peroxidation, was increased in the I/R group and
reduced in the resveratrol group, and resveratrol-mediated reduction in MDA
formation was abolished with cisplatin, but not with shRNA-Trx-1. I/R-induced
reduction in GSH/GSSH ratio was prevented by resveratrol, and resveratrol
mediated preservation of GSH/GSSG ratio was reduced by cisplatin, but not by sh
RNA-Trx-1. RT-PCR revealed an increase in both Trx-1 and Trx-2 transcripts; but
only Trx-2 protein, not Trx-1 protein, was enhanced with resveratrol by Western
blot analysis. Electron paramagnetic resonance spectroscopic study revealed that
resveratrol treatment significantly increased the decay rates of nitroxide
radicals compared to control hearts, suggesting that resveratrol can switch into
the reduction state more compared to control heart. Finally, resveratrol
generated a survival signal by phosphorylation of Akt and increase in induction
of Bcl-2 expression, which was inhibited by cisplatin, but not by shRNA-Trx-1.
Taken together, the results of this study indicate that resveratrol provides
cardioprotection by maintaining intracellular redox environments, and Trx-2 is
likely to play a role in switching I/R-induced death signal into survival signal.
PMID- 18045551
TI - The mitochondrial thioredoxin system regulates nitric oxide-induced HIF-1alpha
protein.
AB - Hypoxia-inducible factor-1 (HIF-1), consisting of two subunits, HIF-1alpha and
HIF-1beta, is a key regulator for adaptation to low oxygen availability, i.e.,
hypoxia. Compared to the constitutively expressed HIF-1beta, HIF-1alpha is
regulated by hypoxia but also under normoxia (21% O(2)) by several stimuli,
including nitric oxide (NO). In this study, we present evidence that
overexpression of mitochondrial-located thioredoxin 2 (Trx2) or thioredoxin
reductase 2 (TrxR2) attenuated NO-evoked HIF-1alpha accumulation and
transactivation of HIF-1 in HEK293 cells. In contrast, cytosolic-located
thioredoxin 1 (Trx1) enhanced HIF-1alpha protein amount and activity under NO
treatments. Taking into consideration that thioredoxins affect the synthesis of
HIF-1alpha by altering Akt/mTOR signaling, we herein show that p42/44 mitogen
activated protein kinase and p70S6 kinase are involved. Moreover, intracellular
ATP was increased in Trx1-overexpressing cells but reduced in cells
overexpressing Trx2 or TrxR2, providing thus an understanding of how protein
synthesis is regulated by thioredoxins.
PMID- 18045553
TI - Engaging the private sector in global health.
PMID- 18045552
TI - Protective role of calreticulin in HFE hemochromatosis.
AB - HFE gene mutations are associated with over 80% of cases of hereditary
hemochromatosis (HH), an iron-overload disease in which the liver is the most
frequently affected organ. Research on HFE has traditionally focused on its
interaction with the transferrin receptor. More recent studies have suggested a
more complex function for this nonclassical MHC-I protein. The aim of this study
was to examine how HFE and its two most common mutations affect the expression of
selected genes in a hepatocyte-like cell line. Gene expression was analyzed in
HepG2 cells overexpressing wild-type and mutant HFE. The effect of HFE in iron
import and oxidative stress levels was assessed. Unfolded protein response (UPR)
activated gene expression was analyzed in peripheral blood mononuclear cells from
characterized HH patients. C282Y HFE down-regulated hepcidin and enhanced
calreticulin mRNA expression. Calreticulin levels correlated with intracellular
iron increase and were associated with protection from oxidative stress. In
C282Y(+/+) patients calreticulin levels correlated with the expression of the UPR
marker BiP and showed a negative association with the number of hereditary
hemochromatosis clinical manifestations. The data show that expression of C282Y
HFE triggers a stress-protective response in HepG2 cells and suggest a role for
calreticulin as a modifier of the clinical expression of HH.
PMID- 18045554
TI - Transmission of influenza A in human beings.
PMID- 18045555
TI - Transmission of influenza A in human beings.
PMID- 18045556
TI - Transmission of influenza A in human beings.
PMID- 18045558
TI - Interest and limits of cohort studies in pregnant women.
PMID- 18045559
TI - Looking down on disease.
PMID- 18045560
TI - Human brucellosis.
AB - Human brucellosis still presents scientists and clinicians with several
challenges, such as the understanding of pathogenic mechanisms of Brucella spp,
the identification of markers for disease severity, progression, and treatment
response, and the development of improved treatment regimens. Molecular studies
have shed new light on the pathogenesis of Brucella spp, and new technologies
have permitted the development of diagnostic tools that will be useful in
developing countries, where brucellosis is still a very common but often
neglected disease. However, further studies are needed to establish optimum
treatment regimens and local and international control programmes. This Review
summarises current knowledge of the pathogenic mechanisms, new diagnostic
advances, therapeutic options, and the situation of developing countries in
regard to human brucellosis.
PMID- 18045561
TI - HIV-associated dyslipidaemia: pathogenesis and treatment.
AB - Dyslipidaemia, consisting of hypertriglyceridaemia together with depressed
concentrations of high-density lipoprotein cholesterol and elevated low-density
lipoprotein cholesterol, is being observed with increasing frequency among HIV
patients. Pathogenic mechanisms include effects of the virus itself, effects of
the antiretroviral drugs on key metabolic pathways, and drug-associated adipose
repartitioning with subsequent development of insulin resistance and associated
metabolic derangements. Diagnostic methods include a fasting lipoprotein profile
and assessment of secondary factors. Treatment strategies include non
pharmacological approaches such as changes to diet and lifestyle, as well as
switching to a less metabolically active antiretroviral regimen without
compromising antiretroviral efficacy. Pharmacological treatment may include
statin drugs, fibrates, niacin, or cholesterol absorption inhibitors, in addition
to management of comorbidities such as increased global cardiometabolic risk and
insulin resistance.
PMID- 18045562
TI - Meningococcal carriage in the African meningitis belt.
AB - In the African meningitis belt, epidemics of meningococcal disease occur
periodically, although unpredictably, every few years. These epidemics continue
to cause havoc but new efforts to control the disease, through the use of
conjugate vaccines, are being made. Conjugate vaccines are likely to reduce
meningococcal carriage, thus generating herd immunity, but to understand their
potential impact we need to know more about the epidemiology of meningococcal
carriage in Africa. We review published studies of meningococcal carriage in the
African meningitis belt. A wide range of carriage prevalences has been reported,
from 3% to over 30%, and the serogroup distribution has been variable. Factors
influencing carriage include age, contact with a case, and the epidemic/endemic
situation; however, season and immunisation with polysaccharide vaccine have
little effect. Since the dynamics of carriage within a population are complex,
longitudinal carriage studies are of great value; however, few such studies have
been done. Carefully designed carriage studies are needed to measure and
interpret the impact of meningococcal group A conjugate vaccines in Africa.
PMID- 18045563
TI - T-cell regulation by CD4 regulatory T cells during hepatitis B and C virus
infections: facts and controversies.
AB - In the past few years, we have witnessed extraordinary advances in the
understanding of the functions of regulatory T (Treg) cells in immunity against
pathogens. However, controversy exists over the part that these cells play in
determining the outcome of hepatitis B virus (HBV) and hepatitis C virus (HCV)
infections, the two main causes of chronic liver inflammation worldwide. Treg
cell responses may be either beneficial or detrimental to those infected with HBV
and HCV, by either limiting liver immunopathology or suppressing protective T
cell responses. We review the latest research on CD4 Treg cells, dissect much of
the Treg-related HBV and HCV literature, and discuss how new insights in Treg
immunobiology apply to human and primate models of HBV and HCV infections.
Moreover, we discuss the limitations of the conclusions drawn from current
studies on Treg cells, and suggest experimental approaches that can resolve
current conflicts and improve our understanding of the roles of Treg-cell subsets
in HBV and HCV infections.
PMID- 18045564
TI - Infections associated with haemophagocytic syndrome.
AB - Haemophagocytic syndrome or haemophagocytic lymphohistiocytosis is a rare disease
that is often fatal despite treatment. Haemophagocytic syndrome is caused by a
dysregulation in natural killer T-cell function, resulting in activation and
proliferation of lymphocytes or histiocytes with uncontrolled haemophagocytosis
and cytokine overproduction. The syndrome is characterised by fever,
hepatosplenomegaly, cytopenias, liver dysfunction, and hyperferritinaemia.
Haemophagocytic syndrome can be either primary, with a genetic aetiology, or
secondary, associated with malignancies, autoimmune diseases, or infections.
Infections associated with haemophagocytic syndrome are most frequently caused by
viruses, particularly Epstein-Barr virus (EBV). We present a case of EBV
associated haemophagocytic syndrome in a young adult with no known
immunosuppression. We briefly review haemophagocytic syndrome and then discuss
its associated infections, particularly EBV and other herpes viruses, HIV,
influenza, parvovirus, and hepatitis viruses, as well as bacterial, fungal, and
parasitic organisms.
PMID- 18045565
TI - Factors associated with undiagnosed open-angle glaucoma: the Thessaloniki Eye
Study.
AB - PURPOSE: To identify factors associated with undiagnosed open-angle glaucoma
(OAG), primary open-angle glaucoma (POAG), and pseudoexfoliative glaucoma (PEXG)
in an elderly population in Thessaloniki, Greece. DESIGN: Cross-sectional
population-based study. METHODS: Randomly selected subjects > or =60 years (n =
2,554) participated in the study. Subjects were classified as having POAG or PEXG
according to specific criteria and using a two-scale definition of glaucoma.
Undiagnosed glaucoma was defined as absence of either prior diagnosis of glaucoma
or ocular hypertension or prior medical treatment for glaucoma or prior glaucoma
surgery. Logistic regression analyses were performed with age, gender, family
history of glaucoma, history of cataract surgery, visual acuity, vertical cup-to
disk (C/D) ratio, intraocular pressure, Advanced Glaucoma Intervention Study
visual field score, time since last eye doctor visit, and type of OAG as
covariates. RESULTS: The prevalence of undiagnosed glaucoma was 57.1% (56/98) for
POAG, significantly higher than the prevalence of 34.9% (15/43) for PEXG (P =
.017). POAG patients presented three to four times increased risk to be
undiagnosed compared with PEXG patients (P = .02 and P = .04, respectively).
Patients with OAG who had not visited an ophthalmologist during the last year had
six times an increased risk to be undiagnosed (P = .003). In POAG, smaller
vertical C/D ratio was statistically significantly associated with an increased
risk to be undiagnosed (P = .008). CONCLUSIONS: Lack of regular visits to an
ophthalmologist was a major factor for undiagnosed OAG. POAG was more likely to
be undiagnosed than PEXG. Since C/D ratio was associated with undiagnosed POAG,
standardized protocols involving thorough evaluation of the optic disk are
recommended for ophthalmologists.
PMID- 18045566
TI - Foveal photoreceptor layer in eyes with persistent cystoid macular edema
associated with branch retinal vein occlusion.
AB - PURPOSE: To study the correlation of visual acuity and the foveal photoreceptor
layer in eyes with persistent cystoid macular edema associated with branch
retinal vein occlusion (BRVO). DESIGN: Retrospective chart review. METHODS: We
studied retrospectively the medical records of 42 eyes of 42 patients with
persistent cystoid macular edema secondary to BRVO, eyes in which the foveal
thickness was greater than 250 microm at final examination. On the vertical image
obtained by optical coherence tomography at the final examination, we measured
thickness of the foveal photoreceptor layer that was beneath the foveal cystoid
spaces. We also assessed status of the junction between inner and outer segments
of the photoreceptors (IS/OS) in the fovea. RESULTS: The photoreceptor layer
beneath the foveal cystoid spaces was detected as a distinct layer with thickness
varying between 33 microm and 124 microm (mean, 71.1 +/- 26.8 microm). Final
visual acuity showed no correlation with total foveal thickness (r = 0.336, P =
.092). However, it did show a close correlation with thickness of the foveal
photoreceptor layer (r = -0.571, P < .0001). Of the 42 eyes, 15 showed a
continuous IS/OS line in the fovea. Visual acuity in these eyes with a continuous
IS/OS line in the fovea was significantly better than that in eyes with a
discontinuous or interrupted IS/OS line (P < .0001). CONCLUSIONS: Both the
thickness and the integrity of the foveal photoreceptor layer are associated with
visual function in eyes with persistent cystoid macular edema associated with
BRVO.
PMID- 18045567
TI - Defining real change in prism-cover test measurements.
AB - PURPOSE: To describe the interobserver test-retest variability of both
simultaneous prism and cover testing (SPCT) and alternate prism and cover testing
(APCT) in horizontal deviations, and to calculate 95% limits of agreement that
might be used to define real change. DESIGN: Prospective cohort study. METHODS:
Twenty-three patients with sixth nerve palsy and three controls were
independently examined by two experienced strabismus surgeons. SPCT and APCT were
performed at distance and near fixation. Test-retest variability and agreement
between tests were evaluated using Bland-Altman plots and 95% limits of agreement
were calculated. RESULTS: For SPCT, the 95% limits of agreement half-widths were
6.3 prism diopters (pd) at distance fixation and 6.9 pd at near. For APCT, the
95% limits of agreement half-widths were 10.2 pd at distance and 9.2 pd at near.
CONCLUSIONS: Based on 95% limits of agreement half-widths between two examiners,
a change in strabismus measurements of less than 10 pd may be attributable to
test-retest variability. Changes of 10 pd or more are likely to represent real
change and might be used as the threshold for management decisions.
PMID- 18045568
TI - The epidemiology of retinal reticular drusen.
AB - PURPOSE: To describe the prevalence and 15-year cumulative incidence of and risk
factors for reticular drusen. DESIGN: Population-based prospective study.
METHODS: Four thousand nine hundred and twenty-six persons, 43 to 86 years of
age, were included between 1988 and 1990, of whom 3,684, 2,764, and 2,119
participated in five-, 10-, and 15-year follow-up examinations, respectively, in
Beaver Dam, Wisconsin. Main outcome measures included prevalence and 15-year
incidence of reticular drusen determined by grading stereoscopic color fundus
photographs. RESULTS: The prevalence at baseline and the 15-year cumulative
incidence in either eye of reticular drusen was 0.7% and 3.0%, respectively. The
15-year incidence of reticular drusen varied with age from 0.4% in those 43 to 54
years of age to 6.6% in those 75 years or older at baseline (P < .001). In a
multivariate model, while controlling for age, risk factors statistically
significantly associated with increased risk of incident reticular drusen
included: being female (odds ratio [OR], 2.8), current smoking (OR vs never,
1.9), less education (OR per category, 1.7), B-vitamin complex use (OR vs none,
2.5), single vitamin B (OR vs none, 2.9), history of steroid eye drops use (OR,
5.9), glaucoma (OR, 2.8), and more severe drusen type (e.g., soft indistinct
drusen; OR, 1.4), whereas diabetes (OR, 0.1) at baseline was associated with
decreased risk. Right eyes with reticular drusen at baseline had higher
cumulative incidence of geographic atrophy (21% vs 9%) and exudative age-related
macular degeneration [AMD] (20% vs 10%) compared with eyes with soft indistinct
drusen. CONCLUSIONS: This population-based study documents the long-term
cumulative incidence of reticular drusen and its risk factors and shows its
association with a high risk of incident late AMD.
PMID- 18045569
TI - Glaucoma probability score vs Moorfields classification in normal, ocular
hypertensive, and glaucomatous eyes.
AB - PURPOSE: To evaluate the Heidelberg Retina Tomograph III (HRT III) glaucoma
probability score in differentiating normal from pathologic eyes and to compare
the glaucoma probability score with Moorfields regression analysis (MRA). DESIGN:
Prospective cross-sectional study. METHODS: Fifty-nine normal, 40 hypertensive,
and 83 glaucomatous eyes were examined with Swedish interactive threshold
algorithm standard 24-2 visual fields and HRT III. Sensitivity and specificity
were evaluated using global and sectorial glaucoma probability score and MRA
compared with damage in visual fields. Areas under receiver operating
characteristic (ROC) curves were evaluated. Agreement between MRA and glaucoma
probability score was calculated using the kappa coefficient. Glaucoma
probability score was considered to be displaced when a symbol was outside and
the opposite symbol was inside the optic disk. RESULTS: MRA sensitivity and
specificity were 39.8% and 93.2% (most specific criteria) and 68.7% and 83.1%
(least specific criteria), respectively. Glaucoma probability score sensitivity
and specificity were 71.1% and 69.5% (most specific criteria) and 85.5% and 54.2%
(least specific criteria), respectively. Visual field parameters were related to
the global (P = .001) and sectorial (P < .05) glaucoma probability score. A
displaced glaucoma probability score was found in 35 eyes, but with unchanged
glaucoma probability score sensitivity and specificity. Areas under the ROC
curves of glaucoma probability score was 0.77. The kappa coefficient was 0.34.
CONCLUSIONS: Glaucoma probability score analysis tends to be more sensitive but
less specific than MRA. Glaucoma probability score did not differentiate normal
and hypertensives eyes. When displaced, glaucoma probability score sensitivity
and specificity were unchanged. MRA and glaucoma probability score agreement was
low. Glaucoma probability score is advantageous over MRA in early-stage glaucoma.
PMID- 18045570
TI - [Growth hormone deficiency and pituitary stalk interruption in Fanconi anemia].
AB - Fanconi anemia is a rare disorder inherited by recessive autosomic transmission
belonging to the group of chromosomal instability syndromes. It is characterized
by progressively developing medullary aplasia, various congenital malformations
and especially a high risk of cancer, particularly acute myeloblastic leukemia
and certain solid tumors. The association is quite common in patients with
endocrine disease which constitutes an additional factor of morbidity and must be
diagnosed and treated. We report a case of Fanconi anemia revealed by severe
delay in statural growth and primary amenorrhea with a 21-year-old girl. The
diagnosis was suggested by asymptomatic pancytopenia caused by a medullary
hypoplasia and confirmed by a cytogenetic investigation using cross-linking
agents that showed a large number of chromosomal breaks. Hormonal exploration
revealed hypopituitarism with complete growth hormone (GH) deficiency and
hypogonadotrophic hypogonadism caused by interruption of the pituitary stalk. The
aim of this case report is to illustrate the importance of early exploration of
retarded growth which, in some patients, can reveal potentially serious, and
treatable, disease.
PMID- 18045571
TI - Thermal insulation and clothing area factors of typical Arabian Gulf clothing
ensembles for males and females: measurements using thermal manikins.
AB - The thermal insulation of clothing is one of the most important parameters used
in the thermal comfort model adopted by the International Standards Organisation
(ISO) [BS EN ISO 7730, 2005. Ergonomics of the thermal environment. Analytical
determination and interpretation of thermal comfort using calculation of the PMV
and PPD indices and local thermal comfort criteria. International Standardisation
Organisation, Geneva.] and by ASHRAE [ASHRAE Handbook, 2005. Fundamentals.
Chapter 8. American Society of Heating Refrigeration and Air-conditioning
Engineers, Inc., 1791 Tullie Circle N.E., Atlanta, GA.]. To date, thermal
insulation values of mainly Western clothing have been published with only
minimal data being available for non-Western clothing. Thus, the objective of the
present study is to measure and present the thermal insulation (clo) values of a
number of Arabian Gulf garments as worn by males and females. The clothing
ensembles and garments of Arabian Gulf males and females presented in this study
are representative of those typically worn in the region during both summer and
winter seasons. Measurements of total thermal insulation values (clo) were
obtained using a male and a female shape thermal manikin in accordance with the
definition of insulation as given in ISO 9920. In addition, the clothing area
factors (f cl) determined in two different ways were compared. The first method
used a photographic technique and the second a regression equation as proposed in
ISO 9920, based on the insulation values of Arabian Gulf male and female garments
and ensembles as they were determined in this study. In addition, fibre content,
descriptions and weights of Arabian Gulf clothing have been recorded and
tabulated in this study. The findings of this study are presented as additions to
the existing knowledge base of clothing insulation, and provide for the first
time data for Arabian Gulf clothing. The analysis showed that for these non
Western clothing designs, the most widely used regression calculation of f cl is
not valid. However, despite the very large errors in f cl made with the
regression method, the errors this causes in the intrinsic clothing insulation
value, I cl, are limited.
PMID- 18045572
TI - Prostaglandin E2 inhibits mineralization and enhances matrix metalloproteinase-13
in mature cementoblasts mainly via the EP4 pathway.
AB - OBJECTIVES: Prostaglandin E(2) (PGE(2)) is an important factor in the
pathogenesis of periodontal disease because of bone resorbing activity and
association with attachment loss. PGE(2) and PGE receptor subtypes (EPs) play an
important role in modulating bone metabolism via osteoblasts. However, little is
known about the effects of PGE(2) on cementoblasts. The aims of this study were
to determine the expression of EPs in mature cementoblasts, and to examine the
effect of PGE(2) and EPs on their cellular function. DESIGN: Expression of EPs in
immortalized mouse cementoblasts (OCCM-30 cells), which were characterized as
mature cementoblasts, was determined using reverse transcriptase polymerase chain
reaction (RT-PCR). The effects of PGE(2) and EP agonists on mineralization were
examined by studying nodule formation with alizarin red S staining. Alkaline
phosphatase (ALP) activity with PGE(2) and EP4 agonist was examined using the
Bessey-Lowry enzymologic method. Effects of the PGE(2)-EP4 pathway on expression
levels of osteocalcin (OCN) and matrix metalloproteinase-13 (MMP-13) mRNA were
examined using real-time RT-PCR. RESULTS: OCCM-30 cells expressed EP1, EP2, EP3
and EP4 mRNA. PGE(2) and EP4 agonist led to downregulation of mineralized nodule
formation and ALP activity in OCCM-30 cells. OCN mRNA expression was suppressed
and MMP-13 mRNA expression was stimulated via the PGE(2)-EP4 pathway in OCCM-30
cells. CONCLUSIONS: Cementoblasts may downregulate their mineralization ability
and upregulate MMP-13 production through the PGE(2)-EP4 pathway, and may
contribute to destruction of connective tissue attachment under inflammatory
conditions.
PMID- 18045573
TI - Identification of a cis-acting element of human dihydrofolate reductase mRNA.
AB - Human dihydrofolate reductase (DHFR) is a critical target in cancer chemotherapy.
Previous studies showed that an 82-nt RNA fragment within the DHFR mRNA protein
coding region functions as a DHFR cis-acting response element. In this study, we
further investigated the key elements contained within this sequence that are
required for the DHFR mRNA-DHFR protein interaction. Using enzymatic foot
printing assays and RNA-binding experiments, we isolated a 27-nt sequence
(DHFR27, corresponding to nts 407-433), which bound with high affinity and
specificity to human DHFR to form a ribonucleoprotein complex. In vivo transient
transfection experiments using a luciferase reporter system revealed that DHFR27
RNA could repress the luciferase expression in a DHFR-dependent manner when
placed upstream of luciferase mRNA. This work provides new insights into the
essential molecular elements that mediate RNA-protein interactions.
PMID- 18045574
TI - Alpha anomer of 5-aza-2'-deoxycytidine down-regulates hTERT mRNA expression in
human leukemia HL-60 cells.
AB - DNA methylation inhibitors are being extensively studied as potential anticancer
agents. In the present study, we compared the capability of alpha anomer of 5-aza
2'-deoxycytidine (alpha-5-azadCyd) to induce down-regulation of hTERT expression
in HL-60 cells with other nucleoside analogs that act as DNA methylation
inhibitors: beta-5-azadCyd (decitabine), (S)-9-(2,3-dihydroxypropyl)adenine [(S)
DHPA], isobutyl ester of (R,S)-3-(adenin-9-yl)-2-hydroxypropanoic acid [(R,S)
AHPA-ibu] and prospective DNA methylation inhibitors (S)-1-[3-hydroxy-2
(phosphonomethoxy)propyl]-5-azacytosine [(S)-HPMPazaC] and 5-fluoro-zebularine (F
PymRf). Exposure to alpha-5-azadCyd induced the down-regulation of hTERT
expression in low micromolar concentrations (0.05-50 microM). A more cytotoxic
beta anomer caused a transient up-regulation of hTERT and a subsequent reduction
in hTERT mRNA levels at concentrations more than 10 times below its GIC50 value.
In this respect, (S)-DHPA and (R,S)-AHPA-ibu were less efficient, since a similar
effect was achieved at concentrations above their GIC(50). In contrast, F-PymRf
treatment resulted in up to a three-fold induction of hTERT expression within a
broad range of concentrations. In all cases, the down-regulation of hTERT
expression was concentration-dependent. The correlation was found between c-myc
overexpression and transiently elevated hTERT expression after treatment with all
tested compounds except for alpha-5-azadCyd and (S)-HPMPazaC. Although the
primary task of hypomethylating agents in anticancer therapy lies in reactivation
of silenced tumour-suppressor genes, the inhibition of hTERT expression might
also be a fruitful clinical effect of this approach.
PMID- 18045575
TI - Vascular integrin immunoreactivity is selectively lost on capillaries during rat
focal cerebral ischemia and reperfusion.
AB - The alpha1-integrin cell adhesion molecules, the principal endothelial receptors
for basal lamina (BL) components disappear during transient ischemia. The current
study investigated the localization of integrins, the time dependency and vessel
size selectivity in the normal rat brain before and after 3 h of cerebral
ischemia (I3) and reperfusion (R). Additionally we looked for a correlation to
the amount of extravasation and hemorrhage. In the normal brain, there was a
clear immunoreactivity for the alpha1, alpha6, and beta1 integrins on the
endothelial perivascular cells. After I3 followed by variable reperfusion
intervals of 0, 9, and 24 h (R0, R9 and R24; respectively), the number of vessels
and staining intensity indicating immunoreactivity in the ischemic area were
compared with the contralateral side. The number of the beta1-immunoreactive
capillaries was steadily decreasing with the reperfusion time: -12+/-5%, -15+/-7%
and -43+/-8% at I3R0, I3R9 and I3R24 (all p<0.05). The beta1-staining intensity
decreased homogeneously to -21% at I3R24 (p<0.05). Vascular staining for alpha1
was affected similarly. Interestingly, the alpha6-positive arterioles/venules
were also reduced by -21% at I3R24 (p<0.05) in a diameter-selective way on
vessels with diameters larger than 15 mum. The correlated break-down of the blood
brain-barrier was demonstrated by the significant rise of the extravasation of
BSA from the perfusion solution as well as the increased hemorrhage after MCAO/R
(hemoglobin: 103+/-4% versus 330+/-17%; BSA 101+/-3% versus 132+/-9% in I0R0 and
I3R24, respectively). The prominent capillary vulnerability contributes
significantly to the impairment of the microvascular integrity and after ischemia
and reperfusion.
PMID- 18045576
TI - X-ray crystallographic study of several 2'-deoxy-beta-D-ribonucleosides with 1
deazapurine-derived aglycones.
AB - The 2'-deoxy-beta-D-ribonucleosides of 1,3-deazapurine (benzimidazole (1)), 1
deazapurine (both 1H-imidazo[4,5-b]pyridine (2) and 3H-imidazo[4,5-b]pyridine
(3)), and 6-benzoylamino-1-deazapurine (7-benzoylamino-3H-imidazo[4,5-b]pyridine
(4)) have been prepared and structurally characterized by X-ray crystallography.
Especially compounds 1-3 can serve as artificial nucleosides that may substitute
2'-deoxy adenosine because they lack the exocyclic amino group and one or two of
the endocyclic nitrogen atoms and hence have a much smaller potential to engage
in hydrogen bonds. In the latter respect, they are candidates for nucleosides in
metal-ion mediated base pairs. The unit cell of compound 3 contains two
crystallographically independent molecules. Compound 4 was crystallized from
methanol and water, respectively, giving rise to two different solvates. Despite
the closely related aglycones, the sugar conformations in 1-4 are found to be
highly variable (1: (2)T(1); 2: (3)T(2); 3: (3)E and E(4); 4: (2)E and (2)T(3)).
The structures reported here confirm that there is no simple correlation between
the sugar conformation and the character of the nucleoside, and they will
hopefully contribute to a better understanding of the complex interplay of
different effects that are in control of the conformational equilibrium.
PMID- 18045577
TI - Inhibition of acid-catalyzed depolymerization of cellulose with boric acid in non
aqueous acidic media.
AB - Boric acid inhibited the acid-catalyzed depolymerization of cellulose in
sulfolane, a non-aqueous medium, at high temperature. Formation of the
dehydration products such as levoglucosenone, furfural, and 5-hydroxymethyl
furfural were also effectively inhibited. Similar inhibition was observed for
cellooligosaccharides and starch, although the glucosidic bonds in methyl
glucopyranosides and methyl cellobioside were cleaved to form alpha-d
glucofuranose cyclic 1,2:3,5-bisborate.
PMID- 18045578
TI - Preparation of chitosan-nylon-6 blended membranes containing silver ions as
antibacterial materials.
AB - Chitosan-nylon-6 blended membranes were prepared by combining solvent evaporation
and a phase inversion technique, and then used to chelate silver ions. Gram
positive bacteria (Staphylococcus aureus) and Gram-negative bacteria (Escherichia
coli) were used to study the antibacterial properties of the membranes. Fourier
transform infrared spectroscopy (FTIR) and differential scanning calorimetry
(DSC) indicated hydrogen-bond interactions between chitosan and nylon-6. From the
scanning electron microscopy (SEM) pictures, it was observed that with the
increase of nylon-6 content, the blended membrane gradually became a material
with porous morphology. After chelating silver ions, the tensile strength of the
membranes increased. The antibacterial activity with the variation of chitosan
content, the pH value and the concentration of the silver nitrate solution used
to prepare Ag(+)-loaded membranes were investigated systematically. The results
indicated that the chitosan-nylon-6 blended membranes with Ag(+) were
antibacterial to both Gram-positive bacteria and Gram-negative bacteria. The
antibacterial activity improved with the increased chitosan content due to the
larger amount of silver ions loaded. The antibacterial property of the chitosan
nylon-6 blended membranes could be primarily attributed to the content of
chitosan and silver ions as well as the surface morphology of the membranes.
PMID- 18045579
TI - Methanolysis of ethyl esters of N-acetyl amino acids catalyzed by
cyclosophoraoses isolated from Rhizobium meliloti.
AB - Methanolysis of four ethyl esters, N-acetyl-L-phenylalanine ethyl ester, N-acetyl
l-tyrosine ethyl ester, N-acetyl-l-tryptophan ethyl ester, and ethyl
phenylacetate was catalyzed by a mixture of microbial cyclooligosaccharides
termed cyclosophoraoses isolated from Rhizobium meliloti. Cyclosophoraoses
[cyclic-(1-->2)-beta-d-glucans, collectively 'Cys'] are a mixture of large-ring
molecules consisting of various numbers of glucose residues (17-27) linked by
beta-(1-->2)-glycosidic bonds. Cys as a catalytic carbohydrate enhanced the
methanolysis about 233-fold for N-acetyl-L-tyrosine ethyl ester in comparison
with a control. The effect of dry organic solvents on the methanolysis of N
acetyl-L-tyrosine ethyl ester was investigated by high-performance liquid
chromatography (HPLC), and it was found that the rate enhancement correlated
closely with the hydrophobicity of the solvent.
PMID- 18045580
TI - Lipopolysaccharide induced expression of pentraxin 3 in human neutrophils and
monocyte-derived macrophages.
AB - Pentraxin 3 (PTX3) is a prototype protein of long pentraxin. PTX3 is produced by
various cells, such as monocytes/macrophages (Mphis) in response to
lipopolysaccharide (LPS) and proinflammatory signals. We performed
immunoblotting, immunohistochemical staining, reverse transcriptase-polymerase
chain reaction (RT-PCR), quantitative real-time PCR and enzyme-linked
immunosorbent assay (ELISA) of PTX3 in human monocyte-derived Mphis and
neutrophils. PTX3 expression was observed in the cytoplasm of both GM-CSF induced
monocyte-derived Mphi (GM-Mphi) and M-CSF induced monocyte-derived Mphi (M-Mphi).
PTX3 level in both Mphis was up-regulated at 24 h after LPS stimulation.
Moreover, we confirmed PTX3 expression in freshly isolated neutrophils, and PTX3
level was distinctly up-regulated at 6 and 24 h after LPS stimulation. These
findings suggested that PTX3 expression, not only in Mphis, but also in
neutrophils, may reflect the role of PTX3 in inflammation. We believe that PTX3
can contribute as a diagnostic tool to evaluate inflammation at peripheral sites.
PMID- 18045581
TI - Hepatoprotective effects of Solanum nigrum Linn extract against CCl(4)-induced
oxidative damage in rats.
AB - Solanum nigrum L. (SN) is an herbal plant that has been used as hepatoprotective
and anti-inflammation agent in Chinese medicine. In this study, the protective
effects of water extract of SN (SNE) against liver damage were evaluated in
carbon tetrachloride (CCl4)-induced chronic hepatotoxicity in rats. Sprague
Dawley (SD) rats were orally fed with SNE (0.2, 0.5, and 1.0 g kg(-1) bw) along
with administration of CCl4 (20% CCl4/corn oil; 0.5 mL kg(-1) bw) for 6 weeks.
The results showed that the treatment of SNE significantly lowered the CCl4
induced serum levels of hepatic enzyme markers (GOT, GPT, ALP, and total
bilirubin), superoxide and hydroxyl radical. The hepatic content of GSH, and
activities and expressions of SOD, GST Al, and GST Mu that were reduced by CCl4
were brought back to control levels by the supplement of SNE. Liver
histopathology showed that SNE reduced the incidence of liver lesions including
hepatic cells cloudy swelling, lymphocytes infiltration, hepatic necrosis, and
fibrous connective tissue proliferation induced by CCl4 in rats. Therefore, the
results of this study suggest that SNE could protect liver against the CCl4
induced oxidative damage in rats, and this hepatoprotective effect might be
contributed to its modulation on detoxification enzymes and its antioxidant and
free radical scavenger effects.
PMID- 18045582
TI - A computer-aided MFCC-based HMM system for automatic auscultation.
AB - Auscultation, the act of listening to the sounds of internal organs, is a
valuable medical diagnostic tool. Auscultation methods provide the information
about a vast variety of internal body sounds originated by various organs such as
heart, lungs, bowel, vascular disorders, etc. In this study, a cardiac sound
registration system has been designed incorporating functions such as heart
signals segmentation, classification and characterization for automated
identification and ease of interpretation by the users. Considering a synergy
with the domain of speech analysis, the authors introduced Mel-frequency cepstral
coefficient (MFCC) to extract representative features and develop hidden Markov
model (HMM) for signal classification. This system was applied to 1381 data sets
of real and simulated, normal and abnormal domains. Classification rates for
normal and abnormal heart sounds were found to be 95.7% for continuous murmurs,
96.25% for systolic murmurs and 90% for diastolic murmurs by a probabilistic
comparison approach. This implies a high potential for the system as a diagnostic
aid for primary health-care sectors.
PMID- 18045584
TI - Relationship between intracellular ice formation in oocytes of the mouse and
Xenopus and the physical state of the external medium--a revisit.
AB - We have previously reported that intracellular ice formation (IIF) in mouse
oocytes suspended in glycerol/PBS solutions or ethylene glycol (EG)/PBS solutions
and rapidly cooled to -50 degrees C or below occurs at temperatures where a
critical fraction of the external water remains unfrozen [P. Mazur, S. Seki, I.L.
Pinn, F.W. Kleinhans, K. Edashige, Extra- and intracellular ice formation in
mouse oocytes, Cryobiology 51 (2005) 29-53; P. Mazur, I.L. Pinn, F.W. Kleinhans,
The temperature of intracellular ice formation in mouse oocytes vs. the unfrozen
fraction at that temperature, Cryobiology 54 (2007) 223-233]. For mouse oocytes
in PBS or glycerol/PBS that fraction is 0.06; for oocytes in EG that fraction was
calculated to be 0.13, more than double. The fractions unfrozen are computed from
ternary phase diagrams. In the previous publication, we used the EG data of Woods
et al. [E.J. Woods, M.A.J. Zieger, D.Y. Gao, J.K. Critser, Equations for
obtaining melting points for the ternary system ethylene glycol/sodium
chloride/Water and their application to cryopreservation., Cryobiology 38 (1999)
403-407]. Since then, we have determined that ternary phase diagrams for
EG/NaCl/water synthesized by summing binary phase data for EG/water NaCl/water
gives substantially different curves, which seem more realistic [F.W. Kleinhans,
P. Mazur, Comparison of actual vs. synthesized ternary phase diagrams for solutes
of cryobiological interest, Cryobiology 54 (2007) 212-222]. Unfrozen fractions at
the temperatures of IIF computed from these synthesized phase diagrams are about
half of those calculated from the Woods et al. data, and are in close agreement
with the computations for glycerol; i.e., IIF occurs when about 92-94% of the
external water is frozen. A parallel paper was published by Guenther et al. [J.F.
Guenther, S. Seki, F.W. Kleinhans, K. Edashige, D.M. Roberts, P. Mazur, Extra-and
intra-cellular ice formation in Stage I and II Xenopus laevis oocytes,
Cryobiology 52 (2006) 401-416] on IIF in oocytes of the frog Xenopus. It too
examined whether the temperatures of IIF were related to the unfrozen fractions
at those temperatures. It also used the Woods et al. ternary phase data to
calculate the unfrozen fractions for EG solutions. As reported here, once again
the values of these unfrozen fractions are substantially different from those
calculated using synthesized phase diagrams. With the latter, the unfrozen
fractions at IIF become very similar for EG and glycerol.
PMID- 18045585
TI - Survival of Pacific oyster, Crassostrea gigas, oocytes in relation to
intracellular ice formation.
AB - The effect of IIF in Pacific oyster oocytes was studied using cryo and
transmission electron microscopy (TEM). The viability of oocytes at each step of
a published cryopreservation protocol was assessed in an initial experiment. Two
major viability losses were identified; one when oocytes were cooled to -35
degrees C and the other when oocytes were plunged in liquid nitrogen. Although
the cryomicroscope showed no evidence of IIF in oocytes cooled with this
protocol, TEM revealed that these oocytes contained ice crystals and were at two
developmental stages when frozen, prophase and metaphase I. To reduce IIF, the
effect of seven cooling programmes involving cooling to -35 or -60 degrees C at
0.1 or 0.3 degrees C min(-1) and holding for 0 or 30 min at -35 or -60 degrees C
was evaluated on post-thaw fertilization rate of oocytes. Regardless of the
cooling rate or holding time, the fertilization rate of oocytes cooled to -60
degrees C was significantly lower than that of oocytes cooled to -35 degrees C.
The overall results indicated that observations of IIF obtained from
cryomicroscopy are limited to detection of larger amounts of ice within the
cells. Although the amount of cellular ice may have been reduced by one of the
programmes, fertilization was reduced significantly; suggesting that there is no
correlation between the presence of intracellular ice and post-thaw fertilization
rate. Therefore, oyster oocytes may be more susceptible to the effect of high
solute concentrations and cell shrinkage than intracellular ice under the studied
conditions.
PMID- 18045586
TI - Striking association between urinary cadmium level and albuminuria among Torres
Strait Islander people with diabetes.
AB - OBJECTIVES: Indigenous people of the Torres Strait (Australia) have greater
potential for cadmium exposure and renal damage than other Australians due to
high cadmium in some traditional seafood and a high prevalence of Type 2
diabetes, hypertension, smoking, and obesity. This study explored associations
between albuminuria and an index of cadmium exposure (urinary cadmium excretion)
in the presence and absence of Type 2 diabetes. RESEARCH DESIGN AND METHODS: Two
population-based, cross-sectional studies were undertaken in the Torres Strait to
obtain data on body mass index (BMI), blood pressure, chronic disease, smoking,
urinary cadmium, and albumin creatinine ratio (ACR). RESULTS: Age- and BMI
adjusted urinary cadmium levels were significantly higher (p<0.01) among people
with diabetes and albuminuria (n=22, geometric mean (GM) 1.91 microg Cd/g
creatinine) compared to those with diabetes and normal ACR (n=21, GM 0.74 microg
Cd/g creatinine). Urinary cadmium was also strongly associated (p<0.001) with ACR
among people with diabetes in regression models and remained significant after
controlling for age, sex, BMI, smoking status, and hypertension (or continuous
systolic and diastolic measurements). CONCLUSIONS: While the study has
methodological limitations and the nature of the association is unclear, the
striking dose-dependent links between markers of cadmium exposure and of Type 2
diabetic nephropathy highlight the need for further definitive research on the
health effects of cadmium in the presence of diabetes.
PMID- 18045587
TI - Electrophysiological evidence for impairment of contrast sensitivity in mercury
vapor occupational intoxication.
AB - Contrast sensitivity (CS) was evaluated in 41 former workers from a lamp
manufacturing plant who were on disability retirement due to exposure to mercury
and 14 age-matched controls. The CS was measured monocularly using the sweep
visual evoked potential (sVEP) paradigm at 6 spatial frequencies (0.2, 0.8, 2.0,
4.0, 15.0, and 30 cpd). Statistical difference (p<0.05) was found between the
controls and the patient right and left eyes for 2.0 and 4.0 cpd. According the
results in those spatial frequencies the eyes were classified in best and worst.
Statistical differences were found between the controls and the best eyes for 2.0
and 4.0 cpd and for 0.8, 2.0, and 4.0 cpd for their worst eyes. No correlation
was found between CS results and the time of exposure (mean=8.9 yr+/-4.1), time
away from the mercury source (mean=6.0 yr+/-3.9), urinary mercury level at the
time of work (mean=40.6 microg/g+/-36.3) or with the mercury level at the CS
measurement time (mean=1.6 microg/g+/-1.1). We show the first evidence of a
permanent impairment in CS measured objectively with the sVEP. Our data
complement the previous psychophysical works reporting a diffuse impairment in
the CS function showing a CS reduction in the low to middle spatial frequencies.
In conclusion, non-reversible CS impairment was found in occupational exposure to
mercury vapor. We suggest that CS measurement should be included in studies of
the mercury effects of occupational exposure.
PMID- 18045588
TI - Nicorandil enhances the effect of endothelial nitric oxide under hypoxia
reoxygenation: role of the KATP channel.
AB - Nicorandil increased the anti-platelet aggregation activity of endothelial cells
when endothelial cells were exposed to hypoxia-reoxygenation conditions. However,
nicorandil (0.1-10 muM) did not inhibit platelet aggregation directly. The
mechanism by which nicorandil increases the anti-aggregation activity of hypoxia
reoxygenation treated endothelial cells was investigated. The effect of
nicorandil was observed even in indomethacin-treated endothelial cells, but the
effect was eliminated by treating endothelial cells with N(G)-nitro-l-arginine
methyl ester (L-NAME). This indicates that nicorandil enhances the anti
aggregation activity of endothelial nitric oxide (NO). Nicorandil did not
increase the anti-aggregation activity of endothelial NO when endothelial cells
were pre-treated with superoxide dismutase or 4-(2-aminophenyl)-benzenesulfonyl
fluoride, an inhibitor of NADPH oxidase. Nicorandil dose-dependently inhibited
the reactive oxygen species generation induced by an oxidative stress in
endothelial cells. The effect of nicorandil on anti-aggregation activity was
abrogated by glibenclamide, an ATP-sensitive potassium (K(ATP)) channel blocker.
Pinacidil, a K(ATP) channel opener, also enhanced the anti-aggregation activity
of endothelial NO. This effect was similarly abrogated by glibenclamide. These
results suggest that nicorandil may inhibit the generation of superoxide (O(2)(
)) from hypoxia-reoxygenation treated endothelial cells through activation of the
K(ATP) channel, and that nicorandil may prevent the disappearance of endothelial
NO by O(2)(-).
PMID- 18045589
TI - The C-terminus of the gamma 2 chain but not of the beta 3 chain of laminin-332 is
indirectly but indispensably necessary for integrin-mediated cell reactions.
AB - Using a recombinant mini-laminin-332, we showed that truncation of the three C
terminal amino acids of the gamma 2 chain, but not of the C-terminal amino acid
of the beta 3 chain, completely abolished alpha 3 beta 1 integrin binding and its
cellular functions, such as attachment and spreading. However, a synthetic
peptide mimicking the gamma 2 chain C-terminus did not interfere with alpha 3
beta 1 integrin binding or cell adhesion and spreading on laminin-332 as measured
by protein interaction assays and electric cell-substrate impedance sensing. Nor
was the soluble peptide able to restore the loss of integrin-mediated cell
adhesiveness to mini-laminin-332 after deletion of the gamma 2 chain C-terminus.
These findings spoke against the hypothesis that the gamma 2 chain C-terminus of
laminin-332 is a part of the alpha 3 beta 1 integrin interaction site. In
addition, structural studies with electron microscopy showed that truncation of
the gamma 2 chain C-terminus opened up the compact supradomain structure of LG1-3
domains. Thus, by inducing or stabilizing an integrin binding-competent
conformation or array of the LG1-3 domains, the gamma 2 chain C-terminus plays an
indirect but essential role in laminin-332 recognition by alpha 3 beta 1 integrin
and, hence, its cellular functions.
PMID- 18045590
TI - Expression pattern, regulation, and functions of methionine adenosyltransferase
2beta splicing variants in hepatoma cells.
AB - BACKGROUND & AIMS: Methionine adenosyltransferase (MAT) catalyzes S
adenosylmethionine biosynthesis. Two genes (MAT1A and MAT2A) encode for the
catalytic subunit of MAT, while a third gene (MAT2beta) encodes for a regulatory
subunit that modulates the activity of MAT2A-encoded isoenzyme. We uncovered
multiple splicing variants while characterizing its 5'-flanking region. The aims
of our current study are to examine the expression pattern, regulation, and
functions of the 2 major variants: V1 and V2. METHODS: Studies were conducted
using RNA from normal human tissues, resected hepatocellular carcinoma specimens,
and cell lines. Gene expression, promoter and nuclear binding activities, growth,
and apoptosis were measured by routine assays. RESULTS: MAT2beta is expressed in
most but not all tissues, and the 2 variants are differentially expressed. The
messenger RNA levels of both variants are markedly increased in hepatocellular
carcinoma. Tumor necrosis factor (TNF)-alpha, which induces MAT2A in HepG2 cells,
also induced V1 (but not V2) expression. TNF-alpha induced the promoter activity
of MAT2beta V1, likely via nuclear factor kappaB and activator protein 1. Both
variants regulate growth, but only V1 regulates apoptosis. Reduced expression of
V1 led to c-Jun-N-terminal kinase (JNK) activation, apoptosis, and sensitized
HepG2 cells to TNF-alpha-induced apoptosis, while overexpression of V1 was
protective. However, blocking JNK1 or JNK2 activation did not prevent apoptosis
induced by V1 knockdown. V1 (but not V2) knockdown also leads to apoptosis in a
colon cancer cell line, suggesting these variants play similar roles in many cell
types. CONCLUSIONS: Different variants of MAT2beta regulate growth and death,
which broadens their importance in biology.
PMID- 18045592
TI - Incidence of esophageal adenocarcinoma in patients with Barrett's esophagus and
high-grade dysplasia: a meta-analysis.
AB - BACKGROUND: Patients with Barrett's esophagus (BE) and high-grade dysplasia (HGD)
are at a high risk for developing esophageal adenocarcinoma. However, the
reported rate of cancer development in patients with HGD who were undergoing
surveillance has varied among published studies. OBJECTIVE: To determine an
overall precise estimate of cancer incidence in patients with HGD who were
undergoing surveillance endoscopy. DESIGN: Systematic review and meta-analysis.
METHODS: We conducted a systematic search of the published literature and
selected original articles that examined patients with histologically proven BE
and HGD, patients who had not undergone endoscopic ablation or surgical therapy,
patients with 6 months' follow-up, no esophageal cancer at the time of enrollment
or within 6 months, and studies in which follow-up was reported in person-time.
Two investigators independently conducted the search and abstraction. MAIN
OUTCOME MEASUREMENT: The weighted mean event rate was calculated and expressed as
the weighted incidence rate, and its CIs were calculated. RESULTS: The search
yielded 4 articles that met the inclusion criteria, and these were analyzed. A
total of 236 patients with HGD were followed for 1241 patient-years, and
esophageal adenocarcinoma was reported in 69 patients, providing a crude
incidence rate of 5.57 per 100 patient-years. The weighted incidence rate was
6.58 per 100 patient-years (95% CI, 4.97-8.19). LIMITATIONS: A small number of
studies that met inclusion criteria. CONCLUSIONS: In patients with BE and with
HGD who were undergoing surveillance, esophageal adenocarcinoma develops in
approximately 6 per 100 patient-years during the first few years of follow-up.
These data may better inform physicians and patients in management decisions.
PMID- 18045591
TI - Epithelial: lamina propria lymphocyte interactions promote epithelial cell
differentiation.
AB - BACKGROUND & AIMS: Intestinal lymphoepithelial interactions occur in the
epithelium and the subepithelial space. We asked whether normal, Crohn's disease
(CD), or ulcerative colitis (UC) lamina propria lymphocytes (LPL) could promote
intestinal epithelial cell (IEC) growth and differentiation. METHODS: T84 cells
were cocultured with isolated LPL. IECs were then lysed and subjected to
measurement of intestinal alkaline phosphatase (IAP) activity; Western blot
analysis for MAPK and Akt activation; and real-time polymerase chain reaction to
assess caudal-related homeoprotein 2 (CDX2) messenger RNA (mRNA) levels. Tissue
sections were immunostained for evidence of mitogen-activated protein kinase
(MAPK) and phosphatidylinositol 3-kinase (PI3K) activation, CDX2, and IAP; and
CDX2 mRNA expression was assessed in human colonic biopsy specimens. RESULTS: IAP
activity was increased in T84 cells cocultured for 8 days with normal LPL (P <
.05) and even greater with CD LPL (P < .001). Crypt IECs in active CD mucosa
expressed IAP ex vivo. Phospho-MAPK (extracellular signal-regulated kinase 1/2,
p38, and c-Jun-N-terminal kinase) and phospho-Akt were seen as early as 30
minutes after coculture. MAPK activation was greatest in T84 cells cocultured
with CD LPL. There was a specific increase in Phospho-p38 MAPK and Phospho-Akt
staining in the nuclei of crypt IECs in active vs inactive CD, normal mucosa, and
UC mucosa. CDX2 mRNA expression was increased in CD LPL cocultured T84 cells,
which did not correlate with CDX2 protein localization ex vivo. CONCLUSIONS:
There is cross talk between LPL and IECs, which leads to IEC differentiation. The
differentiation is accelerated in CD mucosa.
PMID- 18045593
TI - A model for mortality-morbidity conferences in gastroenterology.
PMID- 18045594
TI - Renewal of and proctoring for endoscopic privileges.
PMID- 18045595
TI - Subcapsular hepatic hematoma after ERCP.
PMID- 18045596
TI - Post-conception reproductive competition in cooperatively breeding common
marmosets.
AB - Common marmosets are cooperatively breeding monkeys that exhibit high female
reproductive skew. Subordinate females usually fail to breed as a consequence of
ovulation suppression and inhibition of sexual behavior, and, even when they do
breed, typically rear fewer infants than dominants. We evaluated possible
mechanisms of post-conception reproductive competition by comparing hormonal
profiles across pregnancy, pregnancy outcomes, infant survivorship, and behavior
in laboratory-housed families containing one (N=9) or two (N=7) breeding females.
Breeding females in plurally breeding groups did not exhibit well-defined
dominance relationships and rarely engaged in escalated aggression with one
another. No significant differences were found among singly breeding mothers,
plurally breeding mothers, and plurally breeding daughters in urinary chorionic
gonadotropin or estradiol sulfate concentrations during pregnancy, fetal
biparietal diameter, frequency of spontaneous abortion, frequency of stillbirths,
number of live-born infants per litter, or infant mortality rates. When females
gave birth while another female in the family was pregnant, however, their
infants were highly likely to be killed. The perpetrator was definitively
identified in only one family, in which a pregnant female killed her daughter's
infant. These results are consistent with observations of free-living common
marmosets and suggest that breeding females do not regularly influence one
another's pregnancy outcomes, but that they may commonly kill each other's
infants, especially during their own pregnancy. Our findings further suggest that
infanticide by breeding females may have selected for the evolution of
reproductive restraint in subordinate female marmosets.
PMID- 18045597
TI - How useful is the appetitive and consummatory distinction for our understanding
of the neuroendocrine control of sexual behavior?
PMID- 18045598
TI - The efficacy of negative pressure wound therapy in the management of lower
extremity trauma: review of clinical evidence.
AB - A large number of aids have been conceived and introduced into clinical practice
(nutritional supplements, local dressings, technical innovations) aimed at
facilitating and optimising wound healing in both acute and chronic wound
settings. Among these advances, negative pressure wound therapy (NPWT) has been
introduced during the last 30 years, and has been analysed in over 400
manuscripts of the English, Russian and German literature. Until very recently,
vacuum assisted closure (VAC) (KCI, TX, USA) has been the only readily available
commercial device that provides localised negative pressure to the wound and is
the predominant agent used to deliver NPWT featured in this review. We conducted
a comprehensive review of the existing clinical evidence of the English
literature on the applications of NPWT in the acute setting of trauma and burns
of the lower extremity. Overall, 16 clinical studies have been evaluated and
scrutinised as to the safety and the efficacy of this adjunct therapy in the
specific environment of trauma. Effectiveness was comparable to the standard
dressing and wound coverage methods. The existing clinical evidence justifies its
application in lower limb injuries associated with soft tissue trauma.
PMID- 18045599
TI - Prevalence and current concepts of management of farmyard injuries.
AB - Farmyard injuries in young adults and the elderly usually result from machinery
accidents, whereas children tend to be injured by runovers and motor vehicle
collisions. A variety of farmyard injuries secondary to environmental and human
factors may cause permanent disability or even death. Common injuries include
lacerations, hand injuries, amputations, farm animal bites, fractures and
dislocations. Special considerations should be taken into account when treating
these injuries, focusing on their noteworthy bacterial load in order to minimise
the risk of chronic morbidity.
PMID- 18045600
TI - Immunity, trauma and the elderly.
AB - It is believed that an uncontrolled or poorly coordinated immune reaction can be
stimulated by major trauma and be responsible for the development of the multiple
organ dysfunction syndrome (MODS). The elderly have a reduced ability to mount an
effective immune reaction with deficiencies involving both humoral and cellular
aspects of immunity that involve poor function of both the stimulatory and immuno
suppressive sides of the immune process. However, there is currently no hard
evidence that the excess mortality after major trauma in the elderly is
associated with an impaired or excessive immune response. It is possible that
their poor resistance to infection is important and immune modulated but the
dominant factor in the excess mortality in the elderly population is probably
associated with their lack of physiological reserve to respond to a major
physiological challenge.
PMID- 18045601
TI - Effect of a lower-dose cetrorelix acetate protocol on in-vitro fertilization
outcome.
AB - OBJECTIVE: To determine whether a low initial dosage of cetrorelix acetate could
prevent a premature luteinizing hormone (LH) surge in women undergoing controlled
ovarian stimulation. METHOD: Treatment with a recombinant follicle stimulating
hormone was started on Day 3 of the menstrual cycle, and 0.125 mg of cetrorelix
was injected daily from Day 5 of the ovarian stimulation until the diameter of
the dominant follicle reached at least 16 mm. The dosage was then doubled and
maintained at 0.250 mg/day until the day before the injection of human chorionic
gonadotropin. RESULT: There was a significant decrease in serum LH concentration
1 day after doubling the cetrorelix dosage, and the LH concentration remained low
during the follicular phase. Clinical pregnancy occurred in 18 women (42.8%),
with 2 intrauterine fetal deaths before the 12th week. CONCLUSION: Increasing the
cetrorelix dosage from 0.125 to 0.250 mg/day when the follicular size is
appropriate can prevent a premature LH surge.
PMID- 18045602
TI - Ophthalmic artery Doppler velocimetry in healthy pregnancy.
AB - OBJECTIVES: To establish normative data for ophthalmic artery Doppler parameters
throughout a healthy pregnancy, to investigate the possible correlation between
these Doppler measurements and gestational age, and to test intraobserver
variability. METHODS: A prospective cross-sectional study was performed to assess
various Doppler parameters in 276 healthy women between 20 and 39 weeks of
gestation. The following measurements were obtained: pulsatility and resistance
indices (PI, RI), peak systolic velocity (PSV), peak diastolic velocity (PDV),
end diastolic flow velocity (EDFV), and peak ratio (PR). Quantile regression was
used to estimate reference values throughout pregnancy and P<0.05 was considered
significant. The intraclass correlation coefficient (Intra-CC) was used to
calculate intraobserver variability. RESULTS: The 5th and 95th prediction
intervals for each gestational age are presented. No significant correlation was
detected between Doppler indices and gestational age. Intra-CC was excellent
(0.996). CONCLUSIONS: Reference values were generated for ophthalmic artery
Doppler measurements, and they did not vary significantly during healthy
pregnancy.
PMID- 18045603
TI - Uterine artery embolization for symptomatic uterine fibroids.
PMID- 18045604
TI - Uterine tumor resembling ovarian sex cord tumors treated by hysteroscopy.
PMID- 18045605
TI - Calculating the costs of work-based training: the case of NHS Cadet Schemes.
AB - BACKGROUND: The worldwide shortage of registered nurses [Buchan, J., Calman, L.,
2004. The Global Shortage of Registered Nurses: An Overview of Issues And
Actions. International Council of Nurses, Geneva] points to the need for
initiatives which increase access to the profession, in particular, to those
sections of the population who traditionally do not enter nursing. This paper
reports findings on the costs associated with one such initiative, the British
National Health Service (NHS) Cadet Scheme, designed to provide a mechanism for
entry into nurse training for young people without conventional academic
qualifications. The paper illustrates an approach to costing work-based learning
interventions which offsets the value attributed to trainees' work against their
training costs. OBJECTIVE: To provide a preliminary evaluation of the cost of the
NHS Cadet Scheme initiative. DATA SOURCE: Questionnaire survey of the leaders of
all cadet schemes in England (n=62, 100% response) in December 2002 to collect
financial information and data on progression of cadets through the scheme, and a
follow-up questionnaire survey of the same scheme leaders to improve the quality
of information, which was completed in January 2004 (n=56, 59% response).
PRINCIPAL FINDINGS: The mean cost of producing a cadet to progress successfully
through the scheme and onto a pre-registration nursing programme depends
substantially on the value of their contribution to healthcare work during
training and the progression rate of students through the scheme. The findings
from this evaluation suggest that these factors varied very widely across the 62
schemes. Established schemes have, on average, lower attrition and higher
progression rates than more recently established schemes. Using these rates, we
estimate that on maturity, a cadet scheme will progress approximately 60% of
students into pre-registration nurse training. CONCLUSIONS: As comparative
information was not available from similar initiatives that provide access to
nurse training, it was not possible to calculate the cost effectiveness of NHS
Cadet Schemes. However, this study does show that those cadet schemes which have
the potential to offer better value for money, are those where the progression
rates are good and where the practical training of cadets is organised such that
cadets meet the needs of patients which might otherwise have to be met by non
professionally qualified staff.
PMID- 18045606
TI - Beta3 integrin haplotype influences gene regulation and plasma von Willebrand
factor activity.
AB - The Leu33Pro polymorphism of the gene encoding beta(3) integrin (ITGB3) is
associated with acute coronary syndromes and influences platelet aggregation.
Three common promoter polymorphisms have also been identified. The aims of this
study were to (1) investigate the influence of the ITGB3 -400C/A, -425A/C and
468G/A promoter polymorphisms on reporter gene expression and nuclear protein
binding and (2) determine genotype and haplotype associations with platelet
alpha(IIb)beta(3) receptor density. Promoter haplotypes were introduced into an
ITGB3 promoter-pGL3 construct by site directed mutagenesis and luciferase
reporter gene expression analysed in HEL and HMEC-1 cells. Binding of nuclear
proteins was assessed by electrophoretic mobility shift assay. The association of
ITGB3 haplotype with platelet alpha(IIb)beta(3) receptor density was determined
in 223 subjects. Species conserved motifs were identified in the ITGB3 promoter
in the vicinity of the three polymorphisms. The GAA, GCC, AAC, AAA and ACC
constructs induced approximately 50% increased luciferase expression relative to
the GAC construct in both cell types. Haplotype analysis including Leu33Pro
indicated five common haplotypes; no associations between ITGB3 haplotypes and
receptor density were found. However, the GCC-Pro33 haplotype was associated with
significantly higher vWF activity (128.6 [112.1-145.1]%) compared with all other
haplotypes (107.1 [101.2-113.0]%, p=0.02). In conclusion, the GCC-Pro33 haplotype
was associated with increased vWF activity but not with platelet
alpha(IIb)beta(3) receptor density, which may indicate ITGB3 haplotype influences
endothelial function.
PMID- 18045608
TI - Temperature-controlled ionic liquid dispersive liquid phase micro-extraction.
AB - The present study reports a novel, green and environmental benign sample
enrichment method termed temperature-controlled ionic liquid dispersive liquid
phase micro-extraction. An ionic liquid [C6MIM][PF6], was used as the extraction
solvent and pyrethroid pesticides as the model compounds. The ionic liquid was
dispersed completely into the aqueous solution under the drive of temperature,
and the analytes will more easily migrate into the ionic liquid phase because of
the much larger contact area than that of conventional single drop liquid micro
extraction. Results obtained indicated that this system could be tuned to a great
extent because ionic liquids can be designable and the method does not suffer
from the limitations of that in conventional solvent micro-extraction. Analytical
parameters of the proposed method were investigated and good linear range (1.5
100 microg L(-1)), detection limits (0.28-0.6 microg L(-1)), and precision (RSD
of 2.7-9.3%, n=6) was obtained. Good spiked recoveries from six real water
samples proved that this method was competitive in practical applications.
Considering the large variety of ionic liquids and the tunable amounts of used
ionic liquids in different experiments, the proposed method earns many merits and
will have a wide application perspective in the future.
PMID- 18045607
TI - Circulating soluble ICAM-1 levels shows linkage to ICAM gene cluster region on
chromosome 19: the NHLBI Family Heart Study follow-up examination.
AB - Atherogenesis is a chronic inflammatory process in which intercellular adhesion
molecule 1 (ICAM-1) plays a critical role. Circulating soluble ICAM-1 (sICAM-1)
is thought to be the result of cleavage of membrane-bound ICAM-1 and its
concentration in serum/plasma has been shown to be heritable. Genome-wide linkage
scans were conducted for quantitative trait loci influencing sICAM-1. Phenotype
and genetic marker data were available for 2617 white and 531 black individuals
in the NHLBI Family Heart Study follow-up examination. Heritability for sICAM-1
was 0.39 in whites and 0.59 in blacks. Significant linkage was observed on
chromosome 19 (LOD=4.0 at 14cM) in whites near the ICAM gene cluster that
includes the structural gene for ICAM-1. The T-allele of ICAM-1 SNP rs5491 has
been strongly associated with the specific sICAM-1 assay we used in our study.
Through additional genotyping we were able to rule out rs5491 as the cause of the
linkage finding. This study provides preliminary evidence linking genetic
variation in the ICAM1 structural gene to circulating sICAM-1 levels.
PMID- 18045609
TI - Efficient purification and metabolite analysis of radiotracers using high
performance liquid chromatography and on-line solid-phase extraction.
AB - This study describes an efficient method using on-line solid-phase extraction
(SPE) (Oasis HLB) for preparative HPLC purification of short-lived radiotracers
for positron emission tomography (PET) and for HPLC analysis of radiotracers and
their metabolites in cell homogenates, plasma and urine samples. The
radiochemical purity of tracers (fluorine-18 labeled) purified using this method
(Oasis column) was >99% compared to 90% when no Oasis column was used.
Radiometabolites of several fluorine-18 and carbon-11-labeled tracers and one
technetium-99m tracer were quantified in cell homogenates, plasma and urine
samples. Samples were analyzed using Oasis column and analytical HPLC system
without prior precipitation of proteins or removal of other biological matrices.
The metabolites observed for the evaluated tracers were all polar relative to the
unchanged tracer. The extraction repeatability was found to be good (RSD 2.2%)
and recoveries of Oasis column/HPLC-injected radioactivity (plasma) were found to
be high (mean recovery >91%). The same Oasis column was used for several times
without back pressure build-up or decrease of the HPLC separation
characteristics.
PMID- 18045610
TI - Quantitative determination of chlorophenols in leather by pressurized liquid
extraction and liquid chromatography with diode-array detection.
AB - Pressurized liquid extraction (PLE) with acetonitrile was used for the recovery
of chlorophenols (4-chloro-3-methylphenol, 4-chloro-2-methylphenol, 2,4
dichlorophenol, 2-phenylphenol, 2,4,6-trichlorophenol, 2,3,4,6-tetrachlorophenol
and pentachlorophenol) present as biocides in leather. After a single cycle PLE
treatment, solutions underwent pre-concentration by evaporation of the solvent
under vacuum and clean-up treatment with solid-phase extraction cartridges.
Quantitative analysis of the target compounds was carried out by liquid
chromatography with gradient elution and UV spectrophotometric detection at
variable wavelength for the various analytes in the range 190-240 nm.
Instrumental detection limits and operative detection limits in the real matrices
were determined according to the Hubaux-Vos approach and to the US Environmental
Protection Agency procedures. The detection limits for the seven analytes ranged
from 10 to 70 microg kg(-1). Linearity was very good in the explored range (10(
7)-10(-5)M) giving R(2) values from 0.995 to 1.000 for pentachlorophenol and 2,4
dichlorophenol, respectively. Repeatability was satisfactory, 2-5% for a 1 x 10(
6)M level of concentration, on five repeated measurements on the sample. Recovery
yield values with the proposed procedure were determined using spiked samples.
Overall recovery ranged from 88 to 97%. The method was used for routine analysis
of real leather samples.
PMID- 18045611
TI - Identification of prostate cancer antigens by automated high-throughput filter
immunoscreening.
AB - There is a need for earlier and more accurate cancer diagnostics as well as new
targets for cancer immunotherapy. To this end, it is important to identify sets
of tumour antigens specific for different cancer forms. Several methods that
identify potential tumour antigens in an arrayed and high-throughput format have
been developed during the last years of SEREX (serological identification of
antigens by recombinant expression cloning) related research. Such techniques may
hold the potential to describe the complete immunogenic part of the cancer
proteome, also called the cancer immunoproteome. We have developed a powerful
platform for automated serological high-throughput filter screening of tumour
cDNA libraries. The screening format of this method is 18,000 single cDNAs
clones, which is superior to other high-throughput methods described. The output
is antigens, which are potential diagnostic cancer markers and vaccine targets.
We present here the results from the screening of a prostate tumour cDNA library
with autologous patient antibodies. We first demonstrated the feasibility of the
automated high-throughput filter immunoscreening method by use of the NY-ESO-1sv
(NY-ESO-1 splice variant) antigen. We then screened 18,000 cDNA clones from a
phage display selected prostate tumour cDNA library with autologous patient
antibodies and identified several relevant antigens such as NY-ESO-1, XAGE-1, DJ
1 and transcription factor 25 (TCF25). The present high-throughput
immunoscreening method has the potential to identify both patient-specific and
disease-specific antigens for use in diagnostics and therapy.
PMID- 18045612
TI - Nest volatiles as modulators of nestmate recognition in the ant Camponotus
fellah.
AB - When ants from alien colonies encounter each other the stereotypic reaction is
usually one of aggressive behavior. It has been shown that factors such as queen
derived cues or nest-odors modulate this reaction. Here we examined whether nest
volatiles affect nestmate recognition by observing the reaction of nestmates
towards individual workers under one of four regimes: completely isolated;
isolated but receiving a constant airflow from the mother colony; as previous but
with the passage of nest volatiles towards the isolated ants blocked by
adsorption on a SuperQ column; or reversed airflow direction-from the isolated
ants to the nest interior. Ants that had been completely isolated for three weeks
were subjected to aggressive behavior, but not those that had continued to
receive airflow from the mother colony. Adsorbing the nest volatiles from the
airflow by SuperQ abolished this difference, with these ants now also being
subjected to aggression, indicating that nest volatiles can modulate nestmate
recognition. Reverse airflow also reduced the level of aggression but to a lesser
extent than airflow directed from the mother colony. In queenless colonies the
overall aggression was reduced under all regimes, and there was no effect of
flow, suggesting that the volatiles involved are queen-borne. The SuperQ adsorbed
volatiles originated from Dufour's gland secretions of both workers and queen,
implicating them in the process. Cuticular hydrocarbon profiles were not affected
by exposure to nest volatiles, suggesting that the latter either constitute part
of the recognition cues or affect worker behavior via a different, as yet elusive
mechanism.
PMID- 18045613
TI - Kv4.3 is not required for the generation of functional Ito,f channels in adult
mouse ventricles.
AB - Accumulated evidence suggests that the heteromeric assembly of Kv4.2 and Kv4.3
alpha-subunits underlies the fast transient Kv current (I(to,f)) in rodent
ventricles. Recent studies, however, demonstrated that the targeted deletion of
Kv4.2 results in the complete elimination of I(to,f) in adult mouse ventricles,
revealing an essential role for the Kv4.2 alpha-subunit in the generation of
mouse ventricular I(to,f) channels. The present study was undertaken to
investigate directly the functional role of Kv4.3 by examining the effects of the
targeted disruption of the KCND3 (Kv4.3) locus. Mice lacking Kv4.3 (Kv4.3-/-)
appear indistinguishable from wild-type control animals, and no structural or
functional abnormalities were evident in Kv4.3-/- hearts. Voltage-clamp
recordings revealed that functional I(to,f) channels are expressed in Kv4.3-/-
ventricular myocytes, and that mean I(to,f) densities are similar to those
recorded from wild-type cells. In addition, I(to,f) properties (inactivation
rates, voltage dependences of inactivation and rates of recovery from
inactivation) in Kv4.3-/- and wild-type mouse ventricular myocytes were
indistinguishable. Quantitative RT-PCR and Western blot analyses did not reveal
any measurable changes in the expression of Kv4.2 or the Kv channel interacting
protein (KChIP2) in Kv4.3-/- ventricles. Taken together, the results presented
here suggest that, in contrast with Kv4.2, Kv4.3 is not required for the
generation of functional mouse ventricular I(to,f) channels.
PMID- 18045615
TI - Polymorphisms of Transferrin gene are associated with schizophrenia in Chinese
Han population.
AB - Several recent studies have provided evidence that abnormalities in
oligodendrocyte and myelin function may contribute to the etiopathology of
schizophrenia. Transferrin (TF), an iron transport glycoprotein playing an
important role in synthesis of myelin and the development of oligodendrocytes,
has been identified as down-regulated expression in schizophrenia brain by
microarray, quantitative PCR and in situ hybridization method. In order to
further assess the role of TF in schizophrenia, we examined seven polymorphisms
in TF region using a set sample of Chinese Han subjects consisting of 326
schizophrenia patients and 344 healthy controls. Four single nucleotide
polymorphisms (SNPs) namely, rs4481157, rs3811655, rs6762415 and rs1405022 were
analyzed in this study. Our results showed that one intronic SNP had strong
association with schizophrenia (rs3811655: allele C>G, P=1.34E-6, OR=1.89, 95%
CI=1.46-2.46; genotype P=3.72E-6). Two haplotypes A-C and G-G constructed of
rs4481157-rs3811655 also revealed significant associations with schizophrenia
(global P=0.0001). Our findings support that TF gene may be involved in
susceptibility to schizophrenia in the Chinese Han population. However, further
studies are needed to confirm these findings in other populations and to identify
functional variants in TF that may be implicated in pathogenesis.
PMID- 18045616
TI - Effects of short-term zidovudine exposure on mitochondrial DNA content and
succinate dehydrogenase activity of rat skeletal muscle cells.
AB - Long-term use of zidovudine (AZT) may cause mitochondrial abnormalities in
various tissues, including a toxic myopathy in AIDS patients associated with
mitochondrial DNA (mtDNA) depletion. In the present study, we examine the short
term (48 h) effect of AZT (10, 30 and 100 microg/ml) on the mitochondrial
succinate dehydrogenase (SDH) and mtDNA content of rat cultured skeletal muscle.
The effect of AZT on cytochrome c oxidase (COX) enzyme was also analyzed. The
histochemical quantitative analysis of SDH showed that AZT 10, 30 and 100
microg/ml increased by 7%, 9% and 13% the mitochondrial content. Conversely,
treatment of rat cultures with 10 to 100 microg/ml AZT reduced the mtDNA content
by 23% to 66%, when compared to control values. The spontaneous contraction and
the COX activity were not modified by up to 100 microg/ml AZT. Taken together,
these results show that short-term treatment with AZT can induce severe
myotoxicity that involves mitochondrial proliferation and mtDNA depletion in the
rat cultured myotubes. Our results also indicate that rat cultured skeletal
muscle might be a valuable in vitro assay to evaluate the effect of drugs on
mitochondria to predict their potential to induce mitochondrial toxicity.
PMID- 18045614
TI - Structural insight into the specific interaction between murine SHPS-1/SIRP alpha
and its ligand CD47.
AB - SRC homology 2 domain-containing protein tyrosine phosphatase substrate 1 (SHPS-1
or SIRP alpha/BIT) is an immunoglobulin (Ig) superfamily transmembrane receptor
and a member of the signal regulatory protein (SIRP) family involved in cell-cell
interaction. SHPS-1 binds to its ligand CD47 to relay an inhibitory signal for
cellular responses, whereas SIRPbeta, an activating member of the same family,
does not bind to CD47 despite sharing a highly homologous ligand-binding domain
with SHPS-1. To address the molecular basis for specific CD47 recognition by SHPS
1, we present the crystal structure of the ligand-binding domain of murine SHPS-1
(mSHPS-1). Folding topology revealed that mSHPS-1 adopts an I2-set Ig fold, but
its overall structure resembles IgV domains of antigen receptors, although it has
an extended loop structure (C'E loop), which forms a dimer interface in the
crystal. Site-directed mutagenesis studies of mSHPS-1 identified critical
residues for CD47 binding including sites in the C'E loop and regions
corresponding to complementarity-determining regions of antigen receptors. The
structural and functional features of mSHPS-1 are consistent with the human SHPS
1 structure except that human SHPS-1 has an additional beta-strand D. These
results suggest that the variable complementarity-determining region-like loop
structures in the binding surface of SHPS-1 are generally required for ligand
recognition in a manner similar to that of antigen receptors, which may explain
the diverse ligand-binding specificities of SIRP family receptors.
PMID- 18045617
TI - Neutral networks of sequence to shape maps.
AB - In this paper we present a combinatorial model of sequence to shape maps. Our
particular construction arises in the context of representing nucleotide
interactions beyond Watson-Crick base pairs and its key feature is to replace
biophysical steric by combinatorial constraints. We show that these combinatory
maps produce exponentially many shapes and induce sets of sequences which contain
extended connected subgraphs of diameter n, where n denotes the length of the
sequence. Our main result is to prove the existence of exponentially many shapes
that have neutral networks.
PMID- 18045618
TI - Kinetic properties required for sustained or paradoxical control of metabolic
fluxes under large changes in enzyme activities.
AB - The effect that an increase in the activity of an enzyme has on its flux normally
decreases with activity increase. To achieve a large increase in flux by
manipulating a single step would therefore require a high initial effect that
maintains or increases when the activity is increased, what has been called
sustained or paradoxical control. Using metabolic control analysis for large
responses, we derive conditions for sustained or paradoxical control in terms of
elasticity coefficients. These are used to characterise types of rate laws
contributing to this behaviour. The result that simple pathways, with normal
kinetics, subject to large activity changes can lead to paradoxical control
behaviour suggests that this type of pattern may be much more ubiquitous than
could have, in principle, been suspected.
PMID- 18045619
TI - A model for the evolutionary maintenance of monogyny in spiders.
AB - Sexual selection theory predicts that males should attempt to mate with several
females, unless the benefits of male promiscuity are trumped by alternative
benefits associated with male monogamy (monogyny). Here we use a game theory
model to address the adaptive value of a monogynous strategy, which has the sole
benefit of enhancing a male's paternity share in the context of competition with
other males. We consider two ways in which monogynists might enhance their
paternity: by outcompeting rival ejaculates in sperm competition, and by reducing
the probability that a female remates with rival males. The model is based on the
biology of some particularly well-studied spider species, in which males are
morphologically restricted to mate with either one or at most two females in
their lifetime. Our results suggest that, regardless of the mechanism of
paternity enhancement involved, a male-biased sex ratio is generally required for
the evolution and maintenance of monogyny. Moreover, we show that there is a
large region of parameter space where monogyny and bigyny can coexist as
alternative mating strategies under negative frequency dependent selection. There
is also a narrow range of conditions where either monogyny or bigyny can be
evolutionarily stable. Our results are in qualitative agreement with empirical
findings in spiders.
PMID- 18045620
TI - Central and local limit theorems for RNA structures.
AB - A k-noncrossing RNA pseudoknot structure is a graph over {1,...,n} without 1
arcs, i.e. arcs of the form (i,i+1) and in which there exists no k-set of
mutually intersecting arcs. In particular, RNA secondary structures are 2
noncrossing RNA structures. In this paper we prove a central and a local limit
theorem for the distribution of the number of 3-noncrossing RNA structures over n
nucleotides with exactly h bonds. Our analysis employs the generating function of
k-noncrossing RNA pseudoknot structures and the asymptotics for the coefficients.
The results of this paper explain the findings on the number of arcs of RNA
secondary structures obtained by molecular folding algorithms and are of
relevance for prediction algorithms of k-noncrossing RNA structures.
PMID- 18045621
TI - Emergence and resilience of cooperation in the spatial prisoner's dilemma via a
reward mechanism.
AB - We study the problem of the emergence of cooperation in the spatial Prisoner's
Dilemma. The pioneering work by Nowak and May [1992. Evolutionary games and
spatial chaos. Nature 415, 424-426] showed that large initial populations of
cooperators can survive and sustain cooperation in a square lattice with imitate
the-best evolutionary dynamics. We revisit this problem in a cost-benefit
formulation suitable for a number of biological applications. We show that if a
fixed-amount reward is established for cooperators to share, a single cooperator
can invade a population of defectors and form structures that are resilient to re
invasion even if the reward mechanism is turned off. We discuss analytically the
case of the invasion by a single cooperator and present agent-based simulations
for small initial fractions of cooperators. Large cooperation levels, in the
sustainability range, are found. In the conclusions we discuss possible
applications of this model as well as its connections with other mechanisms
proposed to promote the emergence of cooperation.
PMID- 18045622
TI - Mechanisms of the vasorelaxant effect of 1, 5-dihydroxy-2, 3-dimethoxy-xanthone,
an active metabolite of 1-hydroxy-2, 3, 5-trimethoxy-xanthone isolated from a
Tibetan herb, Halenia elliptica, on rat coronary artery.
AB - 1, 5-Dihydroxy-2, 3-dimethoxy-xanthone (HM-5) is one of the naturally-occurring
xanthones of a Tibetan medicinal herb Halenia elliptica. Recently, it has been
shown that HM-5 is one of the phase I metabolites of 1-hydroxy-2, 3, 5-trimethoxy
xanthone (HM-1), the major active component of H. elliptica with potent
vasorelaxant actions. This study investigated the vasorelaxant effect of HM-5 and
its mechanism(s). HM-5 (0.35-21.9 microM) produced a concentration-dependent
relaxation in rat coronary artery rings pre-contracted with 1 microM 5
hydroxytryptamine (5-HT), with an EC(50) of 4.40+/-1.08 microM. Unlike HM-1, the
effect of HM-5 was endothelial-independent such that removal of the endothelium
did not affect its vasodilator potency. Nitric oxide synthase (NOS) inhibitor
N(omega)-nitro-l-arginine methyl ester (l-NAME, 100 microM), the soluble
guanylate cyclase inhibitor 1H-[1,2,4] oxadiazolo [4,3-alpha] quinoxalin-1-one
(ODQ, 10 microM) did not affect the vasodilatory effects of HM-5, thus confirming
the non-involvement of endothelium related mechanisms. In endothelium-denuded
coronary artery rings, the vasorelaxant effect of HM-5 was inhibited by a
potassium channel blocker, TEA (10 mM), and 4-aminopyridine (4-AP, a K(v)
blocker; 1 mM) but not by other K+ channel blockers such as iberiotoxin (100 nM),
barium chloride (100 microM) and glibenclamide (10 microM). The involvement of
Ca2+ channel was studied in artery rings pre-incubated with Ca2+-free buffer
(intact endothelium or endothelium-denuded) and primed with 1 microM 5-HT or 60
mM KCl prior to the addition of CaCl2 to elicit contraction. In the 5-HT-primed
preparations, HM-5 (34.7 microM) significantly inhibited the CaCl(2)-induced
vasoconstriction (89.9% inhibition in intact endothelium artery rings; 83.3%
inhibition in endothelium-denuded rings). In the KCl-primed preparations, HM-5
(34.7 microM) produced a 34% inhibition in endothelium-denuded rings. The same
concentration of HM-5 inhibited (by 62.3%) the contractile response to 10 microM
phorbol 12, 13-diacetate (PDA), a protein kinase C activator, in Ca2+-free
solutions. Taken together, this study showed that the mechanisms of the
vasorelaxant effects of HM-5 were distinctly different from those of its parent
drug HM-1. The vasorelaxant effect of HM-5 was mediated through opening of
potassium channel (4-AP) and altering intracellular calcium by partial inhibition
of Ca2+ influx through L-type voltage-operated Ca2+ channels and intracellular
Ca2+ stores.
PMID- 18045623
TI - Cav1.2 calcium channels modulate the spiking pattern of hippocampal pyramidal
cells.
AB - Ca(v)1.2 L-type calcium channels support hippocampal synaptic plasticity, likely
by facilitating dendritic Ca2+ influx evoked by action potentials (AP) back
propagated from the soma. Ca2+ influx into hippocampal neurons during somatic APs
is sufficient to activate signalling pathways associated with late phase LTP.
Thus, mechanisms controlling AP firing of hippocampal neurons are of major
functional relevance. We examined the excitability of CA1 pyramidal cells using
somatic current-clamp recordings in brain slices from control type mice and mice
with the Ca(v)1.2 gene inactivated in principal hippocampal neurons. Lack of the
Ca(v)1.2 protein did not affect either affect basic characteristics, such as
resting membrane potential and input resistance, or parameters of single action
potentials (AP) induced by 5 ms depolarising current pulses. However, CA1
hippocampal neurons from control and mutant mice differed in their patterns of AP
firing during 500 ms depolarising current pulses: threshold voltage for
repetitive firing was shifted significantly by about 5 mV to more depolarised
potentials in the mutant mice (p<0.01), and the latency until firing of the first
AP was prolonged (73.2+/-6.6 ms versus 48.1+/- 7.8 ms in control; p<0.05). CA1
pyramidal cells from the mutant mice also showed a lowered initial spiking
frequency within an AP train. In control cells, isradipine had matching effects,
while BayK 8644 facilitated spiking. Our data demonstrate that Ca(v)1.2 channels
are involved in regulating the intrinsic excitability of CA1 pyramidal neurons.
This cellular mechanism may contribute to the known function of Ca(v)1.2 channels
in supporting synaptic plasticity and memory.
PMID- 18045624
TI - Effects of heavy metal contamination on the macrobenthic fauna in estuaries: the
case of the Seine estuary.
AB - Heavy metal contamination levels are generally higher in estuaries than in the
open sea. Some estuaries, the Seine estuary for example, have particularly high
pollution levels of metals, yet continue to support a very high benthic biomass
and remain quite productive. Measurements of sediment contamination are highly
variable due to diverse chemical analysis methods, sediments origin and sources
of contaminants found in the estuaries. Salinity appears to be the principal
factor controlling contaminant distribution in the sediment and the overlying
and/or interstitial waters; it also affects the bioavailability of contaminants
in estuarine sediments. Of course, the response to contaminants varies greatly
among species and assemblages. Trace metals explain only a small part of the
variation in benthic community structure. Some species, such as the shrimp
Crangon crangon, appears vulnerable to metal pollution, while other species, such
as Scrobicularia plana, are able to tolerate quite high levels of cadmium in
their tissue. This paper demonstrates the wide variability of benthic responses
to contamination, which is probably due to the high spatio-temporal heterogeneity
of the estuary. To reduce the problems due the heterogeneity and variability
observed to date in the available results, it will be necessary to encourage
integrated estuarine studies, in which sedimentologists, chemists, and biologists
work together on the same campaigns at the same sites.
PMID- 18045625
TI - The performance of benthic indicators of ecological change in Adriatic coastal
lagoons: throwing the baby with the water?
AB - The ecological quality of 127 stations from six Adriatic coastal lagoons was
assessed using a suite of biotic indices: H', d, 1-lambda', Delta(*), Delta(+),
W, AMBI, BOPA and FINE. The analysis indicated the difficulties in deriving and
using the existing indices from benthic communities in highly variable
environmental conditions in coastal lagoons. Different metrics rendered different
results: the use of H' resulted in the classification of all stations as
"Moderate/Poor/Bad", whereas BOPA classified the majority of the stations as
"Good/High". Using Delta(+), most of the stations resulted as "Not
anthropogenically impacted", while the W-statistics gave 82 stations as
"Undisturbed" and 45 as "Moderately/Grossly disturbed". AMBI classified 55
stations as "High/Good" and 72 as "Moderate/Poor/Bad", whereas those were 32 and
95 with FINE, respectively. The latter, which was developed just for Italian
coastal lagoons, was the most conservative among the indices studied. Yet, it
showed the highest correlation with the species/abundance matrix. Using indices
developed for coastal waters in lagoons can give the distorted indication that
the water body is degraded, when it might be just a natural, low diversity, high
abundance community, i.e. a lagoonal community. Moreover, the outcome of the use
of a certain index has a financial dimension such that lagoons misclassified as
being "poor status" will then require expensive remediation measures. For the
lagoons studied, there is probably an adequate quality and quantity of benthic
data available for making management decisions, but this study highlights the
limits of the existing indices for lagoonal ecosystems. The challenge for the
next future is to couple long term conservation of the natural environment with
the highly productive activities carried out in lagoonal ecosystems.
PMID- 18045626
TI - Decreased anticonvulsant efficacy of allopregnanolone during ethanol withdrawal
in female Withdrawal Seizure-Prone vs. Withdrawal Seizure-Resistant mice.
AB - The GABAergic neurosteroid allopregnanolone (ALLO) has been repeatedly shown to
have an increased anticonvulsant effect during ethanol withdrawal in rats and in
C57BL/6J mice. In contrast, the seizure prone DBA/2J inbred strain and the
Withdrawal Seizure-Prone (WSP) selected line exhibited decreased sensitivity to
ALLO's anticonvulsant effect during ethanol withdrawal, with no change in
sensitivity in the Withdrawal Seizure-Resistant (WSR) line. To date, only male
mice have been tested. Thus, the present study examined ALLO sensitivity during
ethanol withdrawal in female WSP and WSR mice, since females display less severe
physical symptoms of withdrawal and have higher circulating ALLO levels than
males. Female WSP and WSR mice were exposed to ethanol vapor or air for 72h.
During peak ethanol withdrawal, separate groups of mice were injected with
vehicle or ALLO (0, 3.2, 10, or 17mg/kg, i.p.) prior to the timed tail vein
infusion of pentylenetetrazol (PTZ). ALLO injection significantly increased the
threshold dose for onset to PTZ-induced convulsions, indicating an anticonvulsant
effect, in female WSP and WSR mice. During ethanol withdrawal, sensitivity to
ALLO's anticonvulsant effect was slightly increased in female WSR mice but was
significantly decreased in female WSP mice. This line difference in sensitivity
to ALLO during ethanol withdrawal in female mice was similar to that in the male
mice. Notably, all seizure prone genotypes tested to date displayed tolerance to
the anticonvulsant effect of ALLO during ethanol withdrawal, suggesting that
decreased sensitivity of GABA(A) receptors to ALLO may contribute to the
increased ethanol withdrawal phenotype.
PMID- 18045628
TI - Changes in Heart Rate Variability during a tonic immobility test in quail.
AB - Tonic immobility (TI) is an unlearned fear response induced by a brief physical
restraint and characterized by a marked autonomic nervous system involvement.
This experiment aimed at studying the relative involvement of both autonomic sub
systems, the sympathetic and parasympathetic nervous systems, during TI, by
analyzing Heart Rate Variability. Quail selected genetically for long (LTI) or
short (STI) TI duration and quail from a control line (CTI) were used. The
animals were surgically fitted with a telemetric device to record
electrocardiograms before and during a TI test. Heart rate did not differ between
lines at rest. The induction of TI, whether effective or not, induced an increase
in HR characterized by a shift of the sympathovagal balance towards a higher
sympathetic dominance. Parasympathetic activity was lower during effective than
during non-effective inductions in CTI quail. During TI, the increase in
sympathetic dominance was initially maintained and then declined, while relative
parasympathetic activity remained low, especially in CTI and STI lines. The end
of tonic immobility was characterized by a rise in overall autonomic activity in
all lines and an increase in parasympathetic influence in CTI and STI quail. To
conclude, the susceptibility to TI cannot be explained only by autonomic reflex
changes. It is probably strongly related to the perception of the test by the
quail. During TI, the differences between lines in autonomic responses probably
reflect behavioural differences in the fear response.
PMID- 18045627
TI - Withdrawal from chronic administration of cocaine decreases delta opioid receptor
signaling and increases anxiety- and depression-like behaviors in the rat.
AB - Chronic administration of cocaine has been shown to attenuate the functional
capacity of delta opioid receptors to inhibit adenylyl cyclase activity. Abuse
and withdrawal from cocaine in humans is associated with increases in anxiety and
depression. Since recent research supports the role of delta opioid receptors in
anxiety- and depression-like behaviors in rodents, we hypothesized that
functional desensitization of delta opioid receptors contributes to anxiety- and
depression-like behavioral phenotypes following short-term withdrawal from
chronic administration of cocaine. To test this hypothesis, delta opioid receptor
signaling and behaviors were evaluated 24h after 14days of binge-pattern cocaine
administration (15mg/kg three times daily at 1h intervals) in male Sprague-Dawley
rats. Results showed that the inhibition of adenylyl cyclase by delta opioid
receptor agonists was attenuated in the frontal cortex, nucleus accumbens and
caudate putamen 24h after cessation of cocaine administration. One day withdrawal
from chronic administration of cocaine resulted in increased anxiety- and
depression-like behaviors as measured by the elevated plus maze and the forced
swim test respectively, and no change in locomotor activity. The anxiety- and
depression-like behaviors were dose-dependently reduced by acute administration
of the selective delta opioid receptor agonist, SNC80. These results demonstrate
that early withdrawal from cocaine resulted in increased anxiety and depression,
which accompanies the desensitization of delta opioid receptor function.
Furthermore, cocaine-induced anxiety- and depression-like behaviors were
reversible by the delta opioid receptor agonist SNC80.
PMID- 18045629
TI - Effects of a bile acid elicitor, cholic acid, on the biosynthesis of diterpenoid
phytoalexins in suspension-cultured rice cells.
AB - An elicitor of rice defense responses was recently isolated from human feces and
was identified as cholic acid (CA). Pathogen infection in rice leaves induces
phytocassanes and momilactones, both of which are major diterpenoid phytoalexins
in rice, whereas CA mainly induces phytocassanes. We established a high
performance liquid chromatography-electrospray ionization-tandem mass
spectrometry protocol for the rapid and accurate quantification of phytocassanes
and momilactones. Using this method, we showed that CA preferentially induced the
formation of phytocassanes in suspension-cultured rice cells, while a fungal
chitin oligosaccharide elicitor induced that of both phytocassanes and
momilactones. We further investigated the effects of CA on the expression of
diterpene cyclase genes involved in phytoalexin biosynthesis. CA induced the
transcription of the genes OsCPS2 (OsCyc2) and OsKSL7 (OsDTC1), which are
involved in phytocassane biosynthesis, to a greater extent than the genes OsCPS4
(OsCyc1) and OsKSL4, which are involved in momilactone biosynthesis. OsCPS2 was
particularly strongly induced, suggesting that it is one of the main mechanisms
by which CA induces high levels of phytocassanes.
PMID- 18045630
TI - Trinorcucurbitane and cucurbitane triterpenoids from the roots of Momordica
charantia.
AB - Five cucurbitacins, kuguacins A-E (1-5), together with three known analogues,
3beta,7beta,25-trihydroxycucurbita-5,(23E)-diene-19-al (6), 3beta,25-dihydroxy
5beta,19-epoxycucurbita-6,(23E)-diene (7), and momordicine I (8), were isolated
from roots of Momordica charantia. Structures of 1-5 were elucidated by NMR and
MS spectroscopic analysis. Among them, compounds 3-5 possess an unprecedented
25,26,27-trinorcucurbitane backbone. Compounds 3 and 5 showed moderate anti-HIV-1
activity with EC(50) values of 8.45 and 25.62 microg/ml, and exerted minimal
cytotoxicity against C8166 cells (IC(50)>200 microg/ml), with a selectivity index
more than 23.68 and 7.81, respectively.
PMID- 18045631
TI - The amniotic plaques in sheep of the Karagouniko breed.
AB - The structure of amniotic plaques and adjacent epithelium of full term ewes of
the Karagouniko breed were studied using scanning electron microscopy (SEM) and
light microcopy. The amniotic plaques appeared as cauliflower-like structures
mainly trifurcate or as single papillae. The wall of their stems possessed
numerous foldings and round openings. Of interest to note was the abundant
vascularization observed in sections of the amniotic plaques. The adjacent
amniotic epithelium to the plaques revealed a heterogenous surface which was
composed of cells of various forms.
PMID- 18045633
TI - Suppression of aromatase in human breast cells by a cyclooxygenase-2 inhibitor
and its analog involves multiple mechanisms independent of cyclooxygenase-2
inhibition.
AB - Previous studies have demonstrated that cyclooxygenase-2 (COX-2) inhibitor NS-398
decrease aromatase activity at the transcript level in breast cancer cells.
However, N-Methyl NS-398, which does not have COX-2 inhibitory activity but has
very similar structure to NS-398, decreases aromatase activity and transcription
in MCF-7 and MDA-MB-231 breast cells to the same extent as NS-398. This suggests
that NS-398 decrease aromatase expression in breast cancer cells via other
mechanism(s). Further investigations find that both compounds only decrease
aromatase activity stimulated by forskolin/phorbol ester at the transcript level
in both breast cancer cell lines and in breast stromal cells from patients. They
do not affect aromatase expression and activity stimulated by dexamethasone. Both
compounds also suppress MCF-7 cell proliferation stimulated by testosterone.
Aromatase inhibition studies using placental microsomes demonstrate that the
compounds show only weak direct aromatase inhibition. These results suggest that
NS-398 and its N-methyl analog suppress aromatase expression and activity with
multiple mechanisms.
PMID- 18045634
TI - Specific cerebral heat shock proteins and histamine receptor cross-talking
mechanisms promote distinct lead-dependent neurotoxic responses in teleosts.
AB - Recent interests are beginning to be directed towards toxic neurobiological
dysfunctions caused by lead (Pb) in aquatic vertebrates. In the present work,
treatment with a maximum acceptable toxic concentration of this heavy metal was
responsible for highly significant (p<0.01) abnormal motor behaviors such as
hyperactive movements in the teleost Thalassoma pavo and the same treatment
accounted for significantly (p<0.05) enhanced hyperventilating states. On the
other hand, greater abnormal motor behaviors were detected in the presence of the
histamine (HA) receptor subtype 2 (H(2)R) antagonist cimetidine (Cim), as shown
by the very robust (p<0.001) increases of the two behavioral states.
Interestingly, elevated expression levels of stress-related factors, i.e. heat
shock protein70/90 (HSP90/70) orthologs were reported for the first time in
hypothalamic and mesencephalic areas of Pb-treated teleosts. In particular, an up
regulation of HSP70 was readily detected when this heavy metal was given
concomitantly with Cim, while the histamine subtype 3 antagonist (H(3)R)
thioperamide (Thio), instead, blocked Pb-dependent up-regulatory trends of both
chaperones in mostly hypothalamic areas. Moreover, intense neuronal damages of
the above brain regions coincided with altered expressions of HSP70 and HSP90
when treated only with Cim. Overall these first results show that distinct H(n)R
are able to exert a net neuroprotective role arising from their interaction with
chaperones in fish exposed to Pb-dependent stressful conditions making this a
potentially key interaction especially for T. pavo, aquatic species which plays
an important ecological role towards the survival of other commercially vital
fishes.
PMID- 18045635
TI - On the presence of antibodies against bovine, equine and poultry immunoglobulins
in human IgG preparations, and its implications on antivenom production.
AB - Specific immunoassays were developed to detect anti-horse, anti-chicken and anti
bovine immunoglobulins in human IgG preparations. Three samples of 5% human IgG
for intravenous use ("Inmunoglobulina G Endovenosa al 5%"(trade mark), Quimbiotec
CA), were studied. All samples were produced from pools of >2500 plasma units
from different donors. One sample was produced from an only Venezuelan plasma
pool (2660 donors) and the other two were produced from a 1:1 blend of Venezuelan
and Canadian plasma pools. The amounts of human IgG detected were 0.017
(0.015,0.020) mg/ml (n=18) against horse IgG, 0.37 (0.28, 0.48) mg/ml (n=18)
against cattle IgG and 1.27 (1.15, 1.40) mg/ml (n=15) against chicken IgY.
Similar results were obtained on individual Venezuelan plasma samples. The
differences probably reflect the consumption and antigenicity of meat. Poultry
and bovine meat are widely consumed in Venezuela and Canada, while equine meat is
not consumed; also chicken is more heterologous to man and may be more antigenic
than bovine meat. This suggests that when IgY immunotherapeutics are used in
populations with an important dietary component of poultry meat and eggs, there
is a risk of producing untoward reactions and less efficient antivenoms.
PMID- 18045636
TI - Vascular permeability and vasodilation induced by the Loxosceles intermedia venom
in rats: involvement of mast cell degranulation, histamine and 5-HT receptors.
AB - The mechanisms involved in both local and systemic effects of Loxosceles
intermedia (brown spider) venom (LIV) are still poorly understood. We show using
rats treated with Evans blue dye (50 mg/kg, i.v.) that small doses of the LIV
(0.1, 0.3, 1 and 3 microg/site) dose-dependently increase the vascular
permeability in rats, an effect unchanged by indomethacin (5mg/kg, i.p.),
atropine (1mg/kg, i.p.), HOE-140 (2mg/kg, s.c.) or SR140333 (0.3mg/kg, i.p.), but
fully avoided by promethazine (15 mg/kg, i.p.), methysergide (2mg/kg, i.p.) and
compound 48/80 (3mg/kg/day for 3 days). Addition of cumulative concentrations of
LIV (0.1-5 microg) in phenylephrine-contracted aortic rings resulted in a partial
( approximately 40%) and endothelium-dependent relaxation, inhibited by the
nitric oxide synthase inhibitors L-NAME (10 microM) and L-NMMA (1mM), and the
guanylate cyclase inhibitors methylene blue (100 microM) and ODQ (10 microM). LIV
induced relaxation was abolished by compound 48/80 (10 microM) and pyrilamine (a
selective histamine H1 receptor antagonist; 100 microM), but not by atropine (1
microM) and indomethacin (10 microM). Our results disclose that LIV increases
vascular permeability and induces vascular relaxation. These effects occur due to
its ability to degranulate mast cells and release mediators such as histamine and
serotonin.
PMID- 18045637
TI - Micro-stereolithography as a transducer design method.
AB - This paper investigates the use of micro-stereolithography, a rapid prototyping
technique, in the manufacture of transducers. It is illustrated for the
production of electromagnetic acoustic transducer (EMATs) coils in both meander
line and spiral configurations. A synthetic aperture focussing technique (SAFT)
has been applied to the ultrasonic signals from these devices to reconstruct
images in metallic objects.
PMID- 18045638
TI - The number of herpes simplex virus-infected neurons and the number of viral
genome copies per neuron correlate with the latent viral load in ganglia.
AB - The latent viral load is the most important factor that predicts reactivation
rates of animals latently infected with herpes simplex virus (HSV). To estimate
the latent viral load, individual latently infected mouse trigeminal ganglia were
dispersed into single cell suspensions and plated into 96-well real-time PCR
plates, and HSV-2 genome copies were measured. By assuming a Poisson distribution
for both the number of HSV-2 infected cells per well and the number of HSV-2
genome copies per infected cell, the numbers of infected cells and mean genome
copies per infected cell were determined. Both the number of HSV-2 infected cells
and the mean HSV-2 genome copy per infected cell significantly correlated with
the latent viral load (p<10(-4)), indicating that both factors are responsible
for the increase in the latent viral load.
PMID- 18045639
TI - Molecular characterization of L-413C, a P2-related plague diagnostic
bacteriophage.
AB - Our analysis of the plague diagnostic phage L-413C genome sequence and structure
reveals that L-413C is highly similar and collinear with enterobacteriophage P2,
though important differences were found. Of special interest was the mosaic
nature of the tail fiber protein H in L-413C, given the differentiating
specificity of this phage for Yersinia pestis vs. Yersinia pseudotuberculosis.
While the N-terminal 207 and C-terminal 137 amino acids of L-413C display
significant homology with the P2 H protein, a large (465 amino acid) middle
section appears to be derived from a T4-related H protein, with highest
similarity to the T6 and RB32 distal tail fibers. This finding along with
appropriate preadsorption experiments suggest that the unique H protein of L-413C
may be responsible for the specificity of this phage for Y. pestis, and that the
Y. pestis receptors that are recognized and bound by L-413C either do not exist
in Y. pseudotuberculosis or have a different structure.
PMID- 18045640
TI - Autographa californica multiple nucleopolyhedrovirus ac142, a core gene that is
essential for BV production and ODV envelopment.
AB - Autographa californica multiple nucleopolyhedrovirus (AcMNPV) ac142 is a
baculovirus core gene and encodes a protein previously shown to associate with
occlusion-derived virus (ODV). To determine its role in the baculovirus life
cycle, we used the AcMNPV bacmid system to generate an ac142 deletion virus
(AcBAC(ac142KO-PH-GFP)). Fluorescence and light microscopy revealed that
AcBAC(ac142KO-PH-GFP) exhibits a single-cell infection phenotype. Titration
assays and Western blot confirmed that AcBAC(ac142KO-PH-GFP) is unable to produce
budded virus (BV). However, viral DNA replication is unaffected and the
development of occlusion bodies in AcBAC(ac142KO-PH-GFP)-transfected cells
evidenced progression to very late phases of the viral infection. Western blot
analysis showed that AC142 is expressed in the cytoplasm and nucleus throughout
infection and that it is a structural component of BV and ODV which localizes to
nucleocapsids. Electron microscopy indicates that ac142 is required for
nucleocapsid envelopment to form ODV and their subsequent occlusion, a
fundamental process to all baculoviruses.
PMID- 18045641
TI - Chlorella viruses evoke a rapid release of K+ from host cells during the early
phase of infection.
AB - Infection of Chlorella NC64A cells by PBCV-1 produces a rapid depolarization of
the host probably by incorporation of a viral-encoded K(+) channel (Kcv) into the
host membrane. To examine the effect of an elevated conductance, we monitored the
virus-stimulated efflux of K(+) from the chlorella cells. The results indicate
that all 8 chlorella viruses tested evoked a host specific K(+) efflux with a
concomitant decrease in the intracellular K(+). This K(+) efflux is partially
reduced by blockers of the Kcv channel. Qualitatively these results support the
hypothesis that depolarization and K(+) efflux are at least partially mediated by
Kcv. The virus-triggered K(+) efflux occurs in the same time frame as host cell
wall degradation and ejection of viral DNA. Therefore, it is reasonable to
postulate that loss of K(+) and associated water fluxes from the host lower the
pressure barrier to aid ejection of DNA from the virus particles into the host.
PMID- 18045642
TI - Polychlorinated biphenyls (PCBs) and hydroxy-PCBs in adipose tissue of women in
Southeast Spain.
AB - Polychlorinated biphenyls (PCBs) and hydroxylated PCBs (OH-PCBs) were
investigated in human adipose tissue samples collected from 20 women undergoing
surgery. Mean sum of PCB and sum of OH-PCB levels were 737ng/g of lipid and 8pg/g
of lipid, respectively. Among PCBs, congeners 180, 153, 138 and 170 were the most
frequent and abundant, and together constituted 72% of the total amount of PCBs
in adipose tissue. The PCB congener pattern and the frequencies and
concentrations of non-dioxin-like and non-hydroxylated congeners observed in
adipose tissue were similar in distribution and order of magnitude to the profile
previously published in Spain but lower than that found in other European
countries. Among OH-PCB congeners studied, 4-OH-PCB 107/118 was found at the
highest concentrations followed by 3'-OH-PCB 180 and 3-OH-PCB 138. To date, no
information on levels of PCB metabolites in the Spanish population is available
for comparison. These three predominant OH-PCBs contributed 97% of all OH-PCBs.
Twelve dioxin-like PCBs contributed around 8% of the total PCB exposure, and all
were present in all study subjects. Further research is required to determine
trends in human exposure to PCBs and OH-PCBs and how existing banning measures
affect exposure.
PMID- 18045643
TI - Effect of a full-grown vegetative filter strip on herbicide runoff: maintaining
of filter capacity over time.
AB - Narrow vegetative filter strips (VFS) proved to effectively reduce herbicide
runoff from cultivated fields mainly due to the ability of vegetation to delay
surface runoff, promote infiltration and adsorb herbicides. Since VFS are dynamic
systems, their performance would not remain constant over the years indicating
the need to define suitable buffer management. In order to evaluate the
performance of different five and six year-old VFS, the runoff of the herbicides
metolachlor and terbuthylazine was monitored in 2002 and 2003 in an experimental
site in northern Italy. The structure of the herbaceous cover in the buffers
changes over time. When rows of trees are present, the grass cover is decreased
by the shading action of the trees, but the leaf litter gains importance. In VFS
with grass cover only, the cover composition changes because of the substitution
of grass by broadleaf species. Six metres wide VFS are very effective in reducing
runoff volume and concentration during both wet and dry years. Classification
analysis showed that runoff concentration and volume are linked to the
characteristics of the rainfall event, buffer, source of herbicides and time
after application. Regression analysis showed that the significant predictors for
runoff volume are rainfall amount and intensity, total vegetal cover in the VFS,
crop leaf area index and time after treatment; for concentration they are
rainfall intensity, crop leaf area index and total vegetal cover in the VFS. The
role of VFS is complex, so appropriate management is required to maintain its
increasing filtering capacity over time.
PMID- 18045644
TI - Expression of cystic fibrosis transmembrane conductance regulator in the human
distal lung.
AB - The determination of the expression of cystic fibrosis transmembrane conductance
regulator (CFTR) in the lung is essential for a full understanding of the normal
lung physiology and the pathogenesis of the lung disease in cystic fibrosis (CF).
However, studies on the expression of CFTR in the distal adult human lung have
yielded conflicting results despite functional evidence of expression of CFTR in
bronchiolar and alveolar epithelial cells. We used 2 high-affinity monoclonal
anti-CFTR antibodies, MAb24-1 and MAb13-1, to determine the expression of CFTR in
samples of bronchiolar and alveolar tissues obtained from the same non-CF
individuals. CFTR immunostaining was detected in the epithelium of bronchiolar
and alveolar tissues. The staining pattern was similar with both antibodies. In
bronchioles, CFTR labeling was present mostly in ciliated cells; in alveoli, CFTR
labeling was detected in both type I and type II cells. We conclude that CFTR is
expressed in human bronchiolar and alveolar epithelial cells. The potential
importance of CFTR expression in alveoli should be further investigated,
particularly with respect to the CF lung disease and the physiology of the
alveolar region.
PMID- 18045645
TI - Expression and prognostic role of MMP2, MMP9, MMP13, and MMP14 matrix
metalloproteinases in sinonasal and oral malignant melanomas.
AB - Sinonasal and oral malignant melanomas are rare malignancies accounting for less
than 2% of all melanomas. Matrix metalloproteinases (MMPs) are proteolytic
enzymes required for extracellular matrix degradation in a variety of
physiological and pathologic processes including wound healing, embryogenesis,
tumor invasion, and metastases. We studied the correlation between expression of
MMPs, nucleolar diameter of melanoma cells, different clinical and histologic
parameters, and patient's outcome. Seventeen cases of sinonasal and oral
malignant melanoma were studied. The expression of MMP2, MMP9, MMP13, and MMP14
was assessed immunohistochemically on paraffinized sections and measured by
computer morphometry as well as silver-stained nucleolar diameter. A significant
correlation was found between MMP2 and MMP14 expression and patient's outcome.
Greater overall survival was seen in patients with average MMP2 expression less
than 8000 microm(2)/x20 high-power field (P = .016). In patients with negative
MMP14 staining, survival rate by the end of the follow-up was 38% compared with
patients with positive MMP14 staining where survival rate was 0 (P = .03). A
correlation with age at onset was also found; patients younger than 66 years had
better overall survival rates than patients aged 66 years or older (P = .03). The
maximal nucleolar diameter (MaxND) was another parameter that significantly
correlated with clinical outcome. Patients with MaxND of 8 microm or larger
showed a significant worse prognosis compared with the group with MaxND less than
8 microm (P = .0009). Our pilot study demonstrates that MMP2, MMP14, MMP9, and
MaxND might be used as prognostic markers in patients with sinonasal and oral
malignant melanoma.
PMID- 18045646
TI - Epidermal growth factor receptor: a novel biomarker for aggressive head and neck
cutaneous squamous cell carcinoma.
AB - There is currently no prognostic tool that reliably predicts the risk of
metastasis in cutaneous squamous cell carcinoma, most of which occur in the head
and neck region. Epidermal growth factor receptor has received much interest in
recent years with the advent of epidermal growth factor receptor-targeted
molecular therapy in clinical oncology. We investigate the role of epidermal
growth factor receptor as a biomarker for head and neck cutaneous squamous cell
carcinoma. Using immunohistochemistry and fluorescence in situ hybridization, we
assessed the epidermal growth factor receptor protein expression and gene copy in
3 groups of head and neck cutaneous squamous cell carcinoma: primary lesions not
associated with metastasis (P), primary lesions associated with subsequent
metastasis (PM), and metastatic nodal disease (M). Epidermal growth factor
receptor overexpression was detected in 36% and 79% of P and PM cases,
respectively. Epidermal growth factor receptor overexpression was significantly
associated with PM (P = .03) and was found to be an independent prognostic factor
for metastasis on multivariate analysis (P = .05). However, epidermal growth
factor receptor overexpression was only maintained in 47% of cases in the M
group. None of the 27 cases that overexpressed the epidermal growth factor
receptor protein showed gene amplification: the results were uninterpretable in
2, and polysomy and balanced disomy were detected in 5 and 20 cases,
respectively. These observations may have important prognostic and therapeutic
implications for head and neck cutaneous squamous cell carcinoma.
PMID- 18045647
TI - Basal-HER2 phenotype shows poorer survival than basal-like phenotype in hormone
receptor-negative invasive breast cancers.
AB - Previous studies have shown conflicting results on prognostic significance of
basal-like breast tumors, but hormone receptor is a confusing factor in most of
the prognostic evaluations. We aimed to characterize the prognostic features of
basal-like tumors without the influence of hormone receptor status in a series of
hormone receptor-negative breast tumors. Using tissue microarray and
immunohistochemistry methods, according to the expression of HER2 and basal
markers (CK5/6, CK14, EGFR), we categorized 713 consecutive hormone receptor
negative invasive breast cancers into 3 subtypes: HER2 (HER2+), basal-like (HER2
, any basal marker+), and null (HER2-, all basal markers-). The HER2 phenotype
was subdivided into pure-HER2 (HER2+, all basal markers-) and basal-HER2 (HER2+,
any basal marker+) subgroups. Expression of p53, p63, vimentin, and BRCA1 was
assessed immunochemically. Basal-like tumors showed significantly higher grade,
more frequent recurrence, and higher expression of vimentin and p63 than HER2 and
null phenotypes. Basal-HER2 phenotype had significantly younger mean age and
expressed a higher level of p53 and vimentin like basal-like and/or HER2
phenotypes. However, unlike all the other hormone receptor-negative phenotypes,
they highly expressed BRCA1. No significant difference was found in 5-year
survival among basal-like and the other hormone receptor-negative phenotypes,
except for basal-HER2, which showed poorer 5-year overall survival than basal
like tumors. In conclusion, although basal-like breast tumors have distinct
clinicopathologic and immunohistochemical features, they have similar 5-year
survival compared with the other hormone receptor-negative tumors including HER2
and null phenotypes. However, there exists a small group of hormone receptor
negative tumors expressing HER2 and basal markers simultaneously. This small
group of tumors showed significantly poorer 5-year overall survival than basal
like breast tumors and might require different treatment strategy.
PMID- 18045648
TI - Trials of new germ cell immunohistochemical stains in 93 extragonadal and
metastatic germ cell tumors.
AB - Organic cation transporter 3/4 (OCT3/4) is a transcription factor of embryonic
stem cells; c-kit (CD117) is a tyrosine kinase receptor implicated in seminoma
carcinogenesis. Their reactivity is well characterized in testicular, but not
extragonadal and metastatic, germ cell tumors. A total of 93 germ cell tumors (41
seminoma, 22 embryonal carcinoma, 18 teratoma, and 12 yolk sac tumor) were
obtained from the central nervous system (30), mediastinum (23),
retroperitoneum/abdomen (31), and other locations (9). Immunohistochemical
staining for c-kit, placental-like alkaline phosphatase (PLAP), OCT3/4, and new
markers D2-40 and AP-2gamma was performed on seminomas; CD30 and epithelial
membrane antigen were added for nonseminomas. In embryonal carcinoma, c-kit
reacted in 17 of 22 cases, OCT3/4 in 18 of 22, and PLAP in 13 of 22. OCT3/4 was
superior to PLAP in intensity and percent cells staining. In seminoma, OCT3/4 and
D2-40 were superior to PLAP in intensity and percent cells; c-kit and AP-2gamma
were superior in percent cells. D2-40 stained 23 of 24 seminomas strongly but had
only weak focal reactivity in 6 of 17 embryonal carcinomas. Sensitivity and
specificity were high for OCT3/4 discriminating seminoma and embryonal carcinoma,
and c-kit discriminating seminoma, from other germ cell tumors. For embryonal
carcinoma, OCT3/4 had higher specificity (0.94) than CD30 (0.786) owing to CD30
reactivity in 3 of 10 teratomas. Epithelial membrane antigen discriminated
teratoma from other nonseminomas with a sensitivity of 1 but reacted occasionally
in embryonal carcinoma (3/15) and yolk sac tumor (2/7). In conclusion, for
extragonadal seminoma, OCT3/4, AP-2gamma, D2-40, and c-kit were equivalently
superior to PLAP. For embryonal carcinoma, OCT3/4 was superior to PLAP and more
specific than CD30. D2-40 is recommended to discriminate between seminoma and
embryonal carcinoma.
PMID- 18045649
TI - Incremental dental development: methods and applications in hominoid evolutionary
studies.
AB - This survey of dental microstructure studies reviews recent methods used to
quantify developmental variables (daily secretion rate, periodicity of long
period lines, extension rate, formation time) and applications to the study of
hominoid evolution. While requisite preparative and analytical methods are time
consuming, benefits include more precise identification of tooth crown initiation
and completion than conventional radiographic approaches. Furthermore,
incremental features facilitate highly accurate estimates of the speed and
duration of crown and root formation, stress experienced during development
(including birth), and age at death. These approaches have provided insight into
fossil hominin and Miocene hominoid life histories, and have also been applied to
ontogenetic and taxonomic studies of fossil apes and humans. It is shown here
that, due to the rapidly evolving nature of dental microstructure studies,
numerous methods have been applied over the past few decades to characterize the
rate and duration of dental development. Yet, it is often unclear whether data
derived from different methods are comparable or which methods are the most
accurate. Areas for future research are identified, including the need for
validation and standardization of certain methods, and new methods for
integrating nondestructive structural and developmental studies are highlighted.
PMID- 18045650
TI - What molars contribute to an emerging understanding of lateral enamel formation
in Neandertals vs. modern humans.
AB - Two hypotheses, based on previous work on Neandertal anterior and premolar teeth,
are investigated here: (1) that estimated molar lateral enamel formation times in
Neandertals are likely to fall within the range of modern human population
variation, and (2) that perikymata (lateral enamel growth increments) are
distributed across cervical and occlusal halves of the crown differently in
Neandertals than they are in modern humans. To investigate these hypotheses,
total perikymata numbers and the distribution of perikymata across deciles of
crown height were compared for Neandertal, northern European, and southern
African upper molar mesiobuccal (mb) cusps, lower molar mesiobuccal cusps, and
the lower first molar distobuccal (db) cusp. Sample sizes range from five
(Neandertal M(1)db) to 29 (southern African M(1)mb). Neandertal mean perikymata
numbers were found to differ significantly from those of both modern human
samples (with the Neandertal mean higher) only for the M(2)mb. Regression
analysis suggests that, with the exception of the M(2)mb, the hypothesis of
equivalence between Neandertal and modern human lateral enamel formation time
cannot be rejected. For the M(2)mb, regression analysis strongly suggests that
this cusp took longer to form in the Neandertal sample than it did in the
southern African sample. Plots of perikymata numbers across deciles of crown
height demonstrate that Neandertal perikymata are distributed more evenly across
the cervical and occlusal halves of molar crowns than they are in the modern
human samples. These results are integrated into a discussion of Neandertal and
modern human lateral enamel formation across the dentition, with reference to
issues of life history and enamel growth processes.
PMID- 18045651
TI - Comparative observations on the tooth root morphology of Gigantopithecus blacki.
AB - The extinct great ape Gigantopithecus blacki from the middle Pleistocene of China
and Vietnam is known only from dental and mandibular remains, and its dietary
specializations remain contentious. Here, for the first time, we describe the
root morphology in G. blacki using computed tomography and three-dimensional
image processing. We quantify the tooth root lengths and surface areas of the
female G. blacki mandible No. 1 from the Liucheng Cave and compare it to a sample
of extant great apes and humans, as well as the giant panda (Ailuropoda
melanoleuca) and the American black bear (Ursus americanus). The results show
that, in G. blacki, the pattern of mandibular root numbers-particularly that of
the premolars-corresponds with that of Gorilla gorilla, Pan troglodytes, and
Pongo pygmaeus. However, G. blacki can be distinguished from the extant hominids
by having relatively higher values for postcanine root length and surface area,
both absolutely and relative to mandibular size (except for premolar root lengths
of humans). The relatively large postcanine root surface areas, which are most
similar to A. melanoleuca, suggest that the dentition of G. blacki was adapted to
sustaining relatively large occlusal forces needed to fracture mechanically
resistant foods such as bamboo.
PMID- 18045652
TI - Three-dimensional primate molar enamel thickness.
AB - Molar enamel thickness has played an important role in the taxonomic,
phylogenetic, and dietary assessments of fossil primate teeth for nearly 90
years. Despite the frequency with which enamel thickness is discussed in
paleoanthropological discourse, methods used to attain information about enamel
thickness are destructive and record information from only a single plane of
section. Such semidestructive planar methods limit sample sizes and ignore
dimensional data that may be culled from the entire length of a tooth. In light
of recently developed techniques to investigate enamel thickness in 3D and the
frequent use of enamel thickness in dietary and phylogenetic interpretations of
living and fossil primates, the study presented here aims to produce and make
available to other researchers a database of 3D enamel thickness measurements of
primate molars (n=182 molars). The 3D enamel thickness measurements reported here
generally agree with 2D studies. Hominoids show a broad range of relative enamel
thicknesses, and cercopithecoids have relatively thicker enamel than ceboids,
which in turn have relatively thicker enamel than strepsirrhine primates, on
average. Past studies performed using 2D sections appear to have accurately
diagnosed the 3D relative enamel thickness condition in great apes and humans:
Gorilla has the relatively thinnest enamel, Pan has relatively thinner enamel
than Pongo, and Homo has the relatively thickest enamel. Although the data set
presented here has some taxonomic gaps, it may serve as a useful reference for
researchers investigating enamel thickness in fossil taxa and studies of primate
gnathic biology.
PMID- 18045653
TI - Preliminary observations on increasing root length during the eruptive phase of
tooth development in modern humans and great apes.
AB - Ground sections of incisors, canines, and molars were selected that showed clear
incremental markings in root dentine. The sample comprised 98 Homo sapiens, 53
Pan troglodytes, and a more limited combined sample of 51 Gorilla and Pongo
sections. Daily rates of root dentine formation, together with the orientation of
incremental markings in roots close to the cement-dentine junction (CDJ), were
used to calculate root extension rates for the first 10mm of root formed beyond
the buccal enamel cervix. Modern human anterior tooth roots showed a more regular
pattern of increase in root length than those in great apes. In Pan, root growth
rose quickly to higher rates but then flattened off. The fastest extension rates
in modern humans were in incisor roots (10-12 microm per day), followed by
canines (8-9 microm per day). Extension rates in Pan rose to slightly greater
values in canines ( approximately 12-14 microm per day) than in incisors (
approximately 10-11 microm per day). Molar tooth roots in both modern humans and
great apes grew in a nonlinear manner. Peak rates in molars reduced from M1 to M3
(8, 7, and 6 microm per day, respectively). Like humans, root growth in Pan
peaked earlier in M1s at rates of between 8 and 9 microm per day, and later in
M3s at rates of 7 to 8 microm per day. The more limited data set for Gorilla and
Pongo molars suggests that extension rates were generally higher than in Pan by
approximately 1.0-1.5 microm per day. There were greater differences in peak
extension rates, with Gorilla and Pongo extension rates being between 2.5 and 4.5
microm per day higher than those in Pan. These findings highlight for the first
time that root growth rates differ between tooth types in both pattern and rate
and between taxa. They provide the basis with which to explore further the
potential comparative relationships between root growth, jaw growth, and the
eruption process.
PMID- 18045654
TI - Nondestructive imaging of hominoid dental microstructure using phase contrast X
ray synchrotron microtomography.
PMID- 18045655
TI - Dental tissue studies: 2D and 3D insights into human evolution.
PMID- 18045656
TI - Correlation of mitochondrial superoxide dismutase and DNA polymerase beta in
mammalian dermal fibroblasts with species maximal lifespan.
AB - Eukaryotic cells have evolved elaborate mechanisms to preserve the fidelity of
their genomic material in the face of chronic attack by reactive byproducts of
aerobic metabolism. These mechanisms include antioxidant and DNA repair enzymes.
Skin fibroblasts of long-lived mammalian species are more resistant to oxidative
stress than those of shorter-lived species [Kapahi, P., Boulton, M.E., Kirkwood,
T.B., 1999. Positive correlation between mammalian life span and cellular
resistance to stress. Free Radic. Biol. Med. 26, 495-500], and we speculated that
this is due to greater antioxidant and/or DNA repair capacities in longer-lived
species. We tested this hypothesis using dermal fibroblasts from mammalian
species with maximum lifespans between 5 and 122 years. The fibroblasts were
cultured at either 18 or 3% O(2). Of the antioxidant enzymes only manganese
superoxide dismutase was found to positively correlate with maximum lifespan
(p<0.01). Oxidative damage to DNA is primary repaired by the base excision repair
(BER) pathway. BER enzyme activities showed either no correlation
(apurinic/apyrimidinic endonuclease), or correlated negatively (p<0.01) with
donor species MLS (polymerase beta). Standard culture conditions (18% O(2))
induced both antioxidant and BER enzymes activities, suggesting that the 'normal'
cell culture conditions widely employed are inappropriately hyperoxic, which
likely confounds the interpretation of studies of cellular oxidative stress
responses in culture.
PMID- 18045657
TI - Association of toxic and essential metals with atopy markers and ventilatory lung
function in women and men.
AB - The association of age, smoking, alcohol, superoxide dismutase (SOD), glutathione
peroxidase (GPx), blood lead (BPb) and cadmium (BCd) levels, and serum levels of
copper (SCu), zinc (SZn) and selenium (SSe) with atopic status and ventilatory
function was examined in the groups of 166 women and 50 men with no occupational
exposure to metals or other xenobiotics. Markers of atopy included serum total
IgE, skin prick test (SPT) to common inhalatory allergens, non-specific nasal
reactivity (NNR) and non-specific bronchial reactivity (NBR). Parameters of
ventilatory function included forced vital capacity (FVC) and forced expiratory
volume in the first second (FEV(1)). Significantly higher BPb, SZn, IgE and
prevalence of positive SPT, and lower SCu and NNR was found in men than in women.
Fifteen women taking female sex hormones (HT) had significantly higher SCu than
women without HT. Regression models showed significant inverse associations
between IgE and SCu (P=0.021) and NNR and SCu (P=0.044) in women. When excluding
women with HT, the association of SCu and total IgE became of borderline
significance (P=0.051), association between SCu and NNR disappeared, and
significant positive association between total IgE and BPb emerged (P=0.046). In
men, significant inverse association was found between positive SPT and SSe, and
between NBR and SSe. A decrease in FVC% and FEV(1)% was associated with an
increase in smoking intensity (P<0.001) and a decrease in SZn (P=0.043 and
P=0.053, respectively). These results were observed at the levels of the metals
comparable to those in general populations worldwide. The observed differences
between men and women may partly be explained by different levels of relevant
toxic and essential metals, and their combination. The role of female HT in
associations of atopy markers and SCu should be further investigated.
PMID- 18045658
TI - The use of a modelling system as a tool for air quality management: annual high
resolution simulations and evaluation.
AB - The high levels of air pollutants over the North-Western Mediterranean (NWM)
exceed the thresholds set in current air quality regulations. They demand a
detailed diagnosis of those areas where the exceedances of thresholds related to
human health are found. In this sense, there is a need for modelling studies for
the specific area of the NWM that take into account the annual cycle to address
the diagnosis of air pollution. A new approach to the modelling of air quality in
the NWM has been adopted by combining the WRF-EMICAT-CMAQ-DREAM modelling system
to diagnose the current status of the levels of photochemical air pollution
(focusing on ozone, O(3); nitrogen dioxide, NO(2); carbon monoxide, CO; and
particulate matter, PM10) in the area during an annual cycle (year 2004). The
complexity of the area of study requires the application of high spatial and
temporal resolution (2 km and 1 h). The annual simulations need to cover the
complex different meteorological situations and types of episodes of air
pollution in the area of study. The outputs of the modelling system are evaluated
against observations from 52 meteorological and 59 air quality stations belonging
to the Environmental Department of the Catalonia Government (Spain), which
involve a dense and accurate spatial distribution of stations in the territory
(32,215 km(2)). The results indicate a good behaviour of the model in both
coastal and inland areas of the NWM, with a slight trend to the overestimation of
tropospheric O(3) concentrations and the underestimation of other photochemical
pollutants (NO(2), CO and PM10). The modelling diagnosis indicates that the main
air quality-related problems in the NWM are the exceedances of the 1-hr O(3)
information threshold set in the Directive 2002/3/EC (180 microg m(-3)) as a
consequence of the transport of O(3) precursors downwind the Barcelona Greater
Area (BGA); and the exceedances of the annual value for the protection of human
health for NO(2) and PM10 (40 microg m(-3), Directive 1999/30/EC), both in the
BGA, as a consequence of the high traffic-related emissions.
PMID- 18045659
TI - The multi-element content of the lichen Parmelia sulcata, soil, and oak bark in
relation to acidification and climate.
AB - Understanding how biodiversity is influenced by changing atmospheric conditions
is important for conservation, public policy and environmental health. In a
recent study, an impact on two of the most abundant lichens in Europe (Parmelia
sulcata and Hypogymnia physodes) was recorded at Burnham Beeches lying 40 km west
of London (UK) during unusual atmospheric conditions whilst other species
remained unaffected. Bark and soil chemistry also influence lichen vitality and
community composition. Correlations between element concentrations and element
ratios in different samples help understand element cycling. To study this
further, the multi-element content of the lichen P. sulcata and bark sampled in
2000 from 16 oak (Quercus robur) trees at Burnham Beeches was compared with the
same elements determined in 24 surface soils sampled in 2005 from beneath the
same trees. Soil pH ranged from 4.1 to 6.7. Highly significant correlations
(p<0.001) for Mn/Ca ratios in lichen versus bark, soil versus bark and soil
versus lichen samples confirmed cycling via the soil-tree-lichen system. Similar
Mn/Ca ratios were reported in coniferous forests where high Mn concentrations
were shown to limit epiphytic lichen abundance. Soil acidification influences
element bioavailability and bark chemistry in Burnham Beeches with implications
for lichen diversity and health. Recovery was recorded in P. sulcata, including
regeneration within monitoring quadrats. Biological systems are well known to be
influenced by pollution episodes.
PMID- 18045660
TI - A combined analysis of backward trajectories and aerosol chemistry to
characterise long-range transport episodes of particulate matter: the Madrid air
basin, a case study.
AB - This study has investigated the influence of synoptic weather patterns and long
range transport episodes on the concentration levels of airborne particulate
matter (TSP, PM10 and PM2.5) and some major ions (SO(4)(2-), NO(3)(-) and
NH(4)(+)) at a background rural station in central Spain. Air mass back
trajectories arriving at the site in 1999-2005 have been analysed by statistical
methods. First, cluster analysis was used to group trajectories into 8 clusters
depending on their direction and speed. Meteorological scenarios associated to
each cluster have been obtained and interpreted. Then, the incidence of different
air mass transport patterns on particle concentrations and composition recorded
at this station was evaluated. This evaluation included PM10 and PM2.5
concentrations and chemical composition data, obtained at three representative
sites of the Madrid air basin during sampling campaigns carried out in the course
of the 1999-2005 period. Finally, a residence time analysis of trajectories was
also performed to detect remote sources and transport pathways. Significantly
elevated concentrations of TSP and PM10 were observed for Northern African flows
as a consequence of the transport of mineral dust. Significant inter-cluster
differences were also observed for PM2.5 and secondary inorganic compounds, with
the highest concentrations associated with low baric gradient situations and
Southern European flows. The residence time analysis confirmed that current TSP
and PM10 concentrations in central Spain are likely to be influenced
significantly by long-range transport of desert dust from different desert
regions in North Africa. Furthermore, emissions from continental Europe with a
high time of residence in the western and central areas of the Mediterranean
basin, seem to significantly influence PM2.5 and secondary inorganic aerosol
concentrations in this region.
PMID- 18045661
TI - Monitoring climate at Jungfraujoch in the high Swiss Alpine region.
AB - A homogenized temperature record measured at Jungfraujoch, the highest
permanently manned meteorological station in Europe at 3580 m asl, is presented
based on almost 70 years of record (1937-2005). The observed decadal variability
as well as the overall trend (1.8 degrees C/69 years) in the homogenized data is
comparable to other homogenized Swiss time series at other altitudes. A detailed
analysis of seasonal mean temperature trends revealed no significant height
dependence for the period 1961-2005. The dominant trend features are the weaker
trends in autumn, significant only at low altitudes. Temperature indices such as
thawing days, derived from newly homogenized minimum temperature series, exhibit
strong vertical and seasonal trend dependence. Strongest relative trends occur in
winter at an altitude around 1000 and 1600 m asl. For the summer season relative
trends in thawing days are strongest at the highest stations, as expected. At
Jungfraujoch an increase of about 50% is observed for the period 1961-2005 even
when the extraordinary warm summer of 2003 is excluded.
PMID- 18045662
TI - Platelet collagen receptors, signaling and antagonism: emerging approaches for
the prevention of intravascular thrombosis.
AB - Collagen, one of the major proteins of sub-endothelial vasculature get exposed
following endothelium denudement, is a potent stimulator of platelet adhesion and
aggregation. Adhesion of platelets following endothelial injury is the primary
event usually associated with uncontrolled platelet activation culminating into
intravascular thrombosis, thus needs to be intervened to prevent the pathology
related to various peripheral, myocardial and cerebral ischemic episodes. Recent
advances in the understanding of collagen mediated platelet adhesion and
aggregation have led to the identification of two prominent receptors,
glycoprotein Ia/IIa (GPIa/IIa or integrin alpha(2)beta(1)) and glycoprotein VI
(GPVI) and associated intracellular signaling, which are undoubtedly the new
emerging targets for the development of more effective antithrombotic drugs. The
optimism for collagen antagonism is based on results obtained so far by the use
of monoclonal and polyclonal antibodies, peptide inhibitors, knockouts models and
collagen-mimetics in various in vitro test systems and animal models. These
findings have revealed that collagen receptor inhibition is an attractive and
secure strategy for the new drug development to prevent intravascular thrombosis.
PMID- 18045663
TI - Individual propensity for thrombosis: comparison of venous and arterial
circulations.
AB - INTRODUCTION: The pathogenesis of venous thrombosis has been attributed to
complex interaction between environmental and inherited variables. A basal
predisposition for venous thrombophilia independent of environmental variables
has not been previously defined experimentally. Both to address the existence of
an individual propensity to venous thrombosis and to establish an animal model in
which variables governing this propensity could be tested, we provoked venous
thrombi in a cohort of pigs of uniform size and age. We furthermore sought to
determine whether the thrombotic propensity in the venous circulation is
associated with similar propensity for arterial thrombosis. MATERIALS AND
METHODS: Bilateral iliac venous stents were deployed and 2 h later, thrombi were
harvested and weighed. The thrombotic response was compared to carotid arterial
thrombi generated by crush injury within the same pig. Venous and arterial
thrombus platelet deposition were measured by scintillation detection of
autologous (111)In-platelet content. RESULTS: In a cohort of 27 pigs, venous
thrombus weights and platelet content varied over greater trrhan 10-fold range
from least to greatest responders. There was strong intra-individual correlation
of thrombus platelet deposition (r=0.86; p=0.008) and thrombus weights (r=0.68;
p=0.015) between stented iliac vein pairs. Venous thrombosis correlated with
whole blood platelet counts but not carotid platelet-rich thrombus formation.
CONCLUSIONS: The wide variation in venous thrombotic response to a standardized
injury appears to represent an intrinsic propensity of the individual. The poor
correlation with arterial thrombosis implies unique mechanisms responsible for
this propensity in arteries and veins.
PMID- 18045664
TI - Comparison of prothrombin time evaluation using automated and bedside devices in
cancer patients.
PMID- 18045665
TI - Redirection of the reaction between activated protein C and a serpin to the
substrate pathway.
AB - BACKGROUND: Activated protein C (APC) reduces mortality in severe sepsis.
Protecting APC in the circulatory system from inactivation by serine protease
inhibitors (serpins) could improve its therapeutic efficiency. Significantly
elevated levels of a serpin plasminogen activator inhibitor 1 (PAI-1) correlate
with a lethal outcome in severe sepsis and disseminated intravascular
coagulation. Intermolecular mechanisms were employed to redirect the reaction
between APC and PAI-1 from the inhibitory to the substrate pathway, which results
in the catalytic neutralization of the serpin. METHODS: The effects of anti-PAI-1
monoclonal antibodies (mAbs) and vitronectin, as well as their fragments, on the
kinetics and stoichiometry of the reaction between PAI-1 and APC were studied
using SDS PAGE and fluorescence spectroscopy. RESULTS: MAbs with epitopes at
alpha-helix F redirected 70-80% of the reaction between PAI-1 and APC, to the
substrate pathway. Vitronectin and its SMB domain did not affect the
stoichiometry of acyl-enzyme formation, but enhanced the effect of mAbs. While
vitronectin induced a more than two-fold increase in the rate of the reaction
between PAI-1 and APC, neither mAbs (mAb fragments), nor SMB domain of
vitronectin affected it. CONCLUSIONS: Ligands interacting with alpha-helix F of
PAI-1 demonstrated a potential for the protection of APC from inactivation by PAI
1. Since the mechanism of proteinase/serpin interaction is universal, a similar
design and approach could be employed for enhancing the inactivation of other
serpins in order to preserve APC activity in the circulation. Rational
pharmacological targeting of the inhibitors of APC could have therapeutic
utility.
PMID- 18045666
TI - Incidence, risk factors and consequences of portal vein and systemic thromboses
in hepatocellular carcinoma.
AB - INTRODUCTION: Hemostatic activation may be important for tumor biology.
Hepatocellular carcinoma (HCC) is commonly associated with portal vein thrombosis
(PVT). Little is known about factors predictive for PVT in patients with HCC or
its correlation with systemic venous thromboembolism (VTE). METHODS: We conducted
a retrospective chart review of 194 consecutive patients diagnosed with HCC at
the University of Rochester between 1998 and 2004 to identify the frequency and
risk factors for PVT and its correlation with VTE and survival. RESULTS: Sixty
patients (31%) had PVT with a higher rate in the non-transplant group compared to
transplanted patients (34% vs. 24%; p=0.15). In multivariate analysis, Child
Turcotte Pugh (CTP) class, stage, major vessel involvement, serum albumin, and
serum AFP were independently associated with PVT (p<0.05 for each). The presence
of PVT was associated with reduced survival (median survival 2.3 months for those
with PVT versus 17.6 months for those without PVT, HR 2.05, p=0.004). The
incidence of systemic VTE in the total population was 6.7%, and patients with PVT
had a higher rate of systemic VTE compared to patients without PVT (11.5% vs.
4.4%; p=0.04). CONCLUSION: PVT is common in patients with HCC, indicates advanced
disease, is associated with worse survival and correlates with systemic VTE,
suggesting a common mechanism of hemostatic activation. Advanced stage, higher
CTP class, major vessel involvement, low serum albumin, and high AFP levels are
predictive of PVT in patients with HCC.
PMID- 18045667
TI - Linear diffusion of thrombin and factor Xa along the heparin molecule explains
the effects of extended heparin chain lengths.
AB - QUESTION: How does the size of the heparin moiety in the anti-thrombin (AT)
heparin complex influence its anticoagulant properties? APPROACH: Of 52 heparin
fractions of precise Mr between 2800 and 37,000 we determined the dissociation
constant (Kd) of the binding of the enzyme to the AT-heparin complex and the
decay constant (kdec) of thrombin and factor Xa at 1 microM of that complex.
RESULTS: The Kd of thrombin or factor Xa is constant when expressed in terms of
the concentration of sugar units, i.e. the enzymes bind the better the longer the
heparin. Thrombin (Kd=1.86+/-0.13 microM) binds 11 times tighter than factor Xa
(Kd=20.2 +/-1.5 microM). Factor Xa inactivation velocity is proportional to the
concentration of pentasaccharide-bound AT if Mr<10,000 but decreases at higher
Mr. Thrombin inactivation is constant per pentasaccharide with twelve adjacent
monosaccharides (C-domain). CONCLUSION: The data fit a model in which thrombin
and factor Xa bind at a random site on the heparin chain and, via one-dimensional
diffusion, reach the AT that is bound to its specific binding site on the
heparin. Factor Xa, but not thrombin, can dissociate from heparin before reaching
bound AT.
PMID- 18045668
TI - Additional evidence for anxiolytic- and antidepressant-like activities of
saredutant (SR48968), an antagonist at the neurokinin-2 receptor in various
rodent-models.
AB - Central tachykinins have been shown to play a role in the modulation of stress
related behaviours. Saredutant, a tachykinin NK2 receptor antagonist, displayed
mixed anxiolytic- and antidepressant-like activities in rodents. The present
study aimed at further characterizing its psychotropic properties. Saredutant was
tested in the rat social interaction test to further confirm its anxiolytic-like
activity, and in a variety of behavioural models sensitive to antidepressant
drugs. In the rat social interaction test, saredutant (20 mg/kg, i.p.)
significantly increased the time spent in interaction, as did the prototypical
anxiolytic agents, diazepam (1 mg/kg, i.p.) and buspirone (1 mg/kg, s.c.), but
not the antidepressant, fluoxetine. In a differential reinforcement of low rate
72s task, saredutant (3 mg/kg, i.p.) displayed an antidepressant-like activity by
increasing reinforced response rate and percentage of responses emitted in the
inter-response time bin [49-96 s]. In bulbectomized rats, saredutant (20 mg/kg,
i.p.) restored the deficit of acquisition of passive avoidance. In rat pups
separated from their mother, saredutant (3-10 mg/kg, s.c.) reduced ultrasonic
distress calls. Finally, in the chronic mild stress paradigm in mice, a 29-day
treatment regimen with saredutant (10 mg/kg, i.p.) attenuated stress-induced
physical degradation. Importantly, in the depression models, the effects of
saredutant were comparable to those obtained under similar experimental
conditions by reference antidepressants such as fluoxetine or imipramine.
Together, these results suggest further that the NK2 receptor may represent an
attractive target for the treatment of both depressive and anxiety disorders.
PMID- 18045669
TI - Free tryptophan/large neutral amino acids ratios in blood plasma do not predict
cerebral spinal fluid tryptophan concentrations in interleukin-1-induced
anorexia.
AB - Peripheral administration of interleukin-1 (IL-1) reduces food intake and affects
brain serotonergic activity, suggesting a causal relationship. Furthermore, IL-1
increases the brain concentrations of the serotonin precursor, tryptophan (TRP),
by unclear mechanism(s). We aimed at confirming the link between IL-1
administration, raised brain TRP concentrations and the development of anorexia,
and at investigating the mechanisms of TRP entry into the brain. Thirty adult,
overnight fasted Sprague-Dawley rats were randomly assigned to i.p. injections of
1 mug/kg BW of IL-1 alpha (n=10) or vehicle (n=10), or to pair-feeding with IL-1
animals (n=10). After 2 h, food intake, blood plasma concentrations of total TRP,
free TRP, large neutral amino acids (LNAA; competing with TRP for brain entry)
were measured. Cerebral spinal fluid (CSF) TRP concentrations were also measured.
TRP brain availability was assessed by calculating the plasma ratio free
TRP/LNAA. Following IL-1 injection, food intake significantly declined in IL-1
rats, which was paralleled by decreased plasma free TRP and increased plasma
LNAA. Despite a decrease in the free TRP/LNAA ratios in plasma, IL-1
significantly increased concentrations of TRP in CSF. These data show that the
acute peripheral administration of IL-1 induces anorexia and raises CSF TRP
levels. Considering the possible role of the raised CSF TRP in influencing brain
serotonin activity, it is postulated that increased serotonergic
neurotransmission could be involved in IL-1 induced anorexia.
PMID- 18045670
TI - Application of long-term microdialysis in circadian rhythm research.
AB - Our laboratory has pioneered long-term microdialysis to monitor pineal melatonin
secretion in living animals across multiple circadian cycles. There are numerous
advantages of this approach for rhythm analysis: (1) we can precisely define
melatonin onset and offset phases; (2) melatonin is a reliable and stable
neuroendocrine output of the circadian clock (versus behavioral output which is
sensitive to stress or other factors); (3) melatonin measurements can be
performed extremely frequently, permitting high temporal resolution (10 min
sampling intervals), which allows detection of slight changes in phase; (4) the
measurements can be performed for more than four weeks, allowing perturbations of
the circadian clock to be followed long-term in the same animals; (5) this is an
automated process (microdialysis coupled with on-line HPLC analysis), which
increases accuracy and bypasses the labor-intensive and error-prone manual
handling of dialysis samples; and (6) our approach allows real-time investigation
of circadian rhythm function and permits appropriate timely adjustments of
experimental conditions. The longevity of microdialysis probes, the key to the
success of this approach, depends at least in part on the methods of the
construction and implantation of dialysis probes. In this article, we have
detailed the procedures of construction and surgical implantation of
microdialysis probes used currently in our laboratory, which are significantly
improved from our previous methods.
PMID- 18045671
TI - A top-down perspective on dopamine, motivation and memory.
AB - Dopamine (DA) activity, in the form of increased neural firing or enhanced
release of transmitter from nerve terminals and varicosities, is linked to a
number of important psychological processes including: movement; hedonic
reactions to positive reward; provision of an error detection signal during the
acquisition of new learning; response to novel stimuli; provision of
reinforcement signals essential for acquisition of new action patterns; and
incentive motivation. This review focuses primarily on our research linking
dynamic changes in DA efflux on the timescale of minutes, with incentive
motivation, as revealed by brain dialysis experiments in behaving animals. Recent
experiments on sensory-specific satiety and successive positive and negative
contrast are discussed along with the distinction between preparatory behaviors
that precede contact with biologically significant stimuli and subsequent
consummatory behaviors. The relationship between DA efflux in the medial
prefrontal cortex (mPFC) and foraging for food based on working memory is also
discussed in support of the conjecture that DA may serve as a link between
motivation and memory functions. Evidence in support of 'top-down' regulation of
dopaminergic activity in the mesocorticolimbic DA pathways is reviewed briefly to
introduce a mechanism by which activation of ascending DA projections in this
manner might optimize dopaminergic modulation of executive function within
regions such as the mPFC. Collectively, these processes could ensure coordination
between cognitive processes that assess current opportunities and the
motivational systems that select and engage patterns of approach behavior that
bring organisms into contact with the essentials for survival.
PMID- 18045672
TI - Noradrenergic antagonism enhances the conditioned aversive effects of cocaine.
AB - The propensity to self-administer cocaine may be a function of both its
positively reinforcing and aversive effects, with the latter acting as a limiting
factor on overall drug taking. However, relative to what is known about the
physiological underpinnings of cocaine's positively reinforcing effects, little
is known about its aversive effects. There is some evidence that cocaine's
aversive effects, as indexed in the conditioned taste aversion (CTA) preparation,
are catecholaminergically mediated, i.e., through cocaine's actions on the
dopaminergic and noradrenergic neurotransmitter systems. Although limited
evidence suggests a role for dopamine, there has yet to be a direct assessment of
noradrenergic involvement. To better characterize a role for this system, cocaine
induced CTAs (10, 18 and 32 mg/kg) were conducted under conditions of antagonism
at the norepinephrine alpha(1) and beta receptors using prazosin (0.3 mg/kg;
Experiment 2) and propranolol (10 mg/kg; Experiment 3), respectively, at doses
that were determined to be non-aversive (Experiment 1). In each case of
noradrenergic antagonism, CTAs with cocaine were not attenuated, suggesting that
this drug's conditioned aversive effects are mediated by non-noradrenergic NT
activity. Furthermore, prazosin and propranolol administration appeared to
facilitate the conditioned aversive effects of cocaine. The implications of these
findings in regards to other neurochemical processes are discussed.
PMID- 18045673
TI - Factors affecting plasma progesterone in the early fetal period in high producing
dairy cows.
AB - The aim of the present study was to determine whether certain animal- or
management related factors could affect plasma progesterone concentrations on Day
42 of gestation in high producing dairy cows. Factors affecting early fetal loss
were also analyzed. The study population was comprised of 199 pregnant cows
classified as having high (> or =9 ng/ml) or low (<9 ng/ml) plasma progesterone
concentrations. Through logistic regression procedures it was determined that,
based on the odds ratio, cows with two or more corpora lutea were three times
more likely to have high progesterone concentrations than cows with a single
corpus luteum. Low producing cows during the warm season were 2.86 times more
likely to have high progesterone concentrations than the remaining cows.
Primiparous cows with high concentrations (>4 ng/ml) of pregnancy associated
glycoprotein-1 (PAG-1) were 2.73 times more likely to have high progesterone
concentrations than the remaining cows. Of the 199 pregnancies, 25 (12.6%)
suffered early fetal loss: 22/136 (16.2%) during the warm season and 3/63 (4.8%)
during the cool season, all in cows without additional corpora lutea. Based upon
the odds ratio, cows without an additional corpus luteum were 3.67 times more
likely to suffer fetal loss during the warm season than during the cool season.
Our results indicate that milk production, the presence of two or more corpora
lutea and plasma PAG-1 concentrations can affect plasma progesterone
concentrations at the onset of the fetal period. The presence of an additional
corpus luteum strongly diminished the risk of early fetal loss during the warm
period.
PMID- 18045674
TI - Melatonin administration increased plasminogen activator activity in ram
spermatozoa.
AB - The aim of the present study was to investigate the effect of melatonin on
plasminogen activator activity (PAA), plasminogen activator inhibition (PAI) and
plasmin inhibition (PI) in ram spermatozoa and seminal plasma, in correlation
with changes in blood testosterone. Melatonin implants (18 mg) were placed
subcutaneously in sixteen Chios rams in autumn and spring. Semen samples for
spectrophotometrical assays were collected 36 h before the implantation of
melatonin and thereafter once a week, for 17 weeks. Blood samples for
testosterone assay (RIA) were collected 8h before implantation (one sample/30 min
x 7.5 h) and thereafter every 15 days for 105 days after implantation. For each
ram, six parameters of testosterone were estimated: mean value, basal level,
number of peaks, peak amplitude, peak duration and mean testosterone
concentration during peaks. Melatonin implantation during autumn induced an
increase in PAA and t-PAI in spermatozoa; melatonin implantation in spring
induced an additional increase in u-PAI and PI; no change in PAA, PAI or PI was
found in seminal plasma, during autumn or spring. The melatonin-induced increase
of PAA, PAI and PI in spermatozoa was in positive correlation with the increase
of testosterone mean value, basal level and number of peaks; the increase of
testosterone parameters was greater in autumn compared to spring. Changes of PAA,
PAI and PI of spermatozoa, under the influence of melatonin, might indicate
changes in the fertilizing ability of spermatozoa, since plasminogen activators
and their inhibitors are present on the plasma and the outer acrosomal membrane
of spermatozoa and are released during the acrosome reaction.
PMID- 18045675
TI - Oxidative mechanisms in the pathogenesis of alcoholic liver disease.
AB - Although the capacity of ethanol to induce oxidative stress in the liver is well
established, the mechanisms by which oxidative damage contributes to the
pathogenesis of alcoholic liver disease (ALD) is still incompletely understood.
Recent reports have implicated oxidative mechanisms in the onset of alcoholic
steatosis and in the formation of Mallory's bodies. Moreover, by inducing
mitochondrial alterations, oxidative stress promotes hepatocyte necrosis and
contributes to alcohol-induced sensitization of hepatocyte to the pro-apoptotic
action of TNF-alpha. Oxidative mechanisms play also a role in the progression of
liver fibrosis by triggering the release of pro-fibrotic cytokines and activating
collagen gene expression in hepatic stellate cells. Finally, immune responses
towards antigens originating from the reactions of lipid peroxidation products
with hepatic proteins might represent one of the mechanisms that contribute to
perpetuate chronic hepatic inflammation in ALD. Altogether these observations
give a rationale to the possible clinical application of antioxidants in the
therapy of ALD.
PMID- 18045676
TI - WITHDRAWN: A novel molecular mechanism to account for the action of rifampicin
against arthritis.
AB - This article has been withdrawn consistent with Elsevier Policy on Article
Withdrawal (http://www.elsevier.com/locate/withdrawalpolicy). The Publisher
apologizes for any inconvenience this may cause.
PMID- 18045677
TI - Effect of different photo-activation methods on push out force, hardness and
cross-link density of resin composite restorations.
AB - OBJECTIVES: To evaluate push out force, hardness and cross-link density (CLD) of
composite restorations photo-activated by different methods. METHODS: Z250 (3M
ESPE) and XL2500 halogen unit (3M ESPE) were used. For push out force and
hardness tests, conical restorations were made in bovine incisors. For CLD
evaluation, cylindrical specimens were prepared. Different activation methods
were tested: high-intensity continuous (HIC), low-intensity continuous (LIC),
soft-start (SS) or pulse-delay (PD), with constant radiant exposure. Knoop
readings were performed on bottom and top surfaces. Data were submitted to two
way ANOVA and Tukey's test (alpha=0.05). Push out force data were submitted to
ANOVA and Tukey's test (alpha=0.05). Failure modes were classified under
magnification (40x). CLD was estimated by hardness readings before and after
storage in ethanol. Data were submitted to RM-ANOVA and Tukey's test
(alpha=0.05). RESULTS: No significant differences in top hardness (KHN, N/mm2)
were observed for HIC (598), LIC (564), SS (585) and PD (573). LIC presented
significantly lower bottom hardness (520) than HIC (574), SS (562) and PD (572).
Push out force (N) for SS (246) and PD (238) were similar, but significantly
higher compared to LIC (198) and HIC (193). For HIC and LIC, only adhesive and
mixed failures were observed. For SS and PD, cohesive failures also occurred.
After storage, HIC and LIC presented significantly lower softening than PD. HIC
also presented lower softening than SS, and similar results were observed for SS
and PD. SIGNIFICANCE: Different activation methods can interfere with push out
force, hardness and CLD of composite restorations.
PMID- 18045678
TI - Measurement of microstrains across loaded resin-dentin interfaces using
microscopic moire interferometry.
AB - Little is known about the mechanical behavior of resin-dentin interfaces during
loading. The presence of relatively compliant hybrid and adhesive layers between
stiffer dentin and resin composite should deform more during compressive loading.
OBJECTIVE: The objective of this study was to measure changes in microstrain
across bonded dentin interfaces in real time using a recently developed
microscope moire interferometer. METHOD: This system used a miniature moire
interferometer, using two CCD cameras for simultaneous recording of longitudinal
and transverse deformation fields, a piezotransducer for fringe shifting and use
of a microscope objective with magnification up to 600 x. Small beams (1 mm x 2
mm x 6 mm) of moist resin-bonded dentin covered with cross-lined diffraction
grating replica were placed in a miniature compression tester to allow controlled
loading from 2 to 37 N while imaging the interference fringe patterns. RESULTS:
Resin-dentin interfaces created by bonding dentin with Single Bond/Z100, under
compressive loading, exhibited comparatively large strains across the adhesive
hybrid interface. When the wrapped phase maps were unwrapped to permit conversion
of fringe order to displacements, the 2-D profiles of strain fields revealed non
uniform strains across the adhesive interface. In the adhesive/hybrid layer zone,
the negative strain was larger (i.e. -6000 mu epsilon) than that seen in the
adjacent resin composite or underlying mineralized dentin. The changes were
elastic because they disappeared when the load was removed. SIGNIFICANCE:
Microscopic moire interferometry can be very useful in revealing real-time strain
across bonded interfaces under load.
PMID- 18045679
TI - Effects of water content and initiator composition on photopolymerization of a
model BisGMA/HEMA resin.
AB - AIMS: The purpose of this study was to evaluate the effects of photoinitiator
type and water content on the polymerization rate (Rp) and degree of conversion
(DC) of a model BisGMA/HEMA-based resin. MATERIALS AND METHODS: The comonomer
mixture consisted of BisGMA/HEMA (60/40 by weight). Different two- or three
component photoinitiator systems were incorporated. Two-component systems were
0.5% CQ (camphorquinone) and 0.5% DMAEMA (2-(dimethylamino) ethyl methacrylate)
or 0.5% CQ and 0.5% 4E (ethyl 4-dimethylaminobenzoate). The three-component
systems were added 1% DPIHP (diphenyliodonium hexafluorophosphate) to the above
systems. Each system was tested as made, or after addition of 5, 10, 15wt% water.
When cured under a conventional dental light, the Rp and DC of each formulation
was determined using time-resolved attenuated total reflection (ATR)-Fourier
transform infrared (FTIR) spectroscopy. RESULTS: For mixtures containing two
component initiator systems, when the hydrophobic initiator CQ was used in
combination with hydrophilic DMAEMA, Rps and DCs were dramatically decreased as a
function of water content. The Rps and DCs of the hydrophobic CQ/4E system were
higher than those of the CQ/DMAEMA system in the presence of water. For three
component initiator systems, incorporation of DPIHP enhanced the polymerization
of all mixtures in the presence of water compared to their counterpart two
component initiators. Interestingly, the CQ/DMAEMA caused greater DC and Rp when
DPIHP was used. SIGNIFICANCE: The hydrophobicity/hydrophilicity of photoinitiator
components significantly affects both the DC as well as Rp when in the presence
of water. The results indicate that formulation of photoinitiator components
should be based on the effectiveness of the bonding systems under both dry and
wet conditions.
PMID- 18045680
TI - Sol-gel materials 2. Light-curing dental composites based on ormocers of cross
linking alkoxysilane methacrylates and further nano-components.
AB - OBJECTIVES: The objective of this study was to investigate the use of ormocers,
which were synthesized from amine or amide dimethacrylate trialkoxysilanes.
Ormocers showed improved biocompatibility in dimethacrylate-diluent-free
composite restoratives. Selected mechanical properties, such as flexural strength
and flexural modulus of experimental composites containing ormocers were
investigated. In addition, the influence of methacrylate-substituted ZrO2
clusters and SiO2 organosols on the mechanical properties of composites was
studied. METHODS: The flexural strength and flexural modulus of elasticity were
determined according to ISO 4049: 2000. For this purpose, test specimens
(2mmx2mmx25mm) of the composites investigated were prepared in stainless steel
moulds and light-cured (150mW/cm2, 2x180s). The flexural strength and flexural
modulus of elasticity were measured after the samples had been stored in water
for 24h at 37 degrees C. RESULTS: While visible light-cured dimethacrylate
diluent-free composite restoratives based on the investigated ormocers showed a
similar flexural strength and flexural modulus of elasticity compared to
composites that contain only dimethacrylates, their double bond conversion was
considerable lower. The simultaneous addition of methacrylate-substituted ZrO2
clusters and SiO2 organosols to the ormocer composite improved the mechanical
properties of the composites. SIGNIFICANCE: Ormocers of amine or amide
dimethacrylate trialkoxysilanes enabled the preparation of dimethacrylate-diluent
free composite restoratives. Based on the lower cytotoxicity of the ormocers, the
prepared restorative composites should show improved biocompatibility. With the
addition of nanoparticles, such as methacrylate-substituted ZrO2 clusters or SiO2
organosols, the mechanical properties of composites can be improved.
PMID- 18045681
TI - Global health and Japan's foreign policy.
PMID- 18045682
TI - Managing risk: identifying environmental causes of disease.
PMID- 18045683
TI - In situ IGF-1 gene delivery to cells emerging from the injured anterior cruciate
ligament.
AB - Ruptures of the anterior cruciate ligament (ACL) are common knee injuries that do
not heal, even with surgical repair. Our research is directed towards developing
novel, biological approaches that enable suture repair of this ligament. One
promising strategy involves the insertion of a collagen hydrogel between the
severed ends of the ACL. Cells migrate from the damaged ligament into the
hydrogel and produce repair tissue. Here we have investigated the potential for
augmenting this process by the transfer of insulin like growth factor (IGF) 1
cDNA to the repair cells using an adenovirus vector. The goal is to achieve
direct, in situ gene delivery by loading the hydrogel with vector prior to its
insertion into the defect. In a step-wise approach towards evaluating this
process, we confirmed that monolayers of ACL fibroblasts were efficiently
transduced by adenovirus vectors and continued to express transgenes when
subsequently incorporated into the hydrogel; indeed, transgene expression
persisted longer within collagen gels than in monolayer culture. Transfer of IGF
1 cDNA increased the cellularity of the gels and led to the synthesis and
deposition of increased amounts of types I and III collagen, elastin, tenascin,
and vimentin. The cells remained viable, even when subjected to high viral loads.
Similar results were obtained when collagen hydrogels were preloaded with
adenovirus prior to insertion into an experimental ACL lesion in vitro. These
data confirm the promise of using vector-laden hydrogels for the in situ delivery
of genes to cells within damaged ligaments and suggest novel possibilities for
the biological repair of the ACL.
PMID- 18045684
TI - Enhancement of bone-bonding ability of bioactive titanium by prostaglandin E2
receptor selective agonist.
AB - Systemic administration of prostaglandin E2 receptor (EP4) selective agonist
increases both bone formation and resorption, and consequently leads to an
increase in bone mass. Although previous studies have reported that EP4 agonist
enhanced bone remodeling and fracture healing, it was not known if EP4 agonist
activates the bone-biomaterial interface. Bioactive titanium prepared by chemical
and thermal treatment can bond to living bone and is suitable for use in clinical
applications in cementless fixation devices. Therefore, we examined whether the
administration of EP4 agonist enhances the bonding strength between bone and
bioactive titanium. Bioactive titanium plates were inserted into the tibia bone
of rabbits and examined histologically and biomechanically at 4, 8, and 16 weeks.
EP4 agonist was administrated systemically every 2 weeks after surgery. A non
administrated control group, a low-dose group (10 microg/kg body weight (BW)),
and a high-dose group (100 microg/kg BW) were compared. The bonding strength of
bioactive titanium in the EP4 agonist groups was significantly higher than that
in the control group at both 4 and 8 weeks, and enhanced bone remodeling and
direct bonding around the bioactive titanium plates was observed only in the EP4
agonist groups at 4 weeks. EP4 agonist enhanced bone formation around the
bioactive titanium plate, and achieved early direct bone bonding.
PMID- 18045685
TI - Alginate-based microencapsulation of retinal pigment epithelial cell line for
cell therapy.
AB - The goals of this study were to evaluate human retinal pigment epithelial cell
line (ARPE-19) for cell encapsulation and to optimize the alginate-based
microencapsulation. We used immortalized ARPE-19 cells and the transfected sub
line that expresses secreted alkaline phosphatase (SEAP) reporter enzyme.
Alginate was cross-linked with different divalent cations (Ca(2+), Ba(2+), Sr(2+)
and combination of Ca(2+) and Ba(2+)), coated first with poly-l-lysine (PLL), and
then with alginate. Microcapsules with different pore sizes and stability were
generated. The pore size of the microcapsules was assessed by the release of
encapsulated fluorescein isothiocyanate (FITC)-dextrans. The viability of the
cells in the microcapsules was studied in vitro by assessing the secretion rates
of SEAP and oxygen consumption by the cells. The best microcapsule morphology,
durability and cellular viability were obtained with alginate microcapsules that
were cross-linked with Ca(2+) and Ba(2+) ions and then coated with PLL and
alginate. Based on FITC-dextran release these microcapsules have porous wall that
enables the rapid contents release. The ARPE-19 cells maintained viability in the
Ca(2+) and Ba(2+) cross-linked microcapsules for at least 110 days. The alginate
microcapsules cross-linked with Ca(2+) and Ba(2+) have sufficiently large pore
size for prolonged cell viability and for the release of secreted SEAP model
protein (Mw 50 kDa; radius of gyration of 3 nm). ARPE-19 cells show long-term
viability and protein secretion within alginate microcapsules cross-linked with
Ca(2+) and Ba(2+). This combination may be useful in cell therapy.
PMID- 18045686
TI - Hypothermia-induced increase in galanin concentrations and ischemic
neuroprotection in the rat brain.
AB - The effects of hypothermia on galanin concentrations and the relation between
ischemic brain lesions, hypothermia and galanin concentrations in a transient and
focal rat stroke model were investigated in order to elucidate whether
hypothermia-induced alterations in galanin concentrations could constitute a part
of the established neuroprotective effect of hypothermia. Female rats were
allocated to normothermia (37 degrees C) or hypothermia (33 degrees C) treatments
during a 60 min microclip middle cerebral artery occlusion. The ischemic lesions
were visualized after observation periods of 2 or 7 days and the concentration of
galanin measured by radioimmunoassay in extracts of punch biopsies from both the
lesioned and the contralateral control hemisphere. Hypothermia-induced an overall
increase in the concentrations of immunoreactive galanin (p<0.001). The elevated
galanin levels were predominantly found in the non-ischemic control hemisphere,
in the hippocampus, thalamus and the posterior part of parietal cortex. The
galanin concentrations were lower in the ischemic hemisphere in both the normo-
and hypothermic animals compared to the corresponding contra lateral intact
hemisphere (p=0.049). The factor of time, 2 respectively 7 days, did not show any
significant difference regarding the galanin concentrations (p=0.844).
Multivariate analyses of variance revealed significant effect of ischemia on the
size of the ischemic brain lesions (p=0.001) but no overall effect of temperature
when data from both 2 and 7 days observation periods were analyzed together. The
ischemic lesions were generally larger at 33 degrees after 2 days (p=0.230).
Prolonged observation time of 7 days resulted in a significant reduction of the
ischemic brain lesion (p=0.011) with smaller ischemic lesions in the hypothermic
group. Our data support the notion that hypothermia-induced increase in the
tissue concentrations of galanin in the brain are the result of changes from
optimal homeostatic conditions - the hypothermia-induced stress - rather than the
ischemia/re-perfusion lesion induced changes in galanin concentrations.
Hypothermia-induced elevation in galanin concentration is therefore not likely to
be amongst the major protective mechanisms of hypothermia.
PMID- 18045687
TI - FACIT collagen (1alpha-chain) is expressed by hemocytes and epidermis during the
inflammatory response of the ascidian Ciona intestinalis.
AB - Based on previous cloning and sequencing study, real-time PCR and in situ
hybridization assays of the inflamed body wall of LPS-injected Ciona intestinalis
showed the enhanced gene expression of a collagen with FACIT structural features
(Ci-type IX-Col 1alpha-chain). By using specific antibodies raised against an
opportunely chosen Ci-type IX-Col synthetic peptide, the fibroblast property of
hemocytes challenged in vitro with LPS (at 4h) was displayed by flow cytometry,
while immunocytochemistry identified hemocytes with large granules (morula cells)
as collagen-producing cells. Hemocyte lysate supernatant analyzed in
immunoblotting contained a 60 kDa band identifiable as 1alpha-chain-Ci-type IX
Col. Observations of body wall sections (immunohistochemistry method) supported
the role of hemocytes and showed that epidermis expressed Ci-type IX-Col 1alpha
chain in the time course of the inflammatory reaction (within 24h). Transcript
and protein were mainly found in the epidermis that outlined the proximal side of
the tunic matrix (at 24h after LPS injection), in cells associated with the
epidermis at 4 and 192 h. In conclusion, the C. intestinalis inflammatory
response to LPS challenge appeared to be composed of a complex reaction set, and
for the first time we showed in ascidians a granulation tissue with FACIT
collagen production that could participate in inflammation and wound healing.
Like in vertebrates, C. intestinalis acute inflammatory reactions result in a
regulated pattern of tissue repair with collagen expression during remodelling.
Ci-type IX-Col could be involved in a network of non-fibril-forming collagens
that participates in the organization of extracellular matrix and defense
responses.
PMID- 18045688
TI - Immune responses of mussel hemocyte subpopulations are differentially regulated
by enzymes of the PI 3-K, PKC, and ERK kinase families.
AB - Various hemocyte cell types have been described in invertebrates, but for most
species a functional characterization of different hemocyte cell types is still
lacking. In order to characterize some immunological properties of mussel
(Mytilus galloprovincialis) hemocytes, cells were separated by flow cytometry and
their capacity for phagocytosis, production of reactive oxygen species (ROS), and
production of nitric oxide (NO), was examined. Phosphatidylinositol 3-kinase (PI
3-K), protein kinase C (PKC), and extracellular signal-regulated kinase (ERK)
inhibitors were also used to biochemically characterize these cell responses.
Four morphologically distinct subpopulations, designated R1-R4, were detected.
R1, R2, and R3 cells presented different levels of phagocytosis towards zymosan,
latex beads, and two bacteria species. Similarly, R1 to R3, but not R4, cells
produced ROS, while all subpopulations produced NO, in response to zymosan.
Internalization of all phagocytic targets was blocked by PI 3-K inhibition. In
addition, internalization of latex particles, but not of bacteria, was partially
blocked by PKC or ERK inhibition. Interestingly, phagocytosis of zymosan was
impaired by PKC, or ERK inhibitors, only in R2 cells. Zymosan-induced ROS
production was blocked by PI 3-K inhibition, but not by PKC, or ERK inhibition.
In addition, zymosan-stimulated NO production was affected by PI 3-K inhibition
in R1 and R2, but not in R3 or R4 cells. NO production in all cell types was
unaffected by PKC inhibition, but ERK inhibition blocked it in R2 cells. These
data reveal the existence of profound functional and biochemical differences in
mussel hemocytes and indicate that M. galloprovincialis hemocytes are specialized
cells fulfilling specific tasks in the context of host defense.
PMID- 18045689
TI - Cutaneous antibody-secreting cells and B cells in a teleost fish.
AB - Antibodies in cutaneous mucus and skin of teleosts play a critical role in the
protective immune response against infection. We demonstrate by ELISPOT that
antibody-secreting cells (ASC), which include LPS-inducible B cells
(plasmablasts) and non-replicating plasma cells, reside in low numbers in the
skin of channel catfish. Following immunization against the protozoan parasite
Ichthyophthirius multifiliis, which infects skin and gills, the number of ASC in
skin increased 20-fold, indicating that the number of ASC in skin is dynamic and
increases in response to parasite infection. The number of ASC in skin remained
elevated for at least 17 weeks after the last parasite exposure. Cutaneous ASC
included I. multifiliis-specific ASC, which undoubtedly serve as the primary
source of cutaneous antibodies that confer long-term humoral immunity against
reinfection. Our demonstration that skin contains B cells and plasma cells
suggests that it is an integral component of the teleost immune system.
PMID- 18045690
TI - Ten years later: Locating and interviewing children of drug abusers.
AB - Longitudinal studies require high follow-up rates in order to maintain
statistical power, reduce bias, and enhance the generalizability of results. This
study reports on locating and survey completion for a 10-year follow-up of the
Focus on Families project, an investigation of 130 families headed by parents who
were enrolled in methadone treatment for opiate addiction. Despite having no
contact with participants in the study for at least 10 years, the project
successfully located nearly 99% of parent participants and 98% of their children.
Twenty-four percent of the parents and one child had died before the follow-up.
Of the surviving sample, 91% of parents and 86% of the children completed the
follow-up interview. Multiple techniques were used to locate study participants,
including internet searches, researching court and public records, collaborating
with government and service agencies, and contacting family and social networks.
For more than half of the sample, costly efforts were required to locate
individual participants.
PMID- 18045691
TI - Physical activity in infants with Down syndrome receiving a treadmill
intervention.
AB - Despite the recognized relevance of physical activity in the development and
health of children, assessment of physical activity levels (PA-level) in infancy
is limited, especially in infants with Down syndrome (DS). Increasing PA-level
using a treadmill training (TMT) intervention may benefit infants with motor
delays. The purpose of this study was to investigate whether a higher intensity,
individualized TMT protocol (HI) would elicit immediate and short-term higher PA
level in infants with DS than a lower intensity, generalized training protocol
(LG). Thirty infants with DS were randomly assigned to the LG or the HI group.
Training was terminated when the infants could walk three steps independently.
Activity monitors were placed on infants' trunks and legs for a 24-h period every
other month during the intervention phase, and at set intervals 1-year post
independent walking onset. Data were analyzed to separate sedentary-to-light
activity (Lowact) and moderate-to-vigorous activity (Highact). Overall our
results demonstrate that infants receiving the HI TMT had higher levels of
Highact than infants in the LG group, factoring out the activity produced by the
intervention itself. Infants in the LG group spent more time in Lowact than the
HI group. In addition, these results seemed to be retained during the post
intervention follow-up. Despite these exciting results, more work is needed to
understand the relationship of the intensity of TMT on PA-level in infants with
DS, and whether PA-level and TMT are related to motor milestone achievement
and/or health benefits.
PMID- 18045693
TI - Automated video-based facial expression analysis of neuropsychiatric disorders.
AB - Deficits in emotional expression are prominent in several neuropsychiatric
disorders, including schizophrenia. Available clinical facial expression
evaluations provide subjective and qualitative measurements, which are based on
static 2D images that do not capture the temporal dynamics and subtleties of
expression changes. Therefore, there is a need for automated, objective and
quantitative measurements of facial expressions captured using videos. This paper
presents a computational framework that creates probabilistic expression profiles
for video data and can potentially help to automatically quantify emotional
expression differences between patients with neuropsychiatric disorders and
healthy controls. Our method automatically detects and tracks facial landmarks in
videos, and then extracts geometric features to characterize facial expression
changes. To analyze temporal facial expression changes, we employ probabilistic
classifiers that analyze facial expressions in individual frames, and then
propagate the probabilities throughout the video to capture the temporal
characteristics of facial expressions. The applications of our method to healthy
controls and case studies of patients with schizophrenia and Asperger's syndrome
demonstrate the capability of the video-based expression analysis method in
capturing subtleties of facial expression. Such results can pave the way for a
video-based method for quantitative analysis of facial expressions in clinical
research of disorders that cause affective deficits.
PMID- 18045692
TI - Making quantal analysis more convenient, fast, and accurate: user-friendly
software QUANTAN.
AB - Quantal analysis of synaptic transmission is an important tool for understanding
the mechanisms of synaptic plasticity and synaptic regulation. Although several
custom-made and commercial algorithms have been created for the analysis of
spontaneous synaptic activity, software for the analysis of action potential
evoked release remains very limited. The present paper describes a user-friendly
software package QUANTAN which has been created to analyze electrical recordings
of postsynaptic responses. The program package is written using Borland C++ under
Windows platform. QUANTAN employs and compares several algorithms to extract the
average quantal content of synaptic responses, including direct quantal counts,
the analysis of synaptic amplitudes, and the analysis of integrated current
traces. The integration of several methods in one user-friendly program package
makes quantal analysis of action potential evoked release more reliable and
accurate. To evaluate the variability in quantal content, QUANTAN performs
deconvolution of the distributions of amplitudes or areas of synaptic responses
employing a ridge regression method. Other capabilities of QUANTAN include the
analysis of the time-course and stationarity of quantal release. In summary,
QUANTAN uses digital records of synaptic responses as an input and computes the
distribution of quantal content and synaptic parameters. QUANTAN is freely
available to other scholars over the internet.
PMID- 18045695
TI - Depression like characteristics of 5HTTLPR polymorphism and temperament in
excessive internet users.
AB - INTRODUCTION: Excessive internet use (EIU) has been reported to be comorbid with
depression and the manifestation of its symptoms. This study examines the
characteristics of excessive internet users that are similar to those of patients
with depressive disorders in terms of serotonin transporter gene expression and
harm avoidance. METHODS: 91 male adolescents with EIU and 75 healthy comparison
subjects were recruited. Between group comparisons were made on genetic
polymorphisms of the serotonin transport gene and with respect to novelty seeking
and harm avoidance (HA) of Cloninger's Temperament Character Inventory. RESULTS:
The homozygous short allelic variant of the serotonin transporter gene (SS
5HTTLPR) is more frequent in the EIU group (chi(2)=4.38, df=1, p<0.05). The HA
and Beck Depression Inventory (BDI) scores were significantly higher in the EIU
group than in the healthy comparison group (t=7.03, df=164, p<0.01; t=2.12,
df=164, p=0.04). EIU subjects expressing SS-5HTTLPR also showed higher HA (HA1,
HA2, HA4, and total HA) and Young's internet addiction scale scores than EIU
subjects expressing the other serotonin transporter gene allele variants (t=2.47,
df=89, p=0.01; t=2.33, df=89, p=0.02; t=2.17, df=89, p=0.03; t=2.25, df=89,
p=0.03; t=2.93, df=89, p<0.01 respectively). CONCLUSIONS: The EIU group had
higher SS-5HTTLPR frequencies, harm avoidance, and BDI scores. SS-5HTTLPR
expression was closely related to harm avoidance in EIU. The results of this
study suggest that EIU subjects may have genetic and personality traits similar
to depressed patients.
PMID- 18045694
TI - Two-year prospective study of major depressive disorder in HIV-infected men.
AB - OBJECTIVE: The risks and factors contributing to major depressive episodes in HIV
infection remain unclear. This 2-year prospective study compared cumulative rates
and predictors of a major depressive episode in HIV-infected (HIV+) men (N=297)
and uninfected (HIV-) risk-group controls (N=90). METHODS: By design participants
at entry were without current major depression, substance dependence or major
anxiety disorder. Standardized neuromedical, neuropsychological, neuroimaging,
life events, and psychiatric assessments (Structured Clinical Interview for DSM
III-R) were conducted semi-annually for those with AIDS, and annually for all
others. RESULTS: Lifetime prevalence of major depression or other psychiatric
disorder did not differ at baseline between HIV+ men and controls. On a two-year
follow-up those with symptomatic HIV disease were significantly more likely to
experience a major depressive episode than were asymptomatic HIV+ individuals and
HIV-controls (p<0.05). Episodes were as likely to be first onset as recurrent
depression. After baseline disease stage and medical variables associated with
HIV infection were controlled, a lifetime history of major depression, or of
lifetime psychiatric comorbidity (two or more psychiatric disorders), predicted
subsequent major depressive episode (p<0.05). Neither HIV disease progression
during follow-up, nor the baseline presence of neurocognitive impairment,
clinical brain imaging abnormality, or marked life adversity predicted a later
major depressive episode. LIMITATIONS: Research cohort of men examined before era
of widespread use of advanced anti-HIV therapies. CONCLUSIONS: Symptomatic HIV
disease, but not HIV infection itself, increases intermediate-term risk of major
depression. Prior psychiatric history most strongly predicted future
vulnerability.
PMID- 18045696
TI - Differential alterations in ultrastructural morphology of chicken heterophils and
lymphocytes induced by corticosterone and lipopolysaccharide.
AB - Birds are continuously confronted by a large number of stressors, including
pathogens. Despite their variety, all stressors induce an elevation in plasma
corticosterone concentration, and consequently increase heterophil to leukocyte
(H/L) ratio. In order to evaluate and differentiate effects of endocrine (non
bacterial) and bacterial stress on the proportions and ultrastructural
characteristics of chicken leukocytes, a series of experiments were conducted
with seven-week old chickens exposed either to dietary corticosterone or to
intravenous (i.v.)-injected lipopolysaccharide (LPS). Samples were taken for
haematological, endocrine, and electron microscopy examination. Administration of
corticosterone and LPS significantly elevated plasma corticosterone
concentrations and increased H/L ratios. Electron microscopy observations
indicated changes in heterophil size, shape, and granulation, and lymphocyte
cytoplasmic characteristics. Immature heterophils were observed in the peripheral
blood, suggesting that corticosterone and LPS both stimulate an earlier release
of heterophils from bone marrow and enhance their influx into blood circulation.
The LPS induced a degenerative morphology and the destruction of lymphocytes,
whereas corticosterone appeared to stimulate their redistribution rather than
destruction. The results indicate that exposure to corticosterone or LPS
similarly increase H/L ratios, but differentially alter the ultrastructure of
heterophils and lymphocytes. Elucidation of the mechanisms that cause such
changes may play an important role in distinguishing between a nonimmune and
immune stress challenge at the molecular level.
PMID- 18045697
TI - IgA1 desialylated by microbial neuraminidase forms immune complex with naturally
occurring anti-T antibody in human serum.
AB - IgA1 was identified as the most prominent O-glycosylated protein of human serum.
Desialylation by bacterial (Clostridium perfringens) neuraminidase rendered dot
blotted IgA1 recognizable by the naturally occurring serum antibody (anti-T)
directed against Thomsen-Friedenreich antigen, Galbeta1-->3GalNAc-alpha-. On
Western blot of serum O-glycosylated proteins anti-T recognized nearly all the
bands including IgA1 as did the T antigen-specific animal lectin galectin-1 but
only after their desialylation. Agglutination of desialylated human erythrocytes
by anti-T was effectively inhibited by desialylated IgA1, but not by native IgA1
or other immunoglobulins. Desialylation of serum by neuraminidase led to
significantly increased formation of immune complexes containing IgM, the major
immunoglobulin type in anti-T on one hand and O-glycosylated proteins/IgA1 on the
other. In further evidence for anti-T-desialylated IgA1 immune complex formation,
purified anti-T added to desialylated, but not native serum led to formation of
additional IgA-IgM immune complexes. Also neuraminidase treatment significantly
reduced the titre of free (non-immune complexed) anti-T in serum, while selective
removal of anti-T by affinity absorption resulted in considerable decrease in the
amount of IgA1 that got converted to immune complexes following enzymatic
desialylation of serum. Formation of immune complex between anti-T and
neuraminidase-treated IgA1 in serum may be significant since many disease
pathogens release neuraminidase and since IgA1 is a powerful ligand for tissue
galectin-1 more so after desialylation. Diabetes also raises serum IgA and
neuraminidase levels.
PMID- 18045699
TI - Immunological control of congenital toxoplasmosis in the murine model.
AB - Toxoplasmosis is a serious disease in humans where it can cause abortion or
congenital infection if a women is exposed to disease for the first time during
pregnancy. Infection prior to pregnancy normally results in immunity and which is
capable of protecting the foetus. Similar observations have been made in the
BALB/c mouse indicating the potential of mice for studying congenital disease.
Consequently, the mouse has been used to study how mammals balance the opposing
needs of maintaining an immunological environment conducive to successful
pregnancy while attempting to control a dangerous pathogen. Moreover the mouse
has proven useful for testing the potential of a number of vaccine candidates and
adjuvants for their ability to prevent congenital infection and/or reduce foetal
death and abortion.
PMID- 18045698
TI - Gene delivery GAD 500 autoantigen by AAV serotype 1 prevented diabetes in NOD
mice: transduction efficiency do not play important roles.
AB - We previously found that adeno-associated viral vector serotype 2 (AAV-2) muscle
gene delivery of GAD 500-585 autoantigen efficiently prevented autoimmune
diabetes in NOD mice. Recent reports suggest that AAV vectors based on serotype 1
(AAV-1) transduce murine skeletal muscle much more efficiently than AAV-2, with
reported increases in expression ranging from 2 to 1000-fold. To determine
whether this increased efficacy of AAV-1 could result in increased therapeutic
effects in mice, we constructed rAAV1/GAD 500-585 vectors and compared their
effects in preventing autoimmune diabetes in NOD mice with those of rAAV2/GAD 500
585 after muscle injection. rAAV(1)/GAD(500-585) gene therapy prevented diabetes
in NOD mice. However, although much higher level of GAD 500-585 expression was
found in mice using AAV-1 as gene delivery vector than those using AAV-2, no
increased efficiency of AAV-1 vectors were found in their capability to prevent
autoimmune diabetes, as higher titers of rAAV1/GAD 500-585 virus
(3x10(11)v.g./mouse) were needed to obtain therapeutic effects in NOD mice, a
titer not different from that of AAV-2. Protection resulted from rAAV1/GAD 500
585 gene therapy were marked by enhanced Th2 immune response and up-regulated
CD4+ Foxp3+T regulatory cells, which might actively suppress effector T cells in
NOD mice. As here we found that the therapeutic effects of AAV1 were not
positively correlated to it's transduction efficiency, our data suggested that
the safety and other factors besides efficiency should be considered when use
different AAV serotype to treat autoimmune disease.
PMID- 18045700
TI - No association between hearing loss due to bilateral otitis media with effusion
and Denver-II test results in preschool children.
AB - OBJECTIVE: Otitis media with effusion (OME) is the most common cause of acquired
hearing loss in childhood and has been associated with delayed language
development and behavioral problems. In this study, children with an evidently
recurrent otitis media were investigated. The present study examines the
association between hearing loss versus developmental screening test parameters
of preschool children. METHODS: Sixteen children with bilateral otitis media were
compared with age-matched same number of children with normal hearing (controls).
RESULTS: Language and verbal cognitive abilities were not affected significantly
as a result of the presence of hearing loss because of OME. Using internationally
standardized Denver-II test to evaluate the language development and other
developmental screening parameters, no significant difference was found between
the patient and control groups. CONCLUSIONS: This study failed to find any
association between the hearing loss due to otitis media with effusion and speech
and language parameters in preschool children.
PMID- 18045701
TI - Factors affecting the persistence of tympanic membrane perforation developed with
the extubation of ventilation tube.
AB - OBJECTIVE: To determine which factors are associated with persistence of the
tympanic membrane (TM) perforation detected after a spontaneous extrusion or
surgical removal of a ventilation tube (VT). METHODS: We conducted a
retrospective chart review of all patients who underwent ventilation tube
insertion from 1995 to 2003 at our institution. We identified 909 ears in which
we could see the final state of TM and found 89 ears that fitted the
inclusion/exclusion criteria. Various factors were analyzed to determine the
factors associated with persistence of a TM perforation after VT extrusion or
removal in 89 ears, including age at the time of tube extrusion or removal, sex,
extubation pattern, intubation period, reason for VT insertion, history of
previous VT insertions, condition of the TM when a perforation was detected, and
previous adenoidectomy. RESULTS: Three factors were found to be statistically
significant predictors of permanent perforation including age, extubation pattern
and intubation period on univariate analysis. However, on multivariate analysis,
extubation pattern, intubation period remained as statistically significant
predictors. CONCLUSIONS: Our data shows that factors affecting the permanent TM
perforation after the spontaneous extrusion or surgical removal of VT include
extubation pattern and intubation period.
PMID- 18045702
TI - Evaluation of a novel real-time PCR system for cytomegalovirus DNA quantitation
on whole blood and correlation with pp65-antigen test in guiding pre-emptive
antiviral treatment.
AB - Successful pre-emptive anti-cytomegalovirus (CMV) therapy relies on sensitive,
specific and reproducible tests for CMV detection. Real-time polymerase chain
reaction (PCR) for CMV-DNA provides a superior reproducibility and sensitivity
than pp65-antigenemia. Evaluation of a novel commercial real-time PCR for CMV-DNA
associated with a fully automated DNA extraction from whole blood (WB) was
performed, studying the correlation with pp65-antigenemia in guiding pre-emptive
therapy. Analytical evaluation showed that PCR correctly quantitated CMV from 500
to 500,000copies/ml with a close correlation with expected values (r=0.999).
Clinical evaluation on 375 consecutive WB samples from 48 infected patients (18
pre-emptively treated for pp65 values >/=50 positive cells) showed that according
to pp65-antigenemia of 0, 1-10, 11-49 and >/=50 positive cells, median DNA levels
were 3.7, 3.9, 4.6 and 5.6 log(10)copies/ml, respectively. According to existing
pre-emptive treatment criteria based on pp65-antigenemia, receiver-operating
curve analysis indicated 5.3log/ml (200,000genomes/ml) as the best CMV-DNA level
to discriminate between patients requiring pre-emptive therapy and those who did
not (positive predictive value: 91%; negative predictive value: 74%; sensitivity
and specificity: 68 and 93%). In conclusion, real-time PCR provides reliable
results for monitoring the developing of CMV infection, allowing for the
definition of CMV-DNA thresholds associated with infection progress.
PMID- 18045703
TI - The role of cyp1a and heme oxygenase 1 gene expression for the toxicity of 3,4
dichloroaniline in zebrafish (Danio rerio) embryos.
AB - Expression profiling of exposed cells or organisms can reveal genes sensitive to
environmental contaminants or toxic compounds. However, the mechanistic relevance
of altered gene expression often remains to be elucidated. Toxicant-dependent
differential gene expression may indicate protection to or mediation of toxicity.
Previous studies revealed a number of differentially transcribed genes in
zebrafish embryos exposed to the model compound 3,4-dichloroaniline (3,4-DCA). To
evaluate the significance of two of the most sensitive genes, cytochrome P 450 1a
(cyp1a) and heme oxygenase 1 (hmox1), for 3,4-DCA toxicity, RNA interference
mediated knockdown and overexpression studies have been conducted. Knockdown of
gene transcription by siRNA for cyp1a and hmox1 enhanced the frequency of
developmental disorders in embryos exposed to 3,4-DCA. Vice versa, injection of
cyp1a and hmox1 mRNA reduced the number of disorders. The opposite effects of
siRNA and mRNA injection clearly indicate a protective role of the corresponding
proteins. Functional studies such as the one presented could be applied to a wide
variety of genes. They would be ideally suited to study the role of genes
identified from toxicogenomic studies in the zebrafish embryo model.
PMID- 18045704
TI - Accumulation and elimination kinetics of dietary endosulfan in Atlantic salmon
(Salmo salar).
AB - The carry-over of dietary endosulfan to the fillet of farmed Atlantic salmon was
studied. The uptake and elimination rate constants of the alpha and beta isoform
of endosulfan were determined in seawater adapted Atlantic salmon (initial weigh
173+/-25 g) fed on endosulfan enriched diets (724 and 315 microg kg(-1) for alpha
and beta-endosulfan, respectively) for 92 days, followed by a 56 days depuration
period with feeding on control diets (<0.3 microg kg(-1) endosulfan). The
accumulation of the toxic metabolite endosulfan sulphate, which was not detected
(<0.5 microg kg(-1)) in the experimental feeds, was also determined. Dietary beta
endosulfan was more persistent than alpha-endosulfan as demonstrated by a higher
uptake (41+/-8% vs. 21+/-2%) and lower elimination (26+/-2 x 10(-3) day(-1) vs.
40+/-1 x 10(-3) day(-1)) rate constants, and a higher biomagnification factor
(0.10+/-0.026 vs. 0.05+/-0.003, p<0.05). Based on the decrease in diastereometric
factor over time, biotransformation was estimated to account for at least 50% of
the endosulfan elimination. The formation of the metabolite endosulfan sulphate
comprised a maximum 1.2% of the total accumulation of endosulfan. Using a simple
one-compartmental model and the experimentally-determined rate constants, it was
predicted that only dietary concentration higher than 7.5 mg kg(-1) would cause
the estimated maximum residue level (MRL) in fillet of 0.47 mg kg(-1) to be
exceeded. Model estimations also predict that current EU maximum permitted levels
in fish feeds (0.005 mg kg(-1)) would give fillet concentrations that are under
the detection limit (0.3 microg kg(-1)), which is in agreement with the general
absence of endosulfan in monitored farmed Atlantic salmon.
PMID- 18045705
TI - Severe mitral regurgitation in Tako-Tsubo cardiomyopathy.
AB - Severe emotional or physical stress precedes Tako-Tsubo cardiomyopathy (TTC)
which is characterized by transient left ventricular impairment in absence of
coronary artery disease. In this case we report from a female patient presenting
with characteristic features of TTC after examination of upper gastrointestinal.
Additionally, severe mitral regurgitation due to acute rupture of the
posteromedial papillary muscle was present. Normal left ventricular function was
documented before surgical valve repair was performed. TTC is reported to be
associated with several complications. This is the first report of TTC
accompanied by severe mitral valve regurgitation due to rupture of a papillary
muscle.
PMID- 18045706
TI - Cardiac abnormalities in McLeod syndrome.
AB - We report the cardiac features of seven patients with X-linked McLeod
neuroacanthocytosis syndrome, a multi-system disorder resembling Huntington's
disease and cardiac manifestations in about half of the patients reported to
date. One patient presented with a cardiomyopathy (normal size of the left
ventricle with concentric remodeling and mildly impaired ejection fraction, 43%).
This patient died from sudden cardiac death in the absence of any cardiovascular
risk factors. Autopsy demonstrated eccentric hypertrophy and mild left
ventricular dilatation. Histopathology was not specific and revealed focal
myocyte hypertrophy, slight variation of myofiber size and patchy interstitial
fibrosis.
PMID- 18045707
TI - Use of PTFE-covered stent in acute myocardial infarction of aneurysmatic coronary
artery.
AB - Coronary artery aneurysms are reported between 0.1-4.9% in coronary
angiographies. Vessel wall weakening, inflammation and endothelial damage play a
role in their development. They may compromise the coronary flow and play a
source for recurrent embolization of thrombus fragments. Here we report a patient
with coronary artery aneurysm in left anterior descending artery with a critical
thrombosed proximal segment and resulting in acute myocardial infarction.
Coronary artery aneurysm is managed with polytetrafluoroethylene (PTFE) covered
stent and proximal infarct related segment is treated with a bare metal stent.
Coronary patency is provided without any obvious complication at the end of the
procedure.
PMID- 18045708
TI - Echocardiography in conscious 1K,1C Goldblatt rabbits reveals typical features of
human hypertensive ventricular diastolic dysfunction.
AB - Diastolic dysfunction is a major component of hypertensive cardiomyopathy
contributing to a progressive evolution towards overt heart failure. To establish
an experimental model that could mimic the human clinical pattern, we
standardized the surgery in one-kidney, one-clip Goldblatt (1K,1C) rabbits and
characterized their hypertensive cardiopathy by echocardiography. Five weeks
after placement of a stenotic string around the left renal artery and removal of
the right kidney, arterial pressure was measured and an echocardiography
performed in conscious animals. An hypertrophic cardiopathy associated with
hypertension and a primary trouble of the LV relaxation was observed. This
trouble was characterized by a reversion of E/A and Ea/Aa ratios and an increase
of the isovolumic relaxation time and Tau index, without augmentation of left
ventricular filling pressures. We show for the first time, in this experimental
model, a diastolic dysfunction pattern close to the human one. Moreover,
echocardiography in a conscious state gives the opportunity to use this model for
future chronic pharmacological studies.
PMID- 18045709
TI - Prognostic value of pentraxin 3 in patients with chronic heart failure.
AB - BACKGROUND: A long pentraxin, PTX3, is produced by vascular cells or inflammatory
cells and released into the circulation, possibly reflecting local inflammation
in the cardiovascular system. AIM: This study was designed to assess the clinical
significance of plasma pentraxin 3 (PTX3) levels in chronic heart failure (CHF).
METHODS: We measured plasma PTX3 levels in 37 patients with non-ischemic CHF
(dilated cardiomyopathy) using enzyme-linked immunosorbent assay (ELISA) methods.
RESULTS: The plasma PTX3 levels were higher in CHF patients than in healthy
subjects (P=0.001), and the CHF patients in the highest tertile of plasma PTX3
levels had more cardiac events than the patients in the lowest tertile (42% vs.
0%, P=0.02). Multivariate regression analysis showed that PTX3 was the most
significant predictor of cardiac events (hazard ratio 1.912 for each increase in
PTX3 of 1 ng/ml, P=0.019, 95% CI 1.114-3.282). In addition, PTX3 was strikingly
expressed in human myocardial cells obtained from a biopsy specimen in a patient.
CONCLUSION: Plasma PTX3 levels might be a potentially useful biomarker to predict
prognosis as well as to detect inflammatory status in patients with CHF.
PMID- 18045710
TI - Pseudoaneurysm of the left ventricle with moderate left-to-right shunt.
AB - A 79 year old man was admitted to the hospital with increased breathlessness and
fatigue on minimal exertion, and this since a few weeks. Transthoracic and
transesophageal echocardiography, followed by cardiovascular magnetic resonance
imaging, demonstrated a cardiac pseudoaneurysm connected with both ventricular
cavities resulting in an extracardiac left-to-right shunt, with even re
perforating into the right atrium. These findings were suggested to be sequels
from an inferior myocardial infarction. Given the age and the complex
characteristics of the aneurysm, conservative management was the treatment of
choice. At one year follow-up the patient was still doing well.
PMID- 18045711
TI - Anuric acute renal failure and pulmonary oedema: a case for urgent action.
AB - Atherosclerotic renovascular disease (ARVD) is a common manifestation of
atheromatous disease. Whilst it usually displays a chronic and asymptomatic
course, it is increasingly recognised as playing a significant pathophysiological
role in a number of clinical presentations. Anuric acute renal failure (ARF), due
to thrombotic renal artery occlusion (RAO) or progression to critical narrowing,
however, is a rare complication of this. We report a patient who presented with
anuric ARF and pulmonary oedema secondary to bilateral renal artery disease (one
chronic RAO, one highly critical renal artery stenosis (RAS)). She showed a good
response to renal revascularisation with restoration of renal function, even when
this was performed after six days of anuria.
PMID- 18045712
TI - Acute effect of oral flavonoid-rich dark chocolate intake on coronary
circulation, as compared with non-flavonoid white chocolate, by transthoracic
Doppler echocardiography in healthy adults.
AB - PURPOSE: To assess the effects of the oral intake of flavonoid-rich dark
chocolate on coronary circulation, we measured coronary flow velocity reserve
(CFVR) by noninvasive transthoracic Doppler echocardiography (TTDE) in healthy
adult subjects. MATERIALS AND METHODS: The study was a randomized, single-blind
design conducted for 2 weeks in 39 healthy men (mean age 29.7+/-3.9 years, range
23-40 years). Subjects were randomly assigned a daily intake of either flavonoid
rich dark chocolate (Meiji Black Chocolate 45 g, Meiji Seika kaisya Ltd,
including cacao polyphenol 550 mg/day, 200 kcal) or non-flavonoid white chocolate
(Meiji White Chocolate 35 g, Meiji Seika kaisya Ltd, including cacao polyphenol 0
mg/day, 140 kcal) as a control. CFVR was recorded by TTDE, and assessed before
and after 2 weeks of intake. At the same time, we also assessed serum asymmetric
dimethylarginine, 8-isoprostanes, and malondialdehyde-modified low-density
lipoprotein (MDA-LDL) as markers of oxidative stress. RESULTS: Flavonoid-rich
dark chocolate consumption significantly improved CFVR (3.38+/-0.49 before
intake, 4.28+/-0.85 after intake; p<0.01), whereas non-flavonoid white chocolate
consumption did not (3.28+/-0.49 before intake, 3.16+/-0.49 after intake;
p=0.44). All predictor variables were used as dependent variables in a multiple
regression model of the incremental change in CFVR after 2 weeks of chocolate
intake. Intake of dark (but not white) chocolate, MDA-LDL, triglyceride (TG) and
heart rate (HR) significantly influenced the change of CFVR after 2 weeks of
intake (p<0.01) according to the multiple regression formula: Y=1.01X(1)
0.005X(2)-0.003X(3)-0.017X4 (Y=change in CFVR after 2 weeks of chocolate intake,
X1=intake of dark (but not white) chocolate, X2=MDA-LDL, X3=TG, X4=HR).
CONCLUSION: Flavonoid-rich dark chocolate intake significantly improved coronary
circulation in healthy adults, independent of changes in oxidative stress
parameters, blood pressure and lipid profile, whereas non-flavonoid white
chocolate had no such effects.
PMID- 18045713
TI - Latent left ventricular outflow tract obstruction induced by abnormal
hypertrophic papillary muscle caused myocardial ischemia.
AB - Left ventricular outflow tract (LVOT) obstruction is a typical recognized feature
in hypertrophic cardiomyopathy. However, it has been shown in other clinical
scenarios such as acute ischemia. In some patients, LVOT obstruction may only be
detectable with provocation testing such as exercise stress. Accurate and timely
diagnosis, therefore, relies on recognizing an echocardiographic substrate in
which LVOT obstruction may occur, such as ventricular hypertrophy. This report
describes the case of a patient presenting with effort ECG and signs of
myocardial ischemia, with no significant narrowing of coronary arteries but with
latent LVOT obstruction due to the presence of an abnormal hypertrophic papillary
muscle instead of a typical ventricle hypertrophy.
PMID- 18045714
TI - Vaccination of free-living juvenile wild rabbits (Oryctolagus cuniculus) against
myxomatosis improved their survival.
AB - For several decades, the populations of the European wild rabbit (Oryctolagus
cuniculus) have declined, which is partly due to myxomatosis. Vaccination against
this disease is expected to contribute to restoration of rabbit populations but
the actual impact of myxomatosis is not well known and vaccination might have
some negative effects. We analyzed the capture-mark-recapture data obtained in a
4-year field experiment (1991-1994) in a park near Paris, France wherein 300 out
of 565 seronegative juvenile rabbits were vaccinated at first capture against
myxomatosis with the nontransmissible Dervaximyxo SG33 vaccine. After accounting
for weight at first capture, age-class (juvenile/adult), "trap-happiness" and
season (spring/autumn) of the capture event, vaccinated rabbits had 1.8-fold
greater odds of surviving than the unvaccinated rabbits. The average summer
survival risk for vaccinated juveniles was 0.63 (+/-0.08 S.E.) whereas it was
0.48 (+/-0.08 S.E.) for unvaccinated juvenile rabbits.
PMID- 18045715
TI - Long-term stability of electroencephalographic asymmetry and power in 3 to 9 year
old children.
AB - We investigated the test-retest stability of resting EEG asymmetry and power in
the alpha frequency range across a 0.6- to 3-year interval in 125 children (57
girls and 68 boys) for two age groups, 87 preschool children (3 to 5 year-olds)
and 38 school-age children (6 to 9 year-olds). Children were from families with a
parent's history of unipolar or bipolar depression (36 girls and 43 boys) or
control families with no parent history of depression nor any other psychiatric
disorder (21 girls and 25 boys). Frontal EEG asymmetry stability was low to
moderate; intraclass correlations ranged from zero to 0.48 in the eyes-open
condition, and from 0.19 to 0.45 in the eyes-closed condition. Also, parietal EEG
asymmetry was low to moderate; intraclass correlations ranged from 0.21 to 0.52
in the eyes-open condition and from 0.27 to 0.72 in the eyes-closed condition.
Stability of EEG asymmetry was not related to age, sex of the child, or parent's
history of mood disorder. Frontal and parietal EEG power appeared moderately to
highly stable. Intraclass correlations were between 0.65 and 0.86 in the eyes
open condition and between 0.52 and 0.90 in the eyes-closed condition. Although
stability of EEG power was not statistical significantly different between
preschool and school-age children, it consistently showed higher stability values
in school-age children than in preschool children. Stability in school-age
children approached values as has been reported for adults. The findings provide
partial support to the concept of frontal EEG asymmetry as a trait marker in
childhood.
PMID- 18045716
TI - Involvement of calcineurin in glutamate-induced mitochondrial dynamics in
neurons.
AB - Alterations in the morphology and movement of mitochondria influence neuronal
viability. However, the precise mechanisms of such alterations are unclear. In
this study, we showed calcineurin was involved in the regulation of mitochondrial
dynamics. Glutamate stimulation inhibited mitochondrial movement and decreased
mitochondrial length in neurons. FK506 and cyclosporine A, calcineurin
inhibitors, attenuated the effects of glutamate on mitochondrial dynamics. It was
also found that glutamate treatment dephosphorylated, a proapoptotic protein, Bad
and promoted its translocation to mitochondria in neurons via calcineurin. These
results provide important new insights into intracellular signaling pathways that
regulate mitochondrial dynamics and neuronal cell death.
PMID- 18045717
TI - Serotonin2C receptor mRNA editing in neuropathic pain model.
AB - We investigated the effects on 5HT(serotonin) 2C receptor RNA editing efficiency
of contusive SCI (spinal cord injury). Using cloning followed by sequence
analysis on spinal cord samples taken, we compared mRNA editing. Our results
might be evidence of a functional adaptation mechanism in which increased
expression of 5HT2C mRNA isoforms that encode receptors more sensitive to
serotonin works to activate brainstem-spinal descending 5HT systems to, in
effect, suppress transmission of nociceptive signals from primary afferent
neurons to the spinal dorsal horn.
PMID- 18045718
TI - Virulence characterization and genotypic analyses of Listeria monocytogenes
isolates from food and processing environments in eastern China.
AB - In this study, twenty L. monocytogenes food-related isolates collected from
eastern China Zhejiang province were compared by in vivo LD50 assays as well as
in vitro cytopathic plaque forming assay. Nineteen L. monocytogenes isolates
(19/20) were as virulent as reference strain 10403S, while the isolate M4 had low
pathogenicity. The unique isolate M4 fell into lineage III based on the partial
nucleotide variations of actA, while the other isolates belonged to the more
common lineages I and II. L. monocytogenes isolates were grouped in 17 to 19
subtypes using pulsed-field gel electrophoresis (PFGE) with SmaI digestion, and
multilocus sequence typing (MLST) based on three virulence genes (actA, inlA and
inlB) and four housekeeping genes (betL, dat, recA and sigB). The virulence genes
based MLST had better discriminatory power than that targeting the housekeeping
genes (0.990 vs 0.895), similar to PFGE (0.976). An isolate from the processing
desk was found having the same pulsotype as the two isolates from final shrimp
products in the same plant, indicating that process contamination could be the
source of Listeria contamination.
PMID- 18045719
TI - Highly protective E2-CSFV vaccine candidate produced in the mammary gland of
adenoviral transduced goats.
AB - Classical swine fever virus is the etiological agent of the most economically
important highly contagious disease of swine worldwide. E2 is the major envelope
glycoprotein present as a homodimer on the outer surface of the virus and
represents an important target for the induction of neutralizing immune response
against the viral infection. The E2 extracellular domain was expressed in the
milk of adenoviral transduced goats at the highest level about 1.2g/L. The
recombinant glycoprotein was purified from clarified serum milk by a single metal
chelate affinity chromatography step, as a homodimer of approximately 100kDa and
purity over 98%. Glycosylation analysis showed the presence of oligomannoside,
hybrid and complex type N-glycans, attached to the recombinant E2. The capacity
of goat milk-derived E2 antigen to protect pigs from both classical swine fever
clinical signs and viral infection was assessed in a vaccination and challenge
trial. The immunized pigs became protected after challenge with 10(5) LD(50) of a
highly pathogenic CSFV strain. In the context of veterinary vaccines, this
expression system has the advantages that the recombinant antigen could be
harvested in about 48h after adenoviral transduction with expression levels in
the range of g/L. This approach may turn into a scalable expression system for
the assessment and production of veterinary vaccines.
PMID- 18045720
TI - Sphingomyelin induces structural alteration in canine parvovirus capsid.
AB - One of the essential steps in canine parvovirus (CPV) infection, the release from
endosomal vesicles, is dominated by interactions between the virus capsid and the
endosomal membranes. In this study, the effect of sphingomyelin and phosphatidyl
serine on canine parvovirus capsid and on the phospholipase A(2) (PLA(2))
activity of CPV VP1 unique N-terminus was analyzed. Accordingly, a significant
(P< or =0.05) shift of tryptophan fluorescence emission peak was detected at pH
5.5 in the presence of sphingomyelin, whereas at pH 7.4 a similar but minor shift
was observed. This effect may relate to the exposure of VP1 N-terminus in acidic
pH as well as to interactions between sphingomyelin and CPV. When the phenomenon
was further characterized using circular dichroism spectroscopy, differences in
CPV capsid CD spectra with and without sphingomyelin and phosphatidyl serine were
detected, corresponding to data obtained with tryptophan fluorescence. However,
when the enzymatic activity of CPV PLA(2) was tested in the presence of
sphingomyelin, no significant effect in the function of the enzyme was detected.
Thus, the structural changes observed with spectroscopic techniques appear not to
manipulate the activity of CPV PLA(2), and may therefore implicate alternative
interactions between CPV capsid and sphingomyelin.
PMID- 18045721
TI - SARS coronavirus accessory proteins.
AB - The emergence of the severe acute respiratory syndrome coronavirus (SARS-CoV) has
led to a renewed interest in studying the role of accessory proteins in
regulating coronavirus infections in the natural host. A significant body of
evidence has accumulated in the area of SARS-CoV and host interactions that
indicate that the accessory proteins might play an important role in modulating
the host response to virus infection and thereby, contribute to pathogenesis. In
this review, we have compiled the current knowledge about SARS-CoV accessory
proteins, obtained from studies in cell culture systems, reverse genetics and
animal models, to shed some light into the possible role of these proteins in the
propagation and virulence of SARS-CoV in its natural host. We conclude by
providing some questions for future studies that will greatly advance our
knowledge about the biological significance and contributions of the accessory
proteins in the development of SARS in humans.
PMID- 18045722
TI - Mannosylated semiconductor quantum dots for the labeling of macrophages.
AB - Quantum dots show strong fluorescence emission and long stability compared with
classical organic fluorescent dyes; therefore, quantum dots take the place of
other dyes in Western blot, immunostaining and bioimaging. Since macrophage plays
crucial roles in many pathophysiological processes, tracking macrophage
migration, homing and fate is important for understanding the complex roles of
macrophages in disease or developing disease diagnosis. Because of the high
expression of mannose receptor on macrophage, mannosylation is an attractive
strategy to label macrophage. In this study, using polyethylene-glycol (PEG)
(M.W. 2,000; PEG(2,000))-coated quantum dots, we prepared mannosylated PEG(2,000)
(Man-PEG(2,000)) quantum dots for labeling macrophage. The uptake characteristics
of Man-PEG(2,000) quantum dots were investigated by primary cultured peritoneal
macrophages. The uptake of Man-PEG(2,000) quantum dots was inhibited by an excess
amount of mannose, suggesting mannose receptor-mediated uptake of Man-PEG(2,000)
quantum dots. The result of MTT assay suggested the extremely low cytotoxicity of
Man-PEG(2,000) quantum dots. In conclusion, the Man-PEG(2,000) synthesized is
safe and is taken up by macrophage mannose receptor recognition.
PMID- 18045723
TI - Prevalence of the impaired glucose metabolism and its association with risk
factors for coronary artery disease in women with gestational diabetes.
AB - Gestational diabetes (GDM) has increased risk of diabetes (DM2), a coronary
artery disease (CAD) equivalent. The aim of this study was to determine the
prevalence of impaired glucose metabolism (IGM) in GDM and its association with
risk factors for CAD. A cohort of 109 women with GDM underwent a glucose
tolerance test which classified them into three groups: diabetic (DM2) (fasting
glucose (G) >or=126mg/dl or plasma glucose 2h (2-h G) >or=200mg/dl); impaired
glucose tolerance (IGT) (G 100-125mg/dl and/or 2-h G 140-199mg/dl); and normal
(N) (G<100mg/dl and/or 2-h<140mg/dl). They were compared for pre-gestational
(PBMI) and current (CBMI) body mass index, systolic (SBP) and diastolic blood
pressure (DBP), G, lipids, fibrinogen and C-reactive protein (hsCRP). Thirty two
months after delivery, 17.4% presented DM2, 39.4% IGT and 43.1% were N. PBMI,
CBMI, SBP and DBP were significantly higher in the DM2 than N. G was higher in
DM2 and IGT. HDL-cholesterol (HDL-C) was higher in the N (p=0.02) and the
triglycerides (TG) were higher in DM2 (p=0.02). The groups showed significantly
different levels of hsCRP (p=0.002). We conclude that the high prevalence of IGM,
overweight/obesity, dyslipidemia and altered inflammatory markers, make GDM a
high-risk situation for CAD.
PMID- 18045725
TI - Light transport in tissue by 3D Monte Carlo: influence of boundary voxelization.
AB - Monte Carlo (MC) based simulations of photon transport in living tissues have
become the "gold standard" technique in biomedical optics. Three-dimensional (3D)
voxel-based images are the natural way to represent human (and animal) tissues.
It is generally believed that the combination of 3D images and MC based
algorithms allows one to produce the most realistic models of photon propagation.
In the present work, it is shown that this approach may lead to large errors in
the MC data due to the "roughness" of the geometrical boundaries generated by the
presence of the voxels. In particular, the computed intensity of the light
detected on the tissue surface of a simple cubic tissue phantom may display
errors from -80% to 120%. It is also shown that these errors depend in a complex
manner on optical and geometrical parameters such as the interoptode distance,
scattering coefficient, refractive index, etc. and on the degree of voxelization
("roughness") of the boundaries. It is concluded that if one wants to perform
reliable 3D Monte Carlo simulations on complex geometries, such as human brain,
skin or trabecular bone, it is necessary to introduce boundary meshing techniques
or other equivalent procedures in the MC code to eliminate the deleterious effect
of voxelization.
PMID- 18045724
TI - Cost of preventing variceal rebleeding with transjugular intrahepatic portal
systemic shunt and distal splenorenal shunt.
AB - BACKGROUND/AIMS: We examined the cost and cost effectiveness of distal
splenorenal shunt (DSRS) and transjugular intrahepatic portosystemic shunt (TIPS)
in the prevention of variceal rebleeding. METHODS: Patients participated in a
randomized controlled trial comparing DSRS to TIPS. Quality of life (QOL) was
measured using SF-36 preceding randomization and yearly thereafter. Cost utility
analysis was performed using TreeAge DATA. Costs for both in- and out-patient
events and interventions were obtained for each patient. Costs using coated
stents were estimated using different rates of stenosis. Incremental cost
effectiveness ratios (ICERs) were determined at 1, 3 and 5 years. RESULTS: The
average yearly costs of managing patients after TIPS and DSRS over 5 years were
similar, $16,363 and $13,492, respectively. Cost of TIPS for surviving patients
exceeded the cost of DSRS at years 3 and 5 but not significantly. ICERs per life
saved favored TIPS at year 5 ($61,000). If coated rather than bare stents were
used the cost effectiveness of TIPS increased slightly. CONCLUSIONS: TIPS is as
effective as DSRS in preventing variceal rebleeding and may be more cost
effective. TIPS, in all aspects, is equal to DSRS in the prevention of variceal
rebleeding in patients who are medical failures.
PMID- 18045726
TI - Predicting cell-penetrating peptides.
AB - Possibility to predict short peptide sequences capable to penetrate the plasma
membrane opens new opportunities for developing peptide based intracellular
delivery vectors, called cell-penetrating peptides (CPPs). Predictions of CPPs,
however are often based on trial and error and may not always lead to new potent
sequences. In this review we discuss different problems associated with CPP
prediction. Additionally, the used methods of CPP prediction are compared. Also,
a few suggestions are made for designing new CPP sequences and improvement of
predictions.
PMID- 18045727
TI - Methodological and cellular aspects that govern the internalization mechanisms of
arginine-rich cell-penetrating peptides.
AB - Peptides including HIV-1 Tat peptide and oligoarginines represent arginine-rich
membrane-permeable vectors that attain efficient intracellular delivery of
bioactive molecules. The importance of the arginine residues or their guanidino
functions is now appreciated for efficient internalization of the Tat peptide,
and based on this, various novel arginine/guanidino-rich vectors have now been
developed. However, molecular detail of their method(s) of internalization are
still debated. This review summarizes our current understandings of endocytic and
non-endocytic aspects of internalization of arginine-rich peptide vectors. We
highlight the possibility of simultaneous employment of multiple internalization
pathways, the contribution of which is dependent on a number of factors.
Similarities and dissimilarities among the internalization methods of typical
peptide vectors and other guanidino-rich vectors including branched-chain, beta
peptide, and sugar-based vectors, are also discussed.
PMID- 18045728
TI - Approaches for the development of solid and semi-solid lipid-based formulations.
AB - Interest in Lipid Based Drug Delivery (LBDD) has developed over the past decade
fuelled by a better understanding of the multiple roles lipids may play in
enhancing oral bioavailability. Moreover, the emergence of novel excipients with
acceptable regulatory and safety profiles coupled with advances in formulation
technologies have greatly improved the potential for successful lipid based
formulations. With the growing interest in this field, there is an increasing
need for guidelines in excipient selection and characterization; material
handling, formulation design, and processing techniques to obtain effective and
patient-compliant dosage forms. The aim of this review is to present the recent
approaches in selecting the most appropriate lipid system(s); methods for
characterization of their behavior in vitro and in vivo; and the current
formulation and processing techniques to obtain various solid dosage forms.
PMID- 18045730
TI - Thermodynamic studies and binding mechanisms of cell-penetrating peptides with
lipids and glycosaminoglycans.
AB - Cell-penetrating peptides (CPPs) traverse the membrane of biological cells at low
micromolar concentrations and are able to take various cargo molecules along
with. Despite large differences in their chemical structure, CPPs share the
structural similarity of a high cationic charge density. This property confers to
them the ability to bind electrostatically membrane constituents such as anionic
lipids and glycosaminoglycans (GAGs). Controversies exist, however, about the
biological response after the interaction of CPPs with such membrane
constituents. Present review compares thermodynamic binding studies with
conditions of the biological CPP uptake. It becomes evident that CPPs enter
biological cells by different and probably competing mechanisms. For example,
some amphipathic CPPs traverse pure lipid model membranes at low micromolar
concentrations--at least in the absence of cargos. In contrast, no direct
translocation at these conditions is observed for non-amphipathic CPPs. Finally,
CPPs bind GAGs at low micromolar concentrations with potential consequences for
endocytotic pathways.
PMID- 18045729
TI - Biomimetic materials for tissue engineering.
AB - Tissue engineering and regenerative medicine is an exciting research area that
aims at regenerative alternatives to harvested tissues for transplantation.
Biomaterials play a pivotal role as scaffolds to provide three-dimensional
templates and synthetic extracellular matrix environments for tissue
regeneration. It is often beneficial for the scaffolds to mimic certain
advantageous characteristics of the natural extracellular matrix, or
developmental or wound healing programs. This article reviews current biomimetic
materials approaches in tissue engineering. These include synthesis to achieve
certain compositions or properties similar to those of the extracellular matrix,
novel processing technologies to achieve structural features mimicking the
extracellular matrix on various levels, approaches to emulate cell-extracellular
matrix interactions, and biologic delivery strategies to recapitulate a signaling
cascade or developmental/wound healing program. The article also provides
examples of enhanced cellular/tissue functions and regenerative outcomes,
demonstrating the excitement and significance of the biomimetic materials for
tissue engineering and regeneration.
PMID- 18045732
TI - Stereotactic, high single-dose irradiation of stage I non-small cell lung cancer
(NSCLC) using four-dimensional CT scans for treatment planning.
AB - We reviewed response rates, local control, survival and side effects after non
fractionated stereotactic high single-dose body radiation therapy for lung
tumors. Forty patients with stage I non-small cell lung cancer (NSCLC) underwent
radiosurgery involving single-dose irradiation. The standard dose prescribed to
the isocenter was 30Gy with an axial safety margin of 10mm and a longitudinal
safety margin of 15mm. The planning target volume (PTV) was defined using three
CT scans with reference to the phases of respiration so that the movement span of
the clinical target volume (CTV) was enclosed. The volume of the bronchial
carcinomas varied from 4.2 to 130cm(3) (median: 19.5cm(3)), and the PTV derived
from four-dimensional CT (4D-CT) scans using image fusion ranged from 15.6 to
390.5cm(3) (median: 101cm(3)). Tumor size ranged from 1.7 to 10cm at largest
focuses. Follow-up periods varied from 6.0 to 61.5 months (median: 20 months). We
observed three local tumor recurrences, resulting in an actuarial local tumor
control of 81% at 3 years. With the exception of two rib fractures, no serious
late toxicity was observed. The overall survival probability rates were: 2 years:
66%, 3 years: 53% (median overall survival: 37 months). Cancer-specific survival
probability was: 2 years: 71%, 3 years: 57%. Non-fractionated high single-dose
SBRT for NSCLC is more convenient for the patient and less time-consuming than
hypofractionated SBRT, but data dealing with this method are still scanty. This
alternative treatment results in favourable local control and acceptable
toxicity.
PMID- 18045731
TI - Phase III randomized, double-blind study of maintenance CAI or placebo in
patients with advanced non-small cell lung cancer (NSCLC) after completion of
initial therapy (NCCTG 97-24-51).
AB - PURPOSE: This study assessed whether maintenance therapy with
carboxyaminoimidazole (CAI), compared to placebo, prolonged overall survival in
stage IIIB/IV NSCLC patients who had tumour regression or stable disease after
treatment with one chemotherapy regimen. METHODS: After completion of
chemotherapy, patients were randomized to receive daily oral CAI at 250mg or
placebo. Treatment continued until patient refusal, disease progression or
unacceptable adverse event (AE). Quality of life (QOL) was assessed by UNISCALE
and Functional Assessment of Cancer Therapy for Lung Cancer (FACT-L). RESULTS:
Registration was halted early for slow accrual (targeted 360, randomized 186: 94
CAI, 92 placebo). All patients were off active treatment at time of analyses. Non
haematologic AEs (primarily grade 1, 2) observed significantly more often in the
CAI group included fatigue (54.5% versus 29.3%), anorexia (31.1% versus 13.0%),
nausea (62.2% versus 30.4%), vomiting (32.2% versus 14.1%), neurosensory (60.0%
versus 44.6%) and ataxia (33.3% versus 16.3%). Patients discontinued treatment
for AEs, death on study or refusal more often in the CAI group (36.0% versus
8.7%, p<0.0001). No significant differences in survival or time to progression
were observed (median: CAI versus placebo: 11.4 months versus 10.5 months, log
rank p=0.54; 2.8 months versus 2.4 months, log rank p=0.50). More patients
receiving CAI reported a clinically significant (10-point) decline in QOL
particularly on the functional (58% versus 37%, p=0.05) construct of FACT-L and
UNISCALE (72% versus 51%, p=0.04). CONCLUSION: The addition of CAI following
chemotherapy does not provide clinical benefit or improvement in QOL over placebo
in advanced NSCLC.
PMID- 18045733
TI - Demographic and clinical properties of juvenile-onset Behcet's disease: A
controlled multicenter study.
AB - BACKGROUND: Behcet's disease (BD) is a multisystemic inflammatory disorder of
unknown origin. The disease usually occurs between the second and the fourth
decades, whereas it is uncommon in children. OBJECTIVE: In this multicenter
study, we aimed to describe the demographic and clinical features along with
severity in juvenile- versus adult-onset BD. METHODS: Patients with initial
symptoms at age 16 years or younger were considered as having juvenile-onset BD.
In all, 83 patients with juvenile-onset BD (38 male and 45 female; mean age 19.6
+/- 7.6 years) and 536 with adult-onset (>16 years) BD (293 male and 243 female;
mean age 39.2 +/- 10.1 years) who fulfilled the classification criteria of the
International Study Group for BD were involved in the study. RESULTS: Familial
cases were more frequent in juvenile-onset compared with adult-onset BD (19% vs
10.3%; P = .017). The mean age of disease onset was 12.29 +/- 3.54 years in
juvenile-onset BD and 31.66 +/- 8.71 years in adult-onset BD. Mucocutaneous
lesions and articular symptoms were the most commonly observed manifestations in
both groups. The frequency of disease manifestations was not different between
juvenile- and adult-onset BD, except neurologic and gastrointestinal involvement,
which were higher in juvenile-onset BD than adult-onset BD (P = .027 and P =
.024, respectively). Oral ulcer was the most common onset manifestation of both
juvenile-onset (86.74%) and adult-onset (89.55%) BD. The frequencies of onset
manifestations of BD were similar, except genital ulcer, which was higher in
adult-onset BD (P = .025). LIMITATIONS: Our study consisted of patients with BD
mainly applying to dermatology and venerology departments. Therefore, it can be
speculated that this study includes rather a milder spectrum of the disease.
CONCLUSIONS: Although the clinical spectrum of juvenile-onset BD seems to be
similar to adult-onset BD, the frequency of severe organ involvement was higher.
Because of the higher prevalence of familial cases in juvenile-onset BD, it can
be speculated that genetic factors may favor early expression of the disease with
severe organ involvement.
PMID- 18045734
TI - Phakomatosis pigmentovascularis: Clinical findings in 15 patients and review of
the literature.
AB - INTRODUCTION: Phakomatosis pigmentovascularis (PPV) is a rare syndrome
characterized by the association of a vascular nevus with an extensive pigmentary
nevus. OBJECTIVE: We sought to study and evaluate clinical findings in patients
with PPV referred to the laser department of our hospital. METHODS: We revised
the clinical findings of 15 patients with PPV and reclassified them according to
Happle's new classification. RESULTS: We studied 11 female patients and 4 male
patients with a mean age of 21 years. Thirteen had phakomatosis cesioflammea, one
cesiomarmorata, and one an unclassifiable form. Of 15 patients, 12 had nevus of
Ota. The vascular involvement was extensive in our PPV population and 14 patients
were affected in two or more areas. The mosaicism pattern in 13 patients was
patchy and without a midline separation. The most frequent associations found
were Sturge-Weber syndrome, Klippel-Trenaunay syndrome, and melanosis oculi.
LIMITATIONS: Limitations include the methods of case collection, that this is a
retrospective study, and that there were a relatively small number of patients.
CONCLUSIONS: PPV are rare syndromes with a wide variability in their clinical
expression. Most of the publications in the literature have only reported
isolated cases.
PMID- 18045736
TI - Validation of the Acute Heart Failure Index.
AB - STUDY OBJECTIVE: Validate a clinical prediction rule prognostic of short-term
fatal and inpatient nonfatal outcomes for heart failure patients admitted through
the emergency department. METHODS: We retrospectively studied a random cohort of
8,384 adult patients admitted to Pennsylvania hospitals in 2003 and 2004 with a
diagnosis of heart failure as defined by primary discharge diagnosis codes. We
reported the proportions of inpatient death, serious medical complications before
discharge, and 30-day death in the patients identified as low risk by the
prediction rule. RESULTS: The prediction rule classified 1,609 (19.2%) of the
patients as low risk. Within this subgroup, there were 12 (0.7%; 95% confidence
interval [CI] 0.3% to 1.2%) inpatient deaths, 28 (1.7%; 95% CI 1.1% to 2.4%)
patients survived to hospital discharge after a serious complication, and 47
(2.9%; 95% CI 2.1% to 3.7%) patients died within 30 days of the index
hospitalization. CONCLUSION: This prediction rule identifies a group of admitted
heart failure patients at low risk of inpatient mortal and nonmortal
complications. Our validation findings suggest the rule could assist physicians
in making site-of-care decisions for this patient population and aid in analyzing
presenting illness burden in study populations.
PMID- 18045735
TI - Anticipatory physiological regulation in feeding biology: cephalic phase
responses.
AB - Anticipatory physiological regulation is an adaptive strategy that enables
animals to respond faster to physiologic and metabolic challenges. The cephalic
phase responses are anticipatory responses that prepare animals to digest,
absorb, and metabolize nutrients. They enable the sensory aspects of the food to
interact with the metabolic state of the animal to influence feeding behavior.
The anticipatory digestive secretions and metabolic adjustments in response to
food cues are key adaptations that affect digestive and metabolic efficiency and
aid in controlling the resulting elevation of metabolic fuels in the blood.
Cephalic phase responses enable digestion, metabolism, and appetite to be
regulated in a coordinated fashion. These responses have significant effects on
meal size. For example, if the cephalic phase insulin response is blocked the
result is poor glucose control and smaller meals. Cephalic phase responses also
are linked to motivation to feed, and may play a more direct role in regulating
meal size beyond the permissive one of ameliorating negative consequences of
feeding. For example, the orexigenic peptide ghrelin appears to display a
cephalic phase response, rising before expected meal times. This anticipatory
ghrelin response increases appetite; interestingly it also enhances fat
absorption, linking appetite with digestion and metabolism.
PMID- 18045737
TI - Core temperature cooling in healthy volunteers after rapid intravenous infusion
of cold and room temperature saline solution.
AB - STUDY OBJECTIVE: Studies have suggested that inducing mild hypothermia improves
neurologic outcomes after traumatic brain injury, major stroke, traumatic
hemorrhage, and cardiac arrest. Although infusion of cold normal saline solution
is a simple and inexpensive method for initiating hypothermia, human cold-defense
mechanisms potentially make this route stressful or ineffective. We hypothesize
that rapid infusion of 30 mL/kg of cold (4 degrees C, 39.2 degrees F) 0.9% saline
solution during 30 minutes to healthy subjects (aged 27 [standard deviation (SD)
4] years) will reduce core body temperature to the therapeutic range of 33
degrees C to 35 degrees C (91.4 degrees F to 95 degrees F). METHODS: Sixteen
subjects were randomly assigned to receive either cold (4 degrees C, 39.2 degrees
F) or room temperature (23 degrees C, 73.4 degrees F) normal saline solution.
Subjects were not informed of their assignment, but blinding was not possible
after initiation of the infusion. Core temperature, skin temperature, and vital
signs were recorded every 2 minutes. Subjects indicated global discomfort during
the infusion on a 100-mm visual analog scale at 5-minute intervals. RESULTS: Core
temperature decreased in both the cold saline solution (1.0 degrees C [SD 0.4
degrees C]/1.8 degrees F [0.7 degrees F]) and room temperature saline solution
(0.5 degrees C [SD 0.1 degrees C]/0.9 degrees F [0.2 degrees F]) groups, whereas
skin temperature was unchanged. Slopes calculated from the core temperature
cooling curves indicate that the majority of cooling occurred during the first
half of the infusion. Examination of the core temperature cooling curves revealed
a 2-phase temporal pattern in 30-minute cooling curves. The early phase, spanning
0 to 14 minutes, demonstrated rapid cooling in both groups, with a larger effect
observed in subjects receiving cold saline solution. CONCLUSION: In this pilot
study of healthy volunteers, rapid administration of cold saline solution to
awake normothermic volunteers resulted in 1 degrees C (1.8 degrees F) cooling but
did not induce a therapeutic plane of hypothermia. This change in core
temperature was not accompanied by significant changes in skin temperature. These
data suggest that a reduction in core temperature of about 1 degrees C (1.8
degrees F) can be achieved in healthy humans before a thermoregulatory response
is triggered and that rapid infusion of cold intravenous fluids is insufficient
by itself to overcome this response. The clinically relevant control arm of room
temperature saline solution also resulted in mild core cooling.
PMID- 18045738
TI - Evaluation of the inhibitory effects of human serum components on bactericidal
activity of human beta defensin 3.
AB - Naturally occurring cationic antimicrobial peptides (CAPs) are an essential
component of the innate immune system of multicellular organisms. At
concentrations generally higher than those found in vivo, most CAPs exhibit
strong antibacterial properties in vitro, but their activity may be inhibited by
body fluids, a fact that could limit their future use as antimicrobial and/or
immunomodulatory agents. In the present study, we evaluated the effects of human
serum components on bactericidal activity of the human beta-defensin 3 (hBD-3), a
CAP considered particularly promising for future therapeutic employment. Human
serum diluted to 20% strongly inhibited the bactericidal activity of the peptide
against both the Gram-positive species Staphylococcus aureus and the Gram
negative species Acinetobacter baumannii. Such activity was not restored in serum
devoid of salts (dialyzed), pre-treated with protease inhibitors, or subjected to
both of these treatments. The addition of physiological concentrations of NaCl,
CaCl2, and human albumin in the bactericidal assay abolished bactericidal
activity of hBD-3 against S. aureus, while it only partially inhibited the
activity of the peptide against A. baumannii. Although a proteolytic activity of
serum on hBD-3 was demonstrated at the protein level by Western blot, addition of
physiological concentrations of trypsin to the bactericidal assay only partially
affected the antibacterial properties of the peptide. Altogether, these results
demonstrate a major role of mono-divalent cations and serum proteins on
inhibition of hBD-3 antibacterial properties and indicate a relative lack in
sensitivity of the bactericidal activity of this peptide to trypsin and trypsin
like proteases.
PMID- 18045739
TI - Evidence for the existence of a functional Kiss1/Kiss1 receptor pathway in fish.
AB - In mammals, the Kiss1 receptor (Kiss1r) and its kisspeptin ligands are key
factors regulating the onset of puberty. In fish, however, the mechanisms
underlying the initiation of puberty are poorly understood and the role of the
Kiss1r/kisspeptin pathway in this process has not been established. In this
study, a bioinformatics approach was used to identify the genes for Kiss1 and
Kiss1r in five teleost genomes and the information used to clone the
corresponding transcripts from zebrafish. Zebrafish kiss1r was expressed
predominantly in the brain, with a minor level of expression in the eye, and
zebrafish kiss1 was expressed in brain, intestine, adipose tissue and testis.
Analysis of the chromosome region containing the kiss1 locus showed high synteny
across vertebrate genomes. In contrast to their mammalian homologues, teleost
Kiss1 protein sequences were poorly conserved with the exception of the region
representing kisspeptin-10. Signal peptide sequences and likely cleavage and
amidation sites in the teleost Kiss1 sequences were determined and found to be
similar to those in mammalian Kiss1. This is the first report of the existence
and characterization of the Kiss1 gene outside the mammalian taxa, suggesting
that a functional Kiss1/Kiss1 receptor pathway is conserved across vertebrate
species.
PMID- 18045743
TI - Bioactivities of a series of phosphodiesterase type 5 (PDE-5) inhibitors as
modelled by MIA-QSAR.
AB - A series of cyclic guanine derivatives, phosphodiesterase type 5 (PDE-5)
inhibitors, have been modelled using an image-based approach for quantitative
structure-activity relationships (MIA-QSAR). The calibration model showed to be
robust with a R(2) of 0.864 using five PLS components. The predictive ability of
the model was tested through leave-one-out cross-validation, giving a Q(2)(CV) of
0.605 (Q(2)(CV) improves to 0.721 after removing two outliers). An external
validation set was also used to give an account for the modelling capability, and
the results agreed with the ones obtained from a 3D methodology previously
applied to this series of compounds. The method showed to be a potential tool for
predicting new drug-like compounds, as exemplified by calculating the activities
of two new proposed congeners derived from the training set.
PMID- 18045742
TI - Naphthoquinoidal [1,2,3]-triazole, a new structural moiety active against
Trypanosoma cruzi.
AB - [1,2,3]-Triazole derivatives of nor-beta-lapachone were synthesized and assayed
against the infective bloodstream trypomastigote form of Trypanosoma cruzi, the
etiological agent of Chagas disease. All the derivatives were more active than
the original quinones, with IC(50)/1 day values in the range of 17 to 359 microM,
the apolar phenyl substituted triazole 6 being the most active compound. These
triazole derivatives of nor-beta-lapachone emerge as interesting new lead
compounds in drug development for Chagas disease.
PMID- 18045744
TI - N6-1,3-diphenylurea derivatives of 2-phenyl-9-benzyladenines and 8-azaadenines:
synthesis and biological evaluation as allosteric modulators of A2A adenosine
receptors.
AB - Some 1-[4-(9-benzyl-2-phenyl-9H-purin-6-ylamino)-phenyl]-3-phenyl-urea
derivatives and some 1-[4-(9-benzyl-2-phenyl-9H-8-azapurin-6-ylamino)-phenyl]-3
phenyl-urea derivatives were synthesised and evaluated for their interaction with
adenosine receptors. It was found that some of these compounds can act as
positive enhancers of agonist and antagonist radioligands for the A(2A) adenosine
receptors. This evidence was also strengthened by functional data. Other
compounds can act as negative modulators. Furthermore these compounds show
inhibitory properties for A(1) and A(3) adenosine receptors.
PMID- 18045745
TI - Synthesis of new carbon-11 labeled benzoxazole derivatives for PET imaging of 5
HT(3) receptor.
AB - 5-HT(3) receptor is an attractive target for the development of therapeutic
agents for use in brain, heart and cancer diseases, and imaging agents for use in
biomedical imaging technique PET. Benzoxazole derivatives are a novel class of 5
HT(3) receptor partial agonists with high binding affinity. Carbon-11 labeled
benzoxazole derivatives have been synthesized as new potential PET radioligands
for imaging 5-HT(3) receptor. The target tracers were prepared by N
[(11)C]methylation of their corresponding precursors using [(11)C]CH(3)OTf and
isolated by HPLC purification procedure in 40-50% radiochemical yields, which
were decay corrected to the end of bombardment (EOB), based on [(11)C]CO(2). The
overall synthesis time was 20-25min from EOB. The radiochemical purity was >99%,
and specific activity was in a range of 74-111 GBq/micromol at the end of
synthesis (EOS).
PMID- 18045746
TI - Preparation and antibacterial activity of cyclic 2',3'-carbamate derivatives of
azithromycin.
AB - In a study of the importance of a basic amino function in erythromycin derived
antibiotics, N'-demethylazithromycin 2',3'-carbamate-11,12-carbonate has been
prepared in six steps from (9E)-erythromycin A 9-oxime. Reactions with phosgene
provided a cyclic carbonate from the vicinal 11,12-diol, and a cyclic 2',3'
carbamate from the vicinal dimethylamino-alcohol moiety of the desosamine sugar.
Further transformations provided N,N'-di(demethyl)azithromycin 2',3'-carbamate
11,12-carbonate N-methylated at position 9a. The activity of the oxime was
reduced in comparison with the parent azithromycin. Hence the N,N-dimethylamino
group in the desosamine sugar is important for good antibacterial activity. The
course of the phosgene reactions has been verified by an X-ray analysis.
PMID- 18045747
TI - 1,8-Naphthyridines VII. New substituted 5-amino[1,2,4]triazolo[4,3
a][1,8]naphthyridine-6-carboxamides and their isosteric analogues, exhibiting
notable anti-inflammatory and/or analgesic activities, but no acute
gastrolesivity.
AB - The [1,2,4]triazolo[4,3-a][1,8]naphthyridine-6-carboxamide derivatives 5-amino
(2) or 5-alkoxy (3) substituted and the 5-amino[1,2,4]triazolo[4,3-a]quinoline-4
carboxamide derivatives (4), designed to obtain new effective analgesic and/or
anti-inflammatory agents were synthesized. Ten compounds 2 and 4 showed an
interesting analgesic activity: the most potent ones are 2j (36% inhibition,
P<0.05) and 4b (77% inhibition, P<0.01) at 6.25 and 25 mg kg(-1) doses,
respectively. Compounds 2i-l and 4c showed notable anti-inflammatory properties:
the most potent ones are 2i (68% inhibition, P<0.01) and 2l (42% inhibition,
P<0.05) at 12.5 and 6.25 mg kg(-1) doses, respectively. The replacement in
compounds 2 of the N-substituted 5-amino substituents with similar alkoxy groups
usually afforded less active compounds 3.
PMID- 18045748
TI - [A wrong rheumatoid arthritis].
PMID- 18045749
TI - [Lumbar pain and weight loss].
PMID- 18045751
TI - Eating habits and quality of life of patients receiving home parenteral nutrition
in Israel.
AB - BACKGROUND & AIMS: Using the database of an infusion provider, we assessed the
quality of life and the eating behavior of patients receiving long-term home
parenteral nutrition (HPN). METHODS: Fifty-one patients were recruited during a 2
month period and anthropometric measurements, etiology of the disease and length
of therapy were noted. A questionnaire including seven questions evaluating four
functions was completed by a student by phone interview. The FAACT (Functional
Assessment of Anorexia/Cachexia Therapy questionnaire) defined the response from
grade 0 (no acceptance) to grade 4 (full acceptance) and evaluated physical,
social/familial, emotional and functional well-being. Results are expressed as
mean+/-S.D. or median (range) and correlation calculated using the Pearson's
correlation test. RESULTS: Fifty patients responded to the questionnaire. Median
length on HPN was 27.5 months (range 5-180 months). More than 56% were aged
between 17 and 59 years, 62% suffered from an intestinal disease and less than
10% from cancer. Ten percent were receiving HPN for >10 years. Physical activity
was scored 1.77+/-1.11, social activity 3.18+/-0.96, emotional status 1.88+/-1.17
and oral intake 1.95+/-0.95. Physical activity was lower in females. Physical
activity was highly related to emotional and social status (r=0.61, p<0.0001 and
r=0.73, p<0.0001, respectively). Social status was negatively related to
emotional level (r=-0.43, p<0.002) while emotional status influenced oral intake
(r=0.45, p<0.004). Age or length of therapy did not influence these factors.
CONCLUSIONS: Patients on HPN have a low physical activity and an impaired quality
of life despite a good social performance. Their emotional status is impaired and
their oral intake strongly altered. These alterations are not related to time on
therapy or age, but the highest the physical activity, the better the emotional
and social status. We should encourage these patients to increase their physical
activity when possible.
PMID- 18045750
TI - [Pulmonary hyalinizing granuloma revealed by a pituitary gland diffusion].
AB - INTRODUCTION: Pulmonary hyalinizing granuloma is a rare fibrosing lesion of the
lung, characterized by its histological appearance which includes central whorled
deposits of lamellar collagen. The extrapulmonary diffusion of the disease is
extremely rare, and in our knowledge any case of pituitary diffusion has ever
been reported in the literature. EXEGESIS: We reported an unpublished case of a
31-year-old woman presenting with amenorrhea, galactorrhea, diplopia, headache,
polyuria and polydipsia. The diagnosis of pulmonary hyalinizing granuloma
revealed by an intracranial localization was based on radiologic and pathologic
findings. Clinical course was favourable with corticotherapy. CONCLUSION: Our
report is particular because cerebral localization was the initial manifestation
of primary hyalinizing granuloma and because of the favourable outcome with
corticotherapy.
PMID- 18045752
TI - Construction and preclinical evaluation of recombinant Peru-15 expressing high
levels of the cholera toxin B subunit as a vaccine against enterotoxigenic
Escherichia coli.
AB - Enterotoxigenic Escherichia coli (ETEC) is the leading cause of traveler's
diarrhea. The heat-labile (LT) and heat-stable (ST) toxins mediate ETEC induced
diarrhea. ETEC strains may express LT, ST, or both LT and ST, with LT-expressing
strains accounting for approximately 50-60% of ETEC-related traveler's diarrhea.
Cholera toxin (CT) is >80% homologous to LT and vaccination with CT-B subunit (CT
B) -based vaccines elicit a protective immune response against LT-producing ETEC
strains. Peru-15 is an oral, single-dose, live-attenuated cholera vaccine
candidate that has been investigated in several clinical trials (n>400 subjects)
and was proven well tolerated, immunogenic, and efficacious. Peru-15 was
genetically engineered to express and secrete high levels of CT-B by cloning ctxB
onto a glnA balanced-lethal plasmid under the transcriptional control of a strong
constitutive promoter, resulting in Peru-15pCTB. In vitro characterization
demonstrated that Peru-15pCTB secreted approximately 30-fold more CT-B than Peru
15 and CT-B was stably produced after 40 generations of growth and throughout
simulated Seed Bank and FDP (Final Drug Product) production conditions. In
preclinical studies, the geometric mean anti-CT-B IgG titer in the sera of mice
inoculated intranasally with two doses of Peru-15pCTB was >32-fold higher than in
mice inoculated with Peru-15. Similarly, rabbits orally inoculated with a single
dose of Peru-15pCTB developed titers that were approximately 30-fold higher than
rabbits inoculated with a single dose of Peru-15. Sera from Peru-15pCTB
vaccinated mice and rabbits neutralized LT toxicity in an in vitro assay. Peru
15pCTB has several promising characteristics of an oral, single-dose, bivalent
cholera/ETEC vaccine and is advancing towards a Phase 1 clinical trial.
PMID- 18045753
TI - Declining prevalence of hepatitis B virus infection in Catalonia (Spain) 12 years
after the introduction of universal vaccination.
AB - AIMS: To analyze the prevalence of hepatitis B virus infection markers and
hepatitis B vaccination in a representative sample of the juvenile and adult
population of Catalonia and to evaluate the changes with respect to
seroepidemiological surveys carried out in 1989 and 1996. DESIGN: In all subjects
anti-HBc and anti-HBs antibodies and HBsAg were determined using an ELISA test.
The possible association between sociodemographic variables and the prevalence of
markers was analysed by calculating the adjusted odd ratio (simple logistic
regression). SETTING: The study was carried out in 2002 in representative samples
of the juvenile (5-14 years) and adult population (>or= 15 years) of Catalonia
(Spain). MAIN RESULTS: In 2002 the global prevalence of HBsAg+ was 0.7% (95% CI:
0.4-1.0) and that of anti-HBc+ 8.7% (95% CI: 7.6-9.8), values higher than those
obtained in 1989 of 1.5% (95% CI: 1.0-2.1) and 15.6 (95% CI: 13.9-17.3). The
prevalence of markers of infection increased with age. The only sociodemographic
variable significantly associated with the prevalence of hepatitis B virus
infection was the place of birth. The risk of infection was twice as high in
subjects born outside Catalonia (p<0.01), adjusted OR 2.0 (95% CI: 1.34-2.98)
compared with those born in Catalonia. CONCLUSIONS: The results of this study
show that the prevalence of hepatitis B virus infection (anti-HBc+) in Catalonia
(Spain) is currently the lowest it has ever been and suggest that there has been
a change in the pattern of endemicity of hepatitis B virus infection in
Catalonia, which has become a country of low endemicity.
PMID- 18045754
TI - Phenotypic changes in the Japanese encephalitis virus after one passage in Neuro
2a cells: generation of attenuated strains of the virus.
AB - Live attenuated strains of the Japanese encephalitis (JE) virus are known to form
in various cultured cells. In this study, we selected attenuated JE virus
variants by passing the parent virus strains once through Neuro-2a cells, showing
that the selection intensity ranged 2-1000-fold after infection for 3d. The
selection of attenuated variants appeared in association with mutations on the
envelope (E) glycoprotein. This is likely determined by the differential binding
abilities of specific E proteins with highly sulfated glycosaminoglycans on the
cell surface. A plaque-purification method showed that Neuro-2a-selected variants
were usually less neurovirulent, leading to a longer survival time of
intracerebrally inoculated mice. Specific selected variants (mostly with the
small-plaque phenotype) were shown to be more efficient at replication in Vero
cells and less virulent, particularly those with substitution of the E-138 (Glu-
>Lys) residue. As a result, most, if not all, low-virulent variants were
generated in a relatively short time, all which have the potential to be live
attenuated vaccine candidates of the JE virus.
PMID- 18045755
TI - Effect of field exposure to 38-year-old residual petroleum hydrocarbons on
growth, condition index, and filtration rate of the ribbed mussel, Geukensia
demissa.
AB - In September 1969, the Florida barge spilled 700,000 L of No. 2 fuel oil into the
salt marsh sediments of Wild Harbor, MA. Today a substantial amount,
approximately 100 kg, of moderately degraded petroleum remains within the
sediment and along eroding creek banks. The ribbed mussels, Geukensia demissa,
which inhabit the salt marsh creek bank, are exposed to the spilled oil.
Examination of short-term exposure was done with transplantation of G. demissa
from a control site, Great Sippewissett marsh, into Wild Harbor. We also examined
the effects of long-term exposure with transplantation of mussels from Wild
Harbor into Great Sippewissett. Both the short- and long-term exposure
transplants exhibited slower growth rates, shorter mean shell lengths, lower
condition indices, and decreased filtration rates. The results add new knowledge
about long-term consequences of spilled oil, a dimension that should be included
when assessing oil-impacted areas and developing management plans designed to
restore, rehabilitate, or replace impacted areas.
PMID- 18045756
TI - Developing climatic scenarios for pesticide fate modelling in Europe.
AB - A climatic classification for Europe suitable for pesticide fate modelling was
constructed using a 3-stage process involving the identification of key climatic
variables, the extraction of the dominant modes of spatial variability in those
variables and the use of k-means clustering to identify regions with similar
climates. The procedure identified 16 coherent zones that reflect the variability
of climate across Europe whilst maintaining a manageable number of zones for
subsequent modelling studies. An analysis of basic climatic parameters for each
zone demonstrates the success of the scheme in identifying distinct climatic
regions. Objective criteria were used to identify one representative 26-year
daily meteorological series from a European dataset for each zone. The
representativeness of each series was then verified against the zonal
classifications. These new FOOTPRINT climate zones provide a state-of-the-art
objective classification of European climate complete with representative daily
data that are suitable for use in pesticide fate modelling.
PMID- 18045757
TI - Timing of phosphate application affects arsenic phytoextraction by Pteris vittata
L. of different ages.
AB - The effects of timing in phosphate application on plant growth and arsenic
removal by arsenic hyperaccumulator Pteris vittata L. of different ages were
evaluated. The hydroponic experiment consisted of three plant ages (A45d, A90d
and A180d) and three P feeding regimens (P200+0, P134+66 and P66+134) growing for
45 d in 0.2-strength Hoagland-Arnon solution containing 145 microg L(-1) As.
While all plants received 200 microM P, P was added in two phases: during
acclimation and after arsenic exposure. High initial P-supply (P200+0) favored
frond biomass production and plant P uptake, while split-P application (P134+66
and P66+134) favored plant root production. Single P addition favored arsenic
accumulation in the roots while split-P addition increased frond arsenic
accumulation. Young ferns (A45d) in treatment P134+66 were the most efficient in
arsenic removal, reducing arsenic concentration to below 10 microg L(-1) in 35 d.
The results indicated that the use of young ferns, coupled with feeding of low
initial P or split-P application, increased the efficiency of arsenic removal by
P. vittata.
PMID- 18045758
TI - Molecular biomarkers of Dreissena polymorpha for evaluation of renaturation
success of a formerly sewage polluted stream.
AB - The renaturation success of an urban stream, formally used for discharge of
treated sewage waters was investigated by active biomonitoring with Dreissena
polymorpha based on molecular biomarkers and compared to a semi-natural stream
and laboratory controls. Response to pollution charges were analyzed by reverse
transcriptase-PCR of heat-shock protein (hsp70), P-glycoprotein (P-gp), catalase
(CAT) and pi class glutathione S-transferase (piGST). Hsp70 transcription was
similarly induced at both sites, indicating protein damage. At the semi-natural
stream CAT and P-gp were induced, indicating oxidative stress and increased
discharge of pollutants, which correlated to high amounts of aluminum at this
site. piGST was induced at one sampling date at the renaturated stream only, but
identification of the causing pollutant was not achieved. Results confirm
regeneration of the formerly sewage polluted stream, because induction of the
tested biomarkers was either at or below the levels of the semi-natural stream.
PMID- 18045759
TI - Distribution and sources of polycyclic aromatic hydrocarbons in surface sediments
of rivers and an estuary in Shanghai, China.
AB - Concentrations, spatial distribution and sources of 17 polycyclic aromatic
hydrocarbons (PAHs) and methylnaphthalene were investigated in surface sediments
of rivers and an estuary in Shanghai, China. Total PAH concentrations, excluding
perylene, ranged from 107 to 1707 ng/g-dw. Sedimentary PAH concentrations of the
Huangpu River were higher than those of the Yangtze Estuary. The concentration of
the Suzhou River was close to the average concentration of the Huangpu River.
PAHs source analysis suggested that, in the Yangtze Estuary, PAHs at locations
far away from cities were mainly from petrogenic sources. At other locations,
both petrogenic and pyrogenic inputs were significant. In the Huangpu and Suzhou
Rivers, pyrogenic input outweighed other sources. The pyrogenic PAHs in the upper
reaches of the Huangpu River were mainly from the incomplete combustion of grass,
wood and coal, and those in the middle and lower reaches were from vehicle and
vessel exhaust.
PMID- 18045760
TI - Childhood and adolescent sexual abuse and subsequent sexual risk behavior:
evidence from controlled studies, methodological critique, and suggestions for
research.
AB - Childhood and adolescent sexual abuse (CSA) is associated with a wide variety of
adverse psychological and health outcomes, including negative sexual health
outcomes. In this paper, we review the literature investigating the relation
between CSA and subsequent sexual risk behaviors among men and women. Previous
research has found a relatively consistent association between CSA and higher
rates of sexual risk behaviors, particularly sex trading, more sexual partners,
and an earlier age of first intercourse. However, there are a number of
limitations to this research, including lack of a consistent definition of CSA,
failure to investigate gender as a moderator, and possible confounding of the CSA
experience with some of the sexual behavior outcome variables. Further, although
there appears to be an association between CSA and later sexual risk behavior,
researchers have not established whether this association is causal. Suggestions
for future research and implications for clinical practice are discussed.
PMID- 18045762
TI - Induction of cell death in RAW 264.7 cells by alpha-lactalbumin.
AB - Alpha-lactalbumin (alpha-LA), a major human milk whey protein, has been reported
to exhibit bactericidal properties, immune suppressive effects, anti
proliferation and apoptosis in transformed cells; however, little is known about
its anti-inflammation and related molecular mechanism. In this study we
investigated the effects of alpha-LA on macrophages. We found that treatment with
high concentration alpha-LA (> or = 100 microg/ml) could result in a time- and
dose-dependent decrease in growth activity, morphological changes, increase in
hypodiploid DNA population, and DNA fragmentation in RAW 264.7 cells. We also
found that high dose alpha-LA could induce cellular apoptosis and necrosis, as
determined by Annexin V binding assay. The alpha-LA could enhance the expression
levels of cytochrome c, active caspase 3, active caspase 8, extracellular signal
regulated kinase (ERK1/2) and c-Jun N-terminal kinase (JNK) activation without
changing the protein levels, but suppress the protein level of Bcl-2. The broad
spectrum caspase inhibitor, Boc-D-fmk, failed to block cell death, indicating
that alpha-LA-induced cell death was modulated in a caspase-independent manner.
In addition, the ERK1/2 inhibitor, PD98059, could partially rescue alpha-LA
induced cell death, while the JNK inhibitor, SP600125, could weakly protect cells
from death. Our results suggested that activation of ERK1/2 might mediate alpha
LA-induced cell death in RAW 264.7 cells.
PMID- 18045761
TI - Treatment with haloperidol and diazepam alters GABA(A) receptor density in the
rat brain.
AB - A significant body of data suggests that GABA(A) receptors are altered in the CNS
of subjects with schizophrenia. However, subjects with schizophrenia are treated
with antipsychotic drugs and, in some cases, antipsychotic drugs and
benzodiazepines. It has therefore been suggested that the changes in GABA(A)
receptors in the CNS of subjects with schizophrenia are due to such drug
treatments. Surprisingly, there appear to be no studies to determine the effect
of a combined antipsychotic-benzodiazepine treatment on GABA(A) receptors. We
therefore measured both the GABA binding site ([3H]muscimol) and the
benzodiazepine binding site ([3H]flumazenil) in the CNS of rats treated with
either haloperidol, diazepam or a combination of the two drugs. The main findings
of our study are that treatment with diazepam or the combination of diazepam and
haloperidol results in regionally selective increases GABA binding sites but
treatment with haloperidol alone decreases the GABA binding site in the thalamus
but increases these sites in the hypothalamus. By contrast, treatment with
diazepam, haloperidol and a combination of the two drugs resulted in widespread
decreases in the number of benzodiazepine binding sites in the rat CNS. The
notable exception to this outcome was increased numbers of benzodiazepine binding
sites in the frontal cortex of rats that had received diazepam. Our data suggests
that there are complex changes in the GABA(A) receptor following treatment with
haloperidol, diazepam or a combination of these drugs. This outcome may be
relevant to the therapeutic benefits of using both drugs in conjunction early in
the treatment of a psychotic episode.
PMID- 18045763
TI - Protective effect of quercetin on nicotine-induced prooxidant and antioxidant
imbalance and DNA damage in Wistar rats.
AB - We have investigated the protective effect of quercetin (QN) against nicotine
induced prooxidant and antioxidant imbalance in circulation, lung, liver and
kidney of experimental rats. The protective effect of QN was compared with N
acetylcysteine (NAC), a well-known antioxidant. Male albino rats of Wistar stain
were used for the experimental study. Lung toxicity was induced by subcutaneous
injection of nicotine at a dose of 2.5mg/kg body weight (5 days a week, for 22
weeks) and QN was given simultaneously by intragastric intubations for 22 weeks.
The body weight gain of rats during experimental period was significantly
decreased in nicotine treated group, whereas QN co-treated rats significantly
increased in their body weight. The levels of lipid peroxidative indices viz.,
thiobarbituric acid reactive substances and hydroperoxides, and nitric oxide in
circulation, lung, liver and kidney of nicotine-treated rats were increased
significantly when compared to normal, which were brought down to near normal in
QN co-treated group. Endogenous antioxidant status viz., superoxide dismutase,
catalase, glutathione peroxidase and reduced glutathione were found to be
significantly decreased in circulation, lung, liver and kidney of nicotine
treated group, which were significantly increased in QN-administered groups. The
extent of DNA damage (evaluated by comet assay) was significantly increased in
circulatory blood of nicotine-treated rats, which was effectively brought down by
QN treatment. The protective effect of QN against nicotine toxicity was
comparable to that of NAC. Our data suggest that QN exerts its protective effect
by modulating the extent of lipid peroxidation and augmenting antioxidant defense
system and thus protects the DNA in experimental animals.
PMID- 18045764
TI - Identification of formaldehyde-responsive genes by suppression subtractive
hybridization.
AB - Formaldehyde is frequently used in indoor household and occupational
environments. Inhalation of formaldehyde invokes an inflammatory response,
including a variety of allergic signs and symptoms. Therefore, formaldehyde has
been considered as the most prevalent cause of sick building syndrome, which has
become a major social problem, especially in developing urban areas. Further
formaldehyde is classified as a genotoxicant in the respiratory tract of rats and
humans. To better understand the molecular mechanisms involved in formaldehyde
intoxication, we sought differentially regulated genes by formaldehyde exposure
to Hs 680.Tr human trachea cells, using polymerase chain reaction (PCR)-based
suppression subtractive hybridization. We identified 27 different formaldehyde
inducible genes, including those coding for the major histocompatibility complex,
class IA, calcyclin, glutathione S-transferase pi, mouse double minute 2 (MDM2),
platelet-derived growth factor receptor alpha, and which are known to be
associated with cell proliferation and differentiation, immunity and
inflammation, and detoxification. Induction of these genes by formaldehyde
treatment was confirmed by reverse transcription PCR and western blot analysis.
Further, the expression of calcyclin, glutathione S-transferase pi, PDGFRA and
MDM2 were significantly induced in the tracheal epithelium of Sprague Dawley rats
after formaldehyde inhalation. Our results suggest that the elevated levels of
these genes may be associated with the formaldehyde-induced toxicity, and that
they deserve evaluation as potential biomarkers for formaldehyde intoxication.
PMID- 18045765
TI - Influence of different endodontic filling materials on root fracture
susceptibility.
AB - OBJECTIVE: To evaluate the influence of different endodontic materials on root
fracture susceptibility. METHODS: Seventy-two mandibular incisors were sectioned
1mm below the cementoenamel junction to obtain roots of 12 mm length. Roots were
submitted to chemomechanical preparation with the rotary instruments of Profile
system. The obturation of root canals were performed with the following filling
materials (n=12): GI, unfilled teeth (control); GII, Endofill+gutta-percha; GIII,
Sealer 26+gutta-percha; GIV, AH Plus+gutta-percha; GV, Epiphany+gutta-percha;
GVI, Epiphany+Resilon. After the sealers setting time, each root was embedded in
acrylic resin. The specimens were then submitted to fracture resistance test
using an Instron testing machine at 1mm/min. RESULTS: The ANOVA test showed no
significant statistical difference (p>.05) among GI (162.16+/-41.4N), GII
(168.46+/-37.5N), GIII (164.83+/-35.7N), GIV (168.29+/-38.7N), GV (172.36+/
20.6N) and GVI (193.11+/-42.8N). CONCLUSION: The core materials (gutta-percha or
Resilon) combined with the tested endodontic sealers are not able to increase the
root fracture resistance in canals submitted to chemomechanical preparation.
PMID- 18045766
TI - Change in surface hardness of enamel by a cola drink and a CPP-ACP paste.
AB - OBJECTIVES: This in vitro study used surface microhardness to evaluate whether a
paste containing casein phosphopeptide amorphous calcium phosphate (CPP-ACP) can
reharden tooth enamel softened by a cola drink, and how different saliva
substitute solutions affect the enamel hardness. METHODS: Twenty-four bovine
incisors, each tooth consisting of treatment and control halves, were immersed in
a cola drink (Coke) for 8 min, then placed under a 0.4 mL/min drip with various
saliva-substitute solutions. The saliva-substitute solutions were: saliva-like
solution (SLS) with 1 ppm fluoride, SLS without fluoride, and Biotene mouthwash.
CPP-ACP paste was applied to the treatment halves for 3 min at 0, 8, 24, and 36
h. Knoop microhardness measurements were performed at baseline, after the cola
drink immersion, and after 24 and 48 h contact with saliva-substitute solution.
RESULTS: Enamel hardness significantly decreased after immersion in cola drink
(ANOVA, p<0.05). After contact with saliva-like solutions for 48 h, those treated
with CPP-ACP paste were significantly harder than those untreated regardless of
the presence of 1 ppm fluoride in the saliva-like solution (ANOVA, p<0.05).
Biotene mouthwash significantly softened the enamel surface (ANOVA, p<0.05). Two
way ANOVA showed significant effects of the CPP-ACP paste application and types
of saliva-substitute solutions on the changes in surface hardness of the softened
enamel at a significance level of 0.05. CONCLUSION: The application of CPP-ACP
paste with continuous replenishment of saliva-like solution for 48 h
significantly hardened enamel softened by a cola drink. Biotene mouthwash
softened enamel surface after 48 h contact.
PMID- 18045767
TI - Non-redox-active small-molecules can accelerate oxidative protein folding by
novel mechanisms.
AB - Multi-disulfide-bond-containing proteins acquire their native structures through
an oxidative folding reaction which involves formation of native disulfide bonds
through thiol-disulfide exchange reactions between cysteines and disulfides
coupled to a conformational folding event. Oxidative folding rates of the four
disulfide-bond-containing protein bovine pancreatic ribonuclease A (RNase A) in
the presence of the synthetic redox-active molecule, (+/-)-trans-1,2-bis(2
mercaptoacetamido)cyclohexane (BMC), and in combination with non-redox-active
trimethylamine-N-oxide (TMAO), and trifluorethanol were determined by HPLC
analysis. The data indicate that regeneration of RNase A is enhanced 2-fold by
BMC (50 microM) and 3-fold upon addition of TMAO (0.2 M) and TFE (3% v/v)
relative to control experiments performed in the absence of small-molecules.
Examination of the native tendency of the fully-reduced polypeptide and the
stability of key folding intermediates suggests that the increased oxidative
folding rate can be attributed to native-like elements induced within the fully
reduced polypeptide and the stabilization of native-like species by added non
redox-active molecules.
PMID- 18045768
TI - Utility of contrast-enhanced endoscopic ultrasonography for diagnosis of small
pancreatic carcinomas.
AB - The aim of our study was to assess the utility of endoscopic ultrasonography
(EUS) and contrast-enhanced EUS (CE-EUS) for the depiction and differential
diagnosis of pancreatic tumors focusing in particularly those 2 cm or smaller. We
compared different diagnostic procedures, contrast-enhanced multidetector
computed tomography (CE-CT) and EUS with the use of power Doppler (PD-EUS) and CE
EUS for detection and differential diagnosis of pancreatic tumors. The study
included 156 consecutive patients with suspected pancreatic tumors, who underwent
CE-CT, and EUS followed by PD-EUS and CE-EUS. CE-EUS was performed by power
Doppler mode using sonographic contrast agent Levovist. Thirty-six of 156
patients examined had tumors of < or =2 cm. EUS had significantly higher
sensitivity (94.4%) for detection of pancreatic carcinomas of 2 cm or less in
comparison to CE-CT (50%). For small pancreatic tumor of 2 cm or less,
sensitivities for differentiating ductal carcinomas from other tumors were 50.0%,
11.0% and 83.3% for CE-CT, PD-EUS and CE-EUS. CE-EUS was significantly more
sensitive than PD-EUS and CE-CT. EUS and subsequent CE-EUS are more sensitive
than CE-CT in the detection and the differentiation of small pancreatic tumors.
PMID- 18045769
TI - Ultrasound examination is useful for prediction of histologic type in invasive
ductal carcinoma of the breast.
AB - The aim of this study was to estimate the histologic type of invasive ductal
carcinoma of the breast according to the ultrasound (US) criteria and to identify
the high-risk patients for lymph node metastases. An acceptable preoperative
diagnosis of lymph node metastasis is essential when performing the reduction of
lymphadenectomy. The positive relationship between histology and prognosis has
been reported in breast cancer. However, few reports have examined the
relationship between preoperative US findings and histology. Ultrasound
examination was performed in 252 patients with invasive ductal carcinoma (91
papillotubular, 54 solid-tubular and 107 scirrhous carcinoma). Risk factors for
nodal metastasis were analyzed in clinicopathological findings. After nine
criteria were defined based on US findings, all tumors were classified into US
histologic type. According to the multivariate analysis, lymph node metastases
was significantly associated with tumor size (p < 0.001), histology (p < 0.001)
and age (p = 0.038). Histology was an important risk factor for nodal metastasis,
especially in scirrhous carcinoma. When comparing the US classification and
histology, the accuracy rate of US for papillotubular, solid-tubular and
scirrhous type was 75%, 78% and 75%, respectively. To predict the scirrhous
carcinoma with frequent nodal metastasis, US criteria such as the larger ratio of
depth-to-width, boundary echo and attenuation of the back echo was important. It
is important to preoperatively estimate the histologic type by tumor property
using US. Our US classifications may be useful to pick up high-risk patients for
nodal metastasis in invasive breast cancer.
PMID- 18045770
TI - Postchemotherapy retroperitoneal lymph node dissection in advanced germ cell
tumours of the testis.
AB - OBJECTIVES: To review the role of postchemotherapy retroperitoneal lymph node
dissection (PC-RPLND) in patients with advanced testicular germ cell tumours
(TGCT) with special attention towards the indication, surgical technique, and
oncological outcome. METHODS: A structured review of the literature until May
2007 using the PubMed database was performed. RESULTS: According to current
guidelines and recommendations, PC-RPLND in advanced seminomas with residual
tumours is indicated only if a PET scan performed 6-8 wk after chemotherapy is
positive. In nonseminomatous TGCT, PC-RPLND is indicated for all residual
radiographic lesions with negative or plateauing markers. Loss of antegrade
ejaculation represents the most common long-term complication, which can be
prevented by a nerve-sparing or modified template resection. The relapse rate
after PC-RPLND is around 12%; however, it increases significantly to about 45% in
cases with redo RPLND and late relapses. Patients with increasing markers should
undergo salvage chemotherapy. Only select patients with elevated markers who are
thought to be chemorefractory might undergo desperation PC-RPLND if all
radiographically visible lesions are completely resectable. CONCLUSION: PC-RPLND
represents a major part of the management of advanced TGCT undergoing inductive
chemotherapy. Complete resection of all residual masses after primary
chemotherapy results in a long-term disease-free survival of 95%. PC-RPLND
requires a complex surgical approach and should be performed in experienced,
tertiary referral centres only.
PMID- 18045771
TI - Editorial comment on: postchemotherapy retroperitoneal lymph node dissection in
advanced germ cell tumors of the testis.
PMID- 18045772
TI - Editorial comment on: postchemotherapy retroperitoneal lymph node dissection in
advanced germ cell cancer tumors of the testis.
PMID- 18045773
TI - Intracellular trafficking of somatostatin receptors.
AB - The somatostatin receptor subtypes 1-5 (sst(1)-sst(5)) exhibit different
intracellular trafficking and endosomal sorting after agonist exposure. The
internalization of the somatostatin receptor subtypes sst(2), sst(3) and sst(5)
occurs to a much higher extent after somatostatin exposure than of sst(1) or
sst(4). After endocytosis, sst(2) and sst(5) recycle to the plasma membrane,
whereas sst(3) is predominantly down-regulated. This review will focus on the
molecular mechanisms of the differential intracellular trafficking of sst(2),
sst(3) and sst(5), and discusses our current knowledge on somatostatin receptor
interacting proteins.
PMID- 18045774
TI - Adrenocortical changes and arterial hypertension in lipoatrophic A-ZIP/F-1 mice.
AB - The A-ZIP/F-1 transgenic mouse is a model of lipoatrophic diabetes with severe
insulin resistance, hyperglycemia and hyperlipidemia. Recently, a regulatory role
of adipose tissue on adrenal gland function and blood pressure has been
suggested. To further explore the importance of adipose tissue in the regulation
of adrenal function and blood pressure, we studied this mouse model of
lipodystrophy. A-ZIP/F-1 mice exhibit significantly elevated systolic and
diastolic blood pressure values despite lack of white adipose tissue and its
hormones. Furthermore, A-ZIP/F-1 lipoatrophic mice have a significant reduction
of adrenal zona glomerulosa, while plasma aldosterone levels and aldosterone
synthase mRNA expression remain unchanged. On the other hand, lipoatrophic mice
present elevated corticosterone levels but no adrenocortical hyperplasia.
Ultrastructural analysis of adrenal gland show significant alterations in
adrenocortical cells, with conformational changes of mitochondrial internal
membranes and high amounts of liposomes. In conclusion, lipodystrophy in A-ZIP/F
1 mice is associated with hypertension, possibly due to hypercorticosteronemia
and/or others metabolic-vascular changes.
PMID- 18045775
TI - The Friedreich ataxia GAA repeat expansion mutation induces comparable epigenetic
changes in human and transgenic mouse brain and heart tissues.
AB - Friedreich ataxia (FRDA) is caused by a homozygous GAA repeat expansion mutation
within intron 1 of the FXN gene, leading to reduced expression of frataxin
protein. Evidence suggests that the mutation may induce epigenetic changes and
heterochromatin formation, thereby impeding gene transcription. In particular,
studies using FRDA patient blood and lymphoblastoid cell lines have detected
increased DNA methylation of specific CpG sites upstream of the GAA repeat and
histone modifications in regions flanking the GAA repeat. In this report we show
that such epigenetic changes are also present in FRDA patient brain, cerebellum
and heart tissues, the primary affected systems of the disorder. Bisulfite
sequence analysis of the FXN flanking GAA regions reveals a shift in the FRDA DNA
methylation profile, with upstream CpG sites becoming consistently
hypermethylated and downstream CpG sites becoming consistently hypomethylated. We
also identify differential DNA methylation at three specific CpG sites within the
FXN promoter and one CpG site within exon 1. Furthermore, we show by chromatin
immunoprecipitation analysis that there is overall decreased histone H3K9
acetylation together with increased H3K9 methylation of FRDA brain tissue.
Further studies of brain, cerebellum and heart tissues from our GAA repeat
expansion-containing FRDA YAC transgenic mice reveal comparable epigenetic
changes to those detected in FRDA patient tissue. We have thus developed a mouse
model that will be a valuable resource for future therapeutic studies targeting
epigenetic modifications of the FXN gene to increase frataxin expression.
PMID- 18045776
TI - Transcriptional activators HAP/NF-Y rescue a cytochrome c oxidase defect in yeast
and human cells.
AB - Cell survival and energy production requires a functional mitochondrial
respiratory chain. Biogenesis of cytochrome c oxidase (COX), the last enzyme of
the mitochondrial respiratory chain, is a very complicated process and requires
the assistance of a large number of accessory factors. Defects in COX assembly
alter cellular respiration and produce severe human encephalomyopathies.
Mutations in SURF1, a COX assembly factor of exact unknown function, produce
Leigh's syndrome (LS), the most frequent cause of COX deficiency in infants. In
the yeast Saccharomyces cerevisiae, deletion of the SURF1 homologue SHY1 results
in a similar COX deficiency. In order to identify genetic modifiers of the shy1
mutant phenotype, we have explored for genetic interactions involving SHY1. Here
we report that overexpression of Hap4p, the catalytic subunit of the CCAAT
binding transcriptional activator Hap2/3/4/5p complex, suppresses the respiratory
defect of yeast shy1 mutants by increasing the expression of nuclear-encoded COX
subunits that interact with the mitochondrially encoded Cox1p. Analogously,
overexpression of the Hap complex human homologue NF-YA/B/C transcription complex
in SURF1-deficient fibroblasts from an LS patient efficiently rescues their COX
deficiency.
PMID- 18045777
TI - A network of dopaminergic gene variations implicated as risk factors for
schizophrenia.
AB - We evaluated the hypothesis that dopaminergic polymorphisms are risk factors for
schizophrenia (SZ). In stage I, we screened 18 dopamine-related genes in two
independent US Caucasian samples: 150 trios and 328 cases/501 controls. The most
promising associations were detected with SLC6A3 (alias DAT), DRD3, COMT and
SLC18A2 (alias VMAT2). In stage II, we comprehensively evaluated these four genes
by genotyping 68 SNPs in all 478 cases and 501 controls from stage I. Fifteen
(23.1%) significant associations were found (p < or = 0.05). We sought epistasis
between pairs of SNPs providing evidence of a main effect and observed 17
significant interactions (169 tests); 41.2% of significant interactions involved
rs3756450 (5' near promoter) or rs464049 (intron 4) at SLC6A3. In stage III, we
confirmed our findings by genotyping 65 SNPs among 659 Bulgarian trios. Both
SLC6A3 variants implicated in the US interactions were overtransmitted in this
cohort (rs3756450, p = 0.035; rs464049, p = 0.011). Joint analyses from stages II
and III identified associations at all four genes (p(joint) < 0.05). We tested 29
putative interactions from stage II and detected replication between seven locus
pairs (p < or = 0.05). Simulations suggested our stage II and stage III
interaction results were unlikely to have occurred by chance (p = 0.008 and
0.001, respectively). In stage IV we evaluated rs464049 and rs3756450 for
functional effects and found significant allele-specific differences at rs3756450
using electrophoretic mobility shift assays and dual-luciferase promoter assays.
Our data suggest that a network of dopaminergic polymorphisms increase risk for
SZ.
PMID- 18045778
TI - Chemotherapy induced diarrhea.
AB - PURPOSE: To provide a current review of the literature related to chemotherapy
induced diarrhea (CID), including clinical assessment, recommended management
guidelines and investigational pharmacological approaches for the prevention and
treatment of CID. DATA SOURCES: A search of MEDLINE, PubMed, EMBASE, Cochrane
Library, International Pharmaceutical Abstracts, and Web of Science (1996-2006)
databases was conducted using terms such as: chemotherapy, diarrhea, diarrhoea,
and irinotecan. Appropriate references from selected articles were also used. The
search engine, Google, provided further access to information. DATA EXTRACTION:
The retrieved literature was reviewed to include all articles pertaining to the
pathophysiology, assessment and management of CID. DATA SYNTHESIS: Diarrhea is a
debilitating and potentially life-threatening side effect associated with many
chemotherapeutic agents. Despite the high incidence and severity of CID, it is
often under recognized and poorly managed. A multidisciplinary panel recently
updated recommended practice guidelines for the assessment and management of CID.
Prompt and aggressive intervention is important in order to minimize the negative
consequences of CID, such as dehydration, which may cause interruptions in
optimal clinical outcomes or may lead to life-threatening sequelae. Further
investigation into the pathophysiology of CID may allow for more directed
approaches in the prophylaxis and treatment of CID.
PMID- 18045779
TI - Overview of targeted therapies in oncology.
AB - BACKGROUND: Recent scientific advances have provided a map of the human genome
along with a better understanding of the processes that transform healthy cells
into diseased cells. This has led to the emergence of a new class of drugs called
targeted therapies. OBJECTIVE: To describe the classifications and basic
pharmacology of targeted therapies. METHODS: A literature search was performed
for peer reviewed journal articles using Medline (1996-2007), Embase (1996-2007)
and Google. The search was performed using keywords such as angiogenesis
inhibitors, cancer vaccines, gene therapy, monoclonal antibodies, small
molecules, proteasome inhibitors, targeted therapy and tyrosine kinase
inhibitors. CONCLUSIONS: A review of the basic pharmacology is described in this
article, including the following major categories of targeted therapies: * Small
molecule drugs * Monoclonal antibodies * Apoptosis-inducing drugs * Angiogenesis
Inhibitors * Cancer vaccines * Gene therapy.
PMID- 18045780
TI - Therapeutic drug monitoring of cancer chemotherapy.
AB - Therapeutic drug monitoring is not routinely used for chemotherapy agents. There
are Several reasons, but one major drawback is the lack of established
therapeutic Concentration ranges. Combination chemotherapy makes the
establishment of Therapeutic ranges for individual drugs difficult, the
concentration-effect relationship for a single drug may not be the same as when
that drug is used in a drug combination. Pharmacokinetic optimization protocols
for many classes of cytotoxic compounds exist in specialized centers, and some of
these protocols are now part of large multicentre trials. Nonetheless, TDM
clearly has the potential to improve the clinical use of chemotherapy gents, most
of which have very narrow therapeutic indices and highly variable
pharmacokinetics. A substantial body of literature accumulating during the past
15 years demonstrates relationships between systemic exposure to various
chemotherapy agents and their toxic or therapeutic effects. This article reviews
TDM concepts in addition to tools based on pharmacokinetic modeling of
chemotherapy agents. The administered dose of chemotherapy agents is sometimes
adjusted individually using either a priori or a posteriori methods. These models
can only be applied by using the same dose and schedule as the original study.
Bayesian estimation offers more flexibility in blood sampling times and, owing to
its precision and to the amount of information provided is the method of choice
for ensuring that a given patient benefits from the desired systemic exposure.
Moreover, the role and application of Pharmacogenetics as a tool for
individualizing chemotherapy is discussed highlighting the agents and mechanisms
that have been well studied and defined and their relevance to clinical practice.
Finally, this paper address issues critical to the optimal use of TDM in a
clinical setting, and the role of clinical pharmacist in this regard. In
addition, it discusses future developments in this field that can contribute to
improving cancer chemotherapy In terms of patient outcome and survival.
PMID- 18045781
TI - Significance and impact of bisphosphonate-induced acute phase responses.
AB - BACKGROUND: Bisphosphonates are synthetic analogs of inorganic pyrophosphates
with high avidity for bone, where they bind to hydroxyapatite crystals.
Bisphosphonates are effective in decreasing bone resorption, the incidence of
skeletal-related events, and pain from bone metastases. These agents have
recently become incorporated into the treatment regimen of patients with
osteolytic and osteoblastic metastatic bone disease. Although relatively well
tolerated, the initial dose(s) of intravenous aminobisphosphonates can be
associated with an acute phase response, a nonspecific physiologic reaction
associated with increased levels of inflammatory cytokines, fever, and flu like
symptoms including fatigue, nausea, and myalgia. OBJECTIVE: The purpose of this
article is to provide an updated review of the literature in this field. DATA
SOURCE: A search of PubMed was performed using the key terms bisphosphonate,
acute phase response, and cancer, and limited to publications in English. The
published literature on acute phase response with bisphosphonate therapy was
reviewed. RESULTS AND CONCLUSIONS: Approximately 40% of patients receiving
aminobisphosphonates experience an acute phase response, which generally occurs
only on first exposure to the drug and typically last <72 h. Not all
bisphosphonates induce acute phase responses to the same extent. This article
reviews acute phase response in patients with metastatic bone disease treated
with aminobisphosphonates.
PMID- 18045782
TI - Photostability of parenteral chemotheraphy admixtures.
PMID- 18045783
TI - Oxaliplatin-induced hypersensitivity reaction displaying marked elevation of
immunoglobulin E.
AB - A 74-year-old female has been diagnosed with stage IIIB rectal cancer in 2003.
Following anterior resection, she received adjuvant chemotherapy with three
different regimens. In August 2005, she was started on a modified FOLFOX6
regimen, and the sixth cycle of chemotherapy induced a severe hypersensitivity
reaction (HSR). Immediate cessation of the infusion resulted in a disappearance
of the allergic reaction 60 min later. Blood tests just after the reaction
demonstrated a marked elevation of immunoglobulin E to 300 IU L(-1) (normal
range: <170 IU L(-1)). This change implies the involvement of a type I reaction
in the HSR. In addition, a drug lymphocyte stimulating test against oxaliplatin
and levofolinate calcium (an isomer of leucovorin calcium) gave values of 696%
and 107 % respectively, as compared with control serum. This suggests that the
patient had an adverse reaction not only of type I but partly of type IV allergic
reaction also. Oxaliplatin appears to have caused a HSR in this Japanese patient,
and thus pharmacists, physicians, and other medical staff must keep a careful
watch of a patient's clinical condition during chemotherapy including
oxaliplatin.
PMID- 18045784
TI - Interaction between mercaptopurine and milk.
AB - Mercaptopurine is a purine analog used for acute lymphoblatic leukemia and
chronic myelogenous leukemias. Since it is inactivated by xanthine oxidase (XO),
concurrent intake of substances containing XO may potentially reduce
bioavailability of mercaptopurine. Cow's milk is known to contain a high level of
XO. In vitro and in vivo data suggest that concurrent intake of cow's milk may
reduce the bioavailability of mercaptopurine. This interaction may be clinically
significant. Therefore most patients should try to separate the timing of taking
mercaptopurine and drinking milk.
PMID- 18045785
TI - GRSDB2 and GRS_UTRdb: databases of quadruplex forming G-rich sequences in pre
mRNAs and mRNAs.
AB - G-quadruplex motifs in the RNA play significant roles in key cellular processes
and human disease. While sequences capable of forming G-quadruplexes in the pre
mRNA are involved in regulation of polyadenylation and splicing events in
mammalian transcripts, the G-quadruplex motifs in the UTRs may help regulate mRNA
expression. GRSDB2 is a second-generation database containing information on the
composition and distribution of putative Quadruplex-forming G-Rich Sequences
(QGRS) mapped in approximately 29 000 eukaryotic pre-mRNA sequences, many of
which are alternatively processed. The data stored in the GRSDB2 is based on
computational analysis of NCBI Entrez Gene entries with the help of an improved
version of the QGRS Mapper program. The database allows complex queries with a
wide variety of parameters, including Gene Ontology terms. The data is displayed
in a variety of formats with several additional computational capabilities. We
have also developed a new database, GRS_UTRdb, containing information on the
composition and distribution patterns of putative QGRS in the 5'- and 3'-UTRs of
eukaryotic mRNA sequences. The goal of these experiments has been to build freely
accessible resources for exploring the role of G-quadruplex structure in
regulation of gene expression at post-transcriptional level. The databases can be
accessed at the G-Quadruplex Resource Site at:
http://bioinformatics.ramapo.edu/GQRS/.
PMID- 18045786
TI - EndoNet: an information resource about regulatory networks of cell-to-cell
communication.
AB - EndoNet is an information resource about intercellular regulatory communication.
It provides information about hormones, hormone receptors, the sources (i.e.
cells, tissues and organs) where the hormones are synthesized and secreted, and
where the respective receptors are expressed. The database focuses on the
regulatory relations between them. An elementary communication is displayed as a
causal link from a cell that secretes a particular hormone to those cells which
express the corresponding hormone receptor and respond to the hormone. Whenever
expression, synthesis and/or secretion of another hormone are part of this
response, it renders the corresponding cell an internal node of the resulting
network. This intercellular communication network coordinates the function of
different organs. Therefore, the database covers the hierarchy of cellular
organization of tissues and organs as it has been modeled in the Cytomer
ontology, which has now been directly embedded into EndoNet. The user can query
the database; the results can be used to visualize the intercellular information
flow. A newly implemented hormone classification enables to browse the database
and may be used as alternative entry point. EndoNet is accessible at:
http://endonet.bioinf.med.uni-goettingen.de/.
PMID- 18045787
TI - The universal protein resource (UniProt).
AB - The Universal Protein Resource (UniProt) provides a stable, comprehensive, freely
accessible, central resource on protein sequences and functional annotation. The
UniProt Consortium is a collaboration between the European Bioinformatics
Institute (EBI), the Protein Information Resource (PIR) and the Swiss Institute
of Bioinformatics (SIB). The core activities include manual curation of protein
sequences assisted by computational analysis, sequence archiving, development of
a user-friendly UniProt website, and the provision of additional value-added
information through cross-references to other databases. UniProt is comprised of
four major components, each optimized for different uses: the UniProt
Knowledgebase, the UniProt Reference Clusters, the UniProt Archive and the
UniProt Metagenomic and Environmental Sequences database. UniProt is updated and
distributed every three weeks, and can be accessed online for searches or
download at http://www.uniprot.org.
PMID- 18045788
TI - The formation pathway of tetramolecular G-quadruplexes.
AB - Oligonucleotides containing guanosine stretches associate into tetrameric
structures stabilized by monovalent ions. In order to describe the sequence of
reactions leading to association of four identical strands, we measured by NMR
the formation and dissociation rates of (TGnT)4 quadruplexes (n = 3-6), their
dissociation constants and the reaction orders for quadruplex formation. The
quadruplex formation rates increase with the salt concentration but weakly depend
on the nature (K+, Na+ or Li+) of the counter ions. The activation energies for
quadruplex formation are negative. The quadruplex lifetimes strongly increase
with the G-tract length and are much more longer in K+ solution than in Na+ or
Li+ solutions. The reaction order for quadruplex formation is 3 in 0.125 M KCl
and 4 in LiCl solutions. The kinetics measurements suggest that quadruplex
formation proceeds step by step via sequential strand association into duplex and
triplex intermediate species. Triplex formation is rate limiting in 0.125 M KCl
solution. In LiCl, each step of the association process depends on the strand
concentration. Parallel reactions to formation of the fully matched canonical
quadruplex may result in kinetically trapped mismatched quadruplexes making the
canonical quadruplex practically inaccessible in particular at low temperature in
KCl solution.
PMID- 18045789
TI - Chromatin-associated HMG-17 is a major regulator of homeodomain transcription
factor activity modulated by Wnt/beta-catenin signaling.
AB - Homeodomain (HD) transcriptional activities are tightly regulated during
embryogenesis and require protein interactions for their spatial and temporal
activation. The chromatin-associated high mobility group protein (HMG-17) is
associated with transcriptionally active chromatin, however its role in
regulating gene expression is unclear. This report reveals a unique strategy in
which, HMG-17 acts as a molecular switch regulating HD transcriptional activity.
The switch utilizes the Wnt/beta-catenin signaling pathway and adds to the
diverse functions of beta-catenin. A high-affinity HMG-17 interaction with the
PITX2 HD protein inhibits PITX2 DNA-binding activity. The HMG-17/PITX2 inactive
complex is concentrated to specific nuclear regions primed for active
transcription. beta-Catenin forms a ternary complex with PITX2/HMG-17 to switch
it from a repressor to an activator complex. Without beta-catenin, HMG-17 can
physically remove PITX2 from DNA to inhibit its transcriptional activity. The
PITX2/HMG-17 regulatory complex acts independently of promoter targets and is a
general mechanism for the control of HD transcriptional activity. HMG-17 is
developmentally regulated and its unique role during embryogenesis is revealed by
the early embryonic lethality of HMG-17 homozygous mice. This mechanism provides
a new role for canonical Wnt/beta-catenin signaling in regulating HD
transcriptional activity during development using HMG-17 as a molecular switch.
PMID- 18045790
TI - Database resources of the National Center for Biotechnology Information.
AB - In addition to maintaining the GenBank(R) nucleic acid sequence database, the
National Center for Biotechnology Information (NCBI) provides analysis and
retrieval resources for the data in GenBank and other biological data available
through NCBI's web site. NCBI resources include Entrez, the Entrez Programming
Utilities, My NCBI, PubMed, PubMed Central, Entrez Gene, the NCBI Taxonomy
Browser, BLAST, BLAST Link, Electronic PCR, OrfFinder, Spidey, Splign, RefSeq,
UniGene, HomoloGene, ProtEST, dbMHC, dbSNP, Cancer Chromosomes, Entrez Genome,
Genome Project and related tools, the Trace, Assembly, and Short Read Archives,
the Map Viewer, Model Maker, Evidence Viewer, Clusters of Orthologous Groups,
Influenza Viral Resources, HIV-1/Human Protein Interaction Database, Gene
Expression Omnibus, Entrez Probe, GENSAT, Database of Genotype and Phenotype,
Online Mendelian Inheritance in Man, Online Mendelian Inheritance in Animals, the
Molecular Modeling Database, the Conserved Domain Database, the Conserved Domain
Architecture Retrieval Tool and the PubChem suite of small molecule databases.
Augmenting the web applications are custom implementations of the BLAST program
optimized to search specialized data sets. These resources can be accessed
through the NCBI home page at www.ncbi.nlm.nih.gov.
PMID- 18045791
TI - An atmospheric perspective on North American carbon dioxide exchange:
CarbonTracker.
AB - We present an estimate of net CO(2) exchange between the terrestrial biosphere
and the atmosphere across North America for every week in the period 2000 through
2005. This estimate is derived from a set of 28,000 CO(2) mole fraction
observations in the global atmosphere that are fed into a state-of-the-art data
assimilation system for CO(2) called CarbonTracker. By design, the surface fluxes
produced in CarbonTracker are consistent with the recent history of CO(2) in the
atmosphere and provide constraints on the net carbon flux independent from
national inventories derived from accounting efforts. We find the North American
terrestrial biosphere to have absorbed -0.65 PgC/yr (1 petagram = 10(15) g;
negative signs are used for carbon sinks) averaged over the period studied,
partly offsetting the estimated 1.85 PgC/yr release by fossil fuel burning and
cement manufacturing. Uncertainty on this estimate is derived from a set of
sensitivity experiments and places the sink within a range of -0.4 to -1.0
PgC/yr. The estimated sink is located mainly in the deciduous forests along the
East Coast (32%) and the boreal coniferous forests (22%). Terrestrial uptake fell
to -0.32 PgC/yr during the large-scale drought of 2002, suggesting sensitivity of
the contemporary carbon sinks to climate extremes. CarbonTracker results are in
excellent agreement with a wide collection of carbon inventories that form the
basis of the first North American State of the Carbon Cycle Report (SOCCR), to be
released in 2007. All CarbonTracker results are freely available at
http://carbontracker.noaa.gov.
PMID- 18045792
TI - A T-cell receptor associated with naturally occurring human tumor immunity.
AB - The onconeural antigens appear to serve as tumor rejection antigens in the
paraneoplastic neurologic disorders. Here, we used an unbiased peptide binding
screen, followed by studies in HLA-A2.1 transgenic mice to identify naturally
processed HLA-A2.1 restricted epitopes of the paraneoplastic cerebellar
degeneration breast/ovarian cancer antigen cdr2. These mice were used to clone
high-avidity cdr2-specific CD8(+) T cells that recognize human tumor cells
presenting endogenously loaded MHC class I-cdr2 peptide. T cells with this
specificity were detected in the peripheral blood of two HLA-A2.1(+)
paraneoplastic cerebellar degeneration patients. We cloned T cell receptor (TCR)
alpha and beta genes from cdr2-specific T cells; electroporation of RNA encoding
this TCR turned nonreactive donor T cells into efficient killers of human cdr2
expressing tumor cells. Cloned cdr2-specific TCR genes provide a clinically
relevant means for immunologic targeting of human gynecologic cancers.
PMID- 18045793
TI - Pattern selection in plants: coupling chemical dynamics to surface growth in
three dimensions.
AB - BACKGROUND AND AIMS: A study is made by computation of the interplay between the
pattern formation of growth catalysts on a plant surface and the expansion of the
surface to generate organismal shape. Consideration is made of the localization
of morphogenetically active regions, and the occurrence within them of symmetry
breaking processes such as branching from an initially dome-shaped tip or
meristem. Representation of a changing and growing three-dimensional shape is
necessary, as two-dimensional work cannot distinguish, for example, formation of
an annulus from dichotomous branching. METHODS: For the formation of patterns of
chemical concentrations, the Brusselator reaction-diffusion model is used,
applied on a hemispherical shell and generating patterns that initiate as surface
spherical harmonics. The initial shape is hemispherical, represented as a mesh of
triangles. These are combined into finite elements, each made up of all the
triangles surrounding each node. Chemical pattern is converted into shape change
by moving nodes outwards according to the concentration of growth catalyst at
each, to relieve misfits caused by area increase of the finite element. New
triangles are added to restore the refinement of the mesh in rapidly growing
regions. KEY RESULTS: The postulated mechanism successfully generates: tip growth
(or stalk extension by an apical meristem) to ten times original hemisphere
height; tip flattening and resumption of apical advance; and dichotomous
branching and higher-order branching to make whorled structures. Control of the
branching plane in successive dichotomous branchings is tackled with partial
success and clarification of the issues. CONCLUSIONS: The representation of a
growing plant surface in computations by an expanding mesh that has no artefacts
constraining changes of shape and symmetry has been achieved. It is shown that
one type of pattern-forming mechanism, Turing-type reaction-diffusion, acting
within a surface to pattern a growth catalyst, can generate some of the most
important types of morphogenesis in plant development.
PMID- 18045794
TI - The derivation of sink functions of wheat organs using the GREENLAB model.
AB - BACKGROUND AND AIMS: In traditional crop growth models assimilate production and
partitioning are described with empirical equations. In the GREENLAB functional
structural model, however, allocation of carbon to different kinds of organs
depends on the number and relative sink strengths of growing organs present in
the crop architecture. The aim of this study is to generate sink functions of
wheat (Triticum aestivum) organs by calibrating the GREENLAB model using a
dedicated data set, consisting of time series on the mass of individual organs
(the 'target data'). METHODS: An experiment was conducted on spring wheat
(Triticum aestivum, 'Minaret'), in a growth chamber from, 2004 to, 2005. Four
harvests were made of six plants each to determine the size and mass of
individual organs, including the root system, leaf blades, sheaths, internodes
and ears of the main stem and different tillers. Leaf status (appearance,
expansion, maturity and death) of these 24 plants was recorded. With the
structures and mass of organs of four individual sample plants, the GREENLAB
model was calibrated using a non-linear least-square-root fitting method, the aim
of which was to minimize the difference in mass of the organs between measured
data and model output, and to provide the parameter values of the model (the sink
strengths of organs of each type, age and tiller order, and two empirical
parameters linked to biomass production). KEY RESULTS AND CONCLUSIONS: The masses
of all measured organs from one plant from each harvest were fitted
simultaneously. With estimated parameters for sink and source functions, the
model predicted the mass and size of individual organs at each position of the
wheat structure in a mechanistic way. In addition, there was close agreement
between experimentally observed and simulated values of leaf area index.
PMID- 18045795
TI - Relationships between photosynthetic activity and silica accumulation with ages
of leaf in Sasa veitchii (Poaceae, Bambusoideae).
AB - BACKGROUND AND AIMS: Bamboos have long-lived, evergreen leaves that continue to
accumulate silica throughout their life. Silica accumulation has been suggested
to suppress their photosynthetic activity. However, nitrogen content per unit
leaf area (N(area)), an important determinant of maximum photosynthetic capacity
per unit leaf area (P(max)), decreases as leaves age and senescence. In many
species, P(max) decreases in parallel with the leaf nitrogen content. It is
hypothesized that if silica accumulation affects photosynthesis, then P(max)
would decrease faster than N(area), leading to a decrease in photosynthetic rate
per unit leaf nitrogen (photosynthetic nitrogen use efficiency, PNUE) with
increasing silica content in leaves. METHODS: The hypothesis was tested in leaves
of Sasa veitchii, which have a life span of 2 years and accumulate silica up to
41 % of dry mass. Seasonal changes in P(max), stomatal conductance, N(area) and
silica content were measured for leaves of different ages. KEY RESULTS: Although
P(max) and PNUE were negatively related with silica content across leaves of
different ages, the relationship between PNUE and silica differed depending on
leaf age. In second-year leaves, PNUE was almost constant although there was a
large increase in silica content, suggesting that leaf nitrogen was a primary
factor determining the variation in P(max) and that silica accumulation did not
affect photosynthesis. PNUE was strongly and negatively correlated with silica
content in third-year leaves, suggesting that silica accumulation affected
photosynthesis of older leaves. CONCLUSIONS: Silica accumulation in long-lived
leaves of bamboo did not affect photosynthesis when the silica concentration of a
leaf was less than 25 % of dry mass. Silica may be actively transported to
epidermal cells rather than chlorenchyma cells, avoiding inhibition of CO2
diffusion from the intercellular space to chloroplasts. However, in older leaves
with a larger silica content, silica was also deposited in chlorenchyma cells,
which may relate to the decrease in PNUE.
PMID- 18045796
TI - Variations in occupational exposure to magnetic fields among welders in Malaysia.
AB - This study attempted to estimate the lifelong magnetic field (MF) exposures of a
particular group of welders. Exposure was quantified via measurements,
observations and interviews. It was found that these welders face a vast range of
lifelong MF exposures depending on the welding processes and duration of the
welding tasks performed. This may explain the inconsistency in the results of
studies of MF exposures on human health. The mere assessing of the MF exposure
levels through spot measurements does not give an overall picture of the total
amount of exposure received by the welders as some of these workers performed the
welding task throughout the day, whereas others performed this as a part of their
job. The exposure to various chemicals in the fume may complicate the
interpretation of the elevated health risk among the welders.
PMID- 18045797
TI - Time for unification of CT dosimetry with radiography and fluoroscopy.
PMID- 18045798
TI - Comparison of dose estimation from occupational exposure to 239Pu using different
modelling approaches.
AB - Several approaches are available for bioassay interpretation when assigning Pu
doses to Mayak workers. First, a conventional approach is to apply ICRP models
per se. An alternative method involves individualised fitting of bioassay data
using Bayesian statistical methods. A third approach is to develop an independent
dosimetry system for Mayak workers by adapting ICRP models using a dataset of
available bioassay measurements for this population. Thus, a dataset of 42 former
Mayak workers, who died of non-radiation effects, with both urine bioassay and
post-mortem tissue data was used to test these three approaches. All three
approaches proved to be adequate for bioassay and tissue interpretation, and thus
for Pu dose reconstruction purposes. However, large discrepancies are observed in
the resulting quantitative dose estimates. These discrepancies can, in large
part, be explained by differences in the interpretation of Pu behaviour in the
lungs in the context of ICRP lung model. Thus, a careful validation of Pu lung
dosimetry model is needed in Mayak worker dosimetry systems.
PMID- 18045799
TI - Evaluation of scattering factor values for internal dose assessment following the
IDEAS guidelines: preliminary results.
AB - The IDEAS Guidelines for the assessment of internal doses from monitoring data
suggest default measurement uncertainties (i.e. scattering factors, SFs) to be
used for different types of monitoring data. However, these default values were
mainly based upon expert judgement. In this paper, SF values have been calculated
for different radionuclides and types of monitoring data using real data
contained in the IDEAS Internal Contamination Database. Results are presented.
PMID- 18045800
TI - Quantitative proteomics analysis demonstrates post-transcriptional regulation of
embryonic stem cell differentiation to hematopoiesis.
AB - Embryonic stem (ES) cells can differentiate in vitro to produce the endothelial
and hematopoietic precursor, the hemangioblasts, which are derived from the
mesoderm germ layer. Differentiation of Bry(GFP/+) ES cell to hemangioblasts can
be followed by the expression of the Bry(GFP/+) and Flk1 genes. Proteomic and
transcriptomic changes during this differentiation process were analyzed to
identify mechanisms for phenotypic change during early differentiation. Three
populations of differentiating Bry(GFP) ES cells were obtained by flow cytometric
sorting, GFP-Flk1- (epiblast), GFP+Flk1- (mesoderm), and GFP+Flk1+
(hemangioblast). Microarray analyses and relative quantification two-dimensional
LCLC-MS/MS on nuclear extracts were performed. We identified and quantified 2389
proteins, 1057 of which were associated to their microarray probe set. These
included a variety of low abundance transcription factors, e.g. UTF1, Sox2, Oct4,
and E2F4, demonstrating a high level of proteomic penetrance. When paired
comparisons of changes in the mRNA and protein expression levels were performed
low levels of correlation were found. A strong correlation between isobaric tag
derived relative quantification and Western blot analysis was found for a number
of nuclear proteins. Pathway and ontology analysis identified proteins known to
be involved in the regulation of stem cell differentiation, and proteins with no
described function in early ES cell development were also shown to change
markedly at the proteome level only. ES cell development is regulated at the mRNA
and protein level.
PMID- 18045801
TI - Highly efficient classification and identification of human pathogenic bacteria
by MALDI-TOF MS.
AB - Accurate and rapid identification of pathogenic microorganisms is of critical
importance in disease treatment and public health. Conventional work flows are
time-consuming, and procedures are multifaceted. MS can be an alternative but is
limited by low efficiency for amino acid sequencing as well as low
reproducibility for spectrum fingerprinting. We systematically analyzed the
feasibility of applying MS for rapid and accurate bacterial identification.
Directly applying bacterial colonies without further protein extraction to MALDI
TOF MS analysis revealed rich peak contents and high reproducibility. The MS
spectra derived from 57 isolates comprising six human pathogenic bacterial
species were analyzed using both unsupervised hierarchical clustering and
supervised model construction via the Genetic Algorithm. Hierarchical clustering
analysis categorized the spectra into six groups precisely corresponding to the
six bacterial species. Precise classification was also maintained in an
independently prepared set of bacteria even when the numbers of m/z values were
reduced to six. In parallel, classification models were constructed via Genetic
Algorithm analysis. A model containing 18 m/z values accurately classified
independently prepared bacteria and identified those species originally not used
for model construction. Moreover bacteria fewer than 10(4) cells and different
species in bacterial mixtures were identified using the classification model
approach. In conclusion, the application of MALDI-TOF MS in combination with a
suitable model construction provides a highly accurate method for bacterial
classification and identification. The approach can identify bacteria with low
abundance even in mixed flora, suggesting that a rapid and accurate bacterial
identification using MS techniques even before culture can be attained in the
near future.
PMID- 18045802
TI - Stable isotope labeling by amino acids in cell culture (SILAC) and proteome
quantitation of mouse embryonic stem cells to a depth of 5,111 proteins.
AB - Embryonic stem (ES) cells are pluripotent cells isolated from mammalian
preimplantation embryos. They are capable of differentiating into all cell types
and therefore hold great promise in regenerative medicine. Here we show that
murine ES cells can be fully SILAC (stable isotope labeling by amino acids in
cell culture)-labeled when grown feeder-free during the last phase of cell
culture. We fractionated the SILAC-labeled ES cell proteome by one-dimensional
gel electrophoresis and by isoelectric focusing of peptides. High resolution
analysis on a linear ion trap-orbitrap instrument (LTQ-Orbitrap) at sub-ppm mass
accuracy resulted in confident identification and quantitation of more than 5,000
distinct proteins. This is the largest quantified proteome reported to date and
contains prominent stem cell markers such as OCT4, NANOG, SOX2, and UTF1 along
with the embryonic form of RAS (ERAS). We also quantified the proportion of the
ES cell proteome present in cytosolic, nucleoplasmic, and membrane/chromatin
fractions. We compared two different preparation approaches, cell fractionation
followed by one-dimensional gel separation and in-solution digestion of total
cell lysate combined with isoelectric focusing, and found comparable proteome
coverage with no apparent bias for any functional protein classes for either
approach. Bioinformatics analysis of the ES cell proteome revealed a broad
distribution of cellular functions with overrepresentation of proteins involved
in proliferation. We compared the proteome with a recently published map of
chromatin states of promoters in ES cells and found excellent correlation between
protein expression and the presence of active and repressive chromatin marks.
PMID- 18045803
TI - Proteomics analysis of cells in whole saliva from oral cancer patients via value
added three-dimensional peptide fractionation and tandem mass spectrometry.
AB - Whole human saliva possesses tremendous potential in clinical diagnostics,
particularly for conditions within the oral cavity such as oral cancer. Although
many have studied the soluble fraction of whole saliva, few have taken advantage
of the diagnostic potential of the cells present in saliva, and none have taken
advantage of proteomics capabilities for their study. We report on a novel
proteomics method with which we characterized for the first time cells contained
in whole saliva from patients diagnosed with oral squamous cell carcinoma. Our
method uses three dimensions of peptide fractionation, combining the following
steps: preparative IEF using free flow electrophoresis, strong cation exchange
step gradient chromatography, and microcapillary reverse-phase liquid
chromatography. We determined that the whole saliva samples contained enough
cells, mostly exfoliated epithelial cells, providing adequate amounts of total
protein for proteomics analysis. From a mixture of four oral cancer patient
samples, the analysis resulted in a catalogue of over 1000 human proteins, each
identified from at least two peptides, including numerous proteins with a role in
oral squamous cell carcinoma signaling and tumorigenesis pathways. Additionally
proteins from over 30 different bacteria were identified, some of which
putatively contribute to cancer development. The combination of preparative IEF
followed by strong cation exchange chromatography effectively fractionated the
complex peptide mixtures despite the closely related physiochemical peptide
properties of these separations (pI and solution phase charge, respectively).
Furthermore compared with our two-step method combining preparative IEF and
reverse-phase liquid chromatography, our three-step method identified
significantly more cellular proteins while retaining higher confidence protein
identification enabled by peptide pI information gained through IEF. Thus, for
detecting salivary markers of oral cancer and possibly other conditions of the
oral cavity, the results confirm both the potential of analyzing the cells in
whole saliva and doing so with our proteomics method.
PMID- 18045805
TI - Quantitative evaluations of a high-voltage multiscan CCD camera.
AB - Electron detection characteristics such as modulation transfer function (MTF),
detection quantum efficiency (DQE), dynamic range, linearity, average gain, and
uniformity of a high-voltage multiscan CCD (HV-MSC, 1K x 1K pixels) camera were
evaluated. This camera was used as a major recording medium in a high-voltage
electron microscope (HVEM) installed at the Korea Basic Science Institute (KBSI).
In spite of the high energy of the incident electrons, the overall properties of
the HV-MSC camera were comparable to other CCD cameras for a low-voltage electron
microscope (LVEM). In order to take full advantages of the properties of the HV
MSC camera for atomic resolution electron microscopy, we discuss optimal
experimental conditions based on the detection properties.
PMID- 18045804
TI - Repair of DNA double-strand breaks within the (GAA*TTC)n sequence results in
frequent deletion of the triplet-repeat sequence.
AB - Friedreich ataxia is caused by an expanded (GAA*TTC)n sequence, which is unstable
during intergenerational transmission and in most patient tissues, where it
frequently undergoes large deletions. We investigated the effect of DSB repair on
instability of the (GAA*TTC)n sequence. Linear plasmids were transformed into
Escherichia coli so that each colony represented an individual DSB repair event.
Repair of a DSB within the repeat resulted in a dramatic increase in deletions
compared with circular templates, but DSB repair outside the repeat tract did not
affect instability. Repair-mediated deletions were independent of the orientation
and length of the repeat, the location of the break within the repeat or the RecA
status of the strain. Repair at the center of the repeat resulted in deletion of
approximately half of the repeat tract, and repair at an off-center location
produced deletions that were equivalent in length to the shorter of the two
repeats flanking the DSB. This is consistent with a single-strand annealing
mechanism of DSB repair, and implicates erroneous DSB repair as a mechanism for
genetic instability of the (GAA*TTC)n sequence. Our data contrast significantly
with DSB repair within (CTG*CAG)n repeats, indicating that repair-mediated
instability is dependent on the sequence of the triplet repeat.
PMID- 18045806
TI - Primary prevention of type-2 diabetes and heart disease: action research in
secondary schools serving an ethnically diverse UK population.
AB - BACKGROUND: Escalating rates of childhood obesity are likely to have an impact on
the prevalence of coronary heart disease and type-2 diabetes. We aimed to
identify barriers to healthy lifestyles and evaluate the effectiveness of an
action research approach to lifestyle modification in secondary schools. METHODS:
An action research partnership between schools and university researchers
involved pupils aged 11-15 in five inner-city secondary schools serving a
predominantly South Asian population in Leicester, UK. Data collection included
baseline and follow-up diet and physical activity questionnaires. Focus groups
and observational visits were used to identify barriers, assist with developing
tailored interventions and review the impact of the study. RESULTS: Working with
secondary schools presented challenges but a useful partnership was sustained.
Qualitative feedback suggested that this had raised awareness of healthy
lifestyle issues in participating schools. Barriers in pupils included low
prioritization of health when making lifestyle choices. Sub-optimal diet and
activity habits were identified at baseline. Overall, these persisted at follow
up, although some limited positive changes were identified. CONCLUSIONS: Using
action research methods in this context is challenging but can facilitate useful
data collection and may have a modest impact on lifestyle behaviours.
PMID- 18045807
TI - Progressive multifocal leucoencephalopathy in a patient with systemic lupus
erythematosus treated with rituximab.
PMID- 18045808
TI - Recent insights in the pharmacological actions of methotrexate in the treatment
of rheumatoid arthritis.
AB - This review presents recent data supporting the methotrexate (MTX) mechanisms of
action, which are likely to account for its anti-proliferative and
immunosuppressive effects in rheumatoid arthritis (RA). The effects of MTX in
vivo may be mediated by reducing cell proliferation, increasing the rate of
apoptosis of T cells, increasing endogenous adenosine release, altering the
expression of cellular adhesion molecules, influencing production of cytokines,
humoral responses and bone formation. Several reports indicate that the effects
of MTX are influenced by genetic variants, specific dynamic processes and micro
environmental elements such as nucleotide deprivation or glutathione levels. The
challenge for the future will be linking biological and genetic markers relevant
to the response to MTX in RA.
PMID- 18045809
TI - Non-invasive quantification of popliteal cyst volumes by three-dimensional
ultrasonography.
PMID- 18045810
TI - Rheumatoid arthritis, cardiovascular disease and physical exercise: a systematic
review.
AB - This systematic review investigates the effectiveness of exercise interventions
in improving disease-related characteristics in patients with rheumatoid
arthritis (RA). It also provides suggestions for exercise programmes suitable for
improving the cardiovascular profile of RA patients and proposes areas for future
research in the field. Six databases (Medline, Cochrane Library, CINAHL, Google
Scholar, EMBASE and PEDro) were searched to identify publications from 1974 to
December 2006 regarding RA and exercise interventions. The quality of the studies
included was determined by using the Jadad scale. Initial searches identified
1342 articles from which 40 met the inclusion criteria. No studies were found
investigating exercise interventions in relation to cardiovascular disease in RA.
There is strong evidence suggesting that exercise from low to high intensity of
various modes is effective in improving disease-related characteristics and
functional ability in RA patients. Future studies are required to investigate the
effects of exercise in improving the cardiovascular status of this patient
population.
PMID- 18045811
TI - MRI of rheumatoid arthritis image quantitation for the assessment of disease
activity, progression and response to therapy.
AB - Magnetic resonance imaging (MRI) allows the direct visualization of many bone and
soft tissue changes in rheumatoid arthritis. Synovitis volume, bone marrow oedema
and bone erosions are suitable for serial measurement. The outcome measures in
rheumatoid arthritis clinical trials (OMERACT) rheumatoid arthritis magnetic
resonance imaging (RAMRIS) system is designed to allow straightforward,
reproducible scoring of all these features. Alternatively, synovial volumes may
be directly and quickly measured using semi-automated techniques. There is the
potential for similar systems for measuring erosions. Dynamic contrast enhanced
MRI depends on the rate of enhancement of the synovium after intravenous contrast
agent. Measurements depend on the underlying physiology of the inflamed synovium,
in particular the vascularity and capillary permeability which are expected to
closely mirror inflammatory activity in the joint. Measurements from MRI have
been shown to correlate with clinical, laboratory, imaging and histological
measures of inflammation, predict erosive progression and respond rapidly to
various types of treatment. They are, therefore, expected to be good measures of
disease activity, progression and response to therapy.
PMID- 18045812
TI - Function of jasmonate in response and tolerance of Arabidopsis to thrip feeding.
AB - We analyzed the interaction between Arabidopsis and western flower thrips
(Frankliniella occidentalis), which are one of the most serious insect pests of
cultivated plants. We focused on the function of the immunity-related plant
hormones jasmonate (JA), ethylene (ET) and salicylic acid (SA) in the plant's
response to thrip feeding. Expression of the marker genes for each hormone
response was induced by thrip feeding in wild-type (WT) plants. Further analyses
in the hormone-related mutants coi1-1 (JA insensitive), ein2-1 and ein3-1 (ET
insensitive) and eds16-1 (SA deficient) suggested the importance of these
hormones in the plant response to feeding. Comparative transcriptome analyses
suggested a strong relationship between thrip feeding and JA treatment, but not
ET or SA treatment. The JA content of WT plants was significantly increased after
thrip feeding. Moreover, coi1-1, but not ein2-1, showed lower feeding tolerance
against thrips than the WT. Application of JA to WT plants before thrip feeding
enhanced the plants' feeding tolerance. JA modulates several defense responses in
cooperation with ET, but application of the ET precursor 1-aminocyclopropane
carboxylic acid had a marked negative effect on feeding tolerance. Our results
indicate that JA plays an important role in Arabidopsis in terms of response to,
and tolerance against, thrip feeding.
PMID- 18045813
TI - Ultrastructural characterization of exine development of the transient defective
exine 1 mutant suggests the existence of a factor involved in constructing
reticulate exine architecture from sporopollenin aggregates.
AB - A male-sterile mutant of Arabidopsis thaliana, in which filament elongation was
defective although pollen fertility was normal, was isolated by means of T-DNA
tagging. Transmission electron microscopy (TEM) analysis revealed that primexine
synthesis and probacula formation, which are thought to be the initial steps of
exine formation, were defective, and that globular sporopollenin aggregation was
randomly deposited onto the microspore at the early uninucleate microspore stage.
Sporopollenin aggregation, which failed to anchor to the microspore plasma
membrane, was deposited on the locule wall and in the locule at the uninucleate
microspore stage. However, visually normal exine with a basic reticulate
structure was observed at the middle uninucleate microspore stage, indicating
that the exine formation was restored in the mutant. Thus, the mutant was
designated transient defective exine 1 (tde1). These results indicated that tde1
mutation affects the initial process of the exine formation, but does not impair
any critical processes. Our results also suggest the existence of a certain
factor responsible for exine patterning in A. thaliana. The TDE1 gene was found
to be identical to the DE-ETIOLATED 2 gene known to be involved in
brassinosteroid (BR) biosynthesis, and the tde1 probacula-defective phenotypes
were recovered in the presence of BR application. These results suggest that BRs
control the rate or efficiency of initial process of exine pattern formation.
PMID- 18045814
TI - Impact of high temperatures on hospital admissions: comparative analysis with
previous studies about mortality (Madrid).
AB - BACKGROUND: Heat wave prevention plans are traditionally implemented according to
a temperature limit above which mortality begins to rise. Although these
prevention plans are obviously designed to avoid deaths, it is also necessary to
establish the impact of extreme temperatures on hospital admissions in order to
put hospital alert plans into action for dealing with people affected by heat
wave victims. METHODS: We used data on daily emergency admissions between May and
September, from 1995 to 2000, in the Hospital General Universitario Gregorio
Maranon in Madrid. The causes for admission were considered as 'organic'
(International Classification of Diseases, ICD-9: 1-799), circulatory (ICD-9: 390
459) and respiratory (ICD-9: 460-519). We stratified them according to the
following age groups: all ages, from 0 to 10, 18 to 44, 45 to 64, 65 to 74 and
above 75 years. The methodology used was Autorregresive Integrated Moving Average
(ARIMA) modelling, including variables related to atmospheric pollution,
seasonality and trends. RESULTS: The results show that the temperature above
which hospital admissions soar coincides with the temperature limit above which
mortality sharply rises, which, in turn, coincides with percentile 95 of the
maximum daily temperature series for summer months. The pattern of hospital
admissions is completely different from that of mortality. The rise in hospital
admissions due to all causes and age groups is clearly smaller than that detected
for mortality. DISCUSSION: These results suggest that people die rapidly from
circulatory diseases before they can be admitted to hospital. This datum is vital
with regard to implementing prevention plans prior to the arrival of the heat
wave, if they are to effectively reduce mortality.
PMID- 18045815
TI - Insulin action on glucose and protein metabolism during L-carnitine
supplementation in maintenance haemodialysis patients.
AB - BACKGROUND: Impaired protein anabolism and insulin resistance are characteristic
features of maintenance haemodialysis patients. We have used a randomised,
matched-paired, double-blind, placebo-controlled experimental design to determine
the capability of intravenous L-carnitine supplementation to modify insulin
resistance and protein catabolism in non-diabetic patients with end-stage renal
disease (ESRD) undergoing chronic haemodialysis treatment. METHODS: L-carnitine
(20 mg x kg(-1)) (n = 9) or placebo (n = 10) were given intravenously at the end
of seven consecutive dialysis sessions. Whole-body protein and glucose metabolism
were assessed on interdialytic days by the L[1-(13)C]leucine and the [2,2
(2)H(2)]glucose kinetic models in the postabsorptive state and during euglicemic
hyperinsulinemic clamp studies at baseline and at the end of the treatment
period. RESULTS: L-carnitine supplementation was associated with lower (P < 0.05)
rates of leucine oxidation (-11 +/- 12%) and appearance from proteolysis (-6 +/-
2%) during the clamp studies than after placebo supplementation. The rates of
glucose appearance in the postabsorptive state did not change significantly in
the patients receiving L-carnitine treatment. Insulin-mediated glucose
disappearance was improved by L-carnitine only in those patients (n = 5) (+18 +/-
3%, P < 0.05 vs placebo group, n = 5) with greater baseline insulin resistance,
selected according to the median value of insulin sensitivity before treatment.
CONCLUSIONS: L-carnitine supplementation was associated with protein-sparing
effects in maintenance haemodialysis patients during hyperinsulinemia.
PMID- 18045816
TI - Transforming growth factor-beta1 decreases epithelial sodium channel
functionality in renal collecting duct cells via a Smad4-dependent pathway.
AB - BACKGROUND: Transformation growth factor-beta1 (TGF-beta1) inhibits
transepithelial sodium transport and suppresses the epithelial sodium channel
(ENaC) in many different types of epithelial cells; however, the molecular
mechanism of this effect in the kidney is still not clear. The aim of this study
was to examine the regulation of transepithelial sodium transport by TGF-beta1 in
renal cells. METHODS: We derived stable mouse cortical collecting duct cell lines
that overexpressed Smad4 or N-termianl truncated Smad4, and studied the effects
of TGF-beta1 on them. The equivalent electrical current (I(eq)) was taken as
representing transepithelial current and the amiloride sensitive short circuit
current (AmsIsc) as representing the ENaC activity. We used real-time PCR to
quantify the expression of ENaC and measurement of the luciferase activity of
cells transiently transfected with a mouse alpha-ENaC promoter to assess the
alpha-ENaC promoter activity. Result. The administration of TGF-beta1 decreased
I(eq), mainly as a result of the decrease of AmsIsc, and it correlated with
inhibition of the alpha-ENaC mRNA expression. The overexpression of Smad4 led to
a decrease in AmsIsc, alpha-ENaC mRNA and alpha-ENaC promoter activity, but the
overexpression of the N-terminal truncated Smad4 did not induce these changes.
The TGF-beta1-induced reduction of AmsIsc was alleviated in the N-terminal
truncated Smad4-overexpressed cells. CONCLUSION: It appears that the N-terminus
region of Smad4 is indispensable in Smad4-mediated inhibition of the
transepithelial sodium transport. TGF-beta1 may decrease the ENaC functionality
via a Smad4-dependent pathway.
PMID- 18045817
TI - Unusual saprophytic bacterial infection as emerging opportunistic pathogens in
kidney transplantation.
PMID- 18045818
TI - A novel variant apolipoprotein E Okayama in a patient with lipoprotein
glomerulopathy.
PMID- 18045819
TI - Haemodiafiltration: promise for the future?
PMID- 18045820
TI - A murine model of site-specific renal microvascular endothelial injury and
thrombotic microangiopathy.
AB - Despite the importance of endothelial injury and healing for primary and
secondary renal disease and the availability of genetically engineered mouse
models, to date no generally applicable murine disease model with site-specific
renal endothelial injury has been established. We induced specific microvascular
renal injury via selective renal arterial perfusion of the lectin concanavalin A
(Con A) followed by sheep anti-concanavalin A and harvested tissues after 4 h, 24
h, days 3 and 7. Compared to control kidneys, histological evaluation
demonstrated endothelial cell injury with subsequent complement, and platelet
activation and thrombosis by light and electron microscopy. Mouse kidneys showed
histologic evidence of severe glomerular and peritubular microvascular thrombosis
with acute tubular necrosis, proteinuria, increased BUN and presence of
schistocytes. Initial cell death of intrinsic renal cells resulted in a decrease
of the glomerular cell count by 50% after 4 h followed by a proliferative
response of glomerular (day 3, P < 0.05), interstitial (day 3, P < 0.05) and
tubular cells leading to increased total glomerular cell count by day 7. This
study establishes the Con A anti-Con A model as specific endothelial injury model
in the mouse kidney providing a novel tool for investigating endothelial injury
and repair mechanisms as well as elucidating the role of platelets in genetically
engineered mice.
PMID- 18045821
TI - Calcium and potassium changes during haemodialysis alter ventricular
repolarization duration: in vivo and in silico analysis.
AB - BACKGROUND: Alterations of ventricular repolarization duration, as measured by
the QT interval, are frequently observed in haemodialysis (HD) patients. The
nature and the sign of these changes are not yet fully understood. METHODS:
Different dialysate K(+) and Ca(2+) levels, leading to different end-HD plasma
concentrations in the patient, have been tested in the present study in terms of
their impact on QTc. A model of the human cardiomyocyte action potential (AP) has
been used to assess in silico whether the changes in Ca(2+) and K(+) were able to
justify at the cellular level the observed alterations of QTc. RESULTS: QTc was
prolonged in HDs with low (1.25 mM) versus high (2 mM) Ca(2+) (424 +/- 33 versus
400 +/- 28 ms, P < 0.05) and in HDs with low (2 mM) versus high (3 mM) K(+) (420
+/- 35 versus 399 +/- 36 ms, P < 0.05). These alterations were confirmed at the
cellular level by computational analysis showing prolongation of ventricular AP
at low K(+) and low Ca(2+) at the same extent of the measured QTc variations.
Numerical simulation predicted a critically long AP (and QT) when considering low
K(+) and Ca(2+) simultaneously, suggesting the concurrent lowering of Ca(2+) and
K(+) as a potential arrhythmogenic factor. CONCLUSIONS: Numerical simulations of
the ventricular AP may be useful to quantitatively predict the complex dependence
of AP duration on simultaneous changes in Ca(2+) and K(+). Moreover, Ca(2+)
content in the dialysate should be designed not to critically lower serum Ca(2+),
especially in sessions at risk of end-dialysis hypokalaemia.
PMID- 18045822
TI - Anaemia and congestive heart failure early post-renal transplantation.
AB - BACKGROUND: Anaemia is common following renal transplantation and is associated
with the development of congestive heart failure (CHF). However the prevalence of
anaemia in the first year following transplantation and the association between
anaemia occurring early and the development of CHF have been understudied.
METHODS: In this study, 132 incident patients undergoing tacrolimus and
mycophenolate mofetil-based renal transplantation were studied for the prevalence
of, and risk factors for, anaemia and CHF in the early period post
transplantation. RESULTS: Anaemia occurred in 94.5% and 53.1% of patients at 1
week and 12 months, respectively, and was associated with allograft dysfunction,
hypoalbuminaemia, higher mycophenolic acid (MPA) levels, bacterial infection and
hypoalbuminaemia. The association with hypoalbuminaemia may reflect the presence
of chronic inflammation post-transplantation. Of patients displaying haemoglobin
<11 g/dl, 41.1% and 29.4% were treated with erythropoiesis stimulating agents
(ESAs) at 1 and 12 months respectively. CHF developed in 26 patients beyond 1
month post-transplantation, with echocardiographic left ventricular systolic
function preserved in all but one. CHF was associated with anaemia and lower
haemoglobin, allograft dysfunction, duration of dialysis and left ventricular
hypertrophy on echocardiography prior to transplantation, suggesting the
aetiology of CHF may involve the interplay of diastolic cardiac dysfunction, pre
load mismatch and after-load mismatch. CONCLUSIONS: Modification of risk factors
may improve anaemia management post transplantation. Reducing the prevalence of
anaemia may in turn reduce the incidence of CHF-these observations support the
need for clinical trials to determine how anaemia management may impact CHF
incidence.
PMID- 18045823
TI - Th17 cells: a third subset of CD4+ T effector cells involved in organ-specific
autoimmunity.
PMID- 18045824
TI - Pre-transplant predictors of cerebrovascular events after kidney transplantation.
AB - BACKGROUND: We evaluated cerebrovascular events (CVE) after kidney
transplantation (KTx) and sought to identify pre-transplant predictors of
transient ischaemic attacks (TIA) and strokes post-transplantation. METHODS: A
total of 1617 consecutive kidney and 16 kidney-pancreas recipients transplanted
between 1995 and 2005 were analysed in this retrospective single-centre study.
Risk factors for CVE, e.g. recipient and donor age and gender, diagnosis of
chronic kidney disease, end-stage renal disease (ESRD) duration, histories of
hypertension, hyperlipidaemia, smoking, atrial fibrillation (AF), diabetes
mellitus (DM), ischaemic heart, peripheral- and cerebro-vascular disease, as well
as pre-transplant myocardial infarction or CVE (i.e. TIA/strokes) were analysed.
Furthermore, the predictive value of pre-transplant screening tests, i.e.
echocardiography (n = 1184) and carotid ultrasound (n = 922), was investigated.
RESULTS: During a median follow-up of 4 years, 64 CVE (54 strokes and 10 TIA)
were observed. Nineteen (5.1%) of 373 deceased patients died from fatal stroke.
Recipient age, history of AF and hyperlipidaemia (P = 0.00, respectively),
reduced left ventricular function (LVF) (P = 0.01) and the degree of stenosis by
carotid ultrasound (P = 0.002), duration of ESRD (P = 0.03) and interstitial
nephritis as renal disease cause (P = 0.04) evolved as predictors of TIA/stroke
post-transplant in univariate analysis. In multivariable analysis, AF (P = 0.001)
and DM (P = 0.037) were significant predictors for post-transplant CVE.
CONCLUSIONS: AF and DM are independent predictors of CVE after KTx. Beyond their
general ability to detect sev- erely comorbid patients, pre-transplant screening
tests (e.g. carotid ultrasound or echocardiography) were not able to identify
renal transplant candidates at risk for CVE after transplantation.
PMID- 18045826
TI - Effects of cinacalcet on gastrointestinal hormone release in patients with
secondary hyperparathyroidism undergoing dialysis.
AB - Objective. Our aim has been evaluating the influence of an acute dose of
cinacalcet on the gastrointestinal hormonal responses to a test meal in uraemic
patients with secondary hyperparathyroidism undergoing peritoneal dialysis (PD)
or haemodialysis (HD). METHODS: Twenty patients (11 PD, 9 HD) on cinacalcet
treatment (30-120 mg/day) were studied. Twelve patients (1 PD, 11 HD) who never
received cinacalcet were studied as control group. Each patient received a test
meal with blood samples at 0, 2 and 4 h. At 0 time, patients in the cinacalcet
group received their usual oral dose of this calcimimetic. Plasma concentrations
of intact parathyroid hormone (PTH), vasoactive intestinal peptide (VIP),
ghrelin, substance P, serotonin, cholecystokinin (CCK) and gastrin were
quantified at 0, 2 and 4 h. RESULTS: No significant differences in baseline
concentrations of serum VIP, ghrelin, substance P, serotonine, CCK and gastrin
were found between controls and cinacalcet-treated patients. In comparison with
the control group, cinacalcet administration was followed by a significant
decrease in VIP concentration at 4 h and a significant increase in substance P at
4 h. However, the areas under the curves of all studied gut hormones were similar
in both groups. CONCLUSION: An acute dose of cinacalcet exerts minimal influence
on gut hormone responses to a mixed meal in dialysis patients on chronic therapy
with this drug. The small but significant differences between control subjects
and patients on cinacalcet in VIP and substance P levels at 4 h should be
investigated in symptomatic patients.
PMID- 18045825
TI - The prognosis and pathogenesis of severe lupus glomerulonephritis.
AB - BACKGROUND: The International Society of Nephrology/ Renal Pathology Society
classification (ISN/RPS) of lupus glomerulonephritis (GN) divides diffuse GN
(>/=50% involvement) into diffuse segmental (IV-S) and diffuse global GN (IV-G).
This division tests whether the pathogenesis and clinical outcomes are the same
as when similar patients are classified using the World Health Organization (WHO)
classification into severe segmental (WHO III >/=50%) and diffuse global (WHO-IV)
GN. METHODS: Thirty-nine renal biopsies with WHO class IV and 44 with WHO III >/=
50% were reclassified using the ISN/RPS and were correlated with pathogenesis and
outcome. RESULTS: There were 22 biopsies with ISN/RPS class IV-S. ISN/RPS class
IV-G comprises two morphologically discrete classes of renal biopsies: 39
biopsies originally classified as WHO class IV (WHO-IV) and 22 that switched from
WHO III >/=50% to ISN/RPS class IV-G (IV-Q). We will analyze IV-S, IV-Q and WHO
IV separately. WHO-IV had significantly more immune aggregate deposition than IV
S and IV-Q. WHO-IV had lower serum complements C3 (P = 0.05) and C4 (P = 0.05)
than patients with IV-Q. Patients with WHO-IV had more remissions (56%) than IV-Q
(23%) (P = 0.01), and stable renal function at the last follow-up was less
frequent in patients with IV-Q (18%) than IV-S (50%, P = 0.05) and WHO-IV (62%, P
= 0.001). Renal survival and renal survival without end-stage renal disease were
different when the patients were diagnosed as WHO classes III >/=50% and IV, but
the outcomes for ISN/RPS class IV-S and IV-G (WHO-IV plus IV-Q) were not
different. CONCLUSIONS: WHO III >/=50% and WHO-IV lupus GN are not congruent with
ISN/RPS IV-S and IV-G. The ISN/RPS minimizes pathological and outcome differences
between classes IV-S and IV-G which results in the loss of informational content
from the renal biopsies. ISN/RPS does not detect pathogenetic or clinical
differences among patients with severe lupus GN.
PMID- 18045827
TI - Association of functional haem oxygenase-1 gene promoter polymorphism with
polycystic kidney disease and IgA nephropathy.
AB - BACKGROUND: Haem oxygenase-1 (HO-1) is a cytoprotective molecule that is reported
to have a protective role in a variety of experimental models of renal injury. A
functional dinucleotide repeat (GT)(n) polymorphism, within the HO-1 promoter,
regulates HO-1 gene expression; a short number of repeats (S-allele <25)
increases transcription. We report the first assessment of the role of this HO-1
gene promoter polymorphism in chronic kidney disease due to autosomal dominant
polycystic kidney disease (ADPKD) and IgA nephropathy (IgAN). METHODS: The DNA
from 160 patients (99% Caucasian) on renal replacement therapy (RRT) was
genotyped. The primary renal disease was ADPKD in 100 patients and biopsy-proven
IgAN in 60 patients. RESULTS: Overall, the mean age at commencement of RRT was
not significantly different between patients with and without an S-allele (44.1
years versus 45.0 years, P = 0.64). In patients with ADPKD, the age at
commencement of RRT was comparable regardless of the HO-1 genotype (47.7 years
versus 46.7 years, P = 0.59). The same was true in patients with IgAN (38.3 years
versus 42.2 years, P = 0.28). CONCLUSION: This suggests that the functional HO-1
promoter polymorphism does not influence renal survival in CKD due to ADPKD or
IgAN.
PMID- 18045828
TI - Is PTH a risk factor for cardiovascular calcifications in haemodialysis?
PMID- 18045829
TI - Extended duration of brachially inserted intra-aortic balloon pump for myocardial
protection in two patients undergoing urgent coronary artery bypass grafting.
AB - Intra-aortic balloon pump (IABP) provides myocardial protection for patients who
are at risk of myocardial injury during cardiac surgery. The haemodynamic support
is crucial in patients with significant and critical coronary artery disease
undergoing revascularisation procedures. Traditionally, the femoral arterial
access is the preferred route for IABP insertion. This is, however, not always
feasible especially in patients with concomitant occlusive peripheral vascular
disease. The trans-brachial route can be used as an alternative for percutaneous
insertion of the IABP. We report the technique for left trans-brachial insertion
of an IABP, and illustrate our experience with two patients requiring urgent
coronary artery bypass grafting (CABG) and for whom the IABP duration was over 50
h.
PMID- 18045830
TI - Successful treatment of an aggressive non-Hodgkin's lymphoma associated with
acute respiratory insufficiency using extracorporeal membrane oxygenation.
AB - Non-Hodgkin's lymphoma initially presenting as a solid huge mediastinal mass does
not frequently occur. Although nowadays many patients with high-grade
(aggressive) malignant lymphoma can be cured using a combination of immuno- and
chemotherapy, rapid progression and acute complications caused by the tumor mass
itself may necessitate additional invasive treatment. We report a case of
successful extracorporeal membrane oxygenation treatment in a 43-year-old woman
with acute respiratory insufficiency due to a huge mediastinal non-Hodgkin's
tumor.
PMID- 18045831
TI - Medium-term outcome of different surgical methods to cure atrial fibrillation: is
less worse?
AB - Different lesion sets and ablation techniques have been performed. We compared
these outcomes in search of the best method. We performed a retrospective
analysis of patients who have undergone AF surgery different from the maze III.
The surgical lesion sets were pulmonary vein isolation (PVI) alone, left atrial
maze (LAM) and bi-atrial maze (BAM) and were made with different ablation
techniques. During surgery one patient died due to bleeding of a pulmonary vein.
The number of patients in the PVI-, LAM-, BAM-groups was 12, 28 and 26,
respectively, with freedom from AF at latest follow-up [22.0+/-15.6 (3.1-81.2)
months] of 33%, 59% and 60%, respectively. Atrial flutter occurred less in the
BAM-group (4%) than in the left-sided procedures (15.4%) (P=0.231). Multivariate
analysis demonstrated a higher recurrence of AF for PVI alone (OR 4.42, CL 0.95
20.6, P=0.0583) and a lower recurrence for the 'cut-and-sew' technique (OR 0.13,
CL 0.030-0.60, P=0.0084). Left- and bi-atrial maze procedures are equally
effective in the suppression of AF, whereas omission of right-sided lesions
results in a higher prevalence of atrial flutter. The 'cut-and-sew' technique is
superior in terms of freedom from AF compared to bipolar and unipolar
radiofrequency.
PMID- 18045832
TI - Modeling the adaptive immune system: predictions and simulations.
AB - MOTIVATION: Immunological bioinformatics methods are applicable to a broad range
of scientific areas. The specifics of how and where they might be implemented
have recently been reviewed in the literature. However, the background and
concerns for selecting between the different available methods have so far not
been adequately covered. SUMMARY: Before using predictions systems, it is
necessary to not only understand how the methods are constructed but also their
strength and limitations. The prediction systems in humoral epitope discovery are
still in their infancy, but have reached a reasonable level of predictive
strength. In cellular immunology, MHC class I binding predictions are now very
strong and cover most of the known HLA specificities. These systems work well for
epitope discovery, and predictions of the MHC class I pathway have been further
improved by integration with state-of-the-art prediction tools for proteasomal
cleavage and TAP binding. By comparison, class II MHC binding predictions have
not developed to a comparable accuracy level, but new tools have emerged that
deliver significantly improved predictions not only in terms of accuracy, but
also in MHC specificity coverage. Simulation systems and mathematical modeling
are also now beginning to reach a level where these methods will be able to
answer more complex immunological questions.
PMID- 18045833
TI - In vivo regulation of AT1a receptor-mediated intracellular uptake of [125I]Val5
ANG II in the kidneys and adrenals of AT1a receptor-deficient mice.
AB - Using type 1a angiotensin receptor (AT1a) receptor-deficient (Agtr1a-/-) mice and
in vivo autoradiography, we tested the hypothesis that intracellular uptake of
ANG II in the kidney and adrenal glands is primarily mediated by AT1a receptors
and that the response is regulated by prevailing endogenous ANG II. After
pretreatment of wild-type (Agtr1a+/+) and Agtr1a-/- mice (n = 6-9 each group)
with or without captopril (25 mg.kg(-1).day(-1)) or losartan (10 mg.kg(-1).day(
1)) for 2 wk, [125I]Val5-ANG II was infused for 60 min. Intracellular uptake of
[125I]Val5-ANG II was determined by quantitative in vivo autoradiography after
washout of circulating [125I]Val5-ANG II. Basal intracellular ANG II levels were
65% lower in the kidney (P < 0.001), but plasma ANG II levels were threefold
higher, in Agtr1a-/- than wild-type mice (P < 0.01). Although plasma [125I]Val5
ANG II levels were similar, urinary excretion of [125I]Val5-ANG II was fourfold
higher in Agtr1a-/- mice (P < 0.001). By contrast, intracellular [125I]Val5-ANG
II levels were approximately 80% lower in the kidney and adrenal glands of Agtr1a
/- mice (P < 0.01). Captopril decreased endogenous plasma and renal ANG II levels
(P < 0.01) but increased intracellular uptake of [125I]Val5-ANG II in the kidney
and adrenal glands of wild-type and Agtr1a-/- mice (P < 0.01). Losartan largely
blocked renal and adrenal uptake of [125I]Val5-ANG II in wild-type and Agtr1a-/-
mice. Thus 80% of intracellular ANG II uptake in the kidney and adrenal glands is
mediated by AT1a receptors, whereas AT1b receptor- and other non-receptor
mediated mechanisms account for 20% of the response. Our results suggest that
AT1a receptor-mediated uptake of extracellular ANG II may play a physiological
role in the kidney and adrenal glands.
PMID- 18045834
TI - The small GTPase Rac-1 is a regulator of mesangial cell morphology and
thrombospondin-1 expression.
AB - Thrombospondin-1 (TSP-1), which is synthesized by mesangial cells, is known for
its anti-angiogenic activity and its ability to activate latent TGF-beta. TSP-1
is upregulated in renal diseases associated with tissue remodeling. Therefore, we
hypothesized that the expression of TSP-1 might be modulated by changes in cell
morphology involving proteins of the Rho family. Spreading of mesangial cells
after detachment and reseeding was characterized by the formation of lamellipodia
and focal adhesions, pointing toward a Rac-1-mediated rearrangement of actin
structures. Clustering of focal adhesion proteins was also observed in a model
system of nocodazole-induced disruption of microtubules. These morphological
alterations were impeded by pharmacological inhibition of Src family kinases, of
the small GTPase Rac-1, or by downregulation of Rac-1 by siRNA. Upon cell
spreading, TSP-1 was upregulated in the absence and much more prominently in the
presence of serum, but also after nocodazole treatment. TSP-1 upregulation was
controlled by activation of Src family kinases, ERK 1/2 and Rac-1, whereas
activation of RhoA-ROCK signaling was not linked to TSP-1 induction. We thus
provide evidence that TSP-1 expression is induced by common signaling pathways,
which are activated by morphological alterations of renal mesangial cells or by
soluble factors as contained in serum, and these pathways include Src family
kinases, ERK 1/2 and Rac-1. Our data suggest that tissue remodeling activates
gene expression of pathophysiologically relevant proteins such as TSP-1.
PMID- 18045835
TI - A stem-loop structure in the wingless transcript defines a consensus motif for
apical RNA transport.
AB - Although the subcellular localization of mRNA transcripts is a well-established
mechanism for controlling protein localization, the basis for the recognition of
mRNA localization elements is only now emerging. For example, although
localization elements have been defined for many mRNAs that localize to apical
cytoplasm in Drosophila embryos, no unifying properties have been identified
within these elements. In this study, we identify and characterize an apical
localization element in the 3'UTR of the Drosophila wingless mRNA. We show that
this element, referred to as WLE3, is both necessary and sufficient for apical
RNA transport. Full, unrestricted activity, however, requires the presence of one
of several downstream potentiating elements. Comparison of WLE3 sequences within
the Drosophila genus, and their predicted secondary structures, defines a highly
conserved stem-loop structure. Despite these high levels of sequence and
predicted structure conservation, however, mutagenesis shows significant leeway
for both sequence and structure variation in the predicted stem-loop.
Importantly, the features that emerge as crucial include an accessible distal
helix sequence motif, which is also found in the predicted structures of other
apical localization elements.
PMID- 18045836
TI - Sisyphus, the Drosophila myosin XV homolog, traffics within filopodia
transporting key sensory and adhesion cargos.
AB - Unconventional myosin proteins of the MyTH-FERM superclass are involved in
intrafilopodial trafficking, are thought to be mediators of membrane-cytoskeleton
interactions, and are linked to several forms of deafness in mammals. Here we
show that the Drosophila myosin XV homolog, Sisyphus, is expressed at high levels
in leading edge cells and their cellular protrusions during the morphogenetic
process of dorsal closure. Sisyphus is required for the correct alignment of
cells on opposing sides of the fusing epithelial sheets, as well as for adhesion
of the cells during the final zippering/fusion phase. We have identified several
putative Sisyphus cargos, including DE-cadherin (also known as Shotgun) and the
microtubule-linked proteins Katanin-60, EB1, Milton and aPKC. These cargos bind
to the Sisyphus FERM domain, and their binding is in some cases mutually
exclusive. Our data suggest a mechanism for Sisyphus in which it maintains a
balance between actin and microtubule cytoskeleton components, thereby
contributing to cytoskeletal cross-talk necessary for regulating filopodial
dynamics during dorsal closure.
PMID- 18045838
TI - Matrix metalloproteinases promote motor axon fasciculation in the Drosophila
embryo.
AB - Matrix metalloproteinases (MMPs) are a large conserved family of extracellular
proteases, a number of which are expressed during neuronal development and
upregulated in nervous system diseases. Primarily on the basis of studies using
pharmaceutical inhibitors, MMPs have been proposed to degrade the extracellular
matrix to allow growth cone advance during development and hence play largely
permissive roles in axon extension. Here we show that MMPs are not required for
axon extension in the Drosophila embryo, but rather are specifically required for
the execution of several stereotyped motor axon pathfinding decisions. The
Drosophila genome contains only two MMP homologs, Mmp1 and Mmp2. We isolated Mmp1
in a misexpression screen to identify molecules required for motoneuron
development. Misexpression of either MMP inhibits the regulated
separation/defasciculation of motor axons at defined choice points. Conversely,
motor nerves in Mmp1 and Mmp2 single mutants and Mmp1 Mmp2 double mutant embryos
are loosely bundled/fasciculated, with ectopic axonal projections. Quantification
of these phenotypes reveals that the genetic requirement for Mmp1 and Mmp2 is
distinct in different nerve branches, although generally Mmp2 plays the
predominant role in pathfinding. Using both an endogenous MMP inhibitor and MMP
dominant-negative constructs, we demonstrate that MMP catalytic activity is
required for motor axon fasciculation. In support of the model that MMPs promote
fasciculation, we find that the defasciculation observed when MMP activity is
compromised is suppressed by otherwise elevating interaxonal adhesion -- either
by overexpressing Fas2 or by reducing Sema-1a dosage. These data demonstrate that
MMP activity is essential for embryonic motor axon fasciculation.
PMID- 18045837
TI - The E1 ubiquitin-activating enzyme Uba1 in Drosophila controls apoptosis
autonomously and tissue growth non-autonomously.
AB - Ubiquitination is an essential process regulating turnover of proteins for basic
cellular processes such as the cell cycle and cell death (apoptosis).
Ubiquitination is initiated by ubiquitin-activating enzymes (E1), which activate
and transfer ubiquitin to ubiquitin-conjugating enzymes (E2). Conjugation of
target proteins with ubiquitin is then mediated by ubiquitin ligases (E3).
Ubiquitination has been well characterized using mammalian cell lines and yeast
genetics. However, the consequences of partial or complete loss of ubiquitin
conjugation in a multi-cellular organism are not well understood. Here, we report
the characterization of Uba1, the only E1 in Drosophila. We found that weak and
strong Uba1 alleles behave genetically differently with sometimes opposing
phenotypes. Whereas weak Uba1 alleles protect cells from cell death, clones of
strong Uba1 alleles are highly apoptotic. Strong Uba1 alleles cause cell cycle
arrest which correlates with failure to reduce cyclin levels. Surprisingly,
clones of strong Uba1 mutants stimulate neighboring wild-type tissue to undergo
cell division in a non-autonomous manner giving rise to overgrowth phenotypes of
the mosaic fly. We demonstrate that the non-autonomous overgrowth is caused by
failure to downregulate Notch signaling in Uba1 mutant clones. In summary, the
phenotypic analysis of Uba1 demonstrates that impaired ubiquitin conjugation has
significant consequences for the organism, and may implicate Uba1 as a tumor
suppressor gene.
PMID- 18045840
TI - Regulated addition of new myocardial and epicardial cells fosters homeostatic
cardiac growth and maintenance in adult zebrafish.
AB - The heart maintains structural and functional integrity during years of continual
contraction, but the extent to which new cell creation participates in cardiac
homeostasis is unclear. Here, we assessed cellular and molecular mechanisms of
cardiac homeostasis in zebrafish, which display indeterminate growth and possess
an unusual capacity to regenerate after acute cardiac injury. Lowering fish
density in the aquarium triggered rapid animal growth and robust cardiomyocyte
proliferation throughout the adult ventricle, greater than that observed during
slow animal growth or size maintenance. Rapid animal growth also induced strong
expression of the embryonic epicardial markers raldh2 (aldh1a2) and tbx18 in
adult epicardial tissue. Pulse-chase dye labeling experiments revealed that the
epicardium recurrently contributes cells to the ventricular wall, indicating an
active homeostatic process. Inhibition of signaling by Fibroblast growth factors
(Fgfs) decreased this epicardial supplementation of the ventricular wall in
growing zebrafish, and led to spontaneous ventricular scarring in animals
maintaining cardiac size. Our results demonstrate that the adult zebrafish
ventricle grows and is maintained by cardiomyocyte hyperplasia, and that
epicardial cells are added to the ventricle in an Fgf-dependent fashion to
support homeostasis.
PMID- 18045839
TI - A novel promoter-tethering element regulates enhancer-driven gene expression at
the bithorax complex in the Drosophila embryo.
AB - A key question in our understanding of the cis-regulation of gene expression
during embryonic development has been the molecular mechanism that directs
enhancers to specific promoters within a gene complex. Promoter competition and
insulators are thought to play a role in regulating these interactions. In the
bithorax complex of Drosophila, the IAB5 enhancer is located 55 kb 3' of the
Abdominal-B (Abd-B) promoter and 48 kb 5' of the abdominal-A (abd-A) promoter.
Although roughly equidistant from the two promoters, IAB5 specifically interacts
only with the Abdominal-B promoter, even though the enhancer and promoter are
separated by at least two insulators. Here we demonstrate that a 255 bp element,
located 40 bp 5' of the Abd-B transcriptional start site, has a novel cis
regulatory activity as it is able to tether IAB5 to the Abd-B promoter in
transgenic embryos. The tethering element is sufficient to direct IAB5 to an
ectopic promoter in competition assays. Deletion of the promoter-tethering
element results in the redirection of enhancer-driven gene expression on
transgenes. Taken together, these results provide evidence that specific long
range enhancer-promoter interactions in the bithorax complex are regulated by a
tethering element 5' of the Abd-B promoter. We discuss a bioinformatic analysis
of the tethering element across different Drosophila species and a possible
molecular mechanism by which this element functions. We also examine existing
evidence that this novel class of cis-regulatory elements might regulate enhancer
promoter specificity at other gene complexes.
PMID- 18045841
TI - Chromatin assembly factor 1 regulates the cell cycle but not cell fate during
male gametogenesis in Arabidopsis thaliana.
AB - The interdependence of cell cycle control, chromatin remodeling and cell fate
determination remains unclear in flowering plants. Pollen development provides an
interesting model, as it comprises only two cell types produced by two sequential
cell divisions. The first division separates the vegetative cell from the
generative cell. The generative cell divides and produces the two sperm cells,
transported to the female gametes by the pollen tube produced by the vegetative
cell. We show in Arabidopsis thaliana that loss of activity of the Chromatin
assembly factor 1 (CAF1) pathway causes delay and arrest of the cell cycle during
pollen development. Prevention of the second pollen mitosis generates a fraction
of CAF1-deficient pollen grains comprising a vegetative cell and a single sperm
cell, which both express correctly cell fate markers. The single sperm is
functional and fertilizes indiscriminately either female gamete. Our results thus
suggest that pollen cell fate is independent from cell cycle regulation.
PMID- 18045842
TI - Wnt3a/beta-catenin signaling controls posterior body development by coordinating
mesoderm formation and segmentation.
AB - Somitogenesis is thought to be controlled by a segmentation clock, which consists
of molecular oscillators in the Wnt3a, Fgf8 and Notch pathways. Using conditional
alleles of Ctnnb1 (beta-catenin), we show that the canonical Wnt3a/beta-catenin
pathway is necessary for molecular oscillations in all three signaling pathways
but does not function as an integral component of the oscillator. Small,
irregular somites persist in abnormally posterior locations in the absence of
beta-catenin and cycling clock gene expression. Conversely, Notch pathway genes
continue to oscillate in the presence of stabilized beta-catenin but boundary
formation is delayed and anteriorized. Together, these results suggest that the
Wnt3a/beta-catenin pathway is permissive but not instructive for oscillating
clock genes and that it controls the anterior-posterior positioning of boundary
formation in the presomitic mesoderm (PSM). The Wnt3a/beta-catenin pathway does
so by regulating the activation of the segment boundary determination genes Mesp2
and Ripply2 in the PSM through the activation of the Notch ligand Dll1 and the
mesodermal transcription factors T and Tbx6. Spatial restriction of Ripply2 to
the anterior PSM is ensured by the Wnt3a/beta-catenin-mediated repression of
Ripply2 in posterior PSM. Thus, Wnt3a regulates somitogenesis by activating a
network of interacting target genes that promote mesodermal fates, activate the
segmentation clock, and position boundary determination genes in the anterior
PSM.
PMID- 18045843
TI - Oocyte regulation of metabolic cooperativity between mouse cumulus cells and
oocytes: BMP15 and GDF9 control cholesterol biosynthesis in cumulus cells.
AB - Oocyte-derived bone morphogenetic protein 15 (BMP15) and growth differentiation
factor 9 (GDF9) are key regulators of follicular development. Here we show that
these factors control cumulus cell metabolism, particularly glycolysis and
cholesterol biosynthesis before the preovulatory surge of luteinizing hormone.
Transcripts encoding enzymes for cholesterol biosynthesis were downregulated in
both Bmp15(-/-) and Bmp15(-/-) Gdf9(+/-) double mutant cumulus cells, and in wild
type cumulus cells after removal of oocytes from cumulus-cell-oocyte complexes.
Similarly, cholesterol synthesized de novo was reduced in these cumulus cells.
This indicates that oocytes regulate cumulus cell cholesterol biosynthesis by
promoting the expression of relevant transcripts. Furthermore, in wild-type mice,
Mvk, Pmvk, Fdps, Sqle, Cyp51, Sc4mol and Ebp, which encode enzymes required for
cholesterol synthesis, were highly expressed in cumulus cells compared with
oocytes; and oocytes, in the absence of the surrounding cumulus cells,
synthesized barely detectable levels of cholesterol. Furthermore, coincident with
reduced cholesterol synthesis in double mutant cumulus cells, lower levels were
also detected in cumulus-cell-enclosed double mutant oocytes compared with wild
type oocytes. Levels of cholesterol synthesis in double mutant cumulus cells and
oocytes were partially restored by co-culturing with wild-type oocytes. Together,
these results indicate that mouse oocytes are deficient in synthesizing
cholesterol and require cumulus cells to provide products of the cholesterol
biosynthetic pathway. Therefore, oocyte-derived paracrine factors, particularly,
BMP15 and GDF9, promote cholesterol biosynthesis in cumulus cells, probably as
compensation for oocyte deficiencies in cholesterol production.
PMID- 18045844
TI - NG2 cells generate both oligodendrocytes and gray matter astrocytes.
AB - NG2 glia constitute a fourth major glial cell type in the mammalian central
nervous system (CNS) that is distinct from other cell types. Although
circumstantial evidence suggests that some NG2 glia differentiate into
oligodendrocytes, their in vivo fate has not been directly examined. We have used
the bacterial artificial chromosome (BAC) modification technique to generate
transgenic mice that express DsRed or Cre specifically in NG2-expressing (NG2+)
cells. In NG2DsRedBAC transgenic mice, DsRed was expressed specifically in NG2+
cells throughout the postnatal CNS. When the differentiation potential of NG2+
cells in vitro was examined using DsRed+NG2+ cells purified from perinatal
transgenic brains, the majority of the cells either remained as NG2+ cells or
differentiated into oligodendrocytes. In addition, DsRed+NG2+ cells also
differentiated into astrocytes. The in vivo fate of NG2 glia was examined in mice
that were double transgenic for NG2creBAC and the Cre reporter Z/EG. In the
double transgenic mice, the Cre reporter EGFP was detected in myelinating
oligodendrocytes and in a subpopulation of protoplasmic astrocytes in the gray
matter of ventrolateral forebrain but not in fibrous astrocytes of white matter.
These observations suggest that NG2+ cells are precursors of oligodendrocytes and
some protoplasmic astrocytes in gray matter.
PMID- 18045845
TI - Calcium fluxes in dorsal forerunner cells antagonize beta-catenin and alter left
right patterning.
AB - Establishment of the left-right axis is essential for normal organ morphogenesis
and function. Ca(2+) signaling and cilia function in the zebrafish Kuppfer's
Vesicle (KV) have been implicated in laterality. Here we describe an endogenous
Ca(2+) release event in the region of the KV precursors (dorsal forerunner cells,
DFCs), prior to KV and cilia formation. Manipulation of Ca(2+) release to disrupt
this early flux does not impact early DFC specification, but results in altered
DFC migration or cohesion in the tailbud at somite stages. This leads to
disruption of KV formation followed by bilateral expression of asymmetrical
genes, and randomized organ laterality. We identify beta-catenin inhibition as a
Ca(2+)-signaling target and demonstrate that localized loss of Ca(2+) within the
DFC region or DFC-specific activation of beta-catenin is sufficient to alter
laterality in zebrafish. We identify a previously unknown DFC-like cell
population in Xenopus and demonstrate a similar Ca(2+)-sensitive stage. As in
zebrafish, manipulation of Ca(2+) release results in ectopic nuclear beta-catenin
and altered laterality. Overall, our data support a conserved early Ca(2+)
requirement in DFC-like cell function in zebrafish and Xenopus.
PMID- 18045846
TI - Work stress and health in primary health care physicians and hospital physicians.
AB - OBJECTIVE: In order to understand the reasons for the low priority given to work
in primary health care among physicians, we studied differences in work stress,
health and health related lifestyles between general practitioners (GPs) and
hospital physicians. METHOD: A cohort of 226 GPs and 523 consultants from Finland
responded to a questionnaire survey. The responses were linked to data on
registered sickness absence. RESULTS: Compared with consultants, GPs reported
higher job strain (OR 1.76, 95% CI 1.23 to 2.53) and perceived work overload (OR
2.29, 95% CI 1.65 to 3.16) but were less likely to report poor team climate (OR
0.65, 95% CI 0.46 to 0.91), procedural injustice (OR 0.49, 95% CI 0.34 to 0.72)
and interactional injustice (OR 0.62, 95% CI 0.44 to 0.88). There were only small
differences in lifestyle, perceived health, psychological distress and long sick
leaves between GPs and consultants. Short sick leaves were more common among GPs,
but this difference disappeared after controlling for work characteristics.
CONCLUSION: In relation to the current recruitment crisis in primary health care
and the studied working conditions, job strain and heavy workload outweigh the
attractiveness of a good working climate and low organisational injustice. The
non-significant differences in health may indicate that there are no differences
in total work stress between GPs and consultants. In tackling the recruitment
problems in the field of health care, it is of particular importance to be aware
of the sector specific difficulties in working conditions.
PMID- 18045847
TI - Work in the textile industry in Spain and bladder cancer.
AB - BACKGROUND/OBJECTIVE: Textile manufacturing is a complex industry that has
frequently been associated with bladder cancer. However, results have not been
consistent. This study investigated the risk of bladder cancer in Spanish textile
workers. METHODS: We analysed data from a multicentre hospital-based case-control
study carried out in Spain (1998-2001) including 1219 cases of bladder cancer and
1271 controls. Of those, 126 cases and 122 controls reported a history of
employment in the textile industry. Lifetime occupational history was obtained
using a computer-assisted personal interview. Occupations, locations and
materials used in the textile industry were assessed using a detailed
questionnaire and expert assessment. RESULTS: Overall, no increased risk of
bladder cancer was found for textile workers, including duration of employment
analysis. Increased risks were observed for weavers (OR = 1.82, 95% CI 0.95 to
3.47), for workers in winding/warping/sizing (OR 4.11, 95% CI 1.58 to 10.71) and
for those exposed to synthetic materials (OR 1.89, 95% CI 1.00 to 3.56). Working
for more than 10 years appeared to be associated with an increased risk for
weavers (OR 2.27, 95% CI 0.97 to 5.34), for those who had ever worked in
winding/warping/sizing (OR 11.03, 95% CI 1.37, 88.89), for workers in the weaving
room (OR 2.94, 95% CI 1.24 to 7.01) and for those exposed to synthetic (OR 2.62,
95% CI 1.14 to 6.01) or cotton (OR 2.00, 95% CI 1.04 to 3.87) materials.
Statistically significant higher risks were also found for specific combinations
of occupations or locations with exposure to synthetics and cotton. CONCLUSIONS:
There was no overall increased risk for textile workers, but increased risks were
found for specific groups of workers. Our findings indicate that observed risks
in previous studies may be better evaluated by analysis of materials used or
section worked within the industry and occupation.
PMID- 18045848
TI - Mortality of former crocidolite (blue asbestos) miners and millers at Wittenoom.
AB - BACKGROUND: Blue asbestos was mined and milled at Wittenoom in Western Australia
between 1943 and 1966. METHODS: Nearly 7000 male workers who worked at the
Wittenoom mine and mill have been followed up using death and cancer registries
throughout Australia and Italy to the end of 2000. Person-years at risk were
derived using two censoring dates in order to produce minimum and maximum
estimates of asbestos effect. Standardised mortality ratios (SMRs) compare the
mortality of the former Wittenoom workers with the Western Australian male
population. RESULTS: There have been 190 cases of pleural and 32 cases of
peritoneal mesothelioma in this cohort of former workers at Wittenoom. Mortality
from lung cancer (SMR = 1.52), pneumoconiosis (SMR = 15.5), respiratory diseases
(SMR = 1.58), tuberculosis (SMR = 3.06), digestive diseases (SMR = 1.47),
alcoholism (SMR = 2.24) and symptoms, signs and ill defined conditions (SMR =
2.00) were greater in this cohort compared to the Western Australian male
population. CONCLUSION: Asbestos related diseases, particularly malignant
mesothelioma, lung cancer and pneumoconiosis, continue to be the main causes of
excess mortality in the former blue asbestos miners and millers of Wittenoom.
PMID- 18045849
TI - Fibrillary glomerulonephritis and immunotactoid glomerulopathy.
AB - Fibrillary glomerulonephritis is a now widely recognized diagnostic entity,
occurring in approximately 1% of native kidney biopsies in several large biopsy
series obtained from Western countries. The distinctive features are infiltration
of glomerular structures by randomly arranged fibrils similar in appearance but
larger than amyloid fibrils and the lack of staining with histochemical dyes
typically reactive with amyloid. It is widely but not universally recognized to
be distinct from immunotactoid glomerulopathy, an entity characterized by
glomerular deposits of immunoglobulin with substructural organization as
microtubules and with clinical associations with lymphoplasmacytic disorders. The
pathophysiologic basis for organization of the glomerular deposits as fibrils or
microtubules in these entities remains obscure.
PMID- 18045850
TI - Activation of adenosine 2A receptors preserves structure and function of
podocytes.
AB - Adenosine 2A receptor (A(2A)R) activation was recently shown to be renoprotective
in diabetic nephropathy. A(2A)R are found in glomeruli and have been shown to
associate with the podocyte cytoskeletal protein alpha-actinin-4, but the effect
of their activation on podocyte structure and function is unknown. Podocyte
injury was induced in C57BL/6 mice with puromycin aminonucleoside, and the
selective A(2A)R agonist ATL313 was found to attenuate the resulting albuminuria
and foot process fusion. The selective A(2A)R antagonist ZM241385 reversed the
effects of ATL313. In vitro, A(2A)R mRNA and protein were expressed in a
conditionally immortalized podocyte cell line, and A(2A)R-like immunoreactivity
co-localized with the actin cytoskeleton. Treatment with ATL313 also blocked the
increased podocyte permeability to albumin and disruption of the actin
cytoskeleton that accompanied puromycin aminonucleoside-induced injury in vitro.
ATL313 was ineffective, however, in the presence of the A(2A)R antagonist and in
A(2A)R-deficient podocytes. It was concluded that A(2A)R activation reduces
glomerular proteinuria, at least in part, by preserving the normal structure of
podocyte foot processes, slit diaphragms, and actin cytoskeleton.
PMID- 18045851
TI - Anti-inflammatory renoprotective effect of clopidogrel and irbesartan in chronic
renal injury.
AB - Recent evidence suggests that platelet activation and angiotensin II may each
contribute to glomerular inflammation and fibrosis. Clopidogrel inhibits platelet
activation and may also reduce inflammation. This study investigated the anti
inflammatory and renoprotective effects of clopidogrel and irbesartan in the five
sixths nephrectomy rat model of chronic kidney disease. After 8 wk of treatment,
24-h proteinuria, serum creatinine, and histologic scores of glomerular sclerosis
and tubulointerstitial damage were significantly lower in treated compared with
untreated rats. Clopidogrel/irbesartan combination therapy had greater effects
than either drug alone. Rats that underwent five-sixths nephrectomy had higher
markers of platelet activation (plasma GMP-140 and renal cortical fibrin
deposition) than sham-operated rats, and clopidogrel attenuated these effects.
Clopidogrel and irbesartan similarly reduced the accumulation of ED-1-expressing
macrophages in the cortical glomeruli and the interstitium. Combination therapy
almost completely abolished macrophage infiltration and attenuated the expression
of monocyte chemoattractant protein-1, intercellular adhesion molecule-1, TGF
beta(1), and connective tissue growth factor. In conclusion, combination
treatment with clopidogrel and irbesartan, more so than either alone, decreases
early renal injury induced by five-sixths nephrectomy by inhibiting renal
inflammation.
PMID- 18045852
TI - A three-dimensional quantitative structure-activity analysis of a new class of
bisphenol topoisomerase IIalpha inhibitors.
AB - After the identification of a new lead bisphenol compound that had good
topoisomerase IIalpha (EC 5.99.1.3) inhibitory activity, a series of bisphenol
analogs were synthesized and tested to identify the structural features that were
responsible for their activity. The bisphenols represent a new structural class
of topoisomerase II inhibitor that potently inhibited the growth of Chinese
hamster ovary and K562 leukemia cells in the low micromolar range. The fact that
cell growth inhibition was significantly correlated with topoisomerase IIalpha
inhibition suggests that the catalytic inhibition of topoisomerase IIalpha
probably contributed to their growth inhibitory activity. Only one of the
bisphenols (O3OH) tested significantly induced topoisomerase IIalpha-mediated
cleavage of DNA. Most of the bisphenols displayed only low-fold cross-resistance
to a K562 subline containing reduced levels of topoisomerase IIalpha Thus, it is
likely that most of the bisphenols inhibited cell growth, not by acting as
topoisomerase II poisons, but rather by acting as catalytic inhibitors of
topoisomerase IIalpha. Three-dimensional quantitative structure-activity analysis
(3D-QSAR) was carried out on the bisphenols using comparative molecular field
analysis (CoMFA) and comparative molecular similarity index analysis (CoMSIA) to
determine the structural features responsible for their activity. The CoMSIA
analysis of the topoisomerase IIalpha inhibitory activity yielded a statistically
significant model upon partial least-squares analyses. The 3D-QSAR CoMSIA
analysis showed that polar meta hydrogen bond acceptor substituents on the phenyl
rings favored inhibition of topoisomerase IIalpha. For the hydrogen bond donor
field, para- and meta-substituted hydroxyl groups favored inhibition. Hydrophobic
substituents on the bridge atoms disfavored inhibition.
PMID- 18045853
TI - Plasticity of adenylyl cyclase-related signaling sequelae after long-term
morphine treatment.
AB - Adaptations to long-term morphine treatment resulting in tolerance are protective
by counteracting the consequences of sustained opioid receptor activation.
Consequently, the manifestation of specific adenylyl cyclase (AC)-related
neurochemical sequelae of long-term morphine treatment should depend on the
consequences of short-term mu-opioid receptor (MOR) activation. We tested this by
comparing complementary chemical sequelae of long-term morphine treatment among
cells in which short-term MOR activation inhibited instead of stimulated AC
activity. Short-term activation of MOR in Chinese hamster ovary (CHO) cells
stably transfected with MOR (MOR-CHO) inhibits AC activity. Long-term morphine
treatment of these cells increased AC and Gbeta phosphorylation, membrane protein
kinase Cgamma (PKCgamma) translocation, and MOR G(s) association. All converge,
shifting the consequences of short-term MOR activation from Galpha(i)/Galpha(o)
inhibitory to AC stimulatory signaling. In contrast, overexpression of the
Gbetagamma-stimulated AC isoform AC2 (which converted MOR-coupled inhibition to
stimulation of AC) eliminated or reversed these adaptations to long-term morphine
treatment; it negated the increase in Gbeta phosphorylation and PKCgamma
translocation while reversing the increase in AC phosphorylation and MOR G(s)
association. These adaptations greatly attenuated MOR-coupled stimulation of AC
activity. Altered overexpression of AC protein per se was not a confounding
factor because MOR-CHO overexpressing AC1, which is inhibited by short-term MOR
activation, manifested adaptations to long-term morphine treatment qualitatively
identical with those of MOR-CHO. These results reveal that adaptations elicited
by long-term morphine treatment depend on the effects of short-term MOR
activation. This dynamic and pliable nature of tolerance mechanisms could
represent a new paradigm for pharmacotherapeutics.
PMID- 18045854
TI - Caveolin regulates kv1.5 trafficking to cholesterol-rich membrane microdomains.
AB - The targeting of ion channels to cholesterol-rich membrane microdomains has
emerged as a novel mechanism of ion channel localization. Previously, we reported
that Kv1.5, a prominent cardiovascular K(+) channel alpha-subunit, localizes to
caveolar microdomains. However, the mechanisms regulating Kv1.5 targeting and the
functional significance of this localization are largely unknown. In this study,
we demonstrate a role for caveolin in the trafficking of Kv1.5 to lipid raft
microdomains where cholesterol modulates channel function. In cells lacking
endogenous caveolin-1 or -3, the association of Kv1.5 with low-density, detergent
resistant membrane fractions requires coexpression with exogenous caveolin, which
can form channel-caveolin complexes. Caveolin is not required for cell surface
expression, however, and caveolin-trafficking mutants sequester Kv1.5, but not
Kv2.1, in intracellular compartments, resulting in a loss of functional cell
surface channel. Coexpression with wild type caveolin-1 does not alter Kv1.5
current density; rather, it induces depolarizing shifts in steady-state
activation and inactivation. These shifts are analogous to those produced by
elevation of membrane cholesterol. Together, these results show that caveolin
modulates channel function by regulating trafficking to cholesterol-rich membrane
microdomains.
PMID- 18045855
TI - Ventricular non-compaction--a frequently ignored finding?
PMID- 18045856
TI - Phospholamban knockout increases CaM kinase II activity and intracellular Ca2+
wave activity and alters contractile responses of murine gastric antrum.
AB - Phospholamban (PLB) inhibits the sarcoplasmic reticulum (SR) Ca(2+)-ATPase
(SERCA), and this inhibition is relieved by Ca(2+) calmodulin-dependent protein
kinase II (CaM kinase II) phosphorylation. We previously reported significant
differences in contractility, SR Ca(2+) release, and CaM kinase II activity in
gastric fundus smooth muscles as a result of PLB phosphorylation by CaM kinase
II. In this study, we used PLB-knockout (PLB-KO) mice to directly examine the
effect of PLB absence on contractility, CaM kinase II activity, and intracellular
Ca(2+) waves in gastric antrum smooth muscles. The frequencies and amplitudes of
spontaneous phasic contractions were elevated in antrum smooth muscle strips from
PLB-KO mice. Bethanecol increased the amplitudes of phasic contractions in antrum
smooth muscles from both control and PLB-KO mice. Caffeine decreased and
cyclopiazonic acid (CPA) increased the basal tone of antrum smooth muscle strips
from PLB-KO mice, but the effects were less pronounced compared with control
strips. The CaM kinase II inhibitor KN-93 was less effective at inhibiting
caffeine-induced relaxation in antrum smooth muscle strips from PLB-KO mice. CaM
kinase II autonomous activity was elevated, and not further increased by
caffeine, in antrum smooth muscles from PLB-KO mice. Similarly, the intracellular
Ca(2+) wave frequency was elevated, and not further increased by caffeine, in
antrum smooth muscles from PLB-KO mice. These findings suggest that PLB is an
important modulator of gastric antrum smooth muscle contractility by modulation
of SR Ca(2+) release and CaM kinase II activity.
PMID- 18045858
TI - Battleground: chronic kidney disorders mineral and bone disease--calcium
obsession, vitamin d, and binder confusion.
AB - Renal osteodystrophy is a significant complication in chronic kidney disease.
This condition is referred to as mineral and bone disorders in chronic kidney
disease, mainly because of its wider ranging impact, including an association
with increased mortality and non-bone-related morbidity. Because most of the
abnormalities that characterize mineral and bone disorders in chronic kidney
disease (e.g., hyperphosphatemia, secondary hyperparathyroidism) are amenable to
therapeutic interventions, this field has also been in the cross-hairs of many
pharmaceutical companies. The advent of a number of new therapeutic options for
mineral and bone disorders in chronic kidney disease has broadened our
armamentarium but has also resulted in an intense marketing battle between
pharmaceutical companies. The paucity of randomized, controlled trials in this
field has allowed the various companies to promote unilaterally data that fit
their needs and to attempt to discredit data that support their competitors'
products. Although this attitude is expected and regarded as acceptable in a
consumer society, on a scientific level, it has resulted in a polarized and often
confused audience: The practicing nephrologists. This article provides a
historical overview of how the field of mineral and bone disorders in chronic
kidney disease has evolved from a pharmaceutical standpoint, with a critical
emphasis of the key moments that resulted in the current acrimonious climate.
Also assessed is what the key unanswered questions are in this field, and
practical solutions to the discussed issues are provided.
PMID- 18045857
TI - Increasing alpha 7 beta 1-integrin promotes muscle cell proliferation, adhesion,
and resistance to apoptosis without changing gene expression.
AB - The dystrophin-glycoprotein complex maintains the integrity of skeletal muscle by
associating laminin in the extracellular matrix with the actin cytoskeleton.
Several human muscular dystrophies arise from defects in the components of this
complex. The alpha(7)beta(1)-integrin also binds laminin and links the
extracellular matrix with the cytoskeleton. Enhancement of alpha(7)-integrin
levels alleviates pathology in mdx/utrn(-/-) mice, a model of Duchenne muscular
dystrophy, and thus the integrin may functionally compensate for the absence of
dystrophin. To test whether increasing alpha(7)-integrin levels affects
transcription and cellular functions, we generated alpha(7)-integrin-inducible
C2C12 cells and transgenic mice that overexpress the integrin in skeletal muscle.
C2C12 myoblasts with elevated levels of integrin exhibited increased adhesion to
laminin, faster proliferation when serum was limited, resistance to staurosporine
induced apoptosis, and normal differentiation. Transgenic expression of eightfold
more integrin in skeletal muscle did not result in notable toxic effects in vivo.
Moreover, high levels of alpha(7)-integrin in both myoblasts and in skeletal
muscle did not disrupt global gene expression profiles. Thus increasing integrin
levels can compensate for defects in the extracellular matrix and cytoskeleton
linkage caused by compromises in the dystrophin-glycoprotein complex without
triggering apparent overt negative side effects. These results support the use of
integrin enhancement as a therapy for muscular dystrophy.
PMID- 18045859
TI - Serum albumin is strongly associated with erythropoietin sensitivity in
hemodialysis patients.
AB - BACKGROUND AND OBJECTIVES: In hemodialysis patients, the hematological response
to erythropoietin (epo) is variable and clinical factors that explain this
variability are incompletely understood. We tested the hypothesis that the
variability in hemoglobin (Hgb) response (epo sensitivity) is determined by key
nutritional, inflammation, and oxidative stress markers. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: Eighty-two consecutive patients on hemodialysis had
3 consecutive monthly predialysis evaluations of Hgb, total white blood cell
(WBC) count, serum albumin, malondialdehyde (MDA), and monocyte chemoattractant
protein-1 (MCP1). We analyzed the time course of Hgb in relationship to serum
albumin, WBC, MDA, MCP1, epo and iron administration, and tests of iron
sufficiency in a linear growth curve model. RESULTS: Subjects with higher Hgb had
a fall in Hgb and vice versa, regressing to a mean Hgb (SD) of 11.8 g/dl (1.8
g/dl). Whereas the average slope of Hgb was flat, the SD of slopes was 0.63 g/dl,
which explained 39% of the variance in Hgb. Nonuse of epo was associated with a
mean Hgb change of -0.18 g/dl (95% confidence interval [CI] -0.26 to -0.10) per
10,000 IU epo/mo (P < 0.05). Epo use was associated with steeper rate of change
at 0.04 g/dl per mo per 10,000 IU (95% CI 0.01 to 0.07) (P < 0.01). Hgb at
baseline was 0.73 g/dl higher for each 1-g/dl increase in albumin, and the rate
of change increased by 0.49 g/dl per mo for each 1-g/dl increase in albumin
concentration. WBC, MDA, or MCP1 had no role in predicting the baseline Hgb or
its change over time. CONCLUSIONS: Serum albumin concentration is an important
predictor of both baseline Hgb and epo sensitivity in chronic hemodialysis
patients. Factors that improve serum albumin may also improve Hgb in hemodialysis
patients.
PMID- 18045860
TI - Toxic alcohol ingestions: clinical features, diagnosis, and management.
AB - Alcohol-related intoxications, including methanol, ethylene glycol, diethylene
glycol, and propylene glycol, and alcoholic ketoacidosis can present with a high
anion gap metabolic acidosis and increased serum osmolal gap, whereas isopropanol
intoxication presents with hyperosmolality alone. The effects of these
substances, except for isopropanol and possibly alcoholic ketoacidosis, are due
to their metabolites, which can cause metabolic acidosis and cellular
dysfunction. Accumulation of the alcohols in the blood can cause an increment in
the osmolality, and accumulation of their metabolites can cause an increase in
the anion gap and a decrease in serum bicarbonate concentration. The presence of
both laboratory abnormalities concurrently is an important diagnostic clue,
although either can be absent, depending on the time after exposure when blood is
sampled. In addition to metabolic acidosis, acute renal failure and neurologic
disease can occur in some of the intoxications. Dialysis to remove the
unmetabolized alcohol and possibly the organic acid anion can be helpful in
treatment of several of the alcohol-related intoxications. Administration of
fomepizole or ethanol to inhibit alcohol dehydrogenase, a critical enzyme in
metabolism of the alcohols, is beneficial in treatment of ethylene glycol and
methanol intoxication and possibly diethylene glycol and propylene glycol
intoxication. Given the potentially high morbidity and mortality of these
intoxications, it is important for the clinician to have a high degree of
suspicion for these disorders in cases of high anion gap metabolic acidosis,
acute renal failure, or unexplained neurologic disease so that treatment can be
initiated early.
PMID- 18045861
TI - Removal of the protein-bound solutes indican and p-cresol sulfate by peritoneal
dialysis.
AB - BACKGROUND AND OBJECTIVES: Protein-bound solutes are poorly cleared by peritoneal
dialysis. We examined the hypothesis that plasma concentrations of bound solutes
would therefore rise as residual renal function is lost. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: Clearances of urea indican and p-cresol sulfate
were measured in peritoneal dialysis patients with and without residual function.
RESULTS: In patients with residual function, protein binding restricted the
peritoneal indican and p-cresol sulfate clearances to 0.3 +/- 0.1 ml/min, as
compared to the peritoneal urea clearance of 5.5 +/- 1.1 ml/min. The urinary
indican and p-cresol sulfate clearances of 2.7 +/- 2.5 and 1.3 +/- 1.0 ml/min
were closer to the urinary urea clearance of 3.9 +/- 2.2 ml/min, reflecting the
superior ability of native kidney function to clear bound solutes. Urinary
clearance thus provided the majority of the total indican and p-cresol sulfate
clearances of 3.0 +/- 2.5 and 1.6 +/- 1.0 ml/min in patients with residual
function but the minority of total urea clearance of 9.4 +/- 2.2 ml/min. Loss of
residual function lowered the total clearances for indican and p-cresol sulfate
to 0.5 +/- 0.2 and 0.4 +/- 0.2 ml/min, whereas the urea clearance fell only
slightly. However there was only a modest increase in the plasma indican level
and no increase in the plasma p-cresol sulfate level in patients with no residual
function because reduction in the daily removal of these solutes accompanied the
reduction in their total clearance rates. CONCLUSIONS: Reduction in the removal
of indican and p-cresol sulfate kept plasma levels from rising markedly when
residual function was lost.
PMID- 18045862
TI - Hemoglobin level variability: associations with mortality.
AB - BACKGROUND/OBJECTIVES: Awareness of hemoglobin level variability in dialysis
patients is increasing, as is interest in its potential implications. In this
retrospective, national study of associations between the degree of hemoglobin
level variability in the first 6 mo of 2004 and subsequent mortality rates in the
following 6 mo, 159,720 hemodialysis patients receiving epoetin therapy were
studied. DESIGN, SETTING, PARTICIPANTS, MEASUREMENTS: Monthly hemoglobin values
were categorized as low (L; < 11 g/dl), intermediate (I; 11 to 12.5 g/dl), and
high (H; >12.5 g/dl). Variability groups were classified on the basis of the
lowest and highest hemoglobin categories seen during the 6-mo observation period:
low-low (L-L), 1.4%; intermediate-intermediate (I-I), 6.0%; high-high (H-H),
2.3%; low-intermediate (L-I), 18.3%; intermediate-high (I-H), 31.7%, and low-high
(L-H), 40.2%. RESULTS: On multivariate analysis, adjusted hazards ratios for
subsequent mortality events were as follows: I-I, 1.0 (reference category); I-H,
1.02 (95% confidence interval [CI] 0.95 to 1.11); H-H, 1.06 (95% CI 0.93 to
1.21); L-H, 1.19 (95% CI 1.10 to 1.28); L-I, 1.44 (95% CI 1.33 to 1.56), and L-L,
2.18 (95% CI 1.93 to 2.45). Persistently and transiently low hemoglobin levels
and highly variable hemoglobin levels were associated with increased risk of
death; transiently and persistently high hemoglobin levels were not associated
with increased risk of death. Bayesian modeling indicated that > or =3 mo with
hemoglobin levels <11 g/dl may be associated with of increased risk of death.
CONCLUSIONS: Number of months with hemoglobin values below the target range,
rather than hemoglobin variability itself, may be the primary driver of increased
risk of death. Further research is needed to distinguish cause from effect and to
understand the underlying mechanisms.
PMID- 18045863
TI - Comprehensive analysis of the factors contributing to the stability and
solubility of autonomous human VH domains.
AB - We report a comprehensive analysis of sequence features that allow for the
production of autonomous human heavy chain variable (V(H)) domains that are
stable and soluble in the absence of a light chain partner. Using combinatorial
phage-displayed libraries and conventional biophysical methods, we analyzed the
entire former light chain interface and the third complementarity determining
region (CDR3). Unlike the monomeric variable domains of camelid heavy chain
antibodies (V(H)H domains), in which autonomous behavior depends on interactions
between the hydrophobic former light chain interface and CDR3, we find that the
stability of many in vitro evolved V(H) domains is essentially independent of the
CDR3 sequence and instead derives from mutations that increase the hydrophilicity
of the former light chain interface by replacing exposed hydrophobic residues
with structurally compatible hydrophilic substitutions. The engineered domains
can be expressed recombinantly at high yield, are predominantly monomeric at high
concentrations, unfold reversibly, and are even more thermostable than typical
camelid V(H)H domains. Many of the stabilizing mutations are rare in natural V(H)
and V(H)H domains and thus could not be predicted by studying natural sequences
and structures. The results demonstrate that autonomous V(H) domains with
structural properties beyond the scope of natural frameworks can be derived by
using non-natural mutations, which differ from those found in camelid V(H)H
domains. These findings should enable the development of libraries of synthetic
V(H) domains with CDR3 diversities unconstrained by structural demands.
PMID- 18045864
TI - The lipid whisker model of the structure of oxidized cell membranes.
AB - An essential feature of the innate immune system is maintaining cellular
homeostasis by identifying and removing senescent and apoptotic cells and
modified lipoproteins. Identification is achieved through the recognition of
molecular patterns, including structurally distinct oxidized phospholipids, on
target cells by macrophage receptors. Both the structural nature of the molecular
patterns recognized and their orientation within membranes has remained elusive.
We recently described the membrane conformation of an endogenous oxidized
phospholipid ligand for macrophage scavenger receptor CD36, where the truncated
oxidized sn-2 fatty acid moiety protrudes into the aqueous phase, rendering it
accessible for recognition. Herein we examine the generality of this
conformational motif for peroxidized glycerophospholipids within membranes. Our
data reveal that the addition of a polar oxygen atom on numerous peroxidized
fatty acids reorients the acyl chain, whereby it no longer remains buried within
the membrane interior but rather protrudes into the aqueous compartment.
Moreover, we show that neither a conformational change in the head group relative
to the membrane surface nor the presence of a polar head group is essential for
CD36 recognition of free oxidized phospholipid ligands within membranes. Rather,
our results suggest the following global phenomenon. As cellular membranes
undergo lipid peroxidation, such as during senescence or apoptosis, previously
hydrophobic portions of fatty acids will move from the interior of the lipid
bilayer to the aqueous exterior. This enables physical contact between pattern
recognition receptor and molecular pattern ligand. Cell membranes thus "grow
whiskers" as phospholipids undergo peroxidation, and many of their oxidized fatty
acids protrude at the surface.
PMID- 18045865
TI - Amplification of CD95 activation by caspase 8-induced endosomal acidification in
rat hepatocytes.
AB - Although in rat hepatocytes CD95 is predominantly located inside the cell with
almost undetectable immunostaining at the plasma membrane, the addition of CD95
ligand (CD95L) induces hepatocyte apoptosis, which is preceded by a targeting and
activation of intracellularly localized CD95 to the plasma membrane including
formation of the death-inducing signaling complex. This process involves an NADPH
oxidase-dependent generation of reactive oxygen species (ROS) through a ceramide-
and protein kinase Czeta-dependent pathway, which leads to an activating
phosphorylation of p47(phox). The mechanisms underlying CD95L-induced ceramide
formation were addressed in the present study. It was found that CD95L lowered
within seconds the apparent vesicular pH from 6.0 to 5.7 in a fluorescein
isothiocyanate-dextran-accessible endosomal compartment, which was previously
shown to contain acidic sphingomyelinase, and decreased N-(ethoxycarbonylmethyl)
6-methoxyquinolinium bromide fluorescence, suggestive for an increase of
cytosolic [Cl(-)]. Bafilomycin or 4,4'-diisothiocyanostilbene-2,2'-disulfonic
acid disodium salt largely abolished the CD95L-induced endosomal acidification,
ceramide formation, and downstream events, such as p47(phox) phosphorylation, ROS
formation, CD95 activation, and apoptosis. These responses were also abolished
after knock-down of acidic sphingomyelinase in rat hepatocytes. Interestingly,
caspase 8 inhibitors abolished these CD95L-induced signaling events, including
the increase in cytosolic [Cl(-)], endosomal acidification, ceramide formation,
and ROS generation as well as CD95 targeting to the plasma membrane and CD95
activation. The data suggest that CD95L initiates a rapid caspase 8-dependent
endosomal acidification, which triggers ceramide-dependent ROS formation as an
upstream event of trafficking of intracellularly stored CD95 to the plasma
membrane. It is concluded that a rapid caspase 8 activation in response to CD95L
signals to intracellularly stored CD95, which becomes activated and targeted to
the plasma membrane. This autoamplification of CD95-activation is required for
apoptosis induction.
PMID- 18045866
TI - Memo is homologous to nonheme iron dioxygenases and binds an ErbB2-derived
phosphopeptide in its vestigial active site.
AB - Memo (mediator of ErbB2-driven cell motility) is a 297-amino-acid protein
recently shown to co-precipitate with the C terminus of ErbB2 and be required for
ErbB2-driven cell motility. Memo is not homologous to any known signaling
proteins, and how it mediates ErbB2 signals is not known. To provide a molecular
basis for understanding Memo function, we have determined and report here the
2.1A crystal structure of human Memo and show it be homologous to class III
nonheme iron-dependent dioxygenases, a structural class that now includes a zinc
binding protein of unknown function. No metal binding or enzymatic activity can
be detected for Memo, but Memo does bind directly to a specific ErbB2-derived
phosphopeptide encompassing Tyr-1227 using its vestigial enzymatic active site.
Memo thus represents a new class of phosphotyrosine-binding protein.
PMID- 18045867
TI - A role for basic transcription element-binding protein 1 (BTEB1) in the
autoinduction of thyroid hormone receptor beta.
AB - Thyroid hormone (T(3)) induces gene regulation programs necessary for tadpole
metamorphosis. Among the earliest responses to T(3) are the up-regulation of T(3)
receptor beta (TRbeta; autoinduction) and BTEB1 (basic transcription element
binding protein 1). BTEB1 is a member of the Kruppel family of transcription
factors that bind to GC-rich regions in gene promoters. The proximal promoter of
the Xenopus laevis TrbetaA gene has seven GC-rich sequences, which led us to
hypothesize that BTEB1 binds to and regulates TrbetaA. In tadpoles and the frog
fibroblast-derived cell line XTC-2, T(3) up-regulated Bteb1 mRNA with faster
kinetics than TrbetaA, and Bteb1 mRNA correlated with increased BTEB1 protein
expression. BTEB1 bound to GC-rich sequences in the proximal TrbetaA promoter in
vitro. By using chromatin immunoprecipitation assay, we show that BTEB1
associates with the TrbetaA promoter in vivo in a T(3) and developmental stage
dependent manner. Induced expression of BTEB1 in XTC-2 cells caused accelerated
and enhanced autoinduction of the TrbetaA gene. This enhancement was lost in N
terminal truncated mutants of BTEB1. However, point mutations in the zinc fingers
of BTEB1 that destroyed DNA binding did not alter the activity of the protein on
TrbetaA autoinduction, suggesting that BTEB1 can function in this regard through
protein-protein interactions. Our findings support the hypothesis that BTEB1
associates with the TrbetaA promoter in vivo and enhances autoinduction, but this
action does not depend on its DNA binding activity. Cooperation among the protein
products of immediate early genes may be a common mechanism for driving
developmental signaling pathways.
PMID- 18045869
TI - GDP-4-keto-6-deoxy-D-mannose 3-dehydratase, accommodating a sugar substrate in
the active site.
AB - Colitose is a dideoxysugar found in the O-antigen of the lipopolysaccharide that
coats the outer membrane of some Gram-negative bacteria. Four enzymes are
required for its production starting from D-mannose-1-phosphate and GTP. The
focus of this investigation is GDP-4-keto-6-deoxy-D-mannose 3-dehydratase or
ColD, which catalyzes the removal of the C3'-hydroxyl group from GDP-4-keto-6
deoxymannose. The enzyme is pyridoxal 5'-phosphate-dependent, but unlike most of
these proteins, the conserved lysine residue that covalently holds the cofactor
in the active site is replaced with a histidine residue. Here we describe the
three-dimensional structure of ColD, determined to 1.7A resolution, whereby the
active site histidine has been replaced with an asparagine residue. For this
investigation, crystals of the site-directed mutant protein were grown in the
presence of GDP-4-amino-4,6-dideoxy-D-mannose (GDP-perosamine). The electron
density map clearly reveals the presence of the sugar analog trapped in the
active site as an external aldimine. The active site is positioned between the
two subunits of the dimer. Whereas the pyrophosphoryl groups of the ligand are
anchored to the protein via Arg-219 and Arg-331, the hydroxyl groups of the
hexose only lie within hydrogen bonding distance to ordered water molecules.
Interestingly, the hexose moiety of the ligand adopts a boat rather than the
typically observed chair conformation. Activity assays demonstrate that this
mutant protein cannot catalyze the dehydration step. Additionally, we report data
revealing that wild-type ColD is able to catalyze the production of GDP-4-keto
3,6-dideoxymannose using GDP-perosamine instead of GDP-4-keto-6-deoxymannose as a
substrate.
PMID- 18045868
TI - Functional role for a conserved aspartate in the Spo0E signature motif involved
in the dephosphorylation of the Bacillus subtilis sporulation regulator Spo0A.
AB - Sporulation is a complex developmental system characterizing Gram-positive
bacteria of the genus Bacillus and Clostridium. In Bacillus subtilis the
phosphorelay signal transduction system regulates the initiation of sporulation
by integrating a myriad of positive and negative signals through the action of
histidine sensor kinases and aspartyl phosphate phosphatases. The Spo0E family of
phosphatases dephosphorylates the Spo0A response regulator and transcription
factor of the phosphorelay. In this study we analyzed the role of the Spo0E
signature motif in protein activity. This family is characterized by a conserved
signature motif centered around the sequence "SQELD." Alanine scanning
mutagenesis was carried out on the T(35)IXXSQ ELDCLI(46) residues of B. subtilis
Spo0E and in vivo and in vitro activities were analyzed. The ability of the
mutant proteins to interact with Spo0A approximately P was assayed by
fluorescence resonance energy transfer spectroscopy. The results suggested that
aspartate 43 has a critical role in Spo0E catalytic activity, whereas the other
residues have a role in protein conformation and/or interaction with Spo0A.
Residues Thr(35) and Cys(44) did not seem to have any critical functional or
structural role. We propose that Asp(43) of Spo0E may function in a manner
similar to the one proposed for the catalytic mechanisms of nucleotidase members
of the haloacid dehalogenase family. These proteins use an aspartyl nucleophile
as their common catalytic strategy and the active site of haloacid dehalogenase
proteins shares a common geometry and identity of conserved amino acids with the
active site of response regulators ( Ridder, I. S., and Dijkstra, B. W. (1999)
Biochem. J. 339, 223-226 ).
PMID- 18045870
TI - Impact of the polysialyltransferases ST8SiaII and ST8SiaIV on polysialic acid
synthesis during postnatal mouse brain development.
AB - Polysialic acid (polySia), a post-translational modification of the neural cell
adhesion molecule (NCAM), is the key regulator of NCAM-mediated functions and
crucial for normal brain development, postnatal growth, and survival. Two
polysialyltransferases, ST8SiaII and ST8SiaIV, mediate polySia biosynthesis. To
dissect the impact of each enzyme during postnatal brain development, we
monitored the developmental changes in NCAM polysialylation in wild-type,
ST8SiaII-, and ST8SiaIV-deficient mice using whole brain lysates obtained at 10
time points from postnatal days 1 to 21 and from adult mice. In wild-type and
ST8SiaIV-null brain, polySia biosynthesis kept pace with the rapid increase in
brain weight until day 9, and nearly all NCAM was polysialylated. Thereafter,
polySia dropped by approximately 70% within 1 week, accompanied by the first
occurrence of polySia-free NCAM-140 and NCAM-180. In ST8SiaII-null brain, polySia
declined immediately after birth, leading to 60% less polySia at day 9 combined
with the untimely appearance of polySia-free NCAM. Polysialyltransferase
deficiency did not alter NCAM expression level or isoform pattern. In all three
genotypes, NCAM-140 and NCAM-180 were expressed at constant levels from days 1 to
21 and provided the major polySia acceptors. By contrast, NCAM-120 first appeared
at day 5, followed by a strong up-regulation inverse to the decrease in polySia.
Together, we provide a comprehensive quantitative analysis of the developmental
changes in polySia level, NCAM polysialylation status, and polysialyltransferase
transcript levels and show that the predominant role of ST8SiaII during postnatal
brain development is restricted to the first 15 days.
PMID- 18045871
TI - Structural and functional analyses of the severe acute respiratory syndrome
coronavirus endoribonuclease Nsp15.
AB - The severe acute respiratory syndrome (SARS) coronavirus encodes several RNA
processing enzymes that are unusual for RNA viruses, including Nsp15
(nonstructural protein 15), a hexameric endoribonuclease that preferentially
cleaves 3' of uridines. We solved the structure of a catalytically inactive
mutant version of Nsp15, which was crystallized as a hexamer. The structure
contains unreported flexibility in the active site of each subunit. Substitutions
in the active site residues serine 293 and proline 343 allowed Nsp15 to cleave at
cytidylate, whereas mutation of leucine 345 rendered Nsp15 able to cleave at
purines as well as pyrimidines. Mutations that targeted the residues involved in
subunit interactions generally resulted in the formation of catalytically
inactive monomers. The RNA-binding residues were mapped by a method linking
reversible cross-linking, RNA affinity purification, and peptide fingerprinting.
Alanine substitution of several residues in the RNA-contacting portion of Nsp15
did not affect hexamer formation but decreased the affinity of RNA binding and
reduced endonuclease activity. This suggests a model for Nsp15 hexamer
interaction with RNA.
PMID- 18045872
TI - Conformational rearrangement within the soluble domains of the CD4 receptor is
ligand-specific.
AB - Ligand binding induces shape changes within the four modular ectodomains (D1-D4)
of the CD4 receptor, an important receptor in immune signaling. Small angle x-ray
scattering (SAXS) on both a two-domain and a four-domain construct of the soluble
CD4 (sCD4) is consistent with known crystal structures demonstrating a bilobal
and a semi-extended tetralobal Z conformation in solution, respectively.
Detection of conformational changes within sCD4 as a result of ligand binding was
followed by SAXS on sCD4 bound to two different glycoprotein ligands: the tick
saliva immunosuppressor Salp15 and the HIV-1 envelope protein gp120. Ab initio
modeling of these data showed that both Salp15 and gp120 bind to the D1 domain of
sCD4 and yet induce drastically different structural rearrangements. Upon
binding, Salp15 primarily distorts the characteristic lobal architecture of the
sCD4 without significantly altering the semi-extended shape of the sCD4 receptor.
In sharp contrast, the interaction of gp120 with sCD4 induces a shape change
within sCD4 that can be described as a Z-to-U bi-fold closure of the four domains
across its flexible D2-D3 linker. Placement of known crystal structures within
the boundaries of the SAXS-derived models suggests that the ligand-induced shape
changes could be a result of conformational changes within this D2-D3 linker.
Functionally, the observed shape changes in CD4 receptor causes dissociation of
lymphocyte kinase from the cytoplasmic domain of Salp15-bound CD4 and facilitates
an interaction between the exposed V3 loops of CD4-bound gp120 molecule to the
extracellular loops of its co-receptor, a step essential for HIV-1 viral entry.
PMID- 18045873
TI - Dynamics of trigger factor interaction with translating ribosomes.
AB - In all organisms ribosome-associated chaperones assist early steps of protein
folding. To elucidate the mechanism of their action, we determined the kinetics
of individual steps of the ribosome binding/release cycle of bacterial trigger
factor (TF), using fluorescently labeled chaperone and ribosome-nascent chain
complexes. Both the association and dissociation rates of TF-ribosome complexes
are modulated by nascent chains, whereby their length, sequence, and folding
status are influencing parameters. However, the effect of the folding status is
modest, indicating that TF can bind small globular domains and accommodate them
within its substrate binding cavity. In general, the presence of a nascent chain
causes an up to 9-fold increase in the rate of TF association, which provides a
kinetic explanation for the observed ability of TF to efficiently compete with
other cytosolic chaperones for binding to nascent chains. Furthermore, a subset
of longer nascent polypeptides promotes the stabilization of TF-ribosome
complexes, which increases the half-life of these complexes from 15 to 50 s.
Nascent chains thus regulate their folding environment generated by ribosome
associated chaperones.
PMID- 18045874
TI - Intramolecular and intermolecular fluorescence resonance energy transfer in
fluorescent protein-tagged Na-K-Cl cotransporter (NKCC1): sensitivity to
regulatory conformational change and cell volume.
AB - To examine the structure and function of the Na-K-Cl cotransporter, NKCC1, we
tagged the transporter with cyan (CFP) and yellow (YFP) fluorescent proteins and
measured fluorescence resonance energy transfer (FRET) in stably expressing human
embryonic kidney cell lines. Fluorescent protein tags were added at the N
terminal residue between the regulatory domain and the membrane domain and within
a poorly conserved region of the C terminus. Both singly and doubly tagged NKCC1s
were appropriately trafficked to the cell membrane and were fully functional;
regulation was normal except when YFP was inserted near the regulatory domain, in
which case activation occurred only upon incubation with calyculin A. Quenching
of YFP fluorescence by Cl(-) provided a ratiometric indicator of intracellular
[Cl(-)]. All of the CFP/YFP NKCC pairs exhibited some level of FRET,
demonstrating the presence of dimers or higher multimers in functioning NKCC1.
With YFP near the regulatory domain and CFP in the C terminus, we recorded a 6%
FRET change signaling the regulatory phosphorylation event. On the other hand,
when the probe was placed at the extreme N terminus, such changes were not seen,
presumably due to the length and predicted flexibility of the N terminus.
Substantial FRET changes were observed contemporaneous with cell volume changes,
possibly reflective of an increase in molecular crowding upon cell shrinkage.
PMID- 18045875
TI - Innate immunity to intraphagosomal pathogens is mediated by interferon regulatory
factor 8 (IRF-8) that stimulates the expression of macrophage-specific Nramp1
through antagonizing repression by c-Myc.
AB - Macrophages are a central arm of innate immune defense against intracellular
pathogens. They internalize microbes into phagosomes where the invaders are being
killed by oxygen and nitrogen reactive species. Despite this battery of
antimicrobial molecules, some are able to thrive within the phagosome thus termed
intraphagosomal pathogens among which are Salmonella, Leishmania, and
Mycobacteria. In mice, a single dominant gene termed Nramp1/Slc11a1 controls
innate resistance to such pathogens. This gene is expressed exclusively in
myeloid cells. Previously, we have shown that the restricted expression of Nramp1
is regulated by a myeloid cell-specific transcription factor termed IRF-8/ICSBP.
It is demonstrated here that the induction of Nramp1 expression in activated
macrophages is accompanied by a promoter shift from a repression state elicited
by c-Myc to an activation state elicited by the induction of IRF-8 in activated
macrophages. This transition from repression to activation is facilitated by a
competitive protein-protein interaction with the transcription factor Miz-1. To
show that IRF-8 is directly involved in the elimination of intraphagosomal
pathogens through the regulation of Nramp1 gene expression, we bred wild type as
well as IRF-8 and Nramp1 null mouse strains and examined macrophages derived from
bone marrow and peritoneum. Our results clearly show that the absence of IRF-8
and Nramp1 leads to the same phenotype; defective killing of intraphagosomal
Salmonella enterica serovar typhimurium and Mycobacterium bovis. Thus, interplay
between repression and activation state of the Nramp1 promoter mediated by IRF-8
provides the molecular basis by which macrophages resist intraphagosomal
pathogens at early stage after infection.
PMID- 18045876
TI - A topological model of the baseplate of lactococcal phage Tuc2009.
AB - Phages infecting Lactococcus lactis, a Gram-positive bacterium, are a recurrent
problem in the dairy industry. Despite their economical importance, the knowledge
on these phages, belonging mostly to Siphoviridae, lags behind that accumulated
for members of Myoviridae. The three-dimensional structures of the receptor
binding proteins (RBP) of three lactococcal phages have been determined recently,
illustrating their modular assembly and assigning the nature of their bacterial
receptor. These RBPs are attached to the baseplate, a large phage organelle,
located at the tip of the tail. Tuc2009 baseplate is formed by the products of 6
open read frames, including the RBP. Because phage binding to its receptor
induces DNA release, it has been postulated that the baseplate might be the
trigger for DNA injection. We embarked on a structural study of the lactococcal
phages baseplate, ultimately to gain insight into the triggering mechanism
following receptor binding. Structural features of the Tuc2009 baseplate were
established using size exclusion chromatography coupled to on-line UV-visible
absorbance, light scattering, and refractive index detection (MALS/UV/RI).
Combining the results of this approach with literature data led us to propose a
"low resolution" model of Tuc2009 baseplate. This model will serve as a knowledge
base to submit relevant complexes to crystallization trials.
PMID- 18045877
TI - Heterotrimeric G protein betagamma subunits stimulate FLJ00018, a guanine
nucleotide exchange factor for Rac1 and Cdc42.
AB - We previously reported that Gbetagamma signaling regulates cell spreading or cell
shape change through activation of a Rho family small GTPase, suggesting the
existence of a Gbetagamma-regulated Rho guanine-nucleotide exchange factor
(RhoGEF). In this study we examined various RhoGEF clones, found FLJ00018 to
beaGbetagamma-activated RhoGEF, and investigated the molecular mechanism of
Gbetagamma-induced activation of Rho family GTPases. Co-expression of the genes
for FLJ00018 and Gbetagamma enhanced serum response element-mediated gene
transcription in HEK-293 cells. Combined expression of Gbetagamma and FLJ00018
significantly induced activation of Rac and Cdc42 but not RhoA. FLJ00018 also
enhanced gene transcription induced by carbachol-stimulated m2 muscarinic
acetylcholine receptor, and this enhancement was blocked by pertussis toxin.
Furthermore, we demonstrated Gbetagamma to interact directly with the N-terminal
region of FLJ00018 and the N-terminal fragment of this molecule to inhibit serum
response element-dependent transcription induced by Gbetagamma/FLJ00018 and
carbachol. In NIH3T3 cells, FLJ00018 enhanced lysophosphatidic acid-induced cell
spreading, which was also blocked by the N-terminal fragment of FLJ00018. These
results provide evidence for a signaling pathway by which G(i)-coupled receptor
specifically induces Rac and Cdc42 activation through direct interaction of
Gbetagamma with FLJ00018.
PMID- 18045878
TI - beta2-adrenergic receptor signaling and desensitization elucidated by
quantitative modeling of real time cAMP dynamics.
AB - G protein-coupled receptor signaling is dynamically regulated by multiple
feedback mechanisms, which rapidly attenuate signals elicited by ligand
stimulation, causing desensitization. The individual contributions of these
mechanisms, however, are poorly understood. Here, we use an improved fluorescent
biosensor for cAMP to measure second messenger dynamics stimulated by endogenous
beta(2)-adrenergic receptor (beta(2)AR) in living cells. beta(2)AR stimulation
with isoproterenol results in a transient pulse of cAMP, reaching a maximal
concentration of approximately 10 microm and persisting for less than 5 min. We
investigated the contributions of cAMP-dependent kinase, G protein-coupled
receptor kinases, and beta-arrestin to the regulation of beta(2)AR signal
kinetics by using small molecule inhibitors, small interfering RNAs, and mouse
embryonic fibroblasts. We found that the cAMP response is restricted in duration
by two distinct mechanisms in HEK-293 cells: G protein-coupled receptor kinase
(GRK6)-mediated receptor phosphorylation leading to beta-arrestin mediated
receptor inactivation and cAMP-dependent kinase-mediated induction of cAMP
metabolism by phosphodiesterases. A mathematical model of beta(2)AR signal
kinetics, fit to these data, revealed that direct receptor inactivation by cAMP
dependent kinase is insignificant but that GRK6/beta-arrestin-mediated
inactivation is rapid and profound, occurring with a half-time of 70 s. This
quantitative system analysis represents an important advance toward quantifying
mechanisms contributing to the physiological regulation of receptor signaling.
PMID- 18045879
TI - Polyglutamylation is a post-translational modification with a broad range of
substrates.
AB - Polyglutamylation is a post-translational modification that generates lateral
acidic side chains on proteins by sequential addition of glutamate amino acids.
This modification was first discovered on tubulins, and it is important for
several microtubule functions. Besides tubulins, only the nucleosome assembly
proteins NAP1 and NAP2 have been shown to be polyglutamylated. Here, using a
proteomic approach, we identify a large number of putative substrates for
polyglutamylation in HeLa cells. By analyzing a selection of these putative
substrates, we show that several of them can serve as in vitro substrates for two
of the recently discovered polyglutamylases, TTLL4 and TTLL5. We further show
that TTLL4 is the main polyglutamylase enzyme present in HeLa cells and that new
substrates of polyglutamylation are indeed modified by TTLL4 in a cellular
context. No clear consensus polyglutamylation site could be defined from the
primary sequence of the here-identified new substrates of polyglutamylation.
However, we demonstrate that glutamate-rich stretches are important for a protein
to become polyglutamylated. Most of the newly identified substrates of
polyglutamylation are nucleocytoplasmic shuttling proteins, including many
chromatin-binding proteins. Our work reveals that polyglutamylation is a much
more widespread post-translational modification than initially thought and thus
that it might be a regulator of many cellular processes.
PMID- 18045880
TI - Neurotrophic factor neurotrophin-4 regulates ameloblastin expression via full
length TrkB.
AB - Neurotrophic factors play an important role in the development and maintenance of
not only neural but also nonneural tissues. Several neurotrophic factors are
expressed in dental tissues, but their role in tooth development is not clear.
Here, we report that neurotrophic factor neurotrophin (NT)-4 promotes
differentiation of dental epithelial cells and enhances the expression of enamel
matrix genes. Dental epithelial cells from 3-day-old mice expressed NT-4 and
three variants of TrkB receptors for neurotrophins (full-length TrkB-FL and
truncated TrkB-T1 and -T2). Dental epithelial cell line HAT-7 expressed these
genes, similar to those in dental epithelial cells. We found that NT-4 reduced
HAT-7 cell proliferation and induced the expression of enamel matrix genes, such
as ameloblastin (Ambn). Transfection of HAT-7 cells with the TrkB-FL expression
construct enhanced the NT-4-mediated induction of Ambn expression. This
enhancement was blocked by K252a, an inhibitor for Trk tyrosine kinases.
Phosphorylation of ERK1/2, a downstream molecule of TrkB, was induced in HAT-7
cells upon NT-4 treatment. TrkB-FL but not TrkB-T1 transfection increased the
phosphorylation level of ERK1/2 in NT-4-treated HAT-7 cells. These results
suggest that NT-4 induced Ambn expression via the TrkB-MAPK pathway. The p75
inhibitor TAT-pep5 decreased NT-4-mediated induction of the expression of Ambn,
TrkB-FL, and TrkB-T1, suggesting that both high affinity and low affinity
neurotrophin receptors were required for NT-4 activity. We found that NT-4-null
mice developed a thin enamel layer and had a decrease in Ambn expression. Our
results suggest that NT-4 regulates proliferation and differentiation of the
dental epithelium and promotes production of the enamel matrix.
PMID- 18045881
TI - Potyvirus genome-linked protein, VPg, directly affects wheat germ in vitro
translation: interactions with translation initiation factors eIF4F and eIFiso4F.
AB - Potyvirus genome linked protein, VPg, interacts with translation initiation
factors eIF4E and eIFiso4E, but its role in protein synthesis has not been
elucidated. We show that addition of VPg to wheat germ extract leads to
enhancement of uncapped viral mRNA translation and inhibition of capped viral
mRNA translation. This provides a significant competitive advantage to the
uncapped viral mRNA. To understand the molecular basis of these effects, we have
characterized the interaction of VPg with eIF4F, eIFiso4F, and a structured RNA
derived from tobacco etch virus (TEV RNA). When VPg formed a complex with eIF4F,
the affinity for TEV RNA increased more than 4-fold compared with eIF4F alone
(19.4 and 79.0 nm, respectively). The binding affinity of eIF4F to TEV RNA
correlates with translation efficiency. VPg enhanced eIFiso4F binding to TEV RNA
1.6-fold (178 nm compared with 108 nm). Kinetic studies of eIF4F and eIFiso4F
with VPg show approximately 2.6-fold faster association for eIFiso4F.VPg as
compared with eIF4F.VPg. The dissociation rate was approximately 2.9-fold slower
for eIFiso4F than eIF4F with VPg. These data demonstrate that eIFiso4F can
kinetically compete with eIF4F for VPg binding. The quantitative data presented
here suggest a model where eIF4F.VPg interaction enhances cap-independent
translation by increasing the affinity of eIF4F for TEV RNA. This is the first
evidence of direct participation of VPg in translation initiation.
PMID- 18045882
TI - Osteoblasts directly control lineage commitment of mesenchymal progenitor cells
through Wnt signaling.
AB - Lineage commitment of mesenchymal progenitor cells is still poorly understood.
Here we demonstrate that Wnt signaling by osteoblasts is essential for
mesenchymal progenitor cells to differentiate away from a default adipogenic into
an osteoblastic lineage. Dominant adipogenesis and reduced osteoblastogenesis
were observed in calvarial cell cultures from transgenic mice characterized by
osteoblast-targeted disruption of glucocorticoid signaling. This phenotypic shift
in mesenchymal progenitor cell commitment was associated with reciprocal
regulation of early adipogenic and osteoblastogenic transcription factors and
with a reduction in Wnt7b and Wnt10b mRNA and beta-catenin protein levels in
transgenic versus non-transgenic cultures. Transwell co-culture of transgenic
mesenchymal progenitor cells with wild type osteoblasts restored commitment to
the osteoblast lineage. This effect was blocked by adding sFRP1, a Wnt inhibitor,
to the co-culture. Treatment of transgenic cultures with Wnt3a resulted in
stimulation of osteoblastogenesis and suppression of adipogenesis. Our findings
suggest a novel cellular mechanism in bone cell biology in which osteoblasts
exert direct control over the lineage commitment of their mesenchymal progenitor
through Wnt signaling. This glucocorticoid-dependent forward control function
indicates a central role for osteoblasts in the regulation of early
osteoblastogenesis.
PMID- 18045883
TI - Interleukin-15 increases vaccine efficacy through a mechanism linked to dendritic
cell maturation and enhanced antibody titers.
AB - Interleukin-15 (IL-15) is generally considered to sustain T-cell memory and to be
a growth factor for natural killer cells. Previous data from our laboratory
demonstrated that IL-15 is also an important factor for developing human
dendritic cells. For this study, we investigated the effects of IL-15 on antibody
responses in mice to a recombinant staphylococcal enterotoxin B (SEB) vaccine
(STEBVax) in a preclinical model of toxic shock syndrome induced by SEB. We
observed that mouse spleen cells treated with IL-15 in ex vivo culture gained a
dendritic cell-like phenotype. Administration of IL-15 to mice also resulted in
an increased number of mature CD11c+ dendritic cells in mouse spleens. A
significant, IL-15 dose-dependent increase in antigen-specific antibody was
observed after coadministration with the vaccine and an aluminum-based adjuvant
(alhydrogel). Furthermore, the coadministration of IL-15 with STEBVax and
alhydrogel also protected mice from lethal toxic shock above the levels that
obtained without IL-15. Thus, the vaccine response enhanced by IL-15 appears to
be mediated by mature dendritic cells and results in prevalent seroconversion to
Th2-dependent antibodies. This suggests a potential use of IL-15 as an adjuvant
for antibody-dependent responses to vaccines.
PMID- 18045884
TI - Evaluation of an indirect immunofluorescence assay for detection of
immunoglobulin M (IgM) and IgG antibodies against yellow fever virus.
AB - The first commercial indirect immunofluorescence assay (IFA) using Euroimmun
Biochip technology was evaluated for the serodiagnosis of immunoglobulin G (IgG)
and IgM antibodies against yellow fever virus (YFV) and was compared with the
plaque reduction neutralization test (PRNT), which is currently the gold standard
test for YFV. An overall correlation between the tests of 98.7% was established
based on the analysis of 150 sera from individuals after vaccination with the 17D
yellow fever vaccine. The sensitivity and specificity, calculated using the 150
sera from vaccinees and 150 sera from healthy blood donors, were 95% and 95%,
respectively, for the IgG IFA and 94% and 97% for the IgM IFA. Antibody titers
found in the PRNT correlated poorly with the IgM and IgG titers detected by IFA.
The analysis of preexisting heterologous flaviviral immunity revealed the
presence of antibodies reactive with YFV, tick-borne encephalitis virus, West
Nile virus, Japanese encephalitis virus, and dengue virus serotypes 1 to 4 in 20
out of the 150 vaccinees. The indirect IFA showed that nine of these individuals
with previous flaviviral exposure who received 17D vaccine failed to produce
detectable IgM antibodies. Despite this preexisting immunity, all vaccinees
developed protective immunity as detected by PRNT and anti-YFV IgG antibodies as
detected by IFA. The high specificity and sensitivity of the IFA make it a useful
tool for rapid diagnosis of yellow fever during outbreaks, for epidemiological
studies, and for serosurveillance after vaccination.
PMID- 18045885
TI - Curcumin reduces burn progression in rats.
AB - OBJECTIVES: Cutaneous burns are dynamic injuries with a central zone of necrosis
surrounded by a zone of ischemia. Conversion of this ischemic zone to full
necrosis over the days following injury is due in part to highly reactive oxygen
radicals. Curcumin is a component of the Oriental spice turmeric that has been
shown to have antioxidant and antiapoptotic properties. The authors hypothesized
that treatment of burns with curcumin would reduce the conversion of the ischemic
zone to full necrosis. METHODS: This was a randomized controlled experiment.
Twenty Sprague-Dawley rats were used. Two burns were created on each animal's
dorsum using a brass comb with four rectangular prongs preheated in boiling water
and applied for 30 seconds, resulting in four rectangular 10 x 20-mm full
thickness burns separated by three 5 x 20-mm unburned interspaces (zone of
ischemia). Animals were randomized to curcumin or vehicle by oral gavage 30
minutes before injury and at 24, 48, and 72 hours after injury. Wounds were
observed at one, two, and three days after injury for visual evidence of necrosis
in the unburned interspaces. Full-thickness biopsy specimens from the interspaces
were evaluated with hematoxylin and eosin staining seven days after injury for
evidence of necrosis. The percentage of interspaces that progressed to necrosis
was compared with chi-square tests. RESULTS: Forty comb burns with 120 unburned
interspaces were created, evenly distributed between curcumin and vehicle alone.
The percentage of interspaces that progressed to full-thickness necrosis at one,
two, three, and seven days after injury in the curcumin and vehicle groups were
30% versus 63% (p = 0.003), 30% versus 70% (p < 0.001), 63% versus 95% (p =
0.02), and 63% versus 95% (p = 0.02), respectively. CONCLUSIONS: Pretreatment of
rats with oral curcumin followed by once-daily oral treatment for three days
reduced the percentage of unburned skin interspaces that progressed to full
necrosis.
PMID- 18045886
TI - An alcohol oxidase dipstick rapidly detects methanol in the serum of mice.
AB - BACKGROUND: Patients presenting with ingestions of methanol and ethylene glycol
pose a significant challenge to emergency physicians. The decision to initiate
antidotal therapy must be made quickly and is currently based on the presence of
indirect signs, symptoms, and laboratory tests, because no real-time diagnostic
test exists to measure these substances. OBJECTIVES: To determine whether a
commercially available ethanol-in-saliva detecting dipstick (ALCO-Screen) would
be a reliable and rapid indicator of toxic alcohol presence in the serum of an
animal model. METHODS: Fifty mice randomly received intraperitoneal doses of
methanol, ethylene glycol, or ethanol to induce serum concentrations of
approximately 5-400 mg/dL. Thirty minutes after injection, serum was obtained.
Serum was both applied to the dipstick and frozen for definitive concentration
determination by gas chromatography. After 2 minutes, dipsticks were evaluated
for color change by a blinded observer and photographed to be evaluated by other
blinded observers at a later time. RESULTS: All concentrations of methanol > or
=5 mg/dL consistently caused a color change on the ALCO-Screen. Ethylene glycol
reliably caused a color change at > or =300 mg/dL. There was significant
agreement among multiple observers whether or not color change had occurred using
the ALCO-Screen. CONCLUSIONS: A commercially available dipstick that uses an
alcohol oxidase colorimetric reaction reliably and rapidly detects very low serum
concentrations of methanol but not ethylene glycol in this animal model. This
color change is easily detected by most observers.
PMID- 18045887
TI - End-tidal carbon dioxide measurements in children with acute asthma.
AB - OBJECTIVES: A noninvasive method to assess ventilation may aid in management of
children with acute asthma. The purpose of this study was to evaluate the
association between end-tidal carbon dioxide (EtCO2) values and disease severity
among children with acute asthma. METHODS: This was a prospective, blinded,
observational study of children 3-17 years old treated for acute asthma in a
pediatric emergency department (ED). EtCO2 measurements were taken before the
initiation of therapy and after each nebulization treatment (maximum of three).
Peak expiratory flow rate (PEFR), Pediatric Asthma Severity Score (PASS), oxygen
saturation, and disposition were recorded. Treating physicians, unaware of the
EtCO2 results, made all treatment decisions, including disposition. RESULTS: One
hundred children were enrolled. The mean initial EtCO2 value was 35 mm Hg (95%
confidence interval = 34.3 to 36.1 mm Hg). The mean disposition EtCO2 value was
33.3 mm Hg (95% confidence interval = 32.6 to 34.4 mm Hg). PEFR measures were
completed on 43 patients and PASS recorded on 100 patients. There was an overall
trend toward lower EtCO2 values during treatment (p < 0.01). Sixteen patients
were admitted. Initial EtCO2 values were lower among children admitted to the
hospital (35.6 mm Hg vs. 32.9 mm Hg; Mann-Whitney U test; p < 0.02). EtCO2 values
at disposition did not differ between groups based on PEFR, PASS, or hospital
admission. CONCLUSIONS: Noninvasive bedside measurement of EtCO2 values among
children with acute asthma is feasible. EtCO2 values did not distinguish children
with mild disease from those with more severe disease. Further data are needed to
clarify the association between EtCO2 values and other indicators of disease
severity, particularly in children with more severe disease.
PMID- 18045888
TI - Multiple encounter simulation for high-acuity multipatient environment training.
AB - Patient safety interventions for multitasking, multipatient, error-prone work
settings such as the emergency department (ED) must improve assorted clinical
abilities, specific cognitive strategies, and teamwork functions of the staff to
be effective. Multiple encounter simulation scenarios explore and convey this
specialized mental work-set through use of multiple high-fidelity medical
simulation (SIM) manikins in realistic surroundings. Multipatient scenarios
reflect the work situations being targeted yet have the benefit of scripted
control and instructor guidance to advance specific educational objectives. The
use of two or more SIM patients promotes the exploration not only of multiple
distinct clinical issues but also of interdependent processes pervasive in EDs.
Cascading shortages of time, personnel, equipment, and supplies are re-created,
thereby replicating process limitations at various levels, in a safe environment
in which compensatory actions and adaptive behaviors can be learned.
Distinguishing features of multipatient exercises include 1) broadened
educational scope and expanded indications for SIM application, 2) enhanced
scenario complexity, 3) controlled exposure to high workload environments, 4)
expanded communication requirements, and 5) increased potential for reflective
learning. Widespread and effective training in well-replicated, carefully
coordinated representations of complex multipatient work environments may
strengthen educational interventions for personnel working in high acuity and
work-overloaded settings such as the ED. The use of concurrent patient encounter
SIM exercises to elicit calculated stressors and to foster compensatory staff
behaviors is an educational advance toward this objective. The authors present
SIM methodology using concurrent patient encounters to replicate these
environments.
PMID- 18045889
TI - Development and implementation of a model to improve identification of patients
infected with HIV using diagnostic rapid testing in the emergency department.
AB - OBJECTIVES: Infection with the human immunodeficiency virus (HIV) continues to
expand in nontraditional risk groups, and the prevalence of undiagnosed infection
remains relatively high in the patient populations of urban emergency departments
(EDs). Unfortunately, HIV testing in this setting remains uncommon. The
objectives of this study were 1) to develop a physician-based diagnostic rapid
HIV testing model, 2) to implement this model in a high-volume urban ED, and 3)
to prospectively characterize the patients who were targeted by physicians for
testing and determine the proportions who completed rapid HIV counseling,
testing, and referral; tested positive for HIV infection; and were successfully
linked into medical and preventative care. METHODS: An interdisciplinary group of
investigators developed a model for performing physician-based diagnostic rapid
HIV testing in the ED. This model was then evaluated using a prospective cohort
study design. Emergency physicians identified patients at risk for undiagnosed
HIV infection using clinical judgment and consensus guidelines. Testing was
performed by the hospital's central laboratory, and clinical social workers
performed pretest and posttest counseling and provided appropriate medical and
preventative care referrals, as defined by the model. RESULTS: Over the 30-month
study period, 105,856 patients were evaluated in the ED. Of these, 681 (0.64%;
95% confidence interval [CI] = 0.60% to 0.69%) were identified by physicians and
completed rapid HIV counseling, testing, and referral. Of the 681 patients, 15
(2.2%; 95% CI = 1.2% to 3.6%) patients tested positive for HIV infection and 12
(80%; 95% CI = 52% to 96%) were successfully linked into care. CONCLUSIONS: A
physician-based diagnostic HIV testing model was developed, successfully
implemented, and sustained in a high-volume, urban ED setting. While the use of
this model successfully identified patients with undiagnosed HIV infection in the
ED, the overall level of testing remained low. Innovative testing programs, such
as nontargeted screening, more specific targeted screening, or alternative hybrid
methods, are needed to more effectively identify undiagnosed HIV infection in the
ED patient population.
PMID- 18045890
TI - Emergency echocardiography.
PMID- 18045891
TI - Emergency department use of intravenous procainamide for patients with acute
atrial fibrillation or flutter.
AB - OBJECTIVES: Acute atrial fibrillation and flutter are very common arrhythmias
seen in emergency department (ED) patients, but there is no consensus for their
optimal management. The objective of this study was to examine the efficacy and
safety of intravenous (IV) procainamide for acute atrial fibrillation or flutter.
METHODS: This health records review included a consecutive cohort of ED patients
with acute-onset atrial fibrillation or atrial flutter who received IV
procainamide at one university hospital ED during a five-year period. The
standard clinical protocol involved IV infusion of 1 g of procainamide over 60
minutes, followed by electrical cardioversion if necessary. A trained observer
extracted data from the original clinical records. Outcome measurements included
conversion to sinus rhythm, adverse events, and relapse up to seven days.
RESULTS: The 341 study patients had a mean age of 63.9 years (SD +/- 15.5 years),
and 56.6% were male. The conversion rates were 52.2% (95% confidence interval =
47% to 58%) for 316 atrial fibrillation cases and 28.0% (95% confidence interval
= 13% to 46%) for 25 atrial flutter cases. Mean dose given was 860.7 mg (SD +/-
231.2 mg), and median time to conversion was 55 minutes. Adverse events occurred
in 34 cases (10.0%): hypotension, 8.5%; bradycardia, 0.6%; atrioventricular
block, 0.6%; and ventricular tachycardia, 0.3%. There were no cases of torsades
de pointes, cerebrovascular accident, or death. Most patients (94.4%) were
discharged home, but 2.9% of patients returned with a recurrence of atrial
fibrillation within seven days. CONCLUSIONS: This study of acute atrial
fibrillation or flutter patients treated in the ED with IV procainamide suggests
that this treatment is safe and effective in this setting. Procainamide should be
prospectively compared with other ED strategies.
PMID- 18045892
TI - A Bayesian sensitivity analysis of out-of-hospital 12-lead electrocardiograms:
implications for regionalization of cardiac care.
AB - BACKGROUND: The effectiveness of out-of-hospital regionalization of ST-elevation
myocardial infarction (STEMI) patients to hospitals providing primary
percutaneous coronary intervention depends on the accuracy of the out-of-hospital
12-lead electrocardiogram (PHTL). Although estimates of sensitivity and
specificity of PHTL for STEMI have been reported, the impact of out-of-hospital
STEMI prevalence on positive predictive value (PPV) has not been evaluated.
OBJECTIVES: To describe the relationship between varying population STEMI
prevalences and PHTL predictive values, using ranges of PHTL sensitivity and
specificity. METHODS: The authors performed a Bayesian analysis using PHTL, where
values for sensitivities (60%-70%), specificities (98%), and two prevalence
ranges (0.5%-5% and 5%-20%) were derived from a literature review. PPV prediction
intervals were compared with three months of prospective data from the Los
Angeles County Emergency Medical Services Agency STEMI regionalization program.
RESULTS: When the estimated prevalence of STEMI in the out-of-hospital population
is 5%-20%, the median PPV of the PHTL is 83% (95% credible interval [CrI] = 53%
to 97%). However, if the population prevalence of STEMI is between 0.5% and 5%,
the median PPV is 43% (95% CrI = 12% to 86%). When the PPV prediction intervals
were incorporated with the Los Angeles County Emergency Medical Services Agency
data, the PPV was 66%. CONCLUSIONS: Even when assuming high specificity for PHTL,
the false-positive rate will be considerable if applied to a population at low
risk for STEMI. Before broadening application of PHTL to low-risk patients, the
implications of a high false-positive rate should be considered.
PMID- 18045893
TI - National trends in emergency department antibiotic prescribing for children with
acute otitis media, 1996 2005.
AB - OBJECTIVES: Withholding antibiotics in nontoxic children with acute otitis media
(AOM) is now recommended to reduce bacterial resistance rates. Using the National
Hospital Ambulatory Medical Care Survey (NHAMCS), the authors describe the
national trends for prescribing antibiotics in children with AOM presenting to
emergency departments (EDs) in the United States over the past decade. The
authors hypothesized that the rates of prescribing antibiotics would decline over
time. METHODS: This was a retrospective study of NHAMCS databases. A national
sampling of ED visits for 1996-2005 was used to identify trends in ED
prescription of antibiotics to patients with AOM. The National Drug Code
Directory Drug Classes were used to identify type of antibiotic prescribed.
Frequency and type of antibiotic prescription patterns over time were evaluated.
RESULTS: There were 2.6 million and 2.1 million ED visits for AOM during the
first and last years of the study. Children ages 2-12 years accounted for about
40% of all ED visits for AOM, with another 40% in the younger than 2 years age
group and 20% in the older than 12 years of age group. During the first and last
year of the study, 79.2% and 91.3% of the patients with AOM were prescribed
antibiotics, respectively. There was a slight increasing trend in the proportion
prescribed antibiotics over time (p = 0.02). The rates of use of antibiotics for
AOM were similar in all three age groups. CONCLUSIONS: There was a slight
increase in the percentage of children with AOM who were prescribed antibiotics
in the ED between 1996 and 2005. There was also no change in the patterns of
prescribing antibiotics.
PMID- 18045894
TI - ED crowding is associated with variable perceptions of care compromise.
AB - OBJECTIVES: The authors measured the association between emergency department
(ED) crowding and patient and provider perceptions about whether patient care was
compromised. METHODS: This was a cross-sectional study of patients admitted from
the ED and their providers. Surveys of patients, nurses, and resident physicians
were linked. The primary outcome was agreement or strong agreement on a five-item
scale assessing whether ED crowding compromised care. Logistic regression was
used to determine the association between the primary outcome and measures of ED
crowding. RESULTS: Of 741 patients approached, 644 patients consented (87%); 703
resident physician surveys (95%) and 716 nursing surveys (97%) were completed. A
total of 106 patients (16%), 86 residents (12%), and 173 nurses (24%) reported
that care was compromised by ED crowding. In 252 cases (35%), one or more
respondents reported that care was compromised. There was poor agreement over
whose care was compromised. For patients, independent predictors of compromised
care were waiting room time (odds ratio [OR], 1.05 for each additional 10-minute
wait [95% confidence interval {CI} = 1.02 to 1.09]) and being surveyed in a
hallway bed (OR, 2.02 [95% CI = 1.12 to 3.68]). Predictors of compromised care
for nurses included waiting room time (OR, 1.05 for each additional 10-minute
wait [95% CI = 1.01 to 1.08]), number of patients in the waiting room (OR, 1.05
for each additional patient waiting [95% CI = 1.02 to 1.07]), and number of
admitted patients waiting for an inpatient bed (OR, 1.08 for each additional
patient [95% CI = 1.03 to 1.12]). For residents, predictors of compromised care
were patient/nurse ratio (OR, 1.39 for a one-unit increase [95% CI = 1.09 to
1.20]) and number of admitted patients waiting for an inpatient bed (OR, 1.14 for
each additional patient [95% CI = 1.10 to 1.75]). CONCLUSIONS: ED crowding is
associated with perceptions of compromised emergency care. There is considerable
variability among nurses, patients, and resident physicians over which factors
are associated with compromised care, whose care was compromised, and how care
was compromised.
PMID- 18045895
TI - The National Emergency Department Safety Study: study rationale and design.
AB - The significance of medical errors is widely appreciated. Given the frequency and
significance of errors in medicine, it is important to learn how to reduce their
frequency; however, the identification of factors that increase the likelihood of
errors poses a considerable challenge. The National Emergency Department Safety
Study (NEDSS) sought to characterize organizational- and clinician-associated
factors related to the likelihood of errors occurring in emergency departments
(EDs). NEDSS was a large multicenter study coordinated by the Emergency Medicine
Network (EMNet; www.emnet-usa.org). It was designed to determine if reports by ED
personnel about safety processes are significantly correlated with the actual
occurrence of errors in EDs. If so, staff reports can be used to accurately
identify processes for safety improvements. Staff perceptions were assessed with
a survey, while errors were assessed through chart review of three conditions:
acute myocardial infarction, acute asthma, and reductions of dislocations under
procedural sedation. NEDSS also examined the characteristics of EDs associated
with the occurrence of errors. NEDSS is the first comprehensive national study of
the frequency and types of medical errors in EDs. This article describes the
methods used to develop and implement the study.
PMID- 18045896
TI - International emergency medicine: a review of the literature from 2006.
AB - The field of international emergency medicine (IEM) has grown rapidly over the
past several decades, with a rise in the number of IEM fellowship positions,
sustained growth in the international sections of major emergency medicine
organizations, and an increase in the range of topics included under its rubric.
One of the greatest obstacles to the continued growth of IEM remains the lack of
a high-quality, consolidated, and easily accessible evidence base of literature.
In response to this perceived need, members of the Emergency Medicine Residents'
Association IEM Committee, in conjunction with members of the Society for
Academic Emergency Medicine International Health Interest Group, embarked on the
task of creating a recurring review of IEM literature. This article reviews 25
IEM research articles published in 2006. Research articles were selected for the
review according to explicit, predetermined criteria that included both
methodological quality and perceived impact of the research. It is the authors'
hope that this annual review will act as a forum for disseminating best practices
while also stimulating further research in the field of IEM.
PMID- 18045897
TI - Gender trends in emergency medicine publications.
AB - BACKGROUND: In recent years, the number of women entering the field of emergency
medicine (EM) has increased. OBJECTIVES: To determine if authorship in EM
publications has increased in parallel with this trend. METHODS: The gender of
first and last authors of EM articles in Academic Emergency Medicine, American
Journal of Emergency Medicine, Annals of Emergency Medicine, and Journal of
Emergency Medicine were examined. The authors reviewed articles from 1985, 1995,
and 2005 for American Journal of Emergency Medicine, Annals of Emergency
Medicine, and Journal of Emergency Medicine and from 1999 and 2005 for Academic
Emergency Medicine. The primary outcomes were the proportions of female authors.
RESULTS: A total of 2,016 articles were reviewed. Overall, 18% of first and last
authors were female. Respectively, for 1985, 1995, 1999, and 2005, the
proportions of female first authors were 9%, 15%, 19%, and 24%; the proportions
of female last authors were 9%, 18%, 19%, and 22%. The trend of increases in
female authorship was statistically significant. CONCLUSIONS: Although female
authorship remains a minority in EM publications, it has increased significantly
in parallel with increases in female participation in EM.
PMID- 18045898
TI - Turning on the spotlight: do attention and luminance contrast affect neuronal
responses in the same way?
PMID- 18045899
TI - A computational model for redundant human three-dimensional pointing movements:
integration of independent spatial and temporal motor plans simplifies movement
dynamics.
AB - Few computational models have addressed the spatiotemporal features of
unconstrained three-dimensional (3D) arm motion. Empirical observations made on
hand paths, speed profiles, and arm postures during point-to-point movements led
to the assumption that hand path and arm posture are independent of movement
speed, suggesting that the geometric and temporal properties of movements are
decoupled. In this study, we present a computational model of 3D movements for an
arm with four degrees of freedom based on the assumption that optimization
principles are separately applied at the geometric and temporal levels of
control. Geometric properties (path and posture) are defined in terms of geodesic
paths with respect to the kinetic energy metric in the Riemannian configuration
space. Accordingly, a geodesic path can be generated with less muscular effort
than on any other, nongeodesic path, because the sum of all configuration-speed
dependent torques vanishes. The temporal properties of the movement (speed) are
determined in task space by minimizing the squared jerk along the selected end
effector path. The integration of both planning levels into a single
spatiotemporal representation simplifies the control of arm dynamics along
geodesic paths and results in movements with near minimal torque change and
minimal peak value of kinetic energy. Thus, the application of Riemannian
geometry allows for a reconciliation of computational models previously proposed
for the description of arm movements. We suggest that geodesics are an emergent
property of the motor system through the exploration of dynamical space. Our data
validated the predictions for joint trajectories, hand paths, final postures,
speed profiles, and driving torques.
PMID- 18045900
TI - Bradykinin-induced microglial migration mediated by B1-bradykinin receptors
depends on Ca2+ influx via reverse-mode activity of the Na+/Ca2+ exchanger.
AB - Bradykinin (BK) is produced and acts at the site of injury and inflammation. In
the CNS, migration of microglia toward the lesion site plays an important role
pathologically. In the present study, we investigated the effect of BK on
microglial migration. Increased motility of cultured microglia was mimicked by B1
receptor agonists and markedly inhibited by a B1 antagonist but not by a B2
receptor antagonist. BK induced chemotaxis in microglia isolated from wild-type
and B2-knock-out mice but not from B1-knock-out mice. BK-induced motility was not
blocked by pertussis toxin but was blocked by chelating intracellular Ca2+ or by
low extracellular Ca2+, implying that Ca2+ influx is prerequisite. Blocking the
reverse mode of Na+/Ca2+ exchanger (NCX) completely inhibited BK-induced
migration. The involvement of NCX was further confirmed by using NCX+/- mice; B1
agonist-induced motility and chemotaxis was decreased compared with that in
NCX+/+ mice. Activation of NCX seemed to be dependent on protein kinase C and
phosphoinositide 3-kinase, and resultant activation of intermediate-conductance
(IK-type) Ca2+-dependent K+ currents (I(K(Ca))) was activated. Despite these
effects, BK did not activate microglia, as judged from OX6 staining. Using in
vivo lesion models and pharmacological injection to the brain, it was shown that
microglial accumulation around the lesion was also dependent on B1 receptors and
I(K(Ca)). These observations support the view that BK functions as a
chemoattractant by using the distinct signal pathways in the brain and, thus,
attracts microglia to the lesion site in vivo.
PMID- 18045901
TI - Human cortical activity during streaming without spectral cues suggests a general
neural substrate for auditory stream segregation.
AB - The brain continuously disentangles competing sounds, such as two people
speaking, and assigns them to distinct streams. Neural mechanisms have been
proposed for streaming based on gross spectral differences between sounds, but
not for streaming based on other nonspectral features. Here, human listeners were
presented with sequences of harmonic complex tones that had identical spectral
envelopes, and unresolved spectral fine structure, but one of two fundamental
frequencies (f0) and pitches. As the f0 difference between tones increased,
listeners perceived the tones as being segregated into two streams (one stream
for each f0) and cortical activity measured with functional magnetic resonance
imaging and magnetoencephalography increased. This trend was seen in primary
cortex of Heschl's gyrus and in surrounding nonprimary areas. The results
strongly resemble those for pure tones. Both the present and pure tone results
may reflect neuronal forward suppression that diminishes as one or more features
of successive sounds become increasingly different. We hypothesize that feature
specific forward suppression subserves streaming based on diverse perceptual cues
and results in explicit neural representations for auditory streams within
auditory cortex.
PMID- 18045902
TI - EEG-informed fMRI reveals spatiotemporal characteristics of perceptual decision
making.
AB - Single-unit and multiunit recordings in primates have already established that
decision making involves at least two general stages of neural processing:
representation of evidence from early sensory areas and accumulation of evidence
to a decision threshold from decision-related regions. However, the relay of
information from early sensory to decision areas, such that the accumulation
process is instigated, is not well understood. Using a cued paradigm and single
trial analysis of electroencephalography (EEG), we previously reported on
temporally specific components related to perceptual decision making. Here, we
use information derived from our previous EEG recordings to inform the analysis
of fMRI data collected for the same behavioral task to ascertain the cortical
origins of each of these EEG components. We demonstrate that a cascade of events
associated with perceptual decision making takes place in a highly distributed
neural network. Of particular importance is an activation in the lateral
occipital complex implicating perceptual persistence as a mechanism by which
object decision making in the human brain is instigated.
PMID- 18045903
TI - Enhanced accumulation of phosphorylated alpha-synuclein and elevated beta-amyloid
42/40 ratio caused by expression of the presenilin-1 deltaT440 mutant associated
with familial Lewy body disease and variant Alzheimer's disease.
AB - Mutations in the PSEN1 gene encoding presenilin 1 (PS1) are linked to a vast
majority of pedigrees with early-onset, autosomal dominant forms of familial
Alzheimer's disease (FAD). Lewy body (LB) pathology is frequently found in the
brains of FAD patients harboring PSEN1 mutations. We recently reported on a novel
PS1 mutation with the deletion of threonine at codon 440 (deltaT440) in a
familial case diagnosed as having the neocortical type of dementia with LBs (DLB)
and variant AD. In this report, we investigated the possible involvement of PS1
deltaT440 mutation in aberrant alpha-synuclein accumulation. We established cell
lines that stably express either wild-type (WT) PS1 or the FAD-linked PS1 H163R,
E280A, deltaE9, and PS1 deltaT440 mutants and now demonstrate that the expression
of the PS1 deltaT440 mutant led to a marked elevation in the ratio of beta
amyloid (Abeta) 42/40 peptides in a conditioned medium. More importantly, we
report here that the levels of phosphorylated alpha-synuclein increase in
neuronal and non-neuronal cells expressing the PS1 deltaT440 mutant compared with
cells that express WT PS1 or the PS1 H163R and E280A variants that are not
associated with LB pathology. This finding is consistent with our demonstration
of elevated levels of phosphorylated alpha-synuclein in the detergent-resistant
fraction prepared from a patient's brain with PS1 deltaT440 mutation. These
observations raise the intriguing suggestion that the mechanism(s) by which the
PS1 deltaT440 mutant causes DLB and variant AD are by enhancing the
phosphorylation of alpha-synuclein and the ratio of Abeta(42/40) peptides,
respectively, in the brain.
PMID- 18045904
TI - Polarity-regulating kinase partitioning-defective 1/microtubule affinity
regulating kinase 2 negatively regulates development of dendrites on hippocampal
neurons.
AB - Neurons are highly polarized cells that possess two morphologically and
functionally different types of protrusions, axons and dendrites, that function
in the transmission and reception of neural signals, respectively. A great deal
of attention has been paid to the specification and guidance of axons, but the
mechanism of dendrite development remains mostly unknown. We report here that a
polarity-regulating kinase, partitioning-defective 1 (Par1b)/microtubule affinity
regulating kinase 2 (MARK2), specifically regulates development of dendrites in
hippocampal neurons. Ectopic expression of Par1b/MARK2 shortens the length and
decreases branching of dendrites without significant effects on axons. Knockdown
of endogenous Par1b/MARK2 by RNA interference stimulates dendrite development.
Wnt stimulation and Dishevelled expression, both of which are known to induce
dendrite development, induced recruitment of Par1b/MARK2 to the membrane
fraction. Expression of a Par1b/MARK2 mutant, that contains a myristoylation
signal and accumulates exclusively in membranes, does not affect dendrite
development. In addition, Par1b/MARK2 efficiently phosphorylated MAP2, which is
localized mainly in dendrites. These results indicate that Par1b/MARK2 negatively
regulates dendrite development through phosphorylation of MAP2.
PMID- 18045905
TI - The TLC: a novel auditory nucleus of the mammalian brain.
AB - We have identified a novel nucleus of the mammalian brain and termed it the
tectal longitudinal column (TLC). Basic histologic stains, tract-tracing
techniques and three-dimensional reconstructions reveal that the rat TLC is a
narrow, elongated structure spanning the midbrain tectum longitudinally. This
paired nucleus is located close to the midline, immediately dorsal to the
periaqueductal gray matter. It occupies what has traditionally been considered
the most medial region of the deep superior colliculus and the most medial region
of the inferior colliculus. The TLC differs from the neighboring nuclei of the
superior and inferior colliculi and the periaqueductal gray by its distinct
connections and cytoarchitecture. Extracellular electrophysiological recordings
show that TLC neurons respond to auditory stimuli with physiologic properties
that differ from those of neurons in the inferior or superior colliculi. We have
identified the TLC in rodents, lagomorphs, carnivores, nonhuman primates, and
humans, which indicates that the nucleus is conserved across mammals. The
discovery of the TLC reveals an unexpected level of longitudinal organization in
the mammalian tectum and raises questions as to the participation of this
mesencephalic region in essential, yet completely unexplored, aspects of
multisensory and/or sensorimotor integration.
PMID- 18045906
TI - Cdc42 regulates cofilin during the establishment of neuronal polarity.
AB - The establishment of polarity is an essential process in early neuronal
development. Although a number of molecules controlling neuronal polarity have
been identified, genetic evidence about their physiological roles in this process
is mostly lacking. We analyzed the consequences of loss of Cdc42, a central
regulator of polarity in multiple systems, on the polarization of mammalian
neurons. Genetic ablation of Cdc42 in the brain led to multiple abnormalities,
including striking defects in the formation of axonal tracts. Neurons from the
Cdc42 null animals sprouted neurites but had a strongly suppressed ability to
form axons both in vivo and in culture. This was accompanied by disrupted
cytoskeletal organization, enlargement of the growth cones, and inhibition of
filopodial dynamics. Axon formation in the knock-out neurons was rescued by
manipulation of the actin cytoskeleton, indicating that the effects of Cdc42
ablation are exerted through modulation of actin dynamics. In addition, the knock
outs showed a specific increase in the phosphorylation (inactivation) of the
Cdc42 effector cofilin. Furthermore, the active, nonphosphorylated form of
cofilin was enriched in the axonal growth cones of wild-type, but not of mutant,
neurons. Importantly, cofilin knockdown resulted in polarity defects
quantitatively analogous to the ones seen after Cdc42 ablation. We conclude that
Cdc42 is a key regulator of axon specification, and that cofilin is a
physiological downstream effector of Cdc42 in this process.
PMID- 18045907
TI - Glial cell migration in the eye disc.
AB - Any complex nervous system is made out of two major cell types, neurons and glial
cells. A hallmark of glial cells is their pronounced ability to migrate. En route
to their final destinations, glial cells are generally guided by neuronal
signals. Here we show that in the developing visual system of Drosophila glial
cell migration is largely controlled by glial-glial interactions and occurs
independently of axonal contact. Differentiation into wrapping glia is initiated
close to the morphogenetic furrow. Using single cell labeling experiments we
identified six distinct glial cell types in the eye disc. The migratory glial
population is separated from the wrapping glial cells by the so-called carpet
cells, extraordinary large glial cells, each covering a surface area of
approximately 10,000 epithelial cells. Subsequent cell ablation experiments
demonstrate that the carpet glia regulates glial migration in the eye disc
epithelium and suggest a new model underlying glial migration and differentiation
in the developing visual system.
PMID- 18045909
TI - Differential effects of serotonin and dopamine on human 5-HT3A receptor kinetics:
interpretation within an allosteric kinetic model.
AB - Serotonin type 3 (5-HT3) receptors are members of the pentameric Cys-loop
superfamily of receptors that modulate synaptic neurotransmission. In response to
agonist binding and unbinding, members of this superfamily undergo a series of
conformational transitions that define their functional properties. In this
study, we report the results of electrophysiological studies using rapid solution
exchange designed to characterize and compare the actions of the high-efficacy
agonist serotonin and the low-efficacy agonist dopamine on human 5-HT3A receptors
expressed in human embryonic kidney HEK293 cells. In the case of serotonin,
receptor activation rates varied with agonist concentration, and deactivation
occurred as a single-exponential process with a rate that was similar to the
maximal rate of desensitization. Receptors recovered slowly from long
desensitizing pulses of serotonin with a sigmoidal time course. In the case of
dopamine, receptor activation rates were independent of agonist concentration,
receptor deactivation occurred as a complex process that was significantly faster
than the maximal rate of desensitization, and recovery from desensitization
occurred more quickly than with 5-HT and its time course was not sigmoidal. We
developed an allosteric kinetic model for 5-HT3A receptor activation,
deactivation, desensitization, and resensitization. Interpretation of our results
within the context of this model indicated that the distinct modulatory actions
of serotonin versus dopamine are largely attributable to the vastly different
rates with which these two agonists induce channel opening and dissociate from
open and desensitized states.
PMID- 18045908
TI - Lack of self-administration of cocaine in dopamine D1 receptor knock-out mice.
AB - Evidence suggests a critical role for dopamine in the reinforcing effects of
cocaine in rats and primates. However, self-administration has been less often
studied in the mouse species, and, to date, "knock-out" of individual dopamine
related genes in mice has not been reported to reduce the reinforcing effects of
cocaine. We studied the dopamine D1 receptor and cocaine self-administration in
mice using a combination of gene-targeted mutation and pharmacological tools. Two
cohorts with varied breeding and experimental histories were tested, and, in both
cohorts, there was a significant decrease in the number of D1 receptor knock-out
mice that met criteria for acquisition of cocaine self-administration (2 of 23)
relative to wild-type mice (27 of 32). After extinction of responding with saline
self-administration, dose-response studies showed that cocaine reliably and dose
dependently maintained responding greater than saline in all wild-type mice but
in none of the D1 receptor knock-out mice. The D1-like agonist SKF 82958
(2,3,4,5,-tetrahydro-6-chloro-7,8-dihydroxy-1-phenyl-1H-3-benzazepine
hydrobromide) and the D2-like agonist quinelorane both functioned as positive
reinforcers in wild-type mice but not in D1 receptor mutant mice, whereas food
and intravenous injections of the opioid agonist remifentanil functioned as
positive reinforcers in both genotypes. Finally, pretreatment with the D1-like
antagonist SCH 23390 [R-(+)-8-chloro-2,3,4,5-tetrahydro-3-methyl-5-phenyl-1H-3
benzazepine-7-01] produced surmountable antagonism of the reinforcing effects of
cocaine in the commonly used strain C57BL/6J. We conclude that D1 receptor knock
out mice do not reliably self-administer cocaine and that the D1 receptor is
critical for the reinforcing effects of cocaine and other dopamine agonists, but
not food or opioids, in mice.
PMID- 18045910
TI - The Down syndrome critical region protein RCAN1 regulates long-term potentiation
and memory via inhibition of phosphatase signaling.
AB - Regulator of calcineurin 1 (RCAN1/MCIP1/DSCR1) regulates the calmodulin-dependent
phosphatase calcineurin. Because it is located on human chromosome 21, RCAN1 has
been postulated to contribute to mental retardation in Down syndrome and has been
reported to be associated with neuronal degeneration in Alzheimer's disease. The
studies herein are the first to assess the role of RCAN1 in memory and synaptic
plasticity by examining the behavioral and electrophysiological properties of
RCAN1 knock-out mice. These mice exhibit deficits in spatial learning and memory,
reduced associative cued memory, and impaired late-phase long-term potentiation
(L-LTP), phenotypes similar to those of transgenic mice with increased
calcineurin activity. Consistent with this, the RCAN1 knock-out mice display
increased enzymatic calcineurin activity, increased abundance of a cleaved
calcineurin fragment, and decreased phosphorylation of the calcineurin substrate
dopamine and cAMP-regulated phosphoprotein-32. We propose a model in which RCAN1
plays a positive role in L-LTP and memory by constraining phosphatase signaling.
PMID- 18045911
TI - Exogenous delivery of heat shock protein 70 increases lifespan in a mouse model
of amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a debilitating neurodegenerative disorder
that results in the progressive loss of motoneurons (MNs) in the CNS. Several
survival and death mechanisms of MNs have been characterized and it has been
determined that MNs do not appear to mount a complete stress response, as
determined by the lack of heat shock protein 70 (Hsp70) upregulation after
several stress paradigms. Hsp70 has been shown to confer neuroprotection and the
insufficient availability of Hsp70 may contribute to MNs' susceptibility to death
in ALS mice. In this study, recombinant human Hsp70 (rhHsp70) was
intraperitoneally injected three times weekly, beginning at postnatal day 50
until endstage, to G93A mutant SOD1 (G93A SOD1) mice. The administration of
rhHsp70 was effective at increasing lifespan, delaying symptom onset, preserving
motor function and prolonging MN survival. Interestingly, injected rhHsp70
localized to skeletal muscle and was not readily detected in the CNS. Treatment
with rhHsp70 also resulted in an increased number of innervated neuromuscular
junctions compared with control tissue. Together these results suggest rhHsp70
may delay disease progression in the G93A SOD1 mouse via a yet to be identified
peripheral mechanism.
PMID- 18045912
TI - Metabotropic glutamate receptor 5 modulates nociceptive plasticity via
extracellular signal-regulated kinase-Kv4.2 signaling in spinal cord dorsal horn
neurons.
AB - Metabotropic glutamate receptors (mGluRs) play important roles in the modulation
of nociception. The group I mGluRs (mGlu1 and mGlu5) modulate nociceptive
plasticity via activation of extracellular signal-regulated kinase (ERK)
signaling. We reported recently that the K+ channel Kv4.2 subunit underlies A
type K+ currents in the spinal cord dorsal horn and is modulated by the ERK
signaling pathway. Kv4.2-mediated A-type currents are important determinants of
dorsal horn neuronal excitability and central sensitization that underlies
hypersensitivity after tissue injury. In the present study, we demonstrate that
ERK-mediated phosphorylation of Kv4.2 is downstream of mGlu5 activation in spinal
cord dorsal horn neurons. Activation of group I mGluRs inhibited Kv4.2-mediated A
type K+ currents and increased neuronal excitability in dorsal horn neurons.
These effects were mediated by activation of mGlu5, but not mGlu1, and were
dependent on ERK activation. Analysis of Kv4.2 phosphorylation site mutants
clearly identified S616 as the residue responsible for mGlu5-ERK-dependent
modulation of A-type currents and excitability. Furthermore, nociceptive behavior
induced by activation of spinal group I mGluRs was impaired in Kv4.2 knock-out
mice, demonstrating that, in vivo, modulation of Kv4.2 is downstream of mGlu5
activation. Altogether, our results indicate that activation of mGlu5 leads to
ERK-mediated phosphorylation and modulation of Kv4.2-containing potassium
channels in dorsal horn neurons. This modulation may contribute to nociceptive
plasticity and central sensitization associated with chronic inflammatory pain
conditions.
PMID- 18045913
TI - Dopaminergic modulation of spinal neuronal excitability.
AB - It is well recognized that dopamine (DA) can modulate spinal networks and
reflexes. DA fibers and receptors are present in the spinal cord, and evidence
for DA release within the spinal cord has been published. A critical gap is the
lack of data regarding dopaminergic modulation of intrinsic and synaptic
properties of motoneurons and ventral interneurons in the mammalian spinal cord.
In this paper, we address this issue by examining the cellular mechanisms
underlying the excitatory effect of DA on motor systems. We examine the effects
of DA on two classes of cells important for motor control, motoneurons and Hb9
interneurons, located in lamina VIII. We show that DA can boost excitability in
spinal motoneurons by decreasing the first spike latency and the
afterhyperpolarization. Collectively, this leads to an increase in the frequency
current slope likely attributable to modulation of I(A) and SK(Ca) (small
conductance calcium-activated K+ channel) currents. We also demonstrate that DA
increases glutamatergic transmission onto motoneurons. Our data also suggest that
DA stabilizes the rhythmic output of conditionally bursting interneurons.
Collectively, these data indicate that DA has widespread actions on intrinsic and
synaptic properties of ventral spinal neurons.
PMID- 18045914
TI - Spatial attention does not strongly modulate neuronal responses in early human
visual cortex.
AB - Attention can dramatically enhance behavioral performance based on a visual
stimulus, but the degree to which attention modulates activity in early visual
cortex is unclear. Whereas single-unit studies of spatial attention in monkeys
have repeatedly revealed relatively modest attentional modulations in V1, human
functional magnetic resonance imaging studies demonstrate a large attentional
enhancement of the blood oxygen level-dependent (BOLD) signal in V1. To explore
this discrepancy, we used intracranial electrodes to directly measure the effect
of spatial attention on the responses of neurons near the human occipital pole.
We found that spatial attention does not robustly modulate stimulus-driven local
field potentials in early human visual cortex, but instead produces modest
modulations that are consistent with those seen in monkey neurophysiology
experiments. This finding suggests that the neuronal activity that underlies
visual attention in humans is similar to that found in other primates and that
behavioral state may alter the linear relationship between neuronal activity and
BOLD.
PMID- 18045915
TI - NMDA receptor activation dephosphorylates AMPA receptor glutamate receptor 1
subunits at threonine 840.
AB - Phosphorylation-dependent changes in AMPA receptor function have a crucial role
in activity-dependent forms of synaptic plasticity such as long-term potentiation
(LTP) and long-term depression (LTD). Although three previously identified
phosphorylation sites in AMPA receptor glutamate receptor 1 (GluR1) subunits
(S818, S831, and S845) appear to have important roles in LTP and LTD, little is
known about the role of other putative phosphorylation sites in GluR1. Here, we
describe the characterization of a recently identified phosphorylation site in
GluR1 at threonine 840. The results of in vivo and in vitro phosphorylation
assays suggest that T840 is not a substrate for protein kinases known to
phosphorylate GluR1 at previously identified phosphorylation sites, such as
protein kinase A, protein kinase C, and calcium/calmodulin-dependent kinase II.
Instead, in vitro phosphorylation assays suggest that T840 is a substrate for
p70S6 kinase. Although LTP-inducing patterns of synaptic stimulation had no
effect on GluR1 phosphorylation at T840 in the hippocampal CA1 region, bath
application of NMDA induced a strong, protein phosphatase 1- and/or 2A-mediated
decrease in T840 phosphorylation. Moreover, GluR1 phosphorylation at T840 was
transiently decreased by a chemical LTD induction protocol that induced a short
term depression of synaptic strength and persistently decreased by a chemical LTD
induction protocol that induced a lasting depression of synaptic transmission.
Together, our results show that GluR1 phosphorylation at T840 is regulated by
NMDA receptor activation and suggest that decreases in GluR1 phosphorylation at
T840 may have a role in LTD.
PMID- 18045916
TI - Sensitization of pain-modulating neurons in the rostral ventromedial medulla
after peripheral nerve injury.
AB - Nerve injury can lead to mechanical hypersensitivity in both humans and animal
models, such that innocuous touch produces pain. Recent functional studies have
demonstrated a critical role for descending pain-facilitating influences from the
rostral ventromedial medulla (RVM) in neuropathic pain, but the underlying
mechanisms and properties of the relevant neurons within the RVM are essentially
unknown. We therefore characterized mechanical responsiveness of physiologically
characterized neurons in the RVM after spinal nerve ligation, a model of
neuropathic pain that produces robust mechanical hyperalgesia and allodynia. RVM
neurons were studied 7-14 d after spinal nerve ligation, and classified as "on
cells," "off-cells," or "neutral cells" using standard criteria of changes in
firing associated with heat-evoked reflexes. On-cells are known to promote
nociception, and off-cells to suppress nociception, whereas the role of neutral
cells in pain modulation remains an open question. Neuronal and behavioral
responses to innocuous and noxious mechanical stimulation were tested using
calibrated von Frey filaments (4-100 g) applied to the hindpaws ipsilateral and
contralateral to the injury, and in sham-operated and unoperated control animals.
On- and off-cells recorded in nerve-injured animals exhibited novel responses to
innocuous mechanical stimulation, and enhanced responses to noxious mechanical
stimulation. Neuronal hypersensitivity in the RVM was correlated with behavioral
hypersensitivity. Neutral cells remained unresponsive to cutaneous stimulation
after nerve injury. These data demonstrate that both on- and off-cells in the RVM
are sensitized to innocuous and noxious mechanical stimuli after nerve injury.
This sensitization likely contributes to allodynia and hyperalgesia of
neuropathic pain states.
PMID- 18045917
TI - Magnocellular projections as the trigger of top-down facilitation in recognition.
AB - Object recognition is traditionally viewed as a hierarchical, bottom-up neural
process. This view has been challenged recently by theoretical models and by
findings indicating that top-down processes are involved in facilitating
recognition. However, how such high-level information can be activated quickly
enough to facilitate the bottom-up processing is yet unknown. We propose that
such top-down facilitation is triggered by magnocellular information projected
early and rapidly to the orbitofrontal cortex. Using human neuroimaging, we show
that stimuli designed to bias processing toward the magnocellular pathway
differentially activated the orbitofrontal cortex compared with parvocellular
biased stimuli. Although the magnocellular stimuli had a lower contrast than the
parvocellular stimuli, they were recognized faster and just as accurately.
Moreover, orbitofrontal activity predicted the performance advantage for the
magnocellular, but not for the parvocellular-biased, stimuli, whereas the
opposite was true in the fusiform gyrus. Last, analyses of effective connectivity
using dynamic causal modeling showed that magnocellular-biased stimuli
significantly activated pathways from occipital visual cortex to orbitofrontal
cortex and from orbitofrontal cortex to fusiform gyrus. Conversely, parvocellular
biased stimuli significantly activated a pathway from the occipital visual cortex
to fusiform gyrus. Our findings support the proposal that fast magnocellular
projections linking early visual and inferotemporal object recognition regions
with the orbitofrontal cortex facilitate object recognition by enabling the
generation of early predictions.
PMID- 18045918
TI - Congruent activity during action and action observation in motor cortex.
AB - A variety of studies have shown that motor cortical areas can be activated by
observation of familiar actions. Here, we describe single-neuron responses in
monkey primary motor (MI) and dorsal premotor (PMd) cortices during passive
observation and execution of a familiar task. We show that the spiking
modulation, preferred directions, and encoded information of cells in MI and PMd
remain consistent during both observation and movement. Furthermore, we find that
the presence of a visual target is necessary to elicit this congruent neural
activity during observation. These findings along with results from our analysis
of the oscillatory power in the beta frequency of the local field potential are
consistent with previous imaging and EEG studies that have suggested that
congruence between observation and action is a general feature of the motor
system, even outside of canonical "mirror" areas. Such congruent activity has
proposed relevance to motor learning, mimicry, and communication and has
practical applications for the development of motor-cortical neuroprostheses in
paralyzed patients.
PMID- 18045919
TI - Modulation of acid-sensing ion channel activity by nitric oxide.
AB - Acid-sensing ion channels (ASICs) are a class of ion channels activated by
extracellular protons and are believed to mediate the pain caused by tissue
acidosis. Although ASICs have been widely studied, little is known about their
regulation by inflammatory mediators. Here, we provide evidence that nitric oxide
(NO) potentiates the activity of ASICs. Whole-cell patch-clamp recordings were
performed on neonatal rat cultured dorsal root ganglion neurons and on ASIC
isoforms expressed in CHO cells. The NO donor S-nitroso-N-acetylpenicillamine
(SNAP) potentiates proton-gated currents in DRG neurons and proton-gated currents
in CHO cells expressing each of the acid-sensitive ASIC subunits. Modulators of
the cGMP/PKG pathway had no effect on the potentiation, but in excised patches
from CHO cells expressing ASIC2a, the potentiation could be reversed by
externally applied reducing agents. NO therefore has a direct external effect on
the ASIC ion channel, probably through oxidization of cysteine residues.
Complementary psychophysiological studies were performed using iontophoresis of
acidic solutions through the skin of human volunteers. Topical application of the
NO donor glyceryl trinitrate significantly increased acid-evoked pain but did not
affect heat or mechanical pain thresholds. ASICs may therefore play an important
role in the pain associated with metabolic stress and inflammation, where both
tissue acidosis and a high level of NO are present.
PMID- 18045920
TI - Spatial properties and functional organization of small bistratified ganglion
cells in primate retina.
AB - The primate visual system consists of parallel pathways initiated by distinct
cell types in the retina that encode different features of the visual scene.
Small bistratified cells (SBCs), which form a major projection to the thalamus,
exhibit blue-ON/yellow-OFF [S-ON/(L+M)-OFF] light responses thought to be
important for high-acuity color vision. However, the spatial processing
properties of individual SBCs and their spatial arrangement across the visual
field are poorly understood. The present study of peripheral primate retina
reveals that contrary to previous suggestions, SBCs exhibit center-surround
spatial structure, with the (L+M)-OFF component of the receptive field
approximately 50% larger in diameter than the S-ON component. Analysis of
response kinetics shows that the (L+M)-OFF response in SBCs is slower than the S
ON response and significantly less transient than that of simultaneously recorded
OFF-parasol cells. The (L+M)-OFF response in SBCs was eliminated by bath
application of the metabotropic glutamate receptor agonist L-APB. These
observations indicate that the (L+M)-OFF response of SBCs is not formed by OFF
bipolar cell input as has been suspected and suggest that it arises from
horizontal cell feedback. Finally, the receptive fields of SBCs form orderly
mosaics, with overlap and regularity similar to those of ON-parasol cells. Thus,
despite their distinctive morphology and chromatic properties, SBCs exhibit two
features of other retinal ganglion cell types: center-surround antagonism and
regular mosaic sampling of visual space.
PMID- 18045921
TI - Characterization of the role of microtubule-associated protein 1B in metabotropic
glutamate receptor-mediated endocytosis of AMPA receptors in hippocampus.
AB - The mGluR-dependent endocytosis of AMPA receptors (AMPARs) in the CA1 region is
protein synthesis dependent. However, why this form of trafficking, and not that
mediated by NMDA receptor activation, is dependent on protein translation is
unclear. Here we have studied the contribution of the cytoskeletal microtubule
associated protein 1B (MAP1B) to the pathway-specific internalization of AMPARs.
Treatments of cultured neurons with 3,4-dihydroxyphenylglycol (DHPG) or NMDA,
both of which drive AMPAR endocytosis, caused a translation-dependent increase in
the dendritic levels of MAP1B protein. Although interfering with protein
synthesis using short interfering RNA (siRNA) to eEF2 kinase (eukaryotic
elongation factor 2 kinase) blocked the dendritic MAP1B increase by both
pathways, it selectively blocked the DHPG- and not the NMDA-induced AMPAR
endocytosis. In support of MAP1B synthesis contributing to metabotropic glutamate
receptor (mGluR)-mediated AMPAR endocytosis, siRNA against MAP1B in CA1 cultured
neurons specifically blocked the DHPG-induced AMPAR internalization. Previous
studies suggest a direct interaction between MAP1B and the AMPAR-binding protein
GRIP1. Biochemical studies establish that MAP1B associates with GRIP1 and forms a
complex with GluR2 in vivo in rat hippocampus. Furthermore, the interaction
between MAP1B and GRIP1 increased significantly in acute slices after treatment
with DHPG and not NMDA. Together, these findings suggest that MAP1B plays a
selective role in the DHPG-induced endocytosis of AMPARs, perhaps through its
interaction with GRIP1.
PMID- 18045922
TI - Top-down control of multimodal sensitivity in the barn owl optic tectum.
AB - We studied the effects of electrically microstimulating a gaze-control area in
the owl's forebrain, the arcopallial gaze fields (AGFs), on the responsiveness of
neurons in the optic tectum (OT) to visual and auditory stimuli. Microstimulation
of the AGF enhanced the visual and auditory responsiveness and stimulus
discriminability of OT neurons representing the same location in space as that
represented at the microstimulation site in the AGF. At such OT sites, AGF
microstimulation also sharpened auditory receptive fields and shifted them toward
the location represented at the AGF stimulation site. At the same time, AGF
microstimulation suppressed the responsiveness of OT neurons that represented
visual or auditory stimuli at other locations in space. The top-down influences
of this forebrain gaze-control area on sensory responsiveness in the owl OT are
strikingly similar to the space-specific regulation of visual responsiveness in
the monkey visual cortex produced by voluntary attention as well as by
microstimulation of the frontal eye fields. This experimental approach provides a
means for discovering mechanisms that underlie the top-down regulation of sensory
responses.
PMID- 18045923
TI - Visceral afferents directly activate catecholamine neurons in the solitary tract
nucleus.
AB - Brainstem A2/C2 neurons are catecholamine (CA) neurons within the solitary tract
nucleus (NTS) that influence many homeostatic functions, including cardiovascular
reflexes, food intake, and stress. Because NTS is a major interface between
sensory visceral afferents and the CNS, NTS CA neurons are ideally suited to
coordinate complex responses by their projections to multiple brain regions. To
test how NTS CA neurons process visceral afferent information carried by solitary
tract (ST) afferents, we identified CA neurons using transgenic mice expressing
TH-EGFP (enhanced green fluorescent protein under the control of the tyrosine
hydroxylase promoter) and recorded synaptic responses to ST activation in
horizontal slices. ST shocks evoked large-amplitude, short-latency, glutamatergic
EPSCs (ST-EPSCs) in 90% of NTS CA neurons. Within neurons, ST-EPSCs had constant
latency, rarely failed, and depressed substantially at high ST frequencies,
indicating that NTS CA neurons receive direct monosynaptic connections from
afferent terminals. NTS CA neurons received direct ST inputs from only one or two
afferent fibers, with one-half also receiving smaller amplitude indirect inputs.
Up to 90% of ST shocks evoked action potentials in NTS CA neurons. However,
transmission of sensory afferent information through NTS CA neurons critically
depended on the expression of an A-type potassium current (I(KA)), which when
active attenuated ST-activated action potentials to a 37% success rate. The
satiety peptide, cholecystokinin, presynaptically facilitated glutamate
transmission in one-half of NTS CA neurons. Thus, NTS CA neurons are directly
driven by visceral afferents with output being modulated by presynaptic peptide
receptors and postsynaptic potassium channels.
PMID- 18045924
TI - Is the prefrontal cortex necessary for establishing cognitive sets?
AB - There is evidence from neuroimaging that the prefrontal cortex may be involved in
establishing task set activity in advance of presentation of the task itself. To
find out whether it plays an essential role, we examined patients with unilateral
lesions of the rostral prefrontal cortex. They were first instructed as to
whether to perform a spatial or a verbal working memory task and then given
spatial and verbal items after a delay of 4-12 s. The patients showed an increase
in switch costs, making more errors by repeating what they had done on the
previous trial. They were able to establish regional task set activity during the
instruction delay, as evidenced by sustained changes in the blood oxygenation
level-dependent signal in caudal frontal regions. However, in contrast to healthy
controls, they were less able to maintain functional connectivity among the
surviving task-related brain regions, as evidenced by reduced correlations
between them during instruction delays. The results suggest that the left rostral
prefrontal cortex is indeed required for establishing a cognitive set but that
the essential function is to support the functional connectivity among the task
related regions.
PMID- 18045925
TI - Functional compartmentalization of endosomal trafficking for the synaptic
delivery of AMPA receptors during long-term potentiation.
AB - Endosomal membrane trafficking in dendritic spines is important for proper
synaptic function and plasticity. However, little is known about the molecular
identity and functional compartmentalization of the membrane trafficking
machinery operating at the postsynaptic terminal. Here we report that the
transport of AMPA-type glutamate receptors into synapses occurs in two discrete
steps, and we identify the specific endosomal functions that control this process
during long-term potentiation. We found that Rab11-dependent endosomes
translocate AMPA receptors from the dendritic shaft into spines. Subsequently, an
additional endosomal trafficking step, controlled by Rab8, drives receptor
insertion into the synaptic membrane. Separate from this receptor delivery route,
we show that Rab4 mediates a constitutive endosomal recycling within the spine.
This Rab4-dependent cycling is critical for maintaining spine size but does not
influence receptor transport. Therefore, our data reveal a highly
compartmentalized endosomal network within the spine and identify the molecular
components and functional organization of the membrane organelles that mediate
AMPA receptor synaptic delivery during plasticity.
PMID- 18045926
TI - Spatial organization of neuronal population responses in layer 2/3 of rat barrel
cortex.
AB - Individual pyramidal neurons of neocortex show sparse and variable responses to
sensory stimuli in vivo. It has remained unclear how this variability extends to
population responses on a trial-to-trial basis. Here, we characterized single
neuron and population responses to whisker stimulation in layer 2/3 (L2/3) of
identified columns in rat barrel cortex using in vivo two-photon calcium imaging.
Optical detection of single action potentials from evoked calcium transients
revealed low spontaneous firing rates (0.25 Hz), variable response probabilities
(range, 0-0.5; mean, 0.2 inside barrel column), and weak angular tuning of L2/3
neurons. On average, both the single-neuron response probability and the
percentage of the local population activated were higher in the barrel column
than above septa or in neighboring columns. Within the barrel column, mean
response probability was highest in the center (0.4) and declined toward the
barrel border. Neuronal pairs showed correlations in both spontaneous and sensory
evoked activity that depended on the location of the neurons. Correlation
decreased with increasing distance between neurons and, for neuronal pairs the
same distance apart, with distance of the pair from the barrel column center.
Although neurons are therefore not activated independently from each other, we
did not observe precisely repeating spatial activation patterns. Instead,
population responses showed large trial-to-trial variability. Nevertheless, the
accuracy of decoding stimulus onset times from local population activity
increased with population size and depended on anatomical location. We conclude
that, despite their sparseness and variability, L2/3 population responses show a
clear spatial organization on the columnar scale.
PMID- 18045928
TI - Activity-dependent ubiquitination of GABA(A) receptors regulates their
accumulation at synaptic sites.
AB - GABA(A) receptors (GABA(A)Rs) are the major mediators of fast synaptic inhibition
in the brain. In neurons, these receptors undergo significant rates of
endocytosis and exocytosis, processes that regulate both their accumulation at
synaptic sites and the efficacy of synaptic inhibition. Here we have evaluated
the role that neuronal activity plays in regulating the residence time of
GABA(A)Rs on the plasma membrane and their targeting to synapses. Chronic
blockade of neuronal activity dramatically increases the level of the GABA(A)R
ubiquitination, decreasing their cell surface stability via a mechanism dependent
on the activity of the proteasome. Coincident with this loss of cell surface
expression levels, TTX treatment reduced both the amplitude and frequency of
miniature inhibitory synaptic currents. Conversely, increasing the level of
neuronal activity decreases GABA(A)R ubiquitination enhancing their stability on
the plasma membrane. Activity-dependent ubiquitination primarily acts to reduce
GABA(A)R stability within the endoplasmic reticulum and, thereby, their insertion
into the plasma membrane and subsequent accumulation at synaptic sites. Thus,
activity-dependent ubiquitination of GABA(A)Rs and their subsequent proteasomal
degradation may represent a potent mechanism to regulate the efficacy of synaptic
inhibition and may also contribute to homeostatic synaptic plasticity.
PMID- 18045927
TI - Gene expression profiling in postmortem prefrontal cortex of major depressive
disorder.
AB - Investigations of the molecular mechanisms underlying major depressive disorder
(MDD) have been hampered by the complexity of brain tissue and sensitivity of
gene expression profiling approaches. To address these issues, we used discrete
microdissections of postmortem dorsolateral prefrontal cortex (DLPFC) (area 9)
and an oligonucleotide (60mer) microarray hybridization procedure that increases
sensitivity without RNA amplification. Mixed-effects statistical methods were
used to rigorously control for medication usage in the subset of medicated
depressed subjects. These analyses yielded a rich profile of dysregulated genes.
Two of the most highly dysregulated genes of interest were stresscopin, a
neuropeptide involved in stress responses, and Forkhead box D3 (FOXD3), a
transcription factor. Secondary cell-based analysis demonstrated that stresscopin
and FoxD3 are increased in neurons of DLPFC gray matter of MDD subjects. These
findings identify abnormal gene expression in a discrete region of MDD subjects
and contribute to further elucidation of the molecular alterations of this
complex mood disorder.
PMID- 18045929
TI - Opposing regulation of pair bond formation by cAMP signaling within the nucleus
accumbens shell.
AB - The formation of monogamous pair bonds, by prairie voles, is facilitated by
activation of dopamine (DA) D2-like, but not D1-like, receptors within the
nucleus accumbens (NAcc) shell. Because DA exerts opposing regulation of cAMP
production depending on the subtype of receptor activated, we tested the
hypothesis that DA regulation of pair bond formation is mediated via the cAMP
signaling cascade. Consistent with activation of D2-like receptors, decreasing
cAMP signaling, by blocking cAMP binding sites on protein kinase A (PKA),
facilitated partner preference formation. Conversely, increasing cAMP signaling,
by preventing the activation of inhibitory G-proteins, activating stimulatory G
proteins, or stimulating PKA prevented the formation of mating-induced partner
preferences. These manipulations were effective in the shell, but not the core,
of the NAcc. Together, these data demonstrate opposing regulation over pair bond
formation by cAMP signaling within the NAcc shell.
PMID- 18045930
TI - Progesterone and estrogen regulate Alzheimer-like neuropathology in female 3xTg
AD mice.
AB - Estrogen depletion in postmenopausal women is a significant risk factor for the
development of Alzheimer's disease (AD), and estrogen-based hormone therapy may
reduce this risk. However, the effects of progesterone both alone and in
combination with estrogen on AD neuropathology remain unknown. In this study, we
used the triple transgenic mouse model of AD (3xTg-AD) to investigate the
individual and combined effects of estrogen and progesterone on beta-amyloid
(Abeta) accumulation, tau hyperphosphorylation, and hippocampal-dependent
behavioral impairments. In gonadally intact female 3xTg-AD mice, AD-like
neuropathology was apparent by 3 months of age and progressively increased
through age 12 months, a time course that was paralleled by behavioral
impairment. Ovariectomy-induced depletion of sex steroid hormones in adult female
3xTg-AD mice significantly increased Abeta accumulation and worsened memory
performance. Treatment of ovariectomized 3xTg-AD mice with estrogen, but not
progesterone, prevented these effects. When estrogen and progesterone were
administered in combination, progesterone blocked the beneficial effect of
estrogen on Abeta accumulation but not on behavioral performance. Interestingly,
progesterone significantly reduced tau hyperphosphorylation when administered
both alone and in combination with estrogen. These results demonstrate that
estrogen and progesterone independently and interactively regulate AD-like
neuropathology and suggest that an optimized hormone therapy may be useful in
reducing the risk of AD in postmenopausal women.
PMID- 18045931
TI - Cell factors stimulate human immunodeficiency virus type 1 reverse transcription
in vitro.
AB - After fusion of the human immunodeficiency virus type 1 (HIV-1) envelope with the
host cell membrane, the HIV-1 core enters the cell cytoplasm. Core components are
then restructured to form the reverse transcription complex (RTC); the
biochemical details of this process are currently unclear. To investigate early
RTC formation, we characterized the endogenous reverse transcription activity of
virions, which was less efficient than reverse transcription during cell
infection and suggested a requirement for a cell factor. The addition of
detergent to virions released reverse transcriptase and capsid, and reverse
transcription products became susceptible to the action of exogenous nucleases,
indicating virion disruption. Disruption was coincident with the loss of the
endogenous reverse transcription activity of virions, particularly late reverse
transcription products. Consistent with this observation, the use of a modified
"spin thru" method, which uses brief detergent exposure, also disrupted virions.
The addition of lysates made from mammalian cell lines (Jurkat, HEK293T, and NIH
3T3 cells) to virions delipidated by detergent stimulated late reverse
transcription efficiency. A complex with reverse transcription activity that was
slower sedimenting than virions on a velocity gradient was greatly stimulated to
generate full-length reverse transcription products and was associated with only
relatively small amounts of capsid. These experiments suggest that cell factors
are required for efficient reverse transcription of HIV-1.
PMID- 18045932
TI - Foot-and-mouth disease virus forms a highly stable, EDTA-resistant complex with
its principal receptor, integrin alphavbeta6: implications for infectiousness.
AB - The initial stage of foot-and-mouth disease virus (FMDV) infection is virus
binding to cell surface integrins via the RGD motif in the GH loop of the VP1
capsid protein. As for all ligand/integrin interactions, the initial contact
between FMDV and its integrin receptors is cation dependent and hence inhibited
by EDTA. We have investigated this binding process with RGD-containing peptides
derived from the VP1 capsid protein of FMDV and discovered that, upon binding,
some of these peptides form highly stable, EDTA-resistant associations with
integrin alphavbeta6. Peptides containing specific substitutions show that this
stable binding is dependent on a helical structure immediately C terminal to the
RGD and, specifically, two leucine residues at positions RGD +1 and RGD +4. These
observations have a biological consequence, as we show further that stable, EDTA
resistant binding to alphavbeta6 is a property also exhibited by FMDV particles.
Thus, the integrin-binding loop of FMDV appears to have evolved to form very
stable complexes with the principal receptor of FMDV, integrin alphavbeta6. An
ability to induce such stable complexes with its cellular receptor is likely to
contribute significantly to the high infectiousness of FMDV.
PMID- 18045933
TI - Murine endogenous retrovirus MuERV-L is the progenitor of the "orphan" epsilon
viruslike particles of the early mouse embryo.
AB - Viruslike particles which displayed a peculiar wheellike appearance that
distinguished them from A-, B- or C-type particles had previously been described
in the early mouse embryo. The maximum expression of these so-called epsilon
particles was observed in two-cell-stage embryos, followed by their rapid decline
at later stages of development and no particles detected at the zygote one-cell
stage. Here, we show that these particles are in fact produced by a newly
discovered murine endogenous retrovirus (ERV) belonging to the widespread family
of mammalian ERV-L elements and named MuERV-L. Using antibodies that we raised
against the Gag protein of these elements, Western blot analysis and in toto
immunofluorescence studies of the embryos at various stages disclosed the same
developmental expression profile as that observed for epsilon particles. Using
expression vectors for cloned, full-length, entirely coding MuERV-L copies and
cell transfection, direct identification of the epsilon particles was finally
achieved by high-resolution electron microscopy.
PMID- 18045934
TI - Differential targeting of nuclear pore complex proteins in poliovirus-infected
cells.
AB - Poliovirus disrupts nucleocytoplasmic trafficking and results in the cleavage of
two nuclear pore complex (NPC) proteins, Nup153 and Nup62. The NPC is a 125-MDa
complex composed of multiple copies of 30 different proteins. Here we have
extended the analysis of the NPC in infected cells by examining the status of
Nup98, an interferon-induced NPC protein with a major role in mRNA export. Our
results indicate that Nup98 is targeted for cleavage after infection but that
this occurs much more rapidly than it does for Nup153 and Nup62. In addition, we
find that cleavage of these NPC proteins displays differential sensitivity to the
viral RNA synthesis inhibitor guanidine hydrochloride. Inhibition of nuclear
import and relocalization of host nuclear proteins to the cytoplasm were only
apparent at later times after infection when all three nucleoporins (Nups) were
cleaved. Surprisingly, analysis of the distribution of mRNA in infected cells
revealed that proteolysis of Nup98 did not result in an inhibition of mRNA
export. Cleavage of Nup98 could be reconstituted by the addition of purified
rhinovirus type 2 2A(pro) to whole-cell lysates prepared from uninfected cells,
suggesting that the 2A protease has a role in this process in vivo. These results
indicate that poliovirus differentially targets subsets of NPC proteins at early
and late times postinfection. In addition, targeting of interferon-inducible NPC
proteins, such as Nup98, may be an additional weapon in the arsenal of poliovirus
and perhaps other picornaviruses to overcome host defense mechanisms.
PMID- 18045935
TI - Role of alveolar macrophages in respiratory transmission of visna/maedi virus.
AB - A major route of transmission of Visna/maedi virus (VMV), an ovine lentivirus, is
thought to be via the respiratory tract, by inhalation of either cell-free or
cell-associated virus. In previous studies, we have shown that infection via the
lower respiratory tract is much more efficient than via upper respiratory tissues
(T. N. McNeilly, P. Tennant, L. Lujan, M. Perez, and G. D. Harkiss, J. Gen.
Virol. 88:670-679, 2007). Alveolar macrophages (AMs) are prime candidates for the
initial uptake of virus in the lower lung, given their in vivo tropism for VMV,
abundant numbers, location within the airways, and role in VMV-induced
inflammation. Furthermore, AMs are the most likely cell type involved in the
transmission of cell-associated virus. In this study, we use an experimental in
vivo infection model that allowed the infection of specific segments of the ovine
lung. We demonstrate that resident AMs are capable of VMV uptake in vivo and that
this infection is associated with a specific up-regulation of AM granulocyte
macrophage colony-stimulating factor mRNA expression (P < 0.05) and an increase
in bronchoalveolar lymphocyte numbers (P < 0.05), but not a generalized
inflammatory response 7 days postinfection. We also demonstrate that both
autologous and heterologous VMV-infected AMs are capable of transmitting virus
after lower, but not upper, respiratory tract instillation and that this transfer
of virus appears not to involve the direct migration of virus-infected AMs from
the airspace. These results suggest that virus is transferred from AMs into the
body via an intermediate route. The results also suggest that the inhalation of
infected AMs represents an additional mechanism of virus transmission.
PMID- 18045936
TI - Establishment of canine RNA polymerase I-driven reverse genetics for influenza A
virus: its application for H5N1 vaccine production.
AB - In the event of a new influenza pandemic, vaccines whose antigenicities match
those of circulating strains must be rapidly produced. Here, we established an
alternative reverse genetics system for influenza virus using the canine
polymerase I (PolI) promoter sequence that works efficiently in the Madin-Darby
canine kidney cell line, a cell line approved for human vaccine production. Using
this system, we were able to generate H5N1 vaccine seed viruses more efficiently
than can be achieved with the current system that uses the human PolI promoter in
African green monkey Vero cells, thus improving pandemic vaccine production.
PMID- 18045937
TI - Infectious bronchitis viruses with a novel genomic organization.
AB - A number of novel infectious bronchitis viruses (IBVs) were previously identified
in commercial poultry in Australia, where they caused significant economic
losses. Since there has been only limited characterization of these viruses, we
investigated the genomic and phenotypic differences between these novel IBVs and
other, classical IBVs. The 3' 7.5 kb of the genomes of 17 Australian IBV strains
were sequenced, and growth properties of 6 of the strains were compared.
Comparison of sequences of the genes coding for structural and nonstructural
proteins revealed the existence of two IBV genotypes: classical and novel. The
genomic organization of the classical IBVs was typical of those of other group
III coronaviruses: 5'-Pol-S-3a-3b-E-M-5a-5b-N-untranslated region (UTR)-3'.
However, the novel IBV genotype lacked either all or most of the genes coding for
nonstructural proteins at the 3' end of the genome and had a unique open reading
frame, X1. The gene order was either 5'-Pol-S-X1-E-M-N-UTR-3' or 5'-Pol-S-X1-E-M
5b-N-UTR-3'. Phenotypically, novel and classical IBVs also differed; novel IBVs
grew at a slower rate and reached lower titers in vitro and in vivo and were
markedly less immunogenic in chicks. Although the novel IBVs induced
histopathological lesions in the tracheas of infected chicks that were comparable
to those induced by classical strains, they did not induce lesions in the
kidneys. This study has demonstrated for the first time the existence of a
naturally occurring IBV genotype devoid of some of the genes coding for
nonstructural proteins and has also indicated that all of the accessory genes are
dispensable for the growth of IBV and that such viruses are able to cause
clinical disease and economic loss. The phylogenic differences between these
novel IBVs and other avian coronaviruses suggest a reservoir host distinct from
domestic poultry.
PMID- 18045939
TI - Increased levels of galactose-deficient anti-Gal immunoglobulin G in the sera of
hepatitis C virus-infected individuals with fibrosis and cirrhosis.
AB - Hepatitis B and C viruses are major causative agents of liver fibrosis,
cirrhosis, and liver cancer. Using comparative glycoproteomics, we identified a
glycoprotein that is altered both in amount and in glycosylation as a function of
liver fibrosis and cirrhosis. Specifically, this altered glycoprotein is an
immunoglobulin G (IgG) molecule reactive to the heterophilic alpha-Gal epitope
[Galalpha-1-3Galbeta1-(3)4GlcNAc-R]. While similar changes in glycosylation have
been observed in several autoimmune diseases, the specific immunoglobulins and
their antigen recognition profiles were not determined. Thus, we provide the
first report identifying the specific antigenic recognition profile of an
immunoglobulin molecule containing altered glycosylation as a function of liver
disease. This change in glycosylation allowed increased reactivity with several
fucose binding lectins and permitted the development of a plate-based assay to
measure this change. Increased lectin reactivity was observed in 100% of the more
than 200 individuals with stage III or greater fibrosis and appeared to be
correlated with the degree of fibrosis. The reason for the alteration in the
glycosylation of anti-Gal IgG is currently unclear but may be related to the
natural history of the disease and may be useful in the noninvasive detection of
fibrosis and cirrhosis.
PMID- 18045938
TI - Integrin alphaVbeta3 Binds to the RGD motif of glycoprotein B of Kaposi's sarcoma
associated herpesvirus and functions as an RGD-dependent entry receptor.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV) envelope-associated glycoprotein B
(gB) is involved in the initial steps of binding to host cells during KSHV
infection. gB contains an RGD motif reported to bind the integrin alpha(3)beta(1)
during virus entry. Although the ligand specificity of alpha(3)beta(1) has been
controversial, current literature indicates that alpha(3)beta(1) ligand
recognition is independent of RGD. We compared alpha(3)beta(1) to the RGD-binding
integrin, alpha(V)beta(3), for binding to envelope-associated gB and a gB(RGD)
peptide. Adhesion assays demonstrated that beta(3)-CHO cells overexpressing
alpha(V)beta(3) specifically bound gB(RGD), whereas alpha(3)-CHO cells
overexpressing alpha(3)beta(1) did not. Function-blocking antibodies to
alpha(V)beta(3) inhibited the adhesion of HT1080 fibrosarcoma cells to gB(RGD),
while antibodies to alpha(3)beta(1) did not. Using affinity-purified integrins
and confocal microscopy, alpha(V)beta(3) bound to gB(RGD) and KSHV virions,
demonstrating direct receptor-ligand interactions. Specific alpha(V)beta(3)
antagonists, including cyclic and dicyclic RGD peptides and alpha(V)beta(3)
function-blocking antibodies, inhibited KSHV infection by 70 to 80%.
Keratinocytes from alpha(3)-null mice lacking alpha(3)beta(1) were fully
competent for infection by KSHV, and reconstitution of alpha(3)beta(1) function
by transfection with alpha(3) cDNA reduced KSHV infectivity from 74% to 55%.
Additional inhibitory effects of alpha(3)beta(1) on the cell surface expression
of alpha(V)beta(3) and on alpha(V)beta(3)-mediated adhesion of alpha(3)-CHO cells
overexpressing alpha(3)beta(1) were detected, consistent with previous reports of
transdominant inhibition of alpha(V)beta(3) function by alpha(3)beta(1). These
observations may explain previous reports of an inhibition of KSHV infection by
soluble alpha(3)beta(1). Our studies demonstrate that alpha(V)beta(3) is a
cellular receptor mediating both the cell adhesion and entry of KSHV into target
cells through binding the virion-associated gB(RGD).
PMID- 18045940
TI - Spontaneous recovery in acute human hepatitis C virus infection: functional T
cell thresholds and relative importance of CD4 help.
AB - The mechanisms mediating protective immunity to hepatitis C virus (HCV) infection
are incompletely understood because early infection in humans is rarely
identified, particularly in those individuals who subsequently demonstrate
spontaneous virus eradication. We have established a large national network of
patients with acute HCV infection. Here, we comprehensively examined total HCV
specific CD4(+) and CD8(+) T-cell responses and identified functional T-cell
thresholds that predict recovery. Interestingly, we found that the presence of
HCV-specific cytotoxic T lymphocytes (CTLs) that can proliferate, exhibit
cytotoxicity, and produce gamma interferon does not ensure recovery, but whether
these CTLs were primed in the presence or absence of CD4(+) T-cell help (HCV
specific interleukin-2 production) is a critical determinant. These results have
important implications for early prediction of the virologic outcome following
acute HCV and for the development of novel immunotherapeutic approaches.
PMID- 18045941
TI - Adeno-associated virus type 12 (AAV12): a novel AAV serotype with sialic acid-
and heparan sulfate proteoglycan-independent transduction activity.
AB - Recombinant adeno-associated virus (rAAV) is a promising vector for gene therapy.
Recent isolations of novel AAV serotypes have led to significant advances by
broadening the tropism and increasing the efficiency of gene transfer to the
desired target cell. However, a major concern that remains is the strong
preexisting immune responses to several vectors. In this paper, we describe the
isolation and characterization of AAV12, an AAV serotype with unique biological
and immunological properties. In contrast to those of all other reported AAVs,
AAV12 cell attachment and transduction do not require cell surface sialic acids
or heparan sulfate proteoglycans. Furthermore, rAAV12 is resistant to
neutralization by circulating antibodies from human serum. The feasibility of
rAAV12 as a vector was demonstrated in a mouse model in which muscle and salivary
glands were transduced. These characteristics make rAAV12 an interesting
candidate for gene transfer applications.
PMID- 18045942
TI - Macrophage-derived simian immunodeficiency virus exhibits enhanced infectivity by
comparison with T-cell-derived virus.
AB - Human immunodeficiency virus (HIV) and simian immunodeficiency virus (SIV) infect
and productively replicate in macrophages and T lymphocytes. Here, we show that
SIV virions derived from macrophages have higher levels of infectivity than those
derived from T cells. The lower infectivity of T-cell-derived viruses is
influenced by the quantity or type of mannose residues on the virion. Our results
demonstrate that the cellular origin of a virus is a major factor in viral
infectivity. Cell-type-specific factors in viral infectivity, and organ-specific
or disease stage-specific differences in cellular derivation of virions, can be
critical in the pathogenesis of HIV and AIDS.
PMID- 18045943
TI - Evolution to pathogenicity of the parvovirus minute virus of mice in
immunodeficient mice involves genetic heterogeneity at the capsid domain that
determines tropism.
AB - Very little is known about the role that evolutionary dynamics plays in diseases
caused by mammalian DNA viruses. To address this issue in a natural host model,
we compared the pathogenesis and genetics of the attenuated fibrotropic and the
virulent lymphohematotropic strains of the parvovirus minute virus of mice (MVM),
and of two invasive fibrotropic MVM (MVMp) variants carrying the I362S or K368R
change in the VP2 major capsid protein, in the infection of severe combined
immunodeficient (SCID) mice. By 14 to 18 weeks after oronasal inoculation, the
I362S and K368R viruses caused lethal leukopenia characterized by tissue damage
and inclusion bodies in hemopoietic organs, a pattern of disease found by 7 weeks
postinfection with the lymphohematotropic MVM (MVMi) strain. The MVMp populations
emerging in leukopenic mice showed consensus sequence changes in the MVMi
genotype at residues G321E and A551V of VP2 in the I362S virus infections or
A551V and V575A changes in the K368R virus infections, as well as a high level of
genetic heterogeneity within a capsid domain at the twofold depression where
these residues lay. Amino acids forming this capsid domain are important MVM
tropism determinants, as exemplified by the switch in MVMi host range toward
mouse fibroblasts conferred by coordinated changes of some of these residues and
by the essential character of glutamate at residue 321 for maintaining MVMi
tropism toward primary hemopoietic precursors. The few viruses within the
spectrum of mutants from mice that maintained the respective parental 321G and
575V residues were infectious in a plaque assay, whereas the viruses with the
main consensus sequences exhibited low levels of fitness in culture. Consistent
with this finding, a recombinant MVMp virus carrying the consensus sequence
mutations arising in the K368R virus background in mice failed to initiate
infection in cell lines of different tissue origins, even though it caused rapid
course lethal leukopenia in SCID mice. The parental consensus genotype prevailed
during leukopenia development, but plaque-forming viruses with the reversion of
the 575A residue to valine emerged in affected organs. The disease caused by the
DNA virus in mice, therefore, involves the generation of heterogeneous viral
populations that may cooperatively interact for the hemopoietic syndrome. The
evolutionary changes delineate a sector of the surface of the capsid that
determines tropism and that surrounds the sialic acid receptor binding domain.
PMID- 18045944
TI - Pathogenesis and immune responses in gnotobiotic calves after infection with the
genogroup II.4-HS66 strain of human norovirus.
AB - We previously characterized the pathogenesis of two host-specific bovine enteric
caliciviruses (BEC), the GIII.2 norovirus (NoV) strain CV186-OH and the
phylogenetically unassigned NB strain, in gnotobiotic (Gn) calves. In this study
we evaluated the Gn calf as an alternative animal model to study the pathogenesis
and host immune responses to the human norovirus (HuNoV) strain GII.4-HS66. The
HuNoV HS66 strain caused diarrhea (five/five calves) and intestinal lesions
(one/two calves tested) in the proximal small intestine (duodenum and jejunum) of
Gn calves, with lesions similar to, but less severe than, those described for the
Newbury agent 2 (NA-2) and NB BEC. Viral capsid antigen was also detected in the
jejunum of the proximal small intestine of one of two calves tested by
immunohistochemistry. All inoculated calves shed virus in feces (five/five
calves), and one/five had viremia. Antibodies and cytokine (proinflammatory,
tumor necrosis factor alpha [TNF-alpha]; Th1, interleukin-12 [IL-12] and gamma
interferon [IFN-gamma]; Th2, IL-4; Th2/T-regulatory, IL-10) profiles were
determined in serum, feces, and intestinal contents (IC) of the HuNoV-HS66
inoculated calves (n = 5) and controls (n = 4) by enzyme-linked immunosorbent
assay in the acute (postinoculation day 3 [PID 3]) and convalescent (PID 28)
stages of infection. The HuNoV-HS66-specific antibody and cytokine-secreting
cells (CSCs) were quantitated by ELISPOT in mononuclear cells of local and
systemic tissues at PID 28. Sixty-seven percent of the HuNoV-HS66-inoculated
calves seroconverted, and 100% coproconverted with immunoglobulin A (IgA) and/or
IgG antibodies to HuNoV-HS66, at low titers. The highest numbers of antibody
secreting cells (ASC), both IgA and IgG, were detected locally in intestine, but
systemic IgA and IgG ASC responses also occurred in the HuNoV-HS66-inoculated
calves. In serum, HuNoV-HS66 induced higher peaks of TNF-alpha and IFN-gamma at
PIDs 2, 7, and 10; of IL-4 and IL-10 at PID 4; and of IL-12 at PIDs 7 and 10,
compared to controls. In feces, cytokines increased earlier (PID 1) than in serum
and TNF-alpha and IL-10 were elevated acutely in the IC of the HS66-inoculated
calves. Compared to controls, at PID 28 higher numbers of IFN-gamma and TNF-alpha
CSCs were detected in mesenteric lymph nodes (MLN) or spleen and Th2 (IL-4) CSCs
were elevated in intestine; IL-10 CSCs were highest in spleen. Our study provides
new data confirming HuNoV-HS66 replication and enteropathogenicity in Gn calves
and reveals important and comprehensive aspects of the host's local (intestine
and MLN) and systemic (spleen and blood) immune responses to HuNoV-HS66.
PMID- 18045947
TI - Pregnancy and the risk of breast cancer.
AB - It is well established that childless women and women having children later in
life are at an increased risk of developing breast cancer. In particular, women
having a first child before 20 years of age have a 50% reduction in lifetime
breast cancer risk when compared with women who do not have children. This
protective effect is specific for estrogen receptor positive breast cancer.
Nevertheless, it remains unclear how parity decreases breast cancer risk.
Possible mechanisms of action include changes to the hormonal profile of parous
women, a more differentiated and so less susceptible mammary gland or changes
within specific epithelial cell subpopulations. In this review, we discuss the
epidemiological evidence for the protective effects of parity on breast cancer.
We also explore the mechanisms by which parity protects, with a particular
emphasis on the role of stem cells and the interactions between stem cells and
estrogen.
PMID- 18045946
TI - Gamma/Delta T-cell functional responses differ after pathogenic human
immunodeficiency virus and nonpathogenic simian immunodeficiency virus
infections.
AB - The objective of this study was to functionally assess gamma/delta (gammadelta) T
cells following pathogenic human immunodeficiency virus (HIV) infection of humans
and nonpathogenic simian immunodeficiency virus (SIV) infection of sooty
mangabeys. gammadelta T cells were obtained from peripheral blood samples from
patients and sooty mangabeys that exhibited either a CD4-healthy (>200 CD4(+) T
cells/mul blood) or CD4-low (<200 CD4 cells/mul blood) phenotype. Cytokine flow
cytometry was utilized to assess production of Th1 cytokines tumor necrosis
factor alpha and gamma interferon following ex vivo stimulation with either
phorbol myristate acetate/ionomycin or the Vdelta2 gammadelta T-cell receptor
agonist isopentenyl pyrophosphate. Sooty mangabeys were observed to have higher
percentages of gammadelta T cells in their peripheral blood than humans did.
Following stimulation, gammadelta T cells from SIV-positive (SIV(+)) mangabeys
maintained or increased their ability to express the Th1 cytokines regardless of
CD4(+) T-cell levels. In contrast, HIV-positive (HIV(+)) patients exhibited a
decreased percentage of gammadelta T cells expressing Th1 cytokines following
stimulation. This dysfunction is primarily within the Vdelta2(+) gammadelta T
cell subset which incurred both a decreased overall level in the blood and a
reduced Th1 cytokine production. Patients treated with highly active
antiretroviral therapy exhibited a partial restoration in their gammadelta T-cell
Th1 cytokine response that was intermediate between the responses of the
uninfected and HIV(+) patients. The SIV(+) sooty mangabey natural hosts, which do
not proceed to clinical AIDS, provide evidence that gammadelta T-cell dysfunction
occurs in HIV(+) patients and may contribute to HIV disease progression.
PMID- 18045945
TI - Cellular entry of lymphocytic choriomeningitis virus.
AB - In contrast to most enveloped viruses that enter the host cell via clathrin
dependent endocytosis, the Old World arenavirus lymphocytic choriomeningitis
virus (LCMV) enters cells via noncoated vesicles that deliver the virus to
endosomes, where pH-dependent membrane fusion occurs. Here, we investigated the
initial steps of LCMV infection. We found that the attachment of LCMV to its
cellular receptor alpha-dystroglycan occurs rapidly and is not dependent on
membrane cholesterol. However, subsequent virus internalization is sensitive to
cholesterol depletion, indicating the involvement of a cholesterol-dependent
pathway. We provide evidence that LCMV entry involves an endocytotic pathway that
is independent of clathrin and caveolin and that does not require the GTPase
dynamin. In addition, neither the structural integrity nor the dynamics of the
actin cytoskeleton are required for infection. These findings indicate that the
prototypic Old World arenavirus LCMV uses a mechanism of entry that is different
from clathrin-mediated endocytosis, which is used by the New World arenavirus
Junin virus, and pathways used by other enveloped viruses.
PMID- 18045948
TI - Pheochromocytoma: an update on genetics and management.
AB - Pheochromocytomas (PHEOs) are rare neoplasms that produce catecholamines and
usually arise from the adrenal medulla and are considered to be an adrenal
paraganglioma (PGL). Closely related tumors of extraadrenal sympathetic and
parasympathetic paraganglia are classified as extraadrenal PGLs. Most PHEOs are
sporadic, but a significant percentage (approximately 25%) may be found in
patients with germline mutations of genes predisposing to the development of von
Hippel-Lindau disease, neurofibromatosis 1, multiple endocrine neoplasia type 1
(MEN1) and 2 (MEN2), and the PGL/PHEOs syndrome, based on the described mutations
of the genes for succinate dehydrogenase subunit D (SDHD), B (SDHB), and C
(SDHC). As one out of four PHEOs turns out to be a hereditary clinical entity,
screening for genetic alterations is important, as it provides useful information
for a rational diagnostic approach and management. This review discusses the
genetics, the pathophysiology of hypertension, the clinical picture, the
biochemical and imaging diagnosis, and the preferred therapeutic approach for
PGLs/PHEOs. Furthermore, it emphasizes the need for genetic testing in cases with
apparently sporadic PHEOs.
PMID- 18045949
TI - New insights in thyroid follicular cell biology and its impact in thyroid cancer
therapy.
AB - Well-differentiated thyroid cancer has in general terms a very good outcome. It
has a very slow growth rate and, although it metastasizes at a relatively high
frequency, it has very high survival rates. Whereas the prevalence of nodular
thyroid disease worldwide is high, malignant conversion from benign thyroid
nodules is rare. Treatment of thyroid cancer is usually successful, but we still
do not have effective therapies for patients with invasive or metastatic thyroid
cancer if the disease does not concentrate radioiodine and it is not surgically
resectable. On the other hand, from the same thyroid cell, one of the most
aggressive human tumours can arise--undifferentiated or anaplastic thyroid
carcinoma--leading to death in a few months. What features of this malignancy
account for such paradoxical behaviour? The most common type of thyroid cancer-
papillary thyroid carcinoma--stands out among solid tumours because many of the
tumour-initiating events have been identified. All of them function in a single
pathway--the RTK/RAS/RAF/MAPK pathway--and obey an 'exclusivity principle': one
and only one component of the pathway is mutated in a single tumour. This
highlights the requirement of this signal transduction pathway for the
transformation to thyroid cancer and paves the way to targeted therapies against
a tumour with a mutation in a known gene or any gene upstream of the target.
However, it is also interesting to underscore the differences among the tumours
arising from the different mutations. Studies in vitro and in vivo, including
genomic profiling and genetically engineered mouse models, have clearly shown
that each oncoprotein exerts its own oncogenic drive, conferring a distinct
biological behaviour on thyroid tumours. In this review, we attempt to summarise
the most recent advances in thyroid follicular cell-derived cancers research and
their potential clinical impact that may change the management of thyroid cancer
in the near future.
PMID- 18045950
TI - Non-islet cell tumour-induced hypoglycaemia: a review of the literature including
two new cases.
AB - This review focuses on the tumour types and symptoms associated with non-islet
cell tumour-induced hypoglycaemia (NICTH) as well as the pathogenesis, diagnosis
and treatment of this rare paraneoplastic phenomenon. In addition, we report two
illustrative cases of patients suffering from NICTH caused by a solid fibrous
tumour and a haemangiopericytoma respectively. In the first case, NICTH resolved
following complete resection of the tumour, but in the second case the patient
needed long-term treatment aimed at controlling hypoglycaemia because of non
resectable metastases. Many tumour types have been associated with NICTH. The
crucial event in the development of NICTH seems to be overexpression of the IGF
II gene by the tumour. NICTH is characterised by recurrent fasting hypoglycaemia
and is associated with the secretion of incompletely processed precursors of IGF
II ('big'-IGF-II) by the tumour. This induces dramatic secondary changes in the
circulating levels of insulin, GH, IGF-I and IGF-binding proteins, resulting in
an insulin-like hypoglycaemic activity of 'big'-IGF-II.
PMID- 18045951
TI - Adiponectin signals in prostate cancer cells through Akt to activate the
mammalian target of rapamycin pathway.
AB - Adiponectin has received much attention due to its beneficial effects on insulin
sensitivity, and epidemiologic studies have further shown an inverse association
between adiponectin levels and risk for multiple tumors, which is independent of
the IGF system or other risk factors. Previous studies have shown that
adiponectin can activate AMP-activated protein kinase (AMPK) in myocytes,
hepatocytes, and adipocytes, suggesting that adiponectin may suppress tumor
development through AMPK activation and subsequent inhibition of mammalian target
of rapamycin (mTOR). However, the mechanisms through which adiponectin affects
cancer cells are not understood, and it remains to be determined whether
adiponectin is linked to the same downstream targets in all cells types, and in
particular in cancer cells. In the present study, we demonstrate that while
adiponectin stimulates AMPK in phosphatase and tensin homolog deleted on
chromosome ten (PTEN) deficient LNCaP prostate cancer cells, it also increases
mTOR activity as assessed by phosphorylation of two downstream targets, p70 S6
kinase and ribosomal protein S6. This adiponectin stimulation of mTOR was
mediated through phosphatidylinositol 3-kinase (PI3 kinase) and Akt activation.
These results show that adiponectin can activate both AMPK and PI3 kinase/Akt
pathways, and that cell type-specific factors such as PTEN status may determine
which of these pathways will have the dominant effect on mTOR. Therefore, while
it is possible that high endogenous adiponectin levels could be protective
against cancer by direct mechanisms or indirect systemic mechanisms, our results
indicate that adiponectin may also directly stimulate signaling pathways that
enhance the growth of some tumors.
PMID- 18045952
TI - Suppressor of cytokine signalling-3 is up-regulated by androgen in prostate
cancer cell lines and inhibits androgen-mediated proliferation and secretion.
AB - Suppressors of cytokine signalling (SOCS) are induced by interleukins (ILs) and
various peptide hormones and may prevent sustained activation of signalling
pathways. We have previously shown that SOCS-3 antagonizes regulation of cellular
events by cAMP and is expressed in human prostate cancer. To investigate possible
effects of androgen on SOCS-3 protein expression, two prostate cancer cell lines
(PC3-AR and LAPC4) were treated with different concentrations of R1881. Western
blot analyses revealed induction of SOCS-3 protein expression in both cell lines
by androgen, an effect which can be blocked by the anti-androgen bicalutamide. To
further characterize the effects of R1881 on the SOCS-3 gene, promoter-reporter
assay and real-time PCR were performed. We found no influence of androgen on
promoter activity or SOCS-3 mRNA levels, thus suggesting a post-transcriptional
effect of androgen. Concordant with our previous findings, we show a significant
increase of SOCS-3 protein after androgen treatment in cells in which
transcription was blocked, but not in those with impaired translation. In order
to understand implications of SOCS-3 regulation by androgen, we used SOCS-3
negative LNCaP-IL-6 cells and stably transfected them with a tetracycline
responsive SOCS-3 Tet-On plasmid. We report that androgenic effects on cell
proliferation and prostate-specific antigen secretion are significantly
diminished following up-regulation of SOCS-3. In conclusion, androgen up
regulates SOCS-3 protein via post-transcriptional effects. SOCS-3 inhibits
androgen-stimulated proliferation by influencing cell cycle regulation. Taken
together with previous findings showing androgen receptor activation by IL-6, our
results imply that androgen and cytokine signalling pathways interact at multiple
levels in prostate cancer.
PMID- 18045953
TI - Anti-estrogenic actions of histone deacetylase inhibitors in MCF-7 breast cancer
cells.
AB - Anti-estrogens are the current endocrine therapy of choice in the treatment of
estrogen receptor (ER)-positive breast cancers. Histone deacetylase inhibitors
(HDACi) also constitute a promising treatment for therapy, and combination of
anti-estrogens with HDACi may improve efficacy while reducing side effects. We
have examined the effect of the HDACi sodium butyrate and suberoylanilide
hydroxamic acid (SAHA), alone and in combination with 17beta-estradiol (E2) and
the pure anti-estrogen ICI 182.780 (ICI) in human MCF-7 breast cancer cells.
HDACi caused a sustained increase of histone H3 acetylation and caused cell death
as shown by flow cytometry analysis. In surviving cells, both inhibitors were
even stronger than ICI in depleting cyclin D1 levels, inducing expression of the
cyclin kinase inhibitor p21Waf1/Cip1, blocking phosphorylation of the
retinoblastoma protein, or inhibiting cell growth. No additive effects of ICI
with either butyrate or SAHA were found. In addition, these drugs were able to
antagonize the effects of E2 on expression of cell cycle proteins, cell growth,
and transcription of ER-dependent genes. The anti-estrogenic effects of HDACi
appear to be related to a strong downregulation of the expression of ERalpha that
appears to be secondary to both transcriptional and post-transcriptional
regulation. ERalpha phosphorylation is involved in estrogen signaling, and HDACi
also prevented receptor phosphorylation in Ser-118 both in the absence and
presence of ER ligands. These results provide further support for the use of
deacetylase inhibitors as chemotherapeutic agents in the treatment of breast
cancer tumors.
PMID- 18045954
TI - Cyclooxygenase-2 inhibitors prevent the development of chemoresistance phenotype
in a breast cancer cell line by inhibiting glycoprotein p-170 expression.
AB - Breast cancer cells are usually sensitive to several chemotherapeutic regimens,
but they can develop chemoresistance after prolonged exposure to cytotoxic drugs,
acquiring a more aggressive phenotype. Drug resistance might involve the multi
drug resistance (MDR) 1 gene, encoding a transmembrane glycoprotein p-170 (P-gp),
which antagonizes intracellular accumulation of cytotoxic agents, such as
doxorubicin. We previously demonstrated that type 2 cyclooxygenase (COX-2)
inhibitors can reverse the chemoresistance phenotype of a medullary thyroid
carcinoma cell line by inhibiting P-gp expression and function. The aim of our
study was to investigate the role of COX-2 inhibitors in modulating
chemoresistance in a human breast cancer cell line, MCF7. MCF7 cells, expressing
COX-2 but not MDR1, were treated with increasing doses of doxorubicin, and they
became chemoresistant after 10 days of treatment, in association with MDR1
expression induction. This effect was reversed by doxorubicin withdrawal and
prevented by co-incubation with N-[2-(cyclohexyloxy)4-nitrophenyl]
methanesulfonamide (NS-398), a selective COX-2 inhibitor. Treatment with NS-398
alone did not influence cell viability of a resistant MCF7 cell clone (rMCF7),
but sensitized rMCF7 cells to the cytotoxic effects of doxorubicin. Moreover,
treatment with NS-398 significantly reduced MDR1 expression in rMCF7 cells.
Doxorubicin-induced membrane P-gp expression and function was also greatly
impaired. Our data therefore support the hypothesis that COX-2 inhibitors can
prevent or reduce the development of the chemoresistance phenotype in breast
cancer cells by inhibiting P-gp expression and function.
PMID- 18045955
TI - CXC chemokines located in the 4q21 region are up-regulated in breast cancer.
AB - Recent data suggest that chemokines could be essential players in breast
carcinogenesis. We previously showed that the CXC chemokine CXCL8 (interleukin-8)
was overexpressed in estrogen receptor alpha (ERalpha)-negative breast cell
lines. Analysis of CXCL8 chromosomal location showed that several CXC chemokines
(CXCL1, CXCL2, CXCL3, CXCL4, CXCL4V1, CXCL5, CXCL6, CXCL7, and CXCL8) were
localized in the same narrow region (360 kb in size) of chromosome 4. We thus
hypothesized that they could belong to the same cluster. Quantification of these
chemokines in breast tumors showed that samples expressing high CXCL8 also
produced elevated levels of CXCL1, CXCL3, and CXCL5, and displayed low content of
ERalpha. CXCL1, CXCL2, CXCL3, CXCL5, and CXCL8 were co-regulated both in tumors
and in breast cancer cell lines. CXCL5 and CXCL8 were mainly produced by
epithelial cells, whereas CXCL1, CXCL2, and CXCL3 had a high expression in blood
cells. The overexpression of these chemokines in tumor cells was not the result
of gene amplification, but rather of an enhanced gene transcription. Our data
suggest that high CXCL8 expression in tumors is mainly correlated to activating
protein-1 (AP-1) pathway and to a minor extent to NF-kappaB pathway.
Interestingly, CXCL1, CXCL2, CXCL3, CXCL5, CXCL6, and CXCL8 chemokines were
present at higher levels in metastases when compared with grade I and III
biopsies. High levels of CXCL8, CXCL1, and CXCL3 accounted for a shorter relapse
free survival of ERalpha-positive patients treated with tamoxifen. In summary, we
present evidences that multiple CXC chemokines are co-expressed in CXCL8-positive
breast tumors. In addition, these chemokines could account for the higher
aggressiveness of these types of tumors.
PMID- 18045956
TI - Intratumoral IGF-I protein expression is selectively upregulated in breast cancer
patients with BRCA1/2 mutations.
AB - BRCA1/2 mutations predispose to early onset breast and ovarian cancers. The
phenotypic expression of mutant alleles, however, is thought to be modified by
factors that are also involved in the pathogenesis of sporadic breast cancer. One
such protein is IGF-I, one of the strongest mitogens to breast cancer cells in
vitro. We have utilized immunohistochemistry to compare the intratumoral IGF-I
and IGF-I receptor (IGF-IR) protein expression in 57 BRCA1/2 mutation carriers
and 102 matched breast cancer patients without a family history in a nested case
control study. BRCA1 silencing by siRNA was used to investigate the effect of
BRCA mutations on IGF-I protein expression. IGF-I protein expression was detected
in tumoral epithelium and surrounding stroma, and was significantly upregulated
in tumors of BRCA mutation carriers when compared with matched sporadic tumors
(epithelial: 87.7% vs 61.8%, P=0.001; stromal: 73.7% vs 34.3%, P<0.001). By
contrast, IGF-IR protein expression was confined to malignant epithelium and was
unchanged in mutation carriers (52.6% vs 39.2%, P=0.310). While in mutation
carriers IGF-IR protein expression was significantly correlated with both
epithelial (P=0.003) and stromal IGF-I (P=0.02), this association was less
pronounced in sporadic breast cancer (P=0.02 respectively). siRNA-mediated
downregulation of BRCA1 in primary human mammary gland cells triggered
upregulation of endogenous intracellular IGF-I in vitro. The increased
intratumoral IGF-I protein expression in BRCA mutation carriers suggests an
involvement of the IGF-I/IGF-IR axis in the biological behavior of breast cancers
in this population and could define a potential therapeutic target.
PMID- 18045957
TI - Inhibitory effects of resistin-13-peptide on the proliferation, adhesion, and
invasion of MDA-MB-231 in human breast carcinoma cells.
AB - To investigate the potential effects of resistin-13-peptide on the growth,
adhesion, and invasion in human breast carcinoma cells, MDA-MB-231. 3-(4,5
dimethyl-2-thiazolyl)-2,5-diphenyl-2H-tetrazolium bromide assay and colony
forming assay were used to assess the proliferation effects of resistin-13
peptide. The adhesive ability was investigated by cell adhesion assay, and the
invasive potential was assessed using a transwell model. Activities of matrix
metalloproteinase (MMP)-2 and MMP-9 were measured by zymography analysis and
western blotting. Tissue inhibitors of metalloproteinases (TIMP)-1 and TIMP-2
were determined by western blotting. In this study, we performed in vivo
experiments and determined the effect of resistin-13-peptide on tumor growth and
other organs, especially ovaries in a xenograft model using the cell line
studied. Resistin-13-peptide inhibited MDA-MB-231 cell growth and colony
formation in a dose- and time-dependent manner. Meanwhile, the invasive and
adhesive abilities of MDA-MB-231 cells were yet cut down by resistin-13-peptide
in a dose-dependent manner. Resistin-13-peptide decreased the gelatinolytic
activities of both MMP-2 and MMP-9 and enhanced the protein expression of TIMP-1
and TIMP-2, which were secreted from the MDA-MB-231 cells. The animal experiments
found that the growth of tumors was repressed by resistin-13-peptide, which
affected other organs in the same time. Especially, ovaries did not have
pathological changes yet. Treatment with resistin-13-peptide is effective in
suppressing tumor proliferation, adhesion, and invasion. The possible mechanism
is downregulation of MMPs and upregulation of TIMPs.
PMID- 18045958
TI - MEN1 mutation analysis in Chinese patients with multiple endocrine neoplasia type
1.
AB - Multiple endocrine neoplasia type 1 (MEN1) is an inherited tumour syndrome
characterized by the development of tumours of the parathyroid, anterior
pituitary and pancreatic islets, etc. Heterozygous germ line mutations of MEN1
gene are responsible for the onset of MEN1. We investigated the probands and 31
family members from eight unrelated Chinese families associated with MEN1 and
identified four novel mutations, namely 373_374ins18, 822delT, 259delT and
1092delC, as well as three previously reported mutations, such as 357_360delCTGT,
427_428delTA and R108X (CGA>TGA) of MEN1 gene. Furthermore, we detected a loss of
heterozygosity (LOH) at chromosome 11q in the removed tumours, including
gastrinoma, insulinoma and parathyroid adenoma from two probands of MEN1
families. RT-PCR and direct sequencing showed that mutant MEN1 transcripts
remained in the MEN1-associated endocrine tumours, whereas normal menin proteins
could not be detected in those tumours by either immunohistochemistry or
immunoblotting. In conclusion, MEN1 heterozygous mutations are associated with
LOH and menin absence, which are present in MEN1-associated endocrine tumours.
PMID- 18045959
TI - Expression and functional consequences of oestrogen and progesterone receptors in
human insulinomas.
AB - The expression of steroid receptors by tumours offers a therapeutic advantage if
functionally responsive to exogenous hormones. Insulinomas represent a highly
symptomatic group of pancreatic tumours and the steroid receptor status of these
tumours is poorly understood. The object of the study was to characterise the sex
steroid receptor status of human insulinomas and to investigate whether sex
steroids alter insulin expression therein. At our tertiary referral University
Hospital, archival and prospective tissues from 25 insulinoma patients collected
over 14 years were analysed for oestrogen receptor-alpha (ERalpha), oestrogen
receptor beta (ERbeta) and progesterone receptor (PR) expression. Tissue explants
of insulinoma and control pancreatic tissue from two new insulinoma patients were
cultured and treated with oestrogen and progesterone and insulin expression
measured by RT-PCR and ELISA. The main outcome measures were established before
data collection and included sex steroid receptor status of tumours and insulin
expression in fresh tissue in response to exogenous sex steroids. PR was
expressed in 24 out of 25, ERalpha in 10 out of 25 and ERbeta in 21 out of 25
insulinomas. In fresh insulinoma cultures, insulin expression was increased by
oestrogen or progesterone, whereas no significant effect was observed in adjacent
pancreatic tissue. This study demonstrates widespread expression of sex steroid
receptors on human insulinoma tissue and provides in vitro evidence of
functionality with increased expression of insulin by insulinoma explants in
response to exogenous oestrogen or progesterone. Confirmation of these results
may provide a therapeutic mechanism for reducing symptomatic insulin secretion by
receptor blockade.
PMID- 18045960
TI - Combined analysis of galectin-3 and BRAFV600E improves the accuracy of fine
needle aspiration biopsy with cytological findings suspicious for papillary
thyroid carcinoma.
AB - Ten to fifteen percent of fine-needle aspiration biopsy (FNAB) of thyroid nodules
are indeterminate. Galectin-3 (Gal-3) and the oncogene BRAFV600E are markers of
malignancy useful to improve FNAB accuracy. The objective of this study was to
determine whether the combined analysis of Gal-3 and BRAFV600E expression in
thyroid aspirates could improve the diagnosis in FNAB with suspicious cytological
findings. Two hundred and sixty-one surgical thyroid tissues and one hundred and
forty-four thyroid aspirates were analyzed for the presence of the two markers.
In surgical specimens, Gal-3 expression was present in 27.4% benign nodules,
91.9% papillary (PTC) and 75% follicular (FTC) thyroid carcinomas. BRAFV600E was
not detected in 127 benign nodules, as well as in 32 FTCs, while was found in
42.9% PTC. No correlation was found between BRAF mutation and Gal-3 expression.
Forty-seven consecutive FNAB suspicious for PTC were analyzed for the presence of
the two markers. Of these nodules, 23 were benign at histology, 6 were positive
for Gal-3, none displayed BRAFV600E, and 17 were negative for both the markers.
Twenty suspicious nodules were diagnosed as PTC and four FTCs at histology. Of
these 24 carcinomas, 9 resulted positive for BRAFV600E, 17 for Gal-3, and 22 for
one or both the markers. The sensitivity, specificity, and accuracy for the
presence of Gal-3 and/or BRAFV600E were significantly higher than those obtained
for the two markers alone. Notably, the negative predictive value increased from
70.8 to 89.5%. In conclusion, the combined detection of Gal-3 and BRAFV600E
improves the diagnosis in FNAB with cytological findings suspicious for PTC and
finds clinical application in selected cases.
PMID- 18045961
TI - Clinically unpredictable prognostic factors in the outcome of medullary thyroid
cancer.
AB - Total thyroidectomy and central neck dissection are the procedures of choice in
patients affected with medullary thyroid cancer. It is known that a medullary
thyroid cancer with node metastases can be rarely cured, and therefore the
utility of a modified radical neck dissection in the absence of suspicious node
metastases still needs further evidence. The study aims to verify whether other
epidemiological and pathological parameters could affect the prognosis of
medullary thyroid cancer patients. We prospectively studied 70 medullary thyroid
cancer patients consecutively operated on (from 2000 to 2004) at the same
institution and analysed by the same pathologists. All patients underwent total
thyroidectomy and central lymphadenectomy. In 27 cases, the ipsilateral (n=19) or
bilateral (n=8) modified radical neck dissection was performed in the presence of
suspicious lateral neck node metastases. After surgical treatment, basal and
stimulated serum calcitonins (Cts) were measured in all patients. Follow-up
ranged between 1 and 4 years. Patients were considered 'cured' when stimulated Ct
was undetectable. Age, sex, tumour size, tumour capsule, multicentricity, nodes
in the central neck and mean number of positive nodes were analysed in 'cured'
and 'not-cured' patients. The presence of node metastases in the central
compartment was significantly correlated with the outcome of the patients, being
present in 9 and 72% of cured and not-cured patients respectively (P<0.000001).
Tumour size was also significantly correlated with the outcome of the disease
(P<0.00006). The presence of the tumour capsule correlated with better prognosis
(P=0.0005) and absence of node metastases (P=0.0080). By multivariate analysis,
the presence of node metastasis remained the most significant variable affecting
the outcome of the disease (P=0.000014). Our results show that the outcome of
encapsulated cancer is significantly better regardless of tumour size and node
metastases. Although the early diagnosis and the extensive surgical treatment may
favour the good outcome of medullary thyroid cancer, they do not always guarantee
the definitive cure of the disease, being the capsular infiltration an
independent bad prognostic factor.
PMID- 18045962
TI - Growth inhibition of human salivary gland tumor cells by introduction of
progesterone (Pg) receptor and Pg treatment.
AB - Cancer of the salivary gland is one of the common cancers in the head and the
neck regions. This type of cancer develops in the minor and the major salivary
glands, and it sometimes metastasizes to other organs, particularly the lung.
Morphologic mimicry and similarity in the expression of steroid hormone receptors
between salivary gland tumors (SGTs) and breast tumors are well-known phenomena
and are occasionally debated in the field of surgical pathology. Progesterone
(Pg), one of the female sex steroid hormone, is intimately involved in the
development of the mammary gland. Further, it is believed that Pg plays a role in
breast cancer progression. However, little is known regarding its role in SGTs.
In this study, we used ACCM, a human adenoid cystic carcinoma cell line
established from the salivary gland, in order to clarify the role of the Pg
receptor (PR) on cell proliferation. No effect of Pg on cell proliferation was
observed in the PR-deficient aggressive ACCM cells. However, after introducing PR
into the ACCM cells, Pg markedly inhibited the proliferative activity of the
cells. This inhibitory effect on cell proliferation was accompanied by p21
upregulation, and Id1 and c-myc downregulation. Moreover, Pg-treated PR
transfectants showed significant morphological change; they appeared more
flattened and spread out when compared with the ethanol-treated control cells.
Our results provided significant insights into the mechanism of suppression of
the proliferative property of the cells via the function of PR, and suggested
that PR reintroduction therapy might be a viable method of inhibiting human SGT
progression.
PMID- 18045963
TI - Vasopressin triggers senescence in K-ras transformed cells via RhoA-dependent
downregulation of cyclin D1.
AB - Arginine vasopressin (AVP), a vasoactive peptide hormone that binds to three G
protein coupled receptors (V1R, V2R, and V3R), has long been known to activate
V1R and elicit mitogenesis in several cell types, including adrenal glomerulosa
cells. However, in the mouse Y1 adrenocortical malignant cell line, AVP triggers
not only a canonical mitogenic response but also novel RhoA-GTP-dependent
mechanisms which downregulate cyclin D1, irreversibly inhibiting K-ras oncogene
driven proliferation. In Y1 cells, AVP blocks cyclin D1 expression, induces
senescence-associated beta-galactosidase (SAbeta-Gal) and inhibits proliferation.
However, ectopic expression of cyclin D1 renders Y1 cells resistant to both
SAbeta-Gal induction and proliferation inhibition by AVP. In addition, ectopic
expression of the dominant negative RhoAN19 mutant blocks RhoA activation,
yielding Y1 cell sub-lines which are no longer susceptible to cyclin D1
downregulation, SAbeta-Gal induction, or proliferation inhibition by AVP.
Furthermore, inhibiting RhoA with C3 exoenzyme protects Y1 cells from AVP
proliferation inhibition and SAbeta-Gal induction. On the other hand, AVP
treatment does not activate caspases 3 and 7, and the caspase inhibitor Ac-DEVD
CMK does not protect Y1 cells from proliferation inhibition by AVP, implying that
AVP does not trigger apoptosis. These results underline a pivotal survival
activity of cyclin D1 that protects K-ras oncogene-dependent malignant cells from
senescence.
PMID- 18045964
TI - Multifractality in the peripheral cardiovascular system from pointwise holder
exponents of laser Doppler flowmetry signals.
AB - We study the dynamics of skin laser Doppler flowmetry signals giving a peripheral
view of the cardiovascular system. The analysis of Holder exponents reveals that
the experimental signals are weakly multifractal for young healthy subjects at
rest. We implement the same analysis on data generated by a standard theoretical
model of the cardiovascular system based on nonlinear coupled oscillators with
linear couplings and fluctuations. We show that the theoretical model, although
it captures basic features of the dynamics, is not complex enough to reflect the
multifractal irregularities of microvascular mechanisms.
PMID- 18045965
TI - Fibroblast adaptation and stiffness matching to soft elastic substrates.
AB - Many cell types alter their morphology and gene expression profile when grown on
chemically equivalent surfaces with different rigidities. One expectation of this
change in morphology and composition is that the cell's internal stiffness,
governed by cytoskeletal assembly and production of internal stresses, will
change as a function of substrate stiffness. Atomic force microscopy was used to
measure the stiffness of fibroblasts grown on fibronectin-coated polyacrylamide
gels of shear moduli varying between 500 and 40,000 Pa. Indentation measurements
show that the cells' elastic moduli were equal to, or slightly lower than, those
of their substrates for a range of soft gels and reached a saturating value at a
substrate rigidity of 20 kPa. The amount of cross-linked F-actin sedimenting at
low centrifugal force also increased with substrate stiffness. Together with
enhanced actin polymerization and cross-linking, active contraction of the
cytoskeleton can also modulate stiffness by exploiting the nonlinear elasticity
of semiflexible biopolymer networks. These results suggest that within a range of
stiffness spanning that of soft tissues, fibroblasts tune their internal
stiffness to match that of their substrate, and modulation of cellular stiffness
by the rigidity of the environment may be a mechanism used to direct cell
migration and wound repair.
PMID- 18045966
TI - Nineteenth Annual Pezcoller Symposium: hypothesis-driven clinical investigation
in cancer.
AB - This symposium was held in Trento, Italy, from June 14 to 16, 2007, and was co
chaired by William G. Kaelin, Enrico Mihich, and Charles L. Sawyers. A session
was devoted to the proof of concept derived from successes in target-oriented
therapeutics. Molecular targets must be identified in each patients because they
are equally present in all patients with the same tumor type. A session was
devoted to the identification of markers of drug effectiveness. Two sessions were
focused on opportunities for developing new specific molecular target-oriented
therapies.
PMID- 18045967
TI - Effector memory CD4+ T cells mediate graft-versus-leukemia without inducing graft
versus-host disease.
AB - Much of the efficacy of allogeneic hematopoietic stem cell transplantation
(alloSCT) in curing hematologic malignancies is due to a graft-versus-leukemia
(GVL) effect mediated by donor T cells that recognize recipient alloantigens on
leukemic cells. Donor T cells are also important for reconstituting immunity in
the recipient. Unfortunately, donor T cells can attack nonmalignant host tissues
and cause graft-versus-host disease (GVHD). We previously reported that donor
CD4(+) effector memory T cells (T(EMs)) do not cause GVHD but transfer functional
T-cell memory. In the present work, we demonstrate in an MHC-mismatched model
that CD4(+) T(EMs) (unprimed to recipient antigens) mediate GVL against
clinically relevant mouse models of chronic phase and blast crisis chronic
myelogenous leukemia, without causing GVHD. By creating gene-deficient leukemias
and using perforin-deficient T cells, we demonstrate that direct cytolytic
function is essential for T(EM)-mediated GVL, but that GVL is retained when
killing via FasL, TNF-alpha, TRAIL, and perforin is individually impaired.
However, T(EM)-mediated GVL was diminished when both FasL and perforin pathways
were blocked. Taken together, our studies identify T(EMs) as a clinically
applicable cell therapy for promoting GVL and immune reconstitution, particularly
in MHC-mismatched haploidentical alloSCTs in which T cell-depleted allografts are
commonly used to minimize GVHD.
PMID- 18045969
TI - Short telomeres are associated with genetic complexity, high-risk genomic
aberrations, and short survival in chronic lymphocytic leukemia.
AB - Telomere length is associated with mutation status of the immunoglobulin heavy
chain variable (IGHV) gene and clinical course in B-cell chronic lymphocytic
leukemia (B-CLL). In a B-CLL cohort of 152 patients, we analyzed telomere length,
genomic aberrations, IGHV mutation status, CD38 and ZAP-70 expression to study
the prognostic impact and associations among these factors. An inverse
correlation existed between telomere length and IGHV homology (P < .001), CD38 (P
< .001), and ZAP-70 expression (P = .01). Patients with telomere lengths below
median (ie, "short telomeres") and above median (ie, "long telomeres") had
similar incidences of genomic aberrations (74% vs 68%), 13q- (57% vs 49%), and
+12q (5% vs 12%). In contrast, 13q- as a single aberration was more frequent in
patients with long telomeres (51% vs 21%; P = .006), whereas 11q- (27% vs 9%; P =
.014), 17p- (17% vs 0%; P < .001), and 2 or more genomic aberrations (39% vs 8%;
P < .001) were more frequent in patients with short telomeres. Compared with
patients with long telomeres, treatment-free survival (TFS) and overall survival
(OS) was significantly shorter (P < .001 and P = .015, respectively) in the group
with short telomeres, and telomere length was an independent prognostic indicator
for TFS. These observations have biological and prognostic implications in B-CLL.
PMID- 18045968
TI - Prophylactic thrombolysis by thrombin-activated latent prourokinase targeted to
PECAM-1 in the pulmonary vasculature.
AB - A recombinant prodrug, single-chain urokinase-type plasminogen activator (scuPA)
fused to an anti-PECAM-1 antibody single-chain variable fragment (anti-PECAM
scFv/scuPA) targets endothelium and augments thrombolysis in the pulmonary
vasculature.(1) To avoid premature activation and inactivation and to limit
systemic toxicity, we replaced the native plasmin activation site in scFv/low
molecular-weight (lmw)-scuPA with a thrombin activation site, generating anti
PECAM scFv/uPA-T that (1) is latent and activated by thrombin instead of plasmin;
(2) binds to PECAM-1; (3) does not consume plasma fibrinogen; (4) accumulates in
mouse lungs after intravenous injection; and (5) resists PA inhibitor PAI-1 until
activated by thrombin. In mouse models of pulmonary thrombosis caused by
thromboplastin and ischemia-reperfusion (I/R), scFv/uPA-T provided more potent
thromboprophylaxis and greater lung protection than plasmin-sensitive scFv/uPA.
Endothelium-targeted thromboprophylaxis triggered by a prothrombotic enzyme
illustrates a novel approach to time- and site-specific regulation of proteolytic
reactions that can be modulated for therapeutic benefit.
PMID- 18045970
TI - Levo- but not dextro-1-methyl tryptophan abrogates the IDO activity of human
dendritic cells.
AB - Clinical trials have been started with the aim of inducing tumor immunity by
blocking the immunosuppressive action of indoleamine-2,3-dioxygenase (IDO) with
the IDO2-inhibitor dextro-1-methyl-tryptophan (D-1MT). Here we show that human
dendritic cells (DCs) express both IDO-1 and IDO-2, but that only IDO1 mediates
tryptophan catabolism; furthermore, its activity is blocked by levo-1MT, whereas
D-1MT is inefficient. Consequently, in humans any possible antitumor effects of D
1MT cannot be attributed to abrogation of IDO activity in DCs as described in
this study.
PMID- 18045972
TI - Guidelines on prevention of transmission of hepatitis C virus infection in the
workplace: do they work in practice?
PMID- 18045971
TI - Identification of mesenchymal stem cells in aorta-gonad-mesonephros and yolk sac
of human embryos.
AB - Mesenchymal stem cells (MSCs) are multipotent stem cells that can generate
various microenvironment components in bone marrow, ensuring a precise control
over self-renewal and multilineage differentiation of hematopoietic stem cells.
Nevertheless, their spatiotemporal correlation with embryonic hematopoiesis
remains rudimentary, particularly in relation to the human being. Here, we
reported that human aorta-gonad-mesonephros (AGM) resided with bona fide MSCs.
They were highly proliferative as fibroblastoid population bearing uniform
surface markers (CD45(-), CD34(-), CD105(+), CD73(+), CD29(+), and CD44(+)),
expressed pluripotential molecules Oct-4 and Nanog, and clonally demonstrated
trilineage differentiation capacity (osteocytes, chondrocytes, and adipocytes).
The frequency and absolute number of MSCs in aorta plus surrounding mesenchyme
(E26-E27) were 0.3% and 164, respectively. Moreover, they were functionally
equivalent to MSCs from adult bone marrow, that is, supporting long-term
hematopoiesis and suppressing T-lymphocyte proliferation in vitro. In comparison,
the matching yolk sac contained bipotent mesenchymal precursors that propagated
more slowly and failed to generate chondrocytes in vitro. Together with previous
knowledge, we propose that a proportion of MSCs initially develop in human AGM
prior to their emergence in embryonic circulation and fetal liver.
PMID- 18045973
TI - Blood-borne virus screening in health care workers: is it worthwhile?
PMID- 18045974
TI - Immunization and health workers: overview.
PMID- 18045975
TI - The immunological principles underlying vaccine-induced protection in the
occupational health setting.
AB - Abstract Protection against the large numbers of pathogenic microbes to which
humans are constantly exposed is effected through external barriers (skin and
mucus membranes), innate barriers (cellular components and soluble chemical
mediators) and adaptive barriers (B and T lymphocytes). This article reviews the
normal mechanisms employed to protect against these pathogenic microbes.
PMID- 18045976
TI - Active and passive immunity, vaccine types, excipients and licensing.
AB - Abstract Immunity is the state of protection against infectious disease conferred
either through an immune response generated by immunization or previous infection
or by other non-immunological factors. This article reviews active and passive
immunity and the differences between them: it also describes the four different
commercially available vaccine types (live attenuated, killed/inactivated,
subunit and toxoid): it also looks at how these different vaccines generate an
adaptive immune response.
PMID- 18045977
TI - Specific immunization issues in the occupational health setting.
AB - This article looks at the components of an effective occupational health
vaccination programme and also reviews the legal basis for them. It addresses the
issue of vaccine licensing including pre-clinical, clinical and post-licensing
studies. It explores screening for vaccine preventable diseases in the
occupational health setting and then addresses particular issues around hepatitis
B, chicken pox, tuberculosis, measles, rubella, diphtheria, polio, mumps and
hepatitis A.
PMID- 18045978
TI - CPD for GPs using the THOR-GP website.
AB - BACKGROUND: An estimated 3200 UK general practitioners (GPs) practise
occupational medicine on a sessional basis. AIM: To assess the educational needs
of GPs practising occupational medicine and participating in The Health and
Occupational Reporting (THOR) network. METHODS: A questionnaire survey of GPs
participating in a national reporting scheme, recording occupational ill-health
from general practice (THOR-GP). The questionnaire used scales derived from the
syllabus for the Diploma of the Faculty of Occupational Medicine to assess the
use of the THOR-GP website for continuing professional development (CPD).
Questions were also asked concerning the attitudes and experience of these
doctors to CPD in occupational medicine. RESULTS: The response rate was 73%
(213/291). Only 22% of responders used the THOR-GP website for CPD. Lack of time
was the most frequently cited reason for not using the site. The topics provided
on the website which were rated least interesting also appeared as requests for
further information in questionnaire returns. CONCLUSION: Online learning has the
potential to fulfil the needs of GPs practising occupational medicine. The
designers of material for online learning should actively manage and modify the
material available in response to educational needs. Further research is required
into the clinical and business outcomes of online learning for these doctors.
PMID- 18045979
TI - Occupational health physicians and tribunals.
PMID- 18045980
TI - Health-related quality of life in former North Sea divers.
PMID- 18045981
TI - Modernizing medical careers.
PMID- 18045982
TI - Towards uniform requirements for manuscripts submitted to journals in
occupational medicine.
PMID- 18045984
TI - Stochastic frontier analysis of hospital inefficiency: a review of empirical
issues and an assessment of robustness.
AB - Twenty stochastic frontier analysis (SFA) studies of hospital inefficiency in the
United States were analyzed. Results from best-practice methods were compared
against previously used methods in hospital studies to ascertain the robustness
of SFA in estimating cost inefficiency. To compare past studies and analyze new
data, SFA methods were varied by (a) the assumptions of the structure of costs
and distribution of the error term, (b) inclusion of quality and product
descriptor measures, and (c) use of simultaneous and two-stage estimation
techniques. SFA results were relatively insensitive to several model variations.
PMID- 18045985
TI - How federal and state policies affected hospital uncompensated care provision in
the 1990s.
AB - The 1990s featured pronounced policy change that had important effects on safety
net providers and their ability to care for the uninsured. The authors examined
how changes in public policy affected hospital uncompensated care (UC) between
1990 and 2000. They found that aggregate state Medicaid Disproportionate Share
Hospital spending had no impact on UC provision. Expanding public health
insurance eligibility for children and adults and increasing Medicaid managed
care had small negative effects on UC provision. State and local tax
appropriations had the largest impact on UC provision. A better understanding of
the effect of health care policies on UC provision is essential in crafting new
policies and better anticipating their impact.
PMID- 18045986
TI - KNL1 and the CENP-H/I/K complex coordinately direct kinetochore assembly in
vertebrates.
AB - Chromosome segregation during mitosis requires the assembly of a large
proteinaceous structure termed the kinetochore. In Caenorhabditis elegans, KNL-1
is required to target multiple outer kinetochore proteins. Here, we demonstrate
that the vertebrate KNL1 counterpart is essential for chromosome segregation and
is required to localize a subset of outer kinetochore proteins. However, unlike
in C. elegans, depletion of vertebrate KNL1 does not abolish kinetochore
localization of the microtubule-binding Ndc80 complex. Instead, we show that KNL1
and CENP-K, a subunit of a constitutively centromere-associated complex that is
missing from C. elegans, coordinately direct Ndc80 complex localization.
Simultaneously reducing both hKNL1 and CENP-K function abolishes all aspects of
kinetochore assembly downstream of centromeric chromatin and causes catastrophic
chromosome segregation defects. These findings explain discrepancies in
kinetochore assembly pathways between different organisms and reveal a surprising
plasticity in the assembly mechanism of an essential cell division organelle.
PMID- 18045987
TI - B-RAF regulation of Rnd3 participates in actin cytoskeletal and focal adhesion
organization.
AB - The actin cytoskeleton controls multiple cellular functions, including cell
morphology, movement, and growth. Accumulating evidence indicates that oncogenic
activation of the mitogen-activated protein kinase kinase/extracellular signal
regulated kinase 1/2 (MEK/ERK1/2) pathway is accompanied by actin cytoskeletal
reorganization. However, the signaling events contributing to actin cytoskeleton
remodeling mediated by aberrant ERK1/2 activation are largely unknown. Mutant B
RAF is found in a variety of cancers, including melanoma, and it enhances
activation of the MEK/ERK1/2 pathway. We show that targeted knockdown of B-RAF
with small interfering RNA or pharmacological inhibition of MEK increased actin
stress fiber formation and stabilized focal adhesion dynamics in human melanoma
cells. These effects were due to stimulation of the Rho/Rho kinase (ROCK)/LIM
kinase-2 signaling pathway, cumulating in the inactivation of the actin
depolymerizing/severing protein cofilin. The expression of Rnd3, a Rho
antagonist, was attenuated after B-RAF knockdown or MEK inhibition, but it was
enhanced in melanocytes expressing active B-RAF. Constitutive expression of Rnd3
suppressed the actin cytoskeletal and focal adhesion effects mediated by B-RAF
knockdown. Depletion of Rnd3 elevated cofilin phosphorylation and stress fiber
formation and reduced cell invasion. Together, our results identify Rnd3 as a
regulator of cross talk between the RAF/MEK/ERK and Rho/ROCK signaling pathways,
and a key contributor to oncogene-mediated reorganization of the actin
cytoskeleton and focal adhesions.
PMID- 18045988
TI - Myosin transducer mutations differentially affect motor function, myofibril
structure, and the performance of skeletal and cardiac muscles.
AB - Striated muscle myosin is a multidomain ATP-dependent molecular motor.
Alterations to various domains affect the chemomechanical properties of the
motor, and they are associated with skeletal and cardiac myopathies. The myosin
transducer domain is located near the nucleotide-binding site. Here, we helped
define the role of the transducer by using an integrative approach to study how
Drosophila melanogaster transducer mutations D45 and Mhc(5) affect myosin
function and skeletal and cardiac muscle structure and performance. We found D45
(A261T) myosin has depressed ATPase activity and in vitro actin motility, whereas
Mhc(5) (G200D) myosin has these properties enhanced. Depressed D45 myosin
activity protects against age-associated dysfunction in metabolically demanding
skeletal muscles. In contrast, enhanced Mhc(5) myosin function allows normal
skeletal myofibril assembly, but it induces degradation of the myofibrillar
apparatus, probably as a result of contractile disinhibition. Analysis of beating
hearts demonstrates depressed motor function evokes a dilatory response, similar
to that seen with vertebrate dilated cardiomyopathy myosin mutations, and it
disrupts contractile rhythmicity. Enhanced myosin performance generates a
phenotype apparently analogous to that of human restrictive cardiomyopathy,
possibly indicating myosin-based origins for the disease. The D45 and Mhc(5)
mutations illustrate the transducer's role in influencing the chemomechanical
properties of myosin and produce unique pathologies in distinct muscles. Our data
suggest Drosophila is a valuable system for identifying and modeling mutations
analogous to those associated with specific human muscle disorders.
PMID- 18045989
TI - The Golgi protein GM130 regulates centrosome morphology and function.
AB - The Golgi apparatus (GA) of mammalian cells is positioned in the vicinity of the
centrosome, the major microtubule organizing center of the cell. The significance
of this physical proximity for organelle function and cell cycle progression is
only beginning to being understood. We have identified a novel function for the
GA protein, GM130, in the regulation of centrosome morphology, position and
function during interphase. RNA interference-mediated depletion of GM130 from
five human cell lines revealed abnormal interphase centrosomes that were
mispositioned and defective with respect to microtubule organization and cell
migration. When GM130-depleted cells entered mitosis, they formed multipolar
spindles, arrested in metaphase, and died. We also detected aberrant centrosomes
during interphase and multipolar spindles during mitosis in ldlG cells, which do
not contain detectable GM130. Although GA proteins have been described to
regulate mitotic centrosomes and spindle formation, this is the first report of a
role for a GA protein in the regulation of centrosomes during interphase.
PMID- 18045990
TI - The 90-kDa heat shock protein stabilizes the polysomal ribonuclease 1 mRNA
endonuclease to degradation by the 26S proteasome.
AB - The polysomal ribonuclease 1 (PMR1) mRNA endonuclease forms a selective complex
with its translating substrate mRNAs where it is activated to initiate mRNA
decay. Previous work showed tyrosine phosphorylation is required for PMR1
targeting to this polysome-bound complex, and it identified c-Src as the
responsible kinase. c-Src phosphorylation occurs in a distinct complex, and the
current study shows that 90-kDa heat shock protein (Hsp90) is also recovered with
PMR1 and c-Src. Hsp90 binding to PMR1 is inhibited by geldanamycin, and
geldanamycin stabilizes substrate mRNA to PMR1-mediated decay. PMR1 is inherently
unstable and geldanamycin causes PMR1 to rapidly disappear in a process that is
catalyzed by the 26S proteasome. We present a model where Hsp90 interacts
transiently to stabilize PMR1 in a manner similar to its interaction with c-Src,
thus facilitating the tyrosine phosphorylation and targeting of PMR1 to
polysomes.
PMID- 18045991
TI - Transmembrane domain interactions control biological functions of neuropilin-1.
AB - Neuropilin-1 (NRP1) is a transmembrane receptor playing a pivotal role in the
control of semaphorins and VEGF signaling pathways. The exact mechanism
controlling semaphorin receptor complex formation is unknown. A structural
analysis and modeling of NRP1 revealed a putative dimerization GxxxG motif
potentially important for NRP1 dimerization and oligomerization. Our data show
that this motif mediates the dimerization of the transmembrane domain of NRP1 as
demonstrated by a dimerization assay (ToxLuc assay) performed in natural membrane
and FRET analysis. A synthetic peptide derived from the transmembrane segment of
NRP1 abolished the inhibitory effect of Sema3A. This effect depends on the
capacity of the peptide to interfere with NRP1 dimerization and the formation of
oligomeric complexes. Mutation of the GxxxG dimerization motif in the
transmembrane domain of NRP1 confirmed its biological importance for Sema3A
signaling. Overall, our results shed light on an essential step required for
semaphorin signaling and provide novel evidence for the crucial role of
transmembrane domain of bitopic protein containing GxxxG motif in the formation
of receptor complexes that are a prerequisite for cell signaling.
PMID- 18045992
TI - PP2A regulates HDAC4 nuclear import.
AB - Different signal-regulated serine/threonine kinases phosphorylate class II
histone deacetylases (HDACs) to promote nuclear export, cytosolic accumulation,
and activation of gene transcription. However, little is known about mechanisms
operating in the opposite direction, which, possibly through phosphatases, should
promote class II HDACs nuclear entry and subsequent gene repression. Here we show
that HDAC4 forms a complex with the PP2A holoenzyme C alpha, A alpha, B/PR55
alpha. In vitro and in vivo binding studies demonstrate that the N-terminus of
HDAC4 interacts with the catalytic subunit of PP2A. HDAC4 is dephosphorylated by
PP2A and experiments using okadaic acid or RNA interference have revealed that
PP2A controls HDAC4 nuclear import. Moreover, we identified serine 298 as a
putative phosphorylation site important for HDAC4 nuclear import. The HDAC4
mutant mimicking phosphorylation of serine 298 is defective in nuclear import.
Mutation of serine 298 to alanine partially rescues the defect in HDAC4 nuclear
import observed in cells with down-regulated PP2A. These observations suggest
that PP2A, via the dephosphorylation of multiple serines including the 14-3-3
binding sites and serine 298, controls HDAC4 nuclear import.
PMID- 18045993
TI - RFCCtf18 and the Swi1-Swi3 complex function in separate and redundant pathways
required for the stabilization of replication forks to facilitate sister
chromatid cohesion in Schizosaccharomyces pombe.
AB - Sister chromatid cohesion is established during S phase near the replication
fork. However, how DNA replication is coordinated with chromosomal cohesion
pathway is largely unknown. Here, we report studies of fission yeast Ctf18, a
subunit of the RFC(Ctf18) replication factor C complex, and Chl1, a putative DNA
helicase. We show that RFC(Ctf18) is essential in the absence of the Swi1-Swi3
replication fork protection complex required for the S phase stress response.
Loss of Ctf18 leads to an increased sensitivity to S phase stressing agents, a
decreased level of Cds1 kinase activity, and accumulation of DNA damage during S
phase. Ctf18 associates with chromatin during S phase, and it is required for the
proper resumption of replication after fork arrest. We also show that chl1Delta
is synthetically lethal with ctf18Delta and that a dosage increase of chl1(+)
rescues sensitivities of swi1Delta to S phase stressing agents, indicating that
Chl1 is involved in the S phase stress response. Finally, we demonstrate that
inactivation of Ctf18, Chl1, or Swi1-Swi3 leads to defective centromere cohesion,
suggesting the role of these proteins in chromosome segregation. We propose that
RFC(Ctf18) and the Swi1-Swi3 complex function in separate and redundant pathways
essential for replication fork stabilization to facilitate sister chromatid
cohesion in fission yeast.
PMID- 18045994
TI - Sequestration of mutated alpha1-antitrypsin into inclusion bodies is a cell
protective mechanism to maintain endoplasmic reticulum function.
AB - A variant alpha1-antitrypsin with E342K mutation has a high tendency to form
intracellular polymers, and it is associated with liver disease. In the
hepatocytes of individuals carrying the mutation, alpha1-antitrypsin localizes
both to the endoplasmic reticulum (ER) and to membrane-surrounded inclusion
bodies (IBs). It is unclear whether the IBs contribute to cell toxicity or
whether they are protective to the cell. We found that in hepatoma cells, mutated
alpha1-antitrypsin exited the ER and accumulated in IBs that were negative for
autophagosomal and lysosomal markers, and contained several ER components, but
not calnexin. Mutated alpha1-antitrypsin induced IBs also in neuroendocrine
cells, showing that formation of these organelles is not cell type specific. In
the presence of IBs, ER function was largely maintained. Increased levels of
calnexin, but not of protein disulfide isomerase, inhibited formation of IBs and
lead to retention of mutated alpha1-antitrypsin in the ER. In hepatoma cells,
shift of mutated alpha1-antitrypsin localization to the ER by calnexin
overexpression lead to cell shrinkage, ER stress, and impairment of the secretory
pathway at the ER level. We conclude that segregation of mutated alpha1
antitrypsin from the ER to the IBs is a protective cell response to maintain a
functional secretory pathway.
PMID- 18045995
TI - Conversion of a replication origin to a silencer through a pathway shared by a
Forkhead transcription factor and an S phase cyclin.
AB - Silencing of the mating-type locus HMR in Saccharomyces cerevisiae requires DNA
elements called silencers. To establish HMR silencing, the origin recognition
complex binds the HMR-E silencer and recruits the silent information regulator
(Sir)1 protein. Sir1 in turn helps establish silencing by stabilizing binding of
the other Sir proteins, Sir2-4. However, silencing is semistable even in
sir1Delta cells, indicating that SIR1-independent establishment mechanisms exist.
Furthermore, the requirement for SIR1 in silencing a sensitized version of HMR
can be bypassed by high-copy expression of FKH1 (FKH1(hc)), a conserved forkhead
transcription factor, or by deletion of the S phase cyclin CLB5 (clb5Delta).
FKH1(hc) caused only a modest increase in Fkh1 levels but effectively
reestablished Sir2-4 chromatin at HMR as determined by Sir3-directed chromatin
immunoprecipitation. In addition, FKH1(hc) prolonged the cell cycle in a manner
distinct from deletion of its close paralogue FKH2, and it created a cell cycle
phenotype more reminiscent to that caused by a clb5Delta. Unexpectedly, and in
contrast to SIR1, both FKH1(hc) and clb5Delta established silencing at HMR using
the replication origins, ARS1 or ARSH4, as complete substitutes for HMR-E
(HMRDeltaE::ARS). HMRDeltaE::ARS1 was a robust origin in CLB5 cells. However,
initiation by HMRDeltaE::ARS1 was reduced by clb5Delta or FKH1(hc), whereas ARS1
at its native locus was unaffected. The CLB5-sensitivity of HMRDeltaE::ARS1 did
not result from formation of Sir2-4 chromatin because sir2Delta did not rescue
origin firing in clb5Delta cells. These and other data supported a model in which
FKH1 and CLB5 modulated Sir2-4 chromatin and late-origin firing through opposing
regulation of a common pathway.
PMID- 18045997
TI - More time to taste. Focus on "variability in responses and temporal coding of
tastants of similar quality in the nucleus of the solitary tract of the rat".
PMID- 18045996
TI - Paxillin phosphorylation controls invadopodia/podosomes spatiotemporal
organization.
AB - In Rous sarcoma virus (RSV)-transformed baby hamster kidney (BHK) cells,
invadopodia can self-organize into rings and belts, similarly to podosome
distribution during osteoclast differentiation. The composition of individual
invadopodia is spatiotemporally regulated and depends on invadopodia localization
along the ring section: the actin core assembly precedes the recruitment of
surrounding integrins and integrin-linked proteins, whereas the loss of the actin
core was a prerequisite to invadopodia disassembly. We have shown that
invadopodia ring expansion is controlled by paxillin phosphorylations on tyrosine
31 and 118, which allows invadopodia disassembly. In BHK-RSV cells, ectopic
expression of the paxillin mutant Y31F-Y118F induces a delay in invadopodia
disassembly and impairs their self-organization. A similar mechanism is unraveled
in osteoclasts by using paxillin knockdown. Lack of paxillin phosphorylation,
calpain or extracellular signal-regulated kinase inhibition, resulted in similar
phenotype, suggesting that these proteins belong to the same regulatory pathways.
Indeed, we have shown that paxillin phosphorylation promotes Erk activation that
in turn activates calpain. Finally, we observed that invadopodia/podosomes ring
expansion is required for efficient extracellular matrix degradation both in BHK
RSV cells and primary osteoclasts, and for transmigration through a cell
monolayer.
PMID- 18045998
TI - Dendritic properties of turtle pyramidal neurons.
AB - The six-layered mammalian neocortex evolved from the three-layered paleocortex,
which is retained in present-day reptiles such as the turtle. Thus the turtle
offers an opportunity to examine which cellular and circuit properties are
fundamental to cortical function. We characterized the dendritic properties of
pyramidal neurons in different cortical regions of mature turtles, Pseudemys
scripta elegans, using whole cell recordings and calcium imaging from the axon,
soma, and dendrites in a slice preparation. The firing properties, in response to
intrasomatic depolarization, resembled those previously recorded with sharp
electrodes in this preparation. Somatic spikes led to active backpropagating high
amplitude dendritic action potentials and intracellular calcium ion concentration
([Ca2+]i) changes at all dendritic locations, suggesting that both
backpropagation and dendritic voltage-gated Ca2+ channels are primitive traits.
We found no indication that Ca2+ spikes could be evoked in the dendrites, but
fast Na+ spikes could be initiated there following intradendritic stimulation.
Several lines of evidence indicate that fast, smaller-amplitude somatic spikes
("prepotentials") that are easily recorded in this preparation are generated in
the axon. Most synaptically activated [Ca2+]i changes resulted from Ca2+ entry
through voltage-gated channels. In some cells synaptic stimulation evoked a
delayed Ca2+ wave due to release from internal stores following activation of
metabotropic glutamate receptors. With some small differences these properties
resemble those of pyramidal neurons in mammalian species. We conclude that spike
backpropagation, dendritic Ca2+ channels, and synaptically activated Ca2+ release
are primitive and conserved features of cortical pyramidal cells, and therefore
likely fundamental to cortical function.
PMID- 18045999
TI - Recovery of gaze stability during vestibular regeneration.
AB - Many motion related behaviors, such as gaze stabilization, balance, orientation,
and navigation largely depend on a properly functioning vestibular system. After
vestibular insult, many of these responses are compromised but can return during
the regeneration of vestibular receptors and afferents as is known to occur in
birds, reptiles, and amphibians. Here we characterize gaze stability in pigeons
to rotational motion during regeneration after complete bilateral vestibular loss
via an ototoxic antibiotic. Immediate postlesion effects included severe head
oscillations, postural ataxia, and total lack of gaze control. We found that
these abnormal behaviors gradually subsided, and gaze stability slowly returned
to normal function according to a temporal sequence that lasted several months.
We also found that the dynamic recovery of gaze function during regeneration was
not homogeneous for all types of motion. Instead high-frequency motion stability
was first achieved, followed much later by slow movement stability. In addition,
we found that initial gaze stability was established using almost exclusive head
response components with little eye-movement contribution. However, that trend
reversed as recovery progressed so that when gaze stability was complete, the eye
component had increased and the head response had decreased to levels
significantly different from that observed in normal birds. This was true even
though the head-fixed VOR response recovered normally. Recovery of gaze stability
coincided well with the three stage temporal sequence of morphologic regeneration
previously described by our laboratory.
PMID- 18046000
TI - What do synergies do? Effects of secondary constraints on multidigit synergies in
accurate force-production tasks.
AB - We used the framework of the uncontrolled manifold (UCM) hypothesis to explore
changes in the structure of variability in multifinger force-production tasks
when a secondary task was introduced. Healthy young subjects produced several
levels of the total force by pressing with the four fingers of the hand on force
sensors. The frame with the sensors rested on the table (Stable condition) or on
a narrow supporting beam (Unstable conditions) that could be placed between
different finger pairs. Most variance in the finger mode space was compatible
with a fixed value of the total force across all conditions, whereas the patterns
of sharing of the total force among the fingers were condition dependent. Moment
of force was stabilized only in the Unstable conditions. The finger mode data
were projected onto the UCM computed for the total force and subjected to
principal component (PC) analysis. Two PCs accounted for >90% of the variance.
The directions of the PC vectors varied across subjects in the Stable condition,
whereas two "default" PCs were observed under the Unstable conditions. These
observations show that different persons coordinate their fingers differently in
force-production tasks. They converge on similar solutions when an additional
constraint is introduced. The use of variable solutions allows avoiding a loss in
accuracy of performance when the same elements get involved in another task. Our
results suggest a mechanism underlying the principle of superposition suggested
in a variety of human and robotic studies.
PMID- 18046001
TI - Serotonergic modulation of afterhyperpolarization in a neuron that contributes to
learning in the leech.
AB - Modulation of afterhyperpolarization (AHP) represents an important mechanism by
which excitability of a neuron can be regulated. In the leech brain,
sensitization enhances excitability of the S-cell, an interneuron thought to play
an important role in this form of nonassociative learning. This increase in
excitability is serotonin (5-HT) dependent, but it is not known whether changes
in AHP contribute to 5-HT-mediated enhancement of excitability. Therefore
electrophysiological recordings and computational modeling were used to determine
whether 5-HT enhances excitability via modulation of AHP. 5-HT reduced S-cell AHP
and this decrease in the AHP corresponded with an increase in excitability.
Little or no AHP is observed in the presence of Ca2+-free saline, suggesting the
involvement of Ca2+-dependent K+ channels. Furthermore, AHP amplitude decreased
following treatment with drugs (tubocurare and charybdotoxin) that block Ca2+
dependent K+ channel activity. The S-cell also exhibits an afterdepolarization
(ADP), which is usually masked by the AHP, and was inhibited by the Na+ channel
blocker saxitoxin. A model of the S-cell AHP was constructed using two Ca2+
dependent K+ currents and a Na+-driven ADP current. Reduction of the model
conductances underlying the AHP to mimic the effects of 5-HT was sufficient to
enhance excitability. These findings were confirmed in occlusion experiments in
which pretreatment with tubocurare was able to block 5-HT-mediated decreases in
mAHP levels and increases in excitability. These data show that modulation of S
cell AHP can contribute to 5-HT-mediated increases in excitability and that the S
cell afterpotential is due to the combined effects of AHP- and ADP-producing
currents.
PMID- 18046002
TI - Cortical and spinal modulation of antagonist coactivation during a submaximal
fatiguing contraction in humans.
AB - This study investigates the control mechanisms at the cortical and spinal levels
of antagonist coactivation during a submaximal fatiguing contraction of the elbow
flexors at 50% of maximal voluntary contraction (MVC). We recorded motor-evoked
potentials in the biceps brachii and triceps brachii muscles in response to
magnetic stimulation of the motor cortex (MEP) and corticospinal tract
(cervicomedullary motor-evoked potentials--CMEPs), as well as the Hoffmann reflex
(H-reflex) and maximal M-wave (Mmax) elicited by electrical stimulation of the
brachial plexus, before, during, and after the fatigue task. The results showed
that although the coactivation ratio did not change at task failure, the MVC
torque produced by the elbow flexors declined by 48% (P < 0.01) with no change in
MVC torque for the elbow extensors. While the MEP and CMEP areas (normalized to
Mmax) of the biceps brachii increased ( approximately 50%) over the first 40% of
the time to task failure and then plateaued, both responses in the triceps
brachii increased ( approximately 150-180%) gradually throughout the fatigue
task. In contrast to the monotonic increase in the MEP and CMEP of the antagonist
muscles, the H-reflex of the triceps brachii exhibited a biphasic modulation,
increasing during the first part of the contraction before declining subsequently
to 65% of its initial value. Collectively, these results suggest that the level
of coactivation during a fatiguing contraction is mediated by supraspinal rather
than spinal mechanisms and involves differential control of agonist and
antagonist muscles.
PMID- 18046003
TI - Measurement and analysis of postsynaptic potentials using a novel voltage
deconvolution method.
AB - Accurate measurement of postsynaptic potential amplitudes is a central
requirement for the quantification of synaptic strength, dynamics of short-term
and long-term plasticity, and vesicle-release statistics. However, the
intracellular voltage is a filtered version of the underlying synaptic signal and
so a method of accounting for the distortion caused by overlapping postsynaptic
potentials must be used. Here a voltage-deconvolution technique is demonstrated
that defilters the entire voltage trace to reveal an underlying signal of well
separated synaptic events. These isolated events can be cropped out and
reconvolved to yield a set of isolated postsynaptic potentials from which voltage
amplitudes may be measured directly-greatly simplifying this common task. The
method also has the significant advantage of providing a higher temporal
resolution of the dynamics of the underlying synaptic signal. The versatility of
the method is demonstrated by a variety of experimental examples, including
excitatory and inhibitory connections to neurons with passive membranes and those
with activated voltage-gated currents. The deconvolved current-clamp voltage has
many features in common with voltage-clamp current measurements. These
similarities are analyzed using cable theory and a multicompartment cell
reconstruction, as well as direct comparison to voltage-clamp experiments.
PMID- 18046004
TI - Rhythmic constraints on hippocampal processing: state and phase-related
fluctuations of synaptic excitability during theta and the slow oscillation.
AB - Coordinated patterns of state-dependent synchronized oscillatory activity have
been suggested to play differential roles in both the encoding and consolidation
phases of hippocampal-dependent memories. Previous studies have concentrated on
the mutually exclusive patterns of theta and sharp-wave/ripple activity because
these were thought to be the only collective oscillatory patterns expressed in
the hippocampus. Recently we (and others) have described a novel rhythmic
activity expressed during anesthesia and deep sleep, the hippocampal slow
oscillation (SO). In an attempt to describe the differential effects of theta and
the SO on processing in the hippocampal circuit, we performed evoked potential
analysis of two major pathways (the commissural and perforant) in urethan
anesthetized rats across spontaneously expressed theta and SO states. We show
that synaptic excitability was significantly enhanced in all pathways during the
SO as compared with theta with the exception of the medial perforant path to the
dentate gyrus, which showed greater excitability during theta. Furthermore,
within each ongoing rhythm, there was a phase-dependent modulation of synaptic
excitability. This occurred across all sites and similarly favored the falling
phase (positive to negative) of both theta and the SO. Differential effects on
the input, processing, and output circuitries of the hippocampus across mutually
exclusive coordinated oscillatory patterns expressed during different states may
be relevant for the staging of memory processes in the medial temporal lobe.
PMID- 18046005
TI - Architecture of the mouse utricle: macular organization and hair bundle heights.
AB - Hair bundles are critical to mechanotransduction by vestibular hair cells, but
quantitative data are lacking on vestibular bundles in mice or other mammals.
Here we quantify bundle heights and their variation with macular locus and hair
cell type in adult mouse utricular macula. We also determined that macular
organization differs from previous reports. The utricle has approximately 3,600
hair cells, half on each side of the line of polarity reversal (LPR). A band of
low hair cell density corresponds to a band of calretinin-positive calyces, i.e.,
the striola. The relation between the LPR and the striola differs from previous
reports in two ways. First, the LPR lies lateral to the striola instead of
bisecting it. Second, the LPR follows the striolar trajectory anteriorly, but
posteriorly it veers from the edge of the striola to reach the posterior margin
of the macula. Consequently, more utricular bundles are oriented mediolaterally
than previously supposed. Three hair cell classes are distinguished in calretinin
stained material: type II hair cells, type ID hair cells contacting calretinin
negative (dimorphic) afferents, and type IC hair cells contacting calretinin
positive (calyceal) afferents. They differ significantly on most bundle measures.
Type II bundles have short stereocilia. Type IC bundles have kinocilia and
stereocilia of similar heights, i.e., KS ratios (ratio of kinocilium to
stereocilia heights) approximately 1, unlike other receptor classes. In contrast
to these class-specific differences, bundles show little regional variation
except that KS ratios are lowest in the striola. These low KS ratios suggest that
bundle stiffness is greater in the striola than in the extrastriola.
PMID- 18046006
TI - Relative location of inhibitory synapses and persistent inward currents
determines the magnitude and mode of synaptic amplification in motoneurons.
AB - In some motoneurons, L-type Ca2+ channels that partly mediate persistent inward
currents (PICs) have been estimated to be arranged in 50- to 200-microm-long
discrete regions in the dendrites, centered 100 to 400 microm from the soma. As a
consequence of this nonuniform distribution, the interaction between synaptic
inputs to motoneurons and these channels may vary according to the distribution
of the synapses. For instance, >93% of synapses from Renshaw cells have been
observed to be located 65 to 470 microm away from the cell body of motoneurons.
Our goal was to assess whether Renshaw cell synapses are distributed in a
position to more effectively control the activation of the L-type Ca2+ channels.
Using compartmental models of motoneurons with L-type Ca2+ channels distributed
in 100-microm-long hot spots centered 100 to 400 microm away from the soma, we
compared the inhibition generated by four distributions of inhibitory synapses:
proximal, distal, uniform, and one based on the location of Renshaw cell synapses
on motoneurons. Regardless of whether the synapses were activated tonically or
transiently, in the presence of L-type Ca2+ channels, inhibitory synapses
distributed according to the Renshaw cell synapse distribution generate the
largest inhibitory currents. The effectiveness of a particular distribution of
inhibitory synapses in the presence of PICs depends on their ability to
deactivate the channels underlying PICs, which is influenced not only by the
superposition between synapses and channels, but also by the distance away from
the somatic voltage clamp.
PMID- 18046007
TI - Multiple modes of amplification of synaptic inhibition to motoneurons by
persistent inward currents.
AB - The ability of inhibitory synaptic inputs to dampen the excitability of
motoneurons is augmented when persistent inward currents (PICs) are activated.
This amplification could be due to an increase in the driving potential of
inhibitory synapses or the deactivation of the channels underlying PICs. Our goal
was to determine which mechanism leads to the amplification of inhibitory inputs
by PICs. To reach this goal, we measured inhibitory postsynaptic currents (IPSCs)
in decerebrate cats during somatic voltage-clamp steps. These IPSCs were
generated by tonic activation of Renshaw cells. The IPSCs exhibited a rapid rise
and a slower decay to a plateau level. Activation of PICs always led to an
increase in the peak of the IPSC, but the amount of decay after the peak of the
IPSC was inversely related to the size of the IPSC. These results were replicated
in simulations based on compartmental models of motoneurons incorporating
distributions of Renshaw cell synapses based on anatomical observations, and L
type calcium channels distributed as 100-microm-long hot spots centered 100 to
400 microm away from the soma. For smaller IPSCs, amplification by PICs was due
to an increase in the driving force of the inhibitory synaptic current. For
larger IPSCs, amplification was caused by deactivation of the channels underlying
PICs leading to a lesser decay of the IPSCs. As a result of this change in the
time course of the IPSC, deactivation of the channels underlying PICs leads to a
greater amplification of the total inhibitory synaptic current.
PMID- 18046008
TI - Fine detail of neurovascular coupling revealed by spatiotemporal analysis of the
hemodynamic response to single whisker stimulation in rat barrel cortex.
AB - The spatial resolution of hemodynamic-based neuroimaging techniques, including
functional magnetic resonance imaging, is limited by the degree to which neurons
regulate their blood supply on a fine scale. Here we investigated the spatial
detail of neurovascular events with a combination of high spatiotemporal
resolution two-dimensional spectroscopic optical imaging, multichannel electrode
recordings and cytochrome oxidase histology in the rodent whisker barrel field.
After mechanical stimulation of a single whisker, we found two spatially distinct
cortical hemodynamic responses: a transient response in the "upstream" branches
of surface arteries and a later highly localized increase in blood volume
centered on the activated cortical column. Although the spatial representation of
this localized response exceeded that of a single "barrel," the spread of
hemodynamic activity accurately reflected the neural response in neighboring
columns rather than being due to a passive "overspill." These data confirm
hemodynamics are capable of providing accurate "single-condition" maps of neural
activity.
PMID- 18046009
TI - Lamotrigine in pregnancy: clearance, therapeutic drug monitoring, and seizure
frequency.
AB - OBJECTIVE: To characterize the magnitude and course of alterations in total and
free lamotrigine (LTG) clearance (Cl) during pregnancy and the postpartum period,
to assess the impact of therapeutic drug monitoring (TDM) on seizure frequency,
to determine the ratio to individual target LTG concentration that is associated
with increased seizure risk, and to evaluate maternal postpartum toxicity.
METHODS: A cohort of women were enrolled before conception or during pregnancy in
this prospective, observational study. Visits occurred every 1 to 3 months with
review of seizure and medication diaries, examination, and blood sampling. Total
and free LTG Cls were calculated. Individualized target concentrations were used
for TDM. The ratio to target concentration (RTC) was compared between patients
with and without increased seizures. A receiver operating characteristic curve
determined the threshold RTC that best predicts increased seizure frequency.
RESULTS: Analysis of 305 samples in 53 pregnancies demonstrated increased total
and free LTG Cl in all trimesters above nonpregnant baseline (p < 0.001), with
peak increases of 94% and 89% in the third trimester. Free LTG Cl was higher in
white compared with black women (p < 0.05). Increased seizure frequency (n = 36
women with epilepsy) in the second trimester was associated with a lower RTC (p <
0.001), and RTC < 0.65 was a significant predictor of seizure worsening. An
empiric postpartum taper reduced the likelihood of maternal LTG toxicity (p <
0.05) (n = 27). Newborn outcomes were similar to the general population (n = 52).
CONCLUSIONS: These novel data contribute to a rational treatment plan and dosing
paradigm for lamotrigine use during pregnancy, parturition, and the postpartum
period.
PMID- 18046010
TI - Trajectories of brain loss in aging and the development of cognitive impairment.
AB - BACKGROUND: The use of volumetric MRI as a biomarker for assessing transitions to
dementia presumes that more rapid brain loss marks the clinical transition from
benign aging to mild cognitive impairment (MCI). The trajectory of this volume
loss relative to the timing of the clinical transition to dementia has not been
established. METHODS: The authors annually evaluated 79 healthy elderly subjects
for up to 15 consecutive years with standardized clinical examinations and
volumetric brain MRI assessments of ventricular volume. During the study period,
37 subjects developed MCI. A mixed effects model with a change point modeled the
pattern of brain volume loss in healthy aging compared with subjects diagnosed
with MCI. RESULTS: The brain loss trajectory of subjects developing MCI during
follow-up differed from healthy aging in a two-phase process. First, the annual
rate of expansion of ventricular volume decreased with age; however, the annual
rates of expansion were greater in those who developed cognitive impairment
during follow-up compared with those who did not. Further, subjects who developed
MCI had an acceleration of ventricular volume expansion approximately 2.3 years
prior to clinical diagnosis of MCI. CONCLUSIONS: Ventricular expansion is faster
in those developing mild cognitive impairment years prior to clinical symptoms,
and eventually a more rapid expansion occurs approximately 24 months prior to the
emergence of clinical symptoms. These differential rates of preclinical atrophy
suggest that there are specific windows for optimal timing of introduction of
dementia prevention therapies in the future.
PMID- 18046011
TI - Methyl-beta-cyclodextrin improves fertilizing ability of C57BL/6 mouse sperm
after freezing and thawing by facilitating cholesterol efflux from the cells.
AB - Sperm cryopreservation provides an economical means of storing genetically
engineered mouse strains in resource facilities. In general, relatively high
fertilization rates are obtained for frozen/thawed sperm of the CBA/JN, DBA/2N,
and C3H inbred strains and some F1 hybrid strains. However, the fertilization
rate for frozen/thawed sperm of C57BL/6, which is the main strain of genetically
engineered mice, remains very low. Therefore, it is necessary to establish an in
vitro fertilization (IVF) method for cryopreserved C57BL/6 sperm that can obtain
a high rate of fertilization after thawing. In the present study, we focused on
the effects of methyl-beta-cyclodextrin (MBCD) on the fertilizing ability of
frozen/thawed C57BL/6 sperm. Our results have shown that the highest
fertilization rate for frozen/thawed sperm was obtained with MBCD at 1.0 mM for
30 min (63.7% +/- 11.0%), but the effects were attenuated by long-term incubation
for 120 min at 1.0 or 2.0 mM. The embryos with frozen/thawed sperm showed good
developmental potential, and the offspring had normal fertility. The efflux of
cholesterol from frozen/thawed sperm was increased by MBCD in a dose-dependent
manner and occurred much earlier and to a greater extent than bovine serum
albumin. The localization of cholesterol labeled by filipin in the sperm plasma
membrane was drastically decreased by MBCD. In summary, we suggest that MBCD is
useful for developing an IVF method for frozen/thawed C57BL/6 mouse sperm
achieving a high fertilization rate, being involved in the capacity to sequester
cholesterol from sperm membrane.
PMID- 18046012
TI - Phylogenetic analysis and identification of pseudogenes reveal a progressive loss
of zona pellucida genes during evolution of vertebrates.
AB - Vertebrate eggs are surrounded by an extracellular matrix with similar functions
and conserved individual components: the zona pellucida (ZP) glycoproteins. In
mammals, chickens, frogs, and some fish species, we established an updated list
of the ZP genes, studied the relationships within the ZP gene family using
phylogenetic analysis, and identified ZP pseudogenes. Our study confirmed the
classification of ZP genes in six subfamilies: ZPA/ZP2, ZPB/ZP4, ZPC/ZP3, ZP1,
ZPAX, and ZPD. The identification of a Zpb pseudogene in the mouse genome, Zp1
pseudogenes in the dog and bovine genomes, and Zpax pseudogenes in the human,
chimpanzee, macaque, and bovine genomes showed that the evolution of ZP genes
mainly occurs by death of genes. Our study revealed that the extracellular matrix
surrounding vertebrate eggs contains three to at least six ZP glycoproteins.
Mammals can be classified in three categories. In the mouse, the ZP is composed
of three ZP proteins (ZPA/ZP2, ZPC/ZP3, and ZP1). In dog, cattle and, putatively,
pig, cat, and rabbit, the zona is composed of three ZP proteins (ZPA/ZP2,
ZPB/ZP4, and ZPC/ZP3). In human, chimpanzee, macaque, and rat, the ZP is composed
of four ZP proteins (ZPA/ZP2, ZPB/ZP4, ZPC/ZP3, and ZP1). Our review provides new
directions to investigate the molecular basis of sperm-egg recognition, a
mechanism which is not yet elucidated.
PMID- 18046013
TI - Short- and long-term effects of unilateral ovariectomy in sheep: causative
mechanisms.
AB - The mechanisms of ovulatory compensation following unilateral ovariectomy (ULO)
are still not understood. In the present study, we investigated the short- and
long-term effects of ULO in sheep using transrectal ovarian ultrasonography and
hormone estimations made during the estrous cycle in which surgery was done, the
estrous cycle 2 mo after surgery, and the 17-day period during the subsequent
anestrus. The ULOs were done when a follicle in the first follicular wave of the
cycle reached a diameter > or =5 mm, leaving at least one corpus luteum and one
ovulatory-sized follicle in the remaining ovary. Ovulation rate per ewe was 50%
higher in the ULO ewes compared with the control ewes at the end of the cycle
during which surgery was performed, but it did not differ between groups at the
end of the cycle, 2 mo later. This compensation of ovulation rate in ULO ewes was
due to ovulation of follicles from the penultimate follicular wave in addition to
those from the final wave of the cycle. Ovulation from multiple follicular waves
appeared to be due to a prolongation of the static phase of the largest follicle
of the penultimate wave of the cycle. Interestingly, the length of the static
phase of waves was prolonged in ULO ewes compared with control ewes in every
instance where the length of the static phase could be determined. Changes in
follicular dynamics due to ULO were not associated with alterations in FSH and LH
secretion. In conclusion, ovulatory compensation in ULO sheep involves ovulation
from multiple follicular waves due to the lengthened static phase of ovulatory
sized follicles. These altered antral follicular dynamics do not appear to be FSH
or LH dependent. Further studies are required to examine the potential role of
the nervous system in the enhancement of the life span of the ovulatory-sized
follicles leading to ovulatory compensation by the unpaired ovary in ULO sheep.
PMID- 18046014
TI - Hypoxia promotes luteal cell death in bovine corpus luteum.
AB - Low oxygen caused by a decreasing blood supply is known to induce various
responses of cells, including apoptosis. The present study was conducted to
examine whether low-oxygen conditions (hypoxia) induce luteal cell apoptosis in
cattle. Bovine midluteal cells incubated under hypoxia (3% O(2)) showed
significantly more cell death than did those incubated under normoxia (20% O(2))
at 24 and 48 h of culture, and had significantly lower progesterone (P4) levels
starting at 8 h. Characteristic features of apoptosis, such as shrunken nuclei
and DNA fragmentation, were observed in cells cultured under hypoxia for 48 h.
Hypoxia increased the mRNA expressions of BNIP3 and caspase 3 at 24 and 48 h of
culture. Hypoxia had no significant effect on the expressions of BCL2 and BAX
mRNA. Hypoxia also increased BNIP3 protein, and activated caspase-3. Treatment of
P4 attenuated cell death, caspase-3 mRNA expression, and caspase-3 activity under
hypoxia. Overall results of the present study indicate that hypoxia induces
luteal cell apoptosis by enhancing the expression of proapoptotic protein, BNIP3,
and by activating caspase-3, and that the induction of apoptosis by hypoxia is
partially caused by a decrease in P4 production. Because hypoxia suppresses P4
synthesis in bovine luteal cells, we suggest that oxygen deficiency caused by a
decreasing blood supply in bovine corpus luteum is one of the major factors
contributing to both functional and structural luteolysis.
PMID- 18046015
TI - Nutrient sensing by the early mouse embryo: hexosamine biosynthesis and glucose
signaling during preimplantation development.
AB - Although mouse oocytes and cleavage-stage embryos are unable to utilize glucose
as a metabolic fuel, they have a specific requirement for a short exposure to
glucose prior to compaction. The reason for this requirement has been unclear. In
this study we confirm that cleavage-stage exposure to glucose is required for
blastocyst formation and show that the absence of glucose between 18-64 h after
hCG causes an irreversible decrease in cellular proliferation and an increase in
apoptosis. More importantly, this glucose signals to activate expression of
Slc2a3 transcript and SLC2A3 protein, a facilitative glucose transporter
(previously known as GLUT3) associated with developmental competence and
increased glucose uptake used to fuel blastocyst formation. Glucosamine could
substitute for glucose in these roles, suggesting that hexosamine biosynthesis
may be a nutrient-sensing mechanism involved in metabolic differentiation.
Inhibition of the rate-limiting enzyme in this pathway, glutamine-fructose-6
phosphate amidotransferase (GFPT), inhibited expression of the SLC2A3 transporter
protein and blastocyst formation. Glucosamine, a substrate that enters this
pathway downstream of GFPT, was able to overcome this inhibition and support
SLC2A3 expression. These data suggest that early embryos rely on hexosamine
biosynthesis as a glucose-sensing pathway to initiate metabolic differentiation.
PMID- 18046016
TI - Lymphocytic microparticles inhibit angiogenesis by stimulating oxidative stress
and negatively regulating VEGF-induced pathways.
AB - Recent studies have demonstrated that lymphocyte-derived microparticles (LMPs)
impair endothelial cell function. However, no data currently exist regarding the
contribution of LMPs in the regulation of angiogenesis. In the present study, we
investigated the effects of LMPs on angiogenesis in vivo and in vitro and
demonstrated that LMPs strongly suppressed aortic ring microvessel sprouting and
in vivo corneal neovascularization. In vitro, LMPs considerably diminished human
umbilical vein endothelial cell survival and proliferation in a concentration
dependent manner. Mechanistically, the antioxidants U-74389G and U-83836E were
partially protective against the antiproliferative effects of LMPs, whereas the
NADPH oxidase (NOX) inhibitors apocynin and diphenyleneiodonium significantly
abrogated these effects. Moreover, LMPs increased not only the expression of the
NOX subunits gp91(phox), p22(phox), and p47(phox), but also the production of ROS
and NOX-derived superoxide (O(2)(-)). Importantly, LMPs caused a pronounced
augmentation in the protein expression of the CD36 antiangiogenic receptor while
significantly downregulating the protein levels of VEGF receptor type 2 and its
downstream signaling mediator, phosphorylated ERK1/2. In summary, LMPs potently
suppress neovascularization in vivo and in vitro by augmenting ROS generation via
NOX and interfering with the VEGF signaling pathway.
PMID- 18046017
TI - Patterns of electrical propagation in the intact pregnant guinea pig uterus.
AB - Previous studies have reported on propagation of individual spikes in isolated
segments of the pregnant uterus, but there is no information on patterns of spike
propagation in the intact organ. There is also no information on propagation of
myometrial burst. The aim of this study was to record, at high resolution,
patterns of propagation of electrical activities in the pregnant uterus. Sixteen
timed-pregnant guinea pigs were euthanized at term, and their uteruses isolated.
Fetuses were removed and replaced by an equal amount of Tyrode. A 240-electrode
array was positioned at various locations along the organ, all signals were
recorded simultaneously, and the electrical propagations were reconstructed. In
the intact pregnant uterus at term, spikes propagated with high velocity in
longitudinal (6.8 +/- 2.4 cm/s) and slower velocity in circular direction (2.8 +/
1.0 cm/s; P < 0.01). Direction of propagation and frequency of activity were
highly variable but showed similar patterns at the ovary or cervical end and
along the anterior, posterior, and antimesometrial borders. Along mesometrium,
spike propagation was sparse and fractionated. Migration of burst (0.6 +/- 0.4
cm/s) was significantly much slower than that of individual spikes (P < 0.001).
Initial burst activity was located at variable locations along the ovarial end of
the antimesometrial border, while the latest excitation occurred at the cervical
end (1.2 +/- 0.9 min). In conclusion, high resolution electrical mapping of the
intact pregnant uterus reveals fundamental properties in spatial and temporal
patterns of spike and burst propagation that determine the contraction of the
organ.
PMID- 18046019
TI - Chronobiological characterization of women with primary vasospastic syndrome:
body heat loss capacity in relation to sleep initiation and phase of entrainment.
AB - Women with primary vasospastic syndrome (VS), but otherwise healthy, exhibit a
functional disorder of vascular regulation (main symptom: cold extremities) and
often suffer from difficulties initiating sleep (DIS). Diverse studies have shown
a close association between distal vasodilatation before lights off and a rapid
onset of sleep. Therefore, we hypothesized that DIS in women with VS could be due
to a reduced heat loss capacity in the evening, i.e., subjects are
physiologically not ready for sleep. The aim of the study was to elucidate
whether women having both VS and DIS (WVD) or not (controls) show different
circadian characteristics (e.g., phase delay of the circadian thermoregulatory
system with respect to the sleep-wake cycle). Healthy young women (n = 9 WVD and
n = 9 control) completed a 40-h constant routine protocol (adjusted to habitual
bedtime) before and after an 8-h sleep episode. Skin temperatures [off-line
calculated as distal-proximal skin temperature gradient (DPG)] and core body
temperature (CBT; rectal) were continuously recorded. Half-hourly saliva samples
were collected for melatonin assay and subjective sleepiness was assessed on the
Karolinska Sleepiness Scale (KSS). Compared with control, WVD showed no
differences in habitual bed times, but a 1-h circadian phase delay of dim light
melatonin onset (hours after lights on: WVD 14.6 +/- 0.3 h; control 13.5 +/- 0.2
h; P = 0.01). Similar phase shifts were observed in CBT, DPG, and KSS ratings. In
conclusion, WVD exhibit a phase delay of the endogenous circadian system with
respect to their habitual sleep-wake cycle, which could be a cause of DIS.
PMID- 18046018
TI - Zebrafish ae2.2 encodes a second slc4a2 anion exchanger.
AB - The genome of zebrafish (Danio rerio) encodes two unlinked genes equally closely
related to the SLC4A2/AE2 anion exchanger genes of mammals. One of these is the
recently reported zebrafish ae2 gene (Shmukler BE, Kurschat CE, Ackermann GE,
Jiang L, Zhou Y, Barut B, Stuart-Tilley AK, Zhao J, Zon LI, Drummond IA, Vandorpe
DH, Paw BH, Alper SL. Am J Physiol Renal Physiol Renal Physiol 289: F835-F849,
2005), now called ae2.1. We now report the structural and functional
characterization of Ae2.2, the product of the second zebrafish Ae2 gene, ae2.2.
The ae2.2 gene of zebrafish linkage group 24 encodes a polypeptide of 1,232 aa in
length, sharing 70% amino acid identity with zebrafish Ae2.1 and 67% identity
with mouse AE2a. Zebrafish Ae2.2 expressed in Xenopus oocytes encodes a 135-kDa
polypeptide that mediates bidirectional, DIDS-sensitive Cl(-)/Cl(-) exchange and
Cl(-)/HCO3(-) exchange. Ae2.2-mediated Cl(-)/Cl(-) exchange is cation
independent, voltage insensitive, and electroneutral. Acute regulation of anion
exchange mediated by Ae2.2 includes activation by NH4+ and independent inhibition
by acidic intracellular pH and by acidic extracellular pH. In situ hybridization
reveals low-level expression of Ae2.2 mRNA in zebrafish embryo, most notably in
posterior tectum, eye, pharynx, epidermal cells, and axial vascular structures,
without notable expression in the Ae2.1-expressing pronephric duct. Knockdown of
Ae2.2 mRNA, of Ae2.1 mRNA, or of both with nontoxic or minimally toxic levels of
N-morpholino oligomers produced no grossly detectable morphological phenotype,
and preserved normal structure of the head and the pronephric duct at 24 h
postfertilization.
PMID- 18046020
TI - Calcium/calmodulin-dependent kinase II mediates NO-elicited PKG activation to
participate in spinal reflex potentiation in anesthetized rats.
AB - Calcium/calmodulin protein kinase (CaMK)-dependent nitric oxide (NO) and the
downstream intracellular messenger cGMP, which is activated by soluble guanylate
cyclase (sGC), are believed to induce long-term changes in efficacy of synapses
through the activation of protein kinase G (PKG). The aim of this study was to
examine the involvement of the CaMKII-dependent NO/sGC/PKG pathway in a novel
form of repetitive stimulation-induced spinal reflex potentiation (SRP). A single
pulse test stimulation (TS; 1/30 Hz) on the afferent nerve evoked a single action
potential, while repetitive stimulation (RS; 1 Hz) induced a long-lasting SRP
that was abolished by a selective Ca(2+)/CaMKII inhibitor, autocamtide 2-related
inhibitory peptide (AIP). Such an inhibitory effect was reversed by a relative
excess of nitric oxide synthase (NOS) substrate, L-arginine. In addition, the RS
induced SRP was abolished by pretreatment with the NOS inhibitor, N(G)-nitro-L
arginine-methyl ester (L-NAME). The sGC activator, protoporphyrin IX (PPIX),
reversed the blocking effect caused by L-NAME. On the other hand, a sGC blocker,
1H-[1, 2, 4]oxadiazolo[4, 3-alpha]quinoxalin-1-one (ODQ), abolished the RS
induced SRP. Intrathecal applications of the membrane-permeable cGMP analog, 8
bromoguanosine 3',5'-cyclic monophosphate sodium salt monohydrate (8-Br-cGMP),
reversed the blocking effect on the RS-induced SRP elicited by the ODQ. Our
findings suggest that a CaMKII-dependent NO/sGC/PKG pathway is involved in the RS
induced SRP, which has pathological relevance to hyperalgesia and allodynia.
PMID- 18046021
TI - Endothelial nitric oxide synthase is predominantly involved in angiotensin II
modulation of renal vascular resistance and norepinephrine release.
AB - Nitric oxide (NO) is mainly generated by endothelial NO synthase (eNOS) or
neuronal NOS (nNOS). Recent studies indicate that angiotensin II generates NO
release, which modulates renal vascular resistance and sympathetic
neurotransmission. Experiments in wild-type [eNOS(+/+) and nNOS(+/+)], eNOS
deficient [eNOS(-/-)], and nNOS-deficient [nNOS(-/-)] mice were performed to
determine which NOS isoform is involved. Isolated mice kidneys were perfused with
Krebs-Henseleit solution. Endogenous norepinephrine release was measured by HPLC.
Angiotensin II dose dependently increased renal vascular resistance in all mice
species. EC(50) and maximal pressor responses to angiotensin II were greater in
eNOS(-/-) than in nNOS(-/-) and smaller in wild-type mice. The nonselective NOS
inhibitor N(omega)-nitro-L-arginine methyl ester (L-NAME; 0.3 mM) enhanced
angiotensin II-induced pressor responses in nNOS(-/-) and wild-type mice but not
in eNOS(-/-) mice. In nNOS(+/+) mice, 7-nitroindazole monosodium salt (7-NINA;
0.3 mM), a selective nNOS inhibitor, enhanced angiotensin II-induced pressor
responses slightly. Angiotensin II-enhanced renal nerve stimulation induced
norepinephrine release in all species. L-NAME (0.3 mM) reduced angiotensin II
mediated facilitation of norepinephrine release in nNOS(-/-) and wild-type mice
but not in eNOS(-/-) mice. 7-NINA failed to modulate norepinephrine release in
nNOS(+/+) mice. (4-Chlorophrnylthio)guanosine-3', 5'-cyclic monophosphate (0.1
nM) increased norepinephrine release. mRNA expression of eNOS, nNOS, and
inducible NOS did not differ between mice strains. In conclusion, angiotensin II
mediated effects on renal vascular resistance and sympathetic neurotransmission
are modulated by NO in mice. These effects are mediated by eNOS and nNOS, but NO
derived from eNOS dominates. Only NO derived from eNOS seems to modulate
angiotensin II-mediated renal norepinephrine release.
PMID- 18046022
TI - Clinical decisions. Management of stable coronary disease--polling results.
PMID- 18046023
TI - Images in clinical medicine. A cobwebbing trabecular pattern.
PMID- 18046024
TI - Bolstering the FDA's drug-safety authority.
PMID- 18046025
TI - In defense of pharmacoepidemiology--embracing the yin and yang of drug research.
PMID- 18046026
TI - Dengue and yellow fever--challenges for the development and use of vaccines.
PMID- 18046027
TI - Eltrombopag for thrombocytopenia in patients with cirrhosis associated with
hepatitis C.
AB - BACKGROUND: Eltrombopag is a new, orally active thrombopoietin-receptor agonist
that stimulates thrombopoiesis. We evaluated its ability to increase platelet
counts and facilitate treatment for hepatitis C virus (HCV) infection in patients
with thrombocytopenia associated with HCV-related cirrhosis. METHODS: Seventy
four patients with HCV-related cirrhosis and platelet counts of 20,000 to less
than 70,000 per cubic millimeter were randomly assigned to receive eltrombopag
(30, 50, or 75 mg daily) or placebo daily for 4 weeks. The primary end point was
a platelet count of 100,000 per cubic millimeter or more at week 4. Peginterferon
and ribavirin could then be initiated, with continuation of eltrombopag or
placebo for 12 additional weeks. RESULTS: At week 4, platelet counts were
increased to 100,000 per cubic millimeter or more in a dose-dependent manner
among patients for whom these data were available: in 0 of the 17 patients
receiving placebo, in 9 of 12 (75%) receiving 30 mg of eltrombopag, in 15 of 19
(79%) receiving 50 mg of eltrombopag, and in 20 of 21 (95%) receiving 75 mg of
eltrombopag (P<0.001). Antiviral therapy was initiated in 49 patients (in 4 of 18
patients receiving placebo, 10 of 14 receiving 30 mg of eltrombopag, 14 of 19
receiving 50 mg of eltrombopag, and 21 of 23 receiving 75 mg of eltrombopag)
while the administration of eltrombopag or placebo was continued. Twelve weeks of
antiviral therapy, with concurrent receipt of eltrombopag or placebo, were
completed by 36%, 53%, and 65% of patients receiving 30 mg, 50 mg, and 75 mg of
eltrombopag, respectively, and by 6% of patients in the placebo group. The most
common adverse event during the initial 4 weeks was headache; thereafter, the
adverse events were those expected with interferon-based therapy. CONCLUSIONS:
Eltrombopag therapy increases platelet counts in patients with thrombocytopenia
due to HCV-related cirrhosis, thereby permitting the initiation of antiviral
therapy. (ClinicalTrials.gov number, NCT00110799.)
PMID- 18046028
TI - Eltrombopag for the treatment of chronic idiopathic thrombocytopenic purpura.
AB - BACKGROUND: The pathogenesis of chronic idiopathic thrombocytopenic purpura (ITP)
involves antibody-mediated platelet destruction and reduced platelet production.
Stimulation of platelet production may be an effective treatment for this
disorder. METHODS: We conducted a trial in which 118 adults with chronic ITP and
platelet counts of less than 30,000 per cubic millimeter who had had relapses or
whose platelet count was refractory to at least one standard treatment for ITP
were randomly assigned to receive the oral thrombopoietin-receptor agonist
eltrombopag (30, 50, or 75 mg daily) or placebo. The primary end point was a
platelet count of 50,000 or more per cubic millimeter on day 43. RESULTS: In the
eltrombopag groups receiving 30, 50, and 75 mg per day, the primary end point was
achieved in 28%, 70%, and 81% of patients, respectively. In the placebo group,
the end point was achieved in 11% of patients. The median platelet counts on day
43 for the groups receiving 30, 50, and 75 mg of eltrombopag were 26,000,
128,000, and 183,000 per cubic millimeter, respectively; for the placebo group
the count was 16,000 per cubic millimeter. By day 15, more than 80% of patients
receiving 50 or 75 mg of eltrombopag daily had an increased platelet count.
Bleeding also decreased during treatment in these two groups. The incidence and
severity of adverse events were similar in the placebo and eltrombopag groups.
CONCLUSIONS: Eltrombopag increased platelet counts in a dose-dependent manner in
patients with relapsed or refractory ITP. (ClinicalTrials.gov number,
NCT00102739.)
PMID- 18046029
TI - Patent foramen ovale and cryptogenic stroke in older patients.
AB - BACKGROUND: Studies to date have shown an association between the presence of
patent foramen ovale and cryptogenic stroke in patients younger than 55 years of
age. This association has not been established in patients 55 years of age or
older. METHODS: We prospectively examined 503 consecutive patients who had had a
stroke, and we compared the 227 patients with cryptogenic stroke and the 276
control patients with stroke of known cause. We examined the prevalences of
patent foramen ovale and of patent foramen ovale with concomitant atrial septal
aneurysm in all patients, using transesophageal echocardiography. We also
compared data for the 131 younger patients (< 55 years of age) and those for the
372 older patients (> or = 55 years of age). RESULTS: The prevalence of patent
foramen ovale was significantly greater among patients with cryptogenic stroke
than among those with stroke of known cause, for both younger patients (43.9% vs.
14.3%; odds ratio, 4.70; 95% confidence interval [CI], 1.89 to 11.68; P<0.001)
and older patients (28.3% vs. 11.9%; odds ratio, 2.92; 95% CI, 1.70 to 5.01;
P<0.001). Even stronger was the association between the presence of patent
foramen ovale with concomitant atrial septal aneurysm and cryptogenic stroke, as
compared with stroke of known cause, among both younger patients (13.4% vs. 2.0%;
odds ratio, 7.36; 95% CI, 1.01 to 326.60; P=0.049) and older patients (15.2% vs.
4.4%; odds ratio, 3.88; 95% CI, 1.78 to 8.46; P<0.001). Multivariate analysis
adjusted for age, plaque thickness, and presence or absence of coronary artery
disease and hypertension showed that the presence of patent foramen ovale was
independently associated with cryptogenic stroke in both the younger group (odds
ratio, 3.70; 95% CI, 1.42 to 9.65; P=0.008) and the older group (odds ratio,
3.00; 95% CI, 1.73 to 5.23; P<0.001). CONCLUSIONS: There is an association
between the presence of patent foramen ovale and cryptogenic stroke in both older
patients and younger patients. These data suggest that paradoxical embolism is a
cause of stroke in both age groups.
PMID- 18046030
TI - Clinical practice. Late-life depression.
PMID- 18046031
TI - Computed tomography--an increasing source of radiation exposure.
PMID- 18046032
TI - Images in clinical medicine. Paradoxical embolism--thrombus in a patent foramen
ovale.
PMID- 18046033
TI - Case records of the Massachusetts General Hospital. Case 37-2007. A 47-year-old
man with left ventricular dysfunction after heart transplantation.
PMID- 18046034
TI - Immune thrombocytopenic purpura--from agony to agonist.
PMID- 18046035
TI - Continuous-flow ventricular assist device.
PMID- 18046036
TI - Progesterone and preterm birth.
PMID- 18046037
TI - Isolated systolic hypertension in the elderly.
PMID- 18046038
TI - Medical mystery: an unusual complication of colonoscopy--the answer.
PMID- 18046039
TI - Compounded colistimethate as possible cause of fatal acute respiratory distress
syndrome.
PMID- 18046040
TI - Case 27-2007: Intrauterine fetal death.
PMID- 18046041
TI - Violence against girls provokes girls' violence: from private injury to public
harm.
AB - Young women adjudicated delinquent in juvenile court report suffering inordinate
amounts of emotional, physical, and sexual trauma in early childhood and
adolescence. In addition, adolescent girls' arrests for violent crimes rose
dramatically in the 1990s. This article explores the relationship between those
two factors. Drawing from interviews with court-involved girls, this article
highlights two contexts in which girls committed aggressive offenses: an
incidence of intimate violence in a lesbian relationship and a stabbing in self
defense against sexual assault. I argue that the trauma witnessed and experienced
from prior childhood and adolescent injuries--much of it experienced in private-
contributed to girls' coming to the attention of public authorities.
PMID- 18046042
TI - Patriarchy matters: toward a gendered theory of teen violence and victimization.
AB - This article explores the role that the sex-gender system plays in shaping both
the violence and victimization of girls. Taking first the issue of girls'
violence, the article argues that steep increases in girls' arrests are not the
product of girls becoming more like boys. Instead, forms of girls' minor violence
that were once ignored are now being criminalized. Shifting gears, the article
explores how "gender-neutral" relabeling of girls' victimization in schools, a
site of much violence against girls, is extremely problematic. Renaming "sexual
harassment" as "bullying" tends to psychopathologize gender violence while
simultaneously stripping girl victims of powerful legal rights and remedies. To
illustrate this latter point, a "model" antibullying program, The Bullying
Prevention Program, is reviewed. Offering a one-size-fits-all view of bullying,
it assumes all bullying can be approached psychologically or relationally,
thereby minimizing the structural underpinnings of such behavior.
PMID- 18046043
TI - Dating conflicts: rethinking dating violence and youth conflict.
AB - Dating couples are tied to each other's friends who have expectations about
dating, such as who constitutes an acceptable date and how to balance friendship
and dating. We explore the place of friends in dating conflicts (i.e., conflicts
and violence associated with heterosexual teen dating) and ask: (a) How are
friends implicated in teen dating/violence not only as targets or confidants, but
also as participants in conflict that stems from their friends' relationships,
and (b) in what ways do dating conflicts conserve or challenge the power of
gender and sexual conformity that underlies heterosexual dating and dating
violence?
PMID- 18046044
TI - Violence and desire in Beijing: a young Chinese woman's strategies of resistance
in father daughter incest and dating relationships.
AB - In Mainland China, there is a lack of public awareness of and systematic research
on dating violence and incest. This article fills a gap in the research in this
area by examining a woman's lived experience of father-daughter incest and dating
violence. The article adopts the standpoint of third-wave feminists and
highlights women's agency and resistance to abuse. Meng Xi, the subject of the
case study in this article, is regarded as a "survivor" rather than a "victim,"
and her various strategies of resistance--in particular, how she talks about her
body and linghun (intelligence soul), and uses the two as sites of resistance-
are examined. The article sheds light on the desire and sexuality of women in
contemporary China, and especially the struggle between spiritual and material
pursuits.
PMID- 18046045
TI - Massive but selective cytokine dysregulation in the colon of IL-10-/- mice
revealed by multiplex analysis.
AB - IL-10-deficient mice develop enterocolitis due to a failure of cytokine
regulation; however, the full scope of that response remains poorly defined.
Using multiplex analysis to quantify the activity of 23 regulatory and effector
cytokines produced by colonic leukocytes, we demonstrate a vast dysregulation
process of 18 cytokines in IL-10-/- mice from 7 to 27 weeks of age. Of those, IL
12p40, IL-6, granulocyte macrophage colony-stimulating factor, IFN-gamma, IL-13
and monocyte chemoattractant protein-1 (MCP-1) had the highest single
correlations with pathology (r = 0.7766-0.7016). Importantly, there were strong
associations (r = 0.7071-0.9074) between those cytokines and as many as 10
additional cytokines, indicating a high degree of cytokine complexity as disease
progressed. IL-17 was notable in that it was produced at high levels by colonic
leukocytes from IL-10-/- mice with pathology ranging from mild to severe, though
it was not produced by healthy IL-10-/- mice lacking pathology. Tumor necrosis
factor alpha (TNFalpha) by itself displayed only a modest association with
pathology (r = 0.6340), ranking sixth lowest, though it cross-correlated strongly
with the synthesis of 12 other cytokines, implying that the destructive effects
associated with TNFalpha may be due to interactions of multiple cytokine
activities. IL-23 expression did not correlate with pathology, possibly
suggesting that IL-23 is involved in the initiation but not the perpetuation of
inflammation. Four cytokines (IL-2, IL-3, IL-4 and IL-5) remained negative in IL
10-/- mice, demonstrating that cytokine dysregulation was not universal. These
findings emphasize the need to better understand cytokine networks in chronic
inflammation and they provide a rationale for combining immunotherapies in the
treatment of intestinal inflammation.
PMID- 18046046
TI - Conditionally immortalized white preadipocytes: a novel adipocyte model.
AB - This study describes a novel approach to generate conditionally immortalized
preadipocyte cell lines from white adipose tissue (IMWAT) that can be induced to
differentiate into white adipocytes even after expansion in culture. Such
adipocytes express markers of white fat such as peroxisome proliferator-activated
receptor gamma and aP2 but not brown fat markers, have an intact insulin
signaling pathway, and express proinflammatory cytokines. They can be readily
transduced with adenoviral vectors, allowing them to be used to investigate the
consequences of the depletion of specific adipocyte factors using short hairpin
RNA. This approach has been used to study the effect of reduced expression of the
nuclear receptor corepressor receptor interacting protein 140 (RIP140), a
regulator of adipocyte function. The depletion of RIP140 results in changes in
metabolic gene expression that resemble those in adipose tissue of the RIP140
null mouse. Thus, IMWAT cells provide a novel model for adipocytes that are
derived from preadipocytes rather than fibroblasts and provide an alternative
system to primary preadipocytes for the investigation of adipocyte function.
PMID- 18046048
TI - Pharmacokinetics and pharmacodynamics of oral testosterone enanthate plus
dutasteride for 4 weeks in normal men: implications for male hormonal
contraception.
AB - Oral administration of testosterone enanthate (TE) and dutasteride increases
serum testosterone and might be useful for male hormonal contraception. To
ascertain the contraceptive potential of oral TE and dutasteride by determining
the degree of gonadotropin suppression mediated by 4 weeks of oral TE plus
dutasteride, 20 healthy young men were randomly assigned to 4 weeks of either 400
mg oral TE twice daily or 800 mg oral TE once daily in a double-blinded,
controlled fashion at a single site. All men received 0.5 mg dutasteride daily.
Blood for measurement of serum luteinizing hormone (LH), follicle-stimulating
hormone (FSH), testosterone, dihydrotesterone (DHT), and estradiol was obtained
prior to treatment, weekly during treatment, and 1, 2, 4, 8, 12, 13, 14, 16, 20,
and 24 hours after the morning dose on the last day of treatment. FSH was
significantly suppressed throughout treatment with 800 mg TE once daily and after
4 weeks of treatment with 400 mg TE twice daily. LH was significantly suppressed
after 2 weeks of treatment with 800 mg TE, but not with 400 mg TE. Serum DHT was
suppressed and serum estradiol increased during treatment in both groups. High
density lipoprotein cholesterol was suppresed during treatment, but liver
function tests, hematocrit, creatinine, mood, and sexual function were
unaffected. The administration of 800 mg oral TE daily combined with dutasteride
for 28 days significantly suppresses gonadotropins without untoward side effects
and might have utility as part of a male hormonal contraceptive regimen.
PMID- 18046049
TI - In vivo application of histone deacetylase inhibitor trichostatin-a impairs
murine male meiosis.
AB - In vivo application of histone deacetylase (HDAC) inhibitor trichostatin-A (TSA)
in mice results in male infertility. To get more insight into the mechanisms
underlying this phenomenon, we performed a genome-wide expression analysis and
investigated HDAC activity and degree of histone H3 and H4 acetylation in murine
testes after TSA treatment. A significant decrease in HDAC activity and a weak
increase in histone acetylation could be demonstrated at 2.5, 5.0, and 7.5 hours
after TSA application. Gene expression analysis revealed 507 significantly
regulated genes. Transcripts expressed in the somatic cells of the testis
(Sertoli, Leydig, peritubular cells, and testis macrophages) or extratubular
matrix were regulated as early as 2.5 hours after TSA application, whereas very
few meiosis-specific genes were modulated after TSA treatment. In addition,
members of the p53-noxa-caspase-3 proapoptotic pathway were regulated early.
Applying in-situ hybridization, caspase-3-mRNA was found only in apoptotic
spermatocytes, whereas TRP53/p53- and PMAIP1/noxa-mRNA could be demonstrated in
spermatogonia and spermatocytes. Our data suggest that TSA impaired male meiosis,
possibly through an indirect mechanism implicating somatic cells of the testis.
PMID- 18046050
TI - Adenosine triphosphate production by bovine spermatozoa and its relationship to
semen fertilizing ability.
AB - This article's objectives are to investigate the relationship between adenosine
triphosphate (ATP) production (oxidative phosphorylation and glycolysis) and
fertility of bovine spermatozoa, determine the proportion of oxygen consumption
devoted to proton leak and that due to nonmitochondrial processes, and discover
whether freeze/thawing affects sperm oxygen consumption. Oxygen consumption of
bovine spermatozoa was measured using a standard Clark electrode and, for the
first time, in an Oxygen Biosensor System (OBS). Total ATP formation by bovine
spermatozoa was calculated from the oxygen consumption and lactate production
(glycolysis) by the same spermatozoa sample. ATP production varied from 1.99 to
8.09 mumol ATP per 10(8) spermatozoa per hour; glycolysis accounted for 16% to
38% of ATP. Nonmitochondrial oxygen consumption could not be detected in bovine
spermatozoa using these methods. A significant proportion (16%-43%) of oxygen
consumption was insensitive to oligomycin and was due to "proton leak." There was
no significant difference between oxygen consumption of frozen/thawed and fresh
spermatozoa for 2 of the 3 bulls tested. However, oxygen consumption of
frozen/thawed spermatozoa was significantly higher (P < .05) than fresh
spermatozoa for the third bull. When ZO(2) of frozen/thawed spermatozoa from 20
bulls was compared with their 49 day nonreturn rates (NRRs), oxygen consumption
was correlated positively with NRR (ie, fresh spermatozoa with a higher ZO(2)
were more fertile). Moreover, total ATP production correlated with NNR better
than ZO(2). Bulls with a lower NRR produce spermatozoa that are susceptible to
damage during the freeze/thawing process, causing an increase in ZO(2), possibly
due to mitochondrial membrane damage resulting in more energy being expended in
maintaining the proton gradient, or capacitation-like changes causing
hyperactivation. Oxygen consumption measured in the OBS may be useful in
assessing bovine sperm fertility.
PMID- 18046051
TI - Coculture of spermatogonia with somatic cells in a novel three-dimensional soft
agar-culture-system.
AB - Isolation and culture of spermatogonial stem cells (SSCs) has become an approach
to study the milieu and the factors controlling their expansion and
differentiation. Traditional conventional cell culture does not mimic the complex
situation in the seminiferous epithelium providing a basal, intraepithelial, and
adluminal compartment to the developing male germ cells. SSCs are located in
specific stem cell niches whose features and functional parameters are thus far
poorly understood. It was the aim of this study to isolate SSCs and to explore
their expansion and differentiation potential in a novel three-dimensional Soft
Agar-Culture-System (SACS). This system provides three-dimensional structural
support and multiple options for manipulations through the addition of factors,
cells, or other changes. The system has revolutionized research on blood stem
cells by providing a tool for clonal analysis of expanding and differentiating
blood cell lineages. In our studies, SSCs are enriched using Gfralpha-1 as a
specific surface marker and magnetic-activated cell sorting as a separation
approach. At termination of the culture, we determined the type and number of
germ cells obtained after the first 24 hours of culture. We also determined cell
types and numbers in expanding cell clones of differentiating germ cells during
the subsequent 15 days of culture. We analyzed a supportive effect of somatic
cell lineages added to the solid part of the culture system. We conclude that our
enrichment and culture approach is highly useful for exploration of SSC expansion
and have found indications that the system supports differentiation up to the
level of postmeiotic germ cells.
PMID- 18046052
TI - Nutritional adequacy of different menu settings in elite Spanish adolescent
soccer players.
AB - The article describes a study that evaluated the adequacy of 2 different menu
settings in a group of elite adolescent Spanish soccer players. Five-day food
intake was assessed on 2 occasions, while athletes were consuming a flexible
"buffet-style" diet (B; n = 33) and a fixed "menu-style" diet (M; n = 29). For
all principal meals of the day food weighing was performed, and snacks were
recorded by self-report. M provided significantly higher total energy and
carbohydrate intakes than B. Breakfast and snacks both provided more energy in M.
Calories obtained from fat were excessive in both settings. Calcium and vitamin D
were below recommendations in B but not in M. Fiber, magnesium, folate, vitamin
A, and vitamin E intake fell below recommended values in both settings. M
provided significantly greater quantities of magnesium and vitamins D and E. Both
feeding options were far from optimal in satisfying current scientifically based
recommendations for active adolescents.
PMID- 18046053
TI - Effect of Red Bull energy drink on repeated Wingate cycle performance and bench
press muscle endurance.
AB - The purpose of this study was to determine the effects of Red Bull energy drink
on Wingate cycle performance and muscle endurance. Healthy young adults (N = 15,
11 men, 4 women, 21 +/- 5 y old) participated in a crossover study in which they
were randomized to supplement with Red Bull (2 mg/kg body mass of caffeine) or
isoenergetic, isovolumetric, noncaffeinated placebo, separated by 7 d. Muscle
endurance (bench press) was assessed by the maximum number of repetitions over 3
sets (separated by 1-min rest intervals) at an intensity corresponding to 70% of
baseline 1-repetition maximum. Three 30-s Wingate cycling tests (load = 0.075
kp/kg body mass), with 2 min recovery between tests, were used to assess peak and
average power output. Red Bull energy drink significantly increased total bench
press repetitions over 3 sets (Red Bull = 34 +/- 9 vs. placebo = 32 +/- 8, P
%%%lt; 0.05) but had no effect on Wingate peak or average power (Red Bull = 701
+/- 124 W vs. placebo = 700 +/- 132 W, Red Bull = 479 +/- 74 W vs. placebo = 471
+/- 74 W, respectively). Red Bull energy drink significantly increased upper body
muscle endurance but had no effect on anaerobic peak or average power during
repeated Wingate cycling tests in young healthy adults.
PMID- 18046054
TI - Carbohydrate-gel supplementation and endurance performance during intermittent
high-intensity shuttle running.
AB - The aim of this study was to investigate the effects of a carbohydrate (CHO) gel
on performance after prolonged intermittent high-intensity shuttle running. Seven
male soccer players performed 2 exercise trials, 7 d apart. On each occasion,
participants completed five 15-min periods of intermittent variable-speed
running, interspersed with periods of walking (Part A), followed by an
intermittent run to exhaustion (Part B). Participants consumed either a CHO gel
or placebo (PLA) immediately before exercise (0.89 mL/kg body mass [BM]) and
every 15 min thereafter (0.35 mL/kg BM). In addition, water was consumed at a
rate of 5 mL/kg BM before and 2 mL/kg BM every 15 min during exercise. Blood
glucose levels were higher (P < 0.05) at 15, 30, and 60 min of exercise and at
exhaustion in CHO than in PLA. During Part B, run time to exhaustion was longer
(P < 0.05) in the CHO trial (CHO 6.1 +/- 1.3 min vs. PLA 4.2 +/- 1.2 min). These
results indicate that ingesting a CHO gel, along with water, improves performance
after prolonged intermittent running in healthy male subjects, possibly by
maintaining blood glucose levels during exercise.
PMID- 18046055
TI - The effects of nutritional supplementation throughout an endurance run on leucine
kinetics during recovery.
AB - This study determined the effect of nutritional supplementation throughout
endurance exercise on whole-body leucine kinetics (leucine rate of appearance
[Ra], oxidation [Ox], and nonoxidative leucine disposal [NOLD]) during recovery.
Five trained men underwent a 2-h run at 65% VO(2max), during which a carbohydrate
(CHO), mixed protein-carbohydrate (milk), or placebo (PLA) drink was consumed.
Leucine kinetics were assessed during recovery using a primed, continuous
infusion of 1-13C leucine. Leucine Ra and NOLD were lower for milk than for PLA.
Ox was higher after milk-supplemented exercise than after CHO or PLA. Although
consuming milk during the run affected whole-body leucine kinetics, the benefits
of such a practice for athletes remain unclear. Additional studies are needed to
determine whether protein supplementation during exercise can optimize protein
utilization during recovery.
PMID- 18046056
TI - Caffeine-induced changes in cardiovascular function during resistance training.
AB - Caffeine (CAF) exerts a pressor effect both at rest and during exercise, as blood
pressure is higher than with placebo. The effect of acute CAF ingestion combined
with intense resistance training on cardiovascular function is unknown, however.
The primary aim of the study was to examine changes in cardiovascular function
after completion of fatiguing bench-press and leg-press exercise after CAF or
placebo ingestion. Twenty-two resistance-trained men ingested CAF (6 mg/kg) or
placebo 1 h preexercise in a randomized, double-blind crossover design. They
refrained from CAF intake and strenuous exercise 48 and 24 h pretrial,
respectively. Heart rate and blood pressure were measured preexercise. After a
standardized warm-up, 1-repetition-maximum (1-RM) on the barbell bench press and
leg press was tested. When it had been determined, a load equivalent to 60% of 1
RM was placed on the bar, and the subject completed repetitions to failure.
Measurements of heart rate and blood pressure were immediately completed, and
mean arterial pressure and rate-pressure product were calculated. Results showed
significant (P < 0.05) increases in heart rate (+ 10 beats/min), systolic blood
pressure (+ 8-10 mmHg), and rate-pressure product with acute CAF ingestion versus
placebo. No change (P > 0.05) in diastolic blood pressure across time or
treatment was shown. To prevent elevated blood pressure and potential enhanced
risk of heart disease, CAF intake should be monitored in at-risk men who
participate in resistance training.
PMID- 18046057
TI - Influence of carbohydrate, intense exercise, and rest intervals on hormonal and
oxidative changes.
AB - This study compared effects of carbohydrate (CHO) and rest on oxidative stress
during exercise. Cyclists (N = 12) completed 4 randomized trials at 64% Wattsmax
under 2 conditions (continuous cycling for 2 h [C] and cycling with 3-min rest
every 10 min for 2.6 h [R]). Subjects cycled under each condition while receiving
6% CHO and placebo (PLA). CHO and PLA were given preexercise (12 mL/kg) and
during exercise (4 mL x kg(-1) x 15 min(-1)). Blood was collected preexercise,
postexercise, and 1 h postexercise and assayed for F2-isoprostanes,
hydroperoxides (LH), nitrite, antioxidant capacity, glucose, insulin, cortisol,
and epinephrine. F2-isoprostanes and LH were lower in CHO. Glucose, cortisol, and
epinephrine exhibited significant effects, with postexercise levels of glucose
higher and cortisol and epinephrine lower in CHO during the R condition. This
pattern was identical in the C condition (21). Oxidative stress during cycling
was unaffected by use of short rest intervals but was diminished by CHO.
PMID- 18046058
TI - Systematic review on use of a handheld indirect calorimeter to assess energy
needs in adults and children.
AB - With the number of individuals becoming overweight or obese, health care
professionals are in need of accurate, reliable, and convenient tools to help
personalize weight-loss programs. Recently, a new handheld indirect calorimeter
(i.e., MedGem/BodyGem; also know as "Gem") was introduced as a convenient way to
assess resting metabolic rate (RMR) to determine daily energy needs. Several
validation and comparison studies were conducted to determine whether the Gem
device is accurate and reliable, and results from these studies are mixed.
Fourteen human studies (12 adult, 2 pediatric) were conducted, and 12 met the
established criteria for this review. In all Douglas-bag (DB; n = 4) validation
studies, the Gem device was not significantly different than the DB (mean
difference adult +/-1%, pediatric +/-1%). The intraclass reliability of the Gem
ranged from 0.97 to 0.98, and the interclass reliability to the DB ranged from
0.91 to 0.97. Although few (n = 2) studies have demonstrated that the Gem device
measures RMR significantly lower (-8.2% to 15.1%) than traditional metabolic
carts, it performs very comparably (RMR values 0.1-4.0%, interclass reliability
0.76-0.92) to traditional metabolic carts in most (n = 6) of the comparison
studies. Based on these data, the Gem device is a valid and reliable indirect
calorimeter for energy assessment in most adults and children.
PMID- 18046059
TI - Differential expression of autophagy in Hodgkin lymphoma cells treated with
various anti-cancer drugs.
AB - AIM: autophagy is a pivotal physiological process for survival during starvation,
differentiation and normal growth control. It is defined as the process of
sequestrating cytoplasmic proteins or even entire organelles into the lytic
compartment (lysosome/vacuole). This study investigates the expression of
autophagy in Hodgkin lymphoma cells treated with various anti-cancer drugs.
METHODS: Hodgkin's lymphoma cells (HD-My-Z cells) were cultured with various anti
cancer drugs, such as bleomycin, adriamycin, gemcitabine and paclitaxel.
Autophagy was detected by fluorescent pattern of light chain 3(LC3) proteins and
the apoptotic cell death was determined by annexin V binding. RESULTS: autophagy
was detected in HD-My-Z cells treated with gemcitabine, but not with bleomycin,
adriamycin and paclitaxel. Adriamycin exhibited the strongest cytotoxic action,
and the cytotoxic action of bleomycin and gemcitabine was less marked compared
with adriamycin. Paclitaxel did not cause significant cell death in the cells.
CONCLUSION: autophagy was differentially expressed in Hodgkin lymphoma cells
treated with anti-cancer drugs and the expression did not correspond to the
apoptotic cell death.
PMID- 18046060
TI - Helicobacter pylori infection: prevalence in chronic urticaria patients and
incidence of autoimmune urticaria (study in Dr. Cipto Mangunkusumo Hospital,
Jakarta).
AB - AIM: To determine the prevalence of Hp infection in patients with chronic
urticaria (CU) and to evaluate the result of autologous serum skin test (ASST) in
CU patients with Hp infections. METHODS: In this cross-sectional study, 16
patients with chronic urticaria and 16 non-urticaria volunteers were investigated
(matched for age and sex). All subjects were examined for Hp infection with the
13C-urea breath test. Autologous serum skin test was performed in patients with
proven Hp infection. RESULTS: Helicobacter pylori was detected in 12.5% of
patients and 0% of the control group. There was no significant difference between
the two groups (p = 0.484 using Fisher exact test). Autologous serum skin test
was positive in 1 of 2 CU patients with Hp infection. CONCLUSION: In this study,
there was no significant difference in the seroprevalence of Hp infection between
CU patients and controls. Autologous serum skin test was positive in 1 of 2 CU
patients with Hp infection.
PMID- 18046061
TI - Correlation between free thyroxin levels and left ventricular ejection fraction
in Graves' disease (preliminary study).
AB - AIM: to determine the correlation between free thyroid hormone level and left
ventricular ejection fraction in newly diagnosed Graves' patients. METHODS: this
is a preliminary study with an initial cross-sectional design using free
thyroxine level as a parameter of thyroid hormone state and left ventricular
ejection fraction (LVEF) as a parameter of left ventricular systolic function.
Free thyroxine level was measured in the laboratory and the LVEF was assessed by
Simpson's methods of echocardiography study. RESULTS: ten patients (7 men and 3
women; age 18-52 years old) were studied. Their average of fT4 was 5.75 (SD 0.96)
ng/dL and their average of LVEF was 70.57 (SD 4.50)%. There was positive
correlation coefficient between free thyroxine level and left ventricular
ejection fraction (r=0.711, p=0.021) in newly diagnosed Graves' patients.
CONCLUSION: in this study strong positive correlation was found between free
thyroxine (fT4) and left ventricular ejection fraction (LVEF) in newly diagnosed
Graves' patients.
PMID- 18046062
TI - Does hyperbaric oxygen administration decrease side effect and improve quality of
life after pelvic radiation?
AB - AIM: to evaluate the influence of HBOT to the side effect and quality of life
after pelvic radiation. METHODS: this is an open randomized, parallel,
prospective study conducted in Department of Obstetrics and Gynecology, Oncology
Division and Department of Radiotherapy. Endoscopy procedure was performed in
Department of Internal Medicine and tissue biopsy in Department of Pathology
Anatomy. The hyperbaric oxygen therapy (HBOT) was done in Dr. Mintohardjo, Navy
Seal Hospital Jakarta. The side effect was measured using LENT SOMA scale ratio,
the quality of life used the Karnofsky score. The difference of two mean was
analyzed using student t test. RESULTS: of 32 patients undergoing HBOT and 33
patients as control, the ratio of ASE of control group was 44.1+/-28.2%, HBOT
group was 0.7+/-30.1%; p<0.001; the LSE of control group was 33.6+/-57.6%, HBOT
group was -19.6+/-69.4%; p=0.008. Quality of life of control group after
intervention was 4.5+/-10.7%; HBOT group was 19.7+/-9.6%; p <0.001. After 6
months of intervention the quality of life was 2.5+/-16.1% in the control group,
and HBOT group was 15.2+/-14.7%; p =0.007. CONCLUSION: the study showed that HBOT
decreased acute and late side effect, also improved the quality of life of
patients with proctitis radiation.
PMID- 18046063
TI - The cut-off point of interleukin-6 level in acute coronary syndrome.
AB - AIM: this study aimed to observe whether the interleukin-6 level in acute
coronary syndrome (ACS) patients were higher than those in coronary heart disease
(CHD) patients. In addition, we would like to observe the cut off point of
interleukin-6 level in ACS. METHODS: this cross sectional study were conducted at
Dr. Cipto Mangunkusumo General Hospital (RSUPN-CM), Persahabatan Hospital, MMC
Hospital and Medistra Hospital, Jakarta. The study started from 1 May 2005 to 5
May 2006. RESULTS: in this observational study, as many as 62 CHD patients were
collected and 84 ACS that met the study criteria. Demographic analysis showed
that there was no difference in ages among the two groups (ACS and CHD). The risk
factors of dyslipidemia, hypertension and lipid profile in the two groups did not
differ significantly. Waist circumference and IMT, systolic and diastolic blood
pressures in the two groups did not also differ significantly. Smoking was more
prevalent in the groups of ACS than in the groups of CHD. In this study the IL-6
level in ACS (mean 40.85 pg/mL, SD 41.71, CI 95% 25.63-42.08 was higher than that
in CHD (mean 4.58 pg/mL, SD 9.61, CI 95% 2.14-7.02). To identify the IL-6 level
as the predictor for the occurrence of ACS, sensitivity and specificity were
calculated at various cut-off points of IL-6 level. At cut-off point of IL-6 4.43
pg/mL the highest sensitivity (89.95%) and highest specificity (77.42%) were
found with ROC of 0.87. CONCLUSION: it could be concluded that the IL-6 level in
ACS were higher that those in CHD. The IL-6 level 4,43 pg/mL could differentiate
the acute condition (ACS) and stable condition (non-ACS) with sensitivity of
89.95% and specificity of 77.42%, and ROC of 0.87.
PMID- 18046064
TI - Hepatoid carcinoma of the gallbladder.
AB - Hepatoid carcinoma is a special type of extrahepatic tumor associated with
hepatic differentiation, and has the morphological and functional features of
hepatocellular carcinoma. Hepatoid carcinoma of the gallbladder is very rarely
reported in the literature. We report a case of hepatoid carcinoma of the
gallbladder in a 71-year-old female who presented with abdominal pain and was
first diagnosed as cholelithiasis with cholecystitis. The microscopic findings of
the gallbladder after cholecystectomy showed an area of tumor with polygonal
cells, eosinophilic cytoplasm, distinct cell borders, round vesicular nuclei and
prominent nucleoli, arranged in trabecular pattern resembling hepatocellular
carcinoma intermingled with areas of adenocarcinoma or cholangiocarcinoma. The
specimen from the pancreas also showed the same type of tumor cells.
Histochemically, some of tumor cells were positive for Victoria Blue, Stein, and
PAS. The immunohistochemistry for alpha-fetoprotein (AFP) showed strong intra
cytoplasmic positivity, both in tumor cells with hepatic differentiation and
tumor cells with bile duct epithelium differentiation. Based on these findings,
this case was diagnosed as hepatoid carcinoma of the gallbladder with metastasis
to the pancreas. This is the first case that has been reported in our department.
PMID- 18046065
TI - Colitis amebiasis with symptom of occasional dripped anal bleeding.
AB - Colitis amebiasis is usually characterized by bloody and mucous diarrhea,
abdominal pain and anal discomfort. However, there is unusual manifestation of
colitis amebiasis, such as occasional dripped anal bleeding, which sometimes
spouted. Therefore, we often do not suspect such symptoms for colitis amebiasis.
Laboratory examination includes complete laboratory test, coagulation and
hematologic test, ICT TBC and colonoscopy. The pathology anatomy examination
reveals positive results of trophozoites. Treatment by using metronidazole tablet
provides good result for this disease.
PMID- 18046066
TI - Percutaneous angioplasty in the diabetic foot.
PMID- 18046067
TI - Diagnosis and treatment of hematochezia: guideline for clinical practice.
AB - Hematochezia as an acute and chronic lower gastrointestinal bleeding could be
caused by diverticulosis, angiodysplasia, neoplasm, perianal disorders, Meckel's
diverticulum, colitis (infectious and non-infectious) intussusception, and many
others. Lower gastrointestinal bleeding mostly occurs in older age. Mortality
caused by acute and chronic lower gastrointestinal bleeding is very high. On the
other hand, there are difficulties in clinical practice to find the cause and
making the diagnosis and therapy for hematochezia. Fortunately, the progress and
development in medical technology, especially colonoscopy and arteriography, has
assisted in clinical practice.
PMID- 18046068
TI - Prenatal diagnosis of a fetal abdominal eventration: a rare congenital abdominal
wall defect.
AB - We report a case of abdominal eventration associated with cystic fibrosis,
diagnosed by mid-trimester ultrasonography. The defect concerned the abdominal
muscles and their aponevrotic sheath, but respected the skin. There was no
associated malformation. The outcome was favorable after surgery, and the infant
is well at the age of 6 months.
PMID- 18046069
TI - Selective feticide in monoamniotic twin pregnancies by umbilical cord occlusion
and transection.
AB - OBJECTIVES: In monoamniotic twin pregnancies discordant for fetal anomaly,
parents may opt for selective feticide. However, the normal co-twin remains at
risk of sudden demise from cord entanglement. We report on three cases of
successful selective feticide by cord occlusion combined with cord transection.
METHODS: We describe technical details and outcome of three monoamniotic twin
pregnancies discordant for fetal anomaly (two cases of anencephaly and one case
of congenital heart block) in which cord occlusion was followed by transection of
the cord using contact laser. RESULTS: The fetoscopic cord occlusion and
transection using laser was successfully performed at 15, 16 and 19 weeks
gestation, respectively. In one case, amniotic fluid leakage occurred after
fetoscopy. The surviving co-twins were born at 36, 38 and 36 weeks gestation,
respectively; two of the three were born vaginally and they were all healthy.
CONCLUSION: In monoamniotic twins, selective feticide using laser occlusion and
transection of the umbilical cord is technically feasible and can lead to near
term vaginal birth of healthy co-twins.
PMID- 18046070
TI - A retrospective and theoretical evaluation of rapid methods for detecting
chromosome abnormalities and their implications on genetic counseling based on a
series of 3868 CVS diagnoses.
AB - OBJECTIVES: To report our experience over the past 10 years of chorionic villi
sampling (CVS) prenatal diagnosis in a high-risk population for chromosomal
anomalies, and to analyze, according to the results, the advantages and
disadvantages of using quantitative fluorescence polymerase chain reaction (QF
PCR) in amniotic fluid with respect to a conventional semi-direct cytogenetic CVS
method in a retrospective theoretical review. METHODS: We performed 3,868
cytogenetic analyses from CVS using a semi-direct culture method in a selected
high-risk population for chromosomal abnormalities and we compare our findings
with the theoretical results obtained using QF-PCR on amniotic fluid. RESULTS:
The rate of chromosomal anomalies detected with the semi-direct CVS cytogenetic
study, excluding confined placental mosaicism (CPM), was 6.8%. 26.3% of all them
would be missed by using QF-PCR only and among them, 21.4% of cases would
represent a severe adverse obstetric outcome. CONCLUSIONS: We think that semi
direct CVS cytogenetic analysis in comparison with QF-PCR in amniotic fluid is
similarly rapid, performed earlier and more complete, allowing the chromosomal
diagnosis in the first trimester of gestation. We propose the use of QF-PCR as an
additional method to semi-direct CVS analysis in order to avoid false-negative
results, as a rapid alternative to long-term culture.
PMID- 18046071
TI - Fetal goiter and bilateral ovarian cysts.
AB - A unique case of fetal goiter accompanied by bilateral ovarian cysts in a mother
treated with methimazole for Graves'disease is reported. The abnormal findings
were detected by ultrasound at 31 weeks of gestation. Umbilical fetal blood
sampling revealed elevated serum TSH, normal concentrations of free T 4 , normal
FSH and LH and high concentrations of E 2 . A series of weekly amniocenteses and
intra-amniotic injections of levothyroxine was initiated, along with a reduction
of the mother's methimazole dosage. The level of TSH in amniotic fluid was
initially high, but was considerably reduced by each injection and followed by a
gradual reduction of fetal goiter as well as the left ovarian cyst. The right
cyst ruptured spontaneously. At 36 weeks + 4 days, the patient underwent elective
caesarean section and gave birth to a female, weighing 2,880 g with 1- and 5-min
Apgar scores of 10. The thyroid gland appeared normal in size, and cord blood TSH
and free T 4 were both within normal limits. At ultrasound control 6 days later,
the right ovarian cyst was not visible, while the left cyst was still present.
Thus, our report supports previous findings that fetal goiter can be treated
successfully with intra-amniotic injection of levothyroxine.More importantly, it
shows that fetal hypothyroidism with elevated levels of TSH can be accompanied by
ovarian cysts,suggesting interference between thyreotropic and gonadotropic
hormones.
PMID- 18046072
TI - Prenatal diagnosis of abnormal course of umbilical vein and absent ductus venosus
-report of three cases.
AB - An abnormal course of the umbilical vein is a rare anomaly. Its association with
the congenital absence of the ductus venosus is common. We found 3 cases of an
abnormal course of the umbilical vein and an absent ductus venosus. In 2 of these
cases, the umbilical vein turned down and continued in the internal iliac vein,
and no ductus venosus was found. One of these pregnancies was terminated. From
the continued pregnancy a growth-retarded baby was born. At follow-up
examinations, mild microcephaly, mildly elevated levels of ammonia, delayed
speech and mild muscular hypotonia were found. In the third case, the umbilical
vein turned up from the level of umbilical ring and the anterior of the liver
above the diaphragma and connected directly into the right atrium. Associated
complex congenital heart malformations - transposition of the great arteries, and
ventricular septal defect - were diagnosed prenatally. In the umbilical vein from
the placenta to the umbilical ring, the flow was low velocity continuous; from
the umbilical ring to the right atrium, the flow was biphasic high velocity (90
cm/s). Such an elevated blood flow could be a sign of increased cardiac preload.
The long-term neurological follow-up of babies with prenatally diagnosed venous
malformations is necessary.
PMID- 18046073
TI - Nonhemorrhagic primary obstetric shock.
AB - OBJECTIVE: Clinical evaluation of nonhemorrhagic primary obstetric shock (NHPOS).
METHODS: In a retrospective clinical study, data on 8 cases of NHPOS were
analyzed. Data on patient age, parity, week of gestation, comorbidity, possible
etiologic trigger, course of disease with clinical picture and laboratory
findings of coagulopathy, and patient outcome including autopsy findings in two
lethal outcomes were analyzed. RESULTS: These 8 patients were treated in the
intensive care unit. One patient died during delivery from cardiopulmonary arrest
in the state of irreversible obstetric shock, verified by massive pulmonary
thromboembolism at autopsy. Another patient died from stroke and cerebral coma
caused by trophoblastic cerebrovascular embolism 5 days after artificial
abortion, showing a clinical picture of shock and cardiopulmonary arrest. In 1
patient, severe septic shock developed several hours after premature stillbirth
and abruptio placentae in the 26th week of pregnancy, associated with
disseminated intravascular coagulopathy. Four patients developed intrapartum
NHPOS, with a clinical picture of chest pain, dyspnea, tachycardia, hypotension,
cyanosis, and disseminated intravascular coagulopathy, as demonstrated by
laboratory findings. Based on clinical picture and laboratory findings, amniotic
fluid embolism or trophoblastic embolism was suspected. All these patients
survived. One patient developed NHPOS during the third labor stage after vacuum
extraction because of a macrosomic child, followed by disseminated intravascular
coagulopathy and secondary hemorrhage which necessitated B-Lynch procedures and
total hysterectomy for massive bleeding. Hereditary thrombophilia was detected in
subsequent patients. CONCLUSIONS: NHPOS can be caused by amniotic fluid embolism,
trophoblastic embolism or thromboembolism, and sepsis. These conditions may
frequently prove fatal due to their abrupt and unexpected course, mostly during
pregnancy, delivery, or immediately thereafter.
PMID- 18046074
TI - Brain injury of the survivor diagnosed at 18 weeks of gestation after
intrauterine demise of the co-twin: a case report.
AB - Brain injury in a surviving fetus following the demise of a monochorionic co-twin
has only rarely been reported in the first trimester or early second trimester;
most documented cases were beyond 20 weeks of gestation.
PMID- 18046075
TI - Platelet-derived microparticles and platelet count in preterm newborns.
AB - OBJECTIVE: Does formation of platelet-derived microparticles correspond to
platelet activation? METHODS: The study was performed in 51 preterm newborns, 25
girls and 26 boys. The control group consisted of 55 term newborns, 25 girls and
30 boys. Blood samples were collected from the umbilical artery. The percentage
of platelet-derived microparticles and platelet count were determined using flow
cytometric analysis based on the CD61-positive antigen. RESULTS: The percentage
of platelet-derived microparticles was higher in preterm newborns (5.46) in
comparison to term newborns (4.22, p < 0.01). We found 4.61% of platelet-derived
microparticles in preterm female newborns and 6.28% in preterm boys (p < 0.0070).
The platelet count was 256 x 10(3) microl in girls and 238 x 10(3) microl in
boys. Female healthy term newborns presented higher values of platelet-derived
microparticles (4.4%) than male newborns (4.07%, p = 0.4725, table 1). The
platelet count in girls was found to be 308 x 10(3) microl and in boys 270 x
10(3) microl. CONCLUSIONS: Higher percentage of platelet-derived microparticles
in preterm newborns may provide a compensatory mechanism for the hemostatic
system.
PMID- 18046076
TI - Early intraperitoneal transfusion and adjuvant maternal immunoglobulin therapy in
the treatment of severe red cell alloimmunization prior to fetal intravascular
transfusion.
AB - This descriptive case study documents the treatment of a cohort of 6 women with
pregnancies complicated by red cell alloimmunization who in previous pregnancies
had objective evidence of severe fetal anemia prior to 20 weeks of gestation,
with accompanying high perinatal loss (66% mortality). In the pregnancies
described, 5 singletons and 1 dichorionic, diamniotic twin pregnancy underwent
alternate week, serial intraperitoneal transfusions between 16 and 21 weeks,
until a gestation when classical fetal intravascular transfusions could be
commenced. In addition, 4 women consented to have additional, adjuvant maternal
intravenous gammaglobulin (IVIG) therapy (0.8 g/kg per week). At the first fetal
blood sampling at a median gestational age of 22 weeks (95% CI 21.2-23.4 weeks)
the median hemoglobin concentration was 10.1 g% (95% CI 7.4-13.4 g%). In only 2
cases were the fetal hemoglobin levels at fetal blood sampling between -2 SD and
5 SD for gestational age; in 1 case this was associated with fetal mortality.
This cohort indicates that such treatment may prevent severe fetal anemia from
developing prior to 20 weeks and, in this cohort, indicated an improved survival
of pregnancies (86% survival (6/7)), as compared to the previous history. The
only perinatal mortality occurred in a growth-restricted fetus whose mother had a
chronic opiate addiction. The fetus died prior to 20 weeks. Of the pregnancies
that progressed beyond 20 weeks and commenced classical fetal intravascular
transfusions, the survival was 100%.
PMID- 18046077
TI - Management of pregnancy in adolescence complicated by acute lymphoblastic
leukemia.
AB - The management of the common acute lymphoblastic leukemia in pregnancy has been
controversial. We report a case of a 16-year-old primigravida with acute
lymphoblastic leukemia, first presented in pregnancy, which was treated with
aggressive chemotherapy protocols. Full remission of the disease was achieved.
The neonate was born at 32 weeks following a cesarean section. The woman remains
in complete remission, continuing maintenance chemotherapy, 18 months following
diagnosis. The offspring did not show any abnormality in physical examinations or
laboratory tests and keeps growing normally 18 months after birth.
PMID- 18046078
TI - Definition of pentalogy of Cantrell. Commentary on Araujo Junior et al: diagnosis
of pentalogy of Cantrell by three-dimensional ultrasound in third trimester of
pregancy (Fetal Diagn Ther 2006;21:544-547).
PMID- 18046079
TI - A comparative evaluation of various methods for microalbuminuria screening.
AB - BACKGROUND/AIMS: Microalbuminuria is a marker of abnormal vascular response and a
predictor of cardiovascular morbidity and mortality. We evaluated a new
quantitative office-based method to assess urinary albumin excretion (UAE) and
compared it to other established methods. METHODS: Spot urine samples from 165
patients were analyzed at a single study site using the HemoCue system, Clinitek
Microalbumin, and Chemstrip Micral test, as well as at a central laboratory,
where UAE and creatinine levels were measured. The central laboratory UAE values
were used as reference. We evaluated the validity of the HemoCue results and
compared them to the respective data for the laboratory albumin-to-creatinine
ratio (ACR). Additionally, we assessed, diagnostic sensitivity, specificity, and
positive and negative predictive values of all four methods, as well as the
reproducibility of the HemoCue measurements. RESULTS: Linear regression analysis
demonstrated a good correlation for the HemoCue system (y = 0.9978x - 1.0217, R2
= 0.904) and ACR (y = 0.0815x + 0.3373, R2 = 0.784). Sensitivity and specificity
for microalbuminuria diagnosis were 92 and 98% for HemoCue, 73 and 96% for ACR,
100 and 81% for Clinitek Microalbumin, and 70 and 83% for Chemstrip Micral
dipstick, respectively. The correlation coefficient of duplicate HemoCue
measurements was r = 0.98 (p < 0.001). CONCLUSIONS: The HemoCue system for
microalbuminuria detection was as accurate and precise as laboratory ACR
estimations. Its diagnostic performance was much better than that of widely used
dipstick methods.
PMID- 18046080
TI - Slc26a6 (PAT1) deletion downregulates the apical Na+/H+ exchanger in the straight
segment of the proximal tubule.
AB - BACKGROUND/AIM: Slc26a6 (PAT1, CFEX) is a major chloride/base exchanger located
on the apical membrane of the kidney proximal tubule. The purpose of the present
study was to examine the effect of Slc26a6 deletion on the apical Na+/H+
exchanger 3 (NHE3) in the straight segment (S3) of the proximal tubule, which is
the major site for the reabsorption of filtered chloride in the kidney. METHODS:
The proximal tubule S3 segment was perfused and the intracellular pH and apical
Na+/H+ exchanger activity and expression were measured. RESULTS: In the proximal
tubule straight segments that were microperfused in vitro, baseline intracellular
pH, measured by BCPCF-AM, was 7.10 +/- 0.02 in Slc26a6-/- and 7.33 +/- 0.02 in
Slc26a6+/+ animals, a significant reduction in Slc26a6 mutant mice (p < 0.00001).
The activity of the apical Na+/H+ exchanger was 0.49 +/- 0.02 pH units/min in
Slc26a6+/+ and 0.26 +/- 0.03 pH units/min in Slc26a6-/- animals, a significant
reduction in Slc26a6-/- mice (p < 0.0001). Formate-induced intracellular
alkalinization, which is mediated via NHE3, was significantly blunted in Slc26a6
/- animals, with an alkalinization magnitude of 0.16 pH unit in Slc26a6-/- versus
0.37 in Slc26a6+/+ animals (p < 0.00001, n = 5 separate animals). Angiotensin II
stimulation of NHE3 activity was intact in Slc26a6-/- animals. Buffering capacity
was comparable in Slc26a6+/+ and Slc26a6-/- mice. Immunoblotting and
immunofluorescent labeling demonstrated comparable NHE3 abundance and
distribution in kidney proximal tubules of Slc26a6+/+ and Slc26a6-/- mice.
CONCLUSION: In conclusion, Slc26a6 deletion downregulates the apical Na+/H+
exchanger activity in the straight segment of the proximal tubule. The absence of
a significant renal sodium loss in Slc26a6-null mice, despite NHE3 downregulation
in the in vitro perfused tubules, points to possible activation of signaling
pathways that can stimulate the apical Na+/H+ exchanger in vivo.
PMID- 18046081
TI - Vascular calcification and uremia: what do we know?
AB - In the last decade, the nephrology community has focused its attention on the
main cause of morbidity and mortality in chronic renal failure patients:
cardiovascular disease. In addition, recent studies pointed out that vascular
calcification (VC) is a major cause of cardiovascular disease in the dialysis
population. Interestingly, the pathogenesis of VC and soft tissue calcification
in chronic kidney disease (CKD) has been extensively investigated. Nowadays we
know that VC is associated not only with passive calcium phosphate deposition,
but also with an active, cell-mediated process. To better understand the
pathogenesis of VC in CKD, numerous regulatory proteins have been studied,
because of their ability to inhibit mineral deposition in the vessels. We here
examine the state of the art of those substances recognized as regulatory key
factors in preventing VC in uremic conditions, such as fetuin A (alpha2-Heremans
Schmid glycoprotein), matrix gamma-carboxyglutamic acid protein, pyrophosphate,
osteoprotegerin and bone morphogenetic protein. We conclude that at present it is
too early to introduce these novel markers into clinical practice.
PMID- 18046082
TI - Identification of apolipoprotein E Guangzhou (arginine 150 proline), a new
variant associated with lipoprotein glomerulopathy.
AB - BACKGROUND/AIMS: Lipoprotein glomerulopathy (LPG) is a rare disease characterized
by thrombus-like substances in markedly dilated glomerular capillaries and
elevated plasma levels of apolipoprotein E (apoE). Previous studies have shown
that genetic disorders of apoE may contribute to the pathogenesis of LPG, but LPG
may not be caused by apoE gene mutations in Chinese patients. This study
investigated the association of a new variant of apoE with LPG in a Chinese
family. METHODS: The apoE gene in a family with 4 LPG patients was sequenced. The
polymerase chain reaction product of coding region of apoE exon 4 was cloned into
pMD 18-T vector and then sequenced. RESULTS: A novel point mutation in exon 4 of
the apoE gene was identified in all 4 LPG patients and 1 asymptomatic family
member. Sequence analysis confirmed a nucleotide G to C point mutation in exon 4
(base 308) of the apoE gene in all patients and the asymptomatic family member.
This missense mutation denotes amino acid substitution of the proline residue for
arginine residue at position 150 of apoE. Those patients were all heterozygotes
with apoE Guangzhou. One of 2 grandsons was a heterozygous carrier of apoE
Guangzhou, although he did not have proteinuria. CONCLUSION: The results of this
study suggest that apoE (arginine 150 proline) is a novel apoE variant that
etiologically related to LPG. This variant (apoE Guangzhou) may cause a marked
molecular conformational change of the apoE and thus impair its binding ability
to lipids.
PMID- 18046083
TI - Chronic kidney disease is associated with angiographic coronary artery disease.
AB - BACKGROUND/AIMS: Patients with chronic kidney disease (CKD) have a dramatically
increased risk for cardiovascular mortality. Few prior studies have examined the
independent association of CKD with coronary anatomy. METHODS: We evaluated the
relationship between CKD and severe coronary artery disease (CAD) in 261 male
veterans with nuclear perfusion imaging tests suggesting coronary ischemia. We
used chart review and patient and provider interviews to collect demographics,
clinical characteristics, and coronary anatomy results. We defined CKD as an
estimated glomerular filtration rate (eGFR) < 60 ml/min/1.73 m2, based on the
creatinine obtained prior to angiography. We defined significant coronary
obstruction as at least one 70% or greater stenosis. We used logistic regression
to determine whether CKD was independently associated with significant coronary
obstruction. RESULTS: The likelihood of CAD increased monotonically with
decreasing eGFR, from 51% among patients with eGFR or = 90 ml/min/1.73 m2 to 84%
in those with eGFR < 30 ml/min/1.73 m2 (p = 0.0046). Patients with CKD were more
likely than those without CKD to have at least one significant coronary
obstruction (75.9 vs. 60.7%, p = 0.016). Patients with CKD also had more
significant CAD, that is, were more likely to have three-vessel and/or left main
disease than those without CKD (34.9 vs. 16.9%, p = 0.0035). In logistic
regression analysis, controlling for demographics and comorbidity, CKD continued
to be independently associated with the presence of significant CAD (p = 0.0071).
CONCLUSION: CKD patients have a high prevalence of obstructive coronary disease,
which may contribute to their high cardiovascular mortality.
PMID- 18046084
TI - Potential options to optimize therapy of gastroesophageal reflux disease with
proton pump inhibitors.
AB - Proton pump inhibitors (PPIs) are antisecretory agents that are widely used in
the short- and long-term management of gastroesophageal reflux disease (GERD) to
relieve symptoms, heal esophagitis, and prevent complications, such as strictures
and Barrett's esophagus. The total healthcare costs of GERD are high, especially
for maintenance treatment. Therefore, the choice of cost-effective therapeutic
options is an ineluctable challenge for public health authorities, third-party
payers, and patients. In some European Union countries, a recent trend of public
health authorities is to promote the choice of less expensive PPIs, regardless of
their antisecretory potency--this in spite of the evidence that newer PPIs
provide superior symptom relief and esophageal erosion healing compared to
earlier drugs. Several large clinical trials have demonstrated the superiority of
esomeprazole over other PPIs at standard doses for both initial and continuous
maintenance therapy in patients with moderate/severe erosive esophagitis. The non
erosive GERD poses a major challenge as this condition appears more frequently to
be less responsive to PPIs. The use of PPIs with the strongest antisecretory
properties might reveal to be more adequate and cost-effective, particularly for
this indication.
PMID- 18046085
TI - Effects of spironolactone on corneal allograft survival in the rat.
AB - PURPOSE: Spironolactone has recently been shown to have suppressive effects on
several immunoactive and proinflammatory cytokines. In this study, we
investigated the effects of spironolactone on the prevention of corneal allograft
rejection in a MHC class I/II mismatch rat corneal transplant model. METHODS:
Grafted animals for corneal survival analysis were assigned to receive either
spironolactone suspension (orally, 100 mg/kg/day, n = 7), phosphate-buffered
saline (PBS, orally, same volume as spironolactone, n = 9) or remained untreated
(n = 16). Additional grafted rats treated with spironolactone (n = 6) or PBS (n =
8) were sacrificed on day 12 for quantitative RT-PCR analysis for mechanistic
studies. RESULTS: Mean (+/-SEM) graft survival was significantly prolonged in
animals receiving spironolactone (14.9 +/- 2.0 days) compared with both PBS
treated (12.3 +/- 1.2 days, p = 0.007) and untreated controls (13.0 +/- 1.0 days,
p = 0.01). We found a decrease in corneal neovascularization in spironolactone
treated rats compared with the PBS-treated group, although the difference was not
statistically significant. Spironolactone affected both systemic (down-regulation
of CD25+ cells in spleen) and local immune response (up-regulation of IL-10 in
cornea). CONCLUSION: We present initial results demonstrating anti-inflammatory
effects of spironolactone.
PMID- 18046086
TI - Proteomic analysis of the trabecular meshwork of rats in a steroid-induced ocular
hypertension model: downregulation of type I collagen C-propeptides.
AB - PURPOSE: To investigate global protein expression profiles in the trabecular
meshwork (TM) of normal and glucocorticoid-induced ocular hypertensive rat eyes
by proteomic analysis, which has not yet been conducted to date. MATERIALS AND
METHODS: A rat ocular hypertension model was produced by topical application of
dexamethasone (DEX) for 4 weeks. Age-matched untreated rats served as controls.
Intraocular pressure (IOP) was monitored by an electronic tonometer. TM protein
expression profiling and protein identification was carried out by a two
dimensional fluorescence differential gel electrophoresis (2-D DIGE) system and
matrix-assisted laser desorption/ionization time-of-flight (MALDI-TOF) mass
spectrometry, respectively. RESULTS: In DEX-treated rats, average IOP was
elevated significantly, as compared with controls. By the DEX treatment, 14 TM
protein spots were up- or downregulated consistently in 2-D DIGE analyses.
Proteins exhibiting more than 2-fold statistically significant change were
identified by MALDI-TOF mass spectrometry. alpha A-Crystallin and beta A(3)
crystallin were upregulated, while the C-propeptides of type I collagen were
downregulated. CONCLUSION: Relatively short-term glucocorticoid application
induced alteration in the expression of a number of proteins, including
downregulation of type I collagen C-propeptides. This could reflect impaired
collagen turnover in the TM of glucocorticoid-treated eyes.
PMID- 18046087
TI - Fish oil supplementation improves left ventricular function in children with
idiopathic dilated cardiomyopathy.
AB - Fish oil has a cardioprotective effect in adults with ischemic heart disease. The
authors examined the effects of fish oil in children with idiopathic dilated
cardiomyopathy (DCM). Eighteen DCM patients (group I) and 12 healthy children
(group III) were given fish oil (10 mL/d). Their cardiac findings were compared
with those of 11 patients with DCM who did not receive fish oil (group II). After
6.62+/-1.70 months, left ventricular ejection fraction had increased by 8.44%+/
3.80% (P<.05), in group I; 2.48%+/-3.85% (not statistically significant) in group
II; and 0.84%+/-2.34% (not statistically significant) in group III. Left
ventricular internal diastolic diameter (mm) was reduced by 4.36+/-4.86 (P=.001)
in group I and 1.92+/-5.37 (P=.263) in group II, but increased by 0.22+/-2.54
(not statistically significant) in group III. The results suggest that fish oil
leads to accelerated improvement of left ventricular function. The authors
believe that if these results are confirmed in larger studies, fish oil should be
added to the standard anticongestive therapy of children with DCM.
PMID- 18046088
TI - Dynamic analysis of exercise oxygen consumption predicts outcomes in advanced
heart failure.
AB - It is unclear whether cardiopulmonary stress testing provides prognostic
information in patients with very advanced heart failure receiving contemporary
medical therapy. Analysis of cardiopulmonary treadmill stress data in a group of
patients with advanced heart failure and severe functional impairment was
performed (N=102, peak exercise oxygen consumption [VO2] < or =14 mL/kg/min, 47%
receiving beta-blockers). Dynamic variables (peak - baseline values) better
predicted outcomes than did single value peak measurements, especially DeltaVO2.
Multivariate analysis showed that usage of beta-blockers and DeltaVO2 (both
P<.05) independently and significantly predicted outcomes. Subgroup analysis
showed that DeltaVO2 was particularly useful in predicting outcomes in patients
with ischemic cardiomyopathy or who were not receiving beta-blockers. Thus, in
patients with very advanced heart failure, cardiopulmonary stress testing-derived
DeltaVO2 provides important prognostic information useful to help predict
clinical deterioration or death, particularly for patients with ischemic
cardiomyopathy or who are not receiving beta-blockers.
PMID- 18046089
TI - Is heart failure more prevalent in patients with peripheral arterial disease? A
meta-analysis.
AB - Because risk factors for heart failure (HF) cluster in persons with peripheral
artery disease (PAD), the authors conducted a meta-analysis to examine the
prevalence of HF in individuals with PAD. MEDLINE searches were performed to
review all PAD clinical trials (1966-2003). Expected control population
prevalence rates for HF were derived from the National Health and Nutrition
Examination Survey (NHANES) database. In total, 11,304 patients were evaluated.
The average age of the patients was 67+/-5 years. The prevalence of HF in
patients with PAD was 7.9% (range, 5.3%-13.9%) compared with an expected
prevalence of 4.1%(range, 3.7-4.5%). The relative risk for increased HF
prevalence among those with PAD was 1.9 (range, 1.35-3.10; P<.001). Thirteen
(range, 7-19) PAD patients needed to be screened to detect 1 case of HF. The
presence of PAD is associated with a 2-fold increase in the prevalence of HF. The
use of PAD as a risk marker for underlying HF may enhance the effectiveness of
screening criteria for HF detection.
PMID- 18046090
TI - The frequency of angiotensin-converting enzyme genotype and left ventricular
functions in the obese population.
AB - The authors investigated the relationship between angiotensin-converting enzyme
(ACE) genotype and left ventricular functions in an obese population and compared
their findings with controls. Ninety-six obese patients and 50 controls were
enrolled. Obesity was subgrouped into mild (body mass index [BMI] 25-29.9
kg/m(2)), moderate (BMI 30-34.9 kg/m(2)), and significant (BMI > or =35 kg/m(2)).
Body fat distribution was categorized according to waist-to-hip ratio and waist
circumference. Left ventricular systolic and diastolic functions were evaluated
by echocardiography. ACE gene polymorphism was investigated by standard
polymerase chain reaction, and frequency distributions were calculated for the
subgroups. Systolic functional indices were found to be increased in mild and
moderate obesity subgroups. The obese population had increased left ventricular
diameters. None of the patients had systolic dysfunction, while diastolic
dysfunction was significantly more common in the obese group; the frequency of
diastolic dysfunction was proportionally increased with body mass index.
Diastolic dysfunction was more common in persons with abdominal obesity. ACE DD
genotype frequency was increased in moderately and significantly obese subgroups
and also in all obese patients with diastolic dysfunction.
PMID- 18046091
TI - Assessment of left ventricular dyssynchrony in patients with heart failure and
normal QRS duration.
AB - Cardiac resynchronization therapy (CRT) is an important component of heart
failure therapy in patients with a left ventricular ejection fraction <35%, class
III or ambulatory class IV symptoms, and a QRS duration >120 ms. The QRS duration
criterion for patient selection is imperfect. About 30% of patients with an
increased QRS duration are nonresponders to CRT and many with a normal QRS
duration with mechanical dyssynchrony respond to CRT. The authors review the
currently available echocardiographic tools for the assessment of ventricular
dyssynchrony in patients with heart failure.
PMID- 18046092
TI - The cholesterol paradox in heart failure.
AB - Heart failure (HF) is a common and serious condition that is usually due to
coronary artery disease (CAD). Hypercholesterolemia is a major risk factor for
CAD but, paradoxically, patients with advanced HF often have low cholesterol,
which is associated with a poor prognosis. Cholesterol lowering with statins
reduces morbidity and mortality in patients with CAD who do not have HF and might
also have improved outcome in patients with HF had they not been excluded from
the reported trials. The results of large trials such as the Controlled
Rosuvastatin Multinational Study in Heart Failure (CORONA) and the Gruppo
Italiano per lo Studio della Sopravvivenza nell'Infarto Miocardico-Insufficienza
Cardiaca (GISSI-HF) study addressing the effects of rosuvastatin in HF are keenly
awaited. In addition to cholesterol lowering, statins have other biologic effects
that might be responsible for some of their favorable effects. This article
examines this cholesterol paradox and possible mechanisms.
PMID- 18046093
TI - Performance measures for patients hospitalized with heart failure: are they
predictive of clinical outcomes?
PMID- 18046094
TI - G-CSF-based stem cell therapy for the heart--unresolved issues part B: Stem
cells, engraftment, transdifferentiation, and bioengineering.
AB - The authors extend their coverage of recent developments in stem cell-based
therapy for repairing the heart to cover the basic questions of what stem cells
should be used and how best to favor their survivability within the injured
heart. The authors focus their attention on those adult stem/progenitor cells
that have been best investigated in animal studies for repairing the infarcted
heart and are the focus of completed or ongoing clinical trials. In addition,
they discuss the promise that resident cardiac stem cells offer and the recent
identification of specialized architecturally defined niches within the heart to
nurse their development. Bioengineering approaches employing off-the-shelf
mesenchymal stem cell patches may soon provide a way to recreate these niches in
the scarred heart. Conceivably, these patches might also be seeded with
prescribed mixtures of culturally expanded autologous stem/progenitor cells that
would lead to new blood vessel and cardiac myocyte formation. The convergence of
bioengineering and molecular biology on stem cell therapy would seem to make what
was once unimaginable, cardiac regeneration, a clinical reality in less than one
generation.
PMID- 18046095
TI - Apical ballooning syndrome or takotsubo syndrome: a novel cardiac syndrome.
PMID- 18046096
TI - Blood pressure--the better biomarker: delay in clinical application.
PMID- 18046097
TI - Identifying which treated hypertensive patients without known coronary artery
disease should be tested for the presence of myocardial ischemia by perfusion
imaging.
AB - Stress dipyridamole technetium-99(m) sestamibi single photon emission computed
tomographic imaging was used to study myocardial perfusion in 1116 hypertensive
patients without known coronary artery disease (CAD). The test confirmed the
presence of CAD in 28.9% of patients. As expected, patients with diabetes
mellitus (DM) had a significantly higher prevalence of myocardial perfusion
abnormalities (35.9% vs 23.9%; odds ratio, 1.79; 95% confidence interval [CI],
1.38-2.33; P<.0001) and high-risk myocardial imaging (16.4% vs 10.6%; odds ratio,
1.67; 95% CI, 1.18-2.37; P=.004) than those without DM. Odd ratios further
increased, again as expected, with dyslipidemia (2.19; 95% CI, 1.54-3.12;
P<.0001), peripheral arterial disease (2.61; 95% CI, 1.77-3.85; P<.0001),
microalbuminuria (3.03; 95% CI, 1.91-4.82; P<.0001), and abnormal
electrocardiographic findings (3.06; 1.68; 95% CI, 2.08-4.48; P<.0001). This
large cohort study showed that more than 1 of 4 treated hypertensive patients
have subclinical CAD. These study data should be clinically helpful in selecting
hypertensive patients who are the most suitable candidates to screen for the
presence of CAD.
PMID- 18046098
TI - Understanding uncontrolled hypertension: is it the patient or the provider?
AB - The relative contributions of adherence and treatment intensity to blood pressure
(BP) control are not well understood. The authors studied patients with
uncontrolled hypertension (N=410) from 3 primary care clinics in the Veterans
Affairs (VA) medical system. A questionnaire was used to assess patient adherence
to therapy, and VA system pharmacy fills were used to assess the intensity of the
antihypertensive regimen. At baseline, an inadequate antihypertensive regimen was
implicated as the most probable reason for uncontrolled BP in a majority of
patients (72%), while nonadherence could only be implicated in 13%. In
multivariate longitudinal analyses, patients who had an increase in their medical
treatment during the study had lower final diastolic BP levels compared with the
patients who did not (-3.70 mm Hg; P<.05). While patient adherence to therapy
plays a role, vigorous clinical management by the clinician is a more important
contributor to BP control.
PMID- 18046099
TI - The relationship between nighttime dipping in blood pressure and cerebral
hemodynamics in nonstroke patients.
AB - Inadequate dipping in nighttime blood pressure (BP) is associated with
cerebrovascular disease. The authors aimed to determine whether inadequate
nocturnal dipping was associated with abnormalities in cerebrovascular
hemodynamics in individuals without stroke. Participants in this study underwent
24-hour ambulatory BP monitoring followed by morning transcranial Doppler
measurements of blood flow velocities (BFVs) in the middle cerebral artery during
supine rest, head-up tilt, hypocapnia, and hypercapnia. Nighttime BP decline by
<10% was considered nondipping. Of the 102 nonstroke participants (mean age, 53.6
years), 35 (34%) were dippers. Although nondippers had similar BFV and
cerebrovascular resistance (CVR) while supine, they had a lower BFV (P=.04) and
greater CVR (P=.02) during head-up tilt compared with dippers. Moreover, greater
nighttime dipping in both systolic BP (P=.006) and diastolic BP (P=.03) were
associated with higher daytime BFV and lower CVR (P=.01 for systolic BP; P=.02
for diastolic BP). Inadequate nocturnal BP dipping is associated with lower
daytime cerebral blood flow, especially during head-up tilt.
PMID- 18046100
TI - Why some adult Canadians do not have blood pressure measured.
AB - Regular blood pressure (BP) measurements are required to identify people with
hypertension and to optimally manage their cardiovascular risk. Analyses of data
from the 2000-2001 Canadian Community Health Survey showed that most adult
Canadians have had a BP assessment in the previous 2 years and few have never had
one. Large numbers of persons without BP recordings were observed, however, among
persons who were younger, were male, who did not have either a regular doctor or
physician contact in the previous year, who were recent immigrants or visible
minorities (nonwhite and non-Aboriginal), and who spoke neither French nor
English. Common reasons reported for not having a BP assessment included
believing it was not necessary and simply not getting around to it. Education
programs targeting those at risk as well as more convenient BP screening may
improve awareness and testing.
PMID- 18046101
TI - 24-hour blood pressure monitoring in the evaluation of supine hypertension and
orthostatic hypotension.
AB - The presence of orthostatic hypotension has been shown to be a significant,
independent predictor of all-cause mortality. Systolic and diastolic orthostatic
hypotension, reversal of the circadian pattern, and postprandial hypotension are
some of the hemodynamic factors that may contribute to the increased mortality
seen in patients with orthostatic hypotension. The high variability of blood
pressure in orthostatic hypotension cannot usually be adequately assessed by a
one-time measurement. In this group of patients, 24-hour ambulatory.
PMID- 18046102
TI - Masked hypertension definition, impact, outcomes: a critical review.
AB - The phenomenon of masked hypertension (MH) is defined as a clinical condition in
which a patient's office blood pressure (BP) level is <140/90 mm Hg but
ambulatory or home BP readings are in the hypertensive range. The prevalence in
the population is about the same as that of isolated office hypertension; about 1
in 7 or 8 persons with a normal office BP level may fall into this category. The
high prevalence of MH would suggest the necessity for measuring out-of-office BP
in persons with apparently normal or well-controlled office BP. Reactivity to
daily life stressors and behavioral factors such as smoking, alcohol use,
contraceptive use in women, and sedentary habits can selectively influence MH. MH
should be searched for in individuals who are at increased risk for
cardiovascular complications including patients with kidney disease or diabetes.
Individuals with MH have been shown to have a greater-than-normal prevalence of
organ damage, particularly with an increased prevalence of metabolic risk
factors, left ventricular mass index, carotid intima-media thickness, and
impaired large artery distensibility compared with patients with a truly normal
BP level in and out of the clinic or office. Also, outcome studies have suggested
that MH increases cardiovascular risk, which appears to be close to that of in
office and out-of-office hypertension. The aim of this review was to define the
entity of MH, to describe its prevalence in the general population, and to
discuss its correlation with cardiovascular events.
PMID- 18046103
TI - Control of blood pressure: does it matter which agent you use?
AB - A panel was convened to discuss the question, "Is blood pressure lowering the
sole determinant of outcome, or do specific drugs make a difference?" The panel
was moderated by Marvin Moser, MD, Clinical Professor of Medicine at the Yale
University School of Medicine, New Haven, CT. Panelists included Norman Kaplan,
MD, Clinical Professor of Medicine at the University of Texas Southwestern
Medical Center at Dallas, TX, and William Cushman, MD, Professor of Medicine at
the University of Tennessee in Memphis, TN. The discussion was supported by
Boehringer Ingelheim, and each author received an honorarium from Boehringer
Ingelheim for time and effort spent participating in the discussion or reviewing
the transcript for intellectual content before publication. The authors
maintained full control of the discussion and the resulting content of this
article.
PMID- 18046104
TI - Finding vs controlling hypertension--mind the gap!
PMID- 18046105
TI - New recommendations for blood pressure treatment goals in coronary disease.
PMID- 18046106
TI - The evolution of combination therapy in treating hypertension.
PMID- 18046107
TI - Emerging insights in the first-step use of antihypertensive combination therapy.
AB - The blood pressure (BP) goals set by hypertension management guidelines (<140/90
mm Hg in uncomplicated hypertension; <130/80 mm Hg in type 2 diabetes or kidney
disease) are not being achieved in a high proportion of patients, partly because
monotherapy is insufficient in many patients. In particular, patients with
uncontrolled moderate or severe hypertension and/or associated cardiovascular
risk factors remain at high risk for cardiovascular events and hypertensive
emergency. In recognition of the urgency of treating moderate and severe
hypertension, the Seventh Report of the Joint National Committee on Prevention,
Detection, Evaluation, and Treatment of High Blood Pressure (JNC 7) advocates the
initial use of 2-drug therapies in patients with systolic BP levels >20 mm Hg
above goal or diastolic BP level >10 mm Hg above goal. Regimens should usually
include a thiazide diuretic and, for patients with diabetes or kidney disease, an
angiotensin-converting enzyme inhibitor or angiotensin receptor blocker.
Recently, clinical trial data have shown that first-step antihypertensive
treatment of moderate and severe hypertension with carefully chosen fixed-dose
combinations provides a high rate of BP goal achievement, a simplified dosing
regimen, and superior tolerability compared with monotherapy.
PMID- 18046108
TI - Initial combination therapy with irbesartan/hydrochlorothiazide for hypertension:
an analysis of the relationship between baseline blood pressure and the need for
combination therapy.
AB - Hypertension treatment guidelines recommend initiating 2-drug therapy whenever
blood pressure (BP) is > or =20 mm Hg systolic or > or =10 mm Hg diastolic above
goal. This post hoc pooled analysis of 2 multicenter, randomized, double-blind,
active-controlled forced-titration studies in 1235 patients with moderate and
severe hypertension examined how baseline BP levels relate to the need for
combination therapy by comparing the antihypertensive efficacy and tolerability
of once-daily fixed-dose irbesartan/hydrochlorothiazide (HCTZ) 300/25 mg compared
with irbesartan 300-mg or HCTZ 25-mg monotherapies. In study 1, patients with
severe hypertension (seated diastolic BP [SeDBP] > or =110 mm Hg) were treated
for 7 weeks with irbesartan or irbesartan/HCTZ combination therapy, with forced
titration after week 1. In study 2, patients with moderate hypertension (seated
systolic BP [SeSBP] 160-180 mm Hg or SeDBP 100-110 mm Hg) were treated for 12
weeks with irbesartan/HCTZ, irbesartan monotherapy, or HCTZ monotherapy, with
forced-titration after week 2. The relationship between baseline BP and the
likelihood of achieving BP goals (SeSBP <140 mm Hg or SeDBP <90 mm Hg; SeSBP <130
mm Hg or SeDBP <80 mm Hg) as well as the antihypertensive response was evaluated
at week 7/8. The need for combination therapy increased with increasing baseline
BP and lower BP goals across the range of BP levels studied, with a comparable
adverse effect profile to monotherapy. These results suggest that the likelihood
of achieving an early BP goal for a given BP severity should be considered when
choosing initial combination therapy vs monotherapy.
PMID- 18046109
TI - The efficacy and safety of initial use of irbesartan/hydrochlorothiazide fixed
dose combination in hypertensive patients with and without high cardiovascular
risk.
AB - A post hoc pooled analysis of 2 multicenter, randomized, double-blind, active
controlled force-titration studies assessed the antihypertensive efficacy and
tolerability of 7 to 8 weeks' once-daily fixed-dose
irbesartan/hydrochlorothiazide (HCTZ) 300/25 mg in 796 stage 1 or 2 hypertensive
patients according to age (65 years or older or younger than 65) (n=121 or 675)
and presence or absence of obesity (n=378 or 414), type 2 diabetes (n=99 or 697),
and high World Health Organization-defined cardiovascular risk (n=593 or 202).
Systolic/diastolic blood pressure reductions (27-31/16-22 mm Hg) were similar
regardless of age, obesity, and type 2 diabetes status and were greater in high-
vs low-risk patients. Dizziness (2.0%-3.7%), hypotension (0%-0.7%), and syncope
(0%) were rare and not centered in any subgroup. There was no hypotension in the
elderly or in type 2 diabetics. Irbesartan/HCTZ provided consistent blood
pressure lowering and tolerability regardless of age, obesity, and type 2
diabetes and greater efficacy in patients with high cardiovascular risk.
PMID- 18046110
TI - The ultimate challenge.
PMID- 18046112
TI - Medical school expansion: deja vu all over again?
AB - The first two decades of the 21st century may well be viewed by future
generations as the second great expansion of post-Flexnerian medical education in
the United States. Given that medical schools are now significantly increasing
class size for only the second time in 100 years, it is instructive to remember
the last era -- the 1960s and 1970s -- when these challenges were also forefront
in the minds of the medical education community.A review of the literature from
that period indicates that medical educators had similar concerns as today: the
cost of expansion, national health policy, graduate medical education, community
based clinical education, interdisciplinary health professions education and care
delivery, and the management of expansion efforts. Two other issues not fully
represented in the literature from the 1960s and 1970s are apparent in 2007:
graduating a diverse cadre of physicians and creating regional four-year branch
campuses. While many concerns about medical school expansion from 50 years ago
are with us today, one of the most significant responses from the 1960s and 1970s
-- a large influx of federal funding -- does not appear on today's horizon. The
novel strategies that today's generation of medical educators will adopt to
address projected physician shortages are still emerging.
PMID- 18046113
TI - Phoenix rises, with Tucson's help: establishing the first four-year allopathic
program in the nation's fifth largest city.
AB - The authors describe the expansion of The University of Arizona College of
Medicine from Tucson, Arizona, into Phoenix. They explain how the new Phoenix
program, in partnership with Arizona State University, is one college of medicine
for the state of Arizona, governed by a single accreditation by the Liaison
Committee for Medical Education (LCME). The authors present 21 lessons to be
considered early in a medical school expansion process: clearly establish
responsibility, authority, and accountability; define activities under university
purview and those that require broader engagement; delineate college-wide versus
campus-specific functions; clearly define the intent of the new initiative; get
frequent input from the LCME; use LCME input to ensure a student focus; be
cautious in using consultants; use respected local "brokers"; create a single
locus for input and concerns; educate constituencies about medical school
requirements; engage leadership to create linkages across sites; encourage
communication between leaders in both sites; discriminate between shared and
distinctive local curriculum elements; consider the effort and experience
required to develop a full curriculum versus those required to develop specific
local curricular areas; create simple, transparent admission processes; define
faculty profiles for the new program; ensure sufficient resources for core
faculty; budget based on national metrics; create core mission-based principles
to frame discussions and decisions; segregate clinical affiliation discussions
from curriculum and recruitment of basic science faculty; and ensure sufficient
land. Although these observations are most relevant to institutions planning
expansions of already accredited programs, they derive from principles and
practical considerations with wider applicability.
PMID- 18046114
TI - Preparing for change: the plan, the promise, and the parachute.
AB - The University of California's (UC's) medical education programs are on the brink
of change. In January 2007, the UC system completed a multiyear health sciences
planning effort that is the most comprehensive undertaken in decades. For medical
student education, the plan calls for an approximately one-third increase in
enrollment across the system -- from approximately 650 current medical school
graduates per year to a projected 920 graduates annually by the year 2020. During
the same period, California's population is expected to increase in size and
diversity in ways unmatched by any other state in the nation. The plan calls for
development of new programs that will increase enrollment in unique and
unprecedented ways. The first phase of this growth is under way and is planned to
continue through a series of programs that seek to address the needs of
California's medically underserved communities. Areas of focus include rural
health and telemedicine (Davis); the Spanish-speaking Latino community (Irvine);
diverse, disadvantaged communities (Los Angeles); health disparities and health
equity (San Diego); and the urban underserved (San Francisco and Berkeley). In
November 2006, UC medical schools received $200 million in bond funding to
support this growth and to create new telemedicine programs to increase access to
services provided by faculty physicians. In the coming years, UC medical schools
will face demographic and budgetary challenges that will require perseverance,
creativity, and certain leaps of faith. Public expectations are high.
PMID- 18046116
TI - Addressing health care needs of the Latino community: one medical school's
approach.
AB - The Program in Medical Education for the Latino Community (PRIME-LC) at the
University of California-Irvine (UCI) School of Medicine was designed to improve
health care delivery, research, and policy in underserved Latino communities.
Specialized training develops strongly committed physicians with linguistic
skills and cultural understanding, enabling them to serve Latino patients.
Presently, the health care system's shortage of providers with this expertise
renders it unable to address the Latino community's needs adequately. The UCI
School of Medicine realized they were proposing a radically different type of
program at the onset of this project -- one designed to address the health care
needs of a specific ethnic group. Developed with dual goals, PRIME-LC aspires to
provide the Latino community with culturally sensitive, Spanish-speaking
physicians who are well aware of medical and social conditions prevalent among
Latinos, in addition to physicians with a broad understanding of community
medicine who are well versed in advocacy and able to become leaders within and
outside the Latino community. PRIME-LC must be placed within the context of
predicted physician shortages in the United States attributable to the projected
population increase in general and, more specifically, within the context of a
growing Latino population nationwide. As medical schools prepare to increase
their output, programs like PRIME-LC that address society's special needs deserve
serious consideration.
PMID- 18046118
TI - Addressing physician shortages in New Mexico through a combined BA/MD program.
AB - The University of New Mexico School of Medicine and College of Arts and Sciences
developed its combined BA/MD degree program, which will increase the medical
school class from 75 students to 100 in the fall of 2010, to address the critical
issue of physician shortages in underserved New Mexico. The program, which began
operation at the undergraduate (i.e., college) level in 2006, expands
opportunities in medical education for New Mexico students, especially those from
rural and underserved minority communities, and prepares them to practice in
underserved areas of New Mexico. In the BA/MD program, students will earn a
bachelor of arts, a medical degree, and a proposed certificate in public health.
A challenging liberal arts curriculum introduces the principles of public health.
Students have unique rural medicine and public health preceptorship opportunities
that begin in the undergraduate years and continue throughout medical school.
Students work with a community physician mentor in summer service-learning
projects during the undergraduate years, then they return for required rural
medicine rotations in the first, third, and fourth years of medical school.
Simultaneously, the classroom curriculum for these rural medicine experiences
emphasizes the public health perspective. High priority has been placed on
supporting students with academic advising and counseling, tutoring, supplemental
instruction, on-campus housing, and scholarships. The program has received strong
support from communities, the New Mexico state legislature, the New Mexico
Medical Society, and the faculties of arts and sciences and the school of
medicine. Early results on the undergraduate level demonstrate strong interest
from applicants, retention of participants, and enthusiasm of students and
faculty alike.
PMID- 18046119
TI - Strategies for increasing the physician workforce: the Oregon model for
expansion.
AB - The physician workforce shortage and inequity of physician distribution
throughout Oregon require the Oregon Health & Science University (OHSU) School of
Medicine to graduate more physicians and increase the number committed to
practice in nonurban areas. The most cost-effective and expedient method to
accomplish these goals has been to develop community partnerships and regional
campuses. However, expansion must be strategically developed to maintain
educational quality and to minimize the impact on available resources. Leveraging
partnerships with existing health care delivery systems and major state
universities makes expansion more expedient and economical. In 2001, the OHSU
School of Medicine began implementing a four-phase plan to increase medical
student enrollment. Phase 1 (2001-2006) used only capital budget resources to
increase enrollment incrementally at the school of medicine's Portland site;
Phase 2 (2006-2007) creates community partnerships to develop regional sites
using the physical facilities of partners, again avoiding the need for capital
investment; Phase 3 (2007-2010) builds on the prototype developed in Phase 2 to
create additional regional educational sites; and Phase 4 (2010-2015) involves a
feasibility study and subsequent capital campaign for a facility on Portland's
south waterfront. Establishing regional campuses and matriculating the student
population best suited for the physician workforce of the future are key elements
of the OHSU model of expansion, particularly in addressing the state's physician
distribution inequities.
PMID- 18046120
TI - Creating an integrated clinical enterprise at the University of Kentucky: the
emergence of UK HealthCare.
AB - If the medical system in the United States is to change, as has been recommended,
academic medical centers must, in fact, lead this change process. To prepare for
the future, the University of Kentucky decided to move aggressively toward
developing an integrated clinical enterprise branded as UK HealthCare, where
leadership of the various components of the academic medical center make
strategic and financial decisions together to achieve common organizational
goals. The authors discuss senior leadership's development of the vision for the
enterprise and the governance structure that was established to engage board
members and faculty of the institution. They examine the rigorous strategic,
facilities, financial, and academic planning that ensued, and the early successes
achieved. The authors introduce some of the lessons learned by the organization
during the emergence of UK HealthCare and describe the corporate structure and
senior management team that was established to support the quick and efficient
implementation of the planning strategies. It was this corporate structure and
senior management team which has proven to be an effective agent of change and a
key to the successful development of a truly integrated clinical enterprise.
PMID- 18046121
TI - Columbia University College of Physicians & Surgeons.
PMID- 18046122
TI - Aligning academic and clinical missions through an integrated funds-flow
allocation process.
AB - Although much has been written about implementing mission-based management tools
to help facilitate managing the primary academic missions at academic medical
centers, there is surprisingly little written on standardized methodologies to
align financial support across the academic and clinical missions. However,
professional fee reimbursement has not kept pace with costs, and this, combined
with potential decreases in research funding associated with the reductions in
National Institutes of Health funding, creates additional financial challenges
for academic clinical departments that do not share in technical fee
reimbursement. As an integrated academic health system, the University of
Pennsylvania School of Medicine and Health System recently took the opportunity
to broadly restructure funds-flow opportunities, so as to help align the
strategic goals across all of the clinical department activities.
PMID- 18046123
TI - Organizational factors associated with high performance in quality and safety in
academic medical centers.
AB - PURPOSE: Leaders of academic medical centers (AMCs) are challenged to ensure
consistent high performance in quality and safety across all clinical services.
The authors sought to identify organizational factors associated with AMCs that
stood out from their peers in a composite scoring system for quality and safety
derived from patient-level data. METHOD: A scoring method using measures of
safety, mortality, clinical effectiveness, and equity of care was applied to
discharge abstract data from 79 AMCs for 2003-2004. Six institutions (three top
and three average performers) were selected for site visits; the performance
status of the six institutions was withheld from the site visit team. Through
interviews and document review, the team sought to identify factors that were
associated with the performance status of the institution. RESULTS: The scoring
system discriminated performance among the 79 AMCs in a clinically meaningful
way. For example, the transition of a typical 500-bed hospital from average to
top levels of performance could result in 150 fewer deaths per year. Abstraction
of key findings from the interview notes revealed distinctive themes in the top
versus average performers. Common qualities shared by top performers included a
shared sense of purpose, a hands-on leadership style, accountability systems for
quality and safety, a focus on results, and a culture of collaboration.
CONCLUSIONS: Distinctive leadership behaviors and organizational practices are
associated with measurable differences in patient-level measures of quality and
safety.
PMID- 18046124
TI - Merging two universities: the Medical University of Ohio and the University of
Toledo.
AB - On July 1, 2006, the Medical University of Ohio officially merged with the
University of Toledo and became the third largest public institution of higher
education in the state of Ohio. The combination of the two neighboring
institutions occurred through the efforts of a number of individuals who
recognized the potential advantages of a merger and worked quickly through
challenges by early engagement of stakeholders in the merger process. The
advantages of a larger, more diverse institution with increased budget and
research funding outweighed the challenges of bringing two different cultures
together. Changing the culture and leadership was necessary to allow the process
to move forward. In addition, statewide political support was mobilized to carry
forward the legislative actions that permitted the formation of the new
institution. The transformation of a free standing medical campus to a part of a
larger university required a fusion of the existing boards of trustees, a new
organizational structure and a unified strategic plan, all of which occurred in
the first 12 months after the merger. The college of medicine of the former
Medical University of Ohio has been strengthened by the merger process, and the
new University of Toledo has emerged as a much more diverse and complete
institution.
PMID- 18046125
TI - Making students cry (or: how hammering home the point can smash the process to
pieces).
PMID- 18046126
TI - Medicine and the arts. Scenes from a Mastectomy [excerpt] by Alicia Ostriker.
Commentary.
PMID- 18046128
TI - Gold Foundation essay: Thinking outside the box.
PMID- 18046129
TI - National efforts to reform residency education in surgery.
AB - Major changes in surgical practice and myriad external mandates have affected
residency education in surgery. The traditional surgery residency education and
training model has come under scrutiny, and calls for major reform of this model
have been made by a variety of stakeholders. The American Surgical Association
appointed a Blue Ribbon Committee in 2002 to consider the recent changes in
surgical practice and surgical education and propose solutions that would ensure
a well-educated and well-trained surgical workforce for the future. This
committee included representatives from the American Surgical Association, the
American College of Surgeons, the American Board of Surgery, and the Residency
Review Committee for Surgery. The committee made several far-reaching
recommendations relating to residency education in surgery. After the Blue Ribbon
Committee completed its task in 2004, representatives from the aforementioned
four organizations, the Association of Program Directors in Surgery, and the
Association for Surgical Education created a national consortium called the
Surgical Council on Resident Education (SCORE). This consortium is pursuing
efforts to reform residency education in surgery and implement several key
recommendations of the Blue Ribbon Committee. The principal area of focus of
SCORE is the development of a national curriculum for surgery residency education
and training. Other activities of SCORE include the development of a Web site to
support surgery residency education and pursuit of international collaboration.
SCORE's efforts will be key to offering surgery residents the best educational
experiences, preparing residents for future practice, and supporting delivery of
surgical care of the highest quality. The authors examine the current state of
residency education in surgery and explore efforts underway to reform this
educational model.
PMID- 18046130
TI - Brick by brick.
PMID- 18046131
TI - Redesigning residency training in internal medicine: the consensus report of the
Alliance for Academic Internal Medicine Education Redesign Task Force.
AB - Because of numerous criticisms of the content and structure of residency
training, redesigning graduate medical education (GME) has become a high priority
for the internal medicine community. From 2005 to 2007, the leadership of the
internal medicine community, working under the auspices of the Alliance for
Academic Internal Medicine Education Redesign Task Force, developed six
recommendations it will pursue to improve residency education: (1) focus
education around a "core" of internal medicine, which provides the framework for
both the structure and content of residents' educational experiences, (2) fully
adopt competency-based evaluation and advancement, which will enhance training by
focusing on individual learners' needs, (3) allow for increased, resident
centered education beyond the internal medicine core, because different types of
practice require customized knowledge and skills, (4) improve ambulatory training
by providing patient-centered longitudinal care that addresses the conflict
between inpatient and outpatient responsibilities, (5) use new faculty models
that emphasize the creation of a core faculty, and (6) align institutional and
programmatic resources with the goals of redesign, balancing the clinical mission
of the institution with the educational goals of residency training. Adoption of
these recommendations will require significant efforts, including pilot projects,
faculty development, changes in accreditation requirements, and modifications of
GME funding systems. Opportunities are ample for individual programs to develop
creative approaches based on the framework for educational redesign outlined in
this article, and for these educational and clinical redesign initiatives to work
hand-in-hand for the benefit of patients, faculty, trainees, and institutions.
PMID- 18046133
TI - Preparing the personal physician for practice: changing family medicine residency
training to enable new model practice.
AB - After two years of intensive study, in 2004 the Future of Family Medicine report
concluded that the current U.S. health care system is inadequate and
unsustainable, and called for changes within the specialty of family medicine to
ensure the future health of the American public. With guidance and encouragement
from many disciplines and health experts, a set of 10 recommendations was
established to accomplish a transformative change in how family physicians serve
their patients and how the essential function of primary care is achieved. From
these recommendations came a period of innovation and experimentation in the
training of family physicians, entitled Preparing the Personal Physician for
Practice (P4). The P4 project is a carefully designed and evaluated initiative
led by the American Board of Family Medicine and the Association of Family
Medicine Residency Directors and administered by TransforMED, a practice redesign
initiative of the American Academy of Family Physicians. Fourteen family medicine
programs were chosen to participate and will put their innovations into practice
from 2007 to 2012, during which time regular evaluation will be conducted. The
purpose of P4 is to learn how to improve the graduate medical education of family
physicians such that they are prepared to be outstanding personal physicians and
to work in the new models of practice now emerging. The innovations tested by P4
residencies are expected to inspire substantial changes in the content,
structure, and locations of training of family physicians and to guide future
revisions in accreditation and certification requirements.
PMID- 18046134
TI - Timing of revenue streams from newly recruited faculty: implications for faculty
retention.
AB - PURPOSE: To determine the timing and magnitude of revenues generated by newly
recruited faculty, to facilitate configuration of recruitment packages
appropriately matched to expected financial returns. METHOD: The aggregate of all
positive cash flows to central college of medicine administration -- from
research, clinical care, tuition, philanthropy, and royalties and patents, from
all faculty newly recruited to the University of Arizona College of Medicine
between 1998 and 2004 -- was quantified using the net present value (npv)
methodology, which incorporates the time value of money. RESULTS: Tenure-track
faculty and, in particular, those with laboratory research programs, generated
the highest positive central cash flows. The npv for positive cash flows (npv[+])
during 6 and 10 years for newly recruited assistant professors with laboratory
research programs were $118,600 and $255,400, respectively, and, for professors
with laboratory research programs, $172,600 and $298,000, respectively (associate
professors were not analyzed because of limited numbers). Faculty whose
appointments at the University of Arizona College of Medicine exceeded 15 years
in duration were the most productive in central revenue generation, far in excess
of their numbers proportionate to the total. CONCLUSIONS: The results emphasize
the critical importance of faculty retention, because even those newly recruited
faculty who are most successful in central revenue generation (tenure track with
laboratory research programs) must be retained for periods well in excess of 10
years to recoup the initial central investment required for their recruitment.
PMID- 18046137
TI - Long-term cosmetic outcome after breast implantation.
AB - All women who underwent breast augmentation at 1 public and 1 private clinic in
Denmark from 1973 to 1988, and available for follow-up, were invited to
participate in a clinical study including a self-administered questionnaire,
medical record abstraction, and a clinical examination. One hundred ninety (52%)
out of 368 eligible women participated. Mean time with implants in situ was 19
years (range, 5-35). Sixty-one percent of the women had 1 implantation, 23% had 2
implantations, 16% had 3 or more implantations. Nineteen women (10%) had no
implants at the time of examination. A large proportion of the women (62%) had
clinically significant capsular contracture, and only half of the study women
were found to have satisfactory overall breast appearance at examination. In
contrast, the majority of women reported satisfaction with their implant surgery
(60%). The women tended to grade themselves more positively than the examining
physicians.
PMID- 18046138
TI - Function of the pectoralis major muscle after combined skin-sparing mastectomy
and immediate reconstruction by subpectoral implantation of a prosthesis.
AB - For immediate subpectoral endoprosthetic breast reconstruction after skin-sparing
mastectomy, the caudal origin of the major pectoral muscle is detached from the
ribs and caudal part of the sternum. To date, the effect on the function of the
major pectoralis muscle of this routine procedure is unknown. Therefore, we
assessed the influence of the muscle's release on the upper-arm torque-strength
profiles. Eighteen healthy controls and 10 women who had undergone unilateral
immediate subpectoral prosthetic breast reconstruction underwent strength profile
measurement by use of the Biodex System 3 Pro. The observations in the healthy
controls were used to calculate the difference in torque strength between the
dominant and nondominant side. This difference was used to correct the actual
measurements in the operated women. In the controls, the torque strength at the
dominant side was significantly stronger than that at the nondominant side (mean
difference, 3.5 Nm, or 9.1%; P = 0.002). After subpectoral breast reconstruction,
the measured torque strength at the operated side was 5.2 Nm, or 14% less than
that at the nonoperated side (P = 0.001). After correction for the effect of
dominance, we observed a significant reduction of 7.6 Nm, or 20.1% of torque
strength at the operated side (P = 0.000). Because the strength loss is
substantial, patients ought to be informed about the possible postoperative
muscular deficit, surgeons should endeavor a minimum amount of pectoral release,
and alternative procedures should be explored.
PMID- 18046139
TI - Preoperative Angio-CT preliminary study of the TRAM flap after selective vascular
delay.
AB - BACKGROUND: The pedicled TRAM (pTRAM) flap is one of the best options for
autologous breast reconstruction, but vascular complications reported in the
standard versions are about 30%. To reduce complication rate, especially in high
risk patients, surgical delay has been suggested. Individual precise preoperative
location and evaluation of perforating vessels and of variations of the diameter
of the deep superior epigastric artery (DSEA) are highly desirable for improving
surgical strategy. Previous reports using color duplex scanning, although
generally confirming the validity of the delay maneuver, have showed several
pitfalls. The aim of this report was to demonstrate the usefulness of
multidetector computed tomography angiography (MDCTA) for preoperative planning
in patients undergoing pTRAM flap breast reconstruction after selective vascular
delay. METHODS: Three patients were considered for breast reconstruction with the
pTRAM flap. An MDCTA was performed before and after selective delay to locate the
muscle perforators and to show increase in DSEA diameter. Axial images,
multiplanar reconstruction, and 3D volume images were analyzed. RESULTS: Accurate
identification of the main perforators was achieved. Location, course, and
anatomic variations of DSEA were reported. The average increase in diameter of
the DSEA was 29.3%. CONCLUSION: Preoperative planning of pTRAM flap with MDCTA
allows surgeons to visualize and locate the dominant perforators and to select
the best DSEA. Consequently, the choice between the homolateral or contralateral
rectus muscle is facilitated. The high sensitivity and specificity and the ease
of interpreting data have made MDCTA a highly promising diagnostic tool for
planning a pTRAM flap.
PMID- 18046140
TI - Donor-site morbidity of the inferior gluteal musculocutaneous flap for breast
reconstruction in teenagers.
AB - The purpose of this study is to objectively evaluate donor-site morbidity of the
inferior gluteal musculocutaneous flap in teenagers. All cases of breast
reconstruction performed between 1996 and 2005 using an inferior gluteal flap
were reviewed. Flap size, weight, and pedicle origin were noted. Donor-site
morbidity was assessed for scarring, contour deformity, muscle function, and
sensation. The charts of 15 patients were reviewed, and 6 patients were available
for further investigation. The average flap size and weight were 17 cm x 7 cm and
430 g, respectively. All patients had a well-concealed scar with minimal buttock
asymmetry. Sensory assessment showed some degree of hypoesthesia in the territory
of the posterior femoral cutaneous nerve in all patients. There was no functional
loss. Donor-site morbidity of the inferior gluteal musculocutaneous flap is
largely related to posterior thigh hypoesthesia despite preservation of the
posterior femoral cutaneous nerve.
PMID- 18046141
TI - Nipple reconstruction using the modified top hat flap with banked costal
cartilage graft: long-term follow-up in 58 patients.
AB - The long-term projection of nipple reconstruction is a challenge. Fifty-eight
consecutive female patients underwent 58 nipple reconstructions with modified top
hat flap with cartilage graft following breast reconstruction in 54 autologous
tissues and 4 implants, respectively. The average neonipple size was 11.5 mm
initially and 8.5 mm at a mean follow-up of 44.9 months (range, 24-65 months),
with a mean decrease in projection of 26.1%. Thirty-three patients achieved an
excellent result, 20 patients a good result, 3 patients a fair result, and 2
patients a poor result, respectively. The complication rate was 12.1% (7 of 58
cases), and there was no statistically significant difference between the
immediate and delayed groups; the revision rate was 8.6% (5 of 58 cases). The
modified top hat flap with banked costal cartilage graft provides a sustainable
solution to the gradual loss of nipple projection, with few complications.
PMID- 18046142
TI - Combined use of ultrasonic-assisted liposuction and semicircular periareolar
incision for the treatment of gynecomastia.
AB - BACKGROUND: Of the wide range of excisional and liposuction techniques used to
correct gynecomastia, so far, no single one was suitable for all grades of
gynecomastia. We introduce ultrasonic-assisted liposuction (UAL) combined with
conventional liposuction and partial gland resection without skin excision as the
standard surgical technique for all such grades. PATIENTS AND METHODS: Twenty
eight men (aged 17 to 80 years) were consecutively treated from March 2004
through April 2006 for grade Ia (n = 3), Ib (n = 2), IIa (n = 6), IIb (n = 6),
III (n = 8), or IV (n = 3) gynecomastia. Their characteristics and the outcome of
surgery were retrospectively assessed. RESULTS: A mean of 848 mL (range, 300 to
1400 mL) of liquefied breast tissue was aspirated, and 31 g (range, 3 to 180 g)
of fibroglandular tissue were excised per breast. No immediate or delayed
adjuvant skin reduction was needed in any of the patients. Moderate postoperative
ecchymosis was observed in 2 patients, but no complications were encountered in
this series. The cosmetic outcome was good to excellent in all. CONCLUSIONS: UAL
in combination with gland resection through a minimal caudal semicircular
periareolar incision and conventional liposuction effectively corrects all grades
of gynecomastia. This combination has little morbidity, requires no skin
excision, and leads to uniformly good esthetic results.
PMID- 18046143
TI - Midface lifting as an adjunct procedure in ectropion repair.
AB - PURPOSE: To evaluate the efficacy of ectropion repair with adjunctive midface
lift. METHODS: Retrospective chart review of patients with cicatricial,
involutional, or paralytic ectropion with midface descent. Ectropion repair with
adjunctive supraperiosteal midface lifting was performed on each patient.
Surgical indications included lower eyelid ectropion, lagophthalmos, and/or
cosmetic deformity. Outcomes analyzed were recurrence or adequacy of ectropion
correction, complications, and need for further surgery. Surgical success was
determined by the need for further surgery. RESULTS: A total of 32 procedures
performed on 22 patients undergoing ectropion repair with adjunctive
supraperiosteal midface lift were reviewed. Of the 15 procedures for patients
with cicatricial ectropion, 80% (12 of 15 procedures) had improvement of lower
eyelid position, without the need for further surgery; 71.4% of procedures for
involutional ectropion (10 of 14 procedures) resulted in improvement in lower
eyelid position, without the need for further surgery. There was improvement in
ectropion in all patients with paralytic ectropion and no recurrences. A total of
5 patients had recurrences after ectropion repair with midface lift secondary to
perioperative complications, a shortage of anterior lamella, or due to a heavy
midface. CONCLUSIONS: We demonstrated that most patients undergoing midface lift
in addition to ectropion repair have a favorable result. This is to be expected,
given the close anatomic relationship between the lower eyelid and the midface. A
midface lift should be considered in all patients who have both ectropion and
significant midface descent.
PMID- 18046144
TI - Invited discussion: midface lifting as an adjunct procedure in lower eyelid
ectropion repair.
PMID- 18046145
TI - Augmentation of the nasal dorsum with autogenous costal cartilage using the "edge
on" technique.
AB - Carved costal cartilage autografts are commonly used for nasal augmentation in
saddle nose deformities. One major disadvantage of these carved grafts is
postoperative warping. To prevent warping of costal cartilages, we used the "edge
on" technique. The inferior border of the cartilage is rotated 90 degrees to
become the convex dorsum. No cartilage is trimmed or carved, and hence the
intrinsic stress forces within the graft are not disturbed. The results in 21
patients are documented with long-term follow up (mean, 4 years) and showed no
evidence of warping. Other complications, advantages, and disadvantages of the
technique are discussed.
PMID- 18046146
TI - Correction of hemifacial atrophy with autologous fat transplantation.
AB - BACKGROUND: Autologous fat transplantation has frequently been used by many
surgeons for facial recontouring in esthetic patients, with good long-term
results. However, this technique has not been used primarily in treating patients
with hemifacial atrophy, and its efficacy and long-term outcome remain unknown.
METHODS: In a 7-year period, 31 patients with hemifacial atrophy were treated
with autologous fat transplantation in our institution. All patients had been in
their stable phase of the disease for at least 1 year. Autologous fat grafts were
harvested from the lower abdomen or thigh with our preferred low-pressure syringe
technique and then spun at the lower speed. The fat grafts were injected into
multiple areas in multiple tissue planes and tunnels to the diseased side of the
face. The same procedure was repeated once or twice as necessary after each
injection in at least 3 months. All patients were followed up to 5 years, and
their outcomes were evaluated by the patients, plastic surgeons, and laypersons
separately. RESULTS: Obviously improved facial contour was evident in most
patients after autologous fat transplantations. More than 65% of the patients in
this series were assessed as satisfactory by all 3 groups. Between 10% and 30% of
the patients were mostly satisfactory. Only less than 7% of the patients were
unsatisfactory. No complications were seen in either donor sites or recipient
sites in this series. CONCLUSIONS: Autologous fat transplantation can be a good
treatment of choice for patients with hemifacial atrophy.
PMID- 18046147
TI - Invited discussion: correction of hemifacial atrophy with autologous fat
transplantation.
PMID- 18046148
TI - The pectoralis major muscle extended island flap for complete obliteration of the
median sternotomy wound.
AB - The sequence of adverse events initiated by a sternal wound infection today can
typically be ameliorated by interposing a vascularized flap. The pectoralis major
muscle due to its propinquity has universally been the workhorse flap for
minimizing this dilemma, with our experience over the past 25 years being no
exception as 123 of 156 patients so inflicted required this donor site in some
format. However, a rectus abdominis muscle had to be used in combination in 22
patients, particularly for coverage of the xiphoid region, and this can add
significant morbidity in an already compromised patient population. This
conundrum provided the impetus starting in 2003 for the development of a
pectoralis major muscle extended island flap, whereby skeletonizing its vascular
pedicle back to near the origin of the thoracoacromial axis, the desired extended
reach can be obtained. Since that time, 18 pectoralis major muscle extended
island flaps have been successfully used, with only a single wound complication
still requiring use of a rectus abdominis muscle flap. This has proven to be a
reliable option that alone allows complete closure of the median sternotomy wound
while avoiding the need for combined flaps with preservation of the rectus
abdominis muscle.
PMID- 18046149
TI - Outcomes in the management of sternal dehiscence by plastic surgery: a ten-year
review in one university center.
AB - PURPOSE: Infection rates following median sternotomy vary between 0.2% and 10%.
These cases are associated with morbidity and mortality rates between 10% and 25%
and 5% and 20%, respectively. The purpose of this study was to evaluate patient
outcomes following plastic surgery correction of sternotomy dehiscence (SD).
METHODS: All patients operated on for an SD following coronary artery bypass
graft surgery (CABG), between 1995 and 2005, with 1 or more flaps, were included.
RESULTS: Eighty cases were identified over a 10-year period. The mean age was 64
(+/-9.1) years. Two or more procedures were required in 17.5% of patients, and
the mortality rate within 30 days was 12.5%. Significant variability was revealed
between the cumulative mortality rates of plastic surgeons, from 0.0% to 50.0%.
Multiple associations were identified for poor outcome, including chronic renal
insufficiency and early mortality, and obesity with risk of reintervention.
CONCLUSION: Although patients who undergo surgical correction of a deep sternal
infection usually tolerate their intervention well, the mortality within 30 days
remains high. This study has identified several factors explaining morbidity and
mortality in this patient population.
PMID- 18046150
TI - The 180-degree perforator-based propeller flap for soft tissue coverage of the
distal, lower extremity: a new method to achieve reliable coverage of the distal
lower extremity with a local, fasciocutaneous perforator flap.
AB - BACKGROUND: Traumatic and nontraumatic defects of the distal third of the tibia
are challenging in regard to soft tissue coverage. While local, pedicled
fasciocutaneous perforator flaps allow adequate coverage, the donor site often
requires skin grafting. When a local perforator flap is designed as a 180-degree
propeller flap, an excellent esthetic result and direct closure of the donor site
can be achieved, with minimal morbidity. METHODS: Eight patients with defects in
the malleolar region were treated with 180-degree propeller flaps based on
perforators from the tibial and peroneal vessels. RESULTS: One partial flap loss
was encountered in an insulin-dependent diabetic. Partial superficial
epidermolysis was encountered in 2 cases and healed without further
interventions. No other complications were encountered. All patients returned to
full ambulation within 8 weeks. CONCLUSION: The 180-degree propeller flap is an
elegant and versatile method to achieve soft tissue coverage with local tissue in
defects of the distal tibia. Contrary to other local perforator flaps, this
specific design facilitates direct closure of the donor site. As only local, thin
tissue is used, no interference with normal shoe wear occurs. Even in older
patients, this flap has proven to be a reliable option.
PMID- 18046151
TI - Nonlinear finite element simulations to elucidate the determinants of perforator
patency in propeller flaps.
AB - The propeller-type flap design is increasingly used in reconstructive surgery for
various regions of the body. To date, determinants of perforator patency when
subjected to twisting have not been elucidated. We propose a simulation model to
study parameters affecting perforator patency under such conditions. Nonlinear
finite element procedure was used to simulate a perforator consisting of an
artery and a vein with both ends fixed. A rigid body was attached to the top of
the perforator for applying prescribed angular displacement. The effect of the
following parameters on the pedicle patency was determined: (1) increasing angle
of twist, (2) vessel stiffness, (3) vessel length, (4) diameter, (5) intraluminal
pressure, and (6) the presence or absence of blood flow during twisting.
Simulation results were reported in effective stress and strain on the twisted
pedicle. In the context of perforator patency, effective strain, which is a
measure of vessel deformation or collapse, is the more relevant outcome. The vein
was more prone to occlusion because of its weaker wall and lower intraluminal
pressure. Four factors that affected perforator patency were identified: angle of
twist, intraluminal blood pressure, and perforator diameter and length. There was
no significant difference whether twisting was performed prior to or after
restoration of blood flow (P > 0.05). Therefore, to optimize condition for
maintaining perforator patency, the angle of twist should be kept <180 degrees,
perioperative blood pressure should be kept stable (avoiding periods of
hypotension), and the selected perforator should be approximately 1 mm in
diameter and >30 mm in length. We found that the propeller flap is a feasible
design. This study defined the determinants of perforator patency and will serve
as a useful guide when performing such flaps.
PMID- 18046152
TI - The turbinate flap for oronasal fistula closure.
AB - Extensive scarring may limit available local tissue for closure of the nasal
epithelial lining in oronasal fistulae, as frequently seen in cleft patients. A
pedicled mucoperiosteal flap harvested from the inferior turbinate can be a
valuable alternative to close such defects. Due to dense vascularity of the
conchal mucosa, an inferior turbinate flap with an average size of 9.9 cm may be
harvested. This is illustrated in a case of a large nasovestibular fistula in a
patient after premaxillary osteoplasty and anatomic studies.
PMID- 18046153
TI - Congenital sporadic clinodactyly of the index finger.
AB - Congenital sporadic clinodactyly of the index finger is uncommon and has not been
specifically investigated in previous reports. In this paper, we report on 10
cases with this deformity to investigate its clinical features and to document
the results following a closing wedge osteotomy. The study demonstrated that
clinodactyly of the index finger is a unique entity. It is unilateral, with male
predominance. It is commonly associated with brachydactyly but is not associated
with systemic anomalies or mental retardation. Finally, the study showed that the
side of angulation of the index finger is consistently to the radial side. The
closing wedge osteotomy technique obtained a satisfactory correction of the
angulation in all patients (the mean preoperative angulation was 44 degrees and
the mean postoperative angulation was 13 degrees). The cosmetic outcome was
considered acceptable in 9 cases. In the remaining patient, the operated index
finger appeared too short compared with the other fingers. We now believe that it
was not appropriate to do a closing wedge osteotomy for all cases of sporadic
clinodactyly of the index finger, and we have designed a new approach of
management based on 3 factors: the severity of angulation, the age of the
patient, and the relative degree of brachydactyly of the index finger compared
with the adjacent middle finger.
PMID- 18046155
TI - Comparison of craniofacial measurements of young adult African-American and North
American white males and females.
AB - Surgical correction of craniofacial disfigurements depends for its success on
precise knowledge of the craniofacial norms of the patient's racial/ethnic
groups. The norms of North American whites should be restricted to patients of
Caucasian origin and not applied to members of other races. This study therefore
sought to determine differences in anthropometric measurements of the
craniofacial complex between African-American and North American white subjects
of both sexes and of similar age (18-25 years old). The study group consisted of
healthy young adult African-Americans, 50 males and 50 females. The analysis of
craniofacial morphology was based on 51 anthropometric measurements: 9 cranial,
10 facial, 8 orbital, 14 nasal, 4 oral and 6 auricular. The results were compared
with 51 norms previously established for North American whites in the same age
group, generally based on 109 males and 200 females, fewer in comparisons of some
nasal measurements (ac-ac, sbal-sbal, ac-sn, nostril axis). Highly significant
differences between groups were found in every craniofacial region, especially in
the orbital and nasal areas, and confirmed the need to establish separate norms
for African-Americans to guide corrective surgery of the head and face.
PMID- 18046154
TI - Postoperative radiation protocol for keloids and hypertrophic scars: statistical
analysis of 370 sites followed for over 18 months.
AB - BACKGROUND: Before 2002, keloids and intractable hypertrophic scars were treated
at our facility with postoperative irradiation of 15 Gy (the traditional
protocol). Analysis of the therapeutic outcomes of patients treated with this
protocol showed that the recurrence rates of keloids and intractable hypertrophic
scars in the anterior chest wall, as well as the scapular and suprapubic regions,
were statistically higher than at other sites, while the recurrence rates in
earlobes were lower. Thus, we customized doses for various sites. This report
describes our trial of postoperative radiation therapy. METHODS: Between January
2002 and September 2004, 109 patients with 121 keloid and intractable
hypertrophic scar sites were treated with surgical excision following the new
protocol: electron-beam irradiation at total doses of 10, 15, or 20 Gy, depending
on the site. The recurrence rates and toxicities were historically followed in
218 patients with 249 keloid and intractable hypertrophic scar sites treated with
the old protocol of surgical removal followed by irradiation at 15 Gy (without
variation by site). The minimal follow-up time was 18 months. Statistical
analysis was performed using Fisher exact probability test. RESULTS: Total
recurrence rates were 29.3% before 2002 and 14.0% after 2003. The recurrence rate
in the anterior chest wall was statistically reduced. Outcomes of earlobe did not
differ between irradiation with 15 Gy or 10 Gy. CONCLUSIONS: Keloids and
intractable hypertrophic scars should be treated with dose protocols customized
by site. Our results suggest that keloid and intractable hypertrophic scar sites
with a high risk of recurrence should be treated with 20 Gy in 4 fractions over 4
days and that earlobe should be treated with 10 Gy in 2 fractions over 2 days.
PMID- 18046156
TI - Treatment with antisense oligonucleotide reduces the expression of type I
collagen in a human-skin organ-wound model: implications for antifibrotic gene
therapy.
AB - Increased collagen expression during wound healing causes scar formation,
abnormal contracture, low tensile strength, functional impairment, and
disfigurement. A novel ex vivo wound-injury model demonstrated that AS60, an
antisense oligonucleotide (ASO) to type I collagen, reduced the mRNA and protein
expression of type 1 collagen. Following a cutaneous wound injury in a human-skin
organ culture, AS60 injection resulted in a 36% (P < 0.001) and 30% decrease (P <
0.001) in type 1 collagen mRNA and protein expression after 7 days. Similarly,
transfection of cultured human fibroblasts with ASO resulted in a 36% decrease (P
< 0.001) and a 31% decrease (P < 0.001) in type 1 collagen mRNA and protein
expression. Immunofluorescence of human skin organ culture treated with ASO
showed a specific reduction in collagen expression. Using AS60 to reduce collagen
expression in human skin may have implications for its use as a gene therapy
agent to reduce the formation of fibrotic scarring.
PMID- 18046157
TI - The evaluation of bone formation of the whole-tissue periosteum transplantation
in combination with beta-tricalcium phosphate (TCP).
AB - We investigated the osteogenic potential of a combination graft of beta
tricalcium phosphate (TCP) and periosteum in the rat calvarial defect model. The
combination beta-TCP and periosteum graft was grafted into rat calvarial defects;
the newly formed bone in the defect was studied histologically and
radiographically and compared with periosteum grafts and TCP grafts. Ten days
after combination grafting, the grafted periosteum showed cell proliferation and
Runx2 immunoreaction; 20 days after grafting, new bone formation was seen around
the beta-TCP; and 30 days after grafting, new bone developed and actively
replaced beta-TCP, while radiography showed calcified areas. Total bone formation
of the combination periosteum and beta-TCP graft was significantly increased
compared with single grafts of beta-TCP or periosteum (P < 0.01). The combination
graft of periosteum and beta-TCP showed marked bone formation in rat calvarial
defects. This result suggests that combination grafts may be effective for
repairing bone defects.
PMID- 18046158
TI - Effect of human bone marrow stromal cells and dermal fibroblasts on collagen
synthesis and epithelization.
AB - In a previous in vitro study, the authors reported that bone marrow stromal cells
(BSCs) have better wound-healing activities than fibroblasts. The purpose of this
study was to evaluate the effect of BSCs and fibroblasts on wound-healing
activity in vivo. Cultured human BSCs and dermal fibroblasts taken from the same
patients were tested to compare collagen synthesis and epithelization in a rat
wound model. No-cell-treated animals were used as controls. The BSC group showed
the highest collagen level, followed by the fibroblast group, and then the no
cell group (P < 0.05). In addition, the best epithelization was observed in the
BSC group. These results demonstrate that BSCs better stimulate wound healing
than fibroblasts in vivo and in vitro.
PMID- 18046159
TI - First colored illustration and detailed description of hermaphroditism by a
Turkish surgeon, Serefeddin Sabuncuoglu, in the 15th century.
AB - Cerrahiyyetu'l-Haniyye (Imperial Surgery), written by the surgeon Serefeddin
Sabuncuoglu in the 15 century, is the first illustrated surgical book in Turkish
Islamic literature containing human figures. Sabuncuoglu had begun a new era by
demonstrating for the first time the application of many surgical methods on
human beings, with illustrations in the style of miniatures in his handwritten
work. This was a first in medical history, and, owing to this property,
Sabuncuoglu's book was one of the most important original works of that period.
In this study in which we aim to examine Sabuncuoglu's surgical book, in
particular with regard to the disease of hermaphroditism, we first demonstrated
the historical development of the subject through general sources. From sources
concerning Sabuncuoglu, we gathered information on his life and works. Then,
examining the information on hermaphroditism in Sabuncuoglu's work, we discussed
this information in light of our current knowledge.
PMID- 18046160
TI - On the origin of pedicled skin inversion vaginoplasty: life and work of Dr
Georges Burou of Casablanca.
AB - The first reports on gender-confirming surgery had been published in Germany in
the 1920s, but it took some 30 years before sex reassignment surgery for
transsexuals became generally known. Initially, such surgery was performed mostly
in Europe and Casablanca. In 1956, the gynecologist Dr Georges Burou (1910-1987)
independently developed the anteriorly pedicled penile skin flap inversion
vaginoplasty in his Clinique du Parc in Casablanca. This technique was to become
the gold standard of skin-lined vaginoplasty in transsexuals. During his life, he
was to perform well over 800 vaginoplasties for transsexual patients from all
over the world, but Burou always kept a low profile to be able to continue this
controversial part of his work in Morocco. Because his work was pioneering and
innovative, Georges Burou and his vaginoplasty are given a place in the recorded
history of plastic surgery.
PMID- 18046161
TI - The last word.
PMID- 18046163
TI - Response to McLaughlin/Lipworth.
PMID- 18046164
TI - A method to reduce citation errors while compiling bibliographies.
PMID- 18046166
TI - The effect of arthrodesis, implant stiffness, and time on the canine lumbar
spine.
AB - STUDY DESIGN: Canine posterior lumbar instrumentation and fusion. OBJECTIVES: To
study effects of implant rod size and time on the stiffness of related spine
construct elements. SUMMARY OF BACKGROUND DATA: The ideal stiffness of posterior
spinal implants to successfully treat clinical instability or deformity with
minimal side effects is unknown. METHODS: Twenty-six canines were divided into 7
groups: control, and 6 or 12-month survival after sham or lumbar L3-5 arthrodesis
(facet, posterior, and posterolateral) with either 4.76 or 6.35 mm diameter rod
pedicle screw instrumentation. Axial flexion-compression stiffness of the L3-5
segment components and axial compression stiffness of the bypassed and adjacent
anterior column elements were measured. RESULTS: Posterior instrumentation
initially increased flexion-compression stiffness of the L3-5 segment more than
the intrinsic stiffness of the implant due to control of spinal column flexion
buckling. Sham operation did likewise, apparently by posterior scar tissue
tethering. The percent contribution of the implant construct to instrumented
segment stiffness was significantly less at 6 months without further change from
6 to 12 months; 14% and 22% for 4.76 and 6.35 mm rod constructs, respectively.
Spinal column as well as posterior column stiffness after fusion was independent
of rod size at 6 months and increased at 12 months in only the 4.76 mm rod group.
Bypassed L4 vertebral body stiffness decreased significantly at 6 months, was not
rod size dependent and changed little between 6 and 12 months. Bypassed disk
stiffness responded in a biphasic manner, apparently increasing at 6 months with
significant decrease from 6 to 12 months. Adjacent disk compression stiffness
progressively decreased over time independent of rod size, also decreasing after
sham operation. CONCLUSIONS: Both rod sizes were associated with 100% fusion and
produced similar changes in bypassed bone and disks, and adjacent disks. There
was delayed fusion stress shielding by 6.35 mm rod constructs.
PMID- 18046167
TI - Nonoperative treatment of symptomatic spondylolysis.
AB - SUMMARY OF BACKGROUND DATA: Symptomatic spondylolysis resulting from a stress
fracture of the pars interarticularis is a cause of low back pain in the juvenile
and adolescent patient. Treatment is conservative in the majority of cases.
OBJECTIVE: To analyze the outcome of patients with symptomatic isthmic
spondylolysis treated nonoperatively with a custom fit thoracolumbar orthosis and
activity cessation for 3 months followed by an organized physical therapy
program. STUDY DESIGN: Retrospective case series. PATIENT SAMPLE: Four hundred
thirty-six juvenile and adolescent patients with spondylolysis. OUTCOME MEASURES:
Pain improvement, hamstring flexibility, range of motion, resolution of back
spasms, and return to previous activities. METHODS: Retrospective review of 436
juvenile and adolescent patients with symptomatic spondylolysis confirmed by
single-photon emission computed tomography or computed tomography. Clinical
outcomes were assessed through patient history and physical examination. RESULTS:
Ninety-five percent of patients achieved excellent results according to a
modified Odom's Criteria. The remaining 5% of patients achieved good results as
they required occasional nonsteroidal anti-inflammatory drugs to relieve pain.
Back spasms were resolved and hamstring tightness and range of motion returned to
normal in all patients. All patients returned to their preinjury activity level.
No patients went on to surgery. CONCLUSIONS: Symptomatic juvenile and adolescent
patients with an isthmus spondylolysis may be effectively managed with a custom
fit thoracolumbar orthosis brace and activity cessation for approximately 3
months followed by an organized physical therapy program.
PMID- 18046168
TI - Anterior corpectomy with iliac bone fusion or discectomy with interbody titanium
cage fusion for multilevel cervical degenerated disc disease.
AB - STUDY DESIGN: Clinical and radiologic study evaluating the outcome after anterior
corpectomy with iliac bone fusion compared with discectomy with interbody
titanium cage fusion for multilevel cervical degenerated disc disease.
OBJECTIVES: To investigate the safety and effectiveness of interbody titanium
cage with plate fixation in multilevel postdiscectomy fusion. SUMMARY OF
BACKGROUND DATA: The operation for segmental multilevel cervical degenerated disc
disease remains controversial. Data on safety and efficacy of titanium cages in
multilevel postdiscectomy fusion are rarely available. We investigated the safety
and effectiveness of interbody fusion cages with plate fixation and compared the
clinical and radiographical results between anterior corpectomy and iliac bone
fusion with plate fixation and multilevel discectomy and cage fusion with plate
fixation. METHODS: Sixty-two patients were treated with either a multilevel
discectomy and cage fusion with plate fixation (27 patients, group A) or an
anterior corpectomy and iliac graft fusion with plate fixation (35 patients,
group B). We evaluated the patients for cervical lordosis, fusion status, and
stability 24 months postoperatively on the basis of spine radiographs. The
patients' neurologic outcomes were assessed by the Japanese Orthopedic
Association (JOA) scores. Neck pain was graded using a 10-point visual analog
scale. RESULTS: Both groups A and B demonstrated a significant increase in the
JOA scores (preoperatively 11.1+/-2.1 and 10.4+/-3.5, postoperatively 14.3+/-2.4
and 13.9+/-2.1, respectively) and a significant decrease in the visual analog
pain scores (preoperatively 8.5+/-1.1 and 8.7+/-1.5, postoperatively 2.9+/-1.8
and 3.0+/-2.0, respectively). However, there was no significant difference
between groups A and B. Both groups A and B showed a significant increase in the
cervical lordosis after operation and reached satisfactory fusion rates (96.3%
and 91.4%, respectively). Three patients (two 2-level corpectomies and one 3
level corpectomy) had construct failures that required a second operation. Eight
of 35 patients who underwent iliac bone fusion had donor site pain. The hospital
stay in group A was significantly shorter than that in group B (P=0.022).
CONCLUSIONS: Either a multilevel discectomy and cage fusion with plating or a
corpectomy and iliac bone fusion with plating provides good clinical results and
similar fusion rates for cervical degenerative disc disease. However, absence of
donor site complications and construct failures and shorter hospital stay make
the multilevel discectomy and cage fusion with plate fixation better than
corpectomy and strut graft fusion with plate fixation.
PMID- 18046169
TI - Cervical range of motion and alignment after laminoplasty preserving or
reattaching the semispinalis cervicis inserted into axis.
AB - STUDY DESIGN: A radiographic study in 111 patients using radiographs was
conducted. OBJECTIVE: To clarify whether the modified laminoplasty with C3
laminectomy preserving the semispinalis cervicis (SSC) inserted into C2 could
maintain the postopertive range of motion (ROM) and sagittal alignment compared
with conventional C3-C7 laminoplasty reattaching the muscle to C2. SUMMARY OF
BACKGROUND DATA: Intraoperative injury of the SSC is relevant to the significant
loss of ROM and the malalignment after laminoplasty. To expose the C3 lamina,
however, the SSC inserted into C2 could not be preserved in conventional C3-C7
laminoplasty. METHODS: The ROM and sagittal alignment of 70 patients (group A)
(52 men, 18 women, mean age 59 y, mean follow-up period 1 y and 7 mo) with C4-C7
laminoplasty with C3 laminectomy were compared with those of 41 patients (group
B) (28 men, 13 women, mean age 59 y, mean follow-up period 2 y and 6 mo) with C3
C7 laminoplasty using radiographs of the cervical spine. RESULTS: Regarding C2-C7
ROM, the postoperative ROM was larger (P=0.003) and the decrease rate of ROM was
smaller (P=0.0006), and decreased ROM in extension was smaller (P<0.0001) in
group A. Regarding O-C2 ROM, the increased ROM was smaller (P=0.043) and
increased ROM in extension was smaller (P=0.001) in group A. Regarding O-C7 ROM,
the postoperative ROM was larger (P=0.029) in group A. Regarding the cervical
alignment, the increased lordotic angle at O-C2 was smaller (P=0.046) in group A.
CONCLUSIONS: This modified laminoplasty preserving the SSC inserted into C2 is an
effective procedure for maintaining postoperative ROM, especially in extension,
and sagittal alignment of the upper cervical spine well.
PMID- 18046170
TI - Airway changes after anterior cervical discectomy and fusion.
AB - INTRODUCTION: Anterior cervical discectomy and fusion (ACDF) is a common
procedure for radicular and spondylotic disease of the cervical spine.
Radiographs are routinely used to evaluate complications in the postoperative
ACDF patient, especially airway compromise. Our purpose was to establish baseline
data on the amount of change that can be expected in the prevertebral soft
tissues after this procedure in the uncomplicated asymptomatic (no airway
compromise) 1 or 2-level ACDF patient. Our hypothesis was that the upper cervical
spinal levels (C2-C4) would experience greater degrees of swelling than the lower
cervical spine (C5-C7). To date no published data exist in the English literature
upon which to judge symptomatic patients (experiencing postoperative airway
distress) radiographically. METHODS: We prospectively evaluated preoperative and
postoperative x-rays of 32 patients undergoing ACDF for radicular or spondylotic
cervical pathology. Measurements were taken from the anterior body of the
cervical spine to the posterior aspect of the airway. The postoperative
differences for each level from C2 to T1 were calculated. RESULTS: We found that
the greatest level of swelling or change in the prevertebral soft tissues
occurred at the mid-body of C4 in uncomplicated cases with an average change of
10.7 mm. No patients measured greater than 25 mm at C4. CONCLUSIONS: As we
predicted, the greatest edema was noted in the upper cervical spine. Studies need
to be performed to compare the radiographic data of symptomatic patients with the
baseline data we have collected.
PMID- 18046171
TI - Venovertebral vein: morphometric analysis and significance for the transabdominal
spine surgeon.
AB - STUDY DESIGN: Prospective intraoperative findings review. OBJECTIVES: To describe
the surgical anatomy of the innominate vessel, venovertebral vein, and consider
its significance for transabdominal surgery. BACKGROUND DATA: It has not
described in any anatomy textbook or reported papers. MATERIALS AND METHODS: In
50 patients who underwent anterior lumbar interbody fusion or total disc
replacement, we found venovertebral vein in 37 patients (74%). The connection
between venovertebral vein and the left common iliac vein was studied to
determine where the venovertebral vein drained, and its relationship to the
iliolumbar vein and middle sacral vein. All parameters were measured with vernier
caliper and divider. RESULTS: All of the veins studied drained into the posterior
margin of the left common iliac vein from the L5 vertebral body and were located
between the iliolumbar vein and the middle sacral vein. The diagonal-vertical
direction to the vertebral endplate was 64.8% (n=24). The mean diameter of
venovertebral veins was 2.24+/-0.74 mm (range 1.0 to 3.8 mm). The mean length was
12.71+/-5.71 mm (range 5.45 to 35.10 mm). The distance from the L5 vertebral
upper endplate to its origin ranged from 6.05 to 21.25 mm, with a mean of 12.51+/
3.36 mm. The distance of the 37 venovertebral veins studied from the middle
sacral vein ranged from 0 to 10.6 mm, with a mean of 5.75+/-2.90 mm. The distance
from the iliolumbar vein ranged from 14 to 38 mm, with a mean of 22.65+/-5.44 mm.
The venovertebral vein was found to be closer to the middle sacral vein than to
the iliolumbar vein statistically (P<0.05). CONCLUSIONS: The surgeon performing
transabdominal spine surgery especially at the L4-5 level must remain alert to
the existence of this innominate vein.
PMID- 18046172
TI - The Ponte procedure: posterior only treatment of Scheuermann's kyphosis using
segmental posterior shortening and pedicle screw instrumentation.
AB - STUDY DESIGN: Case series. OBJECTIVE: To examine a consecutive series of
surgically treated Scheuermann kyphosis that had a posterior only procedure with
segmental pedicle screw fixation and segmental Ponte osteotomies. SUMMARY OF
BACKGROUND DATA: The gold standard for surgical treatment of Scheuermann kyphosis
(a rigid kyphosis associated with wedged vertebral bodies occurring in late
childhood or adolescence) has been combined anterior and posterior approach
surgery. Alberto Ponte has advocated a posterior-only procedure with posterior
column shortening via segmental osteotomies, but his procedure has not been
widely accepted owing to concerns that without anterior column support there
would be a risk of correction loss and/or instrumentation failure. With the
advent of improved spinal instrumentation and fixation with thoracic pedicle
screws, the Ponte procedure may offer an advantage over anterior/posterior
reconstruction. METHODS: The study prospectively enrolled 17 consecutive patients
with Scheuermann kyphosis who were treated with the Ponte procedure by the senior
surgeon at one institution. Standardized radiographic analysis was performed and
included full-length coronal and sagittal radiographs preoperatively,
postoperatively, and at final follow-up. Analysis also included the correction
obtained through the most severe, wedged segments of the deformity by the
osteotomies. RESULTS: Seventeen patients had the Ponte procedure satisfactorily
performed. No patient needed an anterior approach to achieve sufficient
correction or fusion. There were no reoperations for nonunion or instrumentation
failure. Correction of the instrumented levels was 61% and of worst Cobb was mean
49%. The apex of the deformity was measured over the most deformed 3 to 7 wedged
segments. The average correction across the apex was 9.3 degrees per osteotomy
(range 5.9 to 15). No patient lost more than 4 degrees of correction through
their instrumented and fused levels. There were no neurologic complications.
There was one late infection with a solid fusion treated with instrumentation
removal and intravenous antibiotics. CONCLUSIONS: Using thoracic pedicle screw
instrumentation as the primary anchor, the Ponte procedure was successfully
performed in 17 consecutive patients for Scheuermann kyphosis with no exclusions
for the size or rigidity of the kyphosis. Results were as good as
anterior/posterior historical controls with excellent correction and minimal loss
of correction at final follow-up. This procedure avoids the morbidity and
extended operative time attributed to the anterior approach. LEVEL OF EVIDENCE:
Therapeutic study, level IV [case series (no, or historical, control group)].
PMID- 18046173
TI - The use of fuzzy logic to select which curves need to be instrumented and fused
in adolescent idiopathic scoliosis: a feasibility study.
AB - Selection of the appropriate curve fusion levels for surgery in adolescent
idiopathic scoliosis (AIS) is a complex and difficult task. Despite numerous
publications on this subject, the decision as to which spinal curve, that is
proximal thoracic, main thoracic or lumbar, needs to be instrumented and included
in the fusion relies mostly on each surgeon's past experience, although recently
published data have revealed a high variability of spinal instrumentation
configurations among spinal surgeons in AIS. This situation exists because of
ambiguity and vagueness in the decision process. Our objective is to capture the
proposed rules for the selection of fusion levels and integrate them in a fuzzy
logic model to decrease haziness and imprecision in the selection process. Two
models have been developed, one for proximal thoracic curves and the other for
lumbar curves. These models were constructed using data from a literature review,
which allowed the extraction of currently proposed rules and their modeling as
inputs in a computer software based on fuzzy logic modeling. Five and four inputs
have been respectively chosen for the proximal thoracic and lumbar model. When
all input values are entered in the model for a specific subject with AIS, the
software calculates the level of suggestion for the indication to perform an
instrumentation and fusion of the high thoracic and/or lumbar curves for this
particular subject. The usefulness of this approach is demonstrated using
illustrative cases. This is the first report on the use of fuzzy logic to assist
the decision-making process in the field of spinal deformity surgery and the
results suggest that this approach may be useful to facilitate surgical planning
in difficult or borderline cases of AIS.
PMID- 18046174
TI - Biomechanical evaluation of an injectable radiopaque polypropylene fumarate
cement for kyphoplasty in a cadaveric osteoporotic vertebral compression fracture
model.
AB - Vertebral compression fractures cause pain, deformity, and disability.
Polypropylene fumarate (PPF) has shown promise as an injectable cement for bone
defects but little is known about its performance for kyphoplasty. The purpose of
this study was to evaluate the biomechanical performance of PPF for kyphoplasty
in simulated anterior compression fractures in cadaveric vertebral bodies. Thirty
one vertebral bodies (T9 to L4) from osteoporotic cadaveric spines were
disarticulated, stripped of soft tissue and compressed on a materials testing
machine to determine pretreatment strength and stiffness. All fractures were
repaired with inflatable balloon tamps and either polymethylmethacrylate or PPF
30 (containing 30% barium sulfate by dry weight) cement and then retested.
Strength restoration with PMMA and PPF-30 were 120% and 104%, respectively, of
the pretreatment strengths. For stiffness, PMMA and PPF-30 restored vertebral
bodies to 69% and 53%, respectively, of the initial values. There was no
significant difference in treatment with either PMMA or PPF-30. The biopolymer
PPF-30 exhibits mechanical properties similar to PMMA in a cadaveric kyphoplasty
model. PPF biopolymer may be a suitable alternative for kyphoplasty.
PMID- 18046175
TI - Vascularized pedicled rib graft: a technique for posterior placement in spinal
reconstruction.
AB - The purpose of this paper is to provide a detailed description of the method to
harvest a vascularized pedicled rib graft, transpose it to the posterior spine,
and to straighten it to facilitate posterior spine fusion in patients undergoing
complex spinal reconstruction.
PMID- 18046176
TI - Iso-C3D fluoroscopy-based navigation in direct pedicle screw fixation of Hangman
fracture: a case report.
AB - Direct pedicle screw fixation of the C2 is rarely performed in trauma owing to
the risk of damage to the neurovascular structures. Computed tomography-based
navigation has the problem of change in intersegmental anatomy after positioning
for surgery. Iso-C-based computer navigation acquires the intraoperative real
time images after patient positioning and thus avoids registration errors and
improves accuracy. A Hangman fracture treated by posterior direct pedicle screw
fixation using Iso-C computer navigation guidance is reported. Postoperative
computed tomographic images confirmed the accurate placement of pedicular screws.
Intraoperative fluoroscopy-based computer navigation is advantageous especially
in an unstable upper cervical spine injury where the likelihood of change in the
intersegmental relationship is maximal before and after positioning for surgery.
The Iso-C navigation has the advantages of clarity and accuracy, making safe
pedicle fixation of C1 and C2 possible despite fractured posterior elements. To
our knowledge, this is the first reported case of displaced Hangman fracture
treated successfully using Iso-C fluoroscopic navigation assisted direct pedicle
screw osteosynthesis in the literature. Intraoperative acquisition of
fluoroscopic images avoids registration-related problems. Three-dimensional
fluoroscopic navigation gives excellent accuracy and safety in screw
instrumentation of Hangman fracture.
PMID- 18046179
TI - Delayed union of a scapular fracture-an unusual cause of persistent shoulder
pain.
AB - INTRODUCTION: Scapular fractures in athletes are rare, although they have been
reported in football and baseball players. Most scapular fractures heal with
nonoperative management; delayed union is rarely a problem. CASE SUMMARY: A 15-yr
old male fell onto his posterior shoulder after he had been pushed into the
boards and then fell to the ice during a hockey check. He was diagnosed with a
rotator cuff injury at an outside facility. Initial radiographs were negative.
The patient was not immobilized, and he continued to play hockey despite
intermittent pain. Seven months later, he presented to our sports medicine
clinic, complaining of an aching pain at the base of his left shoulder.
Examination revealed point tenderness along the base of the scapula, restriction
of shoulder abduction, rhomboid weakness, scapular winging, and anterior
impingement-type shoulder pain. A new radiograph, obtained at our sports medicine
clinic, was nondiagnostic. Magnetic resonance imaging revealed increased signal
on T2, with bone edema at the lateral margin of the scapular neck. A computed
tomography scan revealed an unhealed, left-transverse, subglenoid scapular
fracture. The fracture was nondisplaced. The patient was treated with
transcutaneous electrical stimulation for 6 months and a physical therapy regimen
focusing on periscapular strengthening. A final computed tomography scan, 6
months after initial presentation to our clinic, revealed healing of the
fracture. Examination was normal. The patient was asymptomatic and was able to
fully return to sports without any complications. CONCLUSIONS: Although scapular
fractures in athletes are rare, they may occur, particularly in "contact sports"
that share the energies of injury seen in high-speed motor vehicle collisions.
Early identification and proper management are integral to decrease symptoms and
to avoid protracted disability, particularly in athletes.
PMID- 18046180
TI - Exercise-induced cardiac troponin T release: a meta-analysis.
AB - PURPOSE: Cardiac troponin T (cTnT) is a highly specific marker of myocardial
damage and used clinically in the diagnosis of acute myocardial infarction (AMI).
Release of cTnT has been demonstrated in several small studies after endurance
exercise. The purpose of this study was to explore, using a meta-analytic
approach, the incidence of postexercise cTnT release after endurance exercise.
METHODS: Articles identified via Pubmed, SportDiscus, and Embase (1997-2006)
searches using the key words cardiac troponin T, cTnT, cardiac biomarkers, and
exercise; a search of bibliographies; and consultation with experts in the field
were entered into a random-effects meta-analysis. We identified 26 relevant
studies (1120 cases). Age, gender, and body mass of participants, as well as
exercise mode and duration, were explored as possible moderator variables with
meta-regressions. RESULTS: Postexercise cTnT levels exceeded the assay detection
limit in 47% of participants (95% CI = 39-56%). The detection of postexercise
cTnT after cycling events was approximately half that of running events (27 vs
52%, P = 0.042). The detection of postexercise cTnT decreased slightly as event
duration increased (P = 0.022) and mean body mass decreased (P = 0.0033).
Postexercise detection of cTnT was not affected by age (P= 0.309) and was only
slightly higher for studies with more males in the sample (P = 0.028).
CONCLUSIONS: Exercise-induced cTnT release is apparent in almost half of the
endurance athletes who have been studied to date. Relatively heavy individuals
competing in shorter endurance events, primarily running marathons, are slightly
more likely to demonstrate elevated cTnT postexercise than other athletes. These
data are useful for clinicians evaluating athletes with cTnT elevations after
competitive endurance exercise events.
PMID- 18046181
TI - Fitness, fatness, and cardiovascular risk factors in type 2 diabetes: look ahead
study.
AB - PURPOSE: Most studies comparing the effects of fitness and fatness on
cardiovascular (CVD) risk have been done with young, healthy participants with
low rates of obesity and high levels of fitness. The present study examined the
association of cardiorespiratory fitness and obesity with CVD risk factors in an
ethnically diverse sample of overweight/obese individuals with type 2 diabetes.
METHOD: Baseline data from Look AHEAD, a study of 5145 overweight or obese
individuals with type 2 diabetes, were used to examine the association of BMI
categories (overweight, class I, II, or III obesity) and cardiorespiratory
fitness (assessed with a maximal graded exercise test and categorized by age- and
gender-specific quintiles) on cardiovascular risk factors and on the odds of
having hypertension, hyperlipidemia, or HbA1c > or = 7%. RESULTS: BMI categories
and fitness quintiles were highly associated with each other (P < 0.0001), with
the heaviest participants being the least fit. Only 2-3% of participants had
class III obesity and were in the two fittest quintiles or, conversely, were
overweight and in the two least-fit quintiles. When fitness and BMI were included
in the same model (adjusting for age, smoking, diabetes duration, and race),
HbA1c, ankle/brachial index (ABI), and Framingham risk score were most strongly
associated with fitness. Systolic blood pressure was most strongly associated
with BMI category. Similar results occurred when waist circumference and fitness
were considered together. CONCLUSION: In this large, ethnically diverse sample of
overweight/obese individuals with type 2 diabetes, fitness and fatness were
highly related to each other but seemed to have different impact on specific CVD
risk factors.
PMID- 18046182
TI - Exercise-induced bronchoconstriction in athletes-should we screen?
AB - The fact that exercise-induced bronchoconstriction (EIB) may be both over- and
underdiagnosed in athletes has led to calls for widespread screening for the
condition. This article assesses such a strategy by employing the standard
framework used when evaluating any screening policy. This approach highlights a
number of concerns and allows recommendations to help optimize the success of
such a strategy if it were to be implemented.
PMID- 18046183
TI - Perivascular fat alters reactivity of coronary artery: effects of diet and
exercise.
AB - Perivascular adipose tissue (PAT) has been reported to blunt agonist-induced
arterial tone via a relaxing factor acting in a paracrine manner. The purpose of
this study was to test the hypothesis that PAT of porcine coronary artery blunts
constriction similarly and that this anticontractile effect of PAT is altered by
diet and/or exercise training. METHODS: Fourteen adult male pigs were fed a
normal-fat (NF) diet, and 10 adult male pigs were fed a high-fat/cholesterol (HF)
diet. Four weeks after the initiation of diet, pigs were exercised (EX) or
remained sedentary (SED) for 16 wk, yielding four groups: 1) NF-SED, 2) NF-EX, 3)
HF-SED, and 4) HF-EX. Left circumflex coronary artery (LCX) rings were prepared
with PAT left intact or removed. LCX reactivity to acetylcholine (ACh),
endothelin (ET-1), bradykinin (BK), and sodium nitroprusside (SNP) was assessed
in vitro using standard techniques. RESULTS: The results demonstrate that both
ACh and ET-1 elicited dose-dependent increases in tension from LCX rings from all
groups. Removal of PAT had no significant effect on ACh-induced contractions in
any group. In contrast, removal of PAT increased ET-1-induced tension in LCX from
NF-SED, HF-SED, and HF-EX but not NF-EX. PAT had no significant effect on
relaxation responses to BK except in HF-EX animals, where removal of PAT
increased BK-induced relaxation. PAT removal decreased SNP-induced relaxation in
HF-LCX, but not LCX from NF pigs, suggesting basal release of a relaxing factor
LCX from HF pigs. CONCLUSION: PAT blunts contractions induced by ET-1 in LCX from
NF and HF pigs. Whereas EX abolished this effect of PAT in NF pigs, exercise did
not alter the anticontractile effect in HF pigs.
PMID- 18046185
TI - A single session of resistance exercise induces oxidative damage in untrained
men.
AB - PURPOSE: During exercise, the production of reactive oxygen and nitrogen species
significantly increases. The aim of the present study was to investigate the
effects of a single session of resistance exercise on antioxidant capacity,
oxidative damage, and inflammation. METHODS: Muscle biopsies, urine, and blood
samples were collected from seven healthy men before and after a single bout of
resistance exercise. RESULTS: A single session of resistance exercise was found
to induce oxidative damage, as shown by a 40% increase in the concentration of
urinary F2alpha-isoprostanes (P < 0.05). Total antioxidant capacity of plasma
increased 16% (P < 0.05). This increase seemed to be predominantly attributable
to an increase in plasma uric acid concentrations of 53% (P < 0.05). Similar to
uric acid, but to a relatively much smaller extent, vitamin C and vitamin E
levels in plasma were also elevated (P < 0.05). Moreover, the erythrocyte
glutathione (GSH) [corrected] concentration increased 47% during exercise (P <
0.05). Also in skeletal muscle, uric acid levels were found to increase after
exercise (P < 0.05). Moreover, 30 min after exercise, skeletal muscle glutathione
S-transferase (GST) and glutathione reductase activity increased 28 and 42%,
respectively (P < 0.05). Skeletal muscle reduced GSH [corrected] and GSH
[corrected] disulphide (GSSG) concentrations were not affected by exercise. The
Nuclear Factor kappa B (NF-kappaB) activity in peripheral blood mononuclear cells
(PBMC) was not increased by exercise, indicating that a NF-kappaB-mediated
inflammatory response does not occur. CONCLUSION: We conclude that a single
session of resistance exercise induces oxidative damage despite an adaptive
increase in antioxidant capacity of blood and skeletal muscle.
PMID- 18046184
TI - Effect of high-frequency resistance exercise on adaptive responses in skeletal
muscle.
AB - PURPOSE: Regulation of skeletal muscle mass is highly dependent on contractile
loading. The purpose of this study was to examine changes in growth factor and
inflammatory pathways following high-frequency resistance training. METHODS:
Using a novel design in which male Sprague-Dawley rats undertook a "stacked"
resistance training protocol designed to generate a summation of transient
exercise-induced signaling responses (four bouts of three sets x 10 repetitions
of squat exercise, separated by 3 h of recovery), we determined the effects of
high training frequency on signaling pathways and transcriptional activity
regulating muscle mass. RESULTS: The stacked training regimen resulted in acute
suppression of insulin-like growth factor 1 mRNA abundance (P < 0.05) and Akt
phosphorylation (P < 0.05), an effect that persisted 48 h after the final
training bout. Conversely, stacked training elicited a coordinated increase in
the expression of tumor necrosis factor alpha, inhibitor kappa B kinase
alpha/beta activity (P < 0.05), and p38 mitogen-activated protein kinase
phosphorylation (P < 0.05) at 3 h after each training bout. In addition, the
stacked series of resistance exercise bouts induced an increase in p70 S6 kinase
phosphorylation 3 h after bouts x3 and x4, independent of the phosphorylation
state of Akt. CONCLUSIONS: Our results indicate that high resistance training
frequency extends the transient activation of inflammatory signaling cascades,
concomitant with persistent suppression of key mediators of anabolic responses.
We provide novel insights into the effects of the timing of exercise-induced
overload and recovery on signal transduction pathways and transcriptional
activity regulating skeletal muscle mass in vivo.
PMID- 18046186
TI - Effect of resistance training on immunological parameters of healthy elderly
women.
AB - PURPOSE: To determine the effect of a 12-month moderate resistance training
program on phenotypic and functional immunological parameters of previously
sedentary, clinically healthy, elderly women. METHODS: A total of 42 clinically
healthy, sedentary females (aged 60-77 yr old) were randomly assigned to either a
moderate-intensity resistance training program or a control group during a 12
month longitudinal, randomized, controlled, intervention study. Resistance
training program consisted of three sets of 12 repetitions at 54.9 +/- 2.4% 1RM
for five different exercises performed three times per week during 12 months.
Natural killer cell cytotoxic activity (NKCA), lymphoproliferative response to
the mitogen phytohemaglutinin (PHA), and quantification of the lymphocytes (CD3,
CD3CD19, CD56) and subpopulations (CD4, CD8, CD56, CD56) as well as cellular
expression molecules (CD25, CD28, CD45RA, CD45RO, CD69, CD95, HLA-DR) were
determined by immunological assays. RESULTS: The experimental group increased
muscle strength in 44% and 48% after 6 and 12 months, respectively (P < 0.05).
There were no statistically significant differences between the groups or
according to the time for quantitative (CD3, CD3CD19, CD56, CD4, CD8, CD45RA,
CD45RO, CD56, CD56, CD95, CD28, CD25, CD69, HLA-DR) and functional immunological
parameters (natural killer cell cytotoxic activity and lymphoproliferative
response). CONCLUSION: A 12-month moderate resistance training program increases
muscle strength, but it does not change immune phenotypic and functional
parameters of previously sedentary, clinically healthy, elderly women.
PMID- 18046187
TI - Substrate source use in older, trained males after decades of endurance training.
AB - PURPOSE: The purpose of this study was to compare substrate source use in older,
long-term exercising, endurance-trained males with sedentary controls. METHODS:
[U-C]palmitate and [6,6-H2]glucose tracers were applied to assess plasma free
fatty acid (FFA) and glucose oxidation rates, and to estimate muscle- and/or
lipoprotein-derived triacylglycerol (TG) and muscle glycogen use. Subjects were
10 long-term exercising, endurance-trained males and 10 sedentary controls (age
57 +/- 1 and 60 +/- 2 yr, respectively). Muscle biopsy samples were collected
before and after exercise to assess muscle fiber type-specific intramyocellular
lipid and glycogen content. RESULTS: During exercise, plasma palmitate Ra, Rd,
and Rox were significantly greater in the trained subjects compared with the
controls (Ra: 0.36 +/- 0.02 and 0.25 +/- 0.02; Rd: 0.36 +/- 0.03 and 0.24 +/-
0.02; Rox: 0.31 +/- 0.02 and 0.20 +/- 0.02 mmol.min, respectively, P < 0.01).
This resulted in greater plasma FFA and total fat oxidation rates in the trained
versus sedentary subjects (P < 0.001). Muscle- and/or lipoprotein-derived TG use
contributed 10 +/- 2 and 11 +/- 3% in the trained and control groups,
respectively (NS). No significant net changes in muscle fiber lipid content were
observed. CONCLUSIONS: Older, endurance-trained males oxidize more fat during
moderate-intensity exercise than do sedentary controls. This greater total fat
oxidation rate is attributed to a higher plasma FFA release, uptake, and
oxidation rate. In contrast, intramyocellular triacylglycerol does not seem to
represent a major substrate source during 1 h of moderate-intensity exercise in
older trained or sedentary men.
PMID- 18046188
TI - Exogenous carbohydrate spares muscle glycogen in men and women during 10 h of
exercise.
AB - PURPOSE: The purpose of the study was to evaluate the effects of carbohydrate
(CHO) supplementation on whole-body and net muscle substrate use during 10 h of
discontinuous exercise, simulating occupational settings in men and women.
METHODOLOGY: Recreationally trained subjects (N = 7 males, N = 6 females)
performed a graded exercise test on a treadmill (TM) and cycle ergometer (CE) to
determine ventilatory threshold (VT) and V O2peak. In a double-blind, randomized
crossover design, subjects received either CHO [20% maltodextrin (0.6 g.kg
FFM.h)] or flavored placebo (PLA) drink each hour across 10 h of exercise.
Exercise intensity was 71.3 +/- 3% and 72.4 +/- 4% VT for TM and CE,
respectively. Hourly exercise included 9 min of upper-body ergometery, 19 min of
cycling, and 20 min of treadmill walking, with a 1-min transition between modes,
followed by a 10-min rest and feeding period. The protocol was selected to
simulate arduous occupational settings. Vastus lateralis biopsies were obtained
before and after exercise. Expired gases were collected every other hour to
establish average rates of whole-body CHO and fat oxidation. Blood glucose (BG)
was measured continuously. RESULTS: Whole-body CHO oxidation was maintained
during CHO trial compared with the PLA trial. Net muscle glycogen use was 52%
higher for the PLA trial (176.0 +/- 16.7, 117.0 +/- 20.9 and 164.5 +/- 11.0,
133.8 +/- 10.9 mmol.kg w.w. for PLA and CHO, respectively, P < 0.05). There were
no significant sex-specific differences in glycogen use, whole-body substrate
oxidation, or BG values. CONCLUSION: The ingestion of CHO during long-duration
exercise decreases net muscle glycogen use while better maintaining whole-body
carbohydrate oxidation, and potentially increasing performance in field settings.
There are limited differences in sex-specific substrate oxidation.
PMID- 18046189
TI - Comparison of two methods to assess PAEE during six activities in children.
AB - PURPOSE: The purpose of this study was to compare the accuracy of physical
activity energy expenditure (PAEE)-prediction models using accelerometry alone
(ACC) and accelerometry combined with heart rate monitoring (HR+ACC) to estimate
PAEE during six common activities in children (lying, sitting, slow and brisk
walking, hop-scotch, running). Three PAEE-prediction models derived using the
current data, and five previously published prediction models were cross
validated to estimate PAEE in this sample. METHODS: PAEE was assessed using ACC,
HR+ACC, and indirect calorimetry during six activities in 145 children (12.4 +/-
0.2 yr). One ACC and two HR+ACC PAEE-prediction models were derived using linear
regression on data from the current study. These three new models were cross
validated using a jackknife approach, and a modified Bland-Altman method was used
to assess the validity of all eight models. RESULTS: PAEE predictions using the
one ACC and two HR+ACC models derived in the current study correlated strongly
with measured values (RMSE = 97.3-118.0 J.min.kg). All five previously published
models agreed well overall (RMSE = 115.6-245.3 J.min.kg), but systematic error
was present for most of these, to a greater extent for ACC. CONCLUSIONS: ACC and
HR+ACC can both be used to predict overall PAEE during these six activities in
children; however, systematic error was present in all predictions. Although both
ACC and HR+ACC provide accurate predictions of overall PAEE, according to the
activities in this study, PAEE-prediction models using HR+ACC may be more
accurate and widely applicable than those based on accelerometry alone.
PMID- 18046191
TI - Assessment of change in body fat percentage with DXA and eight-electrode BIA in
centrally obese women.
AB - PURPOSE: To compare estimates of change in percent body fat (Delta%BF) between
DXA and BIA8 in abdominally obese women. METHODS: Six-month longitudinal study of
106 women (baseline: age 48.2 +/- 7.6 yr; BMI 30.4 +/- 2.9 kg.m; %BFDXA 45.8 +/-
3.6%) participating in an exercise-oriented behavior-change program (walking and
bicycling). Fatness was measured by DXA and Tanita BC-418 (BIA8). Agreement
between methods was assessed, and regression analysis was used to find predictors
of the deviation between methods for estimating changes in fat mass percentage.
RESULTS: The methods differed significantly, both at baseline and follow-up (-5.0
and -4.4%BF, respectively; both P < 0.001). The mean Delta%BF was -1.1 +/-
2.5%BFDXA and -0.5 +/- 2.2%BFBIA8 (mean difference between methods 0.6 +/-
1.8%BF; P < 0.001; 95% limits of agreement -3.0 to 4.2%BF), with a range of -14.8
to 3.3%BFDXA and -9.4 to 3.5%BFBIA8. Approximately 49% of the variation in the
difference between methods was explained by variations in age (beta = -0.05; P =
0.006), DeltaBMI (beta = 0.98; P < 0.001), and Delta%BFDXA (beta = -0.71; P <
0.001), indicating that the larger the change, the greater the discrepancy
between methods. CONCLUSION: The difference between methods regarding Delta%BF
was statistically significant, but it was of small magnitude. However, with
increasing Delta%BF, increasing discrepancies were observed, implying that the
BIA equipment may have limited validity for detecting larger fat losses. Both
clinicians and researchers may benefit from awareness of this potential
limitation.
PMID- 18046190
TI - Quantifying leisure physical activity and its relation to bone density and
strength.
AB - PURPOSE: Compare three published methods of quantifying physical activity (total
activity, peak strain, and bone-loading exposure (BLE) scores) and identify their
associations with areal bone mineral density (aBMD), volumetric BMD (vBMD), and
bone strength. METHODS: Postmenopausal women (N = 239; mean age: 53.8 yr) from
Iowa (ISU) and California (UCD) completed the Paffenbarger Physical Activity
Questionnaire, which was scored with each method. Dual energy x-ray
absorptiometry assessed aBMD at the spine, hip, and femoral neck, and peripheral
quantitative computed tomography (pQCT) measured vBMD and bone strength
properties at the distal tibia and midshaft femur. RESULTS: UCD women had higher
total activity scores and hours per week of leisure activity. All scoring methods
were correlated with each other. No method was associated with aBMD. Peak strain
score was negatively associated with polar moment of inertia and strength-strain
index at the tibia, and total activity score was positively associated with
cortical area and thickness at the femur. Separating by geographic site, the peak
strain and hip BLE scores were negatively associated with pQCT measures at the
tibia and femur among ISU subjects. Among UCD women, no method was significantly
associated with any tibia measure, but total activity score was positively
associated with measures at the femur (P < 0.05 for all associations).
CONCLUSION: Given the significantly greater hours per week of leisure activity
done by UCD subjects, duration may be an important determinant of the effect
physical activity has on bone. The positive association between leisure physical
activity (assessed by the total activity score) and cortical bone measures in
postmenopausal women may indicate a lifestyle factor that can help offset age
related bone loss.
PMID- 18046192
TI - Biodynamics. Influence of gender, power, and hand position on pelvic motion
during seated cycling.
AB - INTRODUCTION/PURPOSE: An understanding of normal pelvic motion during seated
cycling is relevant to saddle design and bicycle fitting. In this study, we
investigated the effects of gender, power, and hand position on pelvic motion
throughout a pedal stroke. We also investigated whether anthropometric factors
could explain any interindividual differences observed. METHODS: Twelve
experienced male and 14 experienced female cyclists participated. Each subject
was custom fitted to a stationary bicycle and then rode the bicycle at three
power outputs (100, 150, and 200 W), with their hands in the tops and drops
position. The kinematics of a triad of motion-capture markers, located on
posterior pelvic landmarks, were used to characterize pelvic motion. RESULTS: The
largest angular excursions were observed in the nonsagittal planes, with the
pelvis rotating internally (approximately 3 degrees ) and rolling laterally (
approximately 2 degrees ) toward the downstroke. These pelvic rotations caused
the hip on the downstroke side to translate anteriorly and inferiorly. Compared
with males, females exhibited greater average anterior pelvic tilt in the drops
hand position (males: 21 +/- 3 degrees ; females: 24 +/- 4 degrees ; P = 0.036).
Interindividual differences in pelvic motion could not be independently explained
by measures of ischial tuberosity width or hamstring flexibility. However,
average anterior pelvic tilt was negatively correlated with lumbar flexibility
among the males (r = 0.75; P = 0.024), suggesting that this may be an important
factor to consider in bicycle fitting. CONCLUSIONS: We observed substantial
pelvic motion during seated cycling, with experienced female road cyclists
exhibiting greater average anterior tilt than their male counterparts. Pelvic
motion seems to arise naturally during seated cycling and should be considered
when designing saddles and establishing bicycle-fitting procedures.
PMID- 18046193
TI - Biodynamics. Effect of pacing strategy on energy expenditure during a 1500-m
cycling time trial.
AB - PURPOSE: A critical assumption in modeling optimal pacing strategy is that the
amount of anaerobic energy that can be produced during a time trial is a constant
value, independent of pacing strategy. To test this assumption, the effect of
manipulations of pacing strategy on anaerobic work produced during a 1500-m
cycling time trial was studied. Additionally, the effect of pacing strategy on
aerobic and total work was studied. METHODS: Nine well-trained cyclists performed
three 1500-m cycle ergometer time trials with different strategies (conservative
(SUB), even paced (EVEN), and aggressive (SUPRA)). Anaerobic work, aerobic work,
and total work were calculated on the basis of V O2, RER, gross efficiency, and
external power output. RESULTS: ANOVA showed that total anaerobic work did not
differ per strategy (EVEN: 27,604 +/- 1103 J, SUB: 26,495 +/- 1958 J, and SUPRA:
26,949 +/- 2062 J). No differences in aerobic work (EVEN: 28,266 +/- 1623 J,SUB:
27,950 +/- 1418 J, SUPRA: 27,844 +/- 1965 J) were evident, either. Subjects were
able to accomplish significantly (P < 0.05) more total work during EVEN (55,870
+/- 2245 J) than during SUB and SUPRA (54,444 +/- 2306 and 54,794 +/- 2402 J,
respectively). CONCLUSION: No difference in anaerobic and aerobic work was found
per pacing strategy. Though relevant for sports performance, the differences in
total work were relatively small (approximately 2%), considering the broad range
of imposed strategies. The assumption that anaerobic work is a constant value,
independent of pacing strategy, seems valid in the range of different strategies
that are currently simulated in the energy flow models.
PMID- 18046194
TI - Physical fitness and performance. Fatigue responses during repeated sprints
matched for initial mechanical output.
AB - PURPOSE: To compare muscle fatigability during two sets of repeated cycling
sprints matched for initial mechanical output in a nonfatigued and fatigued
state. METHODS: Eight young men performed 10, 6-s all-out sprints on a cycle
ergometer interspersed with 30 s of recovery, followed, after 6 min of passive
recovery, by five 6-s sprints, again interspersed by 30 s of recovery. RESULTS:
On the basis of total work (TW), performance in sprint 11 (79.8 +/- 4.8 J.kg) was
not significantly different to performance in sprint 4 (80.3 +/- 5.3 J.kg; P =
0.81). The decrease in TW for the five sprints after sprint 4 (i.e., sprints 4 to
8) averaged 14.5% (P < 0.001), which was significantly less than the decrement in
TW from sprints 11 to 15 (20.3%; P < 0.05). Despite no significant differences in
TW values achieved in sprints 4 and 11, the amplitude of the electromyogram (EMG)
signal (i.e., root mean square (RMS)) recorded during sprint 11 (0.398 +/- 0.03
V) was 12.0% lower (P < 0.05) than in sprint 4 (0.452 +/- 0.02 V). In contrast,
values of EMG median frequency (MF) recorded during sprint 4 (85.5 +/- 5.5 Hz)
and 11 (89.3 +/- 7.2 Hz) were not significantly different (P = 0.33). However,
the rate of decrease in EMG activity (i.e., RMS and MF) was similar for the two
set of sprints. CONCLUSIONS: These findings suggest that previous fatiguing
repeated-sprint exercise, followed by a rest period, induces greater fatigability
during subsequent repeated-sprint exercise, regardless of the initial mechanical
output, and that these changes are associated with acute neuromuscular
adjustments.
PMID- 18046195
TI - Physical fitness and performance. Training for old age: production functions for
the aerobic exercise inputs.
AB - PURPOSE: This paper attempts to develop production functions (PF) between aerobic
exercise inputs and long-run health outputs. Future studies could use such PF for
estimating the benefits and costs (broadly defined) of different exercise
programs to help develop optimal (utility maximizing) ones. METHODS: To develop
the PF, the paper reviewed the biomedical literature for the major dose-response
relations between health, physical fitness, and exercise. Where relevant, the
paper converted the dose-response relationships from relative risks to absolute
probabilities and standardized terminology and units of measures. RESULTS: The
paper develops a clear set of biological PF that illustrate, quantitatively, how
increases in peak cardiorespiratory (CR) fitness as measured by a short stress
test reduce the probability of all-cause mortality; how increasing intensities of
short (approximately 30 min, three to five times a week) exercise sessions
increase peak CR fitness or retard its age-related decline; and how consistent
exercise reduces the risk of myocardial infarctions (MI). CONCLUSIONS: The
exercise-long-run health PF developed in this paper should provide a useful
framework for other studies to estimate the broadly defined costs and benefits of
different exercise programs and to help develop optimal ones.
PMID- 18046196
TI - Physical fitness and performance. Cardiorespiratory fitness in girls-change from
middle to high school.
AB - PURPOSE: To determine how factors are related to change in cardiorespiratory
fitness (CRF) across time in middle school girls followed through high school.
METHODS: Adolescent girls (N = 274, 59% African American, baseline age = 13.6 +/-
0.6 yr) performed a submaximal fitness test (PWC170) in 8th, 9th, and 12th
grades. Height, weight, sports participation, and physical activity were also
measured. Moderate-to-vigorous physical activity (MVPA) and vigorous physical
activity (VPA) were determined by the number of blocks reported on the 3-Day
Physical Activity Recall (3DPAR). Individual differences and developmental change
in CRF were assessed simultaneously by calculating individual growth curves for
each participant, using growth curve modeling. RESULTS: Both weight-relative and
absolute CRF increased from 8th to 9th grade and decreased from 9th to 12th
grade. On average, girls lost 0.16 kg.m.min.kg.yr in weight-relative PWC170
scores (P < 0.01) and gained 10.3 kg.m.min.yr in absolute PWC170 scores. Girls
reporting two or more blocks of MVPA or one or more blocks of VPA at baseline
showed an average increase in PWC170 scores of 0.40-0.52 kg.m.min.kg.yr (weight
relative) and 22-28 kg.m.min.yr (absolute) in CRF. In weight-relative models,
girls with higher BMI showed lower CRF (approximately 0.37 g.m.min.kg.yr), but
this was not shown in absolute models. In absolute models, white girls
(approximately 40 kg.m.min.yr) and sport participants (approximately 28
kg.m.min.yr) showed an increase in CRF over time. CONCLUSION: Although there were
fluctuations in PWC170 scores across time, average scores decreased during 4 yr.
Physical activity was related to change in CRF over time; BMI, race, and sport
participation were also important factors related to change over time in CRF
(depending on expression of CRF-weight-relative vs absolute). Subsequent research
should focus on explaining the complex longitudinal interactions between CRF,
physical activity, race, BMI, and sports participation.
PMID- 18046197
TI - Physical fitness and performance. Body composition by DEXA and its association
with physical fitness in 140 conscripts.
AB - PURPOSE: The aim of the study was to determine the body composition of healthy
young men and to investigate whether there exists an association between body
composition and physical fitness. MATERIALS AND METHODS: A prospective cohort
study of 140 healthy male conscripts (mean age 19.8yr, SD 1.0 yr) was conducted.
We examined subject characteristics, aerobic performance, and muscle strength,
and we assessed body composition using dual-energy x-ray absorptiometry (DEXA).
The association between total body composition and lower-limb composition and
physical performance was investigated by linear regression. RESULTS: The mean
body mass index (BMI) of the subjects was 24.6 kg.m (SD 4.7). Their mean bone
mineral density (BMD) was 1.3 g.cm (SD 0.1), fat percentage (fat%) 22.6 (SD 9.7),
lean mass 57.6 kg (SD 7.0), and bone mass 3.2 kg (SD 0.4) at the beginning of the
military service. Fat percentage was significantly associated with 12-min running
performance (P < 0.001), and a significant relationship was found between muscle
strength and fat% (P< 0.001), and increasing BMD (P < 0.001), in multivariate
analysis. Lean mass was not associated with physical performance. CONCLUSIONS:
DEXA measurement of the proportion of body fat is a useful tool to indirectly
assess running performance and muscle strength in Finnish male conscripts.
Increased fat mass and fat percentage were strong predictors of poorer physical
fitness. Moreover, the relationship between muscle strength and BMD was
confirmed. However, muscle mass did not predict muscle strength in our sample.
PMID- 18046198
TI - Psychobiology and behavioral strategies. Physical activity, sport participation,
and suicidal behavior: U.S. high school students.
AB - PURPOSE: To evaluate the associations of physical activity and sports team
participation with suicidal behavior among U.S. high school students. METHODS:
Data were from the 2003 Youth Risk Behavior Survey (N = 10,530 respondents).
Exposure variables included physical activity (inactive, insufficient, moderately
intensive, regular vigorously intensive, and frequent vigorously intensive) and
sports team participation. Outcome variables were suicide ideation (seriously
considering and/or planning suicide) and suicide attempts. Hierarchical logistic
regressions were run, controlling for age, race, smoking, alcohol use, drug use,
geographic region, unhealthy weight-control practices, and body mass index/weight
perceptions. RESULTS: Compared with inactive students or sports team
nonparticipants, the odds of suicide ideation were lower among boys reporting
frequent vigorous-intensity physical activity (adjusted odds ratio (AOR) = 0.48;
95% confidence interval (CI) = 0.29, 0.79) and sports team participation,
respectively (AOR = 0.65; 95% CI = 0.48, 0.86). The odds of suicide attempts were
also lower among frequently vigorously active boys (AOR = 0.44; 95% CI = 0.21,
0.96) and sports team participants (AOR = 0.61; 95% CI = 0.40, 0.93). The odds of
suicide attempts were lower for regular vigorously active girls compared with
inactive girls (AOR = 0.67; 95% CI = 0.45, 0.99) and sports team participants
compared with nonparticipants (AOR = 0.73; 95% CI = 0.57, 0.94). Associations
with one exposure variable generally weakened when adjustment was made for the
other exposure variable, or for feeling sad and hopeless. CONCLUSIONS: The
association of physical activity and sports team participation with suicide
ideation and suicide attempts varied by sex. Further research is needed to
clarify these different associations.
PMID- 18046200
TI - Women and heart disease: what's new?
AB - Find out by reading this analysis of the new AHA guidelines for assessing and
managing risk.
PMID- 18046201
TI - Patient-teaching for cardiac nurses.
AB - These sites offer a wide variety of materials. Here's just a sampling.
PMID- 18046202
TI - Identifying second-degree atrioventricular block (type I).
PMID- 18046203
TI - The natural art of networking.
PMID- 18046204
TI - NAON Foundation...are you lighting the fire?
PMID- 18046205
TI - Kyphoplasty. A treatment for osteoporotic vertebral compression fractures.
AB - Patients experience more than 700,000 osteoporotic vertebral compression
fractures each year in the United States, primarily because of bone brittleness
and the inability of the vertebrae to resist increased forces applied to them.
Patients diagnosed with this type of fracture are given the option of
conservative or operative treatment approaches. Although a typical compression
fracture generally heals in 6 to 12 weeks, patients may be offered the
kyphoplasty procedure, which reduces the fracture and stabilizes it with cement.
Although this procedure is not without risk, it is deemed a safe and effective
treatment option. This article reviews the indications, implications, and care
provided to patients pursuing kyphoplasty after osteoporotic vertebral
compression fracture.
PMID- 18046207
TI - Congenital coxa vara deformity.
PMID- 18046209
TI - Delirium in the orthopaedic patient.
AB - Published incidence of delirium in orthopaedic patients ranges from 5.1% to 61%.
Delirium may present before or after the patient undergoes the surgical procedure
and has demonstrated increased risk, including mortality. Yet, delirium goes
unrecognized by both physicians and nurses. This article focuses on the
literature on delirium in the orthopaedic patient, including incidence, how to
identify those patients at risk, patient outcomes, nonpharmacological and
pharmacological interventions, and provides an example of how one tertiary care
hospital implemented a prevention and management program of delirium in
orthopaedic patients.
PMID- 18046211
TI - Motivating an intergenerational workforce: scenarios for success.
AB - Although much has been written about the challenge of having four generations in
the workplace simultaneously, problems of conflict, misunderstanding, and
divisiveness continue. This article provides a snapshot of each generation as
context. A series of scenarios based on Maslow's Hierarchy of Needs are then
presented with insights into how each generation might approach the situation,
along with hints for successfully managing toward positive outcomes. The expected
outcome is a technique for each generation to look at workplace situations from
all perspectives.
PMID- 18046213
TI - Postoperative benefits of intrathecal injection for patients undergoing total
knee arthroplasty.
AB - PURPOSE: The purpose of the study was to compare postoperative outcomes in
patients undergoing total knee replacement via general anesthesia with patients
receiving an intrathecal injection for anesthesia. DESIGN: A two-group comparison
design was selected to differentiate pain control, ambulation distance, and
length of hospital stay in total knee arthroplasty patients. SAMPLE: A random
sample of 85 patients receiving a total knee arthroplasty by the same surgeon
were selected. Forty-four patients received general anesthesia, and 41 patients
received an intrathecal injection. FINDINGS: The overall effect of pain control
was greater in patients with intrathecal injections up to 48 hours after arrival
on the orthopedic unit. Ambulation distance was greater for intrathecal patients
for the first three therapy sessions. IMPLICATIONS FOR NURSING PRACTICE: The use
of an intrathecal injection for pain management changes postoperative nursing
care planning and interventions. Improved pain control results in more alert and
responsive patients and earlier ambulation. Patient teaching for prevention of
complications and self-care postdischarge can begin earlier.
PMID- 18046214
TI - The frail elderly: a matter of caring.
AB - Nurses caring for patients with orthopaedic problems often encounter elderly
patients in their caseload. These patients have variable levels of functioning;
some may be frail and, therefore, require greater assistance and possibly more
time with their care. This loss of function and need for more assistance
increases their vulnerability and creates challenges for nurses and other
healthcare providers who are delivering patient care. This article offers a brief
description of the frail elderly and examines their increasing vulnerability and
their need for additional protection, preserving their dignity and respecting
their autonomy, and how caring helps to guide nurses in addressing the special
needs of this particularly vulnerable patient population. Sensitivity, patience,
trust, compassion, and clinical competence matter when caring for the frail
elderly.
PMID- 18046215
TI - Heparin-induced thrombocytopenia.
AB - Each year, 25% of all hospitalized patients are affected by venous
thromboembolism, a disease comprising deep vein thrombosis and pulmonary
embolism, that is responsible for up to 10% of all inpatient deaths (Alikhan,
Peters, Wilmott, Cohen, 2004). Used in both the treatment and the prevention of
venous thromboembolism, heparin is administered to more than 12 million patients
each year in the United States, making it one of the most widely prescribed
medications and the leading intravenous anticoagulant. Heparin-induced
thrombocytopenia is an antibody-mediated adverse drug reaction to heparin therapy
with potentially life-threatening complications. This article discusses the
pathogenesis, diagnosis, and treatment of patients with heparin-induced
thrombocytopenia.
PMID- 18046218
TI - Avastin versus Lucentis: ethical issues in treatment of age-related macular
degeneration.
PMID- 18046219
TI - Cheap shots and pricey propositions: evidence, disclosure, and treatment of age
related macular degeneration.
PMID- 18046220
TI - The natural history of pigment epithelial detachment associated with central
serous chorioretinopathy.
AB - PURPOSE: To determine the visual outcome of retinal pigment epithelial detachment
(PED) associated with central serous chorioretinopathy (CSC), to further
characterize the natural history of these lesions, and to provide a review of
previous reports. PARTICIPANTS: Data for 340 consecutive patients with a
diagnosis of CSC from January 2001 through December 2004 were retrospectively
reviewed. Patients with CSC combined with angiographic evidence of PED were
included in the study. MAIN OUTCOME MEASURES: Age, sex, use of corticosteroid
medications, presenting visual acuity, and final visual acuity were recorded.
Lesion characteristics including location, number of lesions, and laterality were
recorded. Clinical outcome measures included resolution or persistence of the
PED, progression to retinal pigmentary atrophy, or development of choroidal
neovascularization. Fluorescein angiograms were obtained if available. RESULTS:
Thirty-four (9%) of 319 patients with angiographic evidence of CSC were diagnosed
with PED over a mean follow-up of 49 months (range, 12-165 months; median, 36
months). Mean age of the patients was 47 years (range, 32-69 years; median, 48
years), most of whom were males (68%). Mean initial visual acuity was 20/32
(range, 20/13 to 20/400; median, 20/30). PEDs were commonly unilateral (88%),
unifocal (76%), and extrafoveal (82%). Overall mean final visual acuity was 20/25
(range, 20/13 to 20/250; median, 20/25) for all patients. Mean visual acuity for
the group of patients with subfoveal PED (18%) was 20/50 (range, 20/25 to 20/250;
median, 20/50). Complete resolution of the PED occurred in 65% of patients, with
resultant mean visual acuity of 20/25 (range, 20/13 to 20/250; median, 20/25). In
this group, localized retinal pigment epithelial atrophy developed in 86%, with
mean final visual acuity of 20/25 (range, 20/13 to 20/250; median, 20/25).
Persistent PED was observed in 35% of patients, resulting in mean visual acuity
of 20/25. There were no cases of choroidal neovascularization. CONCLUSIONS: CSC
with associated retinal PED may be seen and generally has a good visual
prognosis. The most frequent outcome is resolution with retinal pigment
epithelial atrophy. Subfoveal PED occurs less commonly and may have a poorer
visual prognosis.
PMID- 18046221
TI - Multifocal choroiditis with panuveitis and punctate inner choroidopathy:
comparison of clinical characteristics at presentation.
AB - PURPOSE: To compare the clinical characteristics at presentation of multifocal
choroiditis with panuveitis (MFCPU) and punctate inner choroidopathy (PIC).
METHODS: A cross-sectional study of 66 patients (122 eyes) with MFCPU and 13
patients (22 eyes) with PIC was carried out. Diagnosis was based solely on
retinal morphology. Demographic information, visual acuity at presentation, and
presence of intraocular inflammation, choroidal neovascularization (CNV), and
structural complications of intraocular inflammation (including cataract, cystoid
macular edema [CME], and epiretinal membrane [ERM]) were compared for the two
groups. RESULTS: The median ages at presentation of patients with MFCPU and PIC
were 45 years and 29 years, respectively (P = 0.007). At presentation, patients
with MFCPU had a higher frequency of structural complications, such as cataract
(31.6%), CME (13.6%), and ERM (4.6%). Patients with PIC had none of these
complications. Although CNV occurred more frequently in patients with PIC (PIC,
76.9%; MFCPU, 27.7%; P = 0.002), those with MFCPU were more likely to have
bilateral visual impairment of 20/50 or worse (MFCPU, 20%; PIC, 0; P = 0.03).
CONCLUSION: PIC and MFCPU appeared to have different clinical characteristics at
presentation. Patients with PIC had a higher frequency of CNV at presentation but
lower frequencies of structural complications from intraocular inflammation and a
lower frequency of visual impairment at presentation.
PMID- 18046222
TI - Intravitreal bevacizumab (avastin) injection as primary treatment of inflammatory
choroidal neovascularization.
AB - OBJECTIVE: To assess the effects of intravitreal bevacizumab injection as primary
treatment of inflammatory choroidal neovascularization (CNV). METHODS: Data for
nine consecutive patients with newly diagnosed inflammatory CNV who were treated
with intravitreal bevacizumab (1.25 mg) injection were reviewed retrospectively.
Main outcome measures were best-corrected visual acuity, foveal thickness
measured by optical coherence tomography (OCT), and complete resolution of CNV.
RESULTS: CNV resolved completely in 9 (100%) of 9 affected eyes. At the last
examination, visual acuity was improved in 8 eyes (88.8%), stable in 1 (11.2%),
and worse in 0. Over a mean follow-up of 7.1 months (range, 6-10 months), 7 eyes
received 1 injection, 1 eye developed CNV recurrence and required a second
injection, and 1 eye required a third injection. Foveal thickness by OCT
decreased significantly (P = 0.049) after treatment. CONCLUSION: In this small
case series of eyes with limited follow-up, intravitreal bevacizumab injection
for treatment of inflammatory CNV was found to be safe and was associated with
favorable visual outcomes for both subfoveal and juxtafoveal or extrafoveal
inflammatory CNV.
PMID- 18046223
TI - Intravitreal bevacizumab (avastin) injection alone or combined with triamcinolone
versus macular photocoagulation as primary treatment of diabetic macular edema.
AB - PURPOSE: To report the efficacy of a single intravitreal bevacizumab injection
alone or in combination with intravitreal triamcinolone acetonide versus macular
laser photocoagulation (MPC) as primary treatment of diabetic macular edema
(DME). METHODS: In this randomized, three-arm clinical trial, 103 eyes of 97
patients with clinically significant DME and no previous treatment were enrolled.
The eyes were randomly assigned to one of three study arms: the intravitreal
bevacizumab (IVB) group, patients who received 1.25 mg of intravitreal
bevacizumab (37 eyes); the IVB/IVT group, patients who received 1.25 mg of
intravitreal bevacizumab and 2 mg of intravitreal triamcinolone (33 eyes); and
the MPC group, patients who underwent focal or modified grid laser (33 eyes).
Primary outcome measure was change in visual acuity. RESULTS: Visual acuity
changes +/- SD at 12 weeks were -0.22 +/- 0.23, -0.13 +/- 0.31, and + 0.08 +/-
0.31 logarithm of the minimal angle of resolution in the IVB, IVB/IVT, and MPC
groups, respectively. The marginal regression model based on generalized
estimating equation analysis demonstrated that the visual acuity changes in the
groups were statistically significant at both 6 weeks (P < 0.0001) and 12 weeks
(P = 0.024). The significant treatment effect was demonstrated at both 6 weeks
and 12 weeks in the IVB group and only at 6 weeks in the IVB/IVT group.
Significant central macular thickness (CMT) reduction was observed in eyes in the
IVB and IVB/IVT groups only up to 6 weeks; however, CMT changes were not
significant in the groups. CONCLUSION: Up to 12 weeks, intravitreal bevacizumab
treatment of patients with DME yielded better visual outcome than laser
photocoagulation, although it was not associated with a significant decrease in
CMT. No further beneficial effect of intravitreal triamcinolone could be
demonstrated. Further clinical trials with longer follow-up are required to
evaluate the long-term visual outcomes and complication profiles after primary
treatment with such medications.
PMID- 18046224
TI - Predicted biologic activity of intravitreal bevacizumab.
AB - PURPOSE: To create a time- and dose-dependent mathematical model that compares
the intravitreal vascular endothelial growth factor (VEGF) binding activity of
bevacizumab with that of ranibizumab. METHODS: Intravitreal half-lives and
relative equimolar VEGF binding activities of bevacizumab and ranibizumab were
incorporated into a first-order decay model. Time-dependent bevacizumab
activities (relative to ranibizumab) for different initial doses (1.25 and 2.5
mg) and reinjection intervals (single, weekly, and every 3 days) were calculated
and graphed. RESULTS: Twenty-seven days to 38 days after a single bevacizumab
(1.25 mg) injection, the intravitreal VEGF binding activity would be comparable
with that of ranibizumab at 30 days. Bevacizumab (2.5 mg) injections would need
to be performed every 3 days for 1 month to equal the peak activity after a
ranibizumab injection. DISCUSSION: On the basis of this mathematical model,
intravitreal bevacizumab maintains significant VEGF binding activity for 4 weeks
to 5 weeks. More frequent bevacizumab injections increase the average binding
activity but not to that seen with a single ranibizumab injection.
PMID- 18046225
TI - Micropulsed laser photocoagulation and intravitreal triamcinolone acetonide
injection for the treatment of retinal angiomatous proliferation.
AB - PURPOSE: To investigate visual acuity and fluorescein angiographic outcomes, as
well as adverse events, associated with treatment of retinal angiomatous
proliferation (RAP) with micropulsed laser photocoagulation and intravitreal
triamcinolone acetonide injection. METHODS: In this retrospective,
noncomparative, interventional, consecutive case series, the medical records of
all patients treated for RAP with micropulsed laser photocoagulation (yellow or
green dye; duration, 0.02-0.05 second; power adjusted to achieve a white burn of
moderate intensity at the level of the RAP lesion in the retina) and intravitreal
triamcinolone acetonide (4 mg/0.1 mL) injection between January 2003 and November
2004 were reviewed by one of four retina specialists at a single retina practice.
Main outcome measures were visual acuity, leakage shown by fluorescein
angiography, and adverse events. RESULTS: The study included 14 eyes of 13
patients (8 women and 5 men; median age, 83 years [range, 70-90 years]).
Triamcinolone acetonide injection preceded laser treatment by a median duration
of 7 days (range, 5-16 days) in 8 eyes, was performed on the same day as laser
treatment in 2 eyes, and followed laser treatment by a median duration of 7 days
(range, 7-28 days) in 4 eyes. Eyes were followed a median of 18 months (range, 12
27 months) after treatment with both laser and intravitreal triamcinolone
injection. Compared with pretreatment visual acuity, vision at 12 months and the
last follow-up examination was stable in 5 eyes (36%), improved by >or=2 lines in
6 eyes (43%), and worsened by >or=2 lines in 3 eyes (21%). The median visual
acuity before treatment was 20/200 compared with 20/80 at 3 months after
treatment (P = 0.02), 20/100 at 6 months after treatment (P = 0.16), 20/200 at 12
months after treatment (P = 0.73), and 20/100 (P = 0.63) at the last follow-up
examination. For 13 eyes (93%), fluorescein angiography performed 6 months after
administration of both laser and intravitreal triamcinolone injection
demonstrated resolution of all leakage; one eye was treated with photodynamic
therapy with verteporfin 6 months after treatment for persistent low-grade
leakage. Elevated intraocular pressure (>25 mm Hg) occurred in 2 eyes at 2.5
months and 2 months after intravitreal triamcinolone acetonide injection; both
eyes were treated with transient use of antiglaucoma eyedrops. Seven (78%) of 9
phakic eyes had cataract progression, and 5 of these 7 eyes underwent cataract
extraction during the follow-up period. No other adverse events occurred.
CONCLUSIONS: Micropulsed laser photocoagulation and intravitreal triamcinolone
acetonide injection may be effective and safe treatment for RAP lesions.
PMID- 18046226
TI - Prospective, randomized clinical trial of intravitreal triamcinolone treatment of
neovascular age-related macular degeneration: one-year results.
AB - PURPOSE: To investigate the effect of intravitreal injection of high-dose (20-25
mg) triamcinolone acetonide on minimally classic or occult choroidal
neovascularization (CNV) secondary to age-related macular degeneration (AMD).
METHODS: A prospective, double-masked, placebo-controlled, randomized clinical
trial included 39 eyes with minimally classic or occult CNV secondary to AMD. The
treatment group (21 eyes) received intravitreal injection (20-25 mg) of
triamcinolone acetonide and the control group (18 eyes) received intravitreal
injection (500 mug) of dexamethasone at 6-month intervals. Best-corrected ETDRS
(Early Treatment Diabetic Retinopathy Study) score, contrast sensitivity score,
and central macular volume were measured at 1 month, 3 months, 6 months, and 12
months. RESULTS: Mean baseline best-corrected visual acuity (BCVA [logarithm of
the minimal angle of resolution]) was 0.64 (Snellen equivalent, 20/80) in each
group. At 1 month, 3 months, and 6 months after the injection, neither group had
a significant change in BCVA. At 12 months, mean BCVA +/- SD significantly
decreased to 1.06 +/- 0.34 (Snellen equivalent, 20/200) in the treatment group
(paired t-test, P < 0.001), whereas it was 0.78 +/- 0.52 (Snellen equivalent,
20/125) in the control group (P = 0.23). The difference was marginally
significant (P = 0.06, Student's t-test). All phakic eyes in the treatment group
developed marked cataract progression. CONCLUSIONS: Intravitreal injection of
high-dose triamcinolone had no beneficial effect on eyes with minimally classic
or occult CNV secondary to AMD and was associated with outcomes similar to those
associated with intravitreal injection of dexamethasone, which was used as
placebo.
PMID- 18046227
TI - Optical coherence tomography characteristics of group 2A idiopathic parafoveal
telangiectasis.
AB - PURPOSE: To describe the optical coherence tomography (OCT) characteristics of
patients with group 2A idiopathic parafoveal telangiectasis (IPFT) and to
correlate them with biomicroscopic and fluorescein angiographic (FA) findings
based on Gass and Blodi staging classification for group 2A IPFT. METHODS: Fifty
two eyes of 26 consecutive patients with IPFT underwent biomicroscopic fundus
examination, color fundus photography, FA, and OCT. Main outcome measures were
OCT characteristics and their correlation with biomicroscopy and FA. RESULTS: The
most common OCT findings that help differentiate between stages in group 2A IPFT
are 1) highly reflective dots in the inner retina that correspond with
microvessels seen by FA in Stage 1 (5 eyes [62.5%]); 2) the presence of
hyporeflective intraretinal spaces in the absence of retinal thickening and
highly reflective dots in the retina in Stage 2 (9 [81.8%] and 10 eyes [90.9%],
respectively); 3) in Stage 3, both outer and inner retina exhibit areas of
similar high reflectivity. In addition, the retinal pigment epithelium
(RPE)/choriocapillaris complex is thickened or disrupted as evidenced by an area
of high reflectivity (13 eyes [81.2%]); 4) a highly reflective area nasal or
temporal to the fovea in the inner or outer retinal layers in Stage 4 suggesting
RPE proliferation and migration (13 eyes [100%]); and 5) a fusiform thickening
and duplication of the highly reflective RPE/choriocapillaris complex
corresponding to choroidal neovascularization in Stage 5 (4 eyes [100%]). Our OCT
characteristics correlated well with biomicroscopic and FA findings for Stages 4
and 5. However, the hyporeflective spaces that are evident on OCT could not be
seen clinically at the slit lamp or on FA. In addition, our OCT findings on eyes
with group 2A IPFT Stage 3 have not, to our knowledge, been previously described.
CONCLUSIONS: Optical coherence tomography findings in group 2A IPFT were
characteristic for each stage and may be helpful in making the diagnosis as well
as defining the anatomical staging proposed by Gass and Blodi. Optical coherence
tomography complements biomicroscopic and FA findings in the evaluation of group
2A IPFT.
PMID- 18046228
TI - Foveal dysplasia evident by optical coherence tomography in patients with a
history of retinopathy of prematurity.
AB - PURPOSE: To describe the optical coherence tomography (OCT) findings for patients
with a history of retinopathy of prematurity (ROP). METHODS: Clinical records,
fundus photographs, and OCT findings for consecutive patients aged 8 years and
older who had a history of ROP were reviewed. The main outcome measures were best
corrected visual acuity, central foveal thickness (CFT), macular anatomy, and
foveal contour by OCT. RESULTS: Twenty eyes of 12 patients (median age, 15 years)
were studied. Median gestational age at birth was 25 weeks. Six eyes had received
peripheral retinal ablation for threshold ROP. Median best-corrected visual
acuity was 20/40 (range, 20/20 to counting fingers). CFT was >220 microm in 70%
of eyes and >240 microm in 35% of eyes. In all eyes, foveal contour was abnormal,
with foveal depression either absent (n = 7 [35%]) or shallow (n = 13 [65%]).
Preservation of multiple inner retinal layers within the fovea was seen in 14
eyes (70%). Vitreomacular traction or subretinal fluid was not seen in any eye.
CONCLUSIONS: Anomalies in foveal anatomy by OCT may be a vestige of prematurity,
appear to be independent of prior retinopexy, and can still be associated with
excellent visual acuity.
PMID- 18046229
TI - Foveal thickness and macular volume in patients with oculocutaneous albinism.
AB - PURPOSE: To measure foveal thickness and macular volume in patients with
oculocutaneous albinism (OCA) using optical coherence tomography (OCT) technology
and to evaluate the possible association between albinism type and OCT retinal
findings. METHODOLOGY: The authors measured retinal structures such as foveal
thickness and macular volume of patients and obligate carriers of the various
types of OCA using OCT technology. RESULTS: Participants with OCA (all types
included) have thicker foveas (P = 0.0009) and less macular volume (P = 0.0022)
than the general population. Carriers of mutations leading to OCA (all types
included) have normal foveal thicknesses and higher macular volumes (P = 0.0009)
than the general population. Participants with Hermansky-Pudlak syndrome (HPS)
Type 1 have thicker foveas but less macular volume (P = 0.0468) than the general
population. CONCLUSIONS: Results of this study suggest that patients with OCA
(all types included) and patients with HPS-1 have thicker foveas than the general
population. This may be due to the absence of foveal pit as part of foveal
hypoplasia associated with OCA. Conversely, participants have lower macular
volumes than the general population. This finding is compatible with loss of
retinal nuclear layers in patients with OCA.
PMID- 18046230
TI - Modified external needle drainage of subretinal fluid in primary rhegmatogenous
retinal detachment: a prospective, consecutive, interventional, single-surgeon
study.
AB - PURPOSE: We describe surgical outcomes in a single-surgeon, consecutive series of
patients who received scleral buckle placement for primary retinal detachment
using a modified external needle drainage technique. METHODS: Eighty-two eyes of
80 patients with primary retinal detachment underwent scleral buckle placement
with modified external needle drainage. Preoperative, postoperative, and surgical
data were collected. Regression analysis was used to evaluate the association
between preoperative clinical data and number of surgeries. RESULTS: The retinal
detachment most commonly involved 41% to 50% of the retina. Seventy-six eyes
(92.7%) were repaired after 1 surgical procedure, 98.8%, after 2 procedures, and
100%, after 3 procedures. No preoperative clinical variables were found to be
significantly correlated with the number of surgeries performed. Vision improved
an average of 0.3 logarithm of the minimal angle of resolution or 3 lines of
vision (P < 0.001). One eye (1.2%) developed a localized subretinal hemorrhage at
the drainage site that resolved spontaneously. CONCLUSIONS: The modified external
needle drainage technique used during scleral buckle placement appears to be safe
and effective in patients with primary retinal detachment.
PMID- 18046232
TI - Outcomes of macular hole surgery in patients treated intraoperatively for retinal
breaks and/or lattice degeneration.
AB - PURPOSE: To assess the outcome of macular hole surgery in patients treated
intraoperatively for retinal breaks and/or lattice degeneration. METHODS:
Retrospective review of patients who underwent macular hole surgery from
September 1998 to August 2005. Outcomes in eyes that received intraoperative
endolaser photocoagulation for retinal breaks and/or lattice degeneration were
compared to outcomes in a case-matched control group without retinal breaks or
lattice degeneration. RESULTS: A total of 235 consecutive macular hole surgery
cases were reviewed. Twenty-four eyes from 24 patients received intraoperative
endolaser photocoagulation for retinal breaks and/or lattice degeneration.
Macular hole closure occurred in all case and control eyes without any incidence
of postoperative retinal detachment. Best-corrected visual acuity improvement of
at least three Snellen lines occurred in 100% of case eyes and 92% of control
eyes. CONCLUSION: Outcomes of macular hole surgery in patients with retinal
breaks and/or lattice degeneration are similar to outcomes in the overall
population when these conditions are treated with intraoperative endolaser
photocoagulation. Postoperative retinal detachment does not appear to be
correlated with treated retinal tears and greater attention should focus on
detecting and managing intraoperative breaks. In our hands, routine use panoramic
viewing has replaced indirect ophthalmoscopy, by saving time, and reducing the
risk of contamination.
PMID- 18046231
TI - Fluorescein and indocyanine green angiographies in Susac syndrome.
AB - PURPOSE: Susac syndrome is a rare microangiopathy of the brain, retina, and
cochlea that mainly affects young women. We studied the management of this
disease using retinal fluorescein and indocyanine green angiographies. METHODS:
Retrospective, observational case series of seven patients with Susac syndrome
identified in ophthalmology and internal medicine departments. We reviewed
medical, ophthalmologic, and angiographic records at study enrollment and during
long-term treatment. Mean follow-up was 37 months. Best-corrected visual acuity,
intraocular inflammation score, ophthalmoscopy data, automated perimetry score,
and fluorescein and indocyanine green angiographic features were analyzed with
the results of cerebral magnetic resonance imaging and spinal fluid analysis and
ENT signs. RESULTS: Retinal fluorescein angiography showed focal nonperfused
retinal arterioles with hyperfluorescent walls in all cases. Indocyanine green
angiography showed normal choroidal circulation. Retinal vasculitis was
uncontrolled in a patient treated with steroids. Improvement of retinal arteriole
perfusion occurred during immunosuppressive treatment with cyclophosphamide.
CONCLUSION: Absence of intraocular inflammation and focal, labile nonperfused
retinal arterioles with integrity of choroidal circulation are monomorphic
ophthalmologic features that could immediately suggest the diagnosis of Susac
syndrome. Early ophthalmologic examination aided by retinal fluorescein
angiography could be useful for managing cases of unexplained neurologic symptoms
in women.
PMID- 18046233
TI - Long-term outcomes of macular hole surgery with triamcinolone acetonide-assisted
internal limiting membrane peeling.
AB - PURPOSE: To report long-term anatomical and functional results after pars plana
vitrectomy with internal limiting membrane (ILM) peeling using triamcinolone
acetonide (TA) for idiopathic macular holes. DESIGN: Prospective, consecutive,
interventional case series. METHODS: Ninety-six eyes of 94 patients who underwent
macular hole surgery with TA-assisted ILM peeling were included in the study.
Patients with <12 months of follow-up were excluded. On 82 phakic eyes,
simultaneous phacoemulsification with intraocular lens implantation was
performed. Follow-up examinations included clinical examination, determination of
best-corrected visual acuity, intraocular pressure measurement, optical coherence
tomography, Goldmann perimetry, and static perimetry using the Humphrey visual
field analyzer. The main outcome measures were postoperative visual acuity,
macular hole status, and postoperative complications. RESULTS: The mean follow-up
period was 17 months (range, 12-30 months). TA improved visualization of the ILM,
and the peeled area was seen as an area lacking white specks. All macular holes
successfully closed after primary surgery. Mean visual acuity improved from 0.26
to 1.0 at the final visit (P < 0.0001), with 90 eyes (94%) having improvement of
visual acuity. Postoperative complications included retinal detachment in one
patient and transient intraocular pressure elevation in five patients.
CONCLUSIONS: Although transient intraocular pressure elevation was observed in a
few patients, the use of TA in macular hole surgery may be an effective and safe
technique to facilitate visualization of the ILM and can lead to good long-term
anatomical and functional results.
PMID- 18046234
TI - Quantification of sedimented triamcinolone for intravitreal injection.
AB - PURPOSE: To develop a practical method to concentrate triamcinolone acetonide for
intravitreal injection. METHODS: A protocol using sedimentation was developed to
increase the concentration of triamcinolone acetonide in a 0.1 mL dose. Two
variables were investigated: sedimentation time and initial volume of
triamcinolone acetonide. Predetermined volumes (0.2 mL to 1 mL) of triamcinolone
acetonide were aspirated into tuberculin syringes. Each syringe was placed in a
vertical position for a designated time (0 to 120 minutes). The supernatant was
then discarded to reduce the volume to 0.1 mL. High-performance liquid
chromatography was then used for quantification of the triamcinolone acetonide.
RESULTS: The greatest concentrations of triamcinolone acetonide were seen after
120 minutes of sedimentation. At that time point, the 0.2 mL, 0.3 mL, and 0.5 mL
initial volumes resulted in, respectively, 7.4 mg +/- 0.8 mg (mean +/- SE), 9.8
mg +/- 0.2 mg, and 16.4 mg +/- 0.7 mg triamcinolone acetonide in 0.1 mL. The 1.0
mL initial volume resulted in 25.7 mg +/- 0.9 mg triamcinolone acetonide in 0.1
mL; this was the maximum concentration achieved in the experiment. CONCLUSION:
The authors have developed a simple protocol to use sedimentation to greatly
increase the concentration of triamcinolone acetonide, starting from commercially
available triamcinolone acetonide up to a maximum of 25.7 mg per 0.1 mL (257 +/-
9 mg/mL). This study demonstrates a practical and quantifiable method to increase
triamcinolone concentration for intravitreal injections.
PMID- 18046235
TI - Pharmacokinetics and retinal distribution of ranibizumab, a humanized antibody
fragment directed against VEGF-A, following intravitreal administration in
rabbits.
AB - PURPOSE: Ranibizumab (Lucentis) is a humanized antigen-binding fragment designed
to inhibit all isoforms and active degradation products of vascular endothelial
growth factor A (VEGF-A); it is in clinical development for the treatment of
neovascular age-related macular degeneration (AMD). This study evaluated its
pharmacokinetics (PK) and retinal distribution in rabbits when administered
intravitreally (ITV). METHODS: A total of 27 New Zealand white rabbits received a
single bilateral ITV injection of ranibizumab 625 muicrog/eye (Group 1, n = 24)
or I-labeled ranibizumab 625 microg/eye, 22.5 microCi/eye (Group 2, n = 3).
Ranibizumab concentration was determined in the vitreous, aqueous humor, and
serum up to 60 days postdose by enzyme-linked immunosorbent assay in Group 1.
Group 2 eyes were microautoradiographed on days 1-4. RESULTS: Ranibizumab has a
terminal half-life of 2.9 days in the ocular compartments. Systemic exposure was
low, measuring less than 0.01% of vitreous exposure when comparing AUC0-t values.
Microautoradiography analysis demonstrated that ranibizumab penetrated all
retinal layers, reaching the choriocapillaris on days 1, 2, and 4. CONCLUSIONS:
This study demonstrates that following ITV injection, ranibizumab has a vitreous
half-life of 2.9 days with minimal systemic exposure. Ranibizumab rapidly
penetrates through the retina to reach the choroid, supporting its clinical
development for neovascular AMD.
PMID- 18046236
TI - Scanning laser polarimetry demonstration of retinal nerve fiber layer damage in
human immunodeficiency virus-positive patients without infectious retinitis.
AB - BACKGROUND: To use scanning laser polarimetry with variable corneal compensation
to measure the retinal nerve fiber layer (RNFL) in human immunodeficiency virus
(HIV)-infected patients. METHODS: Three groups were analyzed in a prospective
cohort study from one center. Group A consisted of 52 eyes of HIV-positive
patients whose CD4 cell counts had never fallen below 100/mm (1.0 x 10/L). Group
B included 60 eyes of HIV-positive patients with CD4 cell counts that had fallen
below 100/mm for at least 6 months in their history. Group C consisted of 50 eyes
of HIV-negative patients. None of the eyes had a history of infectious retinitis.
Retinal birefringence imaging studies were performed using a commercially
available scanning laser. Superior, inferior, and ellipse averages as well as
nerve fiber indicator parameters were used for comparison. RESULTS: Group B
significantly differed from group C in all parameters. Group B also differed from
group A in all parameters with the exception of superior average. No difference
was detected between group A and group C. CONCLUSION: Scanning laser polarimetry
demonstrated RNFL thinning in HIV-positive patients without retinitis who had a
history of low CD4 cell counts compared with HIV-positive subjects with high CD4
cell counts and HIV-negative patients.
PMID- 18046237
TI - Outcome of diabetic vitrectomy in Latino population.
AB - PURPOSE: Evaluation of visual acuity and anatomic outcome in Latino patients
undergoing vitrectomy for proliferative diabetic retinopathy, using silicone oil
or gas tamponade. METHODS: Retrospective review of 57 vitrectomies, 42 in Latino
patients, performed by a single surgeon over a 3-year period. RESULTS: Seventy
four percent of vitrectomies were on patients of Latino descent. Sixty-three
percent of eyes had traction retinal detachments involving the macula. Overall,
29% in the Latino group received silicone oil tamponade, while the remainder
received gas tamponade. In the gas treatment group, 16% developed rhegmatogenous
detachments, while no rhegmatogenous detachments occurred in oil-treated eyes.
This difference was not statistically significant. Follow-up ranged from 1 to 4
years (median, 1 year). The change from pre- to postsurgery visual acuity was
calculated for both gas and oil treated groups, showing no statistically
significant difference. Pre- and postsurgery visual acuity median was hand
motions for both. CONCLUSION: There was no statistically significant difference
in final anatomic outcome or visual outcome in Latino eyes undergoing surgery for
severe proliferative diabetic retinopathy. Silicone oil and gas tamponade
appeared to work equally well in this population.
PMID- 18046238
TI - Correlation of the extent and duration of rhegmatogenous retinal detachment with
the expression of matrix metalloproteinases in the vitreous.
AB - BACKGROUND: Investigation of the activity of matrix metalloproteinase (MMP)-2 and
-9 and protein levels of MMP-1, -3, -8, and the tissue inhibitor of MMPs (TIMP)-1
in the vitreous of patients with rhegmatogenous retinal detachment (RRD) and
establishment of potential correlations of MMPs with clinical parameters.
METHODS: Thirty-two vitreous samples from patients with RRD and 9 vitreous
samples from human organ donors (controls) were assayed for MMP-1,-3, -8, and
TIMP-1 levels using enzyme-linked immunosorbent assay and MMP-2 and -9 activity
employing gelatin zymography. RESULTS: MMP-1, MMP-3, proMMP-2, proMMP-9, MMP-9,
and TIMP-1 were higher in vitreous from patients with RRD as compared to organ
donors. Overall, MMPs and TIMPs were differentially expressed in vitreous from
RRD with respect to the duration and extent of RRD. Regression analysis for all
data indicated that a model consisting of MMP-2 and TIMP-1 could estimate the
extent of RRD. CONCLUSION: Levels of MMPs and TIMP-1 studied are elevated in
vitreous during RRD. MMP-2 and TIMP-1 may have a more prominent and persistent
role than other MMPs in the wound healing process of the retina during RRD. A
regression model consisting of MMP-2 and TIMP-1 may prove to be of potential use
in providing information for the evaluation of the extent of RRD.
PMID- 18046239
TI - Safety profile of a taurine containing irrigation solution (AcriProTect) in pars
plana vitrectomy.
AB - PURPOSE: To evaluate the clinical safety of a taurine containing irrigation
solution (AcriProTect) before its routine application in pars plana vitrectomy
(PPV). METHODS: Twenty-five patients who underwent PPV were included in this
prospective interventional clinical study. Standard irrigation solution
containing the addendum 3 mmol/L taurine was used during PPV. Postoperative
follow-up visits included a standard eye examination, corneal thickness
measurements, endothelial cell counts, determination of the foveal thickness by
optical coherence tomography (OCT), and an electrophysiologic examination. For
statistical analysis Wilcoxon test was used. RESULTS: Significant improvement of
visual acuity (VA) was observed at the 3- and 6-month controls (P = 0.024; P =
0.002 for 3 and 6 months, respectively). Endothelial cell counts and corneal
thickness at 3 and 6 months were not significantly different from preoperative
values (P = 0.204; P = 0.126 for endothelial cell count and P = 0.475; P = 0.095
for corneal thickness at 3 and 6 months, respectively). Both scotopic and
photopic Ganzfeld electroretinography and electro-oculography did not show
significant changes during the follow-up. No increase in complication rate was
detected. CONCLUSIONS: The investigation demonstrates a good biocompatibility of
taurine-containing irrigation solution developed for vitrectomy in humans
concomitant with habitually observed good functional outcome.
PMID- 18046240
TI - Comparison of comorbid conditions between neovascular age-related macular
degeneration patients and a control cohort in the medicare population.
AB - PURPOSE: To determine whether comorbidities are more prevalent among individuals
with neovascular age-related macular degeneration (NV-AMD) than individuals
without AMD. METHODS: This 2-year, retrospective, case-control study included
Medicare beneficiaries (standard 5% analytic sample) continuously enrolled from
January 1, 2003, to December 31, 2004, excluding those in managed care plans. The
NV-AMD cohort included individuals >or=65 at baseline with a diagnosis of NV-AMD
in 2003 and 2004. Age-, gender-, and race-matched controls were selected from
those with no AMD. Comparisons were made for 13 general categories of non-eye
related diseases and 18 specific comorbidities based on ICD-9-CM codes. Two-year
prevalence was calculated by condition and cohort; odds ratios and 99% confidence
intervals were calculated (logistic regression). RESULTS: Analyses included
26,057 subjects and an equal number of controls. Nearly all subjects had at least
one comorbidity, and >80% in each cohort had five or more comorbidities across
general disease categories. Prevalence of 7/13 general disease categories
exceeded 50% in both cohorts; rates for 12/13 categories were significantly
higher in those with NV-AMD (P < 0.001). Prevalence of 13/14 non-eye-related and
4/4 eye-related specific comorbidities was significantly higher among NV-AMD
subjects (P < 0.05). A more than 20% greater odds for NV-AMD subjects was noted
for hypertension, hypercholesterolemia, emphysema, chronic obstructive pulmonary
disease, atherosclerosis, arthritis, coronary heart disease, cataract, glaucoma,
and myopia. CONCLUSION: Patients with NV-AMD are significantly more likely to
have comorbidities, many of which could be life-threatening.
PMID- 18046241
TI - Silicone oil removal in pseudophakic and aphakic eyes.
PMID- 18046242
TI - 27-gauge transconjunctival nonvitrectomizing vitreous surgery for epiretinal
membrane removal.
PMID- 18046243
TI - Diagnostic and therapeutic challenges.
PMID- 18046244
TI - Chronic lymphocytic leukemia masquerading as uveitis.
PMID- 18046245
TI - Optical coherence tomography of progressive outer retinal necrosis.
PMID- 18046247
TI - Oxygen solubility in water is highly dependent on temperature.
PMID- 18046248
TI - Optical coherence tomography (OCT) features of fibrovascular pigment epithelial
detachment (PED).
PMID- 18046250
TI - Long-term observation of fundus infrared fluorescence after indocyanine green
(ICG)-assisted vitrectomy.
PMID- 18046251
TI - Oxygenation of intraocular irrigation fluids with an in-line oxygenation system.
PMID- 18046254
TI - Six cases of eccentric macular holes after vitrectomy with peeling of epimacular
proliferation.
PMID- 18046256
TI - Bilateral congenital cholesteatoma.
PMID- 18046257
TI - Magnetic resonance imaging and neuropsychological testing after middle fossa
vestibular schwannoma surgery.
AB - OBJECTIVE: To analyze temporal lobe gliosis and temporal lobe dysfunction after
middle fossa vestibular schwannoma surgery. STUDY DESIGN: Temporal lobe analysis
of a series of cases. SETTING: Tertiary referral center. PATIENTS: Thirty-two
patients after enlarged middle fossa surgery (EMFS) and 20 subjects for control
(preferably husbands/wives). INTERVENTIONS: Magnetic resonance evaluation of the
temporal lobe and neuropsychological testing. MAIN OUTCOME MEASURES: Magnetic
resonance imaging of the temporal lobe 1 year after treatment and
neuropsychological testing (Berliner Amnesia Test [BAT], Boston Naming Test [BT],
Token Test, Beck Depression Inventory, Freiburger Personality Inventory).
RESULTS: Temporal lobe gliosis after EMFS was observed in 22 of 32 analyzed
patients (degree of gliosis: 11, slight; 9, moderate; 2, severe).
Neuropsychological testing of 23 of the 32 previously analyzed patients after
EMFS compared with control subjects (n=20) found only in few subdomains (figural
score, personality test) statistically significant worse test results, but no
major disturbances of the temporal lobe function compared with the control group.
Only one patient with a finding of severe temporal lobe gliosis was proven in the
BAT and BT to have a temporal lobe deficit. CONCLUSION: In a significant number
of patients, temporal lobe gliosis has to be expected after EMFS; however, the
gliosis is only slight or moderate in most of the patients and not associated
with essential functional deficits of the temporal lobe. Nevertheless, the
possibility of a severe temporal lobe gliosis with functional deficits in the BAT
and BT has to be taken into consideration.
PMID- 18046258
TI - Familial clustering of migraine, episodic vertigo, and Meniere's disease.
AB - OBJECTIVE: To evaluate the association between migraine, episodic vertigo, and
Meniere's disease in families. STUDY DESIGN: Clinical report. SETTING: University
Neurotology Clinic. PATIENTS: Index patients identified with Meniere's disease
and migraine and their family members. INTERVENTION: Structured interview to
assess a diagnosis of migraine, episodic vertigo, and Meniere's disease in 6
families. Genotyping was performed on 3 sets of twins to analyze monozygosity or
dizygosity. MAIN OUTCOME MEASURES: Clinical history of migraine, episodic
vertigo, and Meniere's disease. RESULTS: Six index patients and 57 family members
were interviewed either by a senior neurologist in person or over the phone by a
trained study coordinator. An additional 6 family members completed
questionnaires by mail. All 6 index patients had Meniere's disease and migraine.
Twenty-six (41%) of the 63 relatives met International Classification of Headache
Disorders II criteria for migraine headaches. Thirteen (50%) of these 26
experienced migraine with aura. Three others experienced typical aura without
headache. Seventeen (27%) of 63 family members experienced recurrent spells of
spontaneous episodic vertigo. There was one twin pair in each of 3 families; 2
pairs were monozygotic and one was dizygotic. In each twin pair, one twin had
migraine and Meniere's disease, whereas the other experienced migraine and
episodic vertigo without auditory symptoms. CONCLUSION: The frequent association
of episodic vertigo, migraine, and Meniere's disease in closely related
individuals, including identical twins supports the heritability of a migraine
Meniere's syndrome, with variable expression of the individual features of
hearing loss, episodic vertigo, and migraine headaches.
PMID- 18046259
TI - Are stage IV vestibular schwannomas preoperatively different from other stages?
AB - OBJECTIVE: The aim of this study was to focus on the clinical and paraclinical
symptoms of patients suffering from Stage IV vestibular schwannomas (VSs).
PATIENTS: In this prospective study, we included 734 patients who have VS and
candidates for operation. MAIN OUTCOME MEASURES: Patients were classified as
having Stage I, II, III, or IV tumors according to Tos criteria as evaluated by
magnetic resonance imaging. PREOPERATIVE CLINICAL EVALUATION: We recorded the
occurrence of complaints (%) and duration (yr) of hearing loss, tinnitus, and
balance disorder. Preoperative paraclinical evaluation included pure-tone (PTA)
and speech audiometry, auditory brainstem response (ABR) patterns, and vestibular
deficit at videonystamography (VNG). Continuous variables were compared between
Stage IV and other stages using analysis of variance. Qualitative variables
expressed as a percentage of presence were compared between Stage IV and other
stages using percentage comparison. RESULTS: Quantitative Parameters. Patients
with Stage IV VS were significantly younger as compared with patients with other
stages. Stage IV hearing loss was greater compared with other stages at 250 and
500 Hz but smaller at 2,000 and 8,000 Hz. We found no difference in the loss of
PTA between Stage IV and the other stages. Speech discriminancy score was smaller
in Stage IV. The durations of hearing loss, tinnitus, and balance disorders were
similar whatever the tumor stage. Auditory brainstem response patterns showed no
difference in Wave III latency between Stage IV VS and other stages, whereas Wave
V latency and V-I interval were higher in Stage IV. Both ABR threshold and VNG
caloric deficit were higher in Stage IV VS compared with other stages.
Qualitative Parameters. The percentage of patients with Stage IV was lower than
that with Stages II and III. The percentage of men and women was similar in all
stages. The occurrence of hearing loss was similar in all stages, whereas that of
tinnitus was lower in Stage IV compared with Stages I and II. In contrast, the
occurrence of balance disorder was higher in Stage IV compared with all other
stages. CONCLUSION: In clinical and paraclinical manifestation, Stage IV VS is
different from the other stages. The PTA differences may be attributed to the
younger age. Occurrence of clinical symptoms, ABR, and VNG pattern can be
explained by the fact that Stage IV develops rapidly in the vestibular, rather
than the cochlear nerve and by the fact that larger tumors can be cerebellar
compression. This has been confirmed by the higher occurrence of balance
disorders in Stage IV and the lower occurrence of tinnitus with similar hearing
loss in all stages.
PMID- 18046260
TI - The cost and analysis of nonuse of cochlear implants.
AB - OBJECTIVE: Analysis of the cost implications and reasons for nonuse of cochlear
implants in an established cochlear implant unit. STUDY DESIGN: Clinical data
were analyzed retrospectively to construct a table of cochlear implant use over
time to identify nonuse and to suggest the reasons for this. SETTING: Yorkshire
Cochlear Implant Service is a tertiary referral center. PATIENTS: Three hundred
forty consecutively implanted patients from 1990 to 2005. MAIN OUTCOME MEASURES:
Life table analysis showed that most children used their implant (p = 0.7 during
11 yr). However, 11 of 155 children and 2 of 185 adults became nonusers during
the period of study. The 11 children stopped because of age at implant,
educational placement, and family support. Two adults stopped because of
psychological issues and inability to adapt to the signal. Surgical and implant
costs have initial impact, with subsequent years' costs reflecting programming
issues and maintenance. When considering nonuse, there are 2 effects: first, no
more costs are incurred, and second, no more years of use are accumulated. Thus,
nonuse reduces both costs and years. Costs of gaining a year of use as a function
of time showed that there was little financial impact from the 11 children
nonusers. As a ratio of "no nonuse" and observed "nonuse" in children, the ratio
is 1.07 by 13 years of implantation (7%). The adult group was too few to analyze.
CONCLUSION: The nonuse added 7% to the average cost. Retrospective audit
identifies that patient selection by a multidisciplinary team is crucial to
reducing nonuse.
PMID- 18046261
TI - Chronic suppurative otitis media, caloric testing, and rotational chair testing.
AB - OBJECTIVE: To determine the incidence of caloric and rotational chair testing
(ROT) abnormalities in a group of patients with chronic suppurative otitis media
(CSOM) and to correlate caloric test results with ROT. PATIENTS: Twenty-five
patients with CSOM with or without cholesteatoma who were to undergo
tympanomastoid surgery. INTERVENTIONS: Caloric and ROT. MAIN OUTCOME MEASURES:
History of dizziness. Vestibular test abnormalities defined by caloric weakness
(CW), reduced gain, abnormal phase, or asymmetry on ROT. RESULTS: Among the 25
patients, 13 had bilateral CSOM-most with long-standing disease and history of
previous surgical intervention. Of the 25 patients, 19 (76%) demonstrated either
unilateral or bilateral CW. Eighteen (72%) demonstrated abnormalities on ROT.
Eleven patients (44%) had complaints of vertigo/dizziness, although 2 of these
patients had both normal caloric testing and ROT. Unilateral or bilateral CW was
80% accurate in predicting an ROT abnormality, whereas the symptom of
vertigo/dizziness was only 48% accurate in predicting an ROT abnormality.
CONCLUSION: The incidence of CW among CSOM patients in this study was high and
correlated well with abnormalities on ROT. Interestingly, ROT results correlated
better with CW than symptoms of dizziness/vertigo. Although CW findings can be
the result of technical limitations in testing patients with CSOM, ROT
corroboration of these results suggest that they are valid findings.
PMID- 18046262
TI - Titanium CliP piston versus platinum-ribbon Teflon piston: piston and fenestra
size affect air-bone gap.
AB - OBJECTIVE: To analyze if titanium material and a clip attachment to the incus
offer a hearing result advantage over the traditional Teflon piston in stapes
surgery. STUDY DESIGN: Retrospective chart review. SETTING: Subspecialty private
practice. PATIENTS: One hundred seven ears in 97 consecutive patients who had
primary stapes surgery during 2003 to 2005. INTERVENTION: The first 74 ears
received either a Teflon 0.5- or 0.6-mm piston, and the last 33 received a
titanium 0.6-mm piston. MAIN OUTCOME MEASURES: American Academy of Otolaryngology
Head and Neck Surgery guidelines, including 4-frequency pure-tone average air
bone gap and success rate (gap, 10 dB). RESULTS: Mean pure-tone average air-bone
gap for the Teflon 0.6-mm piston (5.1 dB) was significantly smaller than for the
titanium 0.6-mm piston (8.1 dB) and the Teflon 0.5-mm piston (7.5 dB). Success
rate did not differ. Although labeled as 0.6-mm pistons, the Teflon piston
diameter was 10% greater than the titanium piston. Results were comparable among
devices when adjusted for true piston diameter. Results for the titanium piston
were significantly better when the fenestra was no more than 0.05 mm larger than
the piston diameter. CONCLUSION: Comparing 2 pistons designated 0.6 mm in
diameter, the Teflon piston produced better hearing results than the titanium
device. However, actual piston diameter differed between devices that contributed
to the superior results with the larger Teflon piston. In addition, the titanium
piston performed better with a small stapes fenestra diameter that suggests an
advantage for titanium over Teflon in certain conditions. The clip design was
problematic for a few patients.
PMID- 18046264
TI - Providers' perceived barriers to sexually transmitted disease care in 2 large
health maintenance organizations.
AB - GOAL: To identify providers' perceived barriers to sexually transmitted disease
(STD) care in 2 health plans and plan-, clinician-, and patient-level factors
that were associated with these barriers in order to inform quality improvement
interventions. STUDY DESIGN: Surveys were mailed to a stratified sample of 1000
physicians, physician assistants, and nurse practitioners at 2 large health plans
in 1999-2000. Of the 743 (82%) providers who received questionnaires and
responded, data were analyzed from 699 with complete specialty information.
RESULTS: Ninety-five percent of providers identified at least 1 barrier to STD
care. The most commonly cited barriers in both plans related to insufficient time
and staff to address STDs, to counsel patients or manage sex partners, to keep
current with managing high-risk patients, and to monitor patient adherence to
recommendations to abstain from sex or use condoms during treatment. Nurse
practitioners and specialists in obstetrics and gynecology were more likely to
cite these barriers. Providers in staff models were more likely to cite the most
common patient-level barriers. Few cited barriers related to diagnostic and
treatment services. CONCLUSIONS: Interventions in health plans are necessary to
address constraints related to time and staff performing STD related care,
keeping current with managing high-risk patients, and supporting patient
adherence to provider recommendations.
PMID- 18046265
TI - Prevalence of human immunodeficiency virus, Chlamydia trachomatis, and Neisseria
gonorrhoeae and risk factors for sexually transmitted infections among immigrant
female sex workers in Catalonia, Spain.
AB - OBJECTIVES: To determine the prevalence of human immunodeficiency virus (HIV),
Chlamydia trachomatis (CT), and Neisseria gonorrhoeae (NG) among immigrant female
sex workers (FSW) according to their geographic area of origin and identify
possible risk factors independently associated with current infection with CT
and/or NG. STUDY DESIGN: Cross-sectional study of 357 FSW in Catalonia in 2005.
Information on sociodemographic and sex work characteristics, use of alcohol and
drugs, sexual practices, and the use of social and health care services was
collected. Oral fluid and urine samples were collected to determine the
prevalence of HIV and CT/NG, respectively. Factors independently associated with
CT/NG were assessed using multivariate logistic regression models. RESULTS: A
total of 36.4% of women were from Eastern Europe, 34.5% from Latin America, and
29.1% from Africa. Overall CT and NG prevalence were 5.9% [95% confidence
interval (CI): 3.7-8.9] and 0.6% (95% CI: 0.1-2.0), respectively. No differences
were observed by geographic origin. Three African women were HIV positive
(overall HIV prevalence was 0.8%, 95% CI: 0.2-2.4). In multivariate analysis,
younger age and unprotected sex with clients were associated with the presence of
CT/NG. CONCLUSIONS: The prevalence of sexually transmitted infections among FSW
in Catalonia was lower than in other European countries. Even though the
prevalence of HIV was only 0.8%, it could increase in the future given the high
vulnerability of these women and their wide geographic mobility. It is necessary
to continue with the work carried out by nongovernmental organizations (harm
reduction programs, outreach programs, and safe sex workshops) as well as to
facilitate the access to health centers, especially for the youngest women.
PMID- 18046266
TI - [Congenital grouped albinotic spots: a case report].
AB - INTRODUCTION: Case report of congenital grouped albinotic spots. OBSERVATION: A
24-year-old woman was referred for frontal headache related to +1.50
hypermetropia. Visual acuity was 20/20 in both eyes. During routine examination,
fundus examination revealed multiple, irregular white spots involving the
juxtapapillary and peripheral retina in both eyes. Color vision, visual fields,
and electroretinographic findings were normal. Fluorescein angiograms showed
hyperfluorescent spots; indocyanine green (ICG) showed hypofluorescence of these
lesions. CONCLUSION: These albinotic spots are uncommon benign developmental
lesions of the retinal pigment epithelium; they appear to represent focal
thickening of the retinal pigment epithelium (RPE) that is filled with white
material, possibly an abnormal precursor of melanin.
PMID- 18046263
TI - High-risk sexual and drug using behaviors among male injection drug users who
have sex with men in 2 Mexico-US border cities.
AB - OBJECTIVES: The population of Latino men who have sex with men (MSM) and who are
also injection drug users (IDUs) is understudied. We explored risk behaviors of
MSM/IDUs compared with other male IDUs in 2 Mexican border cities. STUDY DESIGN:
In 2005, IDUs who had injected within the previous 30 days were recruited using
respondent-driven sampling (RDS) in Tijuana and Ciudad Juarez. They underwent
antibody testing for HIV, HCV, and syphilis and interviewer-administered surveys.
Men were categorized as MSM if they reported > or =1 lifetime male partners.
Logistic regression was used to compare MSM/IDUs with non-MSM/IDUs. RESULTS: A
third (31%) of 377 male IDUs were categorized as MSM (47% in Tijuana and 13% in
Ciudad Juarez, P <0.01). Combined RDS-adjusted prevalence of HIV and Hepatitis C
was 3% (95% CI: 1, 5) and 96%, (95% CI: 94, 99) respectively, while 17% (95% CI:
2, 36) of MSM and 8% (95% CI: 3, 12) of non-MSM tested positive for syphilis
antibody. In multivariate logistic regression adjusted for site, MSM/IDUs were
more likely than non-MSM/IDUs to have ever used inhalants (OR: 3.4; 95% CI: 1.8,
6.2) or oral tranquilizers (OR: 2.4; 95% CI: 1.3, 4.6), received treatment for a
drug problem (OR:1.9; 95% CI: 1.1, 3.2) shared needles in the last six months
(OR: 2.1; 95% CI: 1.0, 4.2) and also had higher numbers of lifetime female
partners (log-transformed continuous variable, OR: 1.6; 95% CI: 1.2, 2.1).
CONCLUSIONS: In these Mexican cities, the proportion of MSM among male IDUs was
high. Compared with other male IDUs, MSM/IDUs were more likely to engage in
behaviors placing them at risk of acquiring HIV/STIs. Culturally appropriate
interventions targeting Latino MSM/IDUs are warranted.
PMID- 18046267
TI - [A large intraocular foreign body].
AB - INTRODUCTION: Penetrating wounds to the eyeball with penetration of a foreign
body at the posterior segment are often extremely serious for the eye. The
equipment available to extract them can sometimes be inadequate. OBSERVATION: We
report the case of a 38-year-old man hospitalized for a penetrating wound of the
eyeball with a voluminous foreign body incarcerated in the retina. Because of the
size of the foreign body it could not be extracted with the usual forceps. We
describe the assembly put together during the intervention using an intramuscular
needle and 7/0 virgin silk that allowed its extraction. CONCLUSION: This lasso
provides a very effective, easy and nontraumatic grasp of voluminous foreign
bodies in the vitreal cavity.
PMID- 18046268
TI - [Orbital metastasis of liposarcoma].
AB - INTRODUCTION: Liposarcoma, the most common soft tissue sarcoma in adults, rarely
involves the orbit. Primary orbital liposarcomas are extremely rare, with less
than 30 cases previously reported. Metastatic orbital liposarcomas are also
extremely rare, with only a few cases documented in the literature. We report a
new case of an orbital metastatic liposarcoma and review the literature.
OBSERVATION: A 57-year-old man with a history 5 years before of myxoid
liposarcoma on the right calf, completely surgically resected, was admitted for
recent proptosis of the left eye. A computed tomographic scan revealed a
heterogeneously enhancing, left orbital mass measuring 30x23x20 mm. The mass
adhered to the internal medial muscle without extension to the optic nerve. A
biopsy was taken, which showed typical aspects of myxoid liposarcoma. The patient
underwent an orbital left exenteration after first refusing any proposed
treatment. CONCLUSION: Liposarcoma metastatic to the orbit is exceptional. It
should be suspected in a patient with exophthalmia caused by a space-occupying
lesion and a history of liposarcoma.
PMID- 18046269
TI - [Bilateral optic atrophy in a drug addict].
AB - Bilateral optic neuropathy in a young man is suggestive of hereditary or toxic
damage. We describe the case of a 38-year-old man with bilateral optic
neuropathy. His best corrected visual acuity was light perception OD and 20/125
OS. The patient's history revealed an addiction to heroin. Three drugs - heroin,
quinine, and cocaine - were considered as a possible cause, which is discussed
further. We suggest that the condition reported here may be attributed to the use
of heroin. This underlines the importance of meticulous history taking in
diagnosing a young man with bilateral loss of vision.
PMID- 18046271
TI - [Serious corneal complication after ptosis surgery: six case reports].
AB - PURPOSE: To assess the occurrence of serious corneal complications in ptosis
surgery and identify their determining factors. PATIENTS AND METHODS:
Retrospective study conducted on 3,500 patients over 20 years. All the clinical
and etiological types of ptosis were treated in compliance with operative
contraindications. All four techniques of ptosis surgery were performed (anterior
levator resection, the anterior levator aponeurotic approach, Muller's muscle
shortening, and brow suspension). Data regarding serious corneal complications
were collected using hospital patient records. RESULTS: Six serious corneal
complications were noted in four males and two females. Evisceration was
necessary in two cases and prolonged hospital care in four cases, requiring
occasional medial tarsorrhaphy surgery. Complications were observed in malformed
congenital ptosis associated with an oculomotor disorder and in one case of
congenital III paralysis. Young age seems to be a particular risk. Corneal
complications can occur long after initial surgery. Predisposing factors were
noted such as an associated oculomotor disorder, blepharoconjunctivitis, severe
eye dryness, and specific working environments. CONCLUSION: Serious corneal
complications in ptosis surgery are rare. However, a risk may occur long after
initial surgery. Congenital malformation ptosis associated with oculomotor
disorder seems to present a higher risk of corneal complication in combination
with specific predisposing factors.
PMID- 18046270
TI - [Craniofacial approach for orbital tumors and ultrasonic bone cutting].
AB - BACKGROUND: Removal of orbital tumors is a difficult problem. The goal of this
study was to evaluate the advantages of the craniofacial approach to remove such
tumors and to evaluate ultrasonic bone cutting during the procedure. METHOD: The
authors reviewed their experience with 57 tumors of the posterior cavity using
lateral craniofacial and frontal transsinus approaches. Orbital osteotomies were
performed with mechanical instruments or piezoelectric bone surgery as a
minimally invasive surgery. For each case, the quality of bone cutting and soft
tissue damage were evaluated. RESULTS: Craniofacial approaches are simple and
fast. Under the microscope, they provide a good view of the entire posterior
orbital cavity. Using Piezosurgery, the functional results are good with no soft
tissue damage. These advantages balance with the increased operative time
required by ultrasonic bone cutting. CONCLUSION: This study shows the advantages
of craniofacial approaches for removal of posterior orbital tumor. Moreover, the
present preliminary report introduces and demonstrates the utility of
piezoelectric bone surgery in craniofacial approaches for orbital tumors.
PMID- 18046272
TI - [Unilateral keratoconus and mirror image in a pair of monozygotic twins].
AB - We report the first observation to our knowledge of mirror unilateral keratoconus
in monozygotic twins. The observation focuses on monozygotic twins, followed for
5 years. The progression of the refraction, the clinical examination, the video
topographic data, and the Orbscan elevation curves of the twins were collected
and analyzed. For each twin, there was slightly progressive unilateral
keratoconus during that time, located on the right for the first twin and on the
left for the second one. Within the current limits of our diagnostic means, it
appears to be the first case of mirror unilateral keratoconus in monozygotic
twins. Several cases of mirror pathologies have been described, mainly concerning
the renal and dental organs. The appearance of such mirror pathologies may be the
consequence of the rise of a genetic or environmental factor during the
acquisition of the symmetry of the zygote, occurring before its split into two
monozygotic embryos.
PMID- 18046273
TI - [Nd:Yag laser treatment of anterior capsule contraction syndrome after
phacoemulsification].
AB - INTRODUCTION: Anterior capsule contraction syndrome after phacoemulsification is
rare and can compromise visual acuity. Nd:Yag laser capsulotomy is a good
alternative in limited forms of contraction. OBSERVATIONS: We report four cases
of anterior capsule contraction syndrome developed after a mean follow-up of 8
months after phacoemulsification and acrylic intraocular lens implantation.
Nd:Yag laser capsulotomy was performed to help resolve the contraction and its
symptoms. No relapses occurred during the follow-up. CONCLUSION: Nd:Yag laser
capsulotomy is effective and safe in managing limited forms of anterior capsule
contraction syndrome after phacoemulsification. Surgery is an alternative to
Nd:Yag capsulotomy in severe cases.
PMID- 18046274
TI - [Phacoemulsification in eyes with white cataracts: results and complications].
AB - PURPOSE: To compare visual acuity and complications in phacoemulsification in
eyes with white cataract and in eyes with immature senile cataract. PATIENTS AND
METHODS: This was a prospective study on 36 eyes in 34 patients with white
cataract (group 1) and 36 eyes in 36 patients with immature senile cataract
(group 2). RESULTS: In group 1, mean preoperative visual acuity was 1/100 and
mean postoperative visual acuity was 5/10 at 1 month. Posterior capsule tears
occurred in four eyes (11%). In group 2, mean preoperative visual acuity was 2/10
and mean postoperative visual acuity was 7/10 at 1 month. No posterior capsule
tear was observed. The preoperative visual acuity was significantly lower in
group 1 (p=1.8x10(-14)). Postoperative visual acuities were not significantly
different between the two groups (p=0.07). The increase in visual acuity was
significantly higher in group 1 (p=2.2x10(-11)). DISCUSSION: Our study shows that
white cataract is not a risk factor of poor postoperative visual acuity and the
increase in visual acuity is greater in the white cataract group than in the
immature cataract group. CONCLUSION: The results of phacoemulsification in white
cataracts are satisfactory in spite of a high rate of posterior capsule rupture
in our study.
PMID- 18046275
TI - [Trypan blue capsule staining for phacoemulsification in white cataract].
AB - OBJECTIVE: To study the results of phacoemulsification in eyes with white
cataract using trypan blue for capsule staining. PATIENTS AND METHODS: Thirty
patients who had white cataract were included in this prospective study. They had
phacoemulsification and continuous curvilinear capsulorhexis using trypan blue.
Intraoperative problems related to capsulorhexis and phacoemulsification as well
as postoperative outcomes where analyzed. Postoperative examinations were done at
1 day, 1 week, and 1, 3, 6, and 12 months. The mean follow-up was 10 months.
RESULTS: Complet capsulorhexis was achieved in 26 cases. Intraoperative
complications included incomplet capsulorhexis in four cases (13.3%) and
conversion to a conventional extraction technique in two cases. There were no
cases of posterior capsular tear. Twenty-eight eyes (93.3%) had a final best
corrected visual acuity at 8/10 or better. Postoperative complications included
moderate transient corneal edema in six cases (20%) and iritis in three cases
(10%). There were no complications related to the use of trypan blue. CONCLUSION:
Phacoemulsification using trypan blue was safe and effective in managing white
cataract and had a high success rate.
PMID- 18046276
TI - [Assessment of risk factors for retinal vein occlusions in patients under 60
years of age].
AB - PURPOSE: To assess the biologic factors contributing to the risk for
cardiovascular and coagulation problems in patients under 60 years of age with
retinal vein occlusions (RVOs) in order to determine the advantages of an
exhaustive etiologic search. PATIENTS AND METHOD: Observational and retrospective
study on 43 patients under the age of 60, 30 of whom presented central or
hemicentral retinal vein occlusions; 13 were affected with branch retinal vein
occlusions. All patients received a systematic check-up including blood pressure
measurement, blood cholesterol level, carotid Doppler imaging, intraocular
pressure measurement and glaucoma history, presence of thrombophilic factors
(protein C/S, activated protein C resistance, antiphospholipid antibodies), and
presence of disturbed homocysteine metabolism. A systemic medical history was
also taken. RESULTS: The most frequent factors associated with central retinal
vein occlusion (CRVO) were hyperhomocysteinemia (33%), arterial hypertension
(23%), hypercholesterolemia (20%), open-angle glaucoma or intraocular
hypertension (13%), and diabetes (10%). As for branch retinal vein occlusion, the
only notable risk factors were arterial hypertension and hypercholesterolemia
(46.2% and 38.5%, respectively). DISCUSSION: and conclusion: Our results are
consistent with etiologic data of RVO already reported in the literature. They
further underline that there is little advantage to an exhaustive etiologic
investigation to detect thrombophilia in the absence of a suggestive medical
history. Finally, our data suggest the benefit of checking for
hyperhomocysteinemia, frequently found in patients with CRVO, and easily
correctable with a vitamin supplement.
PMID- 18046277
TI - [Use of MEOPA during nasolacrimal duct probing in children].
AB - BACKGROUND: Congenital nasolacrimal duct obstruction affects more than 6% of
newborns. Whereas some recommend nasolacrimal probing under general anesthesia
from 1 year of age when the condition does not spontaneously resolve, some decide
in favor of early probing from 4 to 6 months of age with topical anesthesia. We
present nasolacrimal probing with inhalation of MEOPA, an equimolar mixture of
nitrous oxide and oxygen, as a therapeutic alternative. PATIENTS AND METHODS: We
conducted a descriptive, prospective study including 63 children (75 eyes) who
had nasolacrimal duct probing with inhalation of MEOPA between March 2005 and
March 2006, in consultation. Efficacy was estimated by the observation of medical
staff and parents and by the feasibility of the probing procedure. RESULTS:
Children were 4-28 months old (mean age, 11.5 months). Symptoms resolved
spontaneously in 79% of cases after only one probing. No incident was observed.
In case of failure, probing with nasolacrimal intubation with nasal endoscopy and
general anesthesia was done (21% of cases, mean age, 17.5 months). DISCUSSION:
Administration of MEOPA, by inhalation with a facial mask, is already used for
minor pediatric surgical procedures. It is simple to use, fast, low-risk,
inexpensive, and effective. CONCLUSION: Use of MEOPA in nasolacrimal duct probing
in children could be a good alternative, making it possible to alleviate pain in
the youngest children and general anesthesia in the oldest.
PMID- 18046278
TI - [Natural development over 4 years of a macular small choroidal melanoma in a
single-eyed patient].
AB - We report the case of a single-eyed patient with a small (2.2 mm thick with
largest tumor diameter 7.6 mm) choroidal melanoma involving the entire macular
surface with few functional signs. Visual acuity was 20/63. The patient was
informed of the side effects and so was followed regularly at the oncology unit
over 4 years. During this period, the tumor volume increased fourfold (the
thickness reached 4.3 mm and the LTD 10.4 mm), with visual acuity decreasing to
20/250: proton beam therapy was therefore performed. The patient showed no
metastasis more than 2 years after the treatment. This unusual case raises the
question of small choroidal melanoma management, especially if the patient is
single-eyed, in good general health, middle-aged and if the tumor is retrofoveal
with just a few functional signs: when, why and who should be treated?
PMID- 18046279
TI - [Fungal keratitis caused by Scedosporium apiospermum].
AB - INTRODUCTION: We report a case of posttraumatic keratomycosis caused by
Scedosporium apiospermum that was treated with oral and topical voriconazole and
penetrating keratoplasty. CASE REPORT: A patient was admitted to the hospital
with a corneal abscess of his right eye due to trauma while gardening. No
improvement was noted with topical fortified antibiotics (vancomycin, gentamicin,
and cefazolin) and antimycotic (amphotericin B 1%) and oral itraconazole (200
mg/day). Fungal cultures of corneal scrapings revealed growth of Scedosporium
apiospermum, a strain for which the main antifungals showed high minimal
inhibitory concentrations (MICs), whereas the MIC of voriconazole was 0.125
microg/mL. Despite some improvement with topical 1% voriconazole and oral
voriconazole (200 mg/day) treatment, a therapeutic penetrating keratoplasty was
performed because of the high risk of corneal perforation. The graft remained
clear without fungal recurrence with topical 2% cyclosporine A, dexamethasone,
and voriconazole treatment. CONCLUSION: Scedosporium apiospermum is an uncommon
cause of mycotic keratitis in humans. Prognosis is generally poor because of
delayed diagnosis and resistance to conventional antifungals. Voriconazole is a
triazole broad-spectrum antifungal agent. In conjunction with its oral
administration, topical application of voriconazole extends the current
armamentarium of antifungal agents for keratomycosis.
PMID- 18046280
TI - [Orbitotemporal segmental neurofibromatosis].
AB - INTRODUCTION: Neurofibromatosis is a rare pathology with heterogeneous clinical
presentation. OBSERVATION: We report a case of a right orbitotemporal plexiform
neurofibroma in a 64-year-old woman with von Recklinghausen's neurofibromatosis.
A craniofacial CT scan, with injection, showed a heterogeneous tumor in front of
the skull base and the temporoparietal bone with no intracranial extension but an
extension into the maxillary sinus and nasal cavity. In summery, she presented
orbitotemporal segmental neurofibromatosis type 1 because of the unilateral
lesion. She had a first surgery to remove her jugal and preauricular tumor with
an exenteration, which provided an eye histology. The histology found no Lisch
nodules but a cellular proliferation causing choroidal hyperplasia. We noted
neurofibromin on choroidal cells and normal cells in addition to pathologic cells
(Schwann cells and melanocytes), meaning that two cell populations were obtained
in the same tissue: a somatic mosaicism. DISCUSSION/CONCLUSION: We advance the
hypothesis that there was a regulation of cellular growth in a particular
microenvironment because of the absence of tumor. To identify and confirm the
somatic mosaicism, we would need a FISH analysis (probes containing sequences of
the NF1 gene with a probe specific for the chromosome 17 centromere).
PMID- 18046281
TI - [Glaucoma in the over-eighties].
AB - Due to the recent rapid increase in the aging population, glaucoma in the over
eighties population will become a significant problem of ocular health in the
coming decades. It is important to determine the natural effects of aging on the
optic nerve head and aqueous humor dynamics in these patients for early diagnosis
and monitoring of glaucoma. Its characteristics, context, management, and
treatment are very perceptibly different from those of the younger glaucomatous
patient. For many reasons, such as its frequent association with macular age
related degeneration, diagnosis of glaucoma in the over-eighties may be
difficult. Management of the over-eighties glaucoma is frequently difficult and
time-consuming. Less aggressive than in a younger patient and based on topical
medications in most cases, it must be discussed case by case and will be based on
the general context, the quality of compliance, and especially on the potential
consequences of the glaucomatous visual-field defects on the patient's quality of
life. In any case, overtreatment as well as treatments that are too complex must
be avoided. Given the reduced metabolism in the very elderly, the safest
medications must also be selected. It is important to always consider glaucoma
medications as part of the patient's medicine regimen. Associated risk factors,
especially concomitant systemic hypotension, will be simultaneously treated.
Laser trabeculoplasties probably have broader indications than in younger
patients. Except for phacoextraction, which is very frequently helpful in
controlling IOP, incisional filtering procedures or laser diode
cyclophotocoagulations remain infrequently indicated in over-eighties glaucoma
patients.
PMID- 18046282
TI - [Techniques for measuring phakic and pseudophakic accommodation. Methodology for
distinguishing between neurological and mechanical accommodative insufficiency].
AB - The methods available for studying accommodation are evaluated: Donder's "push
up" method, dynamic retinoscopy, infrared optometry using the Scheiner principle,
and wavefront analysis are each discussed with their inherent advantages and
limitations. Based on the methodology described, one can also distinguish between
causes of accommodative insufficiency. Dioptric insufficiency (accommodative lag)
that remains equal at various testing distances from the subject indicates a
sensory/neurologic (afferent), defect, whereas accommodative insufficiency
changing with distance indicates a mechanical/restrictive (efferent) defect, such
as in presbyopia. Determining accommodative insufficiency and the cause can be
particularly useful when examining patients with a variety of diseases associated
with reduced accommodative ability (e.g., Down syndrome and cerebral palsy) as
well as in evaluating the effectiveness of various potentially accommodating
intraocular lens designs.
PMID- 18046284
TI - [Drusen of the optic disc].
PMID- 18046283
TI - [MALT (mucosa-associated lymphoid tissue) lymphoma of the lacrimal gland].
AB - MALT lymphoma of the lacrimal gland is rare. Orbital involvement is usually
located on the anterior orbit. We report the case of a 65-year-old man consulting
for an eyelid tumor located on the right upper and lateral lid, which clinically
recalled lacrimal gland involvement. The gland biopsy and the immunohistochemical
analysis concluded in the diagnosis of B and MALT lymphoma. The assessment of the
ganglion and extra-ganglion enlargement of these lymphomas is of capital
importance in treatment. A multidisciplinary analysis, especially oncological, is
important so that the best therapeutic plan can be adopted.
PMID- 18046285
TI - Levocetirizine (Xyzal) for allergic rhinitis and urticaria.
PMID- 18046286
TI - Major changes in endocarditis prophylaxis for dental, GI and GU procedures.
PMID- 18046289
TI - When is prolonged intubation after cardiac surgery really unavoidable?
PMID- 18046290
TI - Analgesia before, during and after surgery: prevention of postoperative pain.
PMID- 18046291
TI - Predictors of prolonged mechanical ventilation in a cohort of 3,269 CABG
patients.
AB - BACKGROUND: Prolonged mechanical ventilation after heart surgery is associated
with increased patient morbidity and mortality (4.9% vs 22-38%). A prospective
observational cohort study was carried out to assess the predictors of prolonged
mechanical ventilation and its impact on hospital survival in a cardiac surgical
patient cohort admitted to our 8 bed postoperative ICU from January 1997 through
June 2004. METHODS: All of the patient perioperative and ICU variables were input
into an electronic database. Patients were divided into: 1) an Early Extubation
group, undergoing a successful extubation within 12 h and 2) a Delayed Extubation
group, needing mechanical ventilation longer than 12 h. RESULTS: A total of 3,269
patients undergoing a coronary artery bypass graft operation were admitted. A
multivariate Logistic Regression model allowed us to identify: 1) redo surgery
(OR = 3.090, 95% CI = 1.655-5.780); 2) cardiopulmonary bypass time longer than
91' (OR = 1.390, 95% CI = 1.013-1.908); 3) intraoperative transfusions of more
than 4 units of red blood cells (OR = 3.144, 95% CI = 2.331-4.255) or fresh
frozen plasma (OR = 2.976, 95% CI = 1.984-4.830); and 4) left ventricular
ejection fraction = or < 30% (OR = 2.444, 95% CI 1.291-3.205) as independent
predictors of prolonged mechanical ventilation. The Early Extubation group showed
a significantly higher cumulative survival 180 days after the ICU admission (Log
Rank = 16.617, p=0.000). CONCLUSION: This audit allowed us to assess a predictive
model identifying a priori coronary artery bypass graft patients that are more
likely to undergo prolonged mechanical ventilation.
PMID- 18046292
TI - The analgesic efficacy of tramadol in ambulatory gynecological laparoscopic
procedures: a randomized controlled trial.
AB - BACKGROUND: To evaluate the postoperative analgesic efficacy of intravenous
tramadol 50 mg administered before anesthetic induction in patients undergoing
ambulatory gynecological laparoscopic procedures. METHODS: This was a double
blind randomized, controlled trial conducted in 150 patients. Pain intensity was
measured using a verbal numerical rating score at 1, 2, 6, 12, and 24 h
postoperatively and at discharge. Requirement of analgesics (fentanyl and
paracetamol), effect of pain on sleep, activity limitation, side effects
(headache, dizziness, drowsiness, nausea, vomiting, and dry mouth), global
perceived analgesic efficacy, satisfaction, and preference for stronger
analgesics were assessed in the first 24 h postoperatively. RESULTS: There were
no statistical differences between the tramadol group and the placebo group in
terms of clinical data and pain intensity at any measured times. Recovery room
data showed no statistical differences regarding number of patients requiring
fentanyl, time to first fentanyl requirement, total fentanyl required, verbal
numerical rating score before fentanyl administration, and incidence of
nausea/vomiting and shivering. Post-discharge data showed that patients in the
tramadol group required statistically less paracetamol (3.2 vs. 3.9 tablets, P =
0.04). There were no statistical differences regarding the number of patients
requiring paracetamol, verbal numerical rating score before taking paracetamol,
effect of pain on sleep and activity, global perceived analgesic efficacy,
satisfaction, preference for stronger analgesics, and side effects. CONCLUSION:
Intravenous tramadol 50 mg given before anesthetic induction did not reduce pain
intensity but was well tolerated. Although tramadol statistically reduced the
paracetamol requirement in the first 24 h postoperatively, it did not seem to
provide a significant clinical advantage.
PMID- 18046293
TI - Subhypnotic doses of midazolam prevent nausea and vomiting during spinal
anesthesia for cesarean section.
AB - BACKGROUND: We aimed to evaluate the efficacy of subhypnotic doses of midazolam
and propofol for peripartum nausea and vomiting during regional anesthesia for
elective cesarean section in order to prevent emesis in at least 50% of patients.
METHODS: A prospective, double blind, placebo-controlled study was carried out.
Patients were randomly allocated to one of three groups to receive placebo
(saline, N=28), propofol (20 mg bolus and 1.0 mg x kg(-1) x h(-1) infusion,
N=30), and midazolam (1 mg bolus and 1.0 mg x h(-1) infusion, N=30) at
subhypnotic doses intravenously (i.v.) immediately after the umbilical cord was
clamped. Bupivacaine hydrochloride (8-10 mg) and fentanyl (10 microg) were
injected into the intervertebral space for spinal anesthesia. Blood pressure was
monitored at 2 min intervals and intraoperative postdelivery emetic episodes and
ephedrine consumption were recorded. The study was carried out at the
Anesthesiology Department, Hacettepe University, Turkey, hospitalized care. We
included 90 parturients with ASA physical status I and II between the ages of 20
and 38 years undergoing spinal anesthesia for elective cesarean delivery to
evaluate the efficacy of subhypnotic doses of propofol and midazolam and, in
particular, the incidence of nausea, retching, and vomiting intraoperatively.
RESULTS: The incidence of nausea, retching, and vomiting was significantly higher
in the control group, compared to the propofol and midazolam groups. Total
ephedrine consumption was significantly higher in the control group compared to
the propofol and midazolam groups. CONCLUSION: A subhypnotic dose of midazolam (1
mg x h(-1)) was as effective as the subhypnotic dose of propofol (1 mg x kg(-1) x
h(-1)) for the prevention of nausea and vomiting in parturients undergoing
cesarean section under spinal anesthesia.
PMID- 18046294
TI - Critical care of HIV infected patients in the highly active antiretroviral
therapy era.
AB - Since there are more than 150 000 Italians (about 25 for every 10,000
inhabitants) infected with HIV, Intensive Care Units (ICU) often come across
patients who are HIV positive. The aim of this study was to provide current
information on the epidemiology of human immunodeficiency virus (HIV)-infected
patients admitted to ICU during the era of highly active antiretroviral therapy
(HAART) and to review issues related to the administration of antiretroviral
therapy relevant to the ICU staff. Overall mortality of critically ill HIV
infected patients in ICUs has decreased in the HAART era, and patients are more
often admitted with non-HIV-related illnesses. Use of HAART in the ICU is
difficult; however it may be associated with improved outcomes. More HIV-infected
patients survive ICU admission and are less likely to be admitted to the ICU for
related infections; in most cases, they need critical care for problems unrelated
to HIV infection or for conditions related to HAART toxicity. ICU staff need to
be familiar with HAART for the following reasons: 1) to recognize life
threatening toxicities unique to these drugs; 2) to avoid drug interactions,
which are extremely common and potentially life-threatening; and 3) to avoid
enhancing HIV drug resistance, an occurrence that could have devastating
consequences for the patient after discharge from the ICU.
PMID- 18046295
TI - My brother has severe sepsis--should he receive Xigris?
AB - Six years ago, a publication in a quite well known scientific medical journal
brought hope and a good dose of optimism to sepsis therapy and the critical care
community. For the first time, a careful randomized controlled trial in patients
with severe sepsis or septic shock seemed to show a clearly beneficial effect of
a new drug, i.e. of activated recombinant human protein C. This new treatment
possibility was welcomed as an important breakthrough and a hope for a better
management of a deadly disease. However, further evaluation of the results of the
trial and new investigations did not confirm entirely the initial optimism. As a
consequence, the adequate indications for this expensive drug remain
controversial.
PMID- 18046296
TI - Spinal cord infarction following surgery for mesenteric vascular occlusion.
AB - We present a case of spinal cord infarction following an operation on a 64-year
old man for mesenteric vascular occlusion under combined general and epidural
anesthesia. Several etiological factors, each one able to affect blood flow in
the spinal cord and cause infarction, might have been present in our patient,
including generalized degenerative atherosclerotic vascular disease, secondary
polycythemia resulting from the patient's chronic obstructive pulmonary disease,
and intraoperative hypotensive episodes. This case shows that, even with careful
technique, hemodynamic monitoring, and early evaluation of neurologic status,
patients can experience irreversible spinal cord ischaemia that results in
permanent paraplegia.
PMID- 18046297
TI - Acute left ventricular dysfunction due to Tako-tsubo syndrome after induction of
general anesthesia.
AB - Tako-tsubo cardiomyopathy is a recently described form of transient ventricular
dysfunction that is not associated with coronary vessels obstruction, although
its clinical manifestations are often similar to those of myocardial ischemia.
This syndrome is possibly due to an excess of catecholamines, and it is
associated with emotional and physical stress and surgery. However, the
pathophysiological relation to anaesthesia has not yet been clarified. We report
a case of Tako-tsubo cardiomyopathy that ensued immediately after induction of
general anaesthesia for elective surgery in a patient submitted to laparoscopic
cholecystectomy. The patient was first treated as if affected by myocardial
ischemia, but a rapidly performed emergency coronary angiography showed normal
epicardial coronary vessels with a significant reduction of left ventricular
function. The coronary angiography ruled out the diagnosis of typical myocardial
ischemia and allowed for the arrival at the correct diagnosis. The transient
ventricular ballooning was attributed to the recently described Tako-tsubo
syndrome. Prompt treatment allowed control of symptoms, and the patient was
safely treated and discharged on day 15. Although it is difficult to identify the
cause of this syndrome, it may be argued that, during general anaesthesia and
particularly at induction, the imperfect control of catecholamine excess may
induce cardiac damage in predisposed subjects.
PMID- 18046298
TI - Guiding management in perioperative methemoglobinemia.
PMID- 18046300
TI - Rapid HIV testing in outreach and other community settings--United States, 2004
2006.
AB - In 2003, an estimated 1 million persons in the United States were living with
human immunodeficiency virus (HIV) infection. Approximately 25% were unaware of
their infection; however, that percentage might have been greater among persons
at high risk for HIV infection, including racial/ethnic minority populations. To
increase the proportion of persons aware of their HIV serostatus, CDC launched
the Advancing HIV Prevention initiative in 2003. One strategy of the initiative
is to implement new models for diagnosing HIV infections outside medical
settings. During 2004-2006, CDC funded a demonstration project to provide rapid
HIV testing and referral to medical care, targeted to racial/ethnic minority
populations and others at high risk in outreach and other community settings.
This report summarizes the results of that project, which indicated that, of
23,900 clients who received a rapid HIV test, 39% were non-Hispanic blacks, 31%
were Hispanics, 17% reported male-male sex, and 6% were injection-drug users. A
total of 267 (1%) persons had confirmed HIV-positive test results; of these, 195
(74%) were either non-Hispanic blacks or Hispanics. The project results
demonstrate that rapid HIV testing in outreach and other community settings can
identify large numbers of persons in racial/ethnic minority populations and
others at high risk who are unaware they are infected with HIV.
PMID- 18046301
TI - Progress in global measles control and mortality reduction, 2000-2006.
AB - The World Health Organization (WHO) and United Nations Children's Fund (UNICEF)
comprehensive strategy for measles mortality reduction is focused on 47 priority
countries. Components include 1) achieving and maintaining high coverage (>90%)
with the first dose of measles vaccine by age 12 months in every district of each
priority country through routine immunization services; 2) ensuring that all
children receive a second opportunity for measles vaccination; 3) maintaining
effective case-based surveillance and monitoring of vaccination coverage; and 4)
providing appropriate clinical management, including vitamin A supplementation.
In 2005, the World Health Assembly set a goal for global measles control as part
of the Global Immunization Vision and Strategy (GIVS): a 90% reduction in measles
mortality by 2010, compared with 2000 levels. In January 2007, WHO/UNICEF
reported that implementation of measles mortality reduction strategies had
reduced measles mortality by 60%, from an estimated 873,000 deaths in 1999 to
345,000 deaths in 2005. This reduction exceeded the goal of 50% measles mortality
reduction by 2005 (compared with 1999 levels) that had been set in 2002. This
report updates previous reports by detailing 1) measles mortality reduction
activities implemented during 2006 and 2) the impact of activities since 2000 on
the global burden of measles and progress toward the GIVS mortality reduction
goal for 2010.
PMID- 18046302
TI - Effects on violence of laws and policies facilitating the transfer of youth from
the juvenile to the adult justice system: a report on recommendations of the Task
Force on Community Preventive Services.
AB - The independent, nonfederal Task Force on Community Preventive Services (Task
Force), which directs the development of the Guide to Community Preventive
Services (Community Guide), conducted a systematic review of published scientific
evidence concerning the effectiveness of laws and policies that facilitate the
transfer of juveniles to the adult criminal justice system to determine whether
these transfers prevent or reduce violence among youth who have been transferred
and among the juvenile population as a whole. For this review, transfer is
defined as placing juveniles aged <18 years under the jurisdiction of the adult
criminal justice system. The review followed Community Guide methods for
conducting a systematic review of literature and for providing recommendations to
public health decision makers. Available evidence indicates that transfer to the
adult criminal justice system typically increases rather than decreases rates of
violence among transferred youth. Available evidence was insufficient to
determine the effect of transfer laws and policies on levels of violent crime in
the overall juvenile population. On the basis of these findings, the Task Force
recommends against laws or policies facilitating the transfer of juveniles to the
adult criminal justice system for the purpose of reducing violence.
PMID- 18046303
TI - Disruption of AMPA receptor endocytosis impairs the extinction, but not
acquisition of learned fear.
AB - Synaptic plasticity in the form of long-term potentiation (LTP) plays a critical
role in the formation of a Pavlovian fear association. However, the role that
synaptic plasticity plays in the suppression of a learned fear response remains
to be clarified. Here, we assessed the role that long-term depression (LTD) plays
in the acquisition, expression, and extinction of a conditioned fear response. We
report that blockade of LTD with a GluR2-derived peptide (Tat-GluR2(3Y); 1.5
micromol/kg, i.v.) that blocks regulated alpha-amino-3-hydroxy-5-methyl-isoxazole
4-propionic acid (AMPA) receptor endocytosis during an initial extinction
training session disrupted both the expression and recall of extinction learning.
A similar impairment of extinction during training, but not recall, was observed
when NMDA receptor-dependent LTD was inhibited through the selective blockade of
NMDA NR2B receptors with Ro 25-6981. In contrast, blockade of LTD with Tat
GluR2(3Y) during fear conditioning or during a fear recall test did not effect
the expression or recall of either contextual or cue-induced conditioned fear.
Similarly, administration of Tat-GluR2(3Y) prior to an extinction recall test did
not affect spontaneous recovery or rate of re-extinction in previously
extinguished rats. These data demonstrate that AMPA receptor endocytosis does not
mediate acquisition or expression of conditioned fear, but may play a role in the
extinction of fear memories. Furthermore, these findings suggest that LTD may be
a molecular mechanism that facilitates the selective modification of a learned
association while leaving intact the ability to form a new memory.
PMID- 18046304
TI - Chronic lithium salt treatment reduces CRE/CREB-directed gene transcription and
reverses its upregulation by chronic psychosocial stress in transgenic reporter
gene mice.
AB - The molecular mechanism of action of the mood stabilizer lithium is assumed to
involve changes in gene expression leading to neuronal adaptation. The
transcription factor CREB (cAMP-responsive element binding protein) regulates the
expression of many genes and has been implicated in important brain functions and
the action of psychogenic agents. We here investigated the effect of lithium on
cAMP-responsive element (CRE)/CREB-mediated gene transcription in the brain,
using transgenic reporter mice that express the luciferase reporter gene under
the control of four copies of the rat somatostatin gene promoter CRE. Chronic (21
days) but not acute (24 h) treatment with lithium (7.5 mmol/kg) significantly
decreased CRE/CREB-directed gene expression in hippocampus, cortex, hypothalamus,
and striatum to 60-70%, and likewise reduced CREB phosphorylation. As bipolar
disorder is also considered as a stress-related disorder, the effect of lithium
was determined in mice submitted to a paradigm for chronic psychosocial stress.
As shown before, stress for 25 days significantly increased CRE/CREB-directed
gene expression in several brain regions by 100-150%. Treatment of stressed mice
with lithium decreased stress-induced CRE/CREB-directed gene expression to
control levels in nearly all brain regions and likewise reduced CREB
phosphorylation. Chronic lithium treatment induced beta-catenin accumulation and
decreased cAMP levels, indicating an inhibitory effect of lithium on glycogen
synthase kinase 3 and the adenylate cyclase/protein kinase A signalling cascade,
which are known to modulate CREB activity. We here for the first time show that
lithium regulates CRE/CREB-directed gene transcription in vivo and suggest CREB
as a putative mediator of the neuronal adaptation after chronic lithium
treatment.
PMID- 18046306
TI - Spatial attentional bias as a marker of genetic risk, symptom severity, and
stimulant response in ADHD.
AB - Attention-deficit hyperactivity disorder (ADHD) is a heritable childhood onset
disorder that is marked by variability at multiple levels including clinical
presentation, cognitive profile, and response to stimulant medications. It has
been suggested that this variability may reflect etiological differences,
particularly, at the level of underlying genetics. This study examined whether an
attentional phenotype-spatial attentional bias could serve as a marker of symptom
severity, genetic risk, and stimulant response in ADHD. A total of 96 children
and adolescents with ADHD were assessed on the Landmark Task, which is a
sensitive measure of spatial attentional bias. All children were genotyped for
polymorphisms (3' untranslated (UTR) and intron 8 variable number of tandem
repeats (VNTRs)) of the dopamine transporter gene (DAT1). Spatial attentional
bias correlated with ADHD symptom levels and varied according to DAT1 genotype.
Children who were homozygous for the 10-repeat allele of the DAT1 3'-UTR VNTR
displayed a rightward attentional bias and had higher symptom levels compared to
those with the low-risk genotype. A total of 26 of these children who were
medication naive performed the Landmark Task at baseline and then again after 6
weeks of stimulant medication. Left-sided inattention (rightward bias) at
baseline was associated with an enhanced response to stimulants at 6 weeks.
Moreover, changes in spatial bias with stimulant medications, varied as a
function of DAT1 genotype. This study suggests an attentional phenotype that
relates to symptom severity and genetic risk for ADHD, and may have utility in
predicting stimulant response in ADHD.
PMID- 18046307
TI - Opposing roles for 5-HT2A and 5-HT2C receptors in the nucleus accumbens on
inhibitory response control in the 5-choice serial reaction time task.
AB - Serotonin (5-HT) is thought to play an important role in the regulation of
behavioral inhibition. Studies manipulating 5-HT function in the rodent brain
indicate that 5-HT receptors regulate distinct forms of impulsive behavior,
including impulsive responding in the 5-choice serial reaction time task
(5CSRTT). The present study investigates the loci of effects mediated by 5-HT(2A)
and 5-HT(2C) receptors in attention and inhibitory response control using
microinfusions targeted at the nucleus accumbens (NAc), prelimbic cortex (PL) and
infralimbic cortex (IL). Rats were implanted with bilateral guide cannulas and
received infusions of the selective 5-HT(2A) receptor antagonist M100907 (0.1 and
0.3 microg) or selective 5-HT(2C) receptor antagonist SB242084 (0.1 and 0.5
microg) immediately prior to testing. The results show that intra-NAc infusions
of M100907 significantly decrease impulsive responding on the 5CSRTT and at the
highest dose increased omissions as well. By contrast, infusions of SB242084 into
the NAc selectively and dose-dependently increased impulsivity. Neither M100907
nor SB242084 significantly altered impulsive responding following either intra-PL
or intra-IL administration. However, SB242084 significantly decreased omissions
following intra-PL administration (0.5 microg only). These data reveal opposing
effects on impulsivity following 5-HT(2A) and 5-HT(2C) blockade in the NAc. Our
results suggest that the NAc, but not the PL or IL, is implicated in the
mediation of the effects of M100907 and SB242084 on inhibitory response control
during baseline 5CSRTT performance.
PMID- 18046305
TI - The evolution of drug development in schizophrenia: past issues and future
opportunities.
AB - Schizophrenia is a disease syndrome with major public health implications. The
primary advance in pharmacotherapeutics was in 1952 with the introduction of
antipsychotic medications (ie, chlorpromazine, dopamine D2 antagonism). Barriers
to progress have been substantial, but many will be subject to rapid change based
on current knowledge. There are attractive psychopathology indications for drug
discovery (eg, impaired cognition and negative symptoms), and drugs with efficacy
in these domains may have application across a number of disease classes. These
pathologies are observed prior to psychosis raising the possibility of very early
intervention and secondary prevention. Success in drug discovery for cognition
and negative symptom pathologies may bring forth issues in ethics as the
potential for enhancing normal function is explored.
PMID- 18046308
TI - Alpha1-adrenergic receptor-induced heterosynaptic long-term depression in the bed
nucleus of the stria terminalis is disrupted in mouse models of affective
disorders.
AB - The glutamatergic synapse in specific brain regions has been shown to be a site
for convergence of stress and addictive substances. The bed nucleus of the stria
terminalis (BNST), a nucleus that relays between higher order processing centers
and classical reward and stress pathways, receives dense noradrenergic inputs
that are known to influence behavioral paradigms of both anxiety and stress
induced relapse to drug seeking. Alpha(1)-adrenergic receptors (alpha(1)-ARs)
within this region have been implicated in modulation of the HPA axis and anxiety
responses. We found that application of an alpha(1)-AR agonist produced a long
term depression (LTD) of excitatory transmission in an acute mouse BNST slice
preparation. This effect was mimicked by a 20 min, but not a 10 min, application
of 100 microM norepinephrine (NE) in a prazosin-sensitive manner. This alpha(1)
AR LTD was independent of N-methyl-D-aspartate receptor (NMDAR) function unlike
previously described alpha(1)-AR LTD in the hippocampus and visual cortex;
however, it was dependent on the activation of L-type voltage gated calcium
channels (VGCCs). In addition, alpha(1)-AR LTD was induced independently of the
activation of mGluR5 which can also induce LTD in this region. Furthermore,
alpha(1)-AR LTD was intact in mice receiving an intraperitoneal injection of
cocaine but was disrupted in alpha(2a)-AR and NE transporter (NET) knockout (KO)
mice. Thus a loss of this plasticity at glutamatergic synapses in BNST could
contribute to affective behavioral phenotypes of these mice.
PMID- 18046310
TI - Activation of kainate GLU(K5) transmission rescues kindling-induced impairment of
LTP in the rat lateral amygdala.
AB - The amygdala is a component of the limbic system that plays a central role in
emotional behavior and certain psychiatric diseases. Pathophysiological
alterations of neuronal excitability in the amygdala are characteristic features
of temporal lobe epilepsy and certain (epilepsy accompanying) psychiatric
illnesses such as anxiety and depressive disorders. The role of kainate receptors
in the activity of synaptic networks, in brain function, and diseases is still
poorly understood. Various kainate receptor subtypes have been shown to
contribute to synaptic transmission and modulate presynaptic release of glutamate
and gamma-aminobutyric acid (GABA). Several lines of evidence point to the
importance of GLU(K5) kainate receptors in epilepsy. In this study we
investigated the role of specific GLU(K5) kainate receptor in the lateral nucleus
of the amygdala (LA). The cellular mechanisms for emotional learning in the
amygdala are believed to be the result of changes in synaptic transmission
efficacy, similar to long-term potentiation (LTP). Here, we used both field
potential and intracellular recordings in horizontal rat amygdala slices, and
showed that LTP in the LA, induced by high-frequency stimulation of afferents
running within LA, is impaired 48 h after the last induced seizure. This kindling
induced impairment was reversed by the specific kainate GLU(K5) agonist ATPA.
Partial blockade of GABAergic transmission with the specific GABA(A) receptor
antagonist SR95531 also significantly facilitated the induction of early LA-LTP,
but only partially abolished the kindling-induced impairment of LA-LTP. This
study shows that the stimulation of the GLU(K5) kainate receptor subtype rescues
the kindling-induced impairment of LA-LTP at least within 48 h after the last
seizure. Therefore, GLU(K5) kainate receptor subunits are involved in kindling
induced plasticity changes in the amygdala.
PMID- 18046309
TI - Tolerance to repeated morphine administration is associated with increased
potency of opioid agonists.
AB - Tolerance to the pain-relieving effects of opiates limits their clinical use.
Although morphine tolerance is associated with desensitization of mu-opioid
receptors, the underlying cellular mechanisms are not understood. One problem
with the desensitization hypothesis is that acute morphine does not readily
desensitize mu-opioid receptors in many cell types. Given that neurons in the
periaqueductal gray (PAG) contribute to morphine antinociception and tolerance,
an understanding of desensitization in PAG neurons is particularly relevant.
Opioid activity in the PAG can be monitored with activation of G-protein-mediated
inwardly rectifying potassium (GIRK) currents. The present data show that opioids
have a biphasic effect on GIRK currents in morphine tolerant rats. Opioid
activation of GIRK currents is initially potentiated in morphine (EC(50)=281 nM)
compared to saline (EC(50)=8.8 microM) pretreated rats as indicated by a leftward
shift in the concentration-response curve for met-enkephalin (ME)-induced
currents. These currents were inhibited by superfusion of the mu-opioid receptor
antagonist beta-funaltrexamine (beta-FNA) suggesting that repeated morphine
administration enhances agonist stimulation of mu-opioid receptor coupling to G
proteins. Although supersensitivity of mu-opioid receptors in the PAG is
counterintuitive to the development of tolerance, peak GIRK currents from
tolerant rats desensitized more than currents from saline pretreated rats (56% of
peak current after 10 min compared to 15%, respectively). These data indicate
that antinociceptive tolerance may be triggered by enhanced agonist potency
resulting in increased desensitization of mu-opioid receptors.
PMID- 18046311
TI - Role of beta-adrenoceptors in memory consolidation: beta3-adrenoceptors act on
glucose uptake and beta2-adrenoceptors on glycogenolysis.
AB - Noradrenaline, acting via beta(2)- and beta(3)-adrenoceptors (AR), enhances
memory formation in single trial-discriminated avoidance learning in day-old
chicks by mechanisms involving changes in metabolism of glucose and/or glycogen.
Earlier studies of memory consolidation in chicks implicated beta(3)- rather than
beta(2)-ARs in enhancement of memory consolidation by glucose, but did not
elucidate whether stimulation of glucose uptake or of glycolysis was responsible.
This study examines the role of glucose transport in memory formation using
central injection of the nonselective facilitative glucose transporter (GLUT)
inhibitor cytochalasin B, the endothelial/astrocytic GLUT-1 inhibitor phloretin
and the Na(+)/energy-dependent endothelial glucose transporter (SGLT) inhibitor
phlorizin. Cytochalasin B inhibited memory when injected into the mesopallium
(avian cortex) either close to or between 25 and 45 min after training, whereas
phloretin and phlorizin only inhibited memory at 30 min. This suggested that
astrocytic/endothelial (GLUT-1) transport is critical at the time of
consolidation, whereas a different transporter, probably the neuronal glucose
transporter (GLUT-3), is important at the time of training. Inhibition of glucose
transport by cytochalasin B, phloretin, or phlorizin also interfered with beta(3)
AR-mediated memory enhancement 20 min posttraining, whereas inhibition of
glycogenolysis interfered with beta(2)-AR agonist enhancement of memory. We
conclude that in astrocytes (1) activities of both GLUT-1 and SGLT are essential
for memory consolidation 30 min posttraining; (2) neuronal GLUT-3 is essential at
the time of training; and (3) beta(2)- and beta(3)-ARs consolidate memory by
different mechanisms; beta(3)-ARs stimulate central glucose transport, whereas
beta(2)-ARs stimulate central glycogenolysis.
PMID- 18046313
TI - Nephrin is involved in podocyte maturation but not survival during glomerular
development.
AB - Nephrin, a major component of the glomerular slit diaphragm (SD), is both a
structural protein as well as a signaling molecule influencing foot process (FP)
formation and maintenance of podocyte integrity. Analyses of near-term embryonic
kidneys showed normal cellular viability and no apoptosis in glomeruli from
nephrin knockout mice. Moreover, expression and location of other SD or
glomerular basement membrane components were similar in wild-type and mutant mice
as was the location and levels of most podocyte-specific proteins.
Transcriptional profiling showed that the lack of nephrin had minor impact on the
expression of genes for FPs and SD proteins. Claudin 3, a tight-junction protein
normally absent in glomeruli, was upregulated threefold in the knockout mice,
suggesting a role of nephrin in claudin 3 gene expression within the glomeruli.
Our results suggest that nephrin is expressed late in the process of podocyte
differentiation and is a locus for the formation of SD and FP maintenance and
physical integrity in vivo. Nephrin does not seem to have a primary role in cell
survival but has a small impact on gene regulation during glomerular development.
PMID- 18046312
TI - Metabotropic glutamate 5 receptor (mGluR5) antagonists decrease nicotine seeking,
but do not affect the reinforcement enhancing effects of nicotine.
AB - Nicotine self-administration models typically evaluate the effects of smoking
cessation aides on 'primary reinforcement' engendered by nicotine. However, the
more recently described reinforcement enhancing effects of the drug are not
always included in experimental analyses of potential therapeutics. We evaluated
the effects of pretreatment with noncompetitive antagonists of the metabotropic
glutamate 5 receptor (mGluR5) on each reinforcement-related effect of nicotine
using a model in which a reinforcing visual stimulus (VS) and nicotine infusions
were concurrently available. Five groups (2-lever, VS-only, NIC+VS, NIC-only, or
SAL-only) were instrumented for self-administration. The 2-lever group could earn
a nicotine infusion (0.06 mg/kg per infusion free base) for meeting the schedule
on one lever (eg right), or VS for meeting the schedule on the other lever (eg
left). The VS-only group could earn VS or saline under similar contingencies.
Remaining rats could press one lever to earn both reinforcers (NIC+VS), nicotine
infusions (NIC-only), or saline infusions (SAL-only); the other lever was
'inactive'. Responding on the VS lever in the 2-lever group was greater than that
of the VS-only group, reflecting the reinforcement-enhancing effect of nicotine.
Pretreatment with 2-methyl-6-(phenylethynyl)-pyridine (MPEP) or 3-[(2-methyl-1,3
thiazol-4-yl)ethynyl]pyridine (MTEP) decreased nicotine intake as well as the
enhanced responding for the concurrently available VS. In follow-up studies,
replacing nicotine via experimenter-administered infusions sustained the drugs
reinforcement enhancing effect; neither MPEP nor MTEP decreased the enhancing
effects of nicotine. These findings are consistent with other studies suggesting
that mGlu5 receptors mediate nicotine seeking, but do not alter the reinforcement
enhancing effects of nicotine.
PMID- 18046314
TI - Reduction of anionic sites in the glomerular basement membrane by heparanase does
not lead to proteinuria.
AB - Heparan sulfate in the glomerular basement membrane has been considered crucial
for charge-selective filtration. In many proteinuric diseases, increased
glomerular expression of heparanase is associated with decreased heparan sulfate.
Here, we used mice overexpressing heparanase and evaluated the expression of
different heparan sulfate domains in the kidney and other tissues measured with
anti-heparan sulfate antibodies. Glycosaminoglycan-associated anionic sites were
visualized by the cationic dye cupromeronic blue. Transgenic mice showed a
differential loss of heparan sulfate domains in several tissues. An unmodified
and a sulfated heparan sulfate domain resisted heparanase action in vivo and in
vitro. Glycosaminoglycan-associated anionic sites were reduced about fivefold in
the glomerular basement membrane of transgenic mice, whereas glomerular
ultrastructure and renal function remained normal. Heparanase-resistant heparan
sulfate domains may represent remnant chains or chains not susceptible to
cleavage. Importantly, the strong reduction of glycosaminoglycan-associated
anionic sites in the glomerular basement membrane without development of a clear
renal phenotype questions the primary role of heparan sulfate in charge-selective
filtration. We cannot, however, exclude that overexpression of heparanase and
heparan sulfate loss in the basement membrane in glomerular diseases contributes
to proteinuria.
PMID- 18046315
TI - Automated and continuous ambulatory peritoneal dialysis have similar outcomes.
AB - We compared survival and death-censored technique survival in patients on
automated peritoneal dialysis (automated dialysis) or on continuous ambulatory
peritoneal dialysis. All 4128 patients from the Australia and New Zealand
Dialysis and Transplant Registry who started peritoneal dialysis over a 5-year
period through March 2004 were included. Times to death and death-censored
technique failure were analyzed by Cox proportional hazards models while a
conditional risk set model computed technique failure. Compared to patients
treated entirely with continuous ambulatory peritoneal dialysis, automated
peritoneal dialysis patients were more likely to be young, Caucasian, have
marginally lower body mass index, and were less likely to have baseline
cardiovascular disease or diabetes. Using univariate and multivariate analysis,
our study showed there were no significant differences in patient survival and
death-censored technique failure between the two types of peritoneal dialysis
modalities.
PMID- 18046316
TI - Interactions of the growth-related, type IIc renal sodium/phosphate cotransporter
with PDZ proteins.
AB - Despite similar molecular structures, the growth-related sodium/phosphate
cotransporter NaPiIIc is regulated differently than the main NaPiIIa phosphate
transporter. Using two-hybrid systems and immunoprecipitation, we identified
several proteins that interact with NaPiIIc that might account for this
differential regulation. NaPiIIc interacted with the PDZ domain-containing sodium
hydrogen exchange-regulating factor (NHERF) 1 and NHERF3 through novel binding
motifs in its C terminus. NaPiIIc from brush-border membranes coprecipitated with
both NHERF1 and NHERF3, with more NHERF3 co-precipitated in rats fed a low
phosphorus diet. NaPiIIc colocalizes with both NHERF1 and NHERF3 in brush-border
membranes of rats fed either a low- or high-phosphorus diet. When mouse NaPiIIc
was transfected into opossum kidney cells, it was localized mainly in apical
microvilli and the trans-Golgi. Both confocal and total internal reflection
microscopy show that NaPiIIc colocalizes with NHERF1 and NHERF3 in the apical
microvilli, and this was not altered by truncation of the last three amino acids
of NaPiIIc. Interactions of NaPiIIc with NHERF1 and NHERF3 were modulated by the
membrane-associated 17 kDa protein (MAP17) similarly to NaPiIIa, but only the
MAP17-NaPiIIc-NHERF3 complexes were internalized to the trans-Golgi. Our study
shows that NaPiIIc interacts with a limited number of PDZ domain proteins, and
the mechanisms and consequences of such interactions differ from those of
NaPiIIa.
PMID- 18046317
TI - The phosphoinositide-3 kinase gamma-Akt pathway mediates renal tubular injury in
cisplatin nephrotoxicity.
AB - Nephrotoxicity is a frequent complication of cisplatin-based chemotherapy often
limiting its use. In this study, we attempted to the role of the phosphoinositide
3 kinase (PI3K)-gamma-Akt pathway in this form of acute kidney injury. Using PI3K
gamma knockout mice, we found that a conventional dose of cisplatin was more
lethal in the knockout mice where the blood urea nitrogen and serum creatinine
were significantly higher in them than in wild-type mice. Phosphorylation of Akt
in the renal tubules was abrogated in the knockout mice with the severity of
renal dysfunction and numbers of TUNEL (terminal deoxynucleotidyl transferase
(TdT) mediated nick-end labeling)-positive renal tubule cells being higher in the
knockout than in wild-type mice. Cisplatin treatment significantly increased.
Caspase-3 activity, histone-associated DNA fragments, and number of annexin V
positive cells was significantly higher in cisplatin-treated primary cultured
renal tubular epithelial cells of knockout mice. Transfection of dominant-active
forms of Akt and PI3K-gamma ameliorated apoptosis of the tubule epithelial cells
derived from the knockout mice. Our results suggest that the PI3K-gamma-Akt
pathway lessens apoptosis and plays a critical role in the maintenance of renal
function in cisplatin-induced acute kidney injury.
PMID- 18046318
TI - Effect of bolus and divided feeding on urine ions and supersaturation in genetic
hypercalciuric stone-forming rats.
AB - Because urine ion excretion varies throughout the day, clinicians monitor 24 h
urine samples to measure ion excretion and supersaturation in kidney stone
patients. However, these results are averages and may not reflect maximal
supersaturation which drives stone formation. We measured ion excretion and
saturation in genetic hypercalciuric stone-forming rats on both a normal or low
calcium diet over 0-3, 3-6 and 6-24 h using two feeding protocols, where the
daily food allotment was fed either as a bolus or divided into three portions.
With a normal calcium diet, urine calcium, oxalate, volume, and calcium oxalate
supersaturation were significantly greater on the bolus compared to the divided
feeds in the prandial and postprandial periods. Bolus eaters also excreted more
calcium and oxalate and had increased volume over 24 h. Maximal calcium oxalate
supersaturation was greater during the initial time periods than during the
entire 24 h, regardless of the feeding schedule. With the low calcium diet, the
effect of bolus feeding was reduced. Thus, urine ion excretion and
supersaturation vary with the type of feeding. If these results are confirmed in
man, it suggests that eating as a bolus may result in greater prandial and
postprandial calcium oxalate supersaturation. This may increase growth on
Randall's plaques and promote stone disease.
PMID- 18046319
TI - Exploring the biology of vascular calcification in chronic kidney disease: what's
circulating?
AB - Chronic kidney disease (CKD) is associated with fatal cardiovascular consequences
in part due to ectopic calcification of soft tissues particularly arteries,
capillaries, and cardiac valves. An increasing body of evidence from experimental
studies and in vivo data suggest that (I) a mineral imbalance with
hyperphosphatemia and high-circulating calcium x phosphate product, (II) a
deficiency of systemic or local calcification inhibitors, (III) death or 'damage'
of vascular smooth muscle cells (VSMCs), and/or (IV) phenotypic transformation of
VSMCs to osteo/chondrocytic cells may all act in concert to initiate and sustain
vascular calcification. In CKD patients inhibitory systems are overwhelmed by a
multitude of agents that induce VSMC damage and cell death resulting in the
release of vesicles capable of nucleating basic calcium phosphate. Studies with
genetically altered mice have identified both local and systemic calcification
inhibitors that act to maintain VSMC differentiation or regulate vesicle
properties. However, for many of these proteins the mechanisms and sites of
action are still under investigation. In particular, it is unclear whether
factors present in the circulation have an inhibitory role there and whether
circulating levels of these proteins influence or are indicative of underlying
disease processes in individual patients. A greater understanding of the origins
and roles of potential circulating inhibitors may result in novel strategies
aimed at the prevention or reversal of the life-limiting calcifying
vasculopathies seen in CKD patients.
PMID- 18046320
TI - Expression and role of connexins in the rat renal vasculature.
AB - Gap junctions are present in the juxtaglomerular apparatus enabling intercellular
communication. Our study determined the location of different connexin subtypes
within the juxtaglomerular apparatus of the rat, and the role of these subtypes
in renal hemodynamics through the use of specific mimetic peptides.
Immunohistochemical analysis showed connexins 37 and 40 expression in the
endothelial and renin-secreting cells of the afferent arteriole, while connexin
40 was also found in extra- and intraglomerular mesangial cells. In contrast,
connexin 43 was weakly expressed in endothelial cells of the afferent arteriole
and within the glomerulus. Intra-renal infusion of the peptides (GAP) reported to
block specific gap junctions ((Cx37,43)GAP27 or (Cx40)GAP27), elevated blood
pressure, plasma renin activity, and angiotensin II levels, while decreasing
renal plasma flow without a significant change in the glomerular filtration rate.
Subsequent restoration of blood pressure reduced both renal plasma flow and
glomerular filtration rate. In contrast, (Cx43)GAP26 reduced glomerular
filtration rate without alterations in blood pressure, renal plasma flow, plasma
renin activity, or angiotensin II levels. Hence, connexins 37 and 40 are
expressed in the rat juxtaglomerular apparatus and these proteins control, in
part, the renin-angiotensin system and renal autoregulation.
PMID- 18046321
TI - Risky business.
PMID- 18046324
TI - Stalled polymerases and transcriptional regulation.
PMID- 18046325
TI - Sudden and unexpected.
PMID- 18046326
TI - High-maintenance proteins and hypertriglyceridemia.
PMID- 18046327
TI - Two sides of the same coin.
PMID- 18046332
TI - Initiation of zebrafish haematopoiesis by the TATA-box-binding protein-related
factor Trf3.
AB - TATA-box-binding protein (TBP)-related factor 3, TRF3 (also called TBP2), is a
vertebrate-specific member of the TBP family that has a conserved carboxy
terminal region and DNA-binding domain virtually identical to that of TBP (ref.
1). TRF3 is highly expressed during embryonic development, and studies in
zebrafish and Xenopus have shown that it is required for normal embryogenesis.
Here we show that zebrafish embryos depleted of Trf3 exhibit multiple
developmental defects and, in particular, fail to undergo haematopoiesis.
Expression profiling for Trf3-dependent genes identified mespa, which encodes a
transcription factor whose murine orthologue is required for mesoderm
specification, and chromatin immunoprecipitation verified that Trf3 binds to the
mespa promoter. Depletion of Mespa resulted in developmental and haematopoietic
defects markedly similar to those induced by Trf3 depletion. Injection of mespa
messenger RNA (mRNA) restored normal development to a Trf3-depleted embryo,
indicating mespa is the single Trf3 target gene required for zebrafish
embryogenesis. Zebrafish embryos depleted of Trf3 or Mespa also failed to express
cdx4, a caudal-related gene required for haematopoiesis. Mespa binds to the cdx4
promoter, and epistasis analysis revealed an ordered trf3-mespa-cdx4 pathway.
Thus, in zebrafish, commitment of mesoderm to the haematopoietic lineage occurs
through a transcription factor pathway initiated by a TBP-related factor.
PMID- 18046331
TI - RNA-mediated epigenetic programming of a genome-rearrangement pathway.
AB - Genome-wide DNA rearrangements occur in many eukaryotes but are most exaggerated
in ciliates, making them ideal model systems for epigenetic phenomena. During
development of the somatic macronucleus, Oxytricha trifallax destroys 95% of its
germ line, severely fragmenting its chromosomes, and then unscrambles hundreds of
thousands of remaining fragments by permutation or inversion. Here we demonstrate
that DNA or RNA templates can orchestrate these genome rearrangements in
Oxytricha, supporting an epigenetic model for sequence-dependent comparison
between germline and somatic genomes. A complete RNA cache of the maternal
somatic genome may be available at a specific stage during development to provide
a template for correct and precise DNA rearrangement. We show the existence of
maternal RNA templates that could guide DNA assembly, and that disruption of
specific RNA molecules disables rearrangement of the corresponding gene.
Injection of artificial templates reprogrammes the DNA rearrangement pathway,
suggesting that RNA molecules guide genome rearrangement.
PMID- 18046334
TI - The interplay between MYC and HIF in cancer.
AB - The interaction of MYC and hypoxia inducible factors (HIFs) under physiological,
non-tumorigenic conditions provides insights into normal homeostatic cellular
responses to low oxygen levels (hypoxia). Many tumours contain genetic
alterations, such as MYC activation, that can collaborate with HIF to confer
metabolic advantages to tumour cells, which tend to exist in a hypoxic
microenvironment. This Perspective emphasizes the differences between the
transcriptional network that operates under normal homeostatic conditions and the
network in a tumorigenic milieu.
PMID- 18046333
TI - Distinct physiological states of Plasmodium falciparum in malaria-infected
patients.
AB - Infection with the malaria parasite Plasmodium falciparum leads to widely
different clinical conditions in children, ranging from mild flu-like symptoms to
coma and death. Despite the immense medical implications, the genetic and
molecular basis of this diversity remains largely unknown. Studies of in vitro
gene expression have found few transcriptional differences between different
parasite strains. Here we present a large study of in vivo expression profiles of
parasites derived directly from blood samples from infected patients. The in vivo
expression profiles define three distinct transcriptional states. The biological
basis of these states can be interpreted by comparison with an extensive
compendium of expression data in the yeast Saccharomyces cerevisiae. The three
states in vivo closely resemble, first, active growth based on glycolytic
metabolism, second, a starvation response accompanied by metabolism of
alternative carbon sources, and third, an environmental stress response. The
glycolytic state is highly similar to the known profile of the ring stage in
vitro, but the other states have not been observed in vitro. The results reveal a
previously unknown physiological diversity in the in vivo biology of the malaria
parasite, in particular evidence for a functional mitochondrion in the asexual
stage parasite, and indicate in vivo and in vitro studies to determine how this
variation may affect disease manifestations and treatment.
PMID- 18046335
TI - Relaxant effects of an alkaloid-rich fraction from Aspidosperma ulei root bark on
isolated rabbit corpus cavernosum.
AB - We described earlier that an alkaloid-rich fraction (F(3-5)) from Aspidosperma
ulei (Markgr) induces penile erection-like behavioral responses in mice. This
study verified a possible relaxant effect of this fraction on isolated rabbit
corpus cavernosum (RbCC) strips precontracted by phenylephrine (1 microM) or K+
60 mM. F(3-5) (1-300 microg ml(-1)) relaxed the RbCC strips in a concentration
dependent and reversible manner. The relaxant effect of F(3-5) (100 microg ml(
1)) on phenylephrine contraction was unaffected in the presence of atropine, N
omega-nitro-L-arginine methyl ester or 1H-[1,2,4]oxadiazole[4,3-a] quinoxalin-1
one and by preincubation with tetrodotoxin, glibenclamide, apamine and
charybdotoxin suggesting that mechanisms other than cholinergic, nitrergic, sGC
activation or potassium channel opening are probably involved. However, the
phasic component of the contraction induced by K+ 60 mM as well as the maximal
contraction elicited by increasing external Ca2+ concentrations in depolarized
corpora cavernosa was inhibited by F(3-5). We conclude that F(3-5) relaxes the
RbCC smooth muscle, at least in part, through a blockade of calcium influx or its
function.
PMID- 18046336
TI - Predictors of mortality and length of stay for neonates admitted to children's
hospital neonatal intensive care units.
AB - OBJECTIVE: Current scoring systems, which adjust prediction for severity of
illness, do not account for higher observed mortality in neonatal intensive care
units (NICUs) of children's hospitals than that of perinatal centers. We
hypothesized that three potential predictors, (a) admission from another NICU,
(b) presence of congenital anomalies and (c) need for surgery, would modify
expected mortality and/or length of stay for infants admitted to NICUs in
children's hospitals. STUDY DESIGN: We reviewed consecutive admissions to two
NICUs in children's hospitals in Canada. We performed regression analyses to
evaluate these potential predictors and severity-of-illness indices for the
outcomes of mortality and length of stay. RESULT: Of 625 neonatal admissions,
transfer from another NICU, congenital anomalies requiring admission and surgery
were identified in 371 (59%). Using logistic regression, mortality was predicted
based on admission from another NICU (odds ratio (OR) 1.92; 95% confidence
interval (CI) 1.04, 3.57), congenital anomalies (OR 7.28; 95% CI 3.69, 14.36) and
a validated severity-of-illness score, the Score for Neonatal Acute Physiology
Perinatal Extension Version II (SNAPPE-II; OR 1.07; 95% CI 1.05, 1.09 per point).
By contrast, surgical intervention was predictive of survival (OR 0.35; 95% CI
0.18, 0.67). Length of stay >or=21 days was predicted by SNAPPE-II (OR 1.02; 95%
CI 1.01, 1.03 per point), congenital anomalies (OR 2.47; 95% CI 1.60, 3.79) and
surgery (OR 2.73; 95% CI 1.77, 4.21). CONCLUSION: Fair performance comparisons of
NICUs with different case-mixes, such as children's hospital and perinatal NICUs,
in addition to severity-of-illness indices, should account for admissions from
another NICU, congenital anomalies and surgery.
PMID- 18046337
TI - Fluid regimens in the first week of life may increase risk of patent ductus
arteriosus in extremely low birth weight infants.
AB - BACKGROUND: High fluid volumes may increase neonatal morbidity. However, evidence
supporting fluid restriction is inconclusive and restricting fluids may restrict
caloric intake. OBJECTIVE: To determine if higher fluid intake was associated
with increased risk of patent ductus arteriosus (PDA) or bronchopulmonary
dysplasia (BPD) in extremely low birth weight (ELBW) infants. STUDY DESIGN: A
total of 204 ELBW (170 ml kg(-1) day(-1)) in
the first days of life is associated with increased risk of PDA.
PMID- 18046338
TI - Systemic fungal infection is associated with the development of retinopathy of
prematurity in very low birth weight infants: a meta-review.
AB - OBJECTIVE: To study the association between systemic fungal infection (SFI) and
the development of retinopathy of prematurity (ROP) and severe ROP in very low
birth weight (VLBW) infants by systematic review and meta-analysis. STUDY DESIGN:
A meta-review was performed using a fixed effects model. The exposure and
outcomes studied were SFI and all ROP/severe ROP, respectively in VLBW infants.
Results and effect sizes analyzed with Review Manager 4.2 software are expressed
as relative risk (RR), odds ratio (OR), risk difference (RD) and number needed to
harm (NNH) with 95% confidence intervals. RESULT: Data for severe ROP were
available from eight studies and on all ROP from seven of those eight studies.
Estimated gestational age ranged from 24.7+/-1.6 to 28.6+/-4 weeks and birth
weight from 673 (median) (range 426 to 995) to 1108+/-266 g (mean+/-s.d.). A
total of 261 of 303 babies with SFI had all ROP vs 1081 of 1648 babies without
SFI (OR 3.4(*), 2.34-4.95) and 118 of 330 babies with SFI had severe ROP vs 235
of 1951 babies without SFI (OR 4.06(*), 3.05-5.42). The NNH was 5.56(*) (4.54
7.14) for all ROP and 4.54(*) (3.70 to 5.88) for severe ROP ((*) P<0.00001).
CONCLUSION: SFIs are associated with the development of all degrees of ROP and
severe ROP in VLBW infants.
PMID- 18046339
TI - Choice of antenatal testing significantly effects a patient's work obligations.
AB - OBJECTIVE: We sought to compare two approaches to antenatal testing for their
impact on the workforce. STUDY DESIGN: This is a prospective observational study
of women who presented for antenatal testing. All women were given a survey upon
presentation. As per hospital protocol, nonstress testing (NST) was performed
semiweekly and biophysical profile (BPP) was performed weekly. The choice of
testing was determined by the attending physician. chi2- and Student's t-tests
were performed where appropriate. A P-value of <0.05 was considered significant.
RESULT: A total of 195 women were surveyed. Among them, 94 women had an NST and
101 had a BPP. Overall, 59.2% were multiparous, 33.1% had to arrange for child
care and 97.2% felt reassured by the testing. There were no differences in
demographic characteristics, education, type of insurance or employment status
between the groups. Women who had NSTs were more likely to lose time from work
than those who had BPPs (218.4 versus 68.9 min; P<0.001). Of the women who had
semiweekly NSTs, 80.6% would have preferred weekly testing. If the 94 women who
received semiweekly testing had weekly testing, a total of 534.4 h would have
been available for the workforce. CONCLUSION: Twice-weekly NST results in a
significant increase in time lost from the workforce compared with weekly BPP.
PMID- 18046340
TI - Amnioinfusion in preterm PROM: effects on amnion and cord histology.
AB - OBJECTIVE: To investigate the effects of transabdominal amnioinfusion (TA) on the
histology of amnion (A) and umbilical cord (UC). STUDY DESIGN: From a cohort of
56 singleton pregnancies with premature rupture of membranes (PROM) at or =140 or a diastolic blood pressure (DBP)> or
=90 mm Hg or treatment with medication for hypertension. HOMA-S was calculated as
a measure of insulin sensitivity using the HOMA2 calculator. Hypertension
developed in 14% of the 4306 subjects available for this analysis. Higher 2hPG
was significantly related to greater risk of hypertension after adjustment for
age, gender, FPG, BMI (baseline and difference), waist circumference (baseline
and difference), education, exercise, alcohol intake, baseline SBP and smoking
(OR (95% CI) 1.12 (1.01 to 1.23)), but no significant association was seen
between FPG and hypertension in this model (1.02 (0.88-1.19)). Further adjustment
for HOMA-S did not change these findings. Higher baseline 2hPG was more strongly
associated with an increase in SBP than in DBP over 5 years. We conclude that
higher 2hPG predicted future hypertension occurrence in this population.
PMID- 18046431
TI - Telomere length may be associated with hypertension.
PMID- 18046433
TI - Variation in recorded blood pressure terminal digit bias in general practice.
AB - This study aims to identify the extent of terminal digit bias in routinely
recorded blood pressures (BP) across a number of different general practices and
report on changes in terminal digit bias over a 10-year period. It also explores
the effect this may have had on the mean recorded BP in this population. BP
records were taken from The Health Improvement Network database containing
anonymized patient records from information entered by UK general practices in
the financial years 1996-1997 to 2005-2006. The proportion of measurements ending
in zero and the mean BP readings were calculated for each practice and for each
year of data.Over this 10-year period the percentage of systolic BPs with zero
terminal digits fell from 71.2 to 36.7% and mean recorded BP fell from 152.3 to
145.3 mm Hg. Correcting the BPs to remove terminal digit bias indicates a 2-3 mm
Hg underestimation of the mean population systolic BP over this period. The
between-practice variation in the percentage of zero terminal digit readings
increased from 3.5 to 6.5 s.d. Although it is welcome to see a reduction in
terminal digit bias, it is worrying to see the increase in variation between
practices. There is evidence that terminal digit bias may lead to potential
misclassification and inappropriate treatment of hypertensive patients. The
increase in variation observed may therefore lead to an increased variation in
the quality of care given to patients.
PMID- 18046434
TI - Effect of angiotensin-converting enzyme inhibitors on systemic inflammation and
myocardial sympathetic innervation in normotensive patients with type 2 diabetes
mellitus.
AB - Diabetes mellitus (DM) may cause an increase in the inflammatory status and
oxidative stress as well as sympathetic nervous system overactivity, even in the
absence of any other organic heart disease. We investigated the effect of
perindopril, an angiotensin-converting enzyme inhibitor (ACE-i), on indexes of
systemic inflammation and oxidative stress in normotensive patients with type 2
DM. We also examined the effect of the drug on the disturbances of left
ventricular myocardial adrenergic innervation that may be seen in these patients.
We studied 62 normotensive patients with type 2 DM, who were randomized to
receive perindopril (n=32) or placebo (n=30). At the start of the study and after
6 months' therapy blood samples were taken to evaluate total peroxides (TP),
interleukin-6 (IL-6) and tumour necrosis factor-alpha (TNF-alpha), and the
patients underwent a (123)I-metaiodobenzylguanidine myocardial scintigraphy
study. ACE-i caused a significant reduction in levels of cytokines and TP
(P<0.001 for IL-6 and TNF-alpha, P=0.001 for TP). There was also a reduction in
total defect score (P<0.001) and the heart to mediastinum ratio at 10 min and 4 h
was improved (P<0.001 for both). No significant alterations were observed in the
placebo group. Our data indicate that the addition of ACE-i to the medication of
normotensive diabetic type 2 patients may improve the disturbed myocardial
adrenergic innervation, the systemic inflammatory status and oxidative stress.
Our findings indicate the cardioprotective action of ACE-i and suggest that
earlier treatment might be appropriate in those patients.
PMID- 18046435
TI - Linking inflammation and hypertension in humans: studies in Bartter's/Gitelman's
syndrome patients.
PMID- 18046432
TI - Randomized study of traditional versus aggressive systolic blood pressure control
(Cardio-Sis): rationale, design and characteristics of the study population.
AB - The hypothesis that a therapeutic strategy aimed at lowering systolic blood
pressure (SBP) below 130 mm Hg is superior to a conventional strategy targeted at
below 140 mm Hg in hypertensive subjects has never been tested in randomized
intervention studies. The Studio Italiano Sugli Effetti Cardiovascolari del
Controllo della Pressione Arteriosa Sistolica (Cardio-Sis) is a multi-centre
study in non-diabetic, treated hypertensive subjects aged >55 years with
uncontrolled SBP (>or=150 mm Hg) and at least one additional cardiovascular risk
factor (ClinicalTrials.gov identifier: NCT00421863). Subjects are randomized to
an SBP goal <140 mm Hg (conventional) or <130 mm Hg (aggressive), independently
of baseline and achieved diastolic blood pressure (BP). Anti-hypertensive drugs
dispensed for the study are restricted to a list of specific drugs. The primary
outcome of the study is based on regression of left ventricular hypertrophy (LVH)
using electrocardiography (ECG). The hypothesis is that subjects without LVH
regression or with new development of LVH 2 years after randomization are 19%
with conventional strategy and 12% with aggressive strategy. Secondary outcome is
a composite pool of pre-specified fatal and non-fatal events. Randomization of
1111 subjects was completed by February 2007. Mean age of subjects (41% men) at
entry was 67 years. BP was 158/87 mm Hg (systolic/diastolic) and prevalence of
LVH by ECG was 21.0%. Cardio-Sis is the first randomized study specifically
designed to compare two different SBP goals. Results will be broadly applicable
to subjects with uncontrolled SBP under anti-hypertensive treatment.
PMID- 18046436
TI - Hiding in the shadows of the HIV epidemic: obesity and hypertension in a rural
population with very high HIV prevalence in South Africa.
PMID- 18046437
TI - Blood pressure levels correlate with intra-individual variability using an
automated device in early pregnancy.
AB - This study reports on the interdependence of intra-individual blood pressure (BP)
variability and the BP level in early pregnancy. The higher the BP, the more
exaggerated the drop from the first to the second reading and the higher the
intra-individual standard deviation.
PMID- 18046439
TI - Drug Insight: impulse control disorders and dopamine therapies in Parkinson's
disease.
AB - Impulse control disorders (ICDs) constitute a group of relatively common
psychiatric conditions. ICDs typically involve pleasurable or hedonic behaviors
(e.g. gambling, shopping or sex) that are performed repetitively, excessively or
compulsively, to an extent that interferes in major areas of life functioning.
Over the past decade, case reports, case studies and controlled examinations have
reported ICDs in neurological patients, particularly those with Parkinson's
disease (PD). A relationship between dopamine agonist treatment and ICDs was
initially suggested on the basis of clinical observations, and subsequent
systematic studies have provided more-substantial support for this association.
Ongoing studies of the clinical characteristics of individuals with PD with and
without ICDs suggest that certain individuals might be at increased risk of
developing ICDs during PD treatment. Emerging data suggest that the association
between dopamine agonists and ICDs extends into other neurological patient
populations in which these agents are employed, such as those with restless legs
syndrome. In this article, we summarize current knowledge regarding ICDs, review
their relationships with PD and its treatments, provide practical clinical
recommendations based on existing data, and suggest avenues for future research
directed at advancing clinical care strategies.
PMID- 18046440
TI - Surgery Insight: surgical management of epilepsy.
AB - Epilepsy surgery has been shown to be an effective treatment for patients with
intractable epilepsy. The only randomized controlled trial conducted in this
setting to date found a dramatic advantage for surgery over medical treatment in
temporal lobe epilepsy. In carefully selected patients, epilepsy surgery can
control seizures, improve quality of life and reduce costs of medical care.
Advances in diagnostic techniques are likely to improve patient selection,
facilitate localization of epileptic foci and functional areas, and enable better
prediction of outcomes.
PMID- 18046441
TI - Mechanisms of disease: the PI3K-Akt-PTEN signaling node--an intercept point for
the control of angiogenesis in brain tumors.
AB - The overall prognosis for patients with high-grade glioma remains dismal, despite
advances in treatment modalities including neurosurgery, radiation therapy and
conventional cytotoxic chemotherapy. In this article, we review literature that
provides a rationale for the use of antiangiogenic therapy to improve the
treatment of high-grade neoplasms in the CNS. In particular, we focus our
discussion on the central role of the phosphatidylinositol 3-kinase-Akt-
phosphatase and tensin homolog (PI3K-Akt-PTEN) axis as a potential molecular
target for the control of angiogenesis in brain tumors via the coordinated
control of cell division, tumor growth, angiogenesis, apoptosis, invasion and
cellular metabolism in the tumor and stromal compartments. We suggest that
instead of inhibiting a single cell surface receptor, thereby leaving other
receptors free to pulse survival, proliferative, angiogenic and invasive signals,
a more effective way to approach the design of targeted therapy against brain
tumors is to inhibit a nodal point where redundant cell surface receptor signals
converge to transmit important, relatively conserved signaling events within the
cell. The epigenetic and post-translational regulation of PI3K-Akt-PTEN signaling
has a prominent role in brain tumor pathogenesis, and we therefore suggest that
PI3K could be an important target for therapies that target brain tumors.
PMID- 18046442
TI - Familial adenomatous polyposis in a patient with unexplained mental retardation.
AB - BACKGROUND: A 22-year-old woman was referred to a genomic medicine clinic for
evaluation of suspected Prader-Willi syndrome (PWS) after normal DNA methylation
studies on chromosome 15 were obtained. Features suggestive of PWS included
mental retardation, short stature, obesity, hypotonia, and small hands and feet.
The patient, however, lacked many PWS-defining behavioral features, including
hyperphagia, compulsive skin picking, and food-seeking behaviors. INVESTIGATIONS:
DNA methylation studies on chromosome 15 were completed before the patient's
presentation. At the genomic medicine clinic, she underwent standard karyotyping,
array comparative genomic hybridization, fluorescent in situ hybridization
analysis, colonoscopy, endoscopy, thyroid ultrasound, and thyroid fine needle
aspiration biopsy. DIAGNOSIS: Familial adenomatous polyposis with mental
retardation, caused by an interstitial deletion of the long arm of chromosome 5
encompassing the APC (adenomatous polyposis coli) tumor suppressor locus.
MANAGEMENT: Colectomy with ileorectal anastomosis and thyroidectomy with
subsequent iodine-131 therapy. The patient also underwent genetic counseling and
was prescribed a program of caloric reduction and exercise.
PMID- 18046443
TI - T-cell lymphomas in T-cell-specific Pten-deficient mice originate in the thymus.
AB - Phosphatase and tensin homolog deleted on chromosome 10 (Pten) is a tumor
suppressor protein whose loss of lipid phosphatase activity is associated with
lymphomagenesis. We made use of the Cre-loxP system to delete Pten expression in
Lck- or CD4-expressing T-lineage cells. Mice initially showed modest thymic
hyperplasia and subsequently developed expanding and infiltrating T-cell
lymphomas, leading to a premature death within 5 to 23 weeks. Frequently, all
thymocyte and peripheral T-cell populations displayed phenotypes characteristic
for immature developing thymocyte precursors and shared elevated levels of
clonally rearranged T-cell receptor (TCR) beta chains. In concert, CD2, CD5,
CD3epsilon and CD44, proteins associated with increased expression and signaling
capacity of both the immature pre-TCR and the mature alphabetaTCR, were more
abundantly expressed, reflecting a constitutive state of activation. Although
most T-cell lymphomas had acquired the capability to infiltrate the periphery,
not all populations left the thymus and expanded clonally exclusively in the
thymus. In line with this, only transplantation of thymocytes with infiltrating
capacity gave rise to T-cell lymphoma in immunodeficient recipients. These
results indicate that T-cell-specific Pten deletion during various stages of
thymocyte development gives rise to clonally expanding T-cell lymphomas that
frequently infiltrate the periphery, but originate in the thymus.
PMID- 18046444
TI - Low MCL-1 mRNA expression correlates with prolonged survival in B-cell chronic
lymphocytic leukemia.
PMID- 18046445
TI - Fresh frozen plasma contains free asparagine and may replace the plasma
asparagine pool during L-asparaginase therapy.
PMID- 18046447
TI - The BCL-2 promoter (-938C>A) polymorphism does not predict clinical outcome in
chronic lymphocytic leukemia.
AB - The (-938C>A) polymorphism in the promoter region of the BCL-2 gene was recently
associated with inferior time to treatment and overall survival in B-cell chronic
lymphocytic leukemia (CLL) patients displaying the -938A/A genotype and may thus
serve as an unfavorable genetic marker in CLL. Furthermore, the -938A/A genotype
was associated with increased expression of Bcl-2. To investigate this further,
we analyzed the -938 genotypes of the BCL-2 gene in 268 CLL patients and
correlated data with treatment status, overall survival and known prognostic
factors, for example, Binet stage, immunoglobulin heavy-chain variable (IGHV)
mutational status and CD38 expression. In contrast to the recent report, the
current cohort of CLL patients showed no differences either in time to treatment
or overall survival in relation to usage of a particular genotype. In addition,
no correlation was evident between the (-938C>A) genotypes and IGHV mutational
status, Binet stage or CD38. Furthermore, the polymorphism did not appear to
affect the Bcl-2 expression at the RNA level. Taken together, our data do not
support the use of the (-938C>A) BCL-2 polymorphism as a prognostic marker in CLL
and argue against its postulated role in modulating Bcl-2 levels.
PMID- 18046446
TI - Atacicept (TACI-Ig) inhibits growth of TACI(high) primary myeloma cells in SCID
hu mice and in coculture with osteoclasts.
AB - APRIL (a proliferation-inducing Ligand) and BLyS/BAFF (B-lymphocyte stimulator/B
cell-activating factor of the TNF (tumor necrosis factor) family have been shown
to be the survival factors for certain myeloma cells in vitro. BAFF binds to the
TNF-related receptors such as B-cell maturation antigen (BCMA), transmembrane
activator and CAML interactor (TACI) and BAFFR, whereas APRIL binds to TACI and
BCMA and to heparan sulfate proteoglycans (HSPG) such as syndecan-1. TACI gene
expression in myeloma reportedly can distinguish tumors with a signature of
microenvironment dependence (TACI(high)) versus a plasmablastic signature
(TACI(low)). We tested the effect of atacicept (formerly TACI-Ig, which blocks
APRIL and BAFF) and BAFFR-Ig (which blocks BAFF only) on primary myeloma growth
in the SCID-hu model and in coculture with osteoclasts. With only few exceptions,
atacicept and to a lesser extent BAFFR-Ig, inhibited growth of TACI(high) but not
TACI(low) myeloma samples in vivo and ex vivo, and the response rate was
inversely correlated with TACI expression. Most TACI(high) myeloma cells were
molecularly classified as being low risk with our recently described 70-gene
model. APRIL and BAFF were highly expressed by osteoclasts and were upregulated
in myeloma cells after coculture with osteoclasts. Our findings suggest that
APRIL plays an essential role in the survival of TACI(high) bone marrow-dependent
myeloma cells and TACI gene expression may be a useful predictive marker for
patients who could benefit from atacicept treatment.
PMID- 18046448
TI - NK cell receptors and their ligands in leukemia.
AB - Human natural killer (NK) cells are built to kill abnormal cells but to preserve
autologous normal cells. To accomplish this task, they are equipped with a large
number of inhibiting and activating receptors. Ligation with corresponding
ligands will determine whether the NK cell becomes activated to destroy the
abnormal cell. This review will focus on the abnormalities of NK cell receptors
and their putative ligands found in patients with leukemia, which can lead to an
inadequate function of NK cells allowing these malignant cells to escape from NK
cell destruction. In recent years it has become clear that NK cells in the
haploidentical hematopoietic stem cell transplantation (HSCT) setting are very
effective in eliminating residual acute myeloid, but not acute lymphoid, leukemic
cells. In this regard, we also reviewed published studies of retrospective
cohorts of HSCT investigating the potential beneficial effect of killer-cell
immunoglobulin-like receptors (KIRs) and human leukocyte antigen (HLA) ligands on
NK alloreactivity. Manipulating NK cell inhibition or activation could lead to
new forms of immunotherapy, ultimately leading to the elimination of resistant
leukemic cells.
PMID- 18046449
TI - The BCL2 rheostat in glucocorticoid-induced apoptosis of acute lymphoblastic
leukemia.
AB - Glucocorticoid (GC)-induced apoptosis is essential in the treatment of acute
lymphoblastic leukemia (ALL) and related malignancies. Pro- and anti-apoptotic
members of the BCL2 family control many forms of apoptotic cell death, but the
extent to which this survival 'rheostat' is involved in the beneficial effects of
GC therapy is not understood. We performed systematic analyses of expression, GC
regulation and function of BCL2 molecules in primary ALL lymphoblasts and a
corresponding in vitro model. Affymetrix-based expression profiling revealed that
the response included regulations of pro-apoptotic and, surprisingly, anti
apoptotic BCL2 family members, and varied among patients, but was dominated by
induction of the BH3-only molecules BMF and BCL2L11/Bim and repression of
PMAIP1/Noxa. Conditional lentiviral gene overexpression and knock-down by RNA
interference in the CCRF-CEM model revealed that induction of Bim, and to a
lesser extent that of BMF, was required and sufficient for apoptosis. Although
anti-apoptotic BCL2 members were not regulated consistently by GC in the various
systems, their overexpression delayed, whereas their knock-down accelerated, GC
induced cell death. Thus, the combined clinical and experimental data suggest
that GCs induce both pro- and anti-apoptotic BCL2 family member-dependent
pathways, with the outcome depending on cellular context and additional signals
feeding into the BCL2 rheostat.
PMID- 18046450
TI - Enhanced antitumor activity by a selective conditionally replicating adenovirus
combining with MDA-7/interleukin-24 for B-lymphoblastic leukemia via induction of
apoptosis.
AB - Conditionally replicating adenoviruses (CRAds) represent a promising new platform
for anticancer therapy. However, CRAds have been evaluated little in
hematopoietic malignancies because of the lack of expression of coxsackie
adenovirus receptor (CAR) on their cell surface. In this study, we showed that
CAR was expressed on two types of lymphoblastic leukemia cell lines and primary
leukemia cells, and that ZD55, a CRAd, exerted a potent antileukemia effect in
vitro and in vivo. Furthermore, ZD55 expressing melanoma differentiation
associated gene-7/interleukin-24 (ZD55-IL-24) elicited significant enhanced
antileukemia activity comparing with ZD55, concomitant with upregulation of RNA
dependent protein kinase R (PKR), increased phosphorylation of p38 mitogen
activated protein kinase (MAPK), and induction of endoplasmic reticulum (ER)
stress. These data for the first time indicate that MDA-7/IL-24 exerts its
antitumor effect on leukemia cells via multiple pathways, and suggest that
oncolytic adenoviruses, ZD55 and ZD55-IL-24 could potentially be used against CAR
expressing hematological malignancies such as B-lymphoblastic leukemia/lymphoma
and some myeloid leukemia.
PMID- 18046451
TI - Do beta2 microglobulin levels affect survival of newly diagnosed myeloma patients
with translocation t(4;14) or 17p13 deletion treated with conventional
chemotherapy?
PMID- 18046452
TI - A versatile interaction platform on the Mex67-Mtr2 receptor creates an overlap
between mRNA and ribosome export.
AB - The transport receptor Mex67-Mtr2 functions in mRNA export, and also by a loop
confined surface on the heterodimer binds to and exports pre-60S particles. We
show that Mex67-Mtr2 through the same surface that recruits pre-60S particles
interacts with the Nup84 complex, a structural module of the nuclear pore complex
devoid of Phe-Gly domains. In vitro, pre-60S particles and the Nup84 complex
compete for an overlapping binding site on the loop-extended Mex67-Mtr2 surface.
Chemical crosslinking identified Nup85 as the subunit in the Nup84 complex that
directly binds to the Mex67 loop. Genetic studies revealed that this interaction
is crucial for mRNA export. Notably, pre-60S subunit export impaired by mutating
Mtr2 or the 60S adaptor Nmd3 could be partially restored by second-site mutation
in Nup85 that caused dissociation of Mex67-Mtr2 from the Nup84 complex. Thus, the
Mex67-Mtr2 export receptor employs a versatile binding platform on its surface
that could create a crosstalk between mRNA and ribosome export pathways.
PMID- 18046453
TI - MHC class II molecules on the move for successful antigen presentation.
AB - Major histocompatibility complex class II (MHC II) molecules are targeted to
endocytic compartments, known as MIIC, by the invariant chain (Ii) that is
degraded upon arrival in these compartments. MHC II acquire antigenic fragments
from endocytosed proteins for presentation at the cell surface. In a unique and
complex series of reactions, MHC II succeed in exchanging a remaining fragment of
Ii for other protein fragments in subdomains of MIIC before transport to the cell
surface. Here, the mechanisms regulating loading and intracellular trafficking of
MHC II are discussed.
PMID- 18046454
TI - Spatial recruitment and activation of the Fes kinase by ezrin promotes HGF
induced cell scattering.
AB - The remodeling of epithelial monolayers induced by hepatocyte growth factor (HGF)
results in the reorganization of actin cytoskeleton and cellular junctions. We
previously showed that the membrane-cytoskeleton linker ezrin plays a major role
in HGF-induced morphogenic effects. Here we identified a novel partner of
phosphorylated ezrin, the Fes kinase, that acts downstream of ezrin in HGF
mediated cell scattering. We found that Fes interacts directly, through its SH2
domain, with ezrin phosphorylated at tyrosine 477. We show that in epithelial
cells, activated Fes localizes either to focal adhesions or cell-cell contacts
depending on cell confluency. The recruitment and the activation of Fes to the
cell-cell contacts in confluent cells depend on its interaction with ezrin. When
this interaction is impaired, Fes remains in focal adhesions and as a consequence
the cells show defective spreading and scattering in response to HGF stimulation.
Altogether, these results provide a novel mechanism whereby ezrin/Fes interaction
at cell-cell contacts plays an essential role in HGF-induced cell scattering and
implicates Fes in the cross-talk between cell-cell and cell-matrix adhesion.
PMID- 18046455
TI - Crystal structure of human XLF/Cernunnos reveals unexpected differences from
XRCC4 with implications for NHEJ.
AB - The recently characterised 299-residue human XLF/Cernunnos protein plays a
crucial role in DNA repair by non-homologous end joining (NHEJ) and interacts
with the XRCC4-DNA Ligase IV complex. Here, we report the crystal structure of
the XLF (1-233) homodimer at 2.3 A resolution, confirming the predicted
structural similarity to XRCC4. The XLF coiled-coil, however, is shorter than
that of XRCC4 and undergoes an unexpected reverse in direction giving rise to a
short distorted four helical bundle and a C-terminal helical structure wedged
between the coiled-coil and head domain. The existence of a dimer as the major
species is confirmed by size-exclusion chromatography, analytical
ultracentrifugation, small-angle X-ray scattering and other biophysical methods.
We show that the XLF structure is not easily compatible with a proposed XRCC4:XLF
heterodimer. However, we demonstrate interactions between dimers of XLF and XRCC4
by surface plasmon resonance and analyse these in terms of surface properties,
amino-acid conservation and mutations in immunodeficient patients. Our data are
most consistent with head-to-head interactions in a 2:2:1 XRCC4:XLF:Ligase IV
complex.
PMID- 18046456
TI - Hsp90-mediated cytosolic refolding of exogenous proteins internalized by
dendritic cells.
AB - Dendritic cells efficiently internalize exogenous protein antigens by fluid-phase
uptake and receptor-mediated endocytosis. Such antigens contribute to cross
presentation by being translocated into the cytosol for proteasomal degradation,
which liberates immunogenic peptides that can bind to major histocompatibility
complex (MHC) class I molecules after being transported into the endoplasmic
reticulum (ER). MHC class I-peptide complexes are then expressed on the cell
surface and presented to CD8+ T cells. Here we show that internalized proteins
can have an alternative fate. After internalization, proteins are first unfolded
to allow translocation into the cytosol using a pathway related to ER-associated
degradation (ERAD). Subsequently the unfolded proteins can undergo cytosolic
refolding assisted by the chaperone Hsp90. These observations not only clarify
the cellular processes regulating cytosolic access following endocytosis, but
also demonstrate that functional proteins can potentially regain their activity
in the cytosol of dendritic cells.
PMID- 18046457
TI - Structure-guided identification of a new catalytic motif of
oligosaccharyltransferase.
AB - Asn-glycosylation is widespread not only in eukaryotes but also in archaea and
some eubacteria. Oligosaccharyltransferase (OST) catalyzes the co-translational
transfer of an oligosaccharide from a lipid donor to an asparagine residue in
nascent polypeptide chains. Here, we report that a thermophilic archaeon,
Pyrococcus furiosus OST is composed of the STT3 protein alone, and catalyzes the
transfer of a heptasaccharide, containing one hexouronate and two pentose
residues, onto peptides in an Asn-X-Thr/Ser-motif-dependent manner. We also
determined the 2.7-A resolution crystal structure of the C-terminal soluble
domain of Pyrococcus STT3. The structure-based multiple sequence alignment
revealed a new motif, DxxK, which is adjacent to the well-conserved WWDYG motif
in the tertiary structure. The mutagenesis of the DK motif residues in yeast STT3
revealed the essential role of the motif in the catalytic activity. The function
of this motif may be related to the binding of the pyrophosphate group of lipid
linked oligosaccharide donors through a transiently bound cation. Our structure
provides the first structural insights into the formation of the oligosaccharide
asparagine bond.
PMID- 18046458
TI - Neural recognition molecules CHL1 and NB-3 regulate apical dendrite orientation
in the neocortex via PTP alpha.
AB - Apical dendrites of pyramidal neurons in the neocortex have a stereotypic
orientation that is important for neuronal function. Neural recognition molecule
Close Homolog of L1 (CHL1) has been shown to regulate oriented growth of apical
dendrites in the mouse caudal cortex. Here we show that CHL1 directly associates
with NB-3, a member of the F3/contactin family of neural recognition molecules,
and enhances its cell surface expression. Similar to CHL1, NB-3 exhibits high
caudal to low-rostral expression in the deep layer neurons of the neocortex. NB-3
deficient mice show abnormal apical dendrite projections of deep layer pyramidal
neurons in the visual cortex. Both CHL1 and NB-3 interact with protein tyrosine
phosphatase alpha (PTPalpha) and regulate its activity. Moreover, deep layer
pyramidal neurons of PTPalpha-deficient mice develop misoriented, even inverted,
apical dendrites. We propose a signaling complex in which PTPalpha mediates CHL1
and NB-3-regulated apical dendrite projection in the developing caudal cortex.
PMID- 18046459
TI - Structure and functional analysis of the IGF-II/IGF2R interaction.
AB - Embryonic development and normal growth require exquisite control of insulin-like
growth factors (IGFs). In mammals the extracellular region of the cation
independent mannose-6-phosphate receptor has gained an IGF-II-binding function
and is termed type II IGF receptor (IGF2R). IGF2R sequesters IGF-II; imbalances
occur in cancers and IGF2R is implicated in tumour suppression. We report crystal
structures of IGF2R domains 11-12, 11-12-13-14 and domains 11-12-13/IGF-II
complex. A distinctive juxtaposition of these domains provides the IGF-II-binding
unit, with domain 11 directly interacting with IGF-II and domain 13 modulating
binding site flexibility. Our complex shows that Phe19 and Leu53 of IGF-II lock
into a hydrophobic pocket unique to domain 11 of mammalian IGF2Rs. Mutagenesis
analyses confirm this IGF-II 'binding-hotspot', revealing that IGF-binding
proteins and IGF2R have converged on the same high-affinity site.
PMID- 18046460
TI - The post-rigor structure of myosin VI and implications for the recovery stroke.
AB - Myosin VI has an unexpectedly large swing of its lever arm (powerstroke) that
optimizes its unique reverse direction movement. The basis for this is an
unprecedented rearrangement of the subdomain to which the lever arm is attached,
referred to as the converter. It is unclear at what point(s) in the myosin VI
ATPase cycle rearrangements in the converter occur, and how this would effect
lever arm position. We solved the structure of myosin VI with an ATP analogue
(ADP.BeF3) bound in its nucleotide-binding pocket. The structure reveals that no
rearrangement in the converter occur upon ATP binding. Based on previously solved
myosin structures, our structure suggests that no reversal of the powerstroke
occurs during detachment of myosin VI from actin. The structure also reveals
novel features of the myosin VI motor that may be important in maintaining the
converter conformation during detachment from actin, and other features that may
promote rapid rearrangements in the structure following actin detachment that
enable hydrolysis of ATP.
PMID- 18046461
TI - JNK phosphorylates synaptotagmin-4 and enhances Ca2+-evoked release.
AB - Ca2+ influx induced by membrane depolarization triggers the exocytosis of
secretory vesicles in various cell types such as endocrine cells and neurons.
Peptidyl growth factors enhance Ca2+-evoked release, an effect that may underlie
important adaptive responses such as the long-term potentiation of synaptic
transmission induced by growth factors. Here, we show that activation of the c
Jun N-terminal kinase (JNK) plays an essential role in nerve growth factor (NGF)
enhancement of Ca2+-evoked release in PC12 neuroendocrine cells. Moreover, JNK
associated with phosphorylated synaptotagmin-4 (Syt 4), a key mediator of NGF
enhancement of Ca2+-evoked release in this system. NGF treatment led to
phosphorylation of endogenous Syt 4 at Ser135 and translocation of Syt 4 from
immature to mature secretory vesicles in a JNK-dependent manner. Furthermore,
mutation of Ser135 abrogated enhancement of Ca2+-evoked release by Syt 4. These
results provide a molecular basis for the effect of growth factors on Ca2+
mediated secretion.
PMID- 18046462
TI - Absence of the Thorpe-Ingold Effect by gem-Diphenyl Groups in Ring-Closing Enyne
Metathesis.
AB - In tandem ring-closing metathesis of alkynyl silaketals containing two different
tethered olefins, the gem-dimethyl group showed the expected Thorpe-Ingold
effect, thereby giving good level of group selectivity. Unexpectedly, however,
the corresponding gem-diphenyl group did not show any Thorpe-Ingold effect for
the ring closure reaction.
PMID- 18046463
TI - Subjective comparison and evaluation of speech enhancement algorithms.
AB - Making meaningful comparisons between the performance of the various speech
enhancement algorithms proposed over the years, has been elusive due to lack of a
common speech database, differences in the types of noise used and differences in
the testing methodology. To facilitate such comparisons, we report on the
development of a noisy speech corpus suitable for evaluation of speech
enhancement algorithms. This corpus is subsequently used for the subjective
evaluation of 13 speech enhancement methods encompassing four classes of
algorithms: spectral subtractive, subspace, statistical-model based and Wiener
type algorithms. The subjective evaluation was performed by Dynastat, Inc. using
the ITU-T P.835 methodology designed to evaluate the speech quality along three
dimensions: signal distortion, noise distortion and overall quality. This paper
reports the results of the subjective tests.
PMID- 18046464
TI - Spreading out of perturbations in reversible reaction networks.
AB - Using an example of physical interactions between proteins, we study how a
perturbation propagates in the equilibrium of a network of reversible reactions
governed by the law of mass action. We introduce a matrix formalism to describe
the linear response of all equilibrium concentrations to shifts in total
abundances of individual reactants, and reveal its heuristic analogy to the flow
of electric current in a network of resistors. Our main conclusion is that, on
average, the induced changes in equilibrium concentrations decay exponentially as
a function of network distance from the source of perturbation. We analyze how
this decay is influenced by such factors as the topology of a network, binding
strength, and correlations between concentrations of neighboring nodes. We find
that the minimal branching of the network, small values of dissociation
constants, and low equilibrium free (unbound) concentrations of reacting
substances all decrease the decay constant and thus increase the range of
propagation. Exact analytic expressions for the decay constant are obtained for
the case of equally strong interactions and uniform as well as oscillating
concentrations on the Bethe lattice. Our general findings are illustrated using a
real network of protein-protein interactions in baker's yeast with experimentally
determined protein concentrations.
PMID- 18046465
TI - Social Affiliation and the Demand for Health Services: Caste and Child Health in
South India.
AB - This paper assesses the role of social affiliation, measured by caste, in shaping
investments in child health. The special setting that we have chosen for the
analysis - tea estates in the South Indian High Range - allows us to control
nonparametrically for differences in income, access to health services, and
patterns of morbidity across low caste and high caste households. In this
controlled setting, low caste households spend more on their children's health
than high caste households, reversing the pattern we would expect to find
elsewhere in India. Moreover, health expenditures do not vary by gender within
either caste group, in contrast once again with the male preference documented
throughout the country. A simple explanation, based on differences in the returns
to human capital across castes in the tea estates is proposed to explain these
striking results.
PMID- 18046466
TI - Voids, generic van der Waals equation of state, and transport coefficients of
liquids.
AB - In this Perspective, we discuss the role of voids in transport processes in
liquids and the manner in which the concept of voids enters the generic van der
Waals equation of state and the modified free volume theory. The density
fluctuation theory is then discussed and we show how the density fluctuation
theory can be made a molecular theory with the help of the modified free volume
theory and the generic van der Waals equation of state. The confluence of the
aforementioned three theories makes it possible to calculate the transport
coefficients of liquids by using the information on the equilibrium pair
correlation function, which can be calculated either by an integral equation
theory or Monte Carlo simulations. A number of relations between transport
coefficients are also presented, which are derived on the basis of the density
fluctuation theory. Since they can be used to obtain one transport coefficient
from another they can be very useful in handling experimental and theoretical
data. An application of the modified free volume theory to polymer melts is
discussed as an example for a theory of transport properties of complex liquids.
PMID- 18046467
TI - Critical evaluation of mass spectrometric measurement of dissociation constants:
accuracy and cross-validation against surface plasmon resonance and circular
dichroism for the calmodulin-melittin system.
AB - We present a comprehensive study for determining the binding affinity of a
protein-ligand complex, using mass spectrometric methods. Mass spectrometry has
been used to study noncovalent interactions for a number of years. However, the
use of soft ionization mass spectrometry for quantitative analysis of
noncovalently bound complexes is not widely accepted. This paper reports a
comparison of MS methods against established methods such as surface plasmon
resonance (SPR) and circular dichroism (CD) whose suitability for the
quantitative assessment of noncovalent interactions is well known. ESI titration
and MALDI-SUPREX were used as representative mass spectrometric methods for this
work. We chose to study the calmodulin-melittin complex that presents three
challenges: (i) it exhibits a high affinity (low nanomolar KD); (ii) complexes
are formed only in the presence of a coactivator, calcium ions in this case; and
(iii) the protein and the complex show a different ionization efficiency.
Dissociation constants were obtained from each method for the selected system and
compared thoroughly to elucidate pros and cons of the selected methodologies in
terms of their ability for the determination of binding constants of protein
ligand complexes. ESI titration, SPR, CD and MALDI-SUPREX yielded KD values in
the low nanomolar range that are in general agreement with an older value
reported in the literature. We also critically evaluated the limitations in
particular of the MS methods and the associated data evaluation procedures. We
present an improved evaluation of SUPREX data, as well as a detailed error
analysis for all methods used.
PMID- 18046468
TI - Microscopic Li self-diffusion parameters in the lithiated anode material Li4 +
xTi5O12 (0 < or = x < or = 3) measured by 7Li solid state NMR.
AB - The microscopic Li diffusion parameters in the lithiated spinel Li4 + xTi5O12,
which is on its way to become a commercially used anode material in Li ion
batteries, are probed for the first time via nuclear magnetic resonance
spectroscopy.
PMID- 18046469
TI - Application of a new theoretical procedure for calculating Kirkwood correlation
factors in alkanol + hexane and alkanol + pentane mixtures.
AB - A recently developed statistical mechanical model for calculating Kirkwood
correlation factors gK in self associating liquids and liquid mixtures has been
applied for the simultaneous description of gK, the molar enthalpy of mixing HEM
and the infrared absorption of monomer alcoholic species as function of the
composition in alkanol + hexane and alkanol + pentane mixtures (alkanol: butan-1
ol, pentan-1-ol, hexan-1-ol, heptan-1-ol, sec-butanol, tert-butanol). The
majority of parameters involved into the theory are obtained by independent
quantum mechanical ab initio calculations of molecular clusters consisting of up
to four alcohol molecules. As a consequence only two parameters have to be
adjusted freely to each binary system, a third parameter responsible for the non
specific intermolecular dispersion interaction has been adjusted within a limited
range of possible values given by physical arguments. Excellent agreement between
theory and experimental data of gK, HEM and IR absorbance is obtained covering
the whole range of concentration including the temperature dependence of these
properties without adjusting further parameters. The Kirkwood correlation factor
gK turns out to be a sensitive response to peculiarities of the molecular
structure of hydrogen bonded systems in the condensed liquid state. The
successful application of the theoretical model opens a new way of a deeper and
more reliable understanding of such liquid structures.
PMID- 18046470
TI - Circular dichroism and absorption spectroscopy of merocyanine dimer aggregates:
molecular properties and exciton transfer dynamics from time-dependent quantum
calculations.
AB - A wave packet approach to the calculation and interpretation of circular
dichroism (CD) spectra is applied to the spectroscopy of aggregates of a
merocyanine dye. A combined analysis of absorption and CD spectra allows for the
extraction of geometric information and excited state electronic coupling. It is
shown that in the case of dimer aggregates of a chiral merocyanine dye, it is
possible to infer the dynamics of an exciton transfer directly from the CD
spectrum. This relation is established via the Fourier relation to a time
dependent correlation function reflecting the quantum dynamics in the dye
aggregate.
PMID- 18046471
TI - A high-field solid-state 35/37Cl NMR and quantum chemical investigation of the
chlorine quadrupolar and chemical shift tensors in amino acid hydrochlorides.
AB - A series of six L-amino acid hydrochloride salts has been studied by 35/37Cl
solid-state NMR spectroscopy (at 11.75 and 21.1 T) and complementary quantum
chemical calculations. Analyses of NMR spectra acquired under static and magic
angle-spinning conditions for the six hydrochloride salts, those of aspartic
acid, alanine, cysteine, histidine, methionine and threonine, allowed the
extraction of information regarding the chlorine electric field gradient (EFG)
and chemical shift tensors, including their relative orientation. Both tensors
are found to be highly dependent on the local environment, with chlorine-35
quadrupolar coupling constants (CQ) ranging from -7.1 to 4.41 MHz and chemical
shift tensor spans ranging from 60 to 100 ppm; the value of CQ for aspartic acid
hydrochloride is the largest in magnitude observed to date for an organic
hydrochloride salt. Quantum chemical calculations performed on cluster models of
the chloride ion environment demonstrated agreement between experiment and
theory, reproducing CQ to within 18%. In addition, the accuracy of the calculated
values of the NMR parameters as a function of the quality of the input structure
was explored. Selected X-ray structures were determined (L-Asp HCl; L-Thr HCl) or
re-determined (L-Cys HCl.H2O) to demonstrate the benefits of having accurate
crystal structures for calculations. The self-consistent charge field
perturbation model was also employed and was found to improve the accuracy of
calculated quadrupolar coupling constants, demonstrating the impact of the
neighbouring ions on the EFG tensor of the central chloride ion. Taken together,
the present work contributes to an improved understanding of the factors
influencing 35/37Cl NMR interaction tensors in organic hydrochlorides.
PMID- 18046472
TI - High-resolution intermolecular zero-quantum coherence spectroscopy under
inhomogeneous fields with effective solvent suppression.
AB - Intermolecular zero-quantum coherences (iZQCs) are not susceptible to magnetic
field inhomogeneities significantly larger than the dipolar correlation distance
and can be used to obtain 1D high-resolution spectra in an inhomogeneous field.
However, with the iZQC methods proposed previously, residual conventional single
quantum coherences (SQCs) originating mainly from solvent resonance result in
strong t(1) ridge noises. A modified HOMOGENIZED with an intermolecular double
quantum filter (iDQF), named iDQF-HOMOGENIZED, is presented in this work to
suppress the residual conventional SQC signals as well as solvent iZQC signals.
The solvent-suppression efficiency of the iDQF-HOMOGENIZED is analyzed and a
thorough comparison of the new sequence with several relevant pulse sequences is
made. Dramatic resolution enhancement and solvent suppression in the measurements
of a piece of grape sarcocarp suggest potential applications of the method in in
vivo spectroscopy.
PMID- 18046473
TI - The mechanism of H-bond rupture: the vibrational pre-dissociation of C2H2-HCl and
C2H2-DCl.
AB - Pair correlated fragment rovibrational distributions are presented following
vibrational predissociation of the C2H2-DCl van der Waals dimer initiated by
excitation of the asymmetric (asym) C-H stretch. The only observed fragmentation
pathways are DCl (v= 0; j= 6-9)+ C2H2(nu2= 1; j= 1-5). These and previously
reported data on the related C2H2-HCl species are analysed using the angular
momentum (AM) method. Calculations accurately reproduce fragment rovibrational
distributions following dissociation of the C2H2-HCl dimer initiated either by
excitation of the asym C-H stretch or via the HCl stretch, and those from C2H2
DCl initiated via asym C-H stretch excitation. The calculations demonstrate that
the dimer is bent at the moment of dissociation. Several geometries are found
that lead to H-bond breakage via a clearly identified set of fragment quantum
states. The results suggest a hierarchy in the disposal of excess energy and
angular momentum between fragment vibration, rotation and recoil. Deposition of
the largest portion of energy into a C2H2 vibrational state sets an upper limit
on HCl rotation, which then determines the energy and AM remaining for C2H2
rotation and fragment recoil. Acceptor C2H2 vibrational modes follow a previously
noted propensity, implying that the dissociating impulse must be able to induce
appropriate nuclear motions both in the acceptor vibration and in rotation of the
C2H2 fragment.
PMID- 18046474
TI - Are electrostatic potentials between regions of different chemical composition
measurable? The Gibbs-Guggenheim Principle reconsidered, extended and its
consequences revisited.
AB - As indicated by Gibbs and made explicit by Guggenheim, the electrical potential
difference between two regions of different chemical composition cannot be
measured. The Gibbs-Guggenheim Principle restricts the use of classical
electrostatics in electrochemical theories as thermodynamically unsound with some
few approximate exceptions, notably for dilute electrolyte solutions and
concomitant low potentials where the linear limit for the exponential of the
relevant Boltzmann distribution applies. The Principle invalidates the widespread
use of forms of the Poisson-Boltzmann equation which do not include the non
electrostatic components of the chemical potentials of the ions. From a
thermodynamic analysis of the parallel plate electrical condenser, employing only
measurable electrical quantities and taking into account the chemical potentials
of the components of the dielectric and their adsorption at the surfaces of the
condenser plates, an experimental procedure to provide exceptions to the
Principle has been proposed. This procedure is now reconsidered and rejected. No
other related experimental procedures circumvent the Principle. Widely-used
theoretical descriptions of electrolyte solutions, charged surfaces and colloid
dispersions which neglect the Principle are briefly discussed. MD methods avoid
the limitations of the Poisson-Bolzmann equation. Theoretical models which
include the non-electrostatic components of the inter-ion and ion-surface
interactions in solutions and colloid systems assume the additivity of dispersion
and electrostatic forces. An experimental procedure to test this assumption is
identified from the thermodynamics of condensers at microscopic plate
separations. The available experimental data from Kelvin probe studies are
preliminary, but tend against additivity. A corollary to the Gibbs-Guggenheim
Principle is enunciated, and the Principle is restated that for any charged
species, neither the difference in electrostatic potential nor the sum of the
differences in the non-electrostatic components of the thermodynamic potential
difference between regions of different chemical compositions can be measured.
PMID- 18046475
TI - Electronic properties of multifurcated bent hydrogen bonds CH3...Y and CH2...Y.
AB - H-bonding angle angleYHX has an important effect on the electronic properties of
the H-bond Y...HX, such as intra- and intermolecular hyperconjugations and
rehybridization, and topological properties of electron density. We studied the
multifurcated bent H-bonds of the proton donors H3CZ (Z = F, Cl, Br), H2CO and
H2CF2 with the proton acceptors Cl(-) and Br(-) at the four high levels of
theory: MP2/6-311++G(d,p), MP2/6-311++G(2df,2p), MP2/6-311++G(3df,3pd) and
QCISD/6-311++G(d,p), and found that they are all blue-shifted. These complexes
have large interaction energies, 7-12 kcal mol(-1), and large blue shifts, delta
r(HC) = -0.0025 --0.006 A and delta v(HC) = 30-90 cm(-1). The natural bond
orbital analysis shows that the blue shifts of these H-bonds Y...HnCZ are mainly
caused by three factors: rehybridization; indirect intermolecular
hyperconjugation n(Y) -->sigma*(CZ), in that the electron density from n(Y) of
the proton acceptor is transferred not to sigma*(CH), but to sigma*(CZ) of the
donor; intramolecular hyperconjugation n(Z) -->sigma*(CH), in that the electron
density in sigma*(CH) comes back to n(Z) of the donor such that the occupancy in
sigma*(CH) decreases. The topological properties of the electron density of the
bifurcated H-bonds Y...H2CZ are similar to those of the usual linear H-bonds,
there is a bond critical point between Y and each hydrogen, and a ring critical
point inside the tetragon YHCH. However, the topological properties of electron
density of the trifurcated H-bonds Y...H3CZ are essentially different from those
of linear H-bonds, in that the intermolecular bond critical point, which
represents a closed-shell interaction, is not between Y and hydrogen, but between
Y and carbon.
PMID- 18046476
TI - Hyperfine coupling dependence of the effects of weak magnetic fields on the
recombination reactions of radicals generated from polymerisation
photoinitiators.
AB - The recombination reactions of free radicals formed from the photolysis of a
series of polymerisation photoinitiators were studied using time-resolved
infrared spectroscopy. All molecules showed Zeeman magnetic field effects (MFEs)
in the field range 0-37 mT and those molecules that produced radical pairs with
average hyperfine couplings greater than 5 mT showed substantial inverted field
effects at fields of less than 10 mT (so-called low field effects, LFEs). Monte
Carlo simulations with full treatment of all the isotropic hyperfine couplings in
the spin Hamiltonian reproduced well the observed field effects. The use of the
usual analysis based on the calculated B1/2 value for the radical pair was found
to be inappropriate in systems with substantial LFEs, but simple correlations
between this B1/2 value and the observed field features were established.
PMID- 18046478
TI - Milestones in the development of photodynamic therapy and fluorescence diagnosis.
AB - Many reviews on PDT have been published. This field is now so large, and embraces
so many sub-specialties, from laser technology and optical penetration through
diffusing media to a number of medical fields including dermatology,
gastroenterology, ophthalmology, blood sterilization and treatment of microbial
viral diseases, that it is impossible to cover all aspects in a single review.
Here, we will concentrate on a few basic aspects, all important for the route of
development leading PDT to its present state: early work on hematoporphyrin and
hematoporphyrin derivative, second and third generation photosensitizers, 5
aminolevulinic acid and its derivatives, oxygen and singlet oxygen, PDT effects
on cell organelles, mutagenic potential, the basis for tumour selectivity, cell
cooperativity, photochemical internalization, light penetration into tissue and
the significance of oxygen depletion, photobleaching of photosensitizers, optimal
light sources, effects on the immune system, and, finally, future trends.
PMID- 18046479
TI - The role of oxygen monitoring during photodynamic therapy and its potential for
treatment dosimetry.
AB - Understanding of the biology of photodynamic therapy (PDT) has expanded
tremendously over the past few years. However, in the clinical situation, it is
still a challenge to match the extent of PDT effects to the extent of the disease
process being treated. PDT requires drug, light and oxygen, any of which can be
the limiting factor in determining efficacy at each point in a target organ. This
article reviews techniques available for monitoring tissue oxygenation during
PDT. Point measurements can be made using oxygen electrodes or luminescence-based
optodes for direct measurements of tissue pO2, or using optical spectroscopy for
measuring the oxygen saturation of haemoglobin. Imaging is considerably more
complex, but may become feasible with techniques like BOLD MRI. Pre-clinical
studies have shown dramatic changes in oxygenation during PDT, which vary with
the photosensitizer used and the light delivery regimen. Better oxygenation
throughout treatment is achieved if the light fluence rate is kept low as this
reduces the rate of oxygen consumption. The relationship between tissue
oxygenation and PDT effect is complex and remarkably few studies have directly
correlated oxygenation changes during PDT with the final biological effect,
although those that have confirm the value of maintaining good oxygenation. Real
time monitoring to ensure adequate oxygenation at strategic points in target
tissues during PDT is likely to be important, particularly in the image guided
treatment of tumours of solid organs.
PMID- 18046480
TI - Photophysical, electrochemical characteristics and cross-linking of STAT-3
protein by an efficient bifunctional agent for fluorescence image-guided
photodynamic therapy.
AB - The photophysical, electrochemical and spectroscopic characteristics of a
conjugate of 3-devinyl-3-(1'-hexyloxyethyl)pyropheophorbide-a (HPPH) and a
cyanine dye have been investigated both as a linked conjugate and as individual
components. A photoexcitation of the HPPH moiety of the conjugate results in
electron transfer from the singlet excited state of HPPH (1HPPH*) to the cyanine
dye as well as that from the cyanine dye to 1HPPH* and is followed in both cases
by facile back electron transfer to the ground state as indicated by time
resolved fluorescence and transient absorption measurements. Intersystem crossing
to the triplet excited state (3HPPH*) competes with the electron transfer and
3HPPH* is quenched by oxygen to produce singlet oxygen (1O2), leading to specific
covalent cross-linking of the nonactivated signal transducer and activator of
transcription (STAT-3). In contrast to excitation of the HPPH moiety,
photoexcitation of the cyanine dye unit results in a strong emission at 875 nm,
which can be used for efficient tumor imaging. Compared to HPPH alone, the
presence of the cyanine dye moiety in the conjugate produces a significantly
higher uptake in tumors than in skin. Thus, the HPPH-cyanine dye conjugate can be
used as a dual tumor imaging and photodynamic therapy agent.
PMID- 18046481
TI - Topical applications of iron chelators in photosensitization.
AB - Generation of the reactive oxygen species (ROS) in skin by exposure to
ultraviolet (UV) radiation induces a number of cutaneous pathologies such as skin
cancer, photosensitization, and photoaging among others. Skin iron catalyzes UV
generation of ROS. Topical application of iron chelators reduces erythema,
epidermal and dermal hypertrophy, wrinkle formation, tumour appearance. It has
been proposed that iron chelators can be useful agents against damaging effects
of both short- and long-term UV exposure. A better understanding of the action
mechanisms of iron chelators, might be useful to developing effective anticancer
and antiphotoaging cosmetic products. Iron chelators may lead to accumulation of
protoporphyrin IX (PpIX), a strong photosensitizer. The action of iron chelators
in skin, related to PpIX increase has not yet been thoroughly studied. Therefore,
we have investigated the formation of PpIX in normal mouse skin after topical
application of creams containing metal chelators. The amount and distribution of
porphyrins formed was determined by means of non-invasive fluorescence
spectroscopy. Deferoxamine (DF), ethylenediaminetetraacetic acid (EDTA), 1,2
diethyl-3-hydroxypyridin-4-one (CP94), but not meso-2,3-dimercaptosuccinic acid
(DMSA), caused increased accumulation of endogenous porphyrins in the skin.
Fluorescence excitation and emission spectroscopy confirmed that PpIX was the
main fluorescent species. The amount of PpIX accumulated in skin under the
present conditions was not large enough to produce any significant erythema after
light exposure. Further studies are needed to evaluate the role of PpIX induced
by iron chelators used, against photoaging and cancer prevention.
PMID- 18046482
TI - Hypericin-mediated photodynamic therapy in combination with Avastin (bevacizumab)
improves tumor response by downregulating angiogenic proteins.
AB - Photodynamic therapy (PDT) is a therapeutic modality in which a photosensitizer
is locally or systemically administered followed by light irradiation of suitable
wavelength to achieve selective tissue damage. In addition, PDT is an oxygen
consuming reaction, that causes hypoxia mediated destruction of tumor vasculature
that results in effective treatment. However, the hypoxic condition within tumors
can cause stress-related release of angiogenic growth factors and cytokines and
this inflammatory response could possibly diminish the efficacy of PDT by
promoting tumor regrowth. In such circumstances, PDT effectiveness can be
enhanced by combining angiogenesis inhibitors into the treatment regimen. Avastin
(bevacizumab), a vascular endothelial growth factor (VEGF) specific monoclonal
antibody in combination with chemotherapy is offering hope to patients with
metastatic colorectal cancer. In this study we evaluated the combination of
hypericin-mediated PDT and Avastin on VEGF levels as well as its effect on
overall tumor response. Experiments were conducted on bladder carcinoma
xenografts established subcutaneously in Balb/c nude mice. Antibody array, enzyme
linked immunosorbent assay (ELISA) and immunohistochemistry (IHC) were performed
to assess VEGF concentrations in the various treatment groups. Our results
demonstrated that the targeted therapy by Avastin along with PDT can improve
tumor responsiveness in bladder tumor xenografts. Immunostaining showed minimal
expression of VEGF in tumors treated with combination therapy of PDT and Avastin.
Angiogenic proteins e.g., angiogenin, basic fibroblast growth factor (bFGF),
epidermal growth factor (EGF) and interleukins (IL-6 and IL-8) were also found to
be downregulated in groups treated with combination therapy.
PMID- 18046483
TI - Dying cells program their expedient disposal: serum amyloid P component
upregulation in vivo and in vitro induced by photodynamic therapy of cancer.
AB - Serum amyloid P component (SAP) is known as a prototypic acute phase reactant in
the mouse and the protein that binds to dying cells securing their swift disposal
by phagocytes. Treatment of solid tumors by photodynamic therapy (PDT) triggers
SAP production in the liver of host mice, its release in the circulation and
accumulation in PDT-targeted lesions. In the present study, mouse Lewis lung
carcinoma (LLC) cells treated in vitro by PDT are shown to upregulate their gene
encoding SAP. This effect was manifested following PDT treatment mediated by
various types of photosensitizers (Photofrin, BPD, mTHPC, ALA). Generated SAP
protein was not detected in tissue supernatants but remained localized to
producing PDT-treated cells. The upregulation of SAP gene was observed also in
untreated IC-21 macrophages after they were co-incubated for 4 h with PDT-treated
LLC cells. Based on these findings, SAP that accumulates in PDT-treated tumors
may originate from both systemic sources (released from the liver as acute phase
reactant) and local sources; the latter could include tumor cells directly
sustaining PDT injury and macrophages invading the tumor that become stimulated
by signals from these affected tumor cells. Since SAP gene upregulation in LLC
cells increased with the lethality of PDT dose used for their treatment, we
propose that cells sensing they are inflicted with mortal injury can turn on
molecular programs insuring not only that they die an innocuous form of death
(apoptosis) but also that once they are dead their elimination is (facilitated by
SAP) swift and efficient.
PMID- 18046484
TI - Apoptotic and autophagic responses to Bcl-2 inhibition and photodamage.
AB - Among the cellular responses to photodamage initiated by photodynamic therapy
(PDT) are autophagy and apoptosis. While autophagy is a reversible process that
can be both a survival and a death pathway, apoptosis is irreversible, leading
only to cell death. In this study, we followed the fate of mouse leukemia L1210
cells after photodamage to the endoplasmic reticulum (ER) using a porphycene
photosensitizer, where Bcl-2 was among the PDT targets. In wild-type cells, we
observed a rapid wave of autophagy, presumed to represent the recycling of some
damaged organelles, followed by apoptosis. Using shRNA technology, we created a
Bax knockdown line (L1210/Bax(-)). In the latter cell line, we found a marked
decrease in apoptosis after photodamage or pharmacologic inactivation of Bcl-2
function, but this did not affect PDT efficacy. Loss of viability was associated
with a highly-vacuolated morphology consistent with autophagic cell death.
Previous studies indicated pro-survival attributes of autophagy after low-dose
PDT, suggesting that autophagy may be responsible for the 'shoulder' on the dose
response curve. It appears that attempts at extensive recycling of damaged
organelles are associated with cell death, and that this phenomenon is amplified
when apoptosis is suppressed.
PMID- 18046485
TI - Analyzing effects of photodynamic therapy with 5-aminolevulinic acid (ALA)
induced protoporphyrin IX (PPIX) in urothelial cells using reverse phase protein
arrays.
AB - Photodynamic therapy (PDT) using 5-aminolevulinic acid (ALA)-induced
protoporphyrin IX (PPIX) is clinically established approach for a number of
defined applications. However, in order to optimize the therapeutic benefits of
PDT, the specific mode of cell destruction should be better defined. Apoptosis is
favored over necrosis for clinical practice as the latter causes more side
effects. In the present study, we analyse PDT-induced cell death and its
correlation to various PDT parameters (different doses applied, time after PDT
treatment) in vitro using reverse phase protein arrays. Human urothelial cell
lines with varying degrees of differentiation (UROtsa, RT4, RT112, J82) were
subjected to in vitro-PDT using increasing doses of irradiation. In addition,
positive controls for apoptosis, necrosis and un-/specific cellular damage were
included. Cells were harvested over a specified time course, lysed and arrayed
onto nitrocellulose-covered glass slides. The arrays were analyzed for expression
of apoptosis-related proteins by immunohistochemistry. Analysis of caspase-3 and
9 expression, the activation of HIF-1alpha, Bcl2, Cox2 and the phosphorylation of
AKT reveals signal activation due to a PDT-stimulus in correlation with the
positive controls. Data were analyzed by unsupervised hierarchical clustering and
depicted as a heat map revealing cell-specific patterns of pathway stimulation.
Higher differentiated phenotypes showed a more distinct signal response in
general and a higher apoptotic response in detail. Lower differentiated cell
lines lost pathway regulation capabilities according to their state of
dedifferentiation. Reverse phase protein arrays are a promising technique for
signal pathway profiling: they exceed the range of traditional western blots by
sensitivity, high-throughput capability, minimal sample consumption and easy
quantification of results obtained.
PMID- 18046486
TI - Response to ALA-based PDT in an immortalised normal breast cell line and its
counterpart transformed with the Ras oncogene.
AB - Aminolevulinic acid (ALA)-based photodynamic therapy (PDT) has been successfully
employed in the treatment of certain tumours. Porphyrins endogenously generated
from ALA induce tumour regression after illumination with light of an appropriate
wavelength. The aim of this work was to compare porphyrin production from ALA and
sensitivity to photodynamic treatment in a tumour/normal cell line pair. We
employed the HB4a cell line from normal mammary luminal epithelium and its
counterpart transfected with the oncogen H-Ras (VAL/12 Ras). After 3 h of
exposure to ALA, HB4a-Ras cells produce a maximum of 150 ng porphyrins per 10(5)
cells whereas HB4a produce 95 ng porphyrins per 10(5) cells. In addition, HB4a
Ras cells show a plateau of porphyrin synthesis at 1 mM whereas HB4a porphyrins
peak at the same concentration, and then decrease quickly. This higher porphyrin
synthesis in the tumorigenic cell line does not lead to a higher response to the
photodynamic treatment upon illumination. Lethal doses 50, LD(50), determined by
MTT assay were 0.015 J cm(-2) and 0.039 J cm(-2) for HB4a and HB4a-Ras
respectively after 3 h exposure to 1 mM ALA. The conclusion of this work is that
a tumour cell line obtained by transfection of the Ras oncogene, although
producing higher porphyrin synthesis from ALA, is more resistant to ALA-PDT than
the parental non-tumour line, however the mechanism is not related to
photosensitiser accumulation, but very likely to cell survival responses.
PMID- 18046487
TI - Using the singlet oxygen scavenging property of carotenoid in photodynamic
molecular beacons to minimize photodamage to non-targeted cells.
AB - We recently introduced the concept of photodynamic molecular beacons (PMB) for
selective control of photodynamic therapy (PDT). The PMB consists of a peptide
linker that is sequence specific to a cancer-associated protease. A
photosensitizer (PS) and a singlet oxygen (1O2) quencher are conjugated to the
opposite ends of this linker. Proximity of the PS and quencher can efficiently
inhibit 1O2 generation. In the presence of a targeted protease, the substrate
sequence is cleaved and the PS and quencher will separate so that the PS can be
photo-activated. There are two ways to optimize the PMB selectivity to cancer
cells. The first is to increase the protease specificity to targeted cells and
the second is to minimize the phototoxicity of intact (uncleaved) PMBs in non
targeted (normal) cells. Carotenoids (CARs) are well known in nature for their
role in quenching excited states of PS and in directly scavenging 1O2. The
purpose of this study is to evaluate whether the CAR with dual quenching modes
(PS excited states deactivation and 1O2 scavenging) can be used to minimize the
photodamage of intact PMBs to non-targeted cells. Thus, we synthesized a beacon
(PPC) with a caspase-3 cleavable peptide linking a PS and a CAR quencher. It was
confirmed that CAR deactivates the PS excited states and also directly scavenges
1O2. Moreover, the in vitro PDT response showed that CAR completely shuts off the
photodynamic effect in non-targeted HepG(2) cells, while PS without CAR (control)
remains highly potent even at a much lower (30-fold) dose.
PMID- 18046488
TI - The effect of Tookad-mediated photodynamic ablation of the prostate gland on
adjacent tissues--in vivo study in a canine model.
AB - Photodynamic therapy (PDT) mediated with vascular acting photosensitizer Tookad
(Pd-bacteriopheophorbide) was investigated as an alternative modality for
treating prostate cancer. Photodynamic effects on the prostate gland and its
adjacent tissues were evaluated in a canine model. Interstitial prostate PDT was
performed by irradiating individual lobes with a cylindrical diffuser fiber at
various drug/light doses. The sensitivity of the adjacent tissues to Tookad PDT
was determined by directly irradiating the surface of the bladder, colon,
abdominal muscle and pelvic plexus with a microlens fiber at various drug/light
doses. The prostate and adjacent tissues were harvested one-week after the
treatment and subjected to histopathological examination. PDT-induced prostate
lesions were characterized by marked hemorrhagic necrosis. The bladder, colon,
abdominal muscle and pelvic plexus appeared to be sensitive to PDT although the
Tookad PDT-induced responses in these tissues were minimal compared to that of
the prostate gland at the same dose levels. Nevertheless, the protection of the
adjacent tissues should be taken into consideration during the total prostate
ablation process due to their sensitivity to PDT. The sensitivity of the
prostatic urethra is worth further investigation. Direct intraurethral
irradiation might provide an ideal means to determine the sensitivity of the
prostatic urethra and might lead to transurethral PDT protocols for the
management of benign prostatic hyperplasia (BHP).
PMID- 18046489
TI - Light fractionation does not enhance the efficacy of methyl 5-aminolevulinate
mediated photodynamic therapy in normal mouse skin.
AB - Previous work demonstrated that fractionated illumination using two fractions
separated by a dark interval of 2 h, significantly enhanced the clinical efficacy
of photodynamic therapy (PDT) with 5-aminolevulinic acid (ALA). Considering the
increasing clinical use of methyl 5-aminolevulinate (MAL) and the expected gain
in efficacy by light fractionation we have investigated the response to MAL-PDT
using a single and a two-fold illumination scheme and compared that with ALA-PDT.
Our results show that fractionated illumination does not enhance the efficacy of
PDT using MAL as it does using ALA despite the comparable fluorescence
intensities at the end of the first light fraction and at the start of the second
light fraction. Only the initial rate of photobleaching was slightly greater
during ALA-PDT although the difference was small. Previously we hypothesized that
cells surviving the first fraction are more susceptible to the second fraction.
Since this is not true for MAL-PDT our data suggest that the distribution of MAL
and ALA in tissues, and therefore the site of PDT induced damage, is an important
parameter in the mechanism underlying the 2-fold illumination scheme.
PMID- 18046490
TI - Role of mitochondria in cell death induced by Photofrin-PDT and ursodeoxycholic
acid by means of SLIM.
AB - The present study was undertaken to find new ways to improve efficacy of
photodynamic therapy (PDT). We investigated the combinatory effect of the
photosensitizer Photofrin and ursodeoxycholic acid (UDCA). UDCA is a relatively
non-toxic bile acid which is used inter alia as a treatment for cholestatic
disorders and was reported to enhance PDT efficiency of two other
photosensitizers. Since besides necrosis and autophagic processes apoptosis has
been found to be a prominent form of cell death in response to PDT for many cells
in culture, several appropriate tests, such as cytochrome c release, caspase
activation and DNA fragmentation were performed. Furthermore spectral resolved
fluorescence lifetime imaging (SLIM) was used to analyse the cellular composition
of Photofrin and the status of the enzymes of the respiratory chain. Our
experiments with two human hepatoblastoma cell lines revealed that the
combination of Photofrin with UDCA significantly enhanced efficacy of PDT for
both cell lines even though the underlying molecular mechanism for the mode of
action of Photofrin seems to be different to some extent. In HepG2 cells cell
death was clearly the consequence of mitochondrial disturbance as shown by
cytochrome c release and DNA fragmentation, whereas in Huh7 cells these features
were not observed. Other mechanisms seem to be more important in this case. One
reason for the enhanced PDT effect when UDCA is also applied could be that UDCA
destabilizes the mitochondrial membrane. This could be concluded from the
fluorescence lifetime of the respiratory chain enzymes which turned out to be
longer in the presence of UDCA in HepG2 cells, suggesting a perturbation of the
mitochondrial membrane. The threshold at which PDT damages the mitochondrial
membrane was therefore lower and correlated with the enhanced cytochrome c
release observed post PDT. Thus enforced photodamage leads to a higher loss of
cell viability.
PMID- 18046491
TI - A study on the photodynamic properties of chlorophyll derivatives using human
hepatocellular carcinoma cells.
AB - Photodynamic therapy (PDT) is an alternative anticancer treatment in which direct
tumor-cell killing results from selective accumulation of photosensitizers in the
tumor sites and phototoxicity occurs when light-activated photosensitizers
transfer the energy to oxygen nearby to produce singlet oxygen. The objective of
this study was to investigate the effects of PDT using chlorophyll derivatives
such as pheophytin a (phe a), pheophytin b (phe b), pheophorbide a (pho a) and
pheophorbide b (pho b) as the photosensitizers, and the 660 nm light-emitting
diodes (LEDs) irradiation on human hepatocellular carcinoma cells (HuH-7). The
drug concentration-dependent inhibition of HuH-7 cell viability was studied under
LEDs irradiation (10 mW cm(-2)) at radiant exposure of 5.1 and 10.2 J cm(-2) by
MTT assay. Significant inhibition of the survival of HuH-7 cells (<10%) was
observed when an irradiation dose of 10.2 J cm(-2) combined with the
concentration of 0.5 microg ml(-1) of phe a, 0.125 microg ml(-1) of pho a, 0.25
microg ml(-1) of phe b, and 0.125 microg ml(-1) of pho b were applied. The
results from Annexin V-propidium iodide staining revealed that phe a, phe b, pho
a and pho b could induce cell death in HuH-7 cells predominantly via a necrotic
process. The results from immunoblot analyses exhibited that chlorophyll
derivative-mediated PDT initiated cytochrome c release, caspase-9 and caspase-3
activation, followed by poly ADP-ribose polymerase (PARP) cleavage. Thus,
apoptosis also occurred in HuH-7 cells after PDT treatment, and the execution of
the apoptotic process may be initiated from the loss of mitochondrial function.
Our findings demonstrate that both apoptosis and necrosis can be induced in HuH-7
cells after PDT using phe a, phe b, pho a and pho b and LEDs.
PMID- 18046492
TI - Prevalence of plexiform neurofibroma in children and adolescents with type I
neurofibromatosis.
AB - OBJECTIVE: To assess prevalence of plexiform neurofibroma in children and
adolescents with type I neurofibromatosis and its malignant potential. METHODS: A
retrospective study was conducted through analysis of the database at Centro
Nacional de Neurofibromatose [Brazilian Neurofibromatosis Center], collected from
the following reference services between 1996 and 2004: Instituto de Dermatologia
Prof. Rubem David Azulay da Santa Casa de Misericordia do Rio de Janeiro,
Instituto de Pediatria e Puericultura Martagao Gesteira da Universidade Federal
do Rio de Janeiro and Department of Immunology and Microbiology at Faculdade de
Medicina de Teresopolis. RESULTS: Over that period, 104 patients aged between 1
17 years were admitted with clinical diagnosis of type I neurofibromatosis. Of
these, 53 were male and 51 were female, and 28 patients (15 male and 13 female)
had plexiform neurofibroma (26.9%). Division by age group resulted in 21.42%
(six) between 1-5 years; 35.71% (10) between 6-12 years and 42.85% (12) between
13-17 years. Of the 104 patients, two developed a malignant peripheral nerve
sheath tumor (1.92%). CONCLUSIONS: Plexiform neurofibromas are relatively common
manifestations in patients with type I neurofibromatosis and may be a cause of
significant increase in morbidity and mortality among patients. In this study, we
conclude that frequency of plexiform neurofibroma and its malignant potential in
the population studied is in agreement with data from the international
literature.
PMID- 18046493
TI - Echocardiographic abnormalities in children with obstructive breathing disorders
during sleep.
AB - OBJECTIVES: To assess cardiac morphology and function by means of echocardiograms
of children with obstructed breathing while asleep. METHODS: The study enrolled
40 children of both sexes, aged from 3 to 11 years; 30 of them had obstructed
breathing during sleep (group I) and 10 children were healthy controls (group
II). The two groups were similar in terms of sex, age, weight and height. The 40
children underwent echocardiogram, viewing all four chambers during systole and
diastole, paying special attention to the right ventricle (RV). These data were
compared by means of Student's t test (p < 0.05). RESULTS: In group I, increased
diameter and area of the right ventricle were observed during both systole and
diastole. There was less variation in RV area between systole and diastole.
Reduced left ventricle (LV) diastolic diameter was also observed, together with
reduced ejection fraction and reduced contraction. CONCLUSIONS: The morphological
and functional cardiac abnormalities observed in the RV and LV suggest that, in
children, obstructed breathing during sleep can lead to cardiovascular
repercussions. These abnormalities may expose these children to increased
anesthetic and surgical risks.
PMID- 18046494
TI - 'Am I going to see the next morning?' A qualitative study of patients'
perspectives of sleep in COPD.
AB - AIM: To investigate patients' perspectives of sleep in COPD. METHOD: Patients
with moderate to severe COPD underwent semi-structured interviews about their
sleep experiences. Contextual questionnaire data were collected. RESULTS: Ten
patients were studied. Six reported bad sleep, but all described some sleep
problems. Nocturnal anxiety and fears of breathlessness and dying were common
features; these impacted on existing sleep problems related to exacerbations,
medications, and habitual behaviours that can disrupt sleep. Poor sleep was
associated with poorer health status. Patients reported a lack of support from
their GPs and few had received advice for sleep problems. CONCLUSION: Anxiety
about breathlessness affects the sleep experience of patients with COPD, and
sleep quality impacts on physical and emotional functioning. Education about
behaviours that can disrupt sleep offers potential benefits to the patient. COPD
patients' sleep issues are complex and should be addressed at the clinical
consultation.
PMID- 18046495
TI - A 24-year old man with persistent progressive breathlessness: early onset COPD.
AB - We describe the case of a 24-year old male who had been a heavy smoker since the
age of 9 and who presented with an 8-year history of respiratory symptoms. He was
having treatment for asthma. Spirometric studies and high-resolution computed
tomography (HRCT) scans confirmed COPD with centrilobular emphysema. His blood
level of alpha-1-antitrypsin was within the normal range. Early onset emphysema
in smokers with a normal alpha-1-antitrypsin has been previously described.
However, this case is, as far as we know, one of the youngest cases ever
reported.
PMID- 18046496
TI - [Correction of heel strike in a hindfoot stump not adequate for weightbearing
following Chopart amputation. Tibiocalcaneal arthrodesis and intramedullary
nailing].
AB - Chopart amputation leaves a very efficient hindfoot stump allowing full
plantigrade weightbearing, even without an artificial limb in place for short
distances. It must be said that this presupposes that the sole is covered with
skin originally taken from the sole of the foot and that the tread of the heel is
correct. Imbalance of the tendons frequently causes an increasing equinovarus
deformity, which can lead to secondary problems. We report on a 28-year-old man
with a stump in a fixed equinovarus deformity following traumatic Chopart
amputation. Weightbearing was not possible, and constant ulcers entailed frequent
periods of unfitness for work, so that he expressly requested below-knee
amputation at first. Correction of the equinovarus deformity by tibiocalcaneal
arthrodesis using intramedullary nailing led to lasting healing of the skin and
the possibility of full weightbearing.
PMID- 18046497
TI - Non-migratory breeding by isolated green sea turtles (Chelonia mydas) in the
Indian Ocean: biological and conservation implications.
AB - Green sea turtles (Chelonia mydas) are renowned for their long-distance
migrations but have less fame for short-distance migrations or non-migratory
behavior. We present satellite telemetric evidence from Cocos (Keeling) Islands,
Indian Ocean for the first predominantly non-migratory green sea turtle (C.
mydas) population. The mean migration distance from the nesting beach to the
foraging grounds was 35.5 km with a maximum mean transit time of 3.4 days. The
behavior of this population has major implications for our general understanding
of green turtle behavior and their life cycle and for conservation. Firstly,
these results indicate a level of juvenile or adult non-breeding homing behavior
from the open ocean to foraging grounds adjacent to their natal nesting beach.
Secondly, a non-migratory breeding phase reduces the consumption of reproductive
energy utilized, potentially resulting in higher fecundity for this population.
Thirdly, the close proximity of the nesting and foraging habitats allows for
uniformity in management and conservation strategies rarely possible for wide
ranging green turtle populations.
PMID- 18046498
TI - Mercury and trace elements in crayfish from northern california.
AB - We collected two species of crayfish, Pacifastacus leniusculus and Procambarus
clarkii, from Cache and Putah Creeks, California, and analyzed them for mercury
and trace elements. Trace elements were higher in carcasses in 40 cases, higher
in tails in 5 cases, and not different in 35 cases; no concentration exceeded
levels considered harmful. Mercury concentrations were similar among sites, with
no overall sex or species effect in tails. Mercury and methylmercury
concentrations were higher in tails at all sites. Methylmercury concentrations in
crayfish tails (0.156-0.256 microg/g) exceeded concentrations reported in health
advisories for consumption of fish and crayfish from these watersheds.
PMID- 18046499
TI - Three novel mutations of the PHEX gene in three Chinese families with X-linked
dominant hypophosphatemic rickets.
AB - X-linked dominant hypophosphatemia (XLH, OMIM307800), the most prevalent form of
inherited rickets in humans, is a dominant disorder of phosphate homeostasis
characterized by growth retardation, rachitic and osteomalacic bone disease,
hypophosphatemia, and renal phosphate wasting. The gene responsible for XLH was
identified by positional cloning and designated PHEX (formerly PEX) to depict a
phosphate-regulating gene homologous with endopeptidases on the X chromosome.
Recently, extensive mutation analysis of the PHEX gene has revealed a wide
variety of gene defects in XLH. The ethnic distribution of the mutations is very
widespread but only a few mutations in Chinese have been reported. To analyze the
molecular basis in three unrelated Chinese families with XLH, we determined the
nucleotide sequence of the PHEX gene and fibroblast growth factor 23 (FGF23) gene
of affected members. The serum FGF23 concentrations of these patients with XLH
were also measured. Three different novel mutations were observed in these three
families: one deletion mutation c.264delG causing p.W88 X; one missense mutation
c.1673C>G causing p.P558A; one nonsense mutation c.1809G>A causing p.W603 X.
Serum concentration of FGF23 in XLH patients of these three families was
significantly higher than normal. The results suggest that PHEX gene mutations
were responsible for XLH in these patients and these mutations may contribute to
a higher serum FGF23 level.
PMID- 18046500
TI - Endothelial nitric oxide synthase gene [G894T] polymorphism as a possible risk
factor in aneurysmal subarachnoid haemorrhage.
AB - BACKGROUND: The exact aetiology, growth and rupture of intracranial aneurysms is
unclear. In this study we investigated a possible association between
intracranial aneurysm rupture and polymorphism of the endothelial nitric oxide
synthase gene G894T. METHODS: Endothelial nitric oxide synthase gene polymorphism
of 53 patients with ruptured intracranial aneurysms and 60 control subjects were
analysed by the polymerase chain reaction-restriction fragment length
polymorphism technique. The genotype distribution and allele frequencies of
endothelial nitric oxide synthase gene polymorphism in patients with ruptured
intracranial aneurysm and healthy subjects were compared. FINDINGS: The
homozygous (TT) genotype frequency was significantly higher in patients with
ruptured intracranial aneurysms. It was also found that the presence of eNOS
894TT genotype was significantly associated with the risk of intracranial
aneurysm rupture (p < 0.05). CONCLUSION: Polymorphism in exon 7 of the
endothelial nitric oxide synthase gene G894T seems to be a possible risk factor
for intracranial aneurysm rupture.
PMID- 18046501
TI - Up-regulation of vimentin expression in low-density malignant glioma cells as
immediate and late effects under irradiation and temozolomide treatment.
AB - Nervous system tumors are one of the leading causes of cancer related death.
Specific mechanisms facilitating the invasive behavior of gliomas remain obscure.
Advanced simulation models of the in vivo response to therapy conditions should
potentially improve malignant glioma treatment. Expressional profiling of
vimentin--one of reliable pro-invasive tumor makers--in those simulation models
was the goal of this study, in order to estimate a pro-invasive response of
surviving malignant glioma cells under clinically relevant therapeutic
conditions. Human U87-MG malignant glioma cells were used. These cells are
characterized by the wild p53-phenotype, which is relevant for the majority of
primary malignant glioblastomas. Experimental design foresaw the cells to undergo
either irradiation or chemo-treatment with temozolomide alone, or combined
treatment. Expression profiling of vimentin was performed by quantitative "Real
Time"-PCR under all treatment conditions simulating diverse tumor regions. Here
we demonstrated that vimentin expression patterns in human malignant glioma cells
strongly depend on cellular density, algorithms of drug delivery and chemo/radio
treatment. Substantial differences were recognized between immediate and late
therapy effects. Significant increase in vimentin expression levels was detected
particularly in low-density cell cultures under durable treatment with constant
concentration levels of temezolomide. Simulation of variable intratumoral
regional conditions (central intratumoral regions vs. disseminated malignant
cells in peripheral regions) demonstrated differential response of vimentin
expression in malignant glioma cell cultures treated under clinically relevant
conditions. Slight ebbing of expression levels as late effects of the treatment
in confluent cultures may correspond to necrotic processes clinically observed in
central intratumoral regions. Contrary, in disseminated malignant cells of
peripheral regions therapy resulted in vimentin-inducing effects. This is in
agreement with the clinical observations of an increased aggressiveness and
malignancy grade of post-operatively chemo/radio-treated malignant gliomas.
PMID- 18046502
TI - Inducible heat shock protein 70 and its role in preconditioning and exercise.
AB - Heat shock proteins (Hsp) are well known to be expressed in response to a range
of cellular stresses. They are known to convey protection against protein
denaturation and a subsequent immediate stress. Inducible heat shock protein 70
(Hsp70) is among the most studied of these stress proteins and its role and
function are discussed here in terms of thermal and in particular exercise
preconditioning. Preconditioning has been shown to confer cellular protection via
expression Hsp, which may be of benefit in preventing protein damage following
subsequent periods of exercise. Many studies have used animal models to gather
data on Hsp70 and these and the most recent human studies are discussed.
PMID- 18046503
TI - Comprehensive assessment of P21 polymorphisms and lung cancer risk.
AB - The purpose of this study is to comprehensively evaluate potential functional
polymorphisms in the P21 gene in relation to the risk of lung cancer. We first
determined the frequencies of P21 polymorphisms in 27 healthy Koreans, and then
examined three polymorphisms (-2266G > A, S31R, and IVS2 + 16G > C), based on
their frequencies and haplotype-tagging status, in a case-control study.
Individuals with at least one -2266A allele were at a significantly decreased
risk of lung cancer compared with those harboring the -2266 GG genotype [adjusted
odds ratio (OR) = 0.71, 95% confidence interval (CI) = 0.53-0.95, P = 0.02). The
haplotypes (ht2-4) carrying 31R or IVS2 + 16C alleles were associated with a
significantly decreased risk of lung cancer compared with the haplotype 31S/IVS2
+ 16G, which carried wild-type alleles at both loci (adjusted OR = 0.65, 95% CI =
0.50-0.83, P = 0.007)]. When the -2266A allele and ht2-4 were considered to be
protective alleles, the risk of lung cancer decreased in a dose-dependent manner
as the number of protective alleles increased (P = 0.0002). These results suggest
that a combined analysis of these three P21 polymorphisms might better predict
the risk of lung cancer than the analysis of a single polymorphism.
PMID- 18046504
TI - Glucose-6-phosphate dehydrogenase mutations in Mon and Burmese of southern
Myanmar.
AB - Glucose-6-phosphate dehydrogenase (G6PD) deficiency is highly prevalent in
Southeast Asians. G6PD mutations are associated with specific ethnic groups in
Southeast Asia. Mon is a minority ethnic group in Myanmar, which speaks Monic, a
distinct language of Mon-Khmer classification. We studied G6PD mutations in Mon
and Burmese males of southern Myanmar who migrated to Thailand in Samutsakhon
province. G6PD deficiency was identified in 19 (12%) of 162 Mon males and 17
(10%) of 178 Burmese males, and then assayed for G6PD mutations. Among 19 G6PD
deficient Mons, 12 were G6PD Mahidol; one case each was G6PD Jammu (871G > A; nt
1311C), G6PD Kaiping (1388G > A), G6PD Mediterranean (563C > T), a novel mutation
94(C > G); and three remain unidentified. Among 17 G6PD-deficient Burmese, 12
were G6PD Mahidol; one each was G6PD Coimbra (592C > T), G6PD Kerala-Kalyan (949G
> A), and G6PD Valladolid (406C > T); and two remain unidentified. G6PD Mahidol
(487G > A) is the most common mutation among Mons and Burmese. All G6PD deficient
Mon and Burmese, except for a person with G6PD Valladolid, shared the same
haplotype nt93T, nt1311C. Despite a similar language root with Cambodian's Khmer
language, our study suggests that Mon people share a common ancestry with Burmese
rather than Cambodians.
PMID- 18046505
TI - Adhesion of fluid vesicles at chemically structured substrates.
AB - The adhesion of fluid vesicles at chemically structured substrates is studied
theoretically via Monte Carlo simulations. The substrate surface is planar and
repels the vesicle membrane apart from a single surface domain gamma , which
strongly attracts this membrane. If the vesicle is larger than the attractive
gamma domain, the spreading of the vesicle onto the substrate is restricted by
the size of this surface domain. Once the contact line of the adhering vesicle
has reached the boundaries of the gamma domain, further deflation of the vesicle
leads to a regime of low membrane tension with pronounced shape fluctuations,
which are now governed by the bending rigidity. For a circular gamma domain and a
small bending rigidity, the membrane oscillates strongly around an average
spherical cap shape. If such a vesicle is deflated, the contact area increases or
decreases with increasing osmotic pressure, depending on the relative size of the
vesicle and the circular gamma domain. The lateral localization of the vesicle's
center of mass by such a domain is optimal for a certain domain radius, which is
found to be rather independent of adhesion strength and bending rigidity. For
vesicles adhering to stripe-shaped surface domains, the width of the contact area
perpendicular to the stripe varies nonmonotonically with the adhesion strength.
PMID- 18046506
TI - Philip S. Magee: a life in QSAR.
AB - A brief account of the career of Philip S. Magee, a distinguished member of the
QSAR community.
PMID- 18046507
TI - Distinct heat-shock element arrangements that mediate the heat shock, but not the
late-embryogenesis induction of small heat-shock proteins, correlate with
promoter activation in root-knot nematode feeding cells.
AB - Genes coding small heat-shock proteins (sHSPs) show distinct behaviours with
respect to environmental and developmental signals. Their transcriptional
regulation depends on particular combinations of heat stress cis-elements (heat
shock elements; HSEs) but many aspects regarding their regulation remain unclear.
Cyst and root-knot nematodes induce, in the roots of infected plants, the
differentiation of special feeding cells with high metabolic activity (syncytia
and giant cells, respectively), a process accompanied by extensive gene
expression changes. The Hahsp17.7G4 (G4) promoter was active in giant cells and
its HSE arrangements were crucial for this activation. In the present work, we
provide further basis to associate giant cell expression with the heat-shock
response of this gene class, by analysing additional promoters. The Hahsp17.6G1
(G1) promoter, not induced by heat shock, was silent in giant cells, while
Hahsp18.6G2 (G2), which responds to heat shock, was specifically induced in giant
cells. In addition, a mutated Hahsp17.7G4 promoter version (G4MutP) with a strong
heat-shock induction was also induced in giant cells. The responses of the
different promoters correlated with distinct HSE configurations, which might have
implications on differential trans-activation. Furthermore, the shortest giant
cell and heat-shock-inducible sHSP promoter version analysed in tobacco (-83pb
Hahsp17.7G4) fully maintained its expression profile in Arabidopsis. Cyst
nematodes did not induce the Hahsp17.7G4 promoter, revealing additional
specificity in the nematode response. These findings, together with the fact that
the class I sHSP products of endogenous genes accumulated specifically in tobacco
giant cells, support the idea that these nematode-induced giant cells represent a
transcriptional state very similar to that produced by heat shock regarding this
class of genes. The high metabolic rate of giant cells may result in unfolded
proteins requiring class I sHSPs as chaperones, which might, somehow, mimic heat
shock and/or other stress responses.
PMID- 18046508
TI - Titration of repeat-induced point mutation (RIP) by chromosome segment
duplications in Neurospora crassa.
AB - Repeat-induced point mutation (RIP) is a hypermutational process that alters
duplicated DNA sequences in Neurospora crassa. In previous studies, five of six
large ( > 100 kb) chromosome segment duplications (Dp's) examined were shown to
dominantly suppress RIP in smaller (< 5 kb) duplications. The suppressor
duplications were > 270 kb, whereas the lone non-suppressor duplication was
approximately 117 kb. We have now screened another 33 duplications and found 29
more suppressors and four more non-suppressors. All 22 suppressor duplications
whose size could be estimated were > 270 kb, whereas two newly identified non
suppressor duplications examined were 140-154 kb. RIP was suppressed in a subset
of crosses heterozygous for more than one ordinarily non-suppressor duplication.
These results strengthen the hypothesis that large duplications titrate out the
RIP machinery and suggest the "equivalence point" for the titration is close to
300 kb.
PMID- 18046509
TI - Microsatellite markers reveal high genetic diversity in date palm (Phoenix
dactylifera L.) germplasm from Sudan.
AB - Genetic diversity in date palm germplasm from Sudan representing 37 female and 23
male accessions was investigated using 16 loci of microsatellite (SSR) primers.
Eight female accessions from Morocco were included as reference material. The
tested SSR markers showed a high level of polymorphism. A total of 343 alleles
were detected at the 16 loci. The number of alleles per marker ranged from 14 to
44 with an average of 21.4 per locus. A high level of expected heterozygosity was
observed among Sudan cultivars (0.841), Morocco cultivars (0.820) and male
accessions (0.799). The results indicate that the genetic groups of the Sudan
cultivars and/or males do not follow a clear geographic pattern. However, the
morocco group showed significant differentiation in relation to the Sudan groups,
as measured by F (ST) values and genetic distances. The effect of the methods of
pollination and cultivar selection on the genetic structure was clearly detected
by the weak clustering association that was observed for the majority of
accessions originating from Sudan and Morocco as well. This suggests the need for
further investigation on the genetic diversity of Sudanese date palm germplasm. A
deeper insight will be revealed by a detailed analysis of populations originating
from different geographic locations.
PMID- 18046510
TI - Mutation rate and genome reduction in endosymbiotic and free-living bacteria.
AB - Genome reduction has been considered the hallmark of endosymbiotic bacteria, such
as endocellular mutualists or obligatory pathogens until it was found exactly the
same in several free-living bacteria. In endosymbiotic bacteria genome reduction
is mainly attributed to degenerative processes due to small population size.
These cannot affect the free-living bacteria with reduced genomes because they
are known to have very large population sizes. It has been proposed that
selection for simplification drove genome reduction in these free-living
bacteria. For at least one of them (Prochlorococcus), genome reduction is
associated with accelerated evolution and we suggest an alternative hypothesis
based on increase in mutation rate as the primary cause of genome reduction in
free-living bacteria.
PMID- 18046511
TI - Metastasis signatures: genes regulating tumor-microenvironment interactions
predict metastatic behavior.
AB - The possibility of predicting clinical outcome of cancer patients through the
analysis of gene expression profiles in the primary tumor is a kind of
ideological revolution as the multistep carcinogenesis model postulates that the
proportion of cells within the primary tumor that actually acquire metastasis
driving mutation(s) is small; too small to leave its imprint on the gene
expression profile. The data collected to date have brought a new paradigm to
reality in the metastasis field: metastasis must at least in part rely on
mutations and/or gene regulation events present in the majority of cells which
constitute the primary tumor mass. By analyses of differential expression of
primary tumors versus metastases or by functional analyses of putative metastasis
genes in experimental metastasis, many metastasis-associated gene expression
events have been identified that correlate with the development of metastases.
Among genes "favoring" metastasis, we find many molecules that are expressed not
by the tumor cell itself but by the cells of the microenvironment, as well as
genes over-expressed in the primary tumor that have a principle role in mediating
tumor-host interactions. Here we review these concepts and advance hypotheses on
how gene expression of the primary tumor and the microenvironment can favor the
spread of the metastasis seeds and how this knowledge can provide tools to
secondary prevention.
PMID- 18046512
TI - Anti-inflammatory effect of alpha, beta-Amyrin, a pentacyclic triterpene from
Protium heptaphyllum in rat model of acute periodontitis.
AB - This study was aimed to evaluate the anti-inflammatory potential of triterpene
alpha, beta-amyrin in rats on acute phase periodontitis. Periodontitis was
induced by ligature placement around the maxillary right second molar tooth. Rats
(n = 8/group) were pretreated with alpha, beta-amyrin (5 and 10 mg/kg, p. o.),
two hours before the induction of periodontal inflammation. Sham-operated and
positive controls (lumiracoxib and dexamethasone) were included. Six hours later,
plasma levels of TNF-alpha were analysed. Rats were sacrificed at 24 h, and the
gingival tissue analysed for myeloperoxidase (MPO) and thiobarbituric acid
reactive substances (TBARS), as measures of neutrophil influx and lipid
peroxidation, respectively alpha, beta-Amyrin as well as dexamethasone
significantly inhibited the periodontitis-associated increases of TNF-alpha, and
the gingival MPO and TBARS. alpha, beta-Amyrin effect was more prominent at 5
mg/kg. Lumiracoxib manifested varied influence on the studied parameters. These
results provide evidence to show that alpha, beta-Amyrin retards acute
inflammation in rat model of periodontitis and warrant further study on its
efficacy to prevent chronic periodontitis-associated bone loss.
PMID- 18046514
TI - Analgesic, anti-inflammatory and hypoglycaemic effects of Securidaca
longepedunculata (Fresen.) [Polygalaceae] root-bark aqueous extract.
AB - The present study was undertaken to investigate the analgesic, anti-inflammatory
and hypoglycaemic properties of Securidaca longepedunculata (Fresen.) root-bark
aqueous extract (SLE) in mice and rats. The analgesic effect of SLE was evaluated
by 'hot-plate' and 'acetic acid' analgesic test methods in mice; while its anti
inflammatory and hypoglycaemic effects were examined in rats, using fresh egg
albumin-induced pedal oedema, and streptozotocin (STZ)-induced diabetes mellitus
models. Morphine (MPN, 10 mg/kg), diclofenac (DIC, 100 mg/kg) and chlorpropamide
(250 mg/kg) were used as reference drugs for comparison. SLE (50-800 mg/kg i. p.)
produced dose-dependent, significant (p < 0.05-0.001) analgesic effects against
thermally- and chemically-induced nociceptive pain in mice. The plant's extract
(SLE, 50-800 mg/kg p. o.) also dose-dependently and significantly inhibited (p <
0.05-0.001) fresh egg albumin-induced acute inflammation, and caused significant
hypoglycaemia (p < 0.05-0.001) in normal (normoglycaemic) and STZ-treated
diabetic (hyperglycaemic) rats. The results of this experimental animal study
indicate that S. longepedunculata root-bark aqueous extract (SLE) possesses
analgesic, anti-inflammatory and hypoglycaemic properties. These findings lend
pharmacological credence to the anecdotal, folkloric and ethnomedical uses of S.
longepedunculata root-bark in the treatment, management and/or control of
painful, arthritic, inflammatory conditions, as well as in the management and/or
control of type 2 diabetes mellitus in some rural communities of South Africa.
PMID- 18046513
TI - Gastric secretion, proinflammatory cytokines and epidermal growth factor (EGF) in
the delayed healing of lingual and gastric ulcerations by testosterone.
AB - Hormonal fluctuations are known to predispose ulceration of the upper
gastrointestinal tract, but to date no comparative study of their effects on the
healing of pre-existing ulcers in the oral cavity and stomach has been made. We
studied the effects of depletion of testosterone and of EGF on the healing of
acetic acid-induced ulcers using rats having undergone bilateral orchidectomy
and/or salivectomy respectively. We measured alterations in gastric acid
secretion and blood flow at ulcer margins, as well as plasma levels of
testosterone, gastrin and the proinflammatory cytokines IL-1 beta and TNF-alpha.
Testosterone (0.01-10 mg/kg/day i. m.) dose-dependently delayed oral and gastric
ulcer healing. When applied in an optimal dose of 1 mg/kg/day, this hormone
significantly raised gastric acid secretion and plasma IL-1 beta and TNF-alpha
levels. Attenuation of plasma testosterone levels via bilateral orchidectomy
inhibited gastric acid secretion and accelerated the healing of oral and gastric
ulcers, while increasing plasma gastrin levels and these effects were reversed by
testosterone. Salivectomy raised plasma testosterone levels, and delayed oral and
gastric ulcer healing. Treatment of salivectomised animals with testosterone
further inhibited ulcer healing, and this effect was counteracted by EGF. We
propose that testosterone delays ulcer healing via a fall in blood flow at the
ulcer margin, a rise in plasma levels of IL-1 beta and TNF-alpha and, in the case
of gastric ulcers, an increase in gastric acid secretion. EGF released from the
salivary glands plays an important role in limitation of the deleterious effects
of testosterone on ulcer healing.
PMID- 18046515
TI - Decompressive hemicraniectomy and durotomy for malignant middle cerebral artery
infarction.
AB - The high early case fatality among patients with massive hemispheric strokes
calls for effective treatments. Release of the restriction created by the dura
mater and bony skull to allow the infarcted brain tissue to swell has been
successfully adopted by some while considered controversial by others. A recent
pooled analysis provides estimates for the efficacy of decompressive surgery.
Further analyses of current trial data suggest that in particular patient age and
timing of surgery determine outcome. Nonetheless, in order to guide the
management of individual patients, carefully adjusted medical care, ongoing
futility analysis, and simultaneous caregiver meetings should be conducted to
reach a joint decision addressing any ethical concerns. In conclusion,
decompressive surgery increases the probability of survival but produces patients
with moderate or moderately severe disability (albeit not severe disability).
Currently, the decision to perform decompressive surgery should remain an
individual one in each and every patient.
PMID- 18046516
TI - Neuroscience leading the way: reviews cascade by the INCF.
PMID- 18046517
TI - Management of patients on long-term oral anticoagulant therapy undergoing
elective surgery: survey of the clinical practice in the Italian anticoagulation
clinics.
AB - In the perioperative management of patients on long-term oral anticoagulant (OAC)
therapy the problem is balancing the thromboembolic (TER) and the haemorrhagic
risk (HR) in the perioperative period. The Federazione Centri per la diagnosi
della trombosi e la Sorveglianza delle terapie Antitrombotiche (FCSA) activated
an online registry from November 2001 to August 2003 in order to collect
information on the management of these patients in Italy. Four hundred and eleven
patients, undergoing elective major (18%) and minor surgery (82%), from 7
centres, were registered. Three hundred and ninety-nine out of 411 patients
received LMWH either once a day (310 patients) or twice a day (89 patients)
during OAC therapy discontinuation. Two thromboembolic (0.48%) and 16 bleeding
events (7 major; 1.7%) were reported. Notwithstanding the lower doses of heparin
(54.3 U/kg o.d. and 64.4 U/kg b.i.d.), the thromboembolic complications are in
line with those reported in the literature. The data of this study suggest that
the intervention with LMWH may be relevant only in the high-risk patients as
already proposed by others.
PMID- 18046519
TI - [Subsonic low frequency sound--a topic for the environmentally related health
protection? Report of the commission "Methods and Quality Assurance in
Environmental Medicine].
PMID- 18046518
TI - Isolation and characterization of antioxidation enzymes from cells of zedoary
(Curcuma zedoaria Roscoe) cultured in a 5-l bioreactor.
AB - In this study, a cell suspension culture system for zedoary (Curcuma zedoaria
Roscoe) was developed, using 50 g/l of fresh weight inoculum in a batch culture.
The highest cell biomass obtained from a 5-l bioreactor equipped with three
impellers after 14 days of culture was utilized to extract secondary metabolites
(essential oil and curcumin) and determine the activities of antioxidant enzymes
(peroxidase, superoxide dismutase, and catalase). For essential oil and curcumin,
zedoary extracts were recovered via a variety of methods: steam distillation,
volatile solvents, and Soxhlet. After 14 days of culture using volatile solvents,
the optimal yield of essential oil (1.78%) was obtained when using petroleum
ether at 40 degrees C in 6 h of extraction, and the best curcumin yield (9.69%)
was obtained at 60 degrees C in 6 h via extraction with 90% ethanol. The
activities of antioxidant enzymes from zedoary cells were also assessed. The
specific activities of peroxidase, superoxide-dismutase, and catalase reached
maximum values of 0.63 U/mg of protein, 16.60 U/mg of protein, and 19.59 U/mg of
protein after 14 days of culture, respectively.
PMID- 18046520
TI - [Gene therapy of SCID-X1].
AB - X-linked severe combined immunodeficiency (SCID-X1) is an inherited disease
caused by inactivating mutations in the gene encoding the interleukin 2 receptor
common gamma chain (IL2RG), which is located on the X-chromosome. Affected boys
fail to develop two major effector cell types of the immune system (T cells and
NK cells) and suffer from a functional B cell defect. Although drugs such as
antibiotics can offer partial protection, the boys normally die in the first year
of life in the absence of a curative therapy. For a third of the children, bone
marrow transplantation from a fully matched donor is available and can cure the
disease without major side effects. Mismatched bone marrow transplantation,
however, is complicated by severe and potentially lethal side effects. Over the
past decade, scientists worldwide have developed new treatments by introducing a
correct copy of the IL2RG-cDNA. Gene therapy was highly effective when applied in
young children. However, in a few patients the IL2RG-gene vector has
unfortunately caused leukaemia. Activation of cellular proto-oncogenes by
accidental integration of the gene vector has been identified as the underlying
mechanism. In future clinical trials, improved vector technology in combination
with other protocol modifications may reduce the risk of this side effect.
PMID- 18046521
TI - Left circumflex coronary artery fistula to the superior vena cava: assessment of
the exact anatomy by multidetector CT.
AB - A 62-year-old woman with mild dyspnea on exertion underwent coronary angiography.
A large fistula of the left circumflex artery was found but the exit site of this
unusual anomaly could not be established. Contrast-enhanced multidetector
computed tomography of the coronary arteries was performed which allowed clear
identification of the drainage of the fistula into the superior vena cava.
PMID- 18046522
TI - Evaluation of the dedicated Frontier coronary bifurcation stent: A matched pair
analysis with drug-eluting and bare metal stents.
AB - Treatment of coronary bifurcation lesions (CBL) remains challenging. This study
sought to evaluate a novel dedicated stent system (Frontier stent) by
angiographic and clinical comparison with the provisional T-stenting technique
using drug-eluting (DES) and bare metal stents (BMS). The study group comprised
105 CBL in 105 patients. 35 consecutive CBL were treated with the Frontier
system. The control group of 70 CBL (35 DES, 35 BMS) was pair matched with the
former group stratified by the type of CBL (Medina classification) and the
reference diameter of the main branch (MB). Clinical, procedural, and
quantitative angiographic data (QCA) were obtained in all patients. A follow-up
angiography 6 +/- 2-month post-index intervention was performed in 84/105 (80%)
patients, clinical 6-month follow-up was available in all patients (100%). All
Frontier stent procedures were clinically and angiographically successful. Post
procedural QCA analysis of the MB and the side branches revealed comparable
minimal lumen diameters (MLDs) between groups. Moreover, contrast use and
radiation exposure were not different between groups. DES use, however, was
associated with a significantly lower late lumen (LL) loss in the main and the
side branch as compared to the Frontier stent and BMS group. Likewise, MACE rates
were lowest in the DES group (6%, P < 0.05 vs. BMS) as compared to the Frontier
stent (9%) and the BMS group (16%). The Frontier stent accomplishes treatment of
CBL with excellent acute clinical, procedural, and angiographic results.
Provisional T-stenting using DES provides superior clinical and angiographic long
term results as compared to BMS and Frontier stents. The results of next
generation CBL systems combining a dedicated specific CBL design with DES
surfaces are to be awaited.
PMID- 18046523
TI - Sirolimus-eluting stents in the treatment of chronic total coronary occlusions:
results from the prospective multi-center German Cypher Stent Registry.
AB - OBJECTIVES: We assessed the effectiveness and safety of the sirolimus-eluting
stent (SES) in the treatment of chronic total coronary occlusions. BACKGROUND:
Chronic total occlusions (CTO) of coronary vessels have an unacceptable high
restenosis rate of approximately 50% after stenting. Few data exist about the
performance of drug eluting stents (DES) in the treatment of CTO. METHODS: All
coronary interventions using the Cypher stent performed at 122 centers engaged in
the German Cypher registry between April 2002 and December 2004 were analyzed; a
total of 5,344 patients; 374 with and 4,970 without CTO were compared. RESULTS:
There was no significant difference between both groups regarding demographics,
coronary status and left ventricular function. Patients in the CTO group had a
higher level of angina symptoms, the coronary lesions were more complex and the
stents used were smaller and longer than in the No-CTO group. The In-hospital
outcome was similar in both groups, with importantly no difference regarding
mortality and complications. Stenting was as successful in the CTO as in the No
CTO group; during a follow-up of 6.6 months we found no significant difference
regarding the rate of mortality, complications, and revascularization between
both groups. CONCLUSIONS: Implantation of the Cypher stent reduces the restenosis
rate and seems to be a safe and effective tool for the treatment of chronic total
coronary occlusions.
PMID- 18046525
TI - Transcatheter recanalization of acutely occluded modified systemic to pulmonary
artery shunts in infancy.
AB - BACKGROUND: There are few data on successful recanalization of acutely occluded
modified aortopulmonary shunts in infants in whom the major component of
pulmonary flow is shunt-dependent. PATIENTS AND METHODS: Seven infants (age range
6 days to 7 months) with modified (polytetrafluoroethylene) aortopulmonary shunts
(central shunt n = 6, modified Blalock-Taussig shunt n = 1), in whom acute shunt
occlusion was documented following surgery (interval from shunt surgery 2-6 days)
underwent emergency cardiac catheterization with a view to reopening the shunt.
Anterograde right ventricle to pulmonary artery flow was present in three
patient; two other had additional aortopulmonary collateral vessels, and
intravenous prostaglandin E (PGE1) infusion was restarted in three infants to
achieve or maintain ductal patency. All occluded shunts could be crossed with a
4F endhole catheter and various guidewire combinations. Balloon dilation was
performed using a balloon with the same nominal diameter as the shunt (5 or 6
mm). RESULTS: All shunts were successfully recanalized. Two infants with
recurrent cyanotic episodes underwent stent implantation in the right ventricular
outflow tract (RVOT) during the same procedure. One infant required shunt
revision 24 h following balloon angioplasty, during which a small clot was
evacuated from the distal end of the shunt. An immediate improvement in oxygen
saturation could be documented in all patients. In the remaining six infants,
shunt patency was confirmed at follow-up, until further definitive repair. One
infant died of non-cardiac causes, while awaiting definitive repair. CONCLUSIONS:
This small series demonstrates that acutely occluded shunts can be successfully
recanalized, and that patency can be maintained until further definitive surgery
in the majority of infants.
PMID- 18046524
TI - Guideline adherence for pharmacotherapy of chronic systolic heart failure in
general practice: a closer look on evidence-based therapy.
AB - BACKGROUND: There is robust evidence for effective pharmacotherapy of chronic
(systolic) heart failure (CHF) which has led to the creation of guidelines, but
many surveys evaluating CHF treatment show an under-utilisation of relevant
drugs, while setting and patient population appear to be crucial for adequate
appraisal of treatment patterns. AIMS: To evaluate the guideline adherence (GA)
of general practitioners (GPs) in a well-defined patient population with CHF in
primary care (PC). METHODS: A cross-sectional analysis was performed with the
data of 167 patients enrolled in 37 GP practices (Germany) with documented left
ventricular systolic dysfunction (LVEF: 33.3 +/- 6.9%). GA was assessed as usual
(prescribing "yes" or "no"), through evaluation of target dosing, while adjusting
for potential clinical contraindications, and through a modified Guideline
Adherence Indicator-3 (mGAI-3), which assesses three relevant groups of
substances according to New York Heart Association (NYHA) functional class: ACE
Inhibitors (ACE-I) or angiotensin receptor blockers (ARB), beta-blockers (BB) and
aldosterone-antagonists (AA). RESULTS: Prescription rates for ACE-I/ARB, BB or
both were 80%, 75% and 62%, respectively. The proportion of target doses reached
for ACE-I was 16%, for BB only 8%. When adjusted for potential (mainly relative)
contraindications (COPD, heart rate <60/min, hypotension, hyperkalaemia and renal
dysfunction), the percentage of target doses reached increased to 49% for ACE
I/ARBs and 46% for BB. Application of the mGAI-3 showed moderate to perfect GA
for usual assessment, proportion of target dose reached and adjusted in 83%, 16%
and 55% of the patients, respectively. CONCLUSION: In the context of this patient
and doctor setting, life-saving treatment was provided above average when
assessed by usual criteria. The application of additional criteria showed further
room for improvement. Future interventions aiming at optimisation should be
tailored to the needs of doctors and patients likewise.
PMID- 18046526
TI - Mechanism of action of the new anti-ischemia drug ranolazine.
AB - Myocardial ischemia is associated with reduced ATP fluxes and decreased energy
supply resulting in disturbances of intracellular ion homeostasis in cardiac
myocytes. In the recent years, increased persistent (late) sodium current was
suggested to contribute to disturbed ion homeostasis by elevating intracellular
sodium concentration with subsequent elevation of intracellular calcium. The new
anti-ischemia drug ranolazine, a specific inhibitor of late sodium current,
reduces sodium overload and hence ameliorates disturbed ion homeostasis. This is
associated with symptomatic improvement of angina in patients. Moreover,
ranolazine was shown to exhibit anti-arrhythmic effects. In the present article,
we review the relevant pathophysiological concepts for the role of late sodium
inhibition and summarize the most recent data from basic as well as clinical
studies.
PMID- 18046527
TI - Troponin level and efficacy of abciximab in patients with acute coronary
syndromes undergoing early intervention after clopidogrel pretreatment.
AB - OBJECTIVE: We investigated how does troponin level (TnT) affect the benefit
achieved by abciximab in patients with acute coronary syndromes (ACS) undergoing
percutaneous coronary intervention (PCI) after pretreatment with a high loading
dose of clopidogrel. METHODS: The Intracoronary Stenting and Antithrombotic
Regimen: Rapid Early Action for Coronary Treatment (ISAR-REACT 2) trial included
2,022 patients with non-ST elevation ACS undergoing PCI who were randomized to
abciximab or placebo after pretreatment with 600 mg of clopidogrel. The patients
were divided into groups with elevated TnT level (n = 1,049) and no elevated TnT
level (n = 973). The primary end point of the trial was the composite of death,
myocardial infarction and urgent reintervention at 30 days. RESULTS: In patients
with elevated TnT level the incidence of the primary end point was 13.1% in the
abciximab group Vs. 18.3% in the placebo group [relative risk (RR): 0.70; 95%
confidence interval (CI), 0.52-0.95, P = 0.02]. The combined incidence of death
or myocardial infarction was 12.9% in the abciximab group vs. 17.9% in the
placebo group (RR: 0.71; 95% CI, 0.52-0.96, P = 0.03). In contrast, the incidence
of the primary end point in patients with no elevated TnT level was identical in
both treatment groups (4.6%). The risk of bleeding was not related to TnT level.
CONCLUSIONS: Baseline troponin level affects the benefit of abciximab in patients
with ACS undergoing PCI after pretreatment with a high loading dose of
clopidogrel. Abciximab reduces the risk of ischemic events only in patients with
ACS and elevated troponin level.
PMID- 18046528
TI - Replacement of valved right ventricular to pulmonary artery conduits: an
observational study with focus on right ventricular geometry.
AB - OBJECTIVE: Timing of the operation for exchange of right ventricular (RV) to
pulmonary artery (PA) conduits is a matter of considerable debate. We aimed to
study the course of right ventricular dimension in patients undergoing conduit
exchange. PATIENTS AND METHODS: We retrospectively studied all patients who
underwent implantation and or replacement of RV/PA conduits during the time
period between 1990 and 2005. Clinical and echocardiographic data were recorded
as obtained at follow-up visits. RESULTS: A total of 229 (144 boys and 85 girls)
underwent surgery for implantation and or replacement of RV/PA conduits during
the study period. Patients were assigned to three age groups including 37
infants, 125 children aged 1-10 years and 67 patients more than 10 years of age.
185 pulmonary (81%) and 44 aortic homografts (19%) were implanted. Fifty-eight of
these 185 patients (25%) required exchange of conduits after a median time of 6.4
(8 months-12 years) (median (range)). The follow-up was 7.55 (0.1-17) years. The
survival of the patients after homograft change was 98%. Freedom from failure for
aortic and pulmonary homografts at an interval of 10 years for all patients was
38.5% for aortic and 56.2% for pulmonary homografts (P = 0.018; Mann-Whitney).
Age at conduit exchange (coefficient: -4.917; P < 0.001) and right ventricular
end-diastolic dimension (RVDD) before conduit exchange (coefficient: 8.255; P <
0.001) were related to RVDD as measured by M-mode echocardiography at follow-up
("best subset" regression analysis; R squared = 0.746). RVDD decreased in 48/58
patients, remained unchanged in 8/58 and increased in 2/59 patients at follow-up.
An increased RVDD was positively correlated to the duration of artificial
ventilation after the operation for conduit exchange (R = 0.56; P < 0.001).
CONCLUSIONS: Reoperation for exchange of degenerated conduits should be performed
early to prevent the development of irreversible structural myocardial changes
and persistence of right ventricular dilatation.
PMID- 18046529
TI - Atrial tachycardias following circumferential pulmonary vein ablation:
observations during catheter ablation.
AB - Circumferential pulmonary vein ablation performing linear lesions around the
ostia of the pulmonary veins has been shown to be effective for the treatment of
atrial fibrillation. During the follow-up period, persistent atrial tachycardia
may occur as a proarrhythmic complication. Only little information is available
about the underlying mechanism. In our study, atrial tachycardia following
circumferential pulmonary vein ablation was identified in 13 out of 84
consecutive patients (15.5%), as a transient appearance in four and with
recurrences for more than 3 months in nine patients (10.7%). Electrophysiological
study and ablation was performed in eight cases, revealing common atrial flutter
in two, a focal origin secondary to conduction recovery from the pulmonary vein
to the left atrium in two and macro reentrant left atrial flutter in four
patients. The electrophysiological characteristics demonstrated by
electroanatomic activation mapping CARTO and consecutive ablation therapy with a
100% success rate are described and discussed with regard to the literature.
PMID- 18046531
TI - Skeletal muscle myofibrillar protein oxidation and exercise capacity in heart
failure.
AB - BACKGROUND: Heart failure is characterized by limited exercise tolerance and by a
skeletal muscle myopathy with atrophy and shift toward fast fibres. An
inflammatory status with elevated pro-inflammatory cytokines and exaggerated free
radicals production can worsen muscle damage. We have previously demonstrated in
a model of heart failure, the monocrotaline treated rat, that oxidation of
skeletal muscle actin, tropomyosin and myosin produces a reduction of contractile
efficiency, which may further depress muscle function and exercise capacity.
AIMS: To investigate the presence of oxidized myofibrillar proteins in skeletal
muscle of CHF patients by means of the Oxyblot technique and to correlate it with
exercise capacity. METHODS: We have analyzed skeletal muscle biopsies taken from
six patients with class III-IV NYHA CHF and four control patients (peak VO(2)
12.8 +/- 1.9 vs. 29.7 +/- 1.7 ml/kg/min, p < 0.0001). RESULTS AND CONCLUSIONS: A
correlation between degree of myofibrillar oxidation and exercise capacity
measured as peak VO(2) was obtained. In the skeletal muscle of CHF patient there
was a much higher level of myofibrillar protein oxidation as expressed by the
Oxyblot/Red Ponceau (Oxy/RP) ratio as compared to controls (2.1 +/- 0.3 vs. 1.02
+/- 0.09, p < 0.0001). The VO(2)/Oxy/RP was significantly lower in the CHF
patients. Higher levels of muscle oxidation were found in patients with lower
exercise capacity with an inverse correlation between Oxyblot and VO(2) values (r
(2) = 0.83).
PMID- 18046530
TI - Catheter-ablation of ventricular tachycardia in patients with coronary artery
disease: influence of the endocardial substrate size on clinical outcome.
AB - Ablation of symptomatic ventricular tachycardia (VT) in patients with coronary
artery disease is frequently performed using the three dimensional mapping system
CARTO. In the amplitude map, bipolar potentials of <1.5 mV are considered
abnormal and represent damaged myocardium due to previous infarction. This
pathological electrical area can be arrhythmogenic, serving as the substrate for
reentrant VT. The purpose of this study was to correlate the size of the
endocardial substrate with the success of VT catheter ablation. Included in this
retrospective analysis were 69 consecutive patients with coronary artery disease
who underwent ablation for symptomatic clinical VT using CARTO. The voltage maps
were analyzed and the area with abnormal bipolar electrograms (<1.5 mV) was
determined using geometric approximation models. The area of abnormal
electrograms was divided into three sizes: small (or=100 cm(2); 8 patients). Patient
characteristics were not different between the three substrate groups in regard
to age, tachycardia cycle length, or number of radiofrequency applications,
however differed significantly between the small, medium and large group in
regard to left ventricular ejection fraction (44 +/- 12% vs. 32 +/- 9% vs. 21 +/-
7%, respectively; P = 0.001). Overall, there was a significant correlation
between myocardial infarction locations and endocardial substrate sizes (P =
0.031), such that 73% of small substrates were found after inferior myocardial
infarctions, and 100% of large substrates after anterior and multiple myocardial
infarctions (P = 0.003). After ablation, inducibility of ventricular arrhythmias
was more rare in patients with small substrates compared to patients with medium
or large substrates (small substrates: 9%, medium and large substrates: 43%, P =
0.043). Although during follow-up of 25 +/- 17 months (1 day to 72 months) there
was no significant difference between endocardial substrate sizes in regard to
recurrence rates (small: 27%, medium: 38%, large: 50%, P = 0.588), patients with
a small substrate did not have fast VT or ventricular fibrillation (VF), in
contrast to 30% and 38% of patients with medium and large substrates,
respectively. We conclude that in patients with coronary artery disease a small
area of low amplitude bipolar potentials ( or =1 mM).
Thus, the observed early proapoptotic effect of 3AB at lower SM concentrations
may point to the influence of ATP-independent cell-death regulating mechanisms.
PMID- 18046541
TI - Sorbitol-induced apoptosis of human leukemia is mediated by caspase activation
and cytochrome c release.
AB - It has been reported that sorbitol induces apoptosis in several cancer cell
lines. However, the molecular mechanism underlying the sorbitol-induced apoptotic
process is not yet clearly understood. In the present study, the intracellular
signaling pathways of sorbitol-induced apoptosis in human K562 cells were
investigated using both morphological analysis and DNA fragmentation technique.
In this study, we demonstrated that sorbitol-induced apoptosis in human K562
cells is a concentration- and time-dependent manner. This sorbitol-induced
apoptosis in human K562 cells was also accompanied by the up-regulation of Bax,
and down-regulation of p-Bcl-2, but no effect on the levels of Bcl-X(L).
Moreover, the sorbitol treatment resulted in a significant reduction of
mitochondria membrane potential, increase in the release of mitochondrial
cytochrome c (cyt c), and activation of caspase 3. Furthermore, treatment with
caspase 3 inhibitor (z-DEVD-fmk) was capable of preventing the sorbitol-induced
caspase 3 activity and cell death. These results clearly demonstrate that the
induction of apoptosis by sorbitol involves multiple cellular/molecular pathways
and strongly suggest that pro- and anti-apoptotic Bcl-2 family proteins,
mitochondrial membrane potential, mitochondrial cyt c, and caspase 3, they all
participate in sorbitol-induced apoptotic process in human K562 cells.
PMID- 18046542
TI - A novel mechanism of mechanical stress-induced angiotensin II type 1-receptor
activation without the involvement of angiotensin II.
AB - The angiotensin II (AngII) type 1 (AT1) receptor is a seven transmembrane
spanning G-protein-coupled receptor, and the activation of AT1 receptor plays an
important role in the development of load-induced cardiac hypertrophy. Locally
generated AngII was believed to trigger cardiac hypertrophy by an autocrine or
paracrine mechanism. However, we found that mechanical stress can activate AT1
receptor independently of AngII. Without the involvement of AngII, mechanical
stress not only activates extracellular signal-regulated kinases in vitro, but
also induces cardiac hypertrophy in vivo. All of these events are inhibited by
candesartan as an inverse agonist for AT1 receptor. It is conceptually novel that
AT1 receptor directly mediates mechanical stress-induced cellular responses, and
inverse-agonist activity emerges as an important pharmacological parameter for
AT1-receptor blockers that determines their efficacy in preventing organ damage
in cardiovascular diseases.
PMID- 18046543
TI - Sphingosine-1-phosphate and endothelin-1 induce the expression of rgs16 protein
in cardiac myocytes by transcriptional activation of the rgs16 gene.
AB - The expression of the negative Regulator of G protein signaling 16 (RGS16) is
rapidly induced in cardiomyocytes by various stimuli. To identify the promoter of
the mouse RGS16 gene, a 1.8-kb deoxyribonucleic acid fragment 5' of the RGS16
coding region was subcloned into a firefly-luciferase reporter vector and four
overlapping fragments were analyzed. The luciferase production was quantified in
neonatal rat cardiac myocytes (NRCM). A 0.6-kb fragment that induced a tenfold
increase in luciferase activity contained the minimal promoter sequence. Its
activity was twofold stimulated by fetal calf serum, endothelin-1 (ET-1), and
sphingosine 1-phosphate (S1P), which stimuli also elevated the level of RGS16
protein. Stimulation of NRCM with ET-1 induced activation of the monomeric
GTPases RhoA and Rac1, whereas S1P and the selective S1P1 receptor agonist
SEW2871 only induced a pronounced activation of Rac1. In accordance, the
treatment with the Rho-, Rac-, and Cdc42-inactivating Clostridium difficile Toxin
B (TcdB) 10463 inhibited ET-1 and S1P-induced transcriptional activation. The ET
1-induced activation was insensitive to pertussis toxin but selectively
suppressed by the RhoA-C-specific C2I-C3 ADP-ribosyl transferase and the ET(B)
receptor antagonist BQ788. The S1P-induced activation was specifically inhibited
by pertussis toxin and the Rac-inactivating TcdB 1470. All stimulated
transcriptional activity was abolished by the negative transcription factor Yin
Yang 1 (YY1), which binds to a consensus sequence within the minimal promoter.
Taken together, our data show that most likely ET(B)- and S1P1-receptors induce
RGS16 protein expression in cardiac myocytes by increasing the transcriptional
activity of the rgs16 gene. This activation is mediated by heterotrimeric G
proteins, Rho GTPases, and is under negative control of the transcription factor
YY1.
PMID- 18046545
TI - A sigmoid function is the best fit for the ascending limb of the Hoffmann reflex
recruitment curve.
AB - The Hoffmann (H)-reflex has been studied extensively as a measure of spinal
excitability. Often, researchers compare the H-reflex between experimental
conditions with values determined from a recruitment curve (RC). An RC is
obtained experimentally by varying the stimulus intensity to a nerve and
recording the peak-to-peak amplitudes of the evoked H-reflex and direct motor (M)
wave. The values taken from an RC may provide different information with respect
to a change in reflex excitability. Therefore, it is important to obtain a number
of RC parameters for comparison. RCs can be obtained with a measure of current
(HCRC) or without current (HMRC). The ascending limb of the RC is then fit with a
mathematical analysis technique in order to determine parameters of interest such
as the threshold of activation and the slope of the function. The purpose of this
study was to determine an unbiased estimate of the specific parameters of
interest in an RC through mathematical analysis. We hypothesized that a
standardized analysis technique could be used to ascertain important points on an
RC, regardless of data presentation methodology (HCRC or HMRC). For both HCRC and
HMRC produced using 40 randomly delivered stimuli, six different methods of
mathematical analysis [linear regression, polynomial, smoothing spline, general
least squares model with custom logistic (sigmoid) equation, power, and
logarithmic] were compared using goodness of fit statistics (r-square, RMSE).
Behaviour and robustness of selected curve fits were examined in various
applications including RCs generated during movement and somatosensory
conditioning from published data. Results show that a sigmoid function is the
most reliable estimate of the ascending limb of an H-reflex recruitment curve for
both HCRC and HMRC. Further, the parameters of interest change differentially
with respect to the presentation methodology and the analysis technique. In
conclusion, the sigmoid function is a reliable analysis technique which mimics
the physiologically based prediction of the input/output relation of the
ascending limb of the recruitment curve. Therefore, the sigmoid function should
be considered an acceptable and preferable analytical tool for H-reflex
recruitment curves obtained with reference to stimulation current or M-wave
amplitude.
PMID- 18046544
TI - Noradrenergic neuromodulation of human attention for emotional and neutral
stimuli.
AB - INTRODUCTION: Norepinephrine (NE) has a regulatory role in human attention.
OBJECTIVE: To examine its role in emotional modulation of attention, we used an
attentional blink (AB) paradigm, in the context of psychopharmacological
manipulation, where targets were either emotional or neutral items. RESULTS AND
DISCUSSION: We report behavioural evidence that beta-adrenergic blockade with
propranolol impairs attention independent of target valence. Furthermore, this
effect is centrally mediated as administration of the peripheral beta-adrenergic
antagonist nadolol did not impair attention. By contrast, increasing NE tone,
using the selective NE reuptake inhibitor reboxetine, improves detection of
emotional stimuli. CONCLUSION: In line with theoretical and animal models, these
findings provide human behavioural evidence that the adrenergic system has a
modulatory influence on selective attention that in some instances depends on
item valence.
PMID- 18046546
TI - Neuroapplication of Amplatzer vascular plug: a novel device for parent artery
occlusion.
AB - Extracranial internal carotid aneurysms are rare, but the complications
associated with the traditional surgical reconstruction methods are relatively
high. Endovascular treatment has replaced surgery for treatment of a variety of
vascular problems. We describe here the treatment of a recurrent extracranial
internal carotid artery aneurysm using a detachable balloon combined with the
Amplatzer vascular plug.
PMID- 18046547
TI - Pediatric musculoskeletal MRI: basic principles to optimize success.
AB - The purpose of this general review is to suggest practical strategies to optimize
musculoskeletal MR imaging in children. The changes related to ossification and
marrow transformation affect the MRI appearance during development. This review
summarizes the normal appearance of the growing skeleton on various pulse
sequences, as well as ways to optimize the imaging parameters. Appropriate
patient positioning, choice of field of view and imaging coils are essential.
There are various tools including intravenous contrast agent administration, fat
suppression and parallel imaging that can enhance the depiction of abnormalities,
increase speed of imaging, and improve overall quality of the study. Finally,
special considerations for imaging at 3 T are also reviewed.
PMID- 18046548
TI - CLEC2A: a novel, alternatively spliced and skin-associated member of the NKC
encoded AICL-CD69-LLT1 family.
AB - The human natural killer gene complex (NKC) encodes for numerous C-type lectin
like receptors (CTLR), which are expressed on various immune cells including
natural killer (NK) cells and myeloid cells. Certain activation-induced, NKC
encoded CTLR are grouped into the C-type lectin domain family 2 (CLEC2 family)
which, in humans, comprises AICL (CLEC2B), CD69 (CLEC2C), and LLT1 (CLEC2D). In
this paper, we characterize a novel member of the CLEC2 family, the human orphan
gene CLEC2A. The C-type lectin-like domain (CTLD) of CLEC2A is most similar to
the CTLD of LLT1 ( approximately 60% similarity). Like mouse CLEC2 family members
Clr-b and Clr-g, CLEC2A lacks two highly conserved cysteines (Cys4 and Cys5),
which form an intramolecular bond in the CTLD of most CTLR. Alternative splicing
of exon 2 and of two distinct terminal exons (exon 5A/B), respectively, gives
rise to four CLEC2A variants differing in the usage of the transmembrane domain
and/or in the carboxyterminal portion of the CTLD. CLEC2A transcripts were
detected primarily in myeloid cell lines, but not in epithelial cell lines. In
tissues, CLEC2A is selectively expressed in the skin and, at lower abundance, in
hematopoietic and gonadal tissues. Finally, we show that the CLEC2A1 variant is
readily expressed at the cell surface, where it may serve as a ligand for NKC
encoded NK receptors.
PMID- 18046549
TI - WHO-IUIS Nomenclature Subcommittee for immunoglobulins and T cell receptors
report.
PMID- 18046550
TI - Generation of readily transformable Bacillus licheniformis mutants.
AB - A set of mutants was generated by targeted deletion of the hsdR loci of two type
I restriction modification systems (RMS) identified in Bacillus licheniformis
DSM13. Single as well as double knock-outs resulted in strains being readily
transformable with plasmids isolated from Bacilli. Introduction of shuttle
plasmids isolated from Escherichia coli was routinely possible when the double
mutant B. licheniformis MW3 (DeltahsdR1, DeltahsdR2) was used in transformation
experiments. Growth and secretion of extracellular enzymes were not affected in
any of the mutants. Thus, along with an optimized transformation protocol, this
study makes available an urgently needed transformation system for this
industrially exploited species.
PMID- 18046551
TI - Complete hydrolysis of myo-inositol hexakisphosphate by a novel phytase from
Debaryomyces castellii CBS 2923.
AB - Debaryomyces castellii phytase was purified to homogeneity in a single step by
hydrophobic interaction chromatography. Its molecular mass is 74 kDa with 28.8%
glycosylation. Its activity was optimal at 60 degrees C and pH 4.0. The K (m)
value for sodium phytate was 0.532 mM. The enzyme exhibited a low specificity and
hydrolyzed many phosphate esters. The phytase fully hydrolyzed myo-inositol
hexakisphosphate (or phytic acid, Ins P(6)) to inositol and inorganic phosphate.
The sequence of Ins P(6) hydrolysis was determined by combining results from high
performance ionic chromatography and nuclear magnetic resonance. D. castellii
phytase is a 3-phytase that sequentially releases phosphate groups through Ins
(1,2,4,5,6) P(5), Ins (1,2,5,6) P(4), Ins (1,2,6) P(3), Ins (1,2) P(2), Ins (1 or
2) P(1), and inositol (notation 3/4/5/6/1 or 2).
PMID- 18046552
TI - Intra-tendinous ganglion in the long head of the biceps humeri.
AB - We present details of a case of intra-tendinous ganglion arising from the long
head of the biceps at an unusual location. MRI scans have important implications
for surgical planning and treatment. After excision of the ganglion, the tendon
remaining could be repaired. Five months after surgery, there was no sign of
recurrence.
PMID- 18046553
TI - Histone deacetylase inhibitors induce TAP, LMP, Tapasin genes and MHC class I
antigen presentation by melanoma cells.
AB - Histone deacetylase inhibitors (HDACi), including trichostatin A (TSA) and
valproic acid, can alter the acetylation of histones in chromatin and enhance
gene transcription. Previously we demonstrated that HDACi-treated tumor cells are
capable of presenting antigen via the MHC class II pathway. In this study, we
show that treatment with HDACi enhances the expression of molecules (TAP1, TAP2,
LMP2, LMP7, Tapasin and MHC class I) involved in antigen processing and
presentation via the MHC class I pathway in melanoma cells. HDACi treatment of
B16F10 cells also enhanced cell surface expression of class I and costimulatory
molecules CD40 and CD86. Enhanced transcription of these genes is associated with
a significant increase in direct presentation of whole protein antigen and MHC
class I-restricted peptides by TSA-treated B16F10 cells. Our data indicate that
epigenetic modification can convert a tumor cell to an antigen presenting cell
capable of activating IFN-gamma secreting T cells via the class I pathway. These
findings suggest that the abnormalities, observed in some tumors in the
expression of MHC class I antigen processing and presentation molecules, may
result from epigenetic repression.
PMID- 18046554
TI - Patient demographics and disease variables correlate with distinct cytokine
patterns in mitogen-stimulated peripheral blood mononuclear cells from rheumatoid
arthritis patients.
AB - There is paucity of literature on the association of peripheral blood cytokine
patterns with patient demographics and disease variables in rheumatoid arthritis
(RA). We test the hypothesis that there may be differences in peripheral blood
levels of inflammatory cytokines in RA subjects according to various disease
variables. In this case, we could identify peripheral blood cytokine markers that
correlate with different disease variables. Forty-two seropositive RA patients
were characterized according to the age at onset, gender, disease duration,
severity, activity and ACR functional class. The production levels in mitogen
stimulated PBMCs of five pro-inflammatory cytokines (IFNgamma, TNFalpha, TNFbeta,
IL-8, IL-18) and three anti-inflammatory cytokines (IL-4, IL-10, IL-13) were
evaluated in these patients and in healthy controls. Several new findings emerge:
(1) higher levels of IL-4 correlate with female gender, milder disease, non
erosive disease, and earlier age at onset; (2) higher levels of IL-10 correlate
with the requirement of < or =2 DMARDs; (3) higher levels of IL-18 correlate with
non-erosive disease and younger age at onset; (4) higher TNFbeta levels correlate
with older present age of patients; and (5) higher IL-8 levels correlate with
established/late disease. There are several interesting differences in cytokine
patterns with respect to age at onset, current age, disease severity, and the
number of DMARDs the patients require.
PMID- 18046555
TI - [Secondary toric intraocular lens implantation in pseudophakic eyes. The add-on
IOL system].
AB - The last 2 years have seen the development of toric IOL especially designed for
implantation in the ciliary sulcus for correction of corneal astigmatism in
pseudophakic eyes. The term 'add-on IOL systems' is becoming more and more widely
accepted for the lenses used in this procedure. The main indications for it are
regular corneal astigmatism in pseudophakic eyes, for example following
perforating keratoplasty, or when corneal scarring is present following trauma or
infection. The implantation is a simple atraumatic procedure carried out under
topical anaesthesia through a sclerocorneal incision. When the IOL is oriented
along the steepest corneal meridian with the aid of corneal topographical
monitoring, reliable and lasting correction of even extremely pronounced
astigmatism is possible. Although this procedure has proved to be very efficient
over a long follow-up period in small patient populations, reports of later
adjustment of the rotation of toric add-on IOLs must be tested in further trials,
especially in the case of simultaneous implantation of endocapsular IOLs in both
eyes. Nonetheless, the possibility of later correction and reversibility of the
intervention are further advantages of this lens system. As well as the
correction of astigmatism in pseudophakic eyes the add-on IOL system also offers
the option of treating pseudophakic eyes with sulcus-fixed multifocal lenses in
the context of refractive interventions, e.g. as a secondary procedure.
PMID- 18046556
TI - [Toric IOLs after cataract surgery and refractive lens exchange].
AB - Patients with astigmatism (e.g. regular or keratoplasty-induced astigmatism) who
undergo cataract surgery or refractive lens exchange with a standard monofocal
IOL are often disappointed. Toric IOLs (T-IOLs) are, therefore, an excellent
alternative for this condition. T-IOLs are now available from companies such as
Alcon, Acri, Tec, Humanoptics, Wavelight, Rayner and Staar. Apart from Alcon and
Staar who only produce T-IOLs with a fixed torus, all these produce customised
lenses. The calculations needed for production of the T-IOLs are generally done
by the companies and are based on the corneal astigmatism. T-IOLs have shown good
rotational stability and good functional results. Corneal astigmatism can still
be measured postoperatively, as it is corrected inside the eye. The referring
ophthalmologist should exercise discretion when prescribing spectacles after
surgery of this kind.
PMID- 18046557
TI - [Toric phakic intraocular lenses].
AB - After more than 3 years of follow-up, the satisfactory results achieved with the
toric iris-fixated phakic intraocular lens (IOL) mean we can regard implantation
of this lens as a procedure with the potential to provide safe, predictable,
effective and stable correction of astigmatic errors, providing patients are
carefully selected and receive adequate preparation for surgery. The iris-fixated
toric phakic IOL (Verisyse, Advanced Medical Optics; Artisan, Ophtec) is a PMMA
lens with a total diameter of 8.5 mm and an optic diameter of 5 mm. It has a
spherical anterior and a toric posterior surface. Its refractive power ranges
from -2 dpt to -21 dpt for myopia and from +2 dpt to +12.5 dpt for the correction
of hyperopia. Cylindrical correction is available from 2 dpt to 7.5 dpt. The
Visian toric implantable Collamer lens (Staar) differs in that it is foldable and
can be inserted through a very small incision of about 2.8 mm. It is placed in
front of the natural lens in the ciliary sulcus. The aim of implanting these
phakic IOLs is to correct the entire refractive error, meaning both the spherical
and the astigmatic error, in a single step. Different lens models are available,
and the selection depends on the direction of the cylinder axis and the
anatomical situation, among other things.
PMID- 18046558
TI - Re: Unusual penetrating cranio-cerebral injuries in children from main plugs.
PMID- 18046559
TI - Intracranial hemorrhages due to late-type vitamin K deficiency bleeding.
AB - OBJECTS: Vitamin K deficiency bleeding (VKDB) represents a clinical picture
characterized by bleedings due to insufficient levels of vitamin-K-dependent
hemostatic factors. VKDB can be classified into three groups as early, classic,
and late according to time of occurrence. Late-type VKDB has particular
importance due to frequent intracranial hemorrhages that lead to high mortality
and morbidity. In our study, we aimed to emphasize the importance of vitamin K
prophylaxis in prevention of late-type VKDB. MATERIALS AND METHODS: Data of 12
infants treated for intracranial hemorrhage due to late-type VKDB in Baskent
University Hospitals between June 1998 and June 2005 have been analyzed. RESULTS:
The ages of patients ranged between 25 and 90 days. Five were born in the
hospital and seven were born at home. None of the infants born at home received
vitamin K prophylaxis. Hemorrhages were classified as intraparenchymal in 58.33%,
subarachnoid in 50.00%, subdural in 50.00%, intraventricular in 41.66%, and
epidural in 8.33% according to cranial computerized tomography findings. Surgery
was performed in seven cases (58.33%). A total of six patients died (50.00%).
Three of the deaths were from the surgery-performed group. CONCLUSION: All
newborns should receive vitamin K prophylaxis to prevent bleeding due to vitamin
K deficiency. Symptoms of any predisposing disease and warning bleeds must be
noticed early and additional doses of vitamin K should be administered, if
required.
PMID- 18046560
TI - Postoperative psychological status of children with anorectal malformations.
AB - Our purpose was to clarify factors that influence the level of depression of
Japanese children with anorectal malformations (ARM). The subjects comprised 66
children with ARM, aged 0-16 years, and their mothers. Patients were divided into
three groups (Group 1: aged 0-5 years, Group 2: 6-11 years, and Group 3: 12-16
years). The level of depression of the children aged 6-16 years was investigated
by Kovac's children's depression inventory (CDI). The psychological status of
their mothers was assessed by Spielberger's state-trait anxiety index (STAI) and
Zung's self-rating depression scale (SDS). The classification of ARM and the
clinical condition were also investigated. Defecation scores were assigned for
the degree of bowel dysfunction. The level of depression in the patients was more
marked in Group 3 than in Group 2 according to the CDI score. Significant
correlations between the CDI score of the child and the STAI-1, STAI-2 and SDS
scores of the mother were observed in Group 2, but not in Group 3. In Group 2,
the STAI-1 score of the mothers was significantly influenced by the degree of
bowel dysfunction in her child. In Group 3, the CDI score was significantly
correlated with the constipation score. This study revealed that bowel
dysfunction is the important factor that influences the level of depression of
the children with ARM. In the period of childhood during which the child attends
primary school, bowel dysfunction indirectly influences the level of depression
of children through the psychological status of mothers. However, bowel
dysfunction directly influences the level of depression of children in adolescent
patients.
PMID- 18046561
TI - Predictive clinicopathologic factors for limited response of T3 rectal cancer to
combined modality therapy.
AB - PURPOSE: The response of T3 rectal cancer to combined modality therapy (CMT) is
highly predictive of long-term outcome following surgery. The aim of this study
was to identify pretreatment factors associated with poor tumor response to
neoadjuvant chemoradiation. METHODS: A prospective institutional database at
Memorial Sloan-Kettering Cancer Center was queried for endorectal ultrasound
(ERUS) stage T3N0-2 rectal cancer patients, treated with CMT followed by surgical
resection, between 1998 and 2003. Preoperative clinicopathologic factors
determined by biopsy, ERUS, proctoscopy, and digital rectal examination were
correlated with the degree of downstaging of the primary mural lesion (tumor
downstaging) in response to neoadjuvant therapy. Associations were analyzed by
chi-square, Kaplan-Meier, and logistic regression. RESULTS: Of 274 patients, 51%
obtained tumor downstaging in response to preoperative treatment, i.e., lower
pathologic T-stage compared with pretreatment ERUS. Five-year recurrence-free
survival was 89% in the cohort that obtained tumor downstaging compared with only
45% in the cohort that obtained no tumor downstaging. Factors significantly
associated with limited or lack of tumor downstaging after CMT included: fixed
tumor on digital rectal examination (p < 0.021), near-circumferential tumor (p <
0.011), tumor stenosis (p < 0.025), metastatic disease (p < 0.012), biopsy-proven
poorly differentiated pathology (p < 0.002), and radial extension >2.5 mm on ERUS
(p < 0.031). On multivariate analysis, deep radial extension on ERUS, metastatic
disease, and poorly differentiated pathology were in each, independently
associated with limited or lack of tumor downstaging. CONCLUSIONS: Pretreatment
evaluation with biopsy, proctoscopy, and ERUS can identify T3 rectal cancer
patients unlikely to respond well to CMT. These patients may be considered for
alternative protocols and their tumors studied to ascertain the molecular events
responsible for resistance to chemoradiation.
PMID- 18046562
TI - NF-kappaB-dependent synergistic regulation of CXCL10 gene expression by IL-1beta
and IFN-gamma in human intestinal epithelial cell lines.
AB - BACKGROUND AND AIMS: Little is known about the intestinal epithelial expression
and secretion of CXCL10 (IP-10), a chemokine involved in recruiting T cells and
monocytes. We aimed to study CXCL10 gene expression and regulation by the pro
inflammatory cytokines interleukin (IL)-1beta, interferon (IFN)-gamma and tumour
necrosis factor (TNF)-alpha in intestinal epithelial cell lines. MATERIALS AND
METHODS: CXCL10 expression and secretion kinetics were assessed in Caco-2, HT-29
and DLD1 human colon epithelial cells, treated with IL-1beta, TNF-alpha, IFN
gamma alone or in combination with each other by real-time polymerase chain
reaction (PCR), Northern blotting and enzyme-linked immunoabsorbent assay
(ELISA). Transient transfections with TGL-IP10 (CXCL10 promoter) and TGL-IP10
kappaB2 mutant promoter and gelshifts and supershifts for nuclear factor (NF)
kappaB were also performed. RESULTS: Real-time PCRs and ELISA experiments
revealed that IL-1beta was the strongest and earliest inducer of CXCL10 messenger
ribonucleic acid (mRNA) expression and protein secretion in Caco-2 cell line,
whereas INF-gamma had a delayed kinetics. There was a strong synergistic effect
of either TNF-alpha or IL-1beta with IFN-gamma both on CXCL10 mRNA expression and
protein secretion in all three cell lines. Real-time PCR and ELISA experiments
using a specific NF-kappaB inhibitor and transfection experiments with a NF
kappaB-binding defective CXCL10 promoter construct revealed that the induction of
CXCL10 by IL-1beta and its synergism with IFN-gamma is NF-kappaB dependent.
CONCLUSION: These data demonstrate that in colonic epithelial cells, depending on
the cellular context and utilizing the NF-kappaB pathway, IL-1beta alone and/or
in synergism with IFN-gamma may play a major role in the induction of CXCL10.
PMID- 18046563
TI - Lipogranuloma of the cervix in a postmenopausal patient with a uterine prolapse.
AB - OBJECTIVE: Lipogranuloma is a rare inflammatory reactive process related to
exogenous or endogenous lipids with an admission to the dermis and subcutis. It
is described most frequently in the penis and scrotum of young adults.
Lipogranuloma of the female genitalia is a rather uncommon condition. The lesion
is histopathologically characterized by fat vacuoles with foreign body type giant
cells and scattered lymphocytes. CASE: We described an incidental lipogranuloma
of the cervix in a 60-year-old woman who presented with total uterine prolapse.
CONCLUSION: Although lipogranuloma mostly occurs in young male patients,
especially in the genital tract, clinicians should be aware of this entity in the
cervix of a postmenopausal woman with uterine prolapse, which may challenge the
differential clinical diagnosis.
PMID- 18046564
TI - The evaluation of endometrial tumors by transvaginal and Doppler ultrasonography.
AB - BACKGROUND: Endometrial cancer is the most common malignancy of the female
genital tractus which is primarily seen in postmenopausal women. The purpose of
this study is to investigate the relation between uterine histopathologic
alterations and sonographic findings in women diagnosed with endometrial cancer.
MATERIALS AND METHODS: A total of 120 women who were histologically diagnosed
with endometrial cancer and who admitted to Gynecologic Oncology Department in
the study center were eligible. The subjects were evaluated by Doppler
ultrasonography (USG) coupled with a vaginal probe before surgery. After surgical
staging was performed in all participants, the preoperative sonographic findings
were compared with histopathologic information yielded from surgical specimens.
RESULTS: The mean age of the study population was 53.1 years. About 85% of the
subjects were postmenopausal. The mean endometrial thickness measured by
transvaginal USG was found to be 25.6 +/- 13.4 mm (range 6-88 mm). The diagnostic
accuracy, sensitivity, specificity, positive and negative predictive values of
transvaginal USG was, respectively, 69, 66, 72, 60 and 75%. In 37 (30.8%)
patients, transvaginal USG could not correctly predict the depth of myometrial
invasion. Myometrial invasion was underestimated in 17 cases (14.2%) and
overestimated in 20 cases (16.7%). There was a significant negative correlation
between the tumor grade and uterine artery blood flow. CONCLUSIONS: The results
of the present study determine that transvaginal USG has moderate sensitivity and
moderate-to-high specificity which limit its use. However, endometrial thickness,
myometrial invasion and resistance index values determined by Doppler USG can
indicate the tumor grade allowing individualized treatment to be planned for
endometrial tumors.
PMID- 18046565
TI - Recurrent respiratory papillomatosis: an overview of current thinking and
treatment.
AB - Human papillomaviruses (HPV) infection in benign laryngeal papillomas is well
established. The vast majority of recurrent respiratory papillomatosis lesions
are due to HPV types 6 and 11. Human papillomaviruses are small non-enveloped
viruses (>8 kb), that replicate within the nuclei of infected host cells.
Infected host basal cell keratinocytes and papillomas arise from the disordered
proliferation of these differentiating keratinocytes. Surgical debulking of
papillomas is currently the treatment of choice; newer surgical approaches
utilizing microdebriders are replacing laser ablation. Surgery aims to secure an
adequate airway and improve and maintain an acceptable quality of voice. Adjuvant
treatments currently used include cidofovir, indole-3-carbinol, ribavirin, mumps
vaccine, and photodynamic therapy. The recent licensing of prophylactic HPV
vaccines is a most interesting development. The low incidence of RRP does pose
significant problems in recruitment of sufficient numbers to show statistical
significance. Large multi-centre collaborative clinical trials are therefore
required. Even so, sufficient clinical follow-up data would take several years.
PMID- 18046566
TI - Surgical treatment of the high jugular bulb by compressing sinus sigmoideus: two
cases.
AB - If the jugular bulb normally surrounded by a bony layer in jugular fossa is
anatomically over the inferior surface of the bony annulus, in the middle ear or
over the basal turn of cochlea, it is then named as high jugular bulb (HJB). It
may be dehiscent or aberrant. It is reported to occur in 5% of the temporal bone
specimens. In accordance with the literature jugular bulb compression, jugular
vein ligation and embolization are suggested in such cases. In both of the
presented cases, there was bleeding from jugular bulb during surgery and jugular
bulb was compressed with bone wax and Surgicel, but sigmoid sinus has been
compressed after failure to stop bleeding through jugular bulb compression.
Venous MR angiographies showed no flow in postoperative controls. Although it is
very rarely seen clinically, we present two HJB cases and different treatment
perspectives accompanied by literature.
PMID- 18046567
TI - Early onset otitis media: risk factors and effects on the outcome of chronic
suppurative otitis media.
AB - The onset of early otitis media (EOM), in the first few months of life has been
reported to predict later chronic otitis media (CSOM), although the prevalence
rates are increasing little is known about specific risk factors. In this survey
we examined the hypothesis that higher risk factors is associated with the
development of OM within 1 year compared to later onset and early onset otitis
media (OM) has potential for negative outcome of CSOM. This is a survey of the
age at onset of otorrhoea and associated risk factors in children with CSOM, in
five sites spread in two sub-urban cities in two states in Nigeria.
Questionnaires were administered on the informants followed by examination of the
children. EOM was seen in 136/189 (70%) with CSOM, the age range was 1-150
months, mean of 59.25 (SD = 44.55). Of the 85 CSOM subjects with hearing loss,
EOM accounted for 49 (57.7%) while 36 (42.4%) was later onset, On multivariate
analysis (OR = 0.276, CI = 0.133-0.572, P = 0.001) revealing EOM was significant
in the development of hearing loss however there was no correlation with the
frequency of attack of otorrhoea (OR = 1.025, CI = 0.88-1.19, P = 0.75). Low
socioeconomic status seen in 110/136 EOM (P = 0.000), allergy (P = 0.030) and
number of people >10 in household (OR = 4.13, CI = 1.81-9.39, P = 0.001)
constituted the significant risk for EOM compared to later onset. Bottlefeeding,
adenoiditis/adenoid hypertrophy, indoor cooking and upper respiratory infection
were not found to have statistical significance in early onset OM compared to
later onset OM. This study found correlation between EOM and hearing loss and
identified allergy, low social status and chronic exposure to overcrowding
through increased number of children in the household significant risk factors
for future research focus. This may help in controlling the prevalence of hearing
loss accompanying CSOM.
PMID- 18046568
TI - In vivo modeling of polysumoylation uncovers targeting of Topoisomerase II to the
nucleolus via optimal level of SUMO modification.
AB - Conjugation of SUMO to target proteins is an essential eukaryotic regulatory
pathway. Multiple potential SUMO substrates were identified among nuclear and
chromatin proteins by proteomic approaches. However, the functional roles of SUMO
modified pools of individual proteins remain largely obscure, as only a small
fraction of a given target is sumoylated and therefore is experimentally
inaccessible. To overcome this technical difficulty in case of Topoisomerase II,
we employed constitutive SUMO modification, enabling tracking of modified Top2p,
not only biochemically but also cytologically and genetically. Topoisomerase II
fused to a critical number of SUMO repeats is concentrated at the specific
intranuclear domain, the nucleolus, when more than four SUMO moieties are added,
indicating that fused SUMO repeats are biologically active. Further analysis has
established that poly-sumoylation of Top2p is required for the stable maintenance
of the nucleolar organizer, linking SUMO-mediated targeting to functional
maintenance of ribosomal RNA gene cluster.
PMID- 18046569
TI - Fluorescence in situ hybridization (FISH) on maize metaphase chromosomes with
quantum dot-labeled DNA conjugates.
AB - Semiconductor nanocrystals, also called quantum dots (QDs), are novel inorganic
fluorophores which are brighter and more photostable than organic fluorophores.
In the present study, highly dispersive QD-labeled oligonucleotide (TAG)(8) (QD
deoxyribonucleic acid [DNA]) conjugates were constructed via the metal-thiol
bond, which can be used as fluorescence in situ hybridization (FISH) probes. FISH
analysis of maize metaphase chromosomes using the QD-DNA probes showed that the
probes could penetrate maize chromosomes and nuclei and solely hybridized to
complementary target DNAs. Compared with the conventional organic dyes such as
Cy3 and fluorescein isothiocyanate, this class of luminescent labels bound with
oligonucleotides is brighter and more stable against photobleaching on the
chromosomes after FISH. These results suggest that QD fluorophores may be a more
stable and useful fluorescent label for FISH applications in plant chromosome
mapping considering their size-tunable luminescence spectra.
PMID- 18046570
TI - Poor binocular coordination of saccades in dyslexic children.
AB - AIM: To examine the quality of binocular coordination of saccades in dyslexic
children in single word reading and in a task requiring fixation of single LED.
METHODS: Eighteen children with dyslexia (11.4 +/- 2 years old) and 13 non
dyslexic children of matched age were studied. Horizontal saccades from both eyes
were recorded with a photoelectric system (Oculomotor-Bouis). RESULTS: Binocular
coordination during and after the saccade in dyslexics is worse than that of non
dyslexic children; the disconjugacy does not depend on the condition. Moreover,
dyslexics do not show the stereotyped pattern of disconjugacy (divergence during
the saccade and convergence after the saccade). The conjugate post-saccadic drift
is larger in dyslexics for both conditions. CONCLUSION: Poor quality of binocular
coordination of saccades and drift of the eyes after the saccade, regardless of
the task, indicates an intrinsic ocular motor deficiency. Such a deficiency could
be related to immaturity of the normal ocular motor learning mechanisms via which
ocular motor coordination and stable fixation are achieved. Learning could be
based on the interaction between the saccade and vergence subsystems. The
cerebellum, but also cortical areas of the magnocellular stream such as the
parietal cortex, could be the sites of ocular motor learning.
PMID- 18046572
TI - Celebration of the 50th anniversary of publication of Histochemistry and Cell
Biology.
PMID- 18046573
TI - Quantitative investigations of electrical nerve excitation treated as
polarization. 1907.
PMID- 18046571
TI - Nucleolus: the fascinating nuclear body.
AB - Nucleoli are the prominent contrasted structures of the cell nucleus. In the
nucleolus, ribosomal RNAs are synthesized, processed and assembled with ribosomal
proteins. RNA polymerase I synthesizes the ribosomal RNAs and this activity is
cell cycle regulated. The nucleolus reveals the functional organization of the
nucleus in which the compartmentation of the different steps of ribosome
biogenesis is observed whereas the nucleolar machineries are in permanent
exchange with the nucleoplasm and other nuclear bodies. After mitosis, nucleolar
assembly is a time and space regulated process controlled by the cell cycle. In
addition, by generating a large volume in the nucleus with apparently no RNA
polymerase II activity, the nucleolus creates a domain of retention/sequestration
of molecules normally active outside the nucleolus. Viruses interact with the
nucleolus and recruit nucleolar proteins to facilitate virus replication. The
nucleolus is also a sensor of stress due to the redistribution of the ribosomal
proteins in the nucleoplasm by nucleolus disruption. The nucleolus plays several
crucial functions in the nucleus: in addition to its function as ribosome factory
of the cells it is a multifunctional nuclear domain, and nucleolar activity is
linked with several pathologies. Perspectives on the evolution of this research
area are proposed.
PMID- 18046574
TI - Redirection of the phenylpropanoid pathway to feruloyl malate in Arabidopsis
mutants deficient for cinnamoyl-CoA reductase 1.
AB - Cinnamoyl-CoA reductase 1 (CCR1, gene At1g15950) is the main CCR isoform implied
in the constitutive lignification of Arabidopsis thaliana. In this work, we have
identified and characterized two new knockout mutants for CCR1. Both have a dwarf
phenotype and a delayed senescence. At complete maturity, their inflorescence
stems display a 25-35% decreased lignin level, some alterations in lignin
structure with a higher frequency of resistant interunit bonds and a higher
content in cell wall-bound ferulic esters. Ferulic acid-coniferyl alcohol ether
dimers were found for the first time in dicot cell walls and in similar levels in
wild-type and mutant plants. The expression of CCR2, a CCR gene usually involved
in plant defense, was increased in the mutants and could account for the
biosynthesis of lignins in the CCR1-knockout plants. Mutant plantlets have three
to four-times less sinapoyl malate (SM) than controls and accumulate some
feruloyl malate. The same compositional changes occurred in the rosette leaves of
greenhouse-grown plants. By contrast and relative to the control, their stems
accumulated unusually high levels of both SM and feruloyl malate as well as more
kaempferol glycosides. These findings suggest that, in their hypolignified stems,
the mutant plants would avoid the feruloyl-CoA accumulation by its redirection to
cell wall-bound ferulate esters, to feruloyl malate and to SM. The formation of
feruloyl malate to an extent far exceeding the levels reported so far indicates
that ferulic acid is a potential substrate for the enzymes involved in SM
biosynthesis and emphasizes the remarkable plasticity of Arabidopsis
phenylpropanoid metabolism.
PMID- 18046576
TI - Characterization of trimeric acetylcholinesterase from a legume plant,
Macroptilium atropurpureum Urb.
AB - We recently identified plant acetylcholinesterases (E.C.3.1.1.7; AChEs)
homologous to the AChE purified from a monocotyledon, maize, that are distinct
from the animal AChE family. In this study, we purified, cloned and characterized
an AChE from a dicotyledon, siratro. The full-length cDNA of siratro AChE is
1,441 nucleotides, encoding a 382-residue protein that includes a signal peptide.
This AChE is a disulfide-linked 125-kDa homotrimer consisting of 41-42 kDa
subunits, in contrast to the maize AChE, which exists as a mixture of disulfide
and non-covalently linked 88-kDa homodimers. The plant AChEs apparently consist
of various quaternary structures, depending on the plant species, similar to the
animal AChEs. We compared the enzymatic properties of the dimeric maize and
trimeric siratro AChEs. Similar to electric eel AChE, both plant AChEs hydrolyzed
acetylthiocholine (or acetylcholine) and propionylthiocholine (or
propionylcholine), but not butyrylthiocholine (or butyrylcholine), and their
specificity constant was highest against acetylcholine. There was no significant
difference between the enzymatic properties of trimeric and dimeric AChEs,
although two plant AChEs had low substrate turnover numbers compared with
electric eel AChE. The two plant AChE activities were not inhibited by excess
substrate concentrations. Thus, similar to some plant AChEs, siratro and maize
AChEs showed enzymatic properties of both animal AChE and animal BChE. On the
other hand, both siratro and maize AChEs exhibited low sensitivity to the AChE
specific inhibitor neostigmine bromide, dissimilar to other plant AChEs. These
differences in enzymatic properties of plant AChEs may reflect the phylogenetic
evolution of AChEs.
PMID- 18046575
TI - Physiological roles of plant glycoside hydrolases.
AB - The functions of plant glycoside hydrolases and transglycosidases have been
studied using different biochemical and molecular genetic approaches. These
enzymes are involved in the metabolism of various carbohydrates containing
compounds present in the plant tissues. The structural and functional diversity
of the carbohydrates implies a vast spectrum of enzymes involved in their
metabolism. Complete genome sequence of Arabidopsis and rice has allowed the
classification of glycoside hydrolases in different families based on amino acid
sequence data. The genomes of these plants contain 29 families of glycoside
hydrolases. This review summarizes the current research on plant glycoside
hydrolases concerning their principal functional roles, which were attributed to
different families. The majority of these plant glycoside hydrolases are involved
in cell wall polysaccharide metabolism. Other functions include their
participation in the biosynthesis and remodulation of glycans, mobilization of
energy, defence, symbiosis, signalling, secondary plant metabolism and metabolism
of glycolipids.
PMID- 18046577
TI - A monoclonal antibody that inhibits Trypanosoma cruzi growth in vitro and its
reaction with intracellular triosephosphate isomerase.
AB - In parasites of the order Kinetoplastida, such as Trypanosoma cruzi and
Trypanosoma brucei, glycolysis is carried out by glycolytic enzymes in
glycosomes. One of the glycolytic enzymes is triosephosphate isomerase (TIM),
which in T. brucei is localized exclusively in glycosomes, whereas in T. cruzi,
the localization of TIM has not been fully ascertained. In the present work, we
made a monoclonal antibody (mAb 6-11G) against recombinant T. cruzi TIM (rTcTIM).
Incubation of T. cruzi epimastigotes with the mAb inhibited parasite survival.
Western blotting showed that the mAb recognized rTcTIM and a 27 kDa band in T.
cruzi lysates that corresponded to TcTIM. Sera from patients with Chagas disease
recognized rTcTIM and cross-reacted with human recombinant TIM. The cross
reactivity between parasite and human TIM possibly contributes to the autoimmune
pathogenesis of Chagas disease. Electron microscopy of T. cruzi epimastigotes
with the mAb showed that TIM was located within glycosomes, in the cytoplasm, the
nucleus, and the kinetoplast. Collectively, the data shed new light on T. cruzi
TIM and opens perspectives for drug design.
PMID- 18046578
TI - Chemical composition and larvicidal properties of the essential oils from Drimys
brasiliensis Miers (Winteraceae) on the cattle tick Rhipicephalus (Boophilus)
microplus and the brown dog tick Rhipicephalus sanguineus.
AB - The essential oil obtained from leaves and stem barks of the Southern Brazilian
native Drimys brasiliensis Miers, a tree with medicinal properties, was analyzed
by gas chromatography (GC) and GC/mass spectrometry (MS). The oil was
characterized by sesquiterpenoids (66%), cyclocolorenone being the most abundant
(30.4%), followed by bicyclogermacrene (11.8%) and alpha-gurjunene (6.0%).
Laboratory tests were carried out to determine the toxicity of the essential oil
on larvae of the cattle tick Rhipicephalus (Boophilus) microplus and the brown
dog tick Rhipicephalus sanguineus by the larval immersion test. It was observed
that the oil was lethal, killing 100% of the larvae of both ticks at the doses of
25, 12.5, and 6.25 microl/ml. The lowest dose tested, 3.125 microl/ml, was also
toxic, killing 95-98% of the larvae.
PMID- 18046580
TI - Co-localization and unique distributions of two clock proteins CYCLE and CLOCK in
the cephalic ganglia of the ground cricket, Allonemobius allardi.
AB - CYCLE (CYC) and CLOCK (CLK) are transcriptional activators of the circadian clock
genes, period (per) and timeless (tim), binding at E-boxes of their upstream
regulatory region in Drosophila. CYC-like and CLK-like immunohistochemical
reactivities (CYC-ir and CLK-ir) were investigated in the ground cricket,
Allonemobius allardi, in which immunohistochemical reactivities for three
circadian clock proteins (PERIOD, Doubletime, and Cryptochrome), two
neuropeptides (crustacean cardioactive peptide and diapause hormone), and
arylalkylamine-N-acetyltransferase had previously been mapped in the brain
subesophageal ganglion (SOG) complex. CYC-ir and CLK-ir occurred predominantly in
the cytoplasm of the neurons distributed mainly in the central brain, SOG, and
corpora cardiaca. Double-labeling experiments showed that CYC-ir and CLK-ir were
co-localized only in the mandibular and maxillary neuromeres of the SOG. The
neuronal processes in the dorsolateral region of the protocerebrum partially
shared the immunoreactivities, whereas most of the other immunoreactivities were
unique. The optic lobe showed reactivity to anti-CYC at small proximal
frontodorsal cells and to anti-CLK at small proximal frontoventral cells. The
frontal ganglion exhibited CYC-ir in the cell bodies that lacked CLK-ir. No
difference in their number, distribution, or staining intensity was found between
sampling under light:dark regimes of 16:8 and 12:12. The levels of both CYC-ir
and CLK-ir showed no oscillation throughout a 24-h period. The co-localization
pattern suggests that the midline cells of the SOG share most of the circadian
related immunoreactivities, thus constituting the heart of the circadian clock in
A. allardi.
PMID- 18046579
TI - Activation of stem cells in hepatic diseases.
AB - The liver has enormous regenerative capacity. Following acute liver injury,
hepatocyte division regenerates the parenchyma but, if this capacity is
overwhelmed during massive or chronic liver injury, the intrinsic hepatic
progenitor cells (HPCs) termed oval cells are activated. These HPCs are
bipotential and can regenerate both biliary epithelia and hepatocytes. Multiple
signalling pathways contribute to the complex mechanism controlling the behaviour
of the HPCs. These signals are delivered primarily by the surrounding
microenvironment. During liver disease, stem cells extrinsic to the liver are
activated and bone-marrow-derived cells play a role in the generation of fibrosis
during liver injury and its resolution. Here, we review our current understanding
of the role of stem cells during liver disease and their mechanisms of
activation.
PMID- 18046582
TI - Lymphoepithelioma-like thymic carcinoma in a 16-year-old boy with nephrotic
syndrome--a case report.
AB - Nephrotic syndrome can occur as a consequence of, among others, malignancy. In
this report we describe a 16-year-old boy with secondary nephrotic syndrome
associated with lymphoepithelioma-like thymic carcinoma, an extremely rare
subtype ofthymic carcinoma with poor prognosis.
PMID- 18046581
TI - Chronic kidney disease mineral and bone disorder in children.
AB - Childhood and adolescence are crucial times for the development of a healthy
skeletal and cardiovascular system. Disordered mineral and bone metabolism
accompany chronic kidney disease (CKD) and present significant obstacles to
optimal bone strength, final adult height, and cardiovascular health. Decreased
activity of renal 1 alpha hydroxylase results in decreased intestinal calcium
absorption, increased serum parathyroid hormone levels, and high-turnover renal
osteodystrophy, with subsequent growth failure. Simultaneously, phosphorus
retention exacerbates secondary hyperparathyroidism, and elevated levels
contribute to cardiovascular disease. Treatment of hyperphosphatemia and
secondary hyperparathyroidism improves growth and high-turnover bone disease.
However, target ranges for serum calcium, phosphorus, and parathyroid hormone
(PTH) levels vary according to stage of CKD. Since over-treatment may result in
adynamic bone disease, growth failure, hypercalcemia, and progression of
cardiovascular calcifications, therapy must be carefully adjusted to maintain
optimal serum biochemical parameters according to stage of CKD. Newer therapeutic
agents, including calcium-free phosphate binding agents and new vitamin D
analogues, effectively suppress serum PTH levels while limiting intestinal
calcium absorption and may provide future therapeutic alternatives for children
with CKD.
PMID- 18046583
TI - Non-native Ambrosia pollen in the atmosphere of Rzeszow (SE Poland); evaluation
of the effect of weather conditions on daily concentrations and starting dates of
the pollen season.
AB - The investigated problem was whether and to what an extent the elements of
weather conditions were associated with changes in the concentration of Ambrosia
pollen in air. The study was carried out in the years 1997-2004 using the
volumetric method. Ragweed did not occur in the flora of the town of Rzeszow and
its vicinity, but every year its pollen occurred at concentrations considerably
exceeding the threshold values for the allergic response. The pollen seasons
usually began from near the end of August to the first part of September. The
values of daily concentrations varied greatly: days without pollen grains being
frequently noted while single grains occurred long before and after the season.
The effect of the elements of weather on changes in the concentration of pollen
in the air was slight. Taking into account all meteorological parameters, it can
be stated that an increase in the number of pollen grains is connected with
increased temperature and higher wind speeds, but negatively correlated with
humidity and rainfall. These relationships can usually be explained by the
effects of the type of weather taking place over the wider region. The analysis
of the results showed that pollen was probably transported from distant regions.
The mean angle at which the greatest pollen concentrations were recorded
corresponded to the SE wind direction. The analysis of synoptic phenomena during
the pollen season confirms the thesis about the long-distance transport from the
south, southeast and southwest. A close dependence was also found between the
starting date of the season and the sum of maximum temperatures and the sum of
differences between the maximum and minimum temperatures.
PMID- 18046584
TI - Ceratobasidiaceae mycorrhizal fungi isolated from nonphotosynthetic orchid
Chamaegastrodia sikokiana.
AB - Mycorrhizal fungi were isolated from the nonphotosynthetic orchid Chamaegastrodia
sikokiana and identified as members of Ceratobasidiaceae by phylogenetic analysis
of the internal transcribed spacer (ITS) region of ribosomal deoxyribonucleic
acid. The ITS sequences were similar among geographically separated samples
obtained from Mt. Kiyosumi in Chiba Prefecture and Mt. Yokokura in Kochi
Prefecture. One of the isolated fungi, KI1-2, formed ectomycorrhiza on seedlings
of Abies firma in pot culture, suggesting that tripartite symbiosis exists among
C. sikokiana, mycorrhizal fungi, and A. firma in nature, and carbon compounds are
supplied from A. firma to C. sikokiana through the hyphae of the mycorrhizal
fungi. To our knowledge, this is the second study to suggest the involvement of
Ceratobasidiaceae fungi in tripartite symbiosis with achlorophyllous orchids and
photosynthetic host plants.
PMID- 18046585
TI - Spontaneous infective spondylitis and mycotic aneurysm: incidence, risk factors,
outcome and management experience.
AB - Infective spondylitis occurring concomitantly with mycotic aneurysm is rare. A
retrospective record review was conducted in all cases of mycotic aneurysm from
January 1995 to December 2004, occurring in a primary care and tertiary referral
center. Spontaneous infective spondylitis and mycotic aneurysm were found in six
cases (10.3% of 58 mycotic aneurysm patients). Neurological deficit (50% vs. 0; P
< 0.001) is the significant clinical manifestation in patients with spontaneous
infective spondylitis and mycotic aneurysm. The presence of psoas abscess on
computed tomography (83.3% vs. 0; P < 0.001) and endplate destruction on
radiography (50% vs. 0; P < 0.001) are predominated in patients with spontaneous
infective spondylitis and mycotic aneurysm. Of these six patients, four with
Salmonella infection received surgical intervention and all survived. Another two
patients (one with Streptococcus pyogenes, another with Staphylococcus aureus)
received conservative therapy and subsequently died from rupture of aneurysm or
septic shock. Paravertebral soft tissue swelling, presence of psoas abscess
and/or unclear soft tissue plane between the aorta and vertebral body in relation
to mycotic aneurysm may indicate a concomitant infection in the spine. In
contrast, if prevertebral mass is found in the survey of spine infection,
coexisting mycotic aneurysm should be considered.
PMID- 18046586
TI - Pure manganese(III) 5,10,15,20-tetrakis(4-benzoic acid)porphyrin (MnTBAP) is not
a superoxide dismutase mimic in aqueous systems: a case of structure-activity
relationship as a watchdog mechanism in experimental therapeutics and biology.
AB - Superoxide is involved in a plethora of pathological and physiological processes
via oxidative stress and/or signal transduction pathways. Superoxide dismutase
(SOD) mimics have, thus, been actively sought for clinical and mechanistic
purposes. Manganese(III) 5,10,15,20-tetrakis(4-benzoic acid)porphyrin (MnTBAP) is
one of the most intensely explored "SOD mimics" in biology and medicine. However,
we show here that this claimed SOD activity of MnTBAP in aqueous media is not
corroborated by comprehensive structure-activity relationship studies for a wide
set of Mn porphyrins and that MnTBAP from usual commercial sources contains
different amounts of noninnocent trace impurities (Mn clusters), which inhibited
xanthine oxidase and had SOD activity in their own right. In addition, the
preparation and thorough characterization of a high-purity MnTBAP is presented
for the first time and confirmed that pure MnTBAP has no SOD activity in aqueous
medium. These findings call for an assessment of the relevance and suitability of
using MnTBAP (or its impurities) as a mechanistic probe and antioxidant
therapeutic; conclusions on the physiological and pathological role of superoxide
derived from studies using MnTBAP of uncertain purity should be examined
judiciously. An unequivocal distinction between the biological effects due to
MnTBAP and that of its impurities can only be unambiguously made if a pure sample
is/was used. This work also illustrates the contribution of fundamental structure
activity relationship studies not only for drug design and optimization, but also
as a "watchdog" mechanism for checking/spotting eventual incongruence of drug
activity in chemical and biological settings.
PMID- 18046587
TI - Fluorescence resonance energy transfer in ferritin labeled with multiple
fluorescent dyes.
AB - We simultaneously labeled ferritin with two Alexa Fluor fluorophores (AF350 and
AF430). When both fluorophores label the same ferritin subunit, fluorescence
resonance energy transfer (FRET) takes place from the excited AF350 to the
acceptor AF430. By varying the number and the ratio of labeling fluorophores, we
can modulate FRET such that the ferritin particles can exhibit multiple colors
under UV illumination. Labeling of the ferritin shell does not affect the
properties of the metallic core.
PMID- 18046589
TI - Unusual acute formed gastric bezoars due to plaster ingestion successfully
treated by gastric irrigation: report of two cases.
AB - Bezoars usually present as a mass in the stomach. One of the uncommon causes of
bezoars is ingestion of plaster in a suicidal attempt. We present here two
patients with acute formed gastric bezoars due to plaster ingestion. Their main
complaints were abdominal pain, nausea, and vomiting. Clinical examination
revealed a slightly distended abdomen and a palpable mass in the epigastric
region. Plain abdominal radiograph showed plaster casts in the stomach. They were
successfully treated by insertion of a nasogastric tube and gastric irrigation
using saline solution over 24 h. Post-treatment abdominal X-ray showed dissolved
plaster passing through the small intestines and the colon. The treatment course
was uneventful, and finally patients were discharged with good condition and
referred to a psychiatric clinic for treatment of underlying psychological
disorder.
PMID- 18046588
TI - Natural killer cells and alterations in collagen density: signs of periradicular
herpesvirus infection?
AB - This study evaluated the presence and density of natural killer (NK) cells as
well as collagen density in chronic apical periodontitis lesions and tried to
find any correlations with concomitant herpesvirus infection or histopathological
status of the lesion. Surgical specimens of chronic apical periodontitis lesions
were surveyed for the presence and density of NK cells by immunohistochemical
analysis. Collagen density in these lesions was quantified by means of
histochemistry. All specimens were positive for the presence of CD57-positive
cells. Topographically, CD57-positive cells were found singly or forming clusters
in the granulomatous tissue, as well as subjacent and within the cystic
epithelium. No significant differences in the density of CD57-positive cells were
found between nonepithelialized and epithelialized lesions or between herpesvirus
positive and herpesvirus-negative lesions. Significant differences were found in
volumetric density of collagen when comparing nonepithelialized and
epithelialized lesions, with the latter demonstrating higher values. When no
distinction of lesion type was made, there was no significant difference in
collagen density between herpesvirus-positive and herpesvirus-negative lesions.
When comparing the collagen density in herpesvirus-positive and herpesvirus
negative specimens from the same lesion type, a significant difference was found
in nonepithelialized lesions, with herpesvirus-positive lesions showing lower
values. The presence of CD57-positive cells in all chronic apical periodontitis
specimens may indicate that activated NK cells play a role in the pathogenesis of
this disease, possibly by participating in innate immunity events involved in the
control of virus infection. Collagen density may vary in function of the type of
lesion and presence of herpesvirus infection.
PMID- 18046590
TI - Optimization of process parameters for the production of carbonyl reductase by
Candida viswanathii in a laboratory-scale fermentor.
AB - The effect of pH, aeration and mixing on the growth and production of carbonyl
reductase by Candida viswanathii was investigated in a 6.6-l fermentor.
Controlling the pH at 8.0 had a very significant effect on the enzyme production.
Aeration and agitation influenced the dissolved oxygen concentration which in
turn affected growth as well as enzyme production. A maximum carbonyl reductase
activity (53 Umg(-1)) was attained in 24 h under the optimal cultivation
conditions of controlled pH at 8.0, aeration rate 1 vvm and an agitation speed of
250 rpm at 25 degrees C. The enzyme activity was twice as high (56 Umg(-1)) in
the fermentor as compared to a shake flask. Further, the duration of growth and
enzyme production in the fermentor was shortened. Cells cultivated under the
optimized conditions were used for the preparative scale reduction of N, N
dimethyl-(3-keto)-2-thienyl-propanamine to (S)-N, N-dimethyl-(3-hydroxy)-2
thienyl-propanamine, a key intermediate in the production of the important
antidepressant drug (S)-duloxetine.
PMID- 18046591
TI - Biexponential and diffusional kurtosis imaging, and generalised diffusion-tensor
imaging (GDTI) with rank-4 tensors: a study in a group of healthy subjects.
AB - OBJECT: Clinical diffusion imaging is based on two assumptions of limited
validity: that the radial projections of the diffusion propagator are Gaussian,
and that a single directional diffusivity maximum exists in each voxel. The
former can be removed using the biexponential and diffusional kurtosis models,
the latter using generalised diffusion-tensor imaging. This study provides
normative data for these three models. MATERIALS AND METHODS: Eighteen healthy
subjects were imaged. Maps of the biexponential parameters D (fast), D (slow) and
f (slow), of D and K from the diffusional kurtosis model, and of diffusivity D'
were obtained. Maps of generalised anisotropy (GA) and scaled entropy(SE) were
also generated, for second and fourth rank tensors. Normative values were
obtained for 26 regions. RESULTS: In grey versus white matter, D (slow) and D'
were higher and D (fast), f (slow) and K were lower. With respect to maps of D',
anatomical contrast was stronger in maps of D (slow) and K. Elevating tensor rank
increased SE, generally more significantly than GA, in: anterior limb of internal
capsule, corpus callosum, deep frontal and subcortical white matter, along
superior longitudinal fasciculus and cingulum. CONCLUSION: The values reported
herein can be used for reference in future studies and in clinical settings.
PMID- 18046592
TI - Is it time to standardize ethics guiding the peer review process?
AB - While most scientific journals have well defined ethics requirements for authors,
very few journals explicitly specify the ethics standards that govern the actions
of editors, editorial board members, and reviewers. We believe it is time to
create a standardized policy for all medical and scientific journals that guides
the ethical conduct of all stakeholders in the peer review process.
PMID- 18046593
TI - A reliable biomarker derived from plasmalogens to evaluate malignancy and
metastatic capacity of human cancers.
AB - Antigen tumor markers employed in monitoring therapeutical approaches are limited
by their specificity (Sp) and sensitivity (Se). The aim of this study was to
investigate the suitability of a lipid tumor marker derived from ether-linked
phospholipids and to compare it with others usually assayed in clinical practice.
Complex lipids from normal and pathological breast, lung, and prostate tissue
were isolated and analyzed by TLC and c-GLC methods. Results were compared as
pooled samples, or by means of the averaged percent changes with respect to the
composition observed in the normal tissue of the same patient. Sp, Se, negative
predictive (NPV) and positive- predictive values (PPV) were established for
conventional markers and for the proposed lipid-derived marker. Results
demonstrated that the content of monoenoic fatty acyl chains was significantly
increased in total lipids, phosphatidylethanolamine, and especially in
ethanolamine-containing ether lipids of neoplastic tissues with respect to their
corresponding normal ones. Major changes were observed in the plasmalogen sub
fraction where the ratio monoenoic/saturated fatty acids can distinguish with
high Se normal tissues from either benign or neoplastic tissues from breast,
lung, or prostate lesions. Analyses of fatty acyl chains from ethanolamine
containing plasmalogens provided a reliable tumor marker that correlated with
high Se and linearity with metastases spreading. This fact may be useful in
prognosis of the most frequently observed human cancers.
PMID- 18046594
TI - Comparison of low fat and low carbohydrate diets on circulating fatty acid
composition and markers of inflammation.
AB - Abnormal distribution of plasma fatty acids and increased inflammation are
prominent features of metabolic syndrome. We tested whether these components of
metabolic syndrome, like dyslipidemia and glycemia, are responsive to
carbohydrate restriction. Overweight men and women with atherogenic dyslipidemia
consumed ad libitum diets very low in carbohydrate (VLCKD) (1504
kcal:%CHO:fat:protein = 12:59:28) or low in fat (LFD) (1478 kcal:%CHO:fat:protein
= 56:24:20) for 12 weeks. In comparison to the LFD, the VLCKD resulted in an
increased proportion of serum total n-6 PUFA, mainly attributed to a marked
increase in arachidonate (20:4n-6), while its biosynthetic metabolic
intermediates were decreased. The n-6/n-3 and arachidonic/eicosapentaenoic acid
ratio also increased sharply. Total saturated fatty acids and 16:1n-7 were
consistently decreased following the VLCKD. Both diets significantly decreased
the concentration of several serum inflammatory markers, but there was an overall
greater anti-inflammatory effect associated with the VLCKD, as evidenced by
greater decreases in TNF-alpha, IL-6, IL-8, MCP-1, E-selectin, I-CAM, and PAI-1.
Increased 20:4n-6 and the ratios of 20:4n-6/20:5n-3 and n-6/n-3 are commonly
viewed as pro-inflammatory, but unexpectedly were consistently inversely
associated with responses in inflammatory proteins. In summary, a very low
carbohydrate diet resulted in profound alterations in fatty acid composition and
reduced inflammation compared to a low fat diet.
PMID- 18046595
TI - The lengthening of a giant protein: when, how, and why?
AB - Subcommissural organ (SCO)-spondin is a giant glycoprotein of more than 5000
amino acids found in Vertebrata, expressed in the central nervous system and
constitutive of Reissner's fiber. For the first time, in situ hybridization
performed on zebrafish (Danio rerio) embryos shows that the gene encoding this
protein is expressed transitionally in the floor plate, the ventral midline of
the neural tube, and later in the diencephalic third ventricle roof, the SCO. The
modular organization of the protein in Echinodermata (Strongylocentrotus
purpuratus), Urochordata (Ciona savignyi and C. intestinalis), and Vertebrata
(Teleostei, Amphibia, Aves and Mammalia) is also described. As the thrombospondin
type 1 repeat motifs represent an increasingly large part of the protein during
Deuterostomia evolution, the duplication mechanisms leading to this complex
organization are examined. The functional significance of the particularly well
preserved arrangement of the series of SCO-spondin repeat motifs and
thombospondin type 1 repeats is discussed.
PMID- 18046596
TI - Relation of left ventricular hypertrophy to microalbuminuria and C-reactive
protein in children and adolescents with essential hypertension.
AB - Microalbuminuria (MA) and C-reactive protein (CRP) levels are predictors of
increased risk for left ventricular hypertrophy (LVH). Whether the strength of
association between CRP and LVH is comparable to that of MA in hypertensive
children is unknown. CRP and MA were measured in 64 children and adolescents with
essential hypertension (HTN). In the entire population, CRP and MA showed
positive relations with body mass index (BMI) (r = 0.30, p = 0.04 and r = 0.32, p
= 0.04, respectively), systolic blood pressure (SBP) (r = 0.63, p = 0.03 and r =
0.58, p = 0.03, respectively), and LVH (r = 0.86, p < 0.001 and r = 0.81, p <
0.001, respectively). Patients with LVH (n = 23) had significantly higher BMI (p
= 0.32), increased SBP (p = 0.031), and higher levels of CRP (p < 0.001) and MA
(p < 0.001) compared with those without LVH. Multiple linear regression analysis
demonstrated that CRP (r = 2.11, p < 0.001), MA (r = 1.94, p < 0.003), BMI (r =
0.53, p = 0.02), and SBP (r = 0.48, p = 0.04) were significantly associated with
LVH. By analysis of covariance, CRP and MA were significantly different between
patients who had LVH and those without LVH after adjustment for age, gender, BMI,
SBP, SBP index, and diastolic blood pressure (p < 0.001 for the two markers). In
conclusion, the strength of association between LVH and CRP is comparable to that
of MA in children and adolescents with essential HTN.
PMID- 18046597
TI - Cord blood lipid profile in a population of Iranian term newborns.
AB - Premature coronary artery disease (CAD) and its risk factors are highly prevalent
in Iran. It is well documented that atherosclerosis starts in childhood and there
is evidence that this association with adult levels may originate at birth, so
assessment of serum lipid levels in neonates might be of importance. In this
study we aimed to measure serum lipoprotein and apolipoprotein levels in a
representative sample of Iranian newborns. Cord blood lipid profile,
apolipoprotein A, apolipoprotein B, and lipoprotein a (LPa) were analyzed in 378
full-term, Iranian newborns. The mean values of total cholesterol (TC), low
density lipoprotein cholesterol, high-density lipoprotein cholesterol (HDL-C),
apolipoprotein A, apolipoprotein B, and Lpa in girls were 81.4 +/- 28.3, 35.9 +/-
22.4, 31.1 +/- 9.9, 87.9 +/- 20.2, 34.2 +/- 18.2, and 21.0 +/- 2.8 mg/dL,
respectively; these values in boys were 75.2 +/- 21.1, 32.1 +/- 16.3, 28.8 +/-
8.7, 84.3 +/- 16.0, 32.6 +/- 14.2, and 19.5 +/- 2.7 mg/dL, respectively. Median
values of triglycerides (TGs) in boys and girls were 61 and 62 mg/dL,
respectively. Female neonates had significantly higher concentrations of TC and
HDL-C than males (81.4 +/- 28.3 vs. 75.2 +/- 21.1, p = 0.02, and 31.18 +/- 9.97
vs. 28.8 +/- 8.7, p = 0.02, respectively). Other biochemical factors were not
significantly different between genders. The mean and median concentrations of
LPa were 20.3 and 18.7 mg/dL, respectively, which are almost five times higher
than those reported in some other studies. The TG concentration was 1.5-2 times
higher than those previously reported. Our findings indicate that serum
concentrations of LPa and TG in Iranian neonates are higher than those in
previous studies; this disorder, which is likely placing Iranians at increased
risk for future CADs, needs to be assessed in longitudinal studies.
PMID- 18046598
TI - A simple and feasible technique for repair of anomalous origin of the left
pulmonary artery from the ascending aorta.
AB - Anomalous origin of the left pulmonary artery from the ascending aorta is a rare
congenital cardiac malformation and the direct reimplantation technique has been
the strategy of choice, although postoperative restenosis across the anastomosis
site is frequently observed. In the described case, a simple and feasible
technique was used with satisfactory results at 20-month follow-up.
PMID- 18046599
TI - Percutaneous stent placement in children weighing less than 10 kilograms.
AB - The objectives of this study were to evaluate the safety, efficacy, and outcomes
of palliative percutaneous stent placement in infants with congenital heart
disease (CHD). There is interest in improving outcomes of infants with CHD
through interventional/surgical collaboration. Small, high-risk patients may
benefit from delayed open operations, and endovascular stents may provide a means
to defer surgery to more advantageous times in select infants. Patients weighing
< or =10 kg in whom stent placement was attempted during 2003-2006 were
identified. Diagnoses, indications, angiographic and hemodynamic data,
complications, and outcomes were reviewed. Seventeen stents were successfully
placed in 15 patients. The mean age was 10.21 months; the mean weight was 6.08
kg. Indications were branch pulmonary artery stenosis, coarctation, total
anomalous pulmonary venous return with obstructed venous egress, right ventricle
pulmonary artery conduit, and shunt stenosis. Premounted Palmaz Genesis and
Driver Mx stents were used. Average vessel diameter increased from 3.65 to 6.72
mm (p < 0.001). The pressure gradient fell from 24 to 15 mm Hg (p < 0.01). Two
stents migrated. One was recaptured and implanted at the target site and one
implanted away from the target site. None needed surgical intervention. Mean
follow-up was 270 days. Five patients have had additional surgery; seven patients
underwent 10 additional stent dilations. There was no procedural-related
mortality. Five patients (33%) died during the follow-up period, none
attributable to the stent placement. We conclude that stent placement can be
successfully accomplished in select, small, high-risk patients. A collaborative
interventional/surgical paradigm is important to ensure that the risk-benefit
ratio is properly evaluated during the patient selection.
PMID- 18046600
TI - Distribution of Paenibacillus larvae spores among adult honey bees (Apis
mellifera) and the relationship with clinical symptoms of American foulbrood.
AB - Knowledge of the distribution of Paenibacillus larvae spores, the causative agent
of American foulbrood (AFB), among individual adult honey bees is crucial for
determining the appropriate number of adult bees to include in apiary composite
samples when screening for diseased colonies. To study spore distribution at the
individual bee level, 500 honey bees were collected from different parts of eight
clinically diseased colonies and individually analyzed for P. larvae. From the
brood chamber and from the super, bees were randomly collected and individually
put in Eppendorf vials. The samples were frozen as soon as possible after
collection. Concurrently with sampling, each colony was visually inspected for
clinical symptoms of AFB. The number of clinically diseased cells in the colony
was visually estimated. All samples were cultured in the laboratory for P.
larvae. The results demonstrate that the spores are not randomly distributed
among the bees; some bees have much higher spore loads than others. It is also
clear that as the proportion of contaminated bees increase, the number of spores
from each positive bee also increases. The data also demonstrated a relationship
between the number of clinically diseased cells and the proportion of positive
bees in individual colonies. This relationship was used to develop a mathematical
formula for estimating the minimum number of bees in a sample to detect clinical
disease. The formula takes into account the size of the apiary and the degree of
certainty with which one aims to discover clinical symptoms. Calculations using
the formula suggest that adult bee samples at the colony level will detect light
AFB infections with a high probability. However, the skewed spore distribution of
the adult bees makes composite sampling at the apiary level more problematic, if
the aim of the sampling is to locate lightly infected individual colonies within
apiaries. The results suggest that false-negative culturing results from
composite samples of adult bees from individual colonies with clinical symptoms
of AFB are highly improbable. However, if single colonies have light infections
in large apiaries, the dilution effect from uncontaminated bees from healthy
colonies on the positive bees from diseased colonies may yield false-negative
results at the apiary level.
PMID- 18046601
TI - An examination of governance arrangements at Kisakasaka mangrove reserve in
Zanzibar.
AB - This study employs insights largely derived from critical reflections on the
common pool resources (CPR) theory to examine the current governance arrangements
in place to manage the mangrove forest at Kisakasaka, in Zanzibar, Tanzania.
Kisakasaka was used as a site for a community-based management pilot project of
forest resources in Zanzibar. After some initial success in setting up a local
management structure and regulating access to the mangrove for mainly charcoal
production, there are now clear indications that forest conditions have
deteriorated dramatically with concomitant ongoing resource use problems for
local villagers who have relied heavily on forest resources as a source of cash
income. Extra-local factors, such as urban population increases and associated
market pressures for charcoal, are also conjectured to overlay and interact with
the institutional problems at Kisakasaka. As a result, over concern about the
deterioration in the condition of the mangrove forest, the responsible government
authority decided not to renew the community-based governance arrangements after
an initial five-year pilot period. While revealing the inadequacies of existing
governance arrangements and of its relationship to deteriorating forest
conditions at Kisakasaka, this study concludes by suggesting an approach to more
fully understand forces driving local resource management and use.
PMID- 18046602
TI - Support for simulation-based surgical education through American College of
Surgeons--accredited education institutes.
AB - The American College of Surgeons (ACS) recently launched a new program to provide
regional support for simulation-based surgical education through the
establishment of a consortium of accredited education institutes. The goals of
the program are to enhance surgical patient safety, support efforts of surgeons
to meet the requirements for Maintenance of Certification, address the core
competencies that all surgeons and surgical residents need to achieve and
demonstrate, and enhance access to contemporary surgical education. The ACS
accredited institutes will comprehensively address the needs of a broad spectrum
of learners and advance the science of simulation-based surgical education.
Accreditation is being offered at two levels--Level I (Comprehensive) and Level
II (Basic)--based on three standards that focus on the learners served, the
curricula offered, and the technological support and resources available. Initial
plans of the consortium of ACS-accredited Education Institutes include
development and dissemination of innovative curricula, peer review of new
educational programs and products, sharing of limited educational resources, and
pursuit of collaborative research and development. This program should be of
great value in supporting the professional activities of surgeons, surgical
residents, medical students, and members of the surgical team, and in delivering
surgical care of the highest quality.
PMID- 18046604
TI - TIPS can be lifesaving in acute liver failure associated with portal vein and
inferior vena cava thrombosis in a case of Budd Chiari syndrome due to protein S
deficiency.
PMID- 18046605
TI - Molecular characterization of Japanese sillago vitellogenin and changes in its
expression levels on exposure to 17beta-estradiol and 4-tert-octylphenol.
AB - We cloned a full-length cDNA encoding vitellogenin (VTG) from a marine teleost,
the Japanese sillago Sillago japonica. The cloned sillago VTG contained signal
peptide, lipovitellin heavy chain, phosvitin, lipovitellin light chain, and beta'
component in the order from the N-terminus. An exposure to 17beta-estradiol
significantly increased the levels of plasma VTG, but not hepatic VTG mRNA in
males. Neither plasma VTG nor hepatic VTG mRNA levels were affected by the
exposure to 4-tert-octylphenol. Hepatic VTG mRNA levels in males increased at 1
day after intraperitoneal administration of 17beta-estradiol but decreased in the
subsequent 5 days. However, plasma VTG levels remained high for 5 days after
administration, suggesting that the accumulation period of plasma VTG is longer
than that of hepatic VTG mRNA in males. Therefore, VTG mRNA may be a suitable
indicator of temporal exposure to estrogenic chemicals in the environment,
whereas plasma VTG is useful to detect consecutive exposure.
PMID- 18046606
TI - Developmental changes of mechanics measured in the gerbil cochlea.
AB - This report describes stiffness and best frequency measurements obtained in vitro
from the basilar membrane of the gerbil cochlea at the onset of hearing, during
hearing maturation, and after hearing has matured. Our stiffness data constitute
the first direct experimental evidence of developmental stiffness changes in the
basal and middle turns. Stiffness changes by a factor of 5.5 in the basal turn
between postnatal day 11 and adult, and the difference from adult is
statistically significant for all ages measured up to postnatal day 16. For the
middle turn, stiffness changes by a factor of 1.6 between postnatal day 11 and
adult. Whereas for postnatal day 12 and beyond there is no statistically
significant difference from adult, our data suggest that there may be a
significant difference of stiffness between day 11 and adult in the middle turn.
For the basal turn, our motion measurements confirm a passive component to the
developmental best frequency shift. For the middle turn, changes in best
frequency are not statistically significant. Best frequency was determined by
stimulating the tissue at audio frequencies with a glass paddle and measuring
motion with a computer-based imaging system. Tissue stiffness was measured with a
piezoelectric-based sensor system. Tissue stiffness changes have previously been
postulated to contribute to the best frequency shift observed in the cochlear
base. Incorporating our data into a simple spring-mass resonance model
demonstrates that our experimentally measured stiffness change can account for
the change of best frequency. These results suggest that a stiffness change is,
in fact, a critical component of the best frequency shift observed in the basal
turn of the gerbil cochlea after the onset of hearing.
PMID- 18046607
TI - Prevalence of anal cytologic abnormalities in a French referral population: a
prospective study with special emphasis on HIV, HPV, and smoking.
AB - PURPOSE: Studying anal cytology to detect intraepithelial neoplasia has been
demonstrated to be useful in highly selected populations. This study was designed
to determine the frequency of abnormal smears in a wide sample of patients
consulting for anorectal symptoms. METHODS: An anal smear was proposed during
each consultation during a three-month period. RESULTS: A total of 205 patients
(112 females) were included. After the consultation, visible condylomas were
detected in 12 (6 percent) of the cases. Two hundred three (99 percent) smears
were able to be analyzed. No case of high-grade squamous intraepithelial lesion
was found. Four cases of low-grade squamous intraepithelial lesion were found,
all in HIV patients with a history of condylomatous lesions. Forty smears were
interpreted as atypical squamous cells of undetermined significance and 159 were
normal. The prevalence in this population of low-grade squamous intraepithelial
lesion was 19 percent (4/21) in HIV seropositive males, and 15 percent (4/26) in
the case of a past history of condyloma. The factors associated with an abnormal
smear (low-grade squamous intraepithelial lesion or atypical squamous cells of
undetermined significance) were a history of anal condylomatous lesions (odd
ratio, 4.9; range, 2.1-11.5), HIV seropositivity (odd ratio, 4; range, 1.6-9.9),
and smoking (odd ratio, 2.1; range, 1.1-11.5). CONCLUSIONS: This work confirms
that the frequency of low-grade squamous intraepithelial lesion is raised in HIV
seropositive males and also where there is a history of condyloma, which
corroborates the necessity for regular monitoring and screening of these patients
at risk. This study also suggests that the use of tobacco is associated with anal
cytologic abnormalities.
PMID- 18046608
TI - Effect of long-term forest fertilization on Scots pine xylem quality and wood
borer performance.
AB - We tested whether changes in long-term nutrient availability would affect the
xylem quality and characteristics of Scots pine trees as a food source for the
larvae of the xylophagous wood borer Hylotrupes bajulus L. (Cerambycidae). We
looked for an effect of host plant growth and xylem structural traits on H.
bajulus larval performance, and looked for delayed effects of long-term forest
fertilization on xylem chemical quality. In general, larval performance was
dependent on larval developmental stage. However, the growth of larvae also
varied with host plant quality (increases in the concentration of nitrogen and
carbon-based secondary compounds of xylem were correlated with a decrease in the
larval growth rate). The greater annual growth of trees reduced tracheid length
and correlated positively with second-instar H. bajulus growth rate. This is
consistent with the hypothesis that intrinsic growth patterns of host plants
influence the development of the xylophagous wood borer H. bajulus.
PMID- 18046609
TI - Compressed voxels for high-resolution phantom simulations in GATE.
AB - PURPOSE: We report here on a technique to implement high-resolution objects with
voxels having variable dimensions (compressed) for the reduction of memory and
central processing unit (CPU) requirements in Monte Carlo simulations. The
technique, which was implemented in GATE, the GEANT4 application for positron
emission tomography/single photon emission computed tomography (PET/SPECT)
imaging simulations, was developed in response to our need for realistic high
resolution phantoms for dosimetry calculations. PROCEDURES: A compression
algorithm similar to run-length encoding for one-dimensional data streams, was
used to fuse together adjacent voxels with identical physical properties. The
algorithm was verified by conducting dosimetric calculations and imaging
experiments on compressed and uncompressed phantoms. RESULTS: Depending on the
initial phantom size and composition, compression ratios of up to 99.9% were
achieved allowing memory and CPU reductions of up to 85% and 70%, respectively.
The output of the simulations was consistent with respect to the goals for each
type of simulation performed (dosimetry and imaging). CONCLUSIONS: The
implementation of compressed voxels in GATE allows for significant memory and CPU
reduction and is suitable for dosimetry as well as for imaging experiments.
PMID- 18046610
TI - Update in women's health 2006-2007.
PMID- 18046611
TI - Intrahepatic repair of bile duct injuries. A comparative study.
AB - INTRODUCTION: The frequency of bile duct injuries associated to cholecystectomy
remains constant (0.3-0.6%). A multidisciplinary approach (endoscopical,
radiological, and surgical) is necessary to optimize the outcome of the patient.
Surgery is indicated when complete section of the duct is identified (Strasberg's
E injuries) requiring a bilioenteric anastomosis as treatment. Nowadays, the most
frequent technique used for reconstruction is a Roux-en-Y hepatojejunostomy. Long
term results of reconstruction are related to several technical and anatomic
factors, but an ischemic duct (with subsequent scarring) plays a mayor role. In
this paper, we report the results of biliary reconstructions comparing the
extrahepatic-probably ischemic -- to intrahepatic -- non ischemic -- repairs.
METHODS: We reviewed the files of patients referred to our hospital (third-level
teaching hospital) for bile duct repair after iatrogenic injury from 1990 to July
2006. Injury classification, time lapse since injury, surgical repair technique,
and long-term follow-up were noted. In all cases, a Roux-en-Y hepatojejunostomy
was done. Partial resection of segment IV was performed in 136 patients to obtain
noninflamed, nonscarred, nonischemic biliary ducts with the purpose of reaching
the confluence and achieving a high-quality bilioenteric anastomosis. An
anastomosis at the level of the confluence was attempted in 293 patients (in 198
the confluence was preserved and in 95 it was lost). In the remaining 80
patients, a low bilioenteric anastomosis was done at the level of the common
hepatic duct. We compared intrahepatic (198) and extrahepatic (80) repairs.
RESULTS: A total of 405 cases (88 males, 317 females) were identified, with a
mean age of 42 years (range 17-75). All of the injuries were classified as
Strasberg E1, E2, E3, E5 (less frequent); those with E4 classification (separated
ducts) were excluded. In all cases, the confluence was preserved (N = 293).
Thirty-two cases were repaired minutes to hours after the injury occurred. The
remaining 373 patients arrived weeks after the injury. In 198 cases, an
intrahepatic repair was done, including the 136 in which resection of segments IV
and V was part of the surgery. In the remaining 80 cases (operated between 1990
and 1997), an extrahepatic repair was done at the level of the common hepatic
duct where the surgeon found a healthy duct. Twelve (15%) of the 80 cases with
extrahepatic anastomosis required a new intervention (surgical or radiological),
compared to only 8 of the 198 (3%) that had an intrahepatic anastomosis (P =
0.00062). Good results were obtained in 85% and 97% of the cases with
extrahepatic anastomosis and intrahepatic anastomosis, respectively. Both groups
had a reintervention rate of 7% (20/278). CONCLUSIONS: An intrahepatic
anastomosis requires finding nonscarred, nonischemic ducts, thus allowing a safe
and high-quality anastomosis with significantly better results when compared to
the low-level anastomosis group.
PMID- 18046612
TI - Surgical treatment of primary neuroendocrine tumors of the liver.
AB - Primary neuroendocrine tumor (NET) of the liver is a very rare neoplasm,
requiring strict exclusion of possible extrahepatic primary sites for its
diagnosis. We have analyzed our clinical experience of eight patients with
hepatic primary NET. From January 1997 to December 2006, eight patients with a
mean age of 50.4 +/- 9.5 years underwent liver resection for primary hepatic NET.
Seven patients underwent preoperative liver biopsies, which correctly diagnosed
NET in four. Of the eight patients, six underwent R0 and two underwent R1
resection. Diagnosis of hepatic primary NET was confirmed immunohistochemically
and by the absence of extrahepatic primary sites. All tumors were single lesions,
of mean size 8.6 +/- 5.7 cm, and all showed positive staining for synaptophysin
and chromogranin. During a mean follow-up of 34.0 +/- 39.7 months, three patients
died of multiple liver metastases after tumor recurrence, whereas the other five
remain alive to date, making the 5-year recurrence rate 40% and the 5-year
survival rate 56.3%. Univariate analysis showed that Ki67 proliferative index was
a risk factor for tumor recurrence. In conclusion, although primary hepatic NET
is very rare, it should be distinguished from other liver neoplasms. The mainstay
of treatment is curative liver resection.
PMID- 18046614
TI - Four trace elements contents of water environment of mining subsidence in the
Huainan diggings, China.
AB - Mining subsidence pool is the special waters formed by coal mining, located near
the mine. We understand the impact on these waters of the surrounding coal
production activities and the electricity plant through research the content and
characteristics of harmful trace elements in coal contained in these waters. Pb,
Hg, Se and Cr these four trace elements increase 0.52, 0.78, 0.70 and 0.28%
respectively in Datong mining subsidence pool from November 2004 to November
2006; the four elements increase 1.52, 1.23, 1.08 and 1.08% respectively in
Xie'er mining subsidence pool; the four elements increase 1.01, 1.06, 1.02 and
0.83% respectively in Pansan mining subsidence pool. The absolute value of Pb,
Hg, Se and Cr in mining subsidence pool is related with their background value,
while the increase in their concentration and their environment of mine and
electricity plant surrounded are closely linked.
PMID- 18046613
TI - Peptide YY and glucagon-like peptide-1 in morbidly obese patients before and
after surgically induced weight loss.
AB - BACKGROUND: Peptide YY (PYY) and glucagon-like peptide-1 (GLP-1) are cosecreted
in the same enteroendocrine L-cells of the gut and reported to inhibit food
intake additively. However, findings in human studies regarding these peptides
are controversial. The aim of this study was to analyze the relationships between
fasting PYY, GLP-1, and weight status in morbidly obese patients before and after
surgically induced weight loss. METHODS: Fasting GLP-1, PYY, glucose, and insulin
concentrations; blood pressure; and body-mass index (BMI) were determined in 30
morbidly obese adults (mean BMI 45.8, mean age 40 years) before bariatric surgery
[Roux-en-Y gastric bypass (RYGB): n = 19; gastric banding (GB): n = 11] and after
weight loss (mean 50% excess weight loss) in the course of mean 2 years. RESULTS:
GLP-1 concentrations decreased (mean -20 pg/ml; mean -38%; p = 0.001) and PYY
concentrations increased (mean +19 pg/ml; mean +19%, p = 0.036) after bariatric
surgery. The weight loss and changes of GLP-1 were significantly (p < 0.05) more
pronounced after RYGB as compared to GB, whereas the changes of PYY did not
differ significantly between the patients who had undergone RYGB or GB.
CONCLUSIONS: In morbidly obese adults reducing their weight by bariatric surgery,
fasting PYY levels increased and GLP-1 concentrations decreased independently of
each other. Therefore, the relationship between PYY and GLP-1 seems more
complicated than might be anticipated from animal and in vitro studies.
PMID- 18046615
TI - Determination of aluminum and zinc in Iranian consumed tea.
AB - To determine aluminum and zinc in Iranian consumed tea, 31 tea samples were
analysed for Al and Zn concentration of tea leaves and tea infusion. The results
show that average concentration of Al and Zn in tea leaves was 326 and 50.7 mg.
Kg(-1) respectively in this regard Nemoneh and Shahrzad show the highest and
lowest concentration respectively in term of Al, also Debsh and Alkozi follow
same situation in Zn concentration. Also the solubility of metals in the first
infusion was significantly (Al, Zn: P < 0.01) higher than the second infusion and
the solubility in the second infusion was also significantly higher than the
third infusion (Al: P < 0.01; Zn: P < 0.05). Al leachate in the first infusion (2
min) for Mosama and Seilan tea was highest and lowest respectively. Also in the
second and third infusion (5 and 10 min) Golkis and Nemoneh tea show the highest
and lowest figures respectively. On the other hand, data from Zn transfer in tea
infusion in the first infusion show that Mosama and Ahmad Atry tea have the
highest and lowest leachate percentage respectively, while in the second and
third infusion Mosama and Golkis tea follow the same situation. Calculation of
percentage 'available' Al and Zn to the human system showed that 1 l of tea can
provide 37.2% of the daily dietary intake of Al, the percentage 'available' for
absorption in the intestine is only 1.78% for overall mean Al concentration. Also
daily dietary intake of Zn was 2.13% while percentage available for absorption in
the intestine was 0.72%.
PMID- 18046617
TI - Locating air quality monitoring station using wind impact area diagram.
AB - In this study a new methodology is suggested to approximate the impact area
downwind of an air pollution source, where air quality monitoring can be carried
out to capture the maximum pollutant concentration. Hourly wind speed for a given
month is grouped in to different wind speed ranges and the distance of pollutant
travel is approximated from the average wind speed of that wind speed range.
Since change in wind direction causes the impact distance to rotate, its rotation
is approximated by the SD of wind direction change. Using this approach, area or
region down wind of a source is determined and plotted. The pattern of monthly
change of wind is better represented by the new type of diagram as compared to
the wind rose diagram.
PMID- 18046616
TI - Determination of heavy metal levels in water, sediment and tissues of tench
(Tinca tinca L., 1758) from Beysehir Lake (Turkey).
AB - In the present study, some heavy metals (Cu, Fe, Zn and Mn) were seasonally
determined in water, sediment and some tissues of fish Tinca tinca from Beysehir
Lake, which is an important bird nesting and visiting area, a water source for
irrigation and drinking. In the water, Fe has the highest concentrations among
the studied metals. Generally, the metal concentrations increased in the hottest
period decreased in warm seasons. Results for levels in water were compared with
national and international water quality guidelines, as well as literature data
reported for the lakes. Fe was the highest in sediment samples, also Cu and Zn
were the highest in spring, while Fe and Mn were in autumn. Among the heavy
metals studied, Cu and Mn were below the detection limits in some tissues.
Generally, higher concentrations of the tested metals were found in the summer
and winter, compared with those during the autumn and spring seasons. High levels
of heavy metals were found in liver of T. tinca, while low levels in muscle
samples. Metal concentrations in the muscle of examined fish were within the
safety permissible levels for human consumption. The present study shows that
precautions need to be taken in order to prevent further heavy metal pollution.
PMID- 18046618
TI - Ertapenem-induced acute pancreatitis in a surgical elderly patient.
AB - Case summary A 78-year old man was given, after surgery, 1 g ertapenem every 24 h
intravenously. His clinical evolution was favorable and on day 8 ertapenem was
discontinued and the patient was put on a semi-solid diet. On day 9, abdominal
distension was seen accompanied by epigastric pain. The laboratory tests on day 8
showed an altered pancreatic profile: amylase = 1823 U/l (normal value: 0-100);
lipase = 8045 U/l (normal value: 0-60); C-reactive protein (CRP) = 16.09 mg/dl
(normal value: 0-0.5). Full Blood Count (FBC) showed leukocytosis with an
increase in neutrophils and eosinophils. The prior pancreatic parameters were
normal without leukocytosis. The evolution of clinical symptoms after
discontinuing ertapenem was rapid. Between days 11 and 16, the laboratory
parameters returned to normal values; the eosinophilia persisted longer,
decreasing between days 14 and 16. Conclusions clinicians should include
monitoring the development of acute pancreatitis in the safety parameters in
patients undergoing treatment with this carbapenem.
PMID- 18046620
TI - Change of body weight and lifestyle of persons at risk for diabetes after
screening and counselling in pharmacies.
AB - OBJECTIVE: To investigate the effects of pharmacy based counselling on changes in
lifestyle and body weight. METHODS: Three months after screening a stratified
sample of 3,800 randomly chosen overweight persons were addressed with
questionnaires. Half a year and 1 year later the assessment was repeated.
Standard counselling (SC; non-specific recommendations towards lifestyle),
intensive counselling (IC; additional advice to reduce body weight) and
counselling for persons at high risk for type 2 diabetes (HRC; recommendation to
contact a physician) were compared. RESULTS: All counselling groups (SC; n = 557,
IC; n = 568, HRC; n = 245) showed significant weight loss three months after
screening (0.6-1.9 kg). A further weight reduction was observed at 1 year follow
up (1.1-2.4 kg). The HRC group showed a higher percentage of weight loss than the
IC or SC group after 3 months (-2.25% vs. -1.20% and -0.67%; P < 0.001) and at 1
year of follow-up (-2.74% vs. -1.54% and -1.29%; P < 0.01). Lifestyle changes in
physical activity and/or nutrition were reported by 81.2% in the HRC group, 74.1%
in the IC group and 67.0% in the SC group. CONCLUSION: Immediate counselling in
community pharmacies after screening for type 2 diabetes can result in
significant lifestyle changes and weight loss in overweight individuals.
PMID- 18046621
TI - Feedback to doctors about prescribing errors in the hospital setting.
PMID- 18046622
TI - Immunohistochemical and immunocytochemical detection of SchS34 antigen in
Stachybotrys chartarum spores and spore impacted mouse lungs.
AB - The purpose of this study was to evaluate the distribution of a 34 kD antigen
isolated from S. chartarum sensu lato in spores and in the mouse lung 48 h after
intra-tracheal instillation of spores by immuno-histochemistry. This antigen was
localized in spore walls, primarily in the outer and inner wall layers and on the
external wall surfaces with modest labelling observed in cytoplasm. Immuno
histochemistry revealed that in spore impacted mouse lung, antigen was again
observed in spore walls, along the outside surface of the outer wall and in the
intercellular space surrounding spores. In lung granulomas the labelled antigen
formed a diffusate, some 2-3x the size of the long axis of spores, with highest
concentrations nearest to spores. Collectively, these observations indicated that
this protein not only displayed a high degree of specificity with respect to its
location in spores and wall fragments, but also that it slowly diffuses into
surrounding lungs.
PMID- 18046623
TI - Malassezia spp. in acoustic meatus of bats (Molossus molossus) of the Amazon
Region, Brazil.
AB - The yeasts of the Malassezia genus are opportunistic microorganisms and can cause
human and animal infections. They are commonly isolated from the skin and
auricular canal of mammalians, mainly dogs and cats. The present study was aimed
to isolate Malassezia spp. from the acoustic meatus of bats (Molossus molossus)
in the Montenegro region, "Rondonia", Brazil. From a total of 30 bats studied
Malassezia spp. were isolated in 24 (80%) animals, the breakdown by species being
as follows (one Malassezia sp. per bat, N = 24): 15 (62.5%) M. pachydermatis, 5
(20.8%) M. furfur, 3 (12.5%) M. globosa and 1 (4.2%) M. sympodialis. This study
establishes a new host and anatomic place for Malassezia spp., as it presents the
first report ever of the isolation of this genus of yeasts in the acoustic meatus
of bats.
PMID- 18046624
TI - An investigation on the polymorphisms of two DNA repair genes and susceptibility
to ESCC and GCA of high-incidence region in northern China.
AB - AIM: To investigate the possible association of three SNPs, XRCC2 C41657T, XRCC2
G4234C and XRCC3 A17893G with susceptibility to esophageal squamous cell
carcinoma (ESCC) and gastric cardia adenocarcinoma (GCA) in a population of
northern China. METHODS: XRCC2 C41657T, XRCC2 G4234C and XRCC3 A17893G SNP were
genotyped by polymerase-chain reaction (PCR)-restriction fragment length
polymorphism (RFLP) analysis in 583 cancer patients (329 ESCC and 254 GCA) and
614 healthy controls. RESULTS: The genotype distribution of the XRCC2 C41657T in
ESCC and GCA patients were significantly different from that in healthy controls
(P values = 0.04 and 0.04 respectively). And a significant difference was found
in the allele distribution of GCA patients from that in controls (P = 0.01). The
XRCC2 C41657T polymorphism was associated with a modest enhancement in ESCC risk
and GCA risk: OR for C/T genotype was 1.38 (1.01-1.89) in GCA risk and for T/T
genotype was 2.24 (1.10-4.57) in ESCC risk. When stratified for age, smoking
status and family history of UGIC, the C/T genotype showed a modest significant
trend on the risk of GCA patients in the groups of age < or =50 years and non
smokers, the adjusted OR were 2.84 (1.21-6.66) and 1.62 (1.06-2.49). The T/T
genotype significantly increased the susceptibility of GCA patients in negative
family history of UGIC (3.04, 1.02-8.32) and to ESCC patients in the group of age
>50 years (3.03, 1.31-6.98), Negative family of UGIC (3.03, 1.12-7.07) and
smokers (2.64, 1.02-6.83). The genotype and allele distribution of XRCC2 G4234C
and XRCC3 A17893G in ESCC and GCA patients were not significantly different from
that in healthy controls (all P values were above 0.05). CONCLUSION: In this
study, we found that the C41657T polymorphism of XRCC2 genes might modify the
risk of ESCC and GCA development.
PMID- 18046625
TI - Frequency of cystathionine beta-synthase 844INS68 polymorphism in Southern Iran.
AB - Iranian population with an Indo-European origin is one of the oldest populations
in the world. Historical evidence suggests the close similarity in the origin of
Iranian, European and north Indian population. However, there are few
anthropological and genetic evidences on this subject. This study, which is the
first report from Iran, was performed to investigate the genetic origin of
Iranian population using a polymorphism in Cystathionine beta synthase (CBS) gene
known as 844INS68bp in this respect, genomic DNA was extracted from the whole
blood of 480 healthy normal blood donors referred to Fars Blood Transfusion
Center, using a salting out method. The fragment containing 844INS68bp was
amplified, the normal fragment was 174 bp and the fragment containing the
insertion was 242 bp in length. Results indicated that 418 (87.08%) out of 480
individuals had a normal (N/N) genotype, 59 (12.29%) individuals were
heterozygote (N/I) and 3 (0.63%) had homozygote a mutated genotype (I/I). The
total frequency of 844INS68bp allele was found 6.8% which is similar to with the
reported in White Caucasians. Comparison of the genotype of this study with the
polymorphism in other populations revealed that Southern Iranian population has a
great similarity with other Caucasians populations' especially South Italy and
North America while differed from East Asian and African populations. These
results are in agreement with the result of other studied polymorphisms.
Therefore, despite the great admixture of Iranian population with the neighboring
non-Caucasian populations during the time, Iranian population still share a
genetic background with other Caucasian populations.
PMID- 18046626
TI - Mitochondrial nad2 gene is co-transcripted with CMS-associated orfB gene in
cytoplasmic male-sterile stem mustard (Brassica juncea).
AB - The transcriptional patterns of mitochondrial respiratory related genes were
investigated in cytoplasmic male-sterile and fertile maintainer lines of stem
mustard, Brassica juncea. There were numerous differences in nad2 (subunit 2 of
NADH dehydrogenase) between stem mustard CMS and its maintainer line. One novel
open reading frame, hereafter named orfB gene, was located at the downstream of
mitochondrial nad2 gene in the CMS. The novel orfB gene had high similarity with
YMF19 family protein, orfB in Raphanus sativus, Helianthus annuus, Nicotiana
tabacum and Beta vulgaris, orfB-CMS in Daucus carota, atp8 gene in Arabidopsis
thaliana, 5' flanking of orf224 in B. napus (nap CMS) and 5' flanking of orf220
gene in CMS Brassica juncea. Three copies probed by specific fragment (amplified
by primers of nad2F and nad2R from CMS) were found in the CMS line following
Southern blotting digested with HindIII, but only a single copy in its maintainer
line. Meanwhile, two transcripts were shown in the CMS line following Northern
blotting while only one transcript was detected in the maintainer line, which
were probed by specific fragment (amplified by primers of nad2F and nad2R from
CMS). Meanwhile, the expression of nad2 gene was reduced in CMS bud compared to
that in its maintainer line. We thus suggested that nad2 gene may be co
transcripted with CMS-associated orfB gene in the CMS. In addition, the specific
fragment that was amplified by primers of nad2F and nad2R just spanned partial
sequences of nad2 gene and orfB gene. Such alterations in the nad2 gene would
impact the activity of NADH dehydrogenase, and subsequently signaling, inducing
the expression of nuclear genes involved in male sterility in this type of
cytoplasmic male sterility.
PMID- 18046627
TI - Sequence analysis and expression of a cDNA clone encoding tropomysin in
Sinonovacula constricta.
AB - Shellfish can cause severe anaphylactic reactions. Tropomyosin has been assumed
partly responsible for the cross-reactivity among shellfish and other
invertebrates. In this study, cDNA of Sinonovacula constricta was amplified by RT
PCR and 3'-RACE from total RNA. The obtained tropomyosin cDNA included an open
reading frame coding for 284 amino acids. The deduced amino acid sequence of the
corresponding protein shared high identity with other allergenic tropomyosins.
Expression of the recombinant tropomyosin was carried out in Escherichia coli
BL21(DE3) using vector PET28a and the purification of the recombinant protein was
performed via affinity chromatography. IgE reactivity of recombinant tropomyosin
was investigated by immunoblot and the sensized precentage was 36% which
indicated that tropomyosin was the minor allergens in S. constricta. Moreover,
the character of the purified protein was analyzed by MALDI-TOF-MS.
PMID- 18046628
TI - East meets West: cross-cultural perspective in end-of-life decision making from
Indian and German viewpoints.
AB - Culture creates the context within which individuals experience life and
comprehend moral meaning of illness, suffering and death. The ways the patient,
family and the physician communicate and make decisions in the end-of-life care
are profoundly influenced by culture. What is considered as right or wrong in the
healthcare setting may depend on the socio-cultural context. The present article
is intended to delve into the cross-cultural perspectives in ethical decision
making in the end-of-life scenario. We attempt to address the dynamics of the
roles of patient, family and physician therein across two countries from East and
West, namely, India and Germany. In India, where illness is more a shared family
affair than an individual incident, a physician is likely to respect the family's
wishes and may withhold the [Symbol: see text]naked truth' about the diagnosis of
a fatal disease to the patient. In Germany, a physician is legally required to
inform the patient about the disease. In India, advance directive being virtually
non-existent, the family acts as the locus of the decision-making process, taking
into account the economic cost of available medical care. In Germany, advance
directive is regarded as mandatory and healthcare is covered by insurance. Family
and the physician appear to play larger roles in ethical decision making for
patients in India than for those in Germany, who place greater emphasis on
autonomy of the individual patient. Our study explicates how culture matters in
ethical decision-making and why the bioethical discourse is necessary in the
concrete realities of the socio-cultural context. To explore the possibility of
finding a common ground of morality across different cultures while acknowledging
and respecting cultural diversity, thus remains a formidable challenge for the
bioethicists.
PMID- 18046630
TI - New stimuli-responsive polymers derived from morpholine and pyrrolidine.
AB - The preparation of three new ionizable monomers: N-ethyl morpholine metacrylate
(EMM), N-ethyl morpholine methacrylamide (EMA) and N-ethyl pyrrolidine
metacrylamide (EPA) and their respective homopolymers poly-EMM, poly-EMA and poly
EPA prepared by radical polymerization in solution, is described. The systems
have been characterized by NMR and FTIR spectroscopic techniques, determined
their glass transition temperatures by DSC and their respective pKs. Moreover,
crosslinked samples were prepared by bulk polymerization using N,N-methylene
bisacrylamide (BAam) and the trifunctional 1,3,5-triacryloylhexa-hydro-1,3,5
triazine (135-T) as crosslinkers. The studies of swelling kinetics were carried
out in different pH buffer solutions (2, 7.4 and 10) in a thermostatic bath at 37
degrees C showing hydration degrees that go from 2,600% to about 200% depending
on the pH and on the crosslinker used. The systems seem to be suitable for the
preparation of smart hydrogels for drug delivery and Tissue Engineering.
PMID- 18046629
TI - Hereditary diffuse gastric cancer: association with lobular breast cancer.
AB - Hereditary diffuse gastric cancer (HDGC) has been shown to be caused by germline
mutations in the gene CDH1 located at 16q22.1, which encodes the cell-cell
adhesion molecule, E-cadherin. Not only does loss of expression of E-cadherin
account for the morphologic differences between intestinal and diffuse gastric
cancer (DGC) variants, but it also appears to lead to distinct cellular features
which appear to be common amongst related cancers that have been seen in the
syndrome. As in most hereditary cancer syndromes, multiple organ sites may be
commonly affected by cancer, in HDGC, lobular carcinoma of the breast (LBC) and
possibly other organ sites have been shown to be associated with the familial
cancer syndrome. Given the complexity of HDGC, not only with regard to the
management of the DGC risk, but also with regard to the risk for other related
cancers, such as LBC, a multi-disciplinary approach is needed for the management
of individuals with known CDH1 mutations.
PMID- 18046631
TI - A tertiary amino-containing polymethacrylate polymer protects mucus-covered
intestinal epithelial monolayers against pathogenic challenge.
AB - PURPOSE: We examined the cytoprotective influences of the mucoadhesive polymer,
poly(DMAEMA), on human mucus-producing intestinal epithelial monolayers against
two bacterial exotoxins and S. typhimurium. Direct anti-bacterial effects were
also assessed against S. typhimurium. METHODS: In the presence and absence of
mucus, untreated or poly(DMAEMA)-exposed monolayers were challenged with S.
typhimurium or supernatants containing either cholera (CTx) or C. difficile
toxins. Assays included LDH, cytokine secretion, cyclic AMP (cAMP) and microscopy
to visualise bacterial adherence by monolayers. The minimum inhibitory
concentration (MIC) and minimum bactericidal concentration (MBC) of poly(DMAEMA)
against S. typhimurium were established, along with a time-kill study. RESULTS:
CTx and C. difficile toxin induced LDH release from E12 monolayers. CTx also
elevated intracellular epithelial cAMP, while S. typhimurium induced basolateral
IL-8 secretion. Pre-treatment of E12 monolayers with poly(DMAEMA) reduced these
effects, but only in the presence of mucus. The polymer co-localised with S.
typhimurium in mucus and reduced bacteria-epithelia association. Poly(DMAEMA) was
directly bactericidal against S. typhimurium at 1 mg/ml within 30 min.
CONCLUSIONS: Poly(DMAEMA) may have potential as a non-absorbed polymer
therapeutic against infection. These effects were mediated by a combination of
physical interaction with mucus and by direct bacterial killing.
PMID- 18046632
TI - Anomalous particle size shift during post-milling storage.
AB - PURPOSE: To investigate the anomalous phenomenon of particle size shift during
post-milling storage. MATERIALS AND METHODS: Crystallised and ball-milled adipic
acid were stored under different humidity conditions. Analyses were carried out
to characterise changes in particle size distribution (laser diffraction),
morphology (SEM), bulk flow properties (annular shear tester), surface adhesion
forces (AFM) and crystallinity (PXRD and DVS). RESULTS: It was observed that the
particle size distribution of milled adipic acid can shift to finer fractions,
remain unchanged, or even shift to coarser fractions depending on storage
conditions. SEM analysis showed that milled adipic acid is composed of
agglomerates, which can undergo de-aggregation or further agglomeration via re
crystallisation. Empirical analysis ruled out the effects of electrostatic
charges on the particle size shift. In addition, an improvement in powder flow in
terms of bulk tensile strength was seen for milled adipic acid stored under high
relative humidity but not under low humidity. CONCLUSIONS: Storage of milled
adipic acid below the critical relative humidity led to localised disintegration
from the agglomerate surface and particle size reduction, which was not
influenced by moisture sorption or loss. This evidence supports that "stress
relaxation" mechanism behind particle breakage of post-milled particles.
Appropriate storage conditions are important in maintaining the stability of
milled powders.
PMID- 18046633
TI - Steady state and time-resolved fluorescence studies of a hemagglutinin from
Moringa oleifera.
AB - The saccharide binding and conformational characterization of a hemagglutinin, a
low molecular weight protein from the seeds of Moringa oleifera was studied using
steady state and time resolved fluorescence. The lectin binds sugars LacNAc (K
(a) = 1380 M(-1)) and fructose (K (a) = 975 M(-1)), as determined by the
fluorescence spectroscopy. It has a single tryptophan per monomer which is
exposed on the surface and is in a strong electropositive environment as revealed
by quenching with iodide. Quenching of the fluorescence by acrylamide involved
both static (K (s) = 0.216 M(-1)) and collisional (K (sv) = 8.19 M(-1))
components. The native protein showed two different lifetimes, tau (1) (1.6 ns)
and tau (2) (4.36 ns) which decrease and get converted into a single one, (2.21
ns) after quenching with 0.15 M acrylamide. The bimolecular quenching constant, k
( q ) was 7.55 x 10(11) M(-1) s(-1). ANS binding studies showed that the native
protein has exposed hydrophobic patches which get further exposed at extreme
acidic or alkaline pH. However, they get buried in the interior of the protein in
presence of 1 M GdnHCl or urea.
PMID- 18046634
TI - Association of alcohol abuse and injection drug use with immunologic and
virologic responses to HAART in HIV-positive patients from urban community health
clinics.
AB - The purpose of this study is to examine the association of alcohol abuse and
injection drug use (IDU) with the immunologic and virologic responses to highly
active antiretroviral treatment (HAART) in urban community health clinics. The
medical records of 293 HIV-infected adult patients who visited either of two
urban health clinics in New Haven, Connecticut, from June 2003 to December 2004
were retrospectively reviewed. Changes in mean CD4 lymphocyte counts and
undetectable viral loads were compared before and after the initiation of HAART
for patients categorized into one of four substance abuse groups: history of
neither alcohol abuse nor IDU, alcohol abuse only, IDU only, or both. Unadjusted
mean improvements in CD4 count for the four groups were 136, 97, 20, and 27,
respectively. In a linear regression model adjusted for age, gender, and baseline
CD4 count, history of IDU only (P = 0.037) and a combination of alcohol abuse and
IDU (P = 0.038) were associated with a lesser increase in CD4 count after HAART
compared with those with neither alcohol nor IDU. No significant associations
were found between substance abuse history and changes in detectable viral load.
Our results show that many patients at urban health clinics have a history of
either injection drug use or alcohol abuse, and that injection drug use is
negatively associated with the immunologic response to HAART in urban HIV
infected individuals. This study highlights the importance for clinicians of
understanding the negative associations of substance abuse with the treatment
response of HIV-infected patients at urban health centers.
PMID- 18046635
TI - A modeling comparison of projection neuron- and neuromodulator-elicited
oscillations in a central pattern generating network.
AB - Many central pattern generating networks are influenced by synaptic input from
modulatory projection neurons. The network response to a projection neuron is
sometimes mimicked by bath applying the neuronally-released modulator, despite
the absence of network interactions with the projection neuron. One interesting
example occurs in the crab stomatogastric ganglion (STG), where bath applying the
neuropeptide pyrokinin (PK) elicits a gastric mill rhythm which is similar to
that elicited by the projection neuron modulatory commissural neuron 1 (MCN1),
despite the absence of PK in MCN1 and the fact that MCN1 is not active during the
PK-elicited rhythm. MCN1 terminals have fast and slow synaptic actions on the
gastric mill network and are presynaptically inhibited by this network in the
STG. These local connections are inactive in the PK-elicited rhythm, and the
mechanism underlying this rhythm is unknown. We use mathematical and
biophysically-realistic modeling to propose potential mechanisms by which PK can
elicit a gastric mill rhythm that is similar to the MCN1-elicited rhythm. We
analyze slow-wave network oscillations using simplified mathematical models and,
in parallel, develop biophysically-realistic models that account for fast, action
potential-driven oscillations and some spatial structure of the network neurons.
Our results illustrate how the actions of bath-applied neuromodulators can mimic
those of descending projection neurons through mathematically similar but
physiologically distinct mechanisms.
PMID- 18046637
TI - Emotion work in the public performances of male-to-female transsexuals.
AB - Much research has shown that entering the public sphere is emotionally taxing yet
key to male-to-female transsexuals' status passage. Yet, little is known about
how transsexuals actively manage their emotions during this important
transitional phase. Taking a dramaturgical approach to emotions, we explored how
some male-to-female transsexuals managed their emotions in ways that helped
generate self-confidence and commitment to their paths. Interviewees engaged in
three primary forms of emotion work: (1) preparatory emotion work mitigated
anxiety and bolstered confidence, which motivated them to enter public arenas as
women; (2) in situ emotion work transformed negative emotions as they arose when
performing womanhood in public; and (3) retrospective emotion work reinterpreted
past public performances to neutralize negative and accentuate positive emotions.
PMID- 18046636
TI - Characterization of a membrane protein (VP001L) from infectious spleen and kidney
necrosis virus (ISKNV).
AB - Infectious spleen and kidney necrosis virus (ISKNV) is the type species of
megalocytivirus, Iridoviridae. A novel membrane protein corresponding to the
first open reading frame (ORF001L) of ISKNV genome was identified. This 378
residue protein, termed the VP001L protein, has a high content of hydrophobic
sequences and contains 10-11 putative transmembrane domains, indicating it may be
a membrane protein. The VP001L mRNA start site was extended 433 bp upstream of
the start codon and the temporal analysis showed that the VP001L gene was first
transcribed at 8 h post-infection (h.p.i.). VP001L protein was detected on the
plasma membrane of ISKNV infected cells by immunofluresence. In order to further
investigate different transmembrane domains' influence on subcellular
localization of VP001L, series of truncated or deleted mutants were constructed
with GFP at the C terminus. The transfection results indicated that the second
putative transmembrane domain played a determinative role in VP001L's membrane
localization and the translocation of the first and third transmembrane domains
depended on their interactions with the second one. Therefore, this novel VP001L
protein is considered to serve as a model for analyzing the topology and roles of
different hydrophobic regions in multi-transmembrane proteins.
PMID- 18046638
TI - The sexual self-consciousness scale: psychometric properties.
AB - An investigation of the Sexual Self-Consciousness Scale (SSCS) is reported that
aims to measure individual variability with regard to the construct of self
consciousness as experienced in sexual situations. The construct relates to the
attentional-capacity model of sexual arousal. Sexual self-consciousness may
constitute a vulnerability factor for the development of sexual dysfunction. In
the present study, men and women with sexual dysfunction and healthy control
participants were investigated (N = 282). Principal component analysis and
multitrait scaling analysis showed that a two-component, oblimin-rotated solution
based on 12 items and explaining 53.7% of the total variance could be well
interpreted. The Sexual Embarrassment subscale (Cronbach's alpha = .84), the
Sexual Self-Focus subscale (alpha = .79), and the full scale (alpha = .85) were
found to have satisfactory to good internal consistency. Test-retest reliability
was satisfactory for the Sexual Embarrassment subscale (r = .84), the Sexual Self
Focus subscale (r = .79), and total score (r = .83). An effect of sex was found
on the Sexual Embarrassment subscale, with female participants scoring higher
than male participants. Compared with sexually functional participants, sexually
dysfunctional participants scored higher on the Sexual Embarrassment and Sexual
Self-Focus subscales. Convergent and divergent construct validity was
investigated by comparing the associations of SSCS subscales with general self
consciousness and psychological distress scales. The pattern of correlations was
interpreted as providing support for the instrument's construct validity. In
conclusion, the SSCS was found to have satisfactory reliability and validity
characteristics, and is expected to contribute to the field of sex research and
clinical sexology.
PMID- 18046640
TI - Are children with anxiety disorders privately referred to a university clinic
like those referred from the public mental health system?
AB - Compared two groups of children with anxiety disorders served at a single mental
health clinic whose referral source differed: private referrals (i.e.,
parent/legal guardian initiated) and public referrals (e.g., via state contracts-
Departments of Health and Education, juvenile justice system). Comparisons were
made across three domains of variables: (a) symptoms/diagnoses, (b) functioning,
and (c) environments. Few symptom differences emerged. However, large differences
were evident for contextual variables like family income and life stressors.
Overall, the pattern of differences point to possible directions for adaptation
of treatments for use with children with anxiety disorders served in public
mental health systems.
PMID- 18046641
TI - Management of the poor responder: the role of GnRH agonists and antagonists.
AB - PURPOSE: Evaluate the relative benefit of various doses and regimens of GnRH
agonists (GnRHa) and antagonists (GnRHant) in the management of the poor
responder. METHODS: Review of English language publications with an emphasis on
prospective randomized trials where available. RESULTS: The lack of a uniformly
applied definition of the poor responder and dearth of prospective randomized
trials make data analysis difficult. Traditional GnRHa flare and long luteal
phase protocols do not appear to be beneficial. Reduction of GnRHa doses, "stop"
protocols, and microdose GnRHa flare regimes all appear to enhance outcomes,
although the relative benefit of one approach over another has not been
conclusively demonstrated. GnRHant does improve outcomes in this patient
population, although, in general, pregnancy rates appear to be lower in
comparison to microdose GnRHa flare regimes. CONCLUSIONS: There is no one
controlled ovarian hyperstimulation (COH) protocol which is best suited for all
poor responders. Low dose GnRHa regimes appear to be most advantageous.
Prediction of compromised response prior to cycle initiation by a thorough
assessment of ovarian reserve as well as a careful review of past response should
allow for selection of an appropriate COH protocol for each individual patient.
PMID- 18046642
TI - Mutations of sodium channel alpha-subunit genes in Chinese patients with
normokalemic periodic paralysis.
AB - OBJECTIVE: In this study, we aim to investigate the clinical features and
Mutations of sodium channel alpha-subunit (SCN4A) genes in Chinese patients with
normokalemic periodic paralysis (normoKPP). METHODS: Six unrelated Chinese
families with normoKPP were analyzed in clinical features. Genomic DNA was
extracted from peripheral blood leukocytes and amplified with PCR. We screened
all 24 exons of SCN4A gene with denaturing high performance liquid chromatography
(DHPLC) technology, and then sequence analysis was performed in those who showed
heteroduplex as compared with unaffected controls. RESULTS: The laboratory tests
were within normal ranges. Electromyograms and electrocardiograms were normal.
One muscle biopsy was performed with the patient in family 4 after a brief attack
of normoKPP. Examination of light microscopy showed no changes, but electronic
microscopy showed occasionally degenerating myofibers. The mutations of SCN4A
genes were as follows: (1) Met1592Val occurred in family 1. (2) Val-781-Ile
occurred with the patient and her father in family 4. (3) Both the patients had a
novel mutation g2101a predicting the amino acid exchange Arg675Gln in family 5,
which may be a disease-causing mutation. CONCLUSIONS: In addition to Val-781-Ile
and Met1592Val, the mutation g2101a (Arg675Gln) may be the novel mutation of
SCN4A genes in Chinese patients with normoKPP.
PMID- 18046643
TI - Nonsteroidal anti-inflammatory drugs (NSAIDs) and mammographic density.
AB - Mammographic density has been established as a strong risk factor for breast
cancer while use of Nonsteroidal Anti-Inflammatory Drugs (NSAIDs) has been
associated with a reduction in risk of breast cancer. The hypothesis is that
NSAIDs reverses the expression of prostaglandin E2, thereby reducing the local
production of estrogens. This report describes the differences in mammographic
densities by duration of NSAID use in a multiethnic population. Information for
this analysis was available from two previous investigations: a nutritional
intervention study with 218 women and a nested case-control study of breast
density with 1274 women. On the basis of self-reported medication use from a
questionnaire common to both investigations, women were categorized into no use,
up to 1 year, 2-5 years, 6-10 years, and 11+ years. Screening mammograms were
assessed for density using a computer-assisted method. We applied general linear
models to calculate mean percent densities for each medication use category while
adjusting for covariates. The analysis of the overall study population did not
show a significant association between total NSAID use and mammographic density.
Contrary to our hypothesis, women with long-term total NSAID use had non
significantly higher densities than non-users. In addition, the results differed
by menopausal status. Whereas the trend of higher densities with longer duration
of total NSAID use was significant among postmenopausal women, breast density was
slightly lower among premenopausal women with long-term NSAID use. Experimental
studies need to be performed to study the effect, if any, of NSAID use on breast
density.
PMID- 18046644
TI - Effects of vitamin C on fibroblasts from sporadic Alzheimer's disease patients.
AB - Several therapies for Alzheimer's Disease (AD) are currently under investigation.
Some studies have reported that concentration of vitamins in biological fluids
are lower in AD patients compared to control subjects and clinical evidence has
shown the therapeutic potential of vitamin C and E in delaying AD progression.
However, the molecular mechanism(s) that are engaged upon their administration in
the APP metabolism in vitro or in vivo still need clarifying. Here, we
investigate the effects of vitamin C supplementation, at physiological
concentration, in skin fibroblasts obtained from SAD and FAD patients. This study
shows that SAD patients' fibroblasts exhibited the exclusive appearance of C
terminal fragments, derived from APP processing, without giving rise to the beta
amyloid peptide, other than corresponding decreased levels of lysosomal enzymes,
such as beta-hexosaminidase, alpha-mannosidase and cathepsins B, L, and D.
PMID- 18046645
TI - Chemo-embolization for unresectable hepatocellular carcinoma with different sizes
of embolization particles.
AB - The purpose of this study was to evaluate the size responses and vascular
responses to three different sizes of Embosphere (EMBS) embolization particles
used for chemo-embolization in patients with unresectable hepatocellular
carcinoma (HCC). Forty-seven patients with biopsy proven HCC treated with TACE
using EMBS (Biosphere Medical, Rockland, MA, USA) were included in this study.
EMBS are non-resorbable tris-acryl gelatin defined-size microspheres. Sixteen
patients were treated with 40-120 micron (40-microm), 13 patients with 100-300
(100-microm), and 18 patients with 300-500 (300-microm) EMBS particles. We
measured the two-dimensional area and vascularity of the tumor index lesion on
initial and subsequent CTs after treatment. Lesions were classified into four
grades based on the degree of vascularity measured in 25% increments. Size of
tumor after one treatment decreased by an average (avg) of 18% for 40-120-microm
particles, 38% for 100-300-microm particles, and 17% for 300-500-microm
particles. After three treatments, size decreased by an avg of 46% for 40-120
microm particles, 76% for 100-300-microm particles, and 46% for 300-500-microm
particles. Vascularity decrease was also measured after the first and third
treatments, and defined as a decrease of one or more grades in tumor vascularity.
Results were as follows (% of patients with decrease). For 40-120-microm
particles: 1 and 3 treatments, 53% and 88% of patients. For 100-300-microm
particles: 1 and 3 treatments, 60% and 88% of patients. For 300-500-microm
particles: 1 and 3 treatments, 50% and 57% of patients. It was concluded the 100
300-microm EMBS particles produce slightly higher responses.
PMID- 18046646
TI - Health and humanitarian migrants' economic participation.
AB - Refugees and immigrants being resettled in Australia on humanitarian grounds are
known to have poorer health than other immigrants. Using data from the
Longitudinal Surveys of Immigrants to Australia, the paper examines the influence
of three measures of health-self-reported health status, the presence of a long
term health condition and mental health status-on the economic participation of
humanitarian migrants. Multivariate logistic regression is used to control for
other factors known to affect immigrants' economic participation, such as age,
skills and English language proficiency, to see if health has an independent
effect. The results show that migrants with poor physical health are less likely
than migrants with good health to be in the work force. Mental health status
affects the economic participation of male but not female migrants. The findings
provide important empirical evidence of the significant role of health in the
economic integration of migrants of refugee background.
PMID- 18046647
TI - Integrating epidemiological data into a mechanistic model of type 2 diabetes:
validating the prevalence of virtual patients.
AB - Mathematical models are playing an increasing role in understanding the
complexity of multifactorial diseases like type 2 diabetes. The objective of this
study was to validate a population of virtual patients against a real population
of patients with type 2 diabetes. A population of virtual patients was created
that incorporates different underlying pathogenic lesions consistent with a type
2 diabetic phenotype. These virtual patients were created within the Metabolism
PhysioLab platform, a non-linear coupled differential algebraic model that
incorporates the salient causal mechanisms underlying glucose homeostasis and
substrate metabolism. The weights of each individual virtual patient were
determined to reproduce the diversity in a real type 2 diabetic population
obtained from the NHANES III study. As a validation test, this virtual population
reproduced a series of clinical studies that identify less invasive biomarkers
for insulin sensitivity. This approach demonstrates how computational bridges can
be constructed between statistical approaches common in epidemiology and
deterministic approaches common in biomedical engineering.
PMID- 18046648
TI - Dynamic simulation of bioprosthetic heart valves using a stress resultant shell
model.
AB - It is a widely accepted axiom that localized concentration of mechanical stress
and large flexural deformation is closely related to the calcification and tissue
degeneration in bioprosthetic heart valves (BHV). In order to investigate the
complex BHV deformations and stress distributions throughout the cardiac cycle,
it is necessary to perform an accurate dynamic analysis with a morphologically
and physiologically realistic material specification for the leaflets. We have
developed a stress resultant shell model for BHV leaflets incorporating a Fung
elastic constitutive model for in-plane and bending responses separately.
Validation studies were performed by comparing the finite element predicted
displacement and strain measures with the experimentally measured data under
physiological pressure loads. Computed regions of stress concentration and large
flexural deformation during the opening and closing phases of the cardiac cycle
correlated with previously reported regions of calcification and/or mechanical
damage on BHV leaflets. It is expected that the developed experimental and
computational methodology will aid in the understanding of the complex dynamic
behavior of native and bioprosthetic valves and in the development of tissue
engineered valve substitutes.
PMID- 18046649
TI - Information retrieval in Tip of the Tongue states: new data and methodological
advances.
AB - Research on Tip of the Tongue (ToT) states has been used to determine whether
access to syntactic information precedes access to phonological information. This
paper argues that previous studies have used insufficient analyses when
investigating the nature of seriality of access. In the first part of this paper,
these complex issues are discussed and suitable analyses proposed. In the second
part, new experimental data are presented. In Experiment 1, English speakers were
asked to give information about mass/count status and initial phoneme of nouns,
when in a ToT state. In Experiment 2, German speakers were asked to report
grammatical gender and initial phoneme of nouns, when in a ToT state. Evidence
that syntactic and phonological information are accessed independently was
obtained for both languages. Implications for models of language production and
further methodological issues in ToT research are discussed.
PMID- 18046650
TI - Activating basic category exemplars in sentence contexts: a dynamical account.
AB - This paper examines the influence of context on the processing of category names
embedded in sentences. The investigation focuses on the nature of information
available immediately after such a word is heard as well as on the dynamics of
adaptation to context. An on-line method (Cross Modal Lexical Priming) was used
to trace how this process unfolds in time. We found that the information
available immediately after a category word is presented is not altered by the
sentence context in which the word is immersed. Rather, the structure of
availability of particular exemplars of the category resembles the typicality
structure of a conceptual representation. The adaptation to context occurs later
(between 300 and 450 ms after the category word) and takes the form of a rapid
reorganization of the structure rather than a gradual activation of a
contextually relevant exemplar. We claim that such data is best accounted for in
a dynamical framework, where a coherent global structure emerges through locally
guided self-organization.
PMID- 18046653
TI - Incarceration and sexually transmitted infections: a neighborhood perspective.
AB - The social dynamics of some communities are affected by the loss of significant
numbers of people to prison and by the release of others who encounter the
challenge of coping with the negative effects of the incarceration experience.
The effects on communities are evident, in part, in the high rates of sexually
transmitted infections (STIs) in North Carolina (NC) counties that have a high
rate of incarceration. In the present study, we examined whether the same
associations can be observed at the census tract level in one urban city of NC.
To identify the mechanisms by which incarceration can affect the transmission of
STIs, we conducted ethnographic interviews with ex-offenders and people who lost
a sexual partner to prison. We found that census tract rates of incarceration
were consistently associated with gonorrhea rates in the subsequent year. An
increase of the percentage of census tract person-time spent in prison from 2.0%
to 2.5% corresponded to a gonorrhea rate increase of 7.1 cases per 100,000 person
years. The people interviewed spoke of sexual partnership changes including those
left behind finding new partners, in part for help in making financial ends meet;
men having sex with men for the first time in prison; and having multiple new
partners upon reentry to the community. The statistical associations and stories
of the effects of incarceration on sexual relationships provide additional
evidence of unintended community health consequences of high rates of
incarceration.
PMID- 18046660
TI - Chromogranin a expression in phaeochromocytomas associated with von Hippel-Lindau
syndrome and multiple endocrine neoplasia type 2.
AB - Chromogranin A (CGA) is a major secretory protein present in the soluble matrix
of chromaffin granules of neuroendocrine cells and tumours, such as
phaeochromocytomas. CGA has several functions, some of which may be involved in
the distinct phenotypic differences of phaeochromocytomas in patients with von
Hippel-Lindau (VHL) syndrome compared to multiple endocrine neoplasia type 2 (MEN
2). In this study, we therefore compared tumour and plasma levels of CGA in
patients with phaeochromocytoma associated with the two syndromes. We show that
phaeochromocytomas from MEN 2 patients express substantially more CGA than
tumours from VHL patients at both the mRNA (3-fold greater) and protein (20-fold)
level. We further show that relative to increases in plasma catecholamines,
patients with phaeochromocytomas associated with MEN 2 have higher plasma
concentrations of CGA than those with tumours in VHL syndrome. These data
supplement other observations that phaeochromocytomas in VHL compared to MEN 2
patients express lower amounts of catecholamines and other chromaffin granule
cargo, such as chromogranin B and neuropeptide Y. Possibly the differences in
tumour CGA expression may contribute to differences in secretory vesicle
formation and secretion in the two types of tumours. Alternatively the
differences in expression in CGA and other secretory constituents may reflect
downregulation of the entire regulated secretory pathway in VHL compared to MEN 2
tumours.
PMID- 18046654
TI - Cocaine-mediated alteration in tight junction protein expression and modulation
of CCL2/CCR2 axis across the blood-brain barrier: implications for HIV-dementia.
AB - One of the hallmark features underlying the pathogenesis of HIV encephalitis is
the disruption of blood-brain barrier (BBB). Cocaine, often abused by HIV
infected patients, has been suggested to worsen the HIV-associated dementia (HAD)
via unknown mechanisms. The objective of the present study was to explore the
effects of cocaine on BBB permeability using human brain microvascular
endothelial cells (HBMECs). Additionally, because the chemokine CCL2 and its
receptor CCR2 play a crucial role in the recruitment of inflammatory cells into
the central nervous system in HAD brains, we tested for the effect of cocaine in
modulating the CCL2/CCR2 axis. Our findings suggest that exposure of HBMECs to
cocaine correlated with the breakdown of ZO-1 tight junction protein and
reorganization of the cytoskeleton resulting in stress fiber formation.
Furthermore, cocaine also modulated upregulation of the CCL2/CCR2 axis in
monocytes. These findings conform to the multifaceted effects of cocaine leading
to accelerated progression of HIV-1 neuropathogenesis.
PMID- 18046661
TI - Circadian blood pressure profile in patients with active Cushing's disease and
after long-term cure.
AB - Hypertension is a major feature of Cushing's disease, with the attendant increase
in the rate of cardiovascular events. The circadian blood pressure profile also
impacts cardiovascular risk and a few studies have shown that patients with
Cushing's syndrome do not present the expected nocturnal blood pressure decrease
and, further, that this alteration persists in short-range disease remission.
These studies were performed by conventional discontinuous ambulatory pressure
monitoring, a technique not devoid of limitations. Aim of our study was the
assessment of blood pressure and heart rate profile by beat-to-beat noninvasive
monitoring in twelve patients with active Cushing's disease (9 women and 3 men,
age 33.3+/-2.36 years) and the assessment of its possible changes at short- (<1
year) and long-term (2-3 years) follow-up after curative surgery. No nocturnal
blood pressure dipping (i.e., decrease by 10% of daytime values) was observed in
50% of patients both during active hypercortisolism and within 1 year from
surgery. Recovery of blood pressure dipping profile was detected at long-term
follow-up in a minority of patients. Daytime heart rate was higher in patients
with active Cushing's disease and decreased over time after cure. In conclusion,
patients with Cushing's disease present absent nocturnal blood pressure dipping
and abnormal heart rate values which do not resolve after short-term remission of
hypercortisolism and show only partial improvement in the long run. These
findings identify additional cardiovascular risk factors for patients cured of
Cushing's disease.
PMID- 18046662
TI - sRAGE and esRAGE are not associated with peripheral or autonomic neuropathy in
type 2 diabetes.
AB - The receptor for glycation end-products RAGE was previously shown to play a
central role in the development of diabetic neuropathy. The present study was
aimed to investigate, whether plasma levels of the soluble forms of RAGE are
associated with neuropathy in type 2 diabetes. One-hundred and eight patients
were screened for peripheral and autonomic diabetic neuropathy using standardized
screening tests. No differences in the levels of soluble RAGE or the more defined
endogenous secretory RAGE were observed in patients categorized into having no,
mild, moderate, or severe deficits in the neuropathy disability or symptom score.
In bivariate analysis, neither soluble RAGE nor endogenous secretory RAGE
correlated with the expiration to inspiration ratio of heart rate variability. In
multivariate models, the neuropathy disability score was independently associated
with age (beta=0.38, p<0.01), glomerular filtration rate (beta=0.28, p<0.01) and
the presence of retinopathy (beta=0.27, p<0.01), while the neuropathy symptom
score was associated with age (beta=0.31, p<0.01) and fasting glucose (beta=0.24,
p<0.05). The expiration to inspiration ratio of heart rate variability was
associated with age (beta=-0.42, p<0.01), the body-mass-index (beta=-0.28,
p<0.01) and presence of retinopathy (beta=-0.19, p<0.05). In contrast to
classical risk factors, plasma soluble RAGE and endogenous secretory RAGE are not
associated with measures of diabetic neuropathy in type 2 diabetes patients.
PMID- 18046663
TI - [Investigations concerning the head-trunk-coordination].
AB - BACKGROUND: In the assessment of vertigo patients with suspected cervicocephalic
somatosensory deficit, the studies published thus far have focused on reflectory
systems like the cervico-ocular reflex or the postural systems. Our study
examines the cervicocephalic kinesthetic sensibility of head and body
coordination. We therefore introduce two preliminary test series: the "subjective
zero chin-knee-angle of the occipital joints" and the "saccadic repositioning
during trunk rotation". METHODS: Subjective recordings of dizziness complaints
were done using the Dizziness Handicap Inventory. PATIENTS: The study population
consisted of 24 patients with palpatory cervicocephalic somatosensory deficit
(group I) and 23 patients with a central vestibular compensated unilateral
vestibular deficit (group II). Twenty-two healthy patients served as controls.
The aim of the study is to provide a detailed examination of the "subjective zero
chin-knee-angle of the occipital joints" as well as a detailed discussion of
"saccadic repositioning with trunk rotation" by three-dimensional
videooculography (3D-VOG). RESULTS: We were able to separate patients with a
cervicocephalic somatosensory deficit with the first test procedure and
differentiate them from groups II and III. The second test procedure shows a
significant difference between groups I and III. CONCLUSION: We conclude from the
group difference that the recording of cervicocephalic kinesthetic sensibility is
a further indication of disturbed cervical proprioception.
PMID- 18046665
TI - Challenges for randomized controlled trials in nephrology: illustrations in
vascular access science and care.
AB - While randomized controlled trials (RCTs) are the gold standard for evidence in
medicine, there is an overall paucity of RCTs in nephrology compared with other
medical subspecialties. Consequently, the management of the dialysis population
is often guided by nephrology clinical practice guidelines that are largely based
on observational data or expert opinion. This review examines problems related to
designing, conducting and completing RCTs in nephrology, highlighting major
challenges, successes and frustrations, with specific examples as they pertain to
the science of hemodialysis vascular access and their impact on clinical practice
guidelines.
PMID- 18046666
TI - Protocol of the Long-term Impact of RAS Inhibition on Cardiorenal Outcomes
(LIRICO) randomized trial.
AB - Microalbuminuria is a strong, consistent and independent risk factor for
cardiovascular and renal disease in patients with diabetes and/or hypertension
and in the general population. Several randomized trials have shown the efficacy
of inhibiting the renin-angiotensin system (RAS) with angiotensin-converting
enzyme inhibitors (ACEIs) and angiotensin II receptor blockers (ARBs) to prevent
cardiovascular events and the progression of kidney disease. These 2 classes of
drugs are equally effective for renal outcomes in patients with diabetic
nephropathy, but only ACEIs have been found to significantly impact the risk of
all-cause mortality, predominantly cardiovascular, in patients with diabetic
nephropathy. Studies on the cardiorenal efficacy of combined therapy with ACEIs
and ARBs in individuals with microalbuminuria or macroalbuminuria and other
cardiovascular risk factors have been inconclusive. The Long-term Impact of RAS
Inhibition on Cardiorenal Outcomes (LIRICO) study aims to address existing
questions in this setting. This is a phase III, randomized, comparative,
pragmatic trial with prospective randomized open blinded endpoint (PROBE) design.
It will evaluate the comparative efficacy of combined therapy with ACEIs and ARBs
versus monotherapy with either ACEIs or ARBs in improving cardiovascular and
renal outcomes in microalbuminuric or macroalbuminuric individuals at cardiorenal
risk. The study will enroll 2,100 patients, selected in a network of internal
medicine, diabetology or nephrology outpatient clinics. Patients will be randomly
allocated to ACEIs, ARBs or their combination. The study has been approved and
funded by the Agenzia Italiana del Farmaco (A.I.F.A.) within the 2005 funding
plan for independent research on drugs.
PMID- 18046667
TI - The native arteriovenous fistula in 2007. Surveillance and monitoring.
AB - In the past 5 years, some clinical trials have questioned the value of
surveillance in managing vascular accesses. Although prolongation of access life
span is an important end point, reduction of thrombotic events reduces patient
risks resulting from loss of access patency. Most of the available evidence
suggests that detection of stenosis and prevention of thrombosis is valuable.
When a test indicates the likely presence of a stenosis, then venography or
fistulography should be used to definitively establish the presence and degree of
the stenosis. In most but not all cases, angioplasty should be performed if the
stenosis is greater than 50% by diameter. The value of routine use of any
surveillance technique for detecting anatomic stenosis alone, without concomitant
functional assessment by measurement of access flow, venous pressure,
recirculation or other physiologic parameters, has not been established. Stenotic
lesions should not be repaired merely because they are present. If such
correction is performed, then intraprocedural or periprocedural measurement of
access flow (QA) or intra-access pressure should be conducted to demonstrate a
functional improvement with a successful percutaneous transluminal angioplasty.
PMID- 18046668
TI - The native arteriovenous fistula in 2007. Research needs.
AB - Several research questions are open in the field of vascular access for
hemodialysis. The present paper reviews both prognostic issues, such as the
identification of factors for patient stratification before access insertion, and
intervention questions, such as comparison of the advantages and disadvantages of
different surgical solutions, the effects of different medications on vascular
pathology, the different cannulation practices to prevent vessel wall lesions and
technologies for early diagnosis of access dysfunction. Given that the quality of
the available literature in nephrology is often suboptimal, nephrologists need to
pay special attention to methodology issues before embarking on expensive
multicenter studies.
PMID- 18046669
TI - Sevelamer treatment strategy in peritoneal dialysis patients: conventional dose
does not make best use of resources.
AB - BACKGROUND: The significant incremental expense in the use of conventional
sevelamer dose prompted us to evaluate the role of prescribing a lower dose of
sevelamer. METHODS: To determine the optimum strategy for prescribing sevelamer
in peritoneal dialysis patients, we conducted an open-label randomized study
comparing the treat-to-goal strategy (4.0-g daily sevelamer dose) with lower
sevelamer dose (1.2-g daily dose). RESULTS: Twenty-seven peritoneal dialysis
patients with serum calcium x phosphorus product above 55 mg2/dL2 were recruited.
Eighteen were randomized to the low-dose treatment group (1.2 g daily), and 9 to
the treat-to-goal (4.0 g daily) group. Overall, significantly lower calcium x
phosphorus product and serum phosphorus levels at 6 months were achieved by the
treat-to-goal treatment. The proportions of patients who attained the Kidney
Disease Outcomes Quality Initiative (K/DOQI) treatment target, however, did not
differ significantly between the treat-to-goal and low-dose treatment groups
(66.7% +/- 30.8% vs. 33.3% +/- 21.8%, p=0.10). The numbers needed to treat to
benefit 1 patient who attains the K/DOQI recommendation are 1.5 patients (95%
confidence interval [95% CI], 1.0-2.8) in the 4.0-g daily dose and 3 patients
(95% CI, 1.8-8.7) in the 1.2-g daily dose group. Therefore, an extra 66.7% of
subjects would be able to attain the treatment recommendation within the same
budget if the daily dose of sevelamer used was 1.2 g instead of the usual 4.0 g.
Compared with a 1.2-g daily dose of sevelamer, the 4.0-g daily dose had an
incremental cost-effectiveness ratio (ICER) of US $2,353 per additional patient
achieving the K/DOQI target. Multivariate analysis showed that only the calcium x
phosphorus product after 1 month of sevelamer treatment was predictive of
treatment response. CONCLUSIONS: Low-dose sevelamer treatment might be a cost
effective approach, which is "good for many rather than best for a few."
PMID- 18046670
TI - Urinary excretion of low molecular weight proteins in patients with pure
monoclonal light chain proteinuria.
AB - BACKGROUND: Because urinary low molecular weight protein (LMWP) measurement shows
changes in renal integrity at an early stage, beta2-microglobulin (B2m), retinol
binding protein (RBP) and alpha1-microglobulin (A1m) were evaluated in 24-hour
urine collection of 65 patients with pure monoclonal light chain (MLC)
proteinuria and in 47 patients with different kidney diseases (DKDs) for
comparison. METHODS AND RESULTS: Albumin, kappa, lambda, A1m and B2m were
measured by immunonephelometry. RBP was determined by ELISA. The mean values of
LMWP quantitation were significant for origin of the disease (MLC and DKD)
(p<0.05) and renal failure (RF) (p<0.001) (MANOVA). Tukey HSD test only showed
significant differences for LMWP between MLC patients with RF and DKD patients
without RF. The mean value of A1m was different between patients with and without
RF in each group (p<0.05 for MLC, and p<0.01 for DKD). In the group without RF,
the frequency of A1m excretion above 12 mg/L differed between MLC patients and
DKD patients (p<0.01). CONCLUSION: A tubular dysfunction occurred in a great
number of patients excreting pure MLC even in those with well-preserved renal
function, as it did in patients with DKDs. In patients with MLC without RF, A1m
might be measured for the early recognition of tubular involvement.
PMID- 18046671
TI - Mass spectrometry analysis of IgA1 hinge region in patients with IgA nephropathy.
AB - BACKGROUND: Physicochemical alterations of the IgA molecule are supposed to play
a pathogenetic role in IgA nephropathy (IgAN). The present study was carried out
to analyze the structural variety of O-glycans on the IgA1 hinge region in IgAN.
Sera from 9 IgAN patients and 9 healthy controls were individually examined to
evaluate the IgA1 content and binding lectins (jacalin and Helix aspersa), using
enzyme-linked immunosorbent assay (ELISA) techniques. The IgA1 from pooled sera
were separated by affinity chromatography (jacalin), and the fragment containing
the hinge region was prepared by pyridylethylation and trypsin treatment. The IgA
fragments containing the hinge glycopeptide (33-mer hinge peptide core (HP) + O
glycans) were separated by jacalin affinity chromatography. Because we used
jacalin, we only analyzed the Gal-3GalNAc residue containing IgA. The molecular
weight (MW) of the IgA1 fragments was estimated using an ion trap mass
spectrometer equipped with an electrospray ion source (ESI/MS). RESULTS: IgA1
concentration in pathological sera was higher than in the control serum (p<0.01).
Compared with controls, serum IgA1 from IgAN patients showed significantly
greater binding to the 2 lectins, jacalin (p<0.01) and Helix aspersa (HA,
p<0.001), which are specific for O-linked Gal-beta1,3-GalNAc and GalNAc,
respectively. Analyses of pooled sera showed that the number of O-glycosidic
chains was comparable in IgAN and normal sera. With regards to the individual
residues, we found that IgAN sera contained less sugar and galactose and sialic
acid moieties than sera from control subjects, was reduced in IgAN sera, while
terminal N-acetylgalactosamine levels were higher when compared with normal
serum. CONCLUSIONS: Abnormalities of hinge region O-linked glycans were confirmed
using advanced spectrometry technology. The pathogenetic implications for
aggregation and defective removal of IgA1 are discussed.
PMID- 18046672
TI - A Mediterranean age-comorbidity prognostic index for survival in dialysis
populations.
AB - BACKGROUND: The most widely used prognostic indices for estimation of survival,
including for dialysis patients, were described by Charlson, and an adaptation
was proposed by Hemmelgarn for dialysis patients. We present the first age
comorbidity prognostic index (ACPI) designed in a Mediterranean incident dialysis
population and examine its concordance with other prognostic indices. METHODS:
Incident dialysis patients were scored in relation to age and 11 diseases. Cox
regression analysis was performed to construct multiple regression models, and
diseases with a hazard ratio (HR) higher than 1.2 were included in the index. The
impact of age was assessed by including it in a separate multivariate model.
Scores were categorized in 3 levels of risk: low (0-1 points), medium (2-4
points) and high levels (5 or more points). The probability of survival of each
group was calculated according to the Kaplan-Meier method, and receiver operating
characteristic (ROC) curves were plotted to examine the concordance with other
prognostic indices. RESULTS: A cohort of 304 patients on hemodialysis (80%) and
peritoneal dialysis was analyzed. Global mortality rate was 31% (93/304). The
mean score was 4.41 +/- 2.84. Diseases that received the highest scores were
ischemic heart disease (IHD) with chronic heart failure (CHF), and malignancies
of less than 5 years of evolution. With regard to age, the maximum score was
received by patients over 60 years old. The probability of survival at 3 years
was 89%, 77% and 54% for low-, medium- and high-risk groups, respectively (log
rank test, 19; p=0.0001). The ROC curves showed similar areas for our index
(0.749), the Charlson index (0.758) and Hemmelgarn index (0.708), but our index
scored higher than Charlson in older patients, IHD with CHF, CHF, peripheral
vascular disease and systemic diseases. CONCLUSIONS: Although prospective
external validation of this new index is required, this index adequately
estimates the probability of survival at 3 years. The prognostic power of ACPI is
similar to that of the Charlson index; however, relevant differences were found,
concerning the weight of factors age, cardiovascular diseases and myocardial
dysfunction. In end-stage renal disease we recommend estimating survival by
indices established in incident dialysis patients, due to the particular comorbid
conditions of this population.
PMID- 18046673
TI - Cost-effectiveness of losartan in diabetic nephropathy: a Greek perspective.
AB - BACKGROUND: Diabetic nephropathy is the primary cause of end-stage renal disease
(ESRD), which involves substantial economic burden. The primary objective of this
study was to estimate the potential effect of losartan on the costs associated
with ESRD in patients with diabetic nephropathy in a Greek setting. A secondary
aim was to approximate the direct health care cost of renal replacement therapy
(RRT) in Greece. METHODS: A cost-effectiveness analysis was performed to compare
losartan with placebo in patients with type 2 diabetes and nephropathy. Clinical
data were derived from the RENAAL study. All costs were calculated from the
perspective of the Greek social insurance system, in 2003 euros. Future costs
were discounted at 3%. The time horizon was 3.5 years. Extensive sensitivity
analyses were performed. RESULTS: The reduction in the number of ESRD days over
3.5 years in patients treated with losartan reduced ESRD-related costs by
3,056.54 euros, resulting in net cost savings of 1,665.43 euros per patient. Net
cost savings increase thereafter, increasing to 2,686.48 euros per patient over a
period of 4.0 years. The results were robust under a wide range of plausible
assumptions. The weighted mean daily cost of RRT was estimated at 90.97 euros per
patient. The total economic burden of RRT for the year 2003 has been estimated at
304.773 million euros. CONCLUSIONS: This study demonstrated that treatment of
patients with diabetic nephropathy in Greece with losartan is cost-effective, as
it leads to important savings for the social insurance system by slowing the
progression to ESRD.
PMID- 18046674
TI - Fabry disease: renal biopsy-proven cases from China.
AB - BACKGROUND: Fabry disease is a rare metabolic disorder resulting from deficient
activity of the lysosomal enzyme alpha-galactosidase A (alpha-GalA). Renal
involvement is the major cause of morbidity and mortality in male patients. Here,
we describe the largest series ever reported for this condition in China.
METHODS: Nine patients were enrolled in this study. Routine light microscopy
(including toluidine blue staining), immunofluorescence and electron microscopic
examinations were performed. We measured alpha-GalA activity in leukocyte and
gene mutation analysis. Clinical and laboratory data of the patients were
collected. RESULTS: Eight of the 9 patients were hemizygous males. Proteinuria
was obvious in all patients. Three patients presented with mild renal function
impairment. Light microscopy revealed glomeruli full of enlarged podocytes with
abundant foamy cytoplasm. Toluidine blue stain revealed abundant cytoplasmic
granular inclusions within the podocytes, tubular epithelial cells and
endothelial cells of peritubular capillaries. Electron microscopy showed abundant
electron-dense myelin figures within the podocyte cytoplasm. Arteriolar
hyalinization and occlusion were also observed. Extrarenal manifestations,
including acroparesthesia, hypohidrosis, abnormal electrocardiography and
angiokeratoma were noted. No cornea verticillata or lenticular opacities were
observed. These patients had about 0.3%-1.3% residual alpha-GalA activity in
leukocytes. We identified a novel missense mutation (F273L) causing nonclassical
Fabry disease. CONCLUSIONS: Fabry disease is relatively rare in China. Renal
biopsy and specific staining is efficacious in the correct diagnosis of the
disease. Discrepancies in the clinical manifestations of Fabry disease (i.e., eye
disorders and hypertension) exist between cases found in China and those detailed
in Western reports.
PMID- 18046675
TI - Evaluation of serum anion gap in patients with HIV.
AB - BACKGROUND: The anion gap (AG) is an important tool in the evaluation of
metabolic acidosis. It is affected by many variables including serum albumin and
globulin concentrations. HIV patients may have lower serum albumin and higher
serum globulin concentrations. We hypothesized that the AG in HIV patients may
differ from that of normal controls. PATIENTS AND METHODS: We reviewed medical
records of 248 stable HIV patients and compared their laboratory variables to 312
patients being evaluated for routine health maintenance in an outpatient setting.
RESULTS: The average serum albumin concentration was not different in patients
with HIV and normal controls (43 +/- 6 g/L vs. 45 +/- 4 g/L). The serum globulin
concentration was significantly higher in the HIV patients when compared with
that of normal controls (37 +/- 9 g/L vs. 28 +/- 6 g/L; p<0.05). The AG in the
HIV patients was significantly lower than that of normal controls (9.4 +/- 1.9
mmol/L vs. 10.8 +/- 2.7 mmol/L; p<0.05). The slope of the regression line that
describes the inverse relationship between serum globulin and AG was 0.147 mmol
per g/L. Using this slope, AG could be adjusted for abnormal serum globulin
levels: adjusted anion gap = anion gap + 0.147 x (globulin - 29). CONCLUSION: Our
results indicate that the AG is lower in HIV patients and that this decrement may
be due to the increase in serum globulin concentrations. Since a high serum AG
metabolic acidosis may be masked by a deceitfully normal AG in patients with
elevated serum globulin concentrations, calculation of corrected AG should be
undertaken to avoid a costly delay in diagnosis and treatment.
PMID- 18046676
TI - Quality of life and its correlates in ambulatory hemodialysis patients.
AB - BACKGROUND: In hemodialysis patients, quality of life (QOL) may vary across a
range of individual conditions and social environments. In this study, we focused
on ambulatory hemodialysis patients, examining their QOL compared with that of
age-matched controls. Correlates of QOL in ambulatory hemodialysis patients were
also examined. METHODS: QOL was evaluated by WHOQOL in ambulatory hemodialysis
patients and age-matched controls. Correlations of QOL with age, sex, body mass
index (BMI), functional performance, physical activity, cognitive function,
psychiatric disorders, diabetes status, comorbidities, duration of dialysis
therapy, adequacy of dialysis, biochemical variables and nutritional status were
also examined in ambulatory hemodialysis patients. RESULTS: In WHOQOL, we found
decreased psychological domain scores (19.8 vs. 21.6, p=0.012) and overall QOL
(89.0 vs. 94.3, p=0.035) for ambulatory hemodialysis patients compared with age
matched controls, especially in the items: enjoying life (p=0.032), feeling life
has meaning (p=0.023), having opportunity to take leisure time (p=0.003) and
being satisfied with sexual life (p=0.044). Patients with male sex, BMI >24 and
duration of dialysis shorter than 5 years had lower overall QOL than controls.
Male dialysis patients also had lower QOL than female patients. As for correlates
of QOL in ambulatory hemodialysis patients, age, BMI and psychiatric disorders
were negatively correlated. By contrast, premorbid and current satisfaction with
personal health were positively correlated. CONCLUSIONS: QOL in ambulatory
hemodialysis patients was lower than in age-matched controls. QOL in ambulatory
hemodialysis patients was positively correlated with personal health satisfaction
and negatively correlated with age, BMI and psychiatric disorders.
PMID- 18046677
TI - Catastrophic antiphospholipid syndrome: report of 4 cases.
AB - Catastrophic antiphospholipid syndrome (CAPS), described by Asherson in 1992, is
a rare form of antiphospholipid syndrome resulting in multiorgan failure with a
mortality rate of about 50%. The syndrome occurs in patients with either systemic
lupus erythematosus and other rheumatic diseases (systemic sclerosis, rheumatoid
arthritis, primary Sjogren syndrome) or alone. Whereas in "classic"
antiphospholipid syndrome (APS), medium-large vessels are involved, a diffuse
small vessel ischemia and thrombosis (microangiopathic disease) leading to a
severe multiorgan dysfunction is predominant in CAPS. "Trigger" factors have been
demonstrated in 45% of patients, but in the majority, they remain unknown. Not
infrequently, CAPS arises in patients without any previous thrombotic history.
The kidney is the organ most commonly affected, followed by the lung, the central
nervous system, the heart and the skin. Disseminated intravascular coagulation
occurs in approximately 13% of patients. The present study reports the clinical
and serological features of 4 patients affected by this rare form of
antiphospholipid syndrome. Nephrologists should be aware of the possibility of
this syndrome as a cause of multiorgan failure since prompt recognition is
essential for effective treatment.
PMID- 18046678
TI - "Full house" proliferative glomerulonephritis: an unreported presentation of
subacute infective endocarditis.
AB - A severely ill 65-year-old man presented with symptoms of shortness of breath,
edema and vasculitidic purpura over his lower extremities. He had severe mitral
regurgitation which had not been surgically treated. Hematologic examination
demonstrated leukocytosis with profound anemia. Other blood tests revealed
impaired renal function, hypoalbuminemia, hypocomplementemia and mixed-type
cryoglobulinemia. Urinalysis showed proteinuria, hematuria and pyuria, typical of
a nephritic sediment. Renal biopsy indicated diffuse proliferative
glomerulonephritis and a "full house" deposition in immunofluorescence study
(positive for C3, C4, C1q, IgG, IgA and IgM), resembling the pathologic findings
in class IV lupus nephritis. Although subacute bacterial endocarditis was
initially suspected owing to a history of a predisposing valvular heart disease,
probable vegetation shown by cardiac sonography and a clinical picture suggestive
of a chronic infection, it was thought unlikely due to the entire afebrile course
and initial sterile blood cultures. However, the blood cultures repeated 2 weeks
after admission grew 3 sets of viridans streptococci. Following a course of
penicillin and gentamicin treatment, his renal function, anemia and abnormal
urine sediments improved gradually. Diffuse proliferative glomerulonephritis is
well known to occur in infective endocarditis. However, the "full house"
immunostaining in immunofluorescence study has never been reported. This case
adds a new entity to the differential diagnosis of "full house" immune complex
related glomerulonephritis and exemplifies the need to maintain a high index of
suspicion for underlying infectious disorders when facing glomerulonephritic or
vasculitic syndrome.
PMID- 18046679
TI - Spider-like oligothiophenes.
AB - Careful analysis and comparison of optical and electrochemical data available in
recent literature for multi-thiophene molecular assemblies suggested a few basic
rules for the design of structurally simple and easily accessible oligothiophenes
endowed with properties not far from those exhibited by much more complex and
synthetically demanding architectures. The synthesis and computational
investigation of three examples of a class of oligothiophenes (spider-like)
tailored according to these indications are reported together with their
exhaustive optical and electrochemical characterization. The new compounds (T9 5,
T14 6, T19 7) are characterized by a thiophene, a 2,2'-bithiophene and a
2,2',5',2''-terthiophene unit (the spider body) fully substituted with 5-(2,2'
bithiophen)yl pendants (the spider legs). Absorption and electrochemical data are
in good agreement and point to a high pi-conjugation level, comparable to those
displayed by much larger assemblies. Electrode potential cycling in proximity of
the first oxidation peak affords fast and reproducible formation of conducting,
highly stable [TXn]m films, mainly consisting of dimers (m=2). Electrooxidation
kinetic experiments on deuterium-labelled T9 5, coupled to laser-desorption
ionization mass spectroscopy on the resulting dimer demonstrated that the
coupling process is extremely regioselective in the alpha positions of the more
conjugated pentathiophene chain. The optical and the electrochemical properties
of the films are reported and discussed. A peculiar feature is their impressive
charge-trapping ability. Spider-like oligothiophenes are promising materials for
applications as active layers in multifunctional organic devices.
PMID- 18046680
TI - Photocatalytic cleavage of single TiO2/DNA nanoconjugates.
AB - TiO(2)/DNA nanoconjugates were successfully fabricated by using the catechol
moiety as a binding functional group, which was confirmed by steady-state
absorption and fluorescence spectroscopies. Upon UV irradiation, the
photocatalytic cleavage of the TiO(2)/DNA nanoconjugates was observed at the
single-molecule level by using wide-field fluorescence microscopy. The decrease
in the number of conjugates, which was estimated from the luminescent spots due
to semiconductor quantum dots modified at the DNA strand, was significantly
inhibited by a single A/C mismatch in the DNA sequences. This result strongly
suggests that the migration of holes, which are injected from the photoexcited
TiO(2) into the DNA, through the DNA bases plays an important role in the
photocatalytic cleavage of the conjugates. The influences of the photogenerated
reactive oxygen species (ROS) on the cleavage efficiency were also examined.
According to the experimental results, it was concluded that oxidation of the
catechol moiety and/or the DNA damage are key reactions in this process.
PMID- 18046681
TI - Azulene-moiety-based ligand for the efficient sensitization of four near-infrared
luminescent lanthanide cations: Nd3+, Er3+, Tm3+, and Yb3+.
AB - The ML(4) complexes formed by reaction between the bidentate azulene-based ligand
diethyl 2-hydroxyazulene-1,3-dicarboxylate (HAz) and several lanthanide cations
(Pr(3+), Nd(3+), Gd(3+), Ho(3+), Er(3+), Tm(3+), Yb(3+), and Lu(3+)) have been
synthesized and characterized by elemental analysis, FT-IR vibrational
spectroscopy and electrospray ionization mass spectroscopy. Spectrophotometric
titrations have revealed that four Az(-) ligands react with one lanthanide cation
to form the ML(4) complex in solution. Studies of the luminescence properties of
these ML(4) complexes demonstrated that Az(-) is an efficient sensitizer for four
different near-infrared emitting lanthanide cations (Nd(3+), Er(3+), Tm(3+), and
Yb(3+)); the resulting complexes have high quantum yield values in CH(3)CN. The
near-infrared emission arising from Tm(3+) is especially interesting for biologic
imaging and bioanalytical applications since biological systems have minimal
interaction with photons at this wavelength. Hydration numbers, representing the
number of water molecules bound to the lanthanide cations, were obtained through
luminescence lifetime measurements and indicated that no molecules of
water/solvent are bound to the lanthanide cation in the ML(4) complex in
solution. The four coordinated ligands protect well the central luminescent
lanthanide cation against non-radiative deactivation from solvent molecules.
PMID- 18046682
TI - Unprecedented aromatic homolytic substitutions and cyclization of amide-iminyl
radicals: experimental and theoretical study.
AB - Amide-iminyl radicals are versatile and efficient intermediates in cascade
radical cyclizations of N-acylcyanamides. They are easily trapped by alkenes or
(hetero-)aromatic rings and cyclize into a series of new heterocyclic compounds
which bear a pyrroloquinazoline moiety. As an illustration of the synthetic
importance of these compounds, the total synthesis of the natural antitumor
compound luotonin A was achieved through a tin-free radical cascade cyclization
process. Not only do amide-iminyl radicals lead to new tetracyclic heterocycles
but these nitrogen-centered radical species also react in aromatic homolytic
substitutions. Indeed, the amide-iminyl radical moiety unprecedentedly displaces
methyl, methoxy, and fluorine radicals from an aromatic carbon atom. This seminal
reaction in the field of radical chemistry has been developed experimentally and
its mechanism has additionally been investigated by a theoretical study.
PMID- 18046683
TI - Xylogranatins F-R: antifeedants from the Chinese mangrove, Xylocarpus granatum, a
new biogenetic pathway to tetranortriterpenoids.
AB - Thirteen limonoids with a new carbon skeleton, the xylogranatins F-R (1-13), have
been isolated from the seeds of a Chinese mangrove, Xylocarpus granatum; two
recently reported compounds, xylogranatins C and D were also isolated. Their
structures were elucidated on the basis of spectroscopic data and chemical
methods. The absolute configurations of these compounds were determined by using
the modified Mosher MTPA ester method and by quantum chemical circular dichroism
(CD) calculations. Xylogranatins F-Q are the first aromatic B-ring limonoids
found in nature. They belong to two substructural classes, of which one (1-3)
contains a pyridine ring while the other one (4-12) contains a central furan
core. Xylogranatins C and R can be considered to be key biosynthetic
intermediates, while xylogranatin D, the only limonoid found so far with a carbon
skeleton that conatains a C(30)-C(9) linkage, is apparently an artifact. The
structures of these compounds suggest a new biogenetic pathway to
tetranortriterpenoids. Xylogranatins F, G and R were found to exhibit marked
antifeedant activity against the third instar larvae of Mythimna separata
(Walker) at a concentration of 1 mg mL(-1). The most potent compound tested was
xylogranatin G. Its AFC(50) (concentration for 50 % antifeedant activity) values
at the exposure times of 24 and 48 h were 0.31 and 0.30 mg mL(-1), respectively.
PMID- 18046684
TI - Ligand-directed immobilization of proteins through an esterase 2 fusion tag
studied by atomic force microscopy.
AB - Atomically flat mica surfaces were chemically modified with an alkyl
trifluoromethyl ketone, a covalent inhibitor of esterase 2 from Alicyclobacillus
acidocaldarius, which served as a tag for ligand-directed immobilization of
esterase-linked proteins. Purified NADH oxidase from Thermus thermophilus and
human exportin-t from cell lysates were anchored on the modified surfaces. The
immobilization effectiveness of the proteins was studied by atomic force
microscopy (AFM). It was shown that ligand-esterase interaction allowed specific
attachment of exportin-t and resulted in high-resolution images and coverage
patterns that were comparable with immobilized purified protein. Moreover, the
biological functionality of immobilized human exportin-t in forming a quaternary
complex with tRNA and the GTPase Ran-GTP, and the dimension changes before and
after complex formation were also determined by AFM.
PMID- 18046685
TI - Glyceryl-S-acyl carrier protein as an intermediate in the biosynthesis of
tetronate antibiotics.
AB - The biosynthetic pathway to the unusual tetronate ring of certain polyketide
natural products, including the antibiotics abyssomicin and tetronomycin (TMN)
and the antitumour compound chlorothricin (CHL), is presently unknown. The gene
clusters governing chlorothricin and tetronomycin biosynthesis both contain a
gene encoding an atypical member of the FkbH family of enzymes, which has
previously been shown to synthesise glyceryl-S-acyl carrier protein (ACP) as the
first step in production of unusual extender units for modular polyketide
biosynthesis. We show here that purified recombinant FkbH-like protein, Tmn16,
from the TMN gene cluster catalyses the efficient transfer of a glyceryl moiety
from D-1,3-bisphosphoglycerate (1,3-BPG) to either of the dedicated ACPs, Tmn7a
and ChlD2, to form glyceryl-S-ACP, which directly implicates this compound as an
intermediate in tetronate biosynthesis as well. Neither Tmn16 nor Tmn7a produced
glyceryl-S-ACP when incubated, respectively, with analogous ACP and FkbH-like
proteins from a known extender-unit pathway; this indicates a highly selective
channelling of glycolytic metabolites into tetronate biosynthesis.
PMID- 18046686
TI - Synthesis of 3- and 6-sulfonylindoles from ortho-alkynyl-N-sulfonylanilines by
the use of Lewis acidic transition-metal catalysts.
AB - Gold-catalyzed reactions of ortho-alkynyl-N-sulfonylanilines produced the
corresponding 3-sulfonylindoles in good to high yields. For example, the reaction
of N-mesyl-N-methyl-2-(1-pentynyl)aniline, N-mesyl-N-methyl-2-(phenylethynyl)
aniline, and 2-ethynyl-N-mesyl-N-methylaniline in the presence of 10 mol % of
AuBr3 in toluene at 80 degrees C gave 3-mesyl-1-methyl-2-propylindole, 3-mesyl-1
methyl-2-phenylindole, and 3-mesyl-1-methylindole in 95, 92, and 71% yield,
respectively. Furthermore, we found that the reactions of 2-alkynyl-6-methoxy-N
sulfonyl-anilines in the presence of indium catalyst (InBr3) afforded the
corresponding 6-sulfonylindoles as the major product in good yields. For example,
the reaction of 6-methoxy-N-methyl-2-(1-pentynyl)-N-tosylaniline in the presence
of 5 mol % of InBr3 in toluene at 80 degrees C gave an 87:13 mixture of 7-methoxy
1-methyl-2-propyl-6-tosylindole and 7-methoxy-1-methyl-2-propyl-3-tosylindole in
95% yield. Most probably, the gold-catalyzed reactions of ortho-alkynyl-N
sulfonylanilines proceed through a [1,3] sulfonyl migration, whereas the indium
catalyzed cyclizations of 2-alkynyl-6-methoxy-N-sulfonylanilines, which produce 6
sulfonylindoles, proceed by an unprecedented [1,7] sulfonyl migration.
PMID- 18046687
TI - Free-radical-based, specific desulfurization of cysteine: a powerful advance in
the synthesis of polypeptides and glycopolypeptides.
PMID- 18046688
TI - NMR spectroscopy: pushing the limits of sensitivity.
PMID- 18046689
TI - 1,7-Diaza[12]annulene derivatives? 100-year-old pyridinium salts!
PMID- 18046690
TI - Stereocontrolled intramolecular aziridination of glycals: ready access to
aminoglycosides and mechanistic insights from DFT studies.
AB - Stereocontrolled intramolecular aziridination of the glycal-derived sulfamates
offers a highly efficient strategy to divergently prepare aminoglycosides.
Rhodium-catalyzed nitrogen-atom transfer to C==C bonds formed semistable
aziridines, which were subjected to various nucleophiles (C, O, S, and N) to give
cyclic sulfamate-containing aminosugar derivatives selectively. The second
nucleophilic displacement of sulfonyloxy moieties of [1,2,3]-oxathiazepane-2,2-
dioxides allows straightforward access to aminoglycosides with selective alpha-
or beta-linkages. This approach is operationally simple, complements existing
methods, and is a versatile protocol for the synthesis of polyfunctionalized
amino sugars. In addition, the mechanism of the rhodium-catalyzed intramolecular
aziridination of glycals and its ring-opening reaction was extensively studied by
using DFT calculations.
PMID- 18046692
TI - Water compatible gold(III)-catalysed synthesis of unsymmetrical ethers from
alcohols.
AB - An efficient and broad-scoped method for the preparation of unsymmetrical ethers
from alcohols catalysed by the simplest and least expensive gold catalyst,
NaAuCl(4), is described for the first time. The procedure enables the
etherification of benzylic and tertiary alcohols with moderate to good yields
under mild conditions with low catalyst loading. Symmetrical ethers, the usual
side products in the etherification of alcohols, were not detected in this case.
The formation of the racemic ether from a chiral benzyl alcohol suggests the
intermediacy of a carbocation, which has not previously been postulated for gold
catalysed reactions involving alcohols.
PMID- 18046691
TI - Asymmetric synthetic access to the hetisine alkaloids: total synthesis of (+)
nominine.
AB - A dual cycloaddition strategy for the synthesis of the hetisine alkaloids has
been developed, illustrated by a concise asymmetric total synthesis of (+)
nominine (7). The approach relies on an early-stage intramolecular 1,3-dipolar
cycloaddition of a 4-oxido-isoquinolinium betaine dipole with an ene-nitrile
dipolarophile. Subsequent late-stage pyrrolidine-induced dienamine
isomerization/Diels-Alder cascade allows for rapid construction of the carbon-
nitrogen polycyclic skeleton within this class of C(20)-diterpenoid alkaloids.
PMID- 18046694
TI - Recent developments in CE and CEC of peptides.
AB - The article brings a comprehensive survey of recent developments and applications
of high-performance capillary electromigration methods, zone electrophoresis,
ITP, IEF, affinity electrophoresis, EKC, and electrochromatography, to analysis,
preparation, and physicochemical characterization of peptides. New approaches to
the theoretical description and experimental verification of electromigration
behavior of peptides and to methodology of their separations, such as sample
preparation, adsorption suppression, and detection, are presented. Novel
developments in individual CE and CEC modes are shown and several types of their
applications to peptide analysis are presented: conventional qualitative and
quantitative analysis, purity control, determination in biomatrices, monitoring
of chemical and enzymatical reactions and physical changes, amino acid and
sequence analysis, and peptide mapping of proteins. Some examples of
micropreparative peptide separations are given and capabilities of CE and CEC
techniques to provide important physicochemical characteristics of peptides are
demonstrated.
PMID- 18046693
TI - {7-[Bis(carboxymethyl)amino]coumarin-4-yl}methoxycarbonyl derivatives for
photorelease of carboxylic acids, alcohols/phenols, thioalcohols/thiophenols, and
amines.
AB - Light-induced release of biomolecules from inactive precursor molecules
represents a powerful method to study cellular processes with high temporal and
spatial resolution. Here we report the synthesis and photochemistry of a series
of {7-[bis(carboxymethyl)amino]coumarin-4-yl}methyl carboxylates, carbonates,
carbamates, and thiocarbonates as potential phototriggers for compounds with
COOH, OH, NH(2), and SH functions. The compounds are soluble in aqueous buffer,
show low fluorescence, and are efficiently photolysed by irradiation with UV/Vis
or IR light to release carboxylates, alcohols, phenols, amines, thioalcohols, or
thiophenols.
PMID- 18046695
TI - Enhanced analytical power of SDS-PAGE using machine learning algorithms.
AB - We aim to demonstrate that a complex plant tissue protein mixture can be reliably
"fingerprinted" by running conventional 1-D SDS-PAGE in bulk and analyzing gel
banding patterns using machine learning methods. An unsupervised approach to
filter noise and systemic biases (principal component analysis) was coupled to
state-of-the-art supervised methods for classification (support vector machines)
and attribute ranking (ReliefF) to improve tissue discrimination, visualization,
and recognition of important gel regions.
PMID- 18046696
TI - The chicken egg yolk plasma and granule proteomes.
AB - Using 1-D SDS-PAGE, LC-MS/MS, and MS(3), we identified 119 proteins from chicken
egg yolk, 86 of which were not identified in yolk previously. Proteins were
roughly quantitated by calculating their exponentially modified protein abundance
index (emPAI) to classify them as major or minor yolk components, and to estimate
their distribution between yolk plasma and yolk granular fraction. The proteins
with highest abundance were serum albumin, the vitellogenin cleavage products,
apovitellenins, IgY, ovalbumin, and 12 kDa serum protein with cross-reactivity to
beta2-microglobulin. In addition yolk contained many other serum and egg white
proteins, the proteases nothepsin and thrombin, numerous protease inhibitors, and
antioxidative enzymes, such as superoxide dismutase and glutathione peroxidase.
Among the moderately abundant proteins were two alpha2-macroglobulin-like
proteins different from egg white alpha2-macroglobulin, and the major biotin
binding protein of yolk. An unexpected identification was that of the eggshell
matrix protein ovocleidin-116, which was previously thought to be eggshell
specific. The list of chicken egg yolk proteins provided in this report is by far
the most comprehensive at present and may serve as a starting point for the
characterization of less well-known yolk proteins.
PMID- 18046697
TI - The larger acenes: versatile organic semiconductors.
AB - Acenes have long been the subject of intense study because of the unique
electronic properties associated with their pi-bond topology. Recent reports of
impressive semiconductor properties of larger homologues have reinvigorated
research in this field, leading to new methods for their synthesis,
functionalization, and purification, as well as for fabricating organic
electronic components. Studies performed on high-purity acene single crystals
revealed their intrinsic electronic properties and provide useful benchmarks for
thin film device research. New approaches to add functionality were developed to
improve the processability of these materials in solution. These new
functionalization strategies have recently allowed the synthesis of acenes larger
than pentacene, which have hitherto been largely unavailable and poorly studied,
as well as investigation of their associated structure/property relationships.
PMID- 18046698
TI - Configurationally labile lithiated O-benzyl carbamates: application in asymmetric
synthesis and quantum chemical investigations on the equilibrium of
diastereomers.
AB - The title compounds were generated by deprotonation of different benzyl-type
carbamates with sec-butyllithium in the presence of chiral diamines (-)-sparteine
or diisopropyl and di-tert-butyl bis(oxazoline)s. These lithiated species exhibit
configurational lability at -78 degrees C. In the case of the chiral di-tert
butyl bis(oxazoline), the equilibrium of the epimeric complexes can be used
synthetically to obtain highly enantioenriched secondary benzyl carbamates. The
enantiodetermining step was proven to be a dynamic thermodynamic resolution. The
absolute configurations of the products were determined, and the stereochemical
pathways of selected substitution reactions were thus elucidated. High-level
quantum chemical investigations were performed to gain insight into the
experimentally investigated system. To obtain an accuracy for the energy
difference (delta deltaH) between two epimeric complexes of about 0.5 kcal mol(
1) as well as the correct sign, a theoretical procedure was established. It
included geometry optimization at the dispersion-corrected DFT level, computation
of zero-point vibrational energies, and single-point SCS-MP2 energy calculations
with large atomic-orbital basis sets.
PMID- 18046699
TI - Organophosphorus pesticide exposure decreases sperm quality: association between
sperm parameters and urinary pesticide levels.
AB - Several studies have suggested that human semen quality has declined over the
past decades and some of them have associated it with occupational exposure to
pesticides. However, most of these studies have not been associated with a
reliable exposure level and have been designed mostly as cross-sectional studies.
The present work evaluates, in a longitudinal follow-up study, the effect of
organophosphate pesticides (OP) at three occupational exposure levels on semen
quality. In addition, the study examined the association between OP urinary
levels and sperm parameters in exposed and unexposed workers. A total of 139
semen samples from 52 volunteers were assessed. Urinary OP levels were measured
by gas-liquid chromatography. The results revealed that the poorest semen quality
was found among the subjects with the highest OP exposure and the highest urinary
OP levels. Seasonal variations in sperm concentration and sperm count were
registered. The results showed a significant decrease in total sperm count among
subjects with the highest exposure to OP. Further studies assessing the effects
of OP on male reproductive health should be controlled by the variability in
human sperm parameters, sperm seasonality, spermatogenesis time and the changing
OP exposure level in men highly exposed to OP.
PMID- 18046700
TI - Characterization of white matter alterations in phenylketonuria by magnetic
resonance relaxometry and diffusion tensor imaging.
AB - A multimodal MR study including relaxometry, diffusion tensor imaging (DTI), and
MR spectroscopy was performed on patients with classical phenylketonuria (PKU)
and matched controls, to improve our understanding of white matter (WM) lesions.
Relaxometry yields information on myelin loss or malformation and may
substantiate results from DTI attributed to myelin changes. Relaxometry was used
to determine four brain compartments in normal-appearing brain tissue (NABT) and
in lesions: water in myelin bilayers (myelin water, MW), water in gray matter
(GM), water in WM, and water with long relaxation times (cerebrospinal fluid
[CSF]-like signals). DTI yielded apparent diffusion coefficients (ADCs) and
fractional anisotropies. MW and WM content were reduced in NABT and in lesions of
PKU patients, while CSF-like signals were significantly increased. ADC values
were reduced in PKU lesions, but also in the corpus callosum. Diffusion
anisotropy was reduced in lesions because of a stronger decrease in the
longitudinal than in the transverse diffusion. WM content and CSF-like components
in lesions correlated with anisotropy and ADC. ADC values in lesions and in the
corpus callosum correlated negatively with blood and brain phenylalanine (Phe)
concentrations. Intramyelinic edema combined with vacuolization is a likely cause
of the WM alterations. Correlations between diffusivity and Phe concentrations
confirm vulnerability of WM to high Phe concentrations.
PMID- 18046701
TI - A catheter tracking method using reverse polarization for MR-guided
interventions.
AB - To conduct interventional procedures in MRI, reliable visualization of
interventional devices such as catheters is necessary. For this purpose, the use
of inductively-coupled radio frequency (ICRF) coils has been proposed. Without a
wired connection, the signal around the ICRF coil is amplified, enabling
catheters to be visualized. The wireless connection allows easy handling of
catheters, in some pulse sequences, however, it might be difficult to
differentiate the catheters from anatomical background information. In this work,
a novel ICRF coil visualization method, which allows separation of the catheter
and the anatomical information by using the reverse and forward polarization
modes of a coil, is proposed. This method allows images of the anatomy and the
catheter to be combined into a color-coded image. First, an ICRF coil with
decoupling diodes was constructed; we call this a receive-coupled RF (RCRF) coil.
The RF safety profile of the RCRF coil is shown to be better than the ICRF coil.
Second, to demonstrate the feasibility of this method, a receive-only birdcage
coil without a hybrid coupler was constructed and then connected to a scanner as
a two-channel phased-array coil. MR signals acquired from two channels were added
after phase adjustments to create the reverse and forward polarization mode
images. The reverse polarization mode image contained signal only from the RCRF
coil, but the forward polarization mode displayed both anatomical information and
the RCRF coil. The performance of this novel tracking method was tested in
phantom and animal experiments. Color-coded images demonstrate the feasibility of
the method to track catheters using RCRF coils.
PMID- 18046702
TI - Temperature mapping considerations in the breast with line scan echo planar
spectroscopic imaging.
AB - A line-scan echo planar spectroscopic imaging (LSEPSI) sequence was used to
serially acquire spectra from 4,096 voxels every 6.4 s throughout the breasts of
nine female subjects in vivo. Data from the serial acquisitions were analyzed to
determine the potential of the technique to characterize temperature changes
using either the water frequency alone or the water-methylene frequency
difference. Fluctuations of the apparent temperature change under these
conditions of no heating were smallest using the water-methylene frequency
difference, most probably due to a substantial reduction of motion effects both
within and without the imaged plane. The approach offers considerable advantages
over other methods for temperature change monitoring in the breast with magnetic
resonance but suffers from some limitations, including the unavailability of
lipid and water resonances in some voxels as well as a surprisingly large
distribution of water-methylene frequency differences, which may preclude
absolute temperature measurement.
PMID- 18046703
TI - Molecular imaging of macrophages in atherosclerotic plaques using bimodal PEG
micelles.
AB - Pegylated, fluorescent, and paramagnetic micelles were developed. The micelles
were conjugated with macrophage scavenger receptor (MSR)-specific antibodies. The
abdominal aortas of atherosclerotic apoE-KO mice were imaged with T(1)-weighted
high-resolution MRI before and 24 h after intravenous administration of the
contrast agent (CA). Pronounced signal enhancement (SE) (up to 200%) was observed
for apolipoprotein E knockout (apoE-KO) mice that were injected with MSR-targeted
micelles, while the aortic vessel wall of mice injected with nontargeted micelles
showed little SE. To allow fluorescence microscopy and optical imaging of the
excised aorta, the micelles were made fluorescent by incorporating either a
quantum dot (QD) in the micelle corona or rhodamine lipids in the micelle.
Ultraviolet (UV) illumination of the aorta allowed the identification of regions
with high macrophage content, while MSR-targeted rhodamine micelles could be
detected with fluorescence microscopy and were found to be associated with
macrophages. In conclusion, this study demonstrates that macrophages in apoE-KO
mice can be effectively and specifically detected by molecular MRI and optical
methods upon administration of a pegylated micellar CA.
PMID- 18046705
TI - Design and characterization of a new irreversible responsive PARACEST MRI
contrast agent that detects nitric oxide.
AB - Irreversible responsive PARAmagnetic Chemical Exchange Saturation Transfer
(PARACEST) MRI contrast agents constitute a new type of agent for molecular
imaging. To investigate the utility of this approach, a novel PARACEST MRI
contrast agent, Yb(III)-(1,4,7,10-tetraazacyclododecane-1,4,7-triacetic acid)
orthoaminoanilide (Yb-DO3A-oAA), was developed that detects nitric oxide (NO).
The agent exhibited two CEST effects at -11 ppm and +8 ppm, which were assigned
to chemical exchange from amide and amine functional groups, respectively. The
effects of pH, temperature, and concentration were investigated to characterize
the complex and to optimize PARACEST detection. This responsive PARACEST MRI
contrast agent incurred an irreversible covalent change in the presence of NO and
O(2), which caused an irreversible disappearance of both PARACEST effects from MR
images. The NO-dependent response of a relaxivity-based MRI contrast agent, Gd
DO3A-oAA, was investigated for comparison. This report highlights the advantages
of irreversible MRI contrast agents, demonstrates that large changes in PARACEST
can be used to create a highly responsive agent, and indicates challenges that
must be overcome to apply this type of contrast agent to in vivo biomedical
applications in molecular imaging.
PMID- 18046706
TI - Lung MRI using an MR-compatible active breathing control (MR-ABC).
AB - This work introduces an MR-compatible active breathing control device (MR-ABC)
that can be applied to lung imaging. An MR-ABC consists of a pneumotachograph for
respiratory monitoring and an airway-sealing unit. Using an MR-ABC, the subjects
were forced to suspend breathing for short time intervals, which were used in
turn for data acquisition. While the breathing flow was stopped, data acquisition
was triggered by ECG to achieve simultaneous cardiac and respiratory
synchronization and thus avoid artifacts from blood flow or heart movement. The
flow stoppage allowed a prolonged acquisition window of up to 1.5 sec. To
evaluate the potential of an MR-ABC for segmented k-space acquisition, diaphragm
displacement was investigated in five volunteers and compared with images
acquired using breath-holding, a respiratory belt, and free breathing.
Respiratory movement was comparatively low using the breath-hold approach, a
respiratory belt or an MR-ABC. During free-breathing diaphragm displacement was
comparatively large. To demonstrate the potential of an MR-ABC, lung MRI was
performed using whole-chest 3D gradient-echo imaging, multislice turbo spin-echo
(TSE) imaging, and short tau inversion recovery TSE (STIR-TSE). Cardiorespiratory
synchronization was used for each sequence. None of the volunteers reported any
discomfort or inconvenience when using an MR-ABC. Flow stoppage of up to 2.5 sec
per breathing cycle was well tolerated, therefore allowing for a reduction of the
total imaging time as compared to usage of a respiratory belt or MR navigator.
PMID- 18046707
TI - Predictors of treatment in patients with chronic hepatitis C infection - role of
patient versus nonpatient factors.
AB - Treatment with interferon and ribavirin is effective in patients with chronic
infection with hepatitis C virus (HCV). Previous data indicate that treatment
rates are suboptimal. We sought to identify patient and provider-level predictors
of treatment receipt in HCV by conducting a retrospective cohort study of 5701
HCV patients in a large regional Veteran's Administration (VA) healthcare
network. We also determined the degree of variation in treatment rates
attributable to patient, provider, and facility factors. Three thousand seven
hundred forty-three patients (65%) were seen by a specialist and 894 (15.7%)
received treatment. Treatment rates varied from 6% to 29% across the 5 facilities
included in the analysis. Patients were less likely to receive treatment if they
were older [RR, 0.55; 95% CI, 0.45, 0.67), single (RR, 0.77; 95%CI, 0.67, 0.88),
had hepatic dysfunction (RR, 0.73; 95%CI, 0.66, 0.89), had normal alanine
aminotransferase (ALT) (RR, 0.73; 95%CI, 0.59, 0.89), had HCV genotype 1 (RR,
0.78; 95%CI, 0.71, 0.86), were African American with genotype 1 (RR, 0.78; 95%
CI, 0.71, 0.86), or were anemic (RR, 0.70; CI, 0.60, 0.89). In addition, patients
evaluated by less experienced providers were 77% less likely to receive treatment
than those evaluated by more experienced providers. The patient, provider, and
facility factors explained 23%, 25%, and 7% of variation in treatment rates,
respectively. CONCLUSION: These data suggest that although patient
characteristics are important predictors of treatment in HCV, a significant
proportion of variation in treatment rates is explained by provider factors.
These potentially modifiable provider-level factors may serve as high-yield
targets for future quality improvement initiatives in HCV.
PMID- 18046708
TI - Glucocorticoid-induced leucine zipper: A key protein in the sensitization of
monocytes to lipopolysaccharide in alcoholic hepatitis.
AB - Glucocorticoid-induced leucine zipper (GILZ), a recently identified protein
induced by glucocorticoids (GCs), inhibits the nuclear factor kappaB pathway and
the activation of monocytes/macrophages by lipopolysaccharides (LPS). This study
aimed to elucidate the contribution of GILZ to the pathogenesis of alcoholic
hepatitis (AH): we (1) assessed GILZ expression in the livers of patients with AH
and (2) treated patients with severe AH with GCs (prednisolone 40 mg/day) and
studied the effect of GILZ modulation on circulating monocyte function. We
quantified GILZ expression in the livers of 42 consecutive alcoholic patients (21
with and 21 without AH). GILZ messenger RNA (mRNA) levels were lower in the
livers of patients with AH versus those without AH (P < 0.05). We collected
circulating monocytes from patients with severe AH before and 48 hours after GC
treatment to quantify GILZ expression and cytokine secretion. GC treatment
induced significantly higher levels of GILZ mRNA than that observed before
treatment and impaired LPS-induced tumor necrosis factor-alpha (TNF-alpha) and
regulated upon activation, normal T cell-expressed secretion (RANTES) by these
monocytes. We transfected circulating monocytes with GILZ small interfering RNA
(siRNA), specifically blocking GILZ expression, to demonstrate the role of GILZ
in mediating GC effect. GILZ siRNA abrogated the effect of GC treatment on LPS
induced TNF-alpha and RANTES secretion. CONCLUSION: Low expression of GILZ may
contribute to liver inflammation in AH. GCs enhance GILZ expression, abrogating
macrophage sensitivity to LPS and proinflammatory cytokine secretion. These
findings may explain the beneficial effect of GC treatment in patients with
severe AH.
PMID- 18046709
TI - Adipokines and insulin resistance in chronic hepatitis C.
PMID- 18046711
TI - Hepatic Niemann-Pick C1-like 1: The canalicular side of the coin.
PMID- 18046710
TI - Hepatitis B virus infection initiates with a large surface protein-dependent
binding to heparan sulfate proteoglycans.
AB - Contrary to many other viruses, the initial steps of the hepatitis B virus (HBV)
infection, including attachment to hepatocytes, specific receptor interactions,
and membrane fusion, are unsolved. Using HepaRG cells as an in vitro cell culture
system, we here report that HBV entry into hepatocytes depends on the interaction
with the glycosaminoglycan (GAG) side chains of cell-surface-associated heparan
sulfate proteoglycans. Binding to GAGs requires the integrity of the pre-S domain
as a part of the large (L-) viral envelope protein. HBV infection was abrogated
by incubation of virions with heparin, but not the structurally related GAGs
chondroitin sulfate A, B, and C. Infection was also abolished by suramin, a known
inhibitor of duck hepatitis B virus infection or highly sulfated dextran sulfate.
Polycationic substances such as poly-L-lysine, polybrene, and protamine also
prevented infection, however, by addressing cellular components. Enzymatic
removal of defined acidic carbohydrate structures from the cell surface using
heparinase I/III or the obstruction of GAG synthesis by sodium chlorate inhibited
HBV infection of HepaRG cells and, moreover, led to a reduction of HBV cell
surface binding sites. The biochemical analysis showed selective binding of L
protein-enriched viral particles (virions or filaments) to heparin. GAG-dependent
binding of HBV was improved by polyethylene glycol, a substance that specifically
enhances HBV infection. CONCLUSION: HBV infection requires the initial attachment
to the carbohydrate side chains of hepatocyte-associated heparan sulfate
proteoglycans as attachment receptors. This interaction initializes the multistep
entry process of HBV and cannot be bypassed by alternative routes.
PMID- 18046713
TI - Seventy-two weeks of peginterferon and ribavirin for patients with partial early
virologic response?
PMID- 18046714
TI - Corticosteroid treatment in biliary atresia: Tonic or toast?
PMID- 18046715
TI - Predicting prognosis in acute liver failure: Ammonia and the risk of cerebral
edema.
PMID- 18046716
TI - Therapy with vasoconstrictor drugs in cirrhosis: The time has arrived.
PMID- 18046717
TI - Treatment extension to 72 weeks of peginterferon and ribavirin in hepatitis c
genotype 1-infected slow responders.
AB - In hepatitis C virus (HCV) genotype 1 infection, the duration of interferon-based
therapy is a critical determinant in achieving sustained virologic response
(SVR). Slow or late responders to peginterferon and ribavirin may benefit from an
extended treatment course. We sought to determine if therapy extension could
improve response rates in a United States population of slow responders. Slow
response was defined by achieving at least a 2-log decrement in HCV RNA from
baseline, yet having detectable HCV RNA at 12 weeks and undetectable HCV RNA at
24 weeks (polymerase chain reaction, TaqMan, Roche; detection limit 10 IU/mL).
Patients were treatment-naive, chronically infected genotype 1-infected slow
responders to 1.5 mug/kg/week of peginterferon-alpha2b and 800-1400 mg/day of
ribavirin and were randomly assigned 1:1 to complete a total of 48 or 72 weeks of
therapy. Dose reductions and treatment discontinuations for adverse events or
laboratory abnormalities were similar between the 2 treatment arms. End-of
treatment response rates were similar in the 72-week group compared with those in
the 48-week group (48% versus 45%; P value not significant). Overall, the rate of
SVR was superior in patients treated for 72 weeks versus 48 weeks (38% versus
18%, respectively; P = 0.026). CONCLUSION: Extending the treatment duration from
48 weeks to 72 weeks in genotype 1-infected patients with slow virologic response
to peginterferon-alpha2b and weight-based ribavirin significantly improves SVR
rates. Treatment extension does not seem to increase the rate of dose reduction
or therapy discontinuation.
PMID- 18046718
TI - MARS and the failing liver-Any help from the outer space?
PMID- 18046719
TI - Grb2-associated binder-1 plays a central role in the hepatocyte growth factor
enhancement of hepatoma growth inhibition by K vitamin analog compound 5.
AB - Compound 5 (Cpd 5), a K vitamin analog, has been shown to inhibit Hep3B human
hepatoma cell growth in cultures and rat hepatoma growth in vivo through
prolonged epidermal growth factor receptor (EGFR)-extracellular response kinase
(ERK) phosphorylation, and hepatocyte growth factor (HGF) synergizes with Cpd 5
to enhance the inhibition of Hep3B cell and rat hepatoma growth. To explore the
mechanisms mediating the HGF/Cpd 5 synergy, we examined the possible involvement
of the Grb2-associated binder-1 (Gab1) docking protein because it interacts with
both EGFR and HGF receptor c-Met pathways. We found that HGF enhanced Cpd 5
induced c-Met phosphorylation at Tyr-1349, a binding site for Gab1, resulting in
increased c-Met binding to Gab1, and induced strong and prolonged Gab1 tyrosine
phosphorylation. Prolonged Gab1 phosphorylation by HGF/Cpd 5 in turn enhanced the
ability of Gab1 to bind to protein tyrosine phosphatase SHP2 and enhanced the
activation of its downstream mitogen-activated protein kinase pathway. In
contrast, this same HGF/Cpd 5 treatment inhibited Gab1 binding to
phosphatidylinositol 3-kinase (PI3K), leading to the inactivation of the PI3K-Akt
pathway. The inhibition of Akt phosphorylation by HGF/Cpd 5 further activated the
Raf-MEK-ERK signaling cascade via an Akt-Raf1 interaction, leading to strong and
prolonged ERK phosphorylation. The transfection of Hep3B cells with mutated Gab1
(Gab1 Y627F), which had lost its ability to bind SHP2, antagonized HGF/Cpd 5
induced ERK phosphorylation, whereas the transfection of Hep3B cells with mutated
Gab1 3YF, which lost its ability to bind PI3K, further enhanced HGF/Cpd 5-induced
ERK phosphorylation and cell growth inhibition. CONCLUSION: Gab1 plays a central
role in regulating HGF/Cpd 5 synergy in their actions on Hep3B cell growth
inhibition.
PMID- 18046720
TI - Determinants of alcohol use and abuse: Impact of quantity and frequency patterns
on liver disease.
AB - More than 70% of alcohol is consumed by 10% of the population in the United
States. Implicit in this statistic is that tremendous variation in the pattern of
drinking (quantity, frequency, and duration) exists among alcohol consumers.
Individuals who are binge or chronic drinkers will have different health outcomes
than social drinkers. Therefore, knowing the pattern of drinking will shed light
on how severely individuals are alcohol-dependent and on the extent of liver
damage. Thus, these parameters assume particular relevance for the treatment
providing physician. Genetic factors contribute substantially to differences in
alcohol metabolism. Variations in the activities of the alcohol-metabolizing
enzymes, cytosolic alcohol dehydrogenase and mitochondrial aldehyde
dehydrogenase, in part determine blood alcohol concentration, thereby
contributing to the predisposition to becoming alcohol-dependent and to
susceptibility to alcohol-induced liver damage. Chronic alcohol consumption
induces cytochrome P450 2E1, a microsomal enzyme that metabolizes alcohol at high
concentrations and also metabolizes medications such as acetaminophen and
protease inhibitors. Alcohol metabolism changes the redox state of the liver,
which leads to alterations in hepatic lipid, carbohydrate, protein, lactate, and
uric acid metabolism. The quantity and frequency of alcohol consumption severely
impact the liver in the presence of comorbid conditions such as infection with
hepatitis B or C and/or human immunodeficiency virus, type 2 diabetes,
hemochromatosis, or obesity and thus have implications with respect to the extent
of injury and response to medications. CONCLUSION: Knowledge of the relationships
between the quantity, frequency, and patterns of drinking and alcoholic liver
disease is limited. A better understanding of these relationships will guide
hepatologists in managing alcoholic liver disease.
PMID- 18046721
TI - Identification of resident hepatic stem cell populations.
PMID- 18046724
TI - Coffee and hepatocellular carcinoma: Cause or confounding?
PMID- 18046722
TI - Effects of rosiglitazone on methionine-choline deficient diet-induced
nonalcoholic steatohepatitis.
PMID- 18046726
TI - Noninvasive diagnosis of nonalcoholic fatty liver disease using serum biomarkers.
PMID- 18046730
TI - Alzheimer's disease: trade-off for increased survival with atherosclerosis?
PMID- 18046732
TI - Macrophage attenuation of neuronal excitability: implications for pathogenesis of
neurodegenerative disorders.
AB - Brain macrophages (and microglia) play a crucial role in central nervous system
immune and inflammatory responses. They are also critical cells in the
pathogenesis of neurodegenerative disorders. To understand how macrophages cause
neural cell dysfunction, we investigated the effects of mouse bone marrow-derived
macrophages (BMDMs) on rat cortical neuronal physiology in a BMDM-neuronal co
culture system using whole-cell patch clamp techniques. When co-cultured with
neuronal cells, BMDMs hyperpolarized the neuronal membrane and attenuated both
spontaneous and electrically evoked firings through a decrease in membrane input
resistance. The average duration of evoked action potentials (APs) and the
latency to fire the APs, in response to a constant depolarizing current
injection, were significantly increased by BMDMs. These results indicate that
BMDMs attenuate neuronal excitability. Further investigation revealed that BMDMs
hyperpolarize neuronal membranes by enhancing neuronal delayed rectifier
potassium current (IK), which was blocked by tetraethylammonium. This BMDM
induced attenuation on neuronal excitability may contribute to the pathogenesis
of neuronal dysfunction and damage as seen in neurodegenerative disorders.
PMID- 18046731
TI - Modulation of innate immunity by copolymer-1 leads to neuroprotection in murine
HIV-1 encephalitis.
AB - Virus-infected and immune-competent mononuclear phagocytes (MP; perivascular
macrophages and microglia) drive the neuropathogenesis of human immunodeficiency
virus type 1 (HIV-1) infection. Modulation of the MP phenotype from
neurodestructive to neuroprotective underlies adjunctive therapeutic strategies
for human disease. We reasoned that, as Copolymer-1 (Cop-1) can induce
neuroprotective activities in a number of neuroinflammatory and neurodegenerative
disorders, it could directly modulate HIV-1-infected MP neurotoxic activities. We
now demonstrate that, in laboratory assays, Cop-1-stimulated virus-infected human
monocyte-derived macrophages (MDM) protect against neuronal injury. Severe
combined immune-deficient (SCID) mice were stereotactically injected with HIV-1
infected human MDM, into the basal ganglia, to induce HIV-1 encephalitis (HIVE).
Cop-1 was administered subcutaneously for 7 days. In HIVE mice, Cop-1 treatment
led to anti-inflammatory and neuroprotective responses. Reduced micro- and
astrogliosis, and conserved NeuN/MAP-2 levels were observed in virus-affected
brain regions in Cop-1-treated mice. These were linked to interleukin-10 and
brain-derived neurotrophic factor expression and downregulation of inducible
nitric oxide synthase. The data, taken together, demonstrate that Cop-1 can
modulate innate immunity and, as such, improve disease outcomes in an animal
model of HIVE.
PMID- 18046733
TI - Worry facilitates corticospinal motor response to transcranial magnetic
stimulation.
AB - Like other forms of emotion, anxiety has been theoretically linked to preparation
for action. Worry is a type of anticipatory anxiety and the hallmark of
generalized anxiety disorder. Research has shown that worry is associated with
vigilance to threat cues and increased muscle tension, which may in part be
explained by motor facilitation that accompanies preparation for action. This
study assessed corticospinal motor responses during worry using transcranial
magnetic stimulation (TMS). Participants received TMS during a worry induction,
during motor imagery, and during mental arithmetic, while electromyography and
force were measured. TMS over the primary motor cortex elicited larger
corticospinal motor responses during worry than mental arithmetic and smaller
responses than motor imagery of maximum voluntary contraction of targeted
muscles. These findings suggest that the association between worry and motor
preparation cannot be explained by high cognitive load and provide further
support for theoretical accounts emphasizing the role of action preparation in
anxiety.
PMID- 18046735
TI - Optimizing risk reduction in screening for Down syndrome.
PMID- 18046734
TI - Testing NMDA receptor block as a therapeutic strategy for reducing ischaemic
damage to CNS white matter.
AB - Damage to oligodendrocytes caused by glutamate release contributes to mental or
physical handicap in periventricular leukomalacia, spinal cord injury, multiple
sclerosis, and stroke, and has been attributed to activation of AMPA/kainate
receptors. However, glutamate also activates unusual NMDA receptors in
oligodendrocytes, which can generate an ion influx even at the resting potential
in a physiological [Mg2+]. Here, we show that the clinically licensed NMDA
receptor antagonist memantine blocks oligodendrocyte NMDA receptors at
concentrations achieved therapeutically. Simulated ischaemia released glutamate
which activated NMDA receptors, as well as AMPA/kainate receptors, on mature and
precursor oligodendrocytes. Although blocking AMPA/kainate receptors alone during
ischaemia had no effect, combining memantine with an AMPA/kainate receptor
blocker, or applying the NMDA blocker MK-801 alone, improved recovery of the
action potential in myelinated axons after the ischaemia. These data suggest NMDA
receptor blockers as a potentially useful treatment for some white matter
diseases and define conditions under which these blockers may be useful
therapeutically. Our results highlight the importance of developing new
antagonists selective for oligodendrocyte NMDA receptors based on their
difference in subunit structure from most neuronal NMDA receptors.
PMID- 18046736
TI - A developmental approach to violence, hostile attributions, and paranoid thinking
in adolescence.
AB - Research within the area of paranoid thinking has focused primarily on adults and
has only recently looked at the effects during the critical life stage of
adolescence, with even less research in the context of the juvenile justice
system. This article aims to explore the relationship between antisocial
behaviour in adolescence and the development of paranoid thinking, set within
wider contexts that surround the juvenile justice system. The information
presented is drawn from both the current research and the clinical experiences of
those working in adolescent forensic psychiatry services. The relationships with
other disorders such as conduct disorder, ADHD, PTSD, and depression are also
explored.
PMID- 18046737
TI - Examining the effectiveness of an intervention designed for the restoration of
competency to stand trial.
AB - This study examined the effectiveness of the Fitness Game, an intervention
created for the restoration of competency to stand trial. Of 28 participants who
completed either the experimental or control intervention at Hawai'i State
Hospital (HSH), it was found that both groups had significant pretest to posttest
improvements on Understanding and Appreciation subsets of the MacArthur
Competence Assessment Tool-Criminal Adjudication (MacCAT-CA). However, there was
no statistically significant difference between the groups on competency
measures, indicating that the Fitness Game was not significantly more effective
at restoring competency than non-legal programming. In other words, individuals
committed to a psychiatric hospital for care and treatment were as likely to
improve as those receiving additional specialized competency restoration
treatment.
PMID- 18046738
TI - No skin off my back: retribution deficits in psychopathic motives for punishment.
AB - When deciding a criminal's punishment, people typically exhibit both retributive
and consequentialist motives in their decision making, though retribution's role
may be stronger. This study aimed to discern possible functions of retribution by
examining a population predicted to be deficient in retributive drive.
Participants who rated either high or low in psychopathic traits read stories
about a homicide. These stories were designed to evoke both retribution and the
consequentialist motive of behavior control by varying, respectively, criminal
intent and likelihood of recidivism. The participants then recommended a length
of confinement for the offender. Individuals high in psychopathic traits were
uniquely insensitive to retributive cues, and they were particularly
consequentialist in their punishment of criminal offenders. These results clarify
aspects of psychopathic aggression and corroborate the hypothesis that
retribution may stabilize cooperative behavior.
PMID- 18046739
TI - Death qualification and prejudice: the effect of implicit racism, sexism, and
homophobia on capital defendants' right to due process.
AB - Two hundred venirepersons from the 12th Judicial Circuit in Bradenton, Florida
completed the following measures: (1) one question that measured their level of
support for the death penalty; (2) one question that categorized their death
qualification status; (3) 23 questions that measured their attitudes toward the
death penalty (ATDP); (4) 22 questions that assessed their attitudes toward women
(ATW); (5) 25 questions that measured their level of homophobia (H); (6) seven
questions that assessed their level of modern racism (MR); (7) eight questions
that measured their level of modern sexism (MS); and (8) standard demographic
questions. Results indicated that as death-penalty support increased participants
exhibited more positive attitudes toward the death penalty, more negative
attitudes toward women, and higher levels of homophobia, modern racism, and
modern sexism. Findings also suggested that death-qualified venirepersons
exhibited more positive attitudes toward the death penalty and higher levels of
homophobia, modern racism, and modern sexism. Finally, more positive attitudes
toward the death penalty were correlated with more negative attitudes toward
women and higher levels of homophobia, modern racism, and modern sexism. Legal
implications are discussed.
PMID- 18046740
TI - Application of the behavioral investigative support system for profiling
perpetrators of serial sexual assaults.
AB - The authors developed a data-based profiling system in order to support offender
profiling. The system stored incident records of prior offenders. Inputting
offence details of an unsolved incident, a probability score was assigned to each
prior offender in the system; the score represented the behavioral similarity
with the unsolved incident. The system then ranked all offenders in the system
according to the probability scores, and prioritized the high-ranked offenders as
possible suspects. Moreover, the system inferred the characteristics of unknown
offenders by accumulating characteristics of the high-ranked offenders. The
system achieved promising accuracy, especially for linking crimes to
perpetrators. In 45 out of 81 simulation trials, the target offenders were
retrieved as a rank score of 1 from among 868 sex offenders.
PMID- 18046741
TI - When is profiling possible? Offense planning and aggression as moderators in
predicting offender age from victim age in stranger rape.
AB - Debate continues with regard to the possibility of inferring an offender's
characteristic features from crime scene details (the process of so-called
"offender profiling"). We argue that psychology generally has benefited from
appreciating context in predicting behavior. In the same way, profiling would
benefit from an appreciation of context in predicting characteristics. This
"reverse" process is contingent on various "if...then" relationships. As one
example, this paper demonstrates how profiling offender age from victim age is
contingent on (i) the level of planning and (ii) the level of aggression
displayed during the offense. Eighty-five stranger rape case records formed the
data set. Moderated regression analysis revealed that victim age is a significant
predictor of offender age only in cases where the offender has (i) shown evidence
of planning the attack and/or (ii) acts in a gratuitously aggressive manner. The
theoretical bases for these findings may lie in the extent to which offenders
disparately plan and target victims and how extreme aggressiveness in stranger
rapes may relate to a near-peer proxy for an offender's anger. The implications
of the results for the processes and methods involved in offender profiling
suggest that certain crime scene factors can have differential moderating effects
on predictive outcomes.
PMID- 18046742
TI - Toward a psychopathology of self-control theory: the importance of narcissistic
traits.
AB - Research on self-control and related constructs is central to individual-level
explanations of antisocial behavior. However, less research attention has been
paid to the psychopathological underpinnings of self-control. The current study
explores relationships between self-control and psychiatric symptoms, head
injury, trauma history, substance use, guiltlessness and narcissistic traits in a
statewide population of juvenile offenders. Results support the importance of
these variables, in particular narcissistic traits, in better explicating
theories of self-control. Implications for research on the psychopathological
underpinnings of self-control are highlighted.
PMID- 18046743
TI - A National Survey of State Legislation defining mental retardation: implications
for policy and practice after Atkins.
AB - In Atkins v. Virginia 2002, the U.S. Supreme Court held that the Eighth Amendment
prohibits executing offenders who are mentally retarded. Rather than adopting a
uniform definition of mental retardation, the court charged each state with
defining mental retardation in a manner that enforces the constitutional
restriction. An unanswered question is how states define mental retardation after
Atkins, which has implications for capital defendants and forensic evaluators who
conduct capital mitigation evaluations. This project identified the statutory
definitions of mental retardation in each state, and grouped the definitions
based on consistency with accepted clinical criteria for mental retardation.
Results show that definitions of mental retardation vary considerably by state.
The large majority of states, both overall and specifically among death penalty
states, use criteria for mental retardation that are not entirely consistent with
accepted clinical standards. As such, it is not clear whether the majority of
states are effectuating the intent of Atkins. The implications of these findings
for both policy and practice are discussed.
PMID- 18046744
TI - Police practices and perceptions regarding juvenile interrogation and
interrogative suggestibility.
AB - Recent media coverage has highlighted cases in which young suspects were wrongly
convicted because they provided interrogation-induced false confessions. Although
youth may be more highly suggestible and easily influenced by authority than
adults, police are trained to use the same psychologically coercive and deceptive
tactics with youth as with adults. This investigation is the first standard
documentation of the reported interrogation practices of law enforcement and
police beliefs about the reliability of these techniques and their knowledge of
child development. Participants were 332 law enforcement officers who completed
surveys about interrogation procedures and developmental issues pertaining to
youth. Results indicated that, while police acknowledge some developmental
differences between youth and adults, there were indications that (1) how police
perceive youth in general and how they perceive and treat them in the
interrogation context may be contradictory and (2) their general view is that
youth can be dealt with in the same manner as adults.
PMID- 18046745
TI - Human pigmentation variation: evolution, genetic basis, and implications for
public health.
AB - Pigmentation, which is primarily determined by the amount, the type, and the
distribution of melanin, shows a remarkable diversity in human populations, and
in this sense, it is an atypical trait. Numerous genetic studies have indicated
that the average proportion of genetic variation due to differences among major
continental groups is just 10-15% of the total genetic variation. In contrast,
skin pigmentation shows large differences among continental populations. The
reasons for this discrepancy can be traced back primarily to the strong influence
of natural selection, which has shaped the distribution of pigmentation according
to a latitudinal gradient. Research during the last 5 years has substantially
increased our understanding of the genes involved in normal pigmentation
variation in human populations. At least six genes have been identified using
genotype/phenotype association studies and/or direct functional assays, and there
is evidence indicating that several additional genes may be playing a role in
skin, hair, and iris pigmentation. The information that is emerging from recent
studies points to a complex picture where positive selection has been acting at
different genomic locations, and for some genes only in certain population
groups. There are several reasons why elucidating the genetics and evolutionary
history of pigmentation is important. 1) Pigmentation is a trait that should be
used as an example of how misleading simplistic interpretations of human
variation can be. It is erroneous to extrapolate the patterns of variation
observed in superficial traits such as pigmentation to the rest of the genome. It
is similarly misleading to suggest, based on the "average" genomic picture, that
variation among human populations is irrelevant. The study of the genes
underlying human pigmentation diversity brings to the forefront the mosaic nature
of human genetic variation: our genome is composed of a myriad of segments with
different patterns of variation and evolutionary histories. 2) Pigmentation can
be very useful to understand the genetic architecture of complex traits. The
pigmentation of unexposed areas of the skin (constitutive pigmentation) is
relatively unaffected by environmental influences during an individual's lifetime
when compared with other complex traits such as diabetes or blood pressure, and
this provides a unique opportunity to study gene-gene interactions without the
effect of environmental confounders. 3) Pigmentation is of relevance from a
public health perspective, because of its critical role in photoprotection and
vitamin D synthesis. Fair-skinned individuals are at higher risk of several types
of skin cancer, particularly in regions with high UVR incidence, and dark-skinned
individuals living in high latitude regions are at higher risk for diseases
caused by deficient or insufficient vitamin D levels.
PMID- 18046746
TI - Paranthropus boisei: fifty years of evidence and analysis.
AB - Paranthropus boisei is a hominin taxon with a distinctive cranial and dental
morphology. Its hypodigm has been recovered from sites with good stratigraphic
and chronological control, and for some morphological regions, such as the
mandible and the mandibular dentition, the samples are not only relatively well
dated, but they are, by paleontological standards, reasonably-sized. This means
that researchers can trace the evolution of metric and nonmetric variables across
hundreds of thousands of years. This paper is a detailed review of half a
century's worth of fossil evidence and analysis of P. boisei and traces how both
its evolutionary history and our understanding of its evolutionary history have
evolved during the past 50 years.
PMID- 18046747
TI - Mother-infant cosleeping, breastfeeding and sudden infant death syndrome: what
biological anthropology has discovered about normal infant sleep and pediatric
sleep medicine.
AB - Twenty years ago a new area of inquiry was launched when anthropologists proposed
that an evolutionary perspective on infancy could contribute to our understanding
of unexplained infant deaths. Here we review two decades of research examining
parent-infant sleep practices and the variability of maternal and infant sleep
physiology and behavior in social and solitary sleeping environments. The results
challenge clinical wisdom regarding "normal" infant sleep, and over the past two
decades the perspective of evolutionary pediatrics has challenged the supremacy
of pediatric sleep medicine in defining what are appropriate sleep environments
and behaviors for healthy human infants. In this review, we employ a biocultural
approach that integrates diverse lines of evidence in order to illustrate the
limitations of pediatric sleep medicine in adopting a view of infants that
prioritizes recent western social values over the human infant's biological
heritage. We review what is known regarding infant sleeping arrangements among
nonhuman primates and briefly explore the possible paleoecological context within
which early human sleep patterns and parent-infant sleeping arrangements might
have evolved. The first challenges made by anthropologists to the pediatric and
SIDS research communities are traced, and two decades of studies into the
behavior and physiology of mothers and infants sleeping together are presented up
to the present. Laboratory, hospital and home studies are used to assess the
biological functions of shared mother-infant sleep, especially with regard to
breastfeeding promotion and SIDS reduction. Finally, we encourage other
anthropologists to participate in pediatric sleep research using the unique
skills and insights anthropological data provide. By employing comparative,
evolutionary and cross-cultural perspectives an anthropological approach
stimulates new research insights that influence the traditional medical paradigm
and help to make it more inclusive. That this review will potentially stimulate
similar research by other anthropologists is one obvious goal. That this article
might do so makes it ever more possible that anthropologically inspired work on
infant sleep will ultimately lead to infant sleep scientists, pediatricians, and
parents becoming more informed about the consequences of caring for human infants
in ways that are not congruent with their evolutionary biology.
PMID- 18046749
TI - Mobile DNA elements in primate and human evolution.
AB - Roughly 50% of the primate genome consists of mobile, repetitive DNA sequences
such as Alu and LINE1 elements. The causes and evolutionary consequences of
mobile element insertion, which have received considerable attention during the
past decade, are reviewed in this article. Because of their unique mutational
mechanisms, these elements are highly useful for answering phylogenetic
questions. We demonstrate how they have been used to help resolve a number of
questions in primate phylogeny, including the human-chimpanzee-gorilla trichotomy
and New World primate phylogeny. Alu and LINE1 element insertion polymorphisms
have also been analyzed in human populations to test hypotheses about human
evolution and population affinities and to address forensic issues. Finally,
these elements have had impacts on the genome itself. We review how they have
influenced fundamental ongoing processes like nonhomologous recombination,
genomic deletion, and X chromosome inactivation.
PMID- 18046750
TI - Mummies.
AB - Mummies are human remains with preservation of nonbony tissue. Mummification by
natural influences results in so-called natural mummies, whereas mummification
induced by active (human) intervention results in so-called artificial mummies,
although many cultures practiced burial rites, which to some degree involved both
natural and artificial mummification. Since they are so uniquely well-preserved,
mummies may give many insights into mortuary practices and burial rites.
Specifically, the presence of soft tissues may expand the scope of
paleopathological studies. Many recent mummy studies focus on the development and
application of nondestructive methods for examining mummies, including
radiography, CT-scanning with advanced three-dimensional visualizations, and
endoscopic techniques, as well as minimally-destructive chemical, physical, and
biological methods for, e.g., stable isotopes, trace metals, and DNA. This
article discusses mummification and gives a presentation of various key mummy
finds and a brief history of mummy studies. A description of the extant key
technologies of natural and medical science that are applied in mummy studies is
given; along with a discussion of some of the major results in terms of
paleopathology. It is also shown how mummy studies have contributed much to the
knowledge of the cultural habits and everyday life of past populations. Finally
the impact of mummy studies on analyses of mortuary practices and cultural
history is discussed.
PMID- 18046751
TI - The biology of the colonizing ape.
AB - Hominin evolutionary history is characterized by regular dispersals, cycles of
colonization, and entry into novel environments. This article considers the
relationship between such colonizing capacity and hominin biology. In general,
colonizing strategy favors rapid rates of reproduction and generalized rather
than specialized biology. Physiological viability across diverse environments
favors a high degree of phenotypic plasticity, which buffers the genome from
selective pressures. Colonizing also favors the capacity to access and process
information about environmental variability. We propose that early hominin
adaptive radiations were based upon the development of such capacities as
adaptations to unstable Pliocene environments. These components came together,
along with fundamental changes in morphology, behavior, and cognition in the
genus Homo, who exploited them in subsequent wider dispersals. Middle Pleistocene
hominins and modern humans also show development of further traits, which
correspond with successful probing of, and dispersals into, stressful
environments. These traits have their precursors in primate or ape biology, but
have become more pronounced during hominin evolution. First, short interbirth
intervals and slow childhood growth allow human females to provision several
offspring simultaneously, increasing the rate of reproduction in favorable
conditions. This allows rapid recovery from population crashes, or rapid
population growth in new habitats. Second, despite high geographical phenotypic
variability, humans have high genetic unity. This is achieved by a variety of
levels of plasticity, including physiology, behavior, and technology, which
reduce the need to commit to genetic adaptation. Hominin behavior may
increasingly have shaped both the ecological niches occupied and the selective
pressures acting back on the genome. Such selective pressures may have been
exacerbated by population dynamics, predicted to both derive from, and favor, the
colonizing strategy. Exposure to ecological variability is likely to have
generated particular selective pressures on female biology, favoring increasing
steering of offspring ontogeny by maternal phenotype. We propose that the concept
of hominins as "colonizing apes" offers a novel unified model for interpreting
the suite of traits characteristic of our genus.
PMID- 18046752
TI - The evolution of human reproduction: a primatological perspective.
AB - Successful reconstruction of any aspect of human evolution ideally requires broad
based comparisons with other primates, as recognition of general principles
provides a more reliable foundation for inference. Indeed, in many cases it is
necessary to conduct comparisons with other placental mammals to test
interpretations. This review considers comparative evidence with respect to the
following topics relating to human reproduction: (1) size of the testes, sperm,
and baculum; (2) ovarian processes and mating cyclicity; (3) placentation and
embryonic membranes; (4) gestation period and neonatal condition; (5) brain
development in relation to reproduction; and (6) suckling and age at weaning.
Relative testis size, the size of the sperm midpiece, and perhaps the absence of
a baculum indicate that humans are adapted for a mating system in which sperm
competition was not a major factor. Because sizes of mammalian gametes do not
increase with body size, they are increasingly dwarfed by the size of the female
reproductive tract as body size increases. The implications of this have yet to
be explored. Primates have long ovarian cycles and humans show an average
pattern. Menstruation is completely lacking in strepsirrhine primates, possibly
weakly present in tarsiers and variably expressed in simians. The only other
mammals reliably reported to show menstruation are bats. Three hypotheses have
been proposed to explain the evolution of menstruation (eliminating sperm-borne
pathogens; reducing the metabolic cost of a prepared uterine lining; occurrence
as a side-effect of physiological changes), but no consensus has emerged.
Copulation at times other than the periovulatory period is not unique to humans,
and its occurrence during pregnancy is widespread among mammals. Although the
human condition is extreme, extended copulation during the ovarian cycle is the
norm among simian primates, in stark contrast to prosimians, in which mating is
typically restricted to a few days when the female is in oestrus. The model of
regular mid-cycle ovulation in simians is questionable. Gestation periods
calculated on that basis show greater variability than in other mammals, and
evidence from laboratory breeding colonies indicates that an extended mating
period is matched by an extended period in which conception can occur. New
evidence indicates that the noninvasive placentation found in strepsirrhine
primates is not primitive after all. Furthermore, comparative studies reveal that
such noninvasive placentation is not "inefficient". Evolution of highly invasive
placentation in haplorhine primates is probably linked instead to immunological
factors. Primates have relatively long gestation periods, and humans are average
in this respect. However, there is evidence that humans show greater maternal
investment during pregnancy in comparison with apes. Although the human neonate
matches the typical precocial pattern of primates in most respects, a fetal
pattern of brain growth continues for a year after birth, such that the human
infant is "secondarily altricial" in terms of its dependence on parental care.
Nevertheless, the "natural" lactation period of humans is probably about 3 years,
fitting the expectation in comparison to other hominoids.
PMID- 18046753
TI - Shifting adaptive landscapes: progress and challenges in reconstructing early
hominid environments.
AB - Since Darwin situated humans in an evolutionary framework, much discussion has
focused on environmental factors that may have shaped or influenced the course of
human evolution. Developing adaptive or causal perspectives on the morphological
and behavioral variability documented in the human fossil record requires
establishing a comprehensive paleoenvironmental context. Reconstructing
environments in the past, however, is a complex undertaking, requiring
assimilation of diverse datasets of varying quality, scale, and relevance. In
response to these difficulties, human evolution has traditionally been
interpreted in a somewhat generalized framework, characterized primarily by
increasing aridity and seasonality periodically punctuated by pulses or intervals
of environmental change, inferred largely from global climatic records. Although
these broad paradigms provide useful heuristic approaches for interpreting human
evolution, the spatiotemporal resolution remains far too coarse to develop
unambiguous causal links. This challenge has become more acute as the emerging
paleoenvironmental evidence from equatorial Africa is revealing a complex pattern
of habitat heterogeneity and persistent ecological flux throughout the interval
of human evolution. In addition, recent discoveries have revealed significant
taxonomic diversity and substantially increased the geographic and temporal range
of early hominids. These findings raise further questions regarding the role of
the environment in mediating or directing the course of human evolution. As a
consequence, it is imperative to critically assess the environmental criteria on
which many theories and hypotheses of human evolution hinge. The goals here are
to 1) compile, review, and evaluate relevant paleoecological datasets from
equatorial Africa spanning the last 10 Ma, 2) develop a hierarchical perspective
for developing and evaluating hypotheses linking paleoecology to patterns and
processes in early hominid evolution, and 3) suggest a conceptual framework for
modeling and interpreting environmental data relevant to human evolution in
equatorial Africa.
PMID- 18046754
TI - Summary of contributions to GAW15 Group 13: candidate gene association studies.
AB - Here we summarize the contributions to Group 13 of the Genetic Analysis Workshop
15 held in St. Pete Beach, Florida, on November 12-14, 2006. The focus of this
group was to identify candidate genes associated with rheumatoid arthritis or
surrogate outcomes. The association methods proposed in this group were diverse,
from better known approaches, such as logistic regression for single nucleotide
polymorphism (SNP) analysis and haplotype sharing tests to methods less familiar
to genetic epidemiologists, such as machine learning and visualization methods.
The majority of papers analyzed Genetic Analysis Workshop 15 Problems 2
(rheumatoid arthritis data) and 3 (simulated data). The highlighted points of
this group analyses were: (1) haplotype-based statistics can be more powerful
than single SNP analysis for risk-locus localization; (2) considering linkage
disequilibrium block structure in haplotype analysis may reduce the likelihood of
false-positive results; and (3) visual representation of genetic models for
continuous covariates may help identify SNPs associated with the underlying
quantitative trait loci.
PMID- 18046755
TI - Summary of contributions to GAW15 Group 16: processing/normalization of
expression traits.
AB - Here, we summarize the contributions to group 16 of Genetic Analysis Workshop 15,
held in Florida, U.S.A. The theme of this group was preprocessing of expression
quantitative trait loci (eQTL) studies using the Affymetrix platform. The
objective of the Genetic Analysis Workshop 15 problem 1 dataset was to use
transcript levels that are measured using DNA microarrays as quantitative traits
and localize the genes or other features of the DNA that control gene expression
by quantitative trait loci linkage analyses. All contributors of this group used
the microarray expression profiles (problem 1) data. Various approaches and
questions were examined to investigate the effects of preprocessing methods
and/or gene filtering on the interpretation of data, specifically on heritability
estimates of gene expression and on linkage results. In addition, some
contributors focused on the statistical issues involved in large-scale genetic
analyses of quantitative traits that account for or build composite phenotypes
from a large number of correlated traits. Since the true eQTLs are not known in
the problem 1 data, results from the 11 studies cannot be fully evaluated for the
methods employed. However, several common trends were found. All reports
concluded that preprocessing statistical analyses may have an important impact on
eQTL analyses and on the identification of cis-/trans-regulators and/or major
biological pathways.
PMID- 18046756
TI - Introduction to Genetic Analysis Workshop 15 summaries.
AB - The 15th biennial Genetic Analysis Workshop (GAW15) took place November 11-15,
2006 in St. Pete Beach, Florida. The workshop's primary focus was on the
appropriate linkage, association, and other analyses of the increasingly large
datasets generated by genetics research. A record number of participants (N=350)
contributed 252 papers to GAW15. These contributions were organized into 17
presentation groups, with a range of 11 to 18 papers in each group (median of 15
papers per group). The data sets--or "problems"--for GAW15 included information
from two real data sets and a simulated data set. The first problem utilizing
real data included gene expression as the phenotype and genome-wide markers for
linkage and association studies. The second problem allowed for detecting and
characterizing genetic effects for rheumatoid arthritis. And the simulated
problem was generated to reflect the data structure underlying the rheumatoid
arthritis study. Further details on GAW15 are provided here, and the primary
findings from the workshop are highlighted in the following group summary papers.
PMID- 18046758
TI - Using linkage and association to identify and model genetic effects: summary of
GAW15 Group 4.
AB - Group 4 at Genetic Analysis Workshop 15 focused on methods that exploited both
linkage and association information to map disease loci. All contributions
considered the dichotomous trait of rheumatoid arthritis, using either affected
sibpairs and/or unrelated controls. While one contribution investigated linkage
and association approaches separately in genome-wide analyses, the remaining
others focused on joint linkage and association methods in specific genomic
regions. The latter contributions proposed new methods and/or examined existing
methods that addressed whether one or more polymorphisms partially or fully
explained a linkage signal, particularly the methods proposed by Li et al. that
are implemented in the computer program Linkage and Association Modeling in
Pedigrees (LAMP). Using simulated SNP data under linkage peaks, several
contributions found that existing family-based association approaches such as
those of Martin et al. and Lake et al. had power similar to LAMP and to several
methods proposed by the contributors for testing that a single nucleotide
polymorphism partially explains a linkage peak. In evaluating methods for
identifying if a polymorphism or a set of polymorphisms fully accounted for a
linkage signal, several contributions found that it was important to understand
that these methods may be subject to low power in some situations and thus, a non
significant result was not necessarily indicative of the polymorphism(s) being
fully responsible for the linkage signal. Finally, modeling the disease using
association evidence conditional on linkage may improve understanding of the
etiology of disease.
PMID- 18046759
TI - Discussing gene-gene interaction: warning--translating equations to English may
result in jabberwocky.
AB - Interest in mapping susceptibility alleles for complex diseases, which do not
follow a classic single-gene segregation pattern, has driven interest in methods
that account for, or use information from one locus when mapping another. Our
discussion group examined methods related to epistasis or gene x gene
interaction. The goal of modeling gene x gene interaction varied across groups;
some papers tried to detect gene x gene interaction while others tried to exploit
it to map genes. Most of the 10 papers summarized here applied newly created or
newly modified statistical methods related to gene x gene interaction, while two
groups primarily examined computational issues. As is often the case, comparisons
are complicated by little overlap in the data used across the papers, and further
complicated by the fact that the available data may not have been ideal for some
gene x gene interaction methods. However, the main difficulty in comparing and
contrasting methods across the papers is the lack of a consistent statistical
definition of gene x gene interaction. But despite these issues, two clear trends
emerged across the analyses: First, the methods for quantitative trait gene x
gene interaction appeared to perform very well, even in families initially
ascertained as affected sib pairs; and second, dichotomous trait gene x gene
interaction methods failed to produce consistent results. The difficulty of using
(primarily) affected sib pair data in a gene x gene interaction analysis is
explored.
PMID- 18046760
TI - Model selection and Bayesian methods in statistical genetics: summary of group 11
contributions to Genetic Analysis Workshop 15.
AB - The research presented in group 11 of the Genetic Analysis Workshop 15 (GAW15)
falls into two major themes: Model selection approaches for gene mapping (both
Bayesian and Frequentist); and other Bayesian methods. These methods either allow
relaxation of some of the common assumptions, such as mode of inheritance, for
studying complicated genetic systems, or allow incorporation of additional
information into the model. Over half of the groups applied model selection
methods on all three data sets, using models in which genetic markers were used
as predictors for linkage, phenotype expression, or transmission to an affected
offspring. Most groups employed variations of Stochastic Search Variable
Selection as the model selection method of choice. A brief review of this class
of methods is given in this summary paper, followed by highlights of other
methods and overall summaries of each contribution to the GAW15 presentation
group 11. These group contributions exhibit the value of framing genetic problems
in terms of model selection, and highlight the impact of variable selection for
gene mapping.
PMID- 18046761
TI - Multiple testing in the genomics era: findings from Genetic Analysis Workshop 15,
Group 15.
AB - Recent advances in molecular technologies have resulted in the ability to screen
hundreds of thousands of single nucleotide polymorphisms and tens of thousands of
gene expression profiles. While these data have the potential to inform
investigations into disease etiologies and advance medicine, the question of how
to adequately control both type I and type II error rates remains. Genetic
Analysis Workshop 15 datasets provided a unique opportunity for participants to
evaluate multiple testing strategies applicable to microarray and single
nucleotide polymorphism data. The Genetic Analysis Workshop 15 multiple testing
and false discovery rate group (Group 15) investigated three general categories
for multiple testing corrections, which are summarized in this review:
statistical independence, error rate adjustment, and data reduction. We show that
while each approach may have certain advantages, adequate error control is
largely dependent upon the question under consideration and often requires the
use of multiple analytic strategies.
PMID- 18046762
TI - Genome-wide association analyses of expression phenotypes.
AB - A number of issues arise when analyzing the large amount of data from high
throughput genotype and expression microarray experiments, including design and
interpretation of genome-wide association studies of expression phenotypes. These
issues were considered by contributions submitted to Group 1 of the Genetic
Analysis Workshop 15 (GAW15), which focused on the association of quantitative
expression data. These contributions evaluated diverse hypotheses, including
those relevant to cancer and obesity research, and used various analytic
techniques, many of which were derived from information theory. Several
observations from these reports stand out. First, one needs to consider the
genetic model of the trait of interest and carefully select which single
nucleotide polymorphisms and individuals are included early in the design stage
of a study. Second, by targeting specific pathways when analyzing genome-wide
data, one can generate more interpretable results than agnostic approaches.
Finally, for datasets with small sample sizes but a large number of features like
the Genetic Analysis Workshop 15 dataset, machine learning approaches may be more
practical than traditional parametric approaches.
PMID- 18046763
TI - Issues in association mapping with high-density SNP data and diverse family
structures.
AB - Genetic association studies have the potential to identify causative genetic
variants with small effects in complex diseases, but it is not at all clear which
study designs best balance power with sample size, especially when taking into
account the difficulty of obtaining a sample of the necessary structure. The 14
contributions from the Genetic Analysis Workshop 15 group 3 used data sets with
rheumatoid arthritis as primary phenotype from problem 2 (real data) and Problem
3 (simulated data) to investigate design and analysis problems that arise in
candidate-gene, candidate-region, and genome-wide association studies. We
identified three major themes that were addressed by multiple groups: (1)
comparing family-based and case-control study designs with each other and with
hybrid designs incorporating both related and unrelated individuals; (2)
exploring and comparing techniques of combining information from multiple,
correlated single-nucleotide polymorphisms; and (3) comparing analyses that
select the model(s) of best fit with the ultimate aim of detecting the joint
effects of several unlinked single-nucleotide polymorphisms. These contributions
achieved some success in improving upon existing methods. For example, tests
using related cases and unrelated controls can achieve higher power than the
tests using unrelated cases and unrelated controls. Aside from these successes,
the group 3 contributions highlight some interesting areas for future research.
PMID- 18046764
TI - Data mining of RNA expression and DNA genotype data: presentation group 5
contributions to Genetic Analysis Workshop 15.
AB - The complexity of data available in human genetics continues to grow at an
explosive rate. With that growth, the challenges to understanding the meaning of
the underlying information also grow. A currently popular approach to dissecting
such information falls under the broad category of data mining. This can apply to
any approach that tries to extract relevant information from large amounts of
data, but often refers to methods that deal, in a non-linear fashion, with very
large numbers of variables that cannot be simultaneously handled by more
conventional statistical methods. To explore the usefulness of some of these
approaches, 13 groups applied a variety of strategies to the first dataset
provided to GAW 15 participants. With the extensive microarray and SNP data
provided for 14 CEPH families, these groups explored multistage analyses, machine
learning methods, network construction, and other techniques to try to answer
questions about gene-gene interaction, functional similarities, co-regulated gene
expression and the mapping of gene expression determinants, among others. In
general, the methods offered strategies to provide a better understanding of the
complex pathways involved in gene expression and function. These are still "works
in progress," often exploratory in nature, but they provide insights into ways in
which the data might be interpreted. Despite the still preliminary nature of some
of these methods and the diversity of the approaches, some common themes emerged.
The collection of papers and methods offer a starting point for further
exploration of complex interactions in human genetic data now readily available.
PMID- 18046765
TI - Data mining, neural nets, trees--problems 2 and 3 of Genetic Analysis Workshop
15.
AB - Genome-wide association studies using thousands to hundreds of thousands of
single nucleotide polymorphism (SNP) markers and region-wide association studies
using a dense panel of SNPs are already in use to identify disease susceptibility
genes and to predict disease risk in individuals. Because these tasks become
increasingly important, three different data sets were provided for the Genetic
Analysis Workshop 15, thus allowing examination of various novel and existing
data mining methods for both classification and identification of disease
susceptibility genes, gene by gene or gene by environment interaction. The
approach most often applied in this presentation group was random forests because
of its simplicity, elegance, and robustness. It was used for prediction and for
screening for interesting SNPs in a first step. The logistic tree with unbiased
selection approach appeared to be an interesting alternative to efficiently
select interesting SNPs. Machine learning, specifically ensemble methods, might
be useful as pre-screening tools for large-scale association studies because they
can be less prone to overfitting, can be less computer processor time intensive,
can easily include pair-wise and higher-order interactions compared with standard
statistical approaches and can also have a high capability for classification.
However, improved implementations that are able to deal with hundreds of
thousands of SNPs at a time are required.
PMID- 18046766
TI - Gene by environment interactions.
AB - This paper summarizes the contributions of group 8 to the Genetic Analysis
Workshop 15. Group 8 focused on ways to address the possibility that genetic and
environmental effects on phenotype may not be independent, but instead may
interact in ways that could play important roles in determining phenotype. Among
the eight contributors to this group, all three data sets (expression data,
rheumatoid arthritis data, and simulated data) were analyzed. Contributions to
this section fell into the two broad categories of refining the data (e.g.
stratifying or weighting based on a covariate value) and explicitly modeling the
interactions. The contributions also illustrate that there are at least two
possible goals for such studies. One goal is simply to identify factors
contributing to phenotype in the presence of interactions that might mask the
signal to univariate methods. A related but distinct goal is to characterize an
interaction (e.g. to determine if the interaction is significant).
PMID- 18046767
TI - Linkage analyses of rheumatoid arthritis and related quantitative phenotypes: the
GAW15 experience.
AB - The group that formed on the theme of linkage analyses of rheumatoid arthritis RA
and related phenotypes (Group 10) in the Genetic Analysis Workshop 15 comprised
18 sets of investigators. Two data sets were available: one was a real set
provided by the North American Rheumatoid Arthritis Consortium and collaborators
in Canada, France (European Consortium Of Rheumatoid Arthritis Families) and the
UK; the other was a simulated data set modelled after the real data set. Whereas
a majority of the investigators analyzed the RA affection status as a binary
phenotype, a few contributions considered data on correlated quantitative traits
such as anti-cyclic citrullinated peptide and rheumatoid factor-immunoglobulin M.
The different investigators applied a wide spectrum of linkage methods. As
expected, most methods could identify the human leukocyfeantigen region on
chromosome 6 as a major genetic factor for RA. In addition, some novel
chromosomal regions provided significant evidence of linkage in multiple
contributions in the group. In this report, we discuss the different strategies
explored by the different investigators with the common goal of improving the
power to detect linkage.
PMID- 18046768
TI - Multivariate analysis of complex gene expression and clinical phenotypes with
genetic marker data.
AB - This paper summarizes contributions to group 12 of the 15th Genetic Analysis
Workshop. The papers in this group focused on multivariate methods and
applications for the analysis of molecular data including genotypic data as well
as gene expression microarray measurements and clinical phenotypes. A range of
multivariate techniques have been employed to extract signals from the multi
feature data sets that were provided by the workshop organizers. The methods
included data reduction techniques such as principal component analysis and
cluster analysis; latent variable models including structural equations and item
response modeling; joint multivariate modeling techniques as well as multivariate
visualization tools. This summary paper categorizes and discusses individual
contributions with regard to multiple classifications of multivariate methods.
Given the wide variety in the data considered, the objectives of the analysis and
the methods applied, direct comparison of the results of the various papers is
difficult. However, the group was able to make many interesting comparisons and
parallels between the various approaches. In summary, there was a consensus among
authors in group 12 that the genetic research community should continue to draw
experiences from other fields such as statistics, econometrics, chemometrics,
computer science and linear systems theory.
PMID- 18046770
TI - Effect of linkage disequilibrium between markers in linkage and association
analyses.
AB - Contributions to Group 17 of the Genetic Analysis Workshop 15 considered dense
markers in linkage disequilibrium (LD) in the context of either linkage or
association analysis. Three contributions reported on methods for modeling LD or
selecting a subset of markers in linkage equilibrium to perform linkage analysis.
When all markers were used without modeling LD, inflated evidence for linkage was
observed when parental genotypes were missing. All methods for handling LD led to
some decreased linkage evidence. Two groups performed a genome-wide association
scan using either mixed models to account for known or unknown relatedness
between individuals, trend tests or combination statistics. All methods failed to
detect four of the eight simulated loci because of low LD in some regions. Three
groups performed association analysis using simulated dense markers on chromosome
6, where a simulated HLA-DRB1 locus played a major role in disease susceptibility
along with two additional loci of smaller effect. The overall conditional
genotype method correctly identified both additional loci while a novel
transmission disequilibrium test-statistic to combine studies with non
overlapping markers identified one HLA locus after stratifying on the parental
HLA-DRB1 genotypes; LD mapping using the Malecot model mapped two loci in this
region, even when using greatly reduced marker density. While LD between markers
appears to be a nuisance that may cause spurious linkage results with missing
parental genotypes in linkage analysis, association analysis thrives on LD, and
disease genes fail to be detected in regions of low LD.
PMID- 18046769
TI - Multistage designs in the genomic era: providing balance in complex disease
studies.
AB - In this summary paper, we describe the contributions included in the Multistage
Design group (Group 14) at the Genetic Analysis Workshop 15, which was held
during November 12-14, 2006. Our group contrasted and compared different
approaches to reducing complexity in a genetic study through implementation of
staged designs. Most groups used the simulated dataset (problem 3), which
provided ample opportunities for evaluating various staged designs. A wide range
of multistage designs that targeted different aspects of complexity were
explored. We categorized these approaches as reducing phenotypic complexity,
model complexity, analytic complexity or genetic complexity. In general we
learned that: (1) when staged designs are carefully planned and implemented, the
power loss compared to a single-stage analysis can be minimized and study cost is
greatly reduced; (2) a joint analysis of the results from each stage is generally
more powerful than treating the second stage as a replication analysis.
PMID- 18046771
TI - Genetic association with rheumatoid arthritis-Genetic Analysis Workshop 15:
summary of contributions from Group 2.
AB - The papers in presentation group 2 of Genetic Analysis Workshop 15 (GAW15)
conducted association analyses of rheumatoid arthritis data. The analyses were
carried out primarily in the data provided by the North American Rheumatoid
Arthritis Consortium (NARAC). One group conducted analyses in the data provided
by the Canadian Rheumatoid Arthritis Genetics Study (CRAGS). Analysis strategies
included genome-wide scans, the examination of candidate genes, and
investigations of a region of interest on chromosome 18q21. Most authors employed
relatively new methods, proposed extensions of existing methods, or introduced
completely novel methods for aspects of association analysis. There were several
common observations; a group of papers using a variety of methods found stronger
association, on chromosomes 6 and 18 and in candidate gene PTPN22 among women
with early onset. Generally, models that considered haplotypes or multiple
markers showed stronger evidence for association than did single marker analyses.
PMID- 18046772
TI - Summary of Genetic Analysis Workshop 15: Group 9 linkage analysis of the CEPH
expression data.
AB - Group 9 participants carried out linkage analysis of the Centre d'Etude de
Polymorphism Humain (CEPH) expression data, using strategies that ranged from
focused investigation of a small number of traits to full genome scans of all
available traits. Results from five key areas encompass the most important
results within and across the 17 participating groups. First, both extensive
genetic heterogeneity and poor predictability of mapping results based on
heritability have key implications for study design. Second, choice of the map
used for linkage analysis is influential, with the implication that meiotic maps
are preferable to physical maps. Third, performance of different analytic methods
was in general fairly consistent, with the exception of one variance-component
method that uses marker allele sharing as the dependent rather than independent
variable. Fourth, multivariate analysis approaches did not generally appear to
provide advantages over univariate approaches for linkage detection. Finally,
there were computational and analytic challenges in working with a large public
data set, along with need for more data documentation.
PMID- 18046773
TI - The impact of group fissions on genetic structure in Native South America and
implications for human evolution.
AB - In a series of publications beginning in the 1960s, Neel and colleagues suggested
that genetically nonrandom, or "lineal", population fissions contributed to
genetic structure in ancient human groups. The authors reached this conclusion by
studying the genetic consequences of village fissions among the Yanomamo, a
Native South American group thought to have been relatively unaffected by
European contact and, therefore, representative of the human past. On the basis
of ethnographic accounts and pedigree data, they further concluded that
patrilineal relationships were particularly important in shaping the genetic
structure of villages following fissions. This study reexamines the genetic
consequences of village fissions using autosomal STRs, Y-chromosome STRs, and
mitochondrial DNA sequences collected from large samples of individuals from
multiple Yanomamo villages. Our analyses of the autosomal STRs replicate the
previous finding that village fissions have produced substantial genetic
structure among the Yanomamo. However, our analyses of Y-chromosome STRs and
mtDNA d-loop polymorphisms suggest that other population processes, including
village movements, inter-village migration, and polygynous marriage, affect
genetic structure in ways not predicted by a simple model of patrilineal
fissions. We discuss the broader implications of population fissions for human
evolution and the suitability of using the Yanomamo as a model for the human
past.
PMID- 18046774
TI - Rare mtDNA haplogroups and genetic differences in rich and poor Danish Iron-Age
villages.
AB - The Roman Iron-Age (0-400 AD) in Southern Scandinavia was a formative period,
where the society changed from archaic chiefdoms to a true state formation, and
the population composition has likely changed in this period due to immigrants
from Middle Scandinavia. We have analyzed mtDNA from 22 individuals from two
different types of settlements, Bogebjerggard and Skovgaarde, in Southern
Denmark. Bogebjerggard (ca. 0 AD) represents the lowest level of free, but poor
farmers, whereas Skovgaarde 8 km to the east (ca. 200-270 AD) represents the
highest level of the society. Reproducible results were obtained for 18 subjects
harboring 17 different haplotypes all compatible (in their character states) with
the phylogenetic tree drawn from present day populations of Europe. This
indicates that the South Scandinavian Roman Iron-Age population was as diverse as
Europeans are today. Several of the haplogroups (R0a, U2, I) observed in
Bogebjerggard are rare in present day Scandinavians. Most significantly, R0a,
harbored by a male, is a haplogroup frequent in East Africa and Arabia but
virtually absent among modern Northern Europeans. We suggest that this subject
was a soldier or a slave, or a descendant of a female slave, from Roman Legions
stationed a few hundred kilometers to the south. In contrast, the haplotype
distribution in the rich Skovgaarde shows similarity to that observed for modern
Scandinavians, and the Bogebjerggard and Skovgaarde population samples differ
significantly (P approximately 0.01). Skovgaarde may represent a new upper-class
formed by migrants from Middle Scandinavia bringing with them Scandinavian
haplogroups.
PMID- 18046775
TI - Quantitative three-dimensional shape analysis of the proximal hallucial
metatarsal articular surface in Homo, Pan, Gorilla, and Hylobates.
AB - Multidimensional morphometrics is used to compare the proximal articular surface
of the first metatarsal between Homo, Pan, Gorilla, Hylobates, and the hominin
fossils A.L. 333-54 (A. afarensis), SKX 5017 (P. robustus), and OH 8 (H.
habilis). Statistically significant differences in articular surface morphology
exist between H. sapiens and the apes, and between ape groups. Ape groups are
characterized by greater surface depth, an obliquely curved articular surface
through the dorso-lateral and medio-plantar regions, and a wider medio-lateral
surface relative to the dorso-plantar height. The OH 8 articular surface is
indistinguishable from H. sapiens, while A.L. 333-54 and SKX 5017 more closely
resemble the apes. P. robustus and A. afarensis exhibit ape-like oblique
curvature of the articular surface.
PMID- 18046776
TI - Brief communication: Comparison of methods for estimating chronological age at
linear enamel formation on anterior dentition.
AB - Linear enamel hypoplasia (LEH) is an enamel defect that records the effects of
physiological stress on tooth formation. Estimating the age at which LEH defects
form is integral to the reconstruction of population health in bioarcheological
studies. Two principal methods for aging LEH defects have been introduced in the
literature. The conventional approach employs regression equations based on a
linear model of tooth growth. The newer, Reid and Dean [Am J Phys Anthropol 113
(2000) 135-139] approach, is based upon a histologically derived curvilinear
model of enamel development and therefore likely provides more accurate age
estimates. However, the extent to which the Reid and Dean method produces
estimated ages at defect formation differing from those of the regression
equations has not, until now, been determined. This study quantifies the
differences between these two methods. Evaluating the degree to which these
methods differ is essential for interpreting the accuracy of LEH age estimates
given in previous bioarcheological studies. Age estimates of LEH defects on 338
anterior teeth from the Hamann-Todd osteological sample were calculated using
both methods. The resulting estimated ages were compared through a randomized
block ANOVA. However, the mean differences between the estimated ages yielded by
both methods range from 4 months or less depending on the tooth type with an
overall average of 2.63 months. The discussion focuses on the degree to which
this difference affects answers to bioarcheological questions.
PMID- 18046777
TI - Seasonal changes in household food insecurity and symptoms of anxiety and
depression.
AB - There is growing awareness that common mental health disorders are key
contributors to the burden of disease in developing countries. Studies examining
the correlates of mental health have primarily been carried out in urban settings
and focused on the burden rapid economic change places on individuals. In these
settings, poverty and low education are consistent predictors of anxiety and
depressive symptoms. We argue here that these variables are proxies for
insecurity, and that a more general model of symptoms of depression and anxiety
should focus on locally salient forms of insecurity. Building on previous work in
a seasonal subsistence setting, we identify food insecurity as a potent source of
insecurity in a rural African setting, and then test whether seasonal changes in
food insecurity are correlated with concomitant changes in a measure of symptoms
of anxiety and depression among 173 caretakers. Results indicate that food
insecurity is a strong predictor of symptoms of anxiety and depression (P <
0.0001), that changes in food insecurity across the seasons predict changes in
symptoms of anxiety and depression (P < 0.0001), and that this is robust to the
inclusion of covariates for material assets and household production. These
results hold for individuals in both ethnic groups studied (Pimbwe and Sukuma);
however, at the group level the burden falls disproportionately on Pimbwe. The
results add to the growing literature on the causes of population level
differences in mental health disorders and suggest new research avenues and
strategies to link mental health disorders with variation in physical and
biosocial outcomes.
PMID- 18046778
TI - Diet and reproductive function in wild female chimpanzees (Pan troglodytes
schweinfurthii) at Kibale National Park, Uganda.
AB - Human female reproductive function is highly sensitive to current energetic
condition, indicating adaptation to modulate reproductive effort in accordance
with changing ecological conditions that might favor or disfavor the production
of offspring. Here, we test the hypothesis that reproductive capacity in female
chimpanzees is likewise limited by current energetic condition. We used 12 years
of data on wild chimpanzees (Pan troglodytes schweinfurthii) in the Kanyawara
community of Kibale National Park, Uganda, to examine the relationship of dietary
quality, as assessed by fruit components of the diet, to the occurrence of
sexually receptive females, concentrations of ovarian steroid hormones, and
timing of conception. We found that the frequency of females having sexual
swellings was positively related to the consumption of drupe fruits. Estrogen
levels of both cycling and noncycling females increased during seasonal peaks in
the consumption of drupe fruits. When average fruit consumption remained high
across months, females conceived more quickly. These results support the
hypothesis that cycling and conception in chimpanzees are contingent upon high
energy balance, and they indicate that the availability of fruit is a key
variable limiting reproductive performance in chimpanzees. Chimpanzees appear to
share with humans a reproductive system that is primed to respond to proximate
levels of energy acquisition.
PMID- 18046779
TI - Evolutionary changes in the masticatory complex following the transition to
farming in the southern Levant.
AB - A post-Pleistocene reduction trend in the dimensions of the masticatory complex
followed the transition to agricultural lifestyle in several world regions. A
major limitation of previous studies is large temporal gaps between the analyzed
skeletal populations, which do not allow the detection and analysis of a
diachronic morphological transition. In this work, we analyze a large number of
specimens from the southern Levant, where agriculture first emerged in situ and
for which there is a good diachronic sequence of the shift from a hunting
gathering way of life to a food producing, farming economy (12,000-7,000
uncalibrated bp). Changes in the masticatory complex are examined in the context
of three prevailing dental reduction models: the Probable Mutation Effect
(Brace,1963; Brace and Mahler,1971), Increasing Population Density Effect
(Macchiarelli and Bondioli,1986) and Selective Compromise Effect (SCE)
(Calcagno,1989). A series of linear regressions of dimension vs. time and
coefficients of variation for each dimension are analyzed. Our results indicate
significant reduction in the buccolingual but not mesiodistal dental dimensions
and in the ramus breadth and anterior height dimensions of the mandible but not
in its overall size. These findings, taken together with low coefficients of
variation for the buccolingual dimensions, suggest selective pressure resulting
in reduction of specific dimensions. The observed trend is in partial accordance
with the SCE but differs from the trends observed in other regions, and is
therefore best explained as a region-specific variant of the SCE.
PMID- 18046780
TI - The Hellenic Heart Foundation. Interview by Robert Short.
PMID- 18046782
TI - Healthy diet, healthy heart, healthy prostate.
PMID- 18046781
TI - Management of atrial fibrillation.
PMID- 18046783
TI - Resolved: in minimizing kidney transplant immunosuppression, steroids should go
before calcineurin inhibitors: con.
PMID- 18046784
TI - A critical review of fear tests used on cattle, pigs, sheep, poultry and horses.
AB - Fear is arguably the most commonly investigated emotion in domestic animals. In
the current review we attempt to establish the level of repeatability and
validity found for fear tests used on cattle, pigs, sheep and goats, poultry and
horses. We focus the review on the three most common types of fear tests: the
arena test (open field), the novel object test, and the restraint test. For some
tests, e.g. tonic immobility in poultry, there is a good and broad literature on
factors that affect the outcome of the test, the validity of the test and its age
dependency. However, there are comparatively few of these well defined and
validated tests and what is especially missing for most tests is information on
the robustness, i.e., what aspects can be changed without affecting the validity
of the tests. The relative absence of standardized tests hampers the development
of applied ethology as a science.
PMID- 18046786
TI - Obesity, mortality, and bariatric surgery death rates.
PMID- 18046787
TI - Commentary: methods to increase response rates to postal questionnaires.
PMID- 18046788
TI - [A man with viral myocarditis].
PMID- 18046789
TI - [Time for specific diagnostics?].
PMID- 18046790
TI - [Mosquito nets save children's lives].
PMID- 18046791
TI - [Extracorporeal elimination].
PMID- 18046795
TI - And so we model: the ineffective use of mathematical models in ecological risk
assessments.
PMID- 18046796
TI - Design and application of a transparent and scalable weight-of-evidence
framework: an example from Wabamun Lake, Alberta, Canada.
AB - A weight-of-evidence (WOE) framework was developed to evaluate potential effects
on the aquatic ecosystem of Wabamun Lake (Alberta, Canada) associated with the
release of Bunker "C" oil after a train derailment. The wide variety of
stakeholders and interested regulatory agencies made it necessary to develop a
consistent and transparent approach to assessing ecological effects on multiple
ecosystem components within the lake with the use of a large number of lines of
evidence (LOEs). Consequently, a scalable WOE framework was necessary to
integrate the findings of 38 different LOEs. A priori and a posteriori weighting
factors were applied to each individual LOE, and a combination of numeric and
nonnumeric rating systems was used to integrate LOEs into an overall WOE
conclusion for 5 different ecosystem components. We provide guidance regarding
the development of a WOE framework and emphasize techniques that enhance the
application of best professional judgement during the WOE process.
PMID- 18046797
TI - Effect of sediment remediation on polychlorinated biphenyl concentrations in
tomatoes grown near New Bedford Harbor.
AB - Measurements of polychlorinated biphenyl (PCB) congener concentrations and
profiles from produce grown near New Bedford Harbor, Massachusetts, USA, before,
during, and after remediation of PCB-contaminated sediment are presented. Samples
of tomatoes collected from locations upwind and downwind relative to harbor
contamination are compared with the use of measurements of 47 individual PCB
congeners. The PCB concentration in the locally grown tomatoes, as expressed by
the sum of congeners, is highest during the period of harbor dredging and drops
to its lowest point after remediation, which included dredging and excavation.
The downwind location is characterized by higher concentrations of PCBs than the
upwind location in every time period. Principal component analysis is used to
distinguish both the effect of remediation over time and the effect of
cultivation location on the congener profiles. Evidence of the PCB congener
profile representing the contaminated harbor sediments is strongest during the
dredging period and in the downwind location. These results have important
implications for understanding human exposure via the food chain and highlight
the importance of considering exposure pathways related to atmospheric transport
during remediation of contaminated sediments.
PMID- 18046798
TI - Evaluating consistency of best professional judgment in the application of a
multiple lines of evidence sediment quality triad.
AB - The bioavailability of sediment-associated contaminants is poorly understood.
Often, a triad of chemical concentration measurements, laboratory sediment
toxicity tests, and benthic infaunal community condition is used to assess
whether contaminants are present at levels of ecological concern. Integration of
these 3 lines of evidence is typically based on best professional judgment by
experts; however, the level of consistency among expert approach and
interpretation has not been determined. In this study, we compared the
assessments of 6 experts who were independently provided data from 25 California
embayment sites and asked to rank the relative condition of each site from best
to worst. The experts were also asked to place each site into 1 of 6
predetermined categories of absolute condition. We provided no guidance regarding
assessment approach or interpretation of supplied data. The relative ranking of
the sites was highly correlated among the experts, with an average correlation
coefficient of 0.92. Although the experts' relative rankings were highly
correlated, the categorical assessments were much less consistent, with only 1
site out of 25 assigned to the same absolute condition category by all 6 experts.
Most of the observed categorical differences were small in magnitude and involved
the weighing of different lines of evidence in individual assessment approaches,
rather than interpretation of signals within a line of evidence. We attribute
categorical differences to the experts' use of individual best professional
judgment and consider these differences to be indicative of potential uncertainty
in the evaluation of sediment quality. The results of our study suggest that
specifying key aspects of the assessment approach a priori and aligning the
approach to the study objectives can reduce this uncertainty.
PMID- 18046799
TI - Incorporating results of avian toxicity tests into a model of annual reproductive
success.
AB - Modeling the effects of pesticide exposure on avian populations requires
knowledge of how the pesticide changes survival and fecundity rates for the
population. Although avian reproduction tests are the primary source of
information on reproductive effects in the pesticide risk assessment process,
current tests cannot provide a direct estimate of the effects of a pesticide on
fecundity rates. We present a mathematical model that integrates information on
specific types of effects from reproduction tests with information on avian life
history parameters, the timing of pesticide applications, and the temporal
pattern of pesticide exposure levels to estimate pesticide effects on annual
reproductive success. The model demonstration follows nesting success of females
in no-pesticide or pesticide-exposed populations through a breeding season to
estimate the mean number of successful broods per female. We demonstrate the
model by simulating populations of a songbird exposed to 1 of 2 hypothetical
pesticides during a breeding season. Finally, we discuss several issues for
improving the quantitative estimation of annual reproductive success.
PMID- 18046800
TI - Development and evaluation of an aquatic ecological risk assessment system
(KORECORisk) for the management of industrial complexes.
AB - KORea ECOlogical Risk assessment (KORECORisk) was developed to support decision
making for the ecological risk-based management of chemicals in industrial
complexes in South Korea. The system is based on a geographical information
system and consists of a release rate estimation module, an exposure estimation
module, and an ecological risk assessment module. For release rate and exposure
estimation, the chemical use data from the national chemical inventory database
and a dynamic multimedia fate model, respectively, were used. The ecological risk
was assessed by calculating the risk quotient. A case study was presented to
demonstrate an application of KORECORisk to setting a risk-based priority among
the 6 major industrial complexes or among the chemicals used in the individual
industrial complexes. Furthermore, a more detailed assessment was performed to
demonstrate and evaluate a potential use of KORECORisk for the management of
individual complexes. With 3 phthalates (1,2-benzenedicarboxylic acid dibutyl
ester; 1,2-benzenedicarboxylic acid dimethyl ester; and 1,2-dibenzenedicarboxylic
acid dioctyl ester) as model chemicals, KORECORisk provided prediction in time
and space that are of sufficient resolution required for the aquatic risk
assessment but with varying uncertainty. The uncertainty associated with the use
of KORECORisk appeared to vary widely (from <10 to 10(3)) with the chemical.
Within the range of the chemicals tested, the release rate estimation appeared to
introduce larger uncertainty than the exposure estimation. A more accurate
chemical use inventory would improve the accuracy of not only the chemical
release estimation but the exposure estimation module by reducing the influence
of the unidentified sources. The release estimation module should be modified to
provide temporal variation with a time resolution relevant to the exposure
duration for which toxic effects could occur.
PMID- 18046801
TI - Application of the biotic ligand model for regulatory purposes to selected rivers
in Argentina with extreme water-quality characteristics.
AB - The biotic ligand model (BLM) was used to assess copper (Cu) bioavailability,
toxicity, water-effect ratios (WER), and Cu site-specific water-quality criteria
(SSWQC) in the Matanza River and Pilcomayo River, Argentina, where anthropogenic
inputs and natural phenomena have led to high concentrations of chemical species
capable of reducing metal toxicity: Sodium, total hardness, alkalinity, dissolved
organic carbon, and suspended particulate matter (SPM), as well as other metals.
The purpose of this study was to evaluate the feasibility of developing Cu-SSWQC
from a modified scenario of the BLM-Monte-Carlo method model. The response of the
BLM model in these rivers, with water quality near its application boundary
conditions, was evaluated during the 2003 to 2004 hydrological cycle. Cu toxicity
tests were conducted with Daphnia magna as the test organism. The BLM (Version
ap08) toxicity estimates for D. magna were within a factor of 2 of the line of
perfect agreement with toxicity test results, although highly variable relevant
water-quality parameters showed that mean estimates were more than 2 times the
mean 50% effective concentration (EC50) derived from the corresponding toxicity
tests. Suspended particulate matter was an important sink for Cu added to
unfiltered water of the Pilcomayo River, but it also exerted some toxic effect.
Minimums WER, estimated with a modified scenario of the BLM-MONTE, ranged from
1.5 (Pilcomayo River, at Mision La Paz) up to 11 (Matanza River, at Route 3). The
corresponding Cu-SSWQC values were 30 and 105 microg/L, respectively.
PMID- 18046802
TI - Sediment quality assessment and dredged material management in Spain: Part I,
application of sediment quality guidelines in the Bay of Santander.
AB - Sediments are an essential component of aquatic ecosystems that must be assessed
and managed properly. The use of quantitative environmental quality standards
derived from consideration of sediment quality guidelines (SQGs) can be effective
as part of a tiered risk assessment approach. In Part I of this 2-part paper
addressing sediment quality assessment and dredged material management in Spain,
different SQG methods are used to evaluate sediment quality in the Bay of
Santander, located in the Cantabric Sea along the northern coast of Spain, and to
guide development of empirically derived SQGs for marine sediments. The results
of the study indicate a great heterogeneity of SQGs, both with regard to the
numeric values for a particular chemical and the number of substances for which
SQGs have been derived. The analysis highlights the scarce development of
empirical SQGs for priority substances identified in current European Union water
policy. Nonetheless, the application of SQGs makes it possible to classify
different zones of sediment quality in the Bay of Santander. Part II of this 2
part paper considers the environmental impacts of dredged material disposal.
Legislation and criteria used to regulate dredged material disposal at sea in
different European countries are reviewed, and action levels derived by different
countries were used to evaluate management of dredged sediments from Cadiz Bay,
located on the South Atlantic coast of Spain.
PMID- 18046803
TI - Sediment quality assessment and dredged material management in Spain: Part II,
analysis of action levels for dredged material management and application to the
Bay of Cadiz.
AB - When sediments are removed from aquatic bottoms, they turn into dredged material
that must be managed, taking into account its environmental impact. In Part II of
this 2-part paper addressing sediment quality assessment and dredged material
management in Spain, legislation and criteria used to regulate dredged material
disposal at sea in different European countries are reviewed, as are action
levels (ALs) derived by different countries used to evaluate management of
dredged sediments from Cadiz Bay located on the South Atlantic coast of Spain.
Comparison of ALs established for dredged material disposal by different
countries reveals orders of magnitude differences in the values established for
the same chemical. In Part I of this 2-part paper, review of different sediment
quality guideline (SQG) methods used to support sediment quality assessments
indicated a great heterogeneity of SQGs, both with regard to the numeric values
for a particular chemical and the number of substances for which SQGs have been
derived. The analysis highlighted the absence of SQGs for priority substances
identified in current European Union water policy. Here, in Part II, the ALs are
applied to dredged sediments from Cadiz Bay (South Atlantic coast of Spain),
evidencing that the heterogeneity of ALs implemented in the reviewed countries
could determine different management strategies. The application of other
measurements such as bioassays might offer information useful in identifying a
cost-effective management option in a decision-making framework, especially for
dredged material with intermediate chemical concentrations.
PMID- 18046804
TI - Technical issues affecting the implementation of US Environmental Protection
Agency's proposed fish tissue-based aquatic criterion for selenium.
AB - The US Environmental Protection Agency is developing a national water quality
criterion for selenium that is based on concentrations of the element in fish
tissue. Although this approach offers advantages over the current water-based
regulations, it also presents new challenges with respect to implementation. A
comprehensive protocol that answers the "what, where, and when" is essential with
the new tissue-based approach in order to ensure proper acquisition of data that
apply to the criterion. Dischargers will need to understand selenium transport,
cycling, and bioaccumulation in order to effectively monitor for the criterion
and, if necessary, develop site-specific standards. This paper discusses 11 key
issues that affect the implementation of a tissue-based criterion, ranging from
the selection of fish species to the importance of hydrological units in the
sampling design. It also outlines a strategy that incorporates both water column
and tissue-based approaches. A national generic safety-net water criterion could
be combined with a fish tissue-based criterion for site-specific implementation.
For the majority of waters nationwide, National Pollution Discharge Elimination
System permitting and other activities associated with the Clean Water Act could
continue without the increased expense of sampling and interpreting biological
materials. Dischargers would do biotic sampling intermittently (not a routine
monitoring burden) on fish tissue relative to the fish tissue criterion. Only
when the fish tissue criterion is exceeded would a full site-specific analysis
including development of intermedia translation factors be necessary.
PMID- 18046805
TI - Microplastic--an emerging contaminant of potential concern?
PMID- 18046806
TI - A call for scientific rigor in the development of critical body residues: a case
study.
PMID- 18046807
TI - Toxicogenomic assessment of the population level impacts of contaminants.
PMID- 18046808
TI - Assessments of cumulative ecological effects of agricultural stressors on aquatic
communities: an elaboration of the sediment quality triad.
PMID- 18046810
TI - Aids for implementing new AHA antibiotic prophylaxis guidelines.
PMID- 18046809
TI - Hospital and MDs form company for managing surgical services.
PMID- 18046811
TI - Weight loss. Keeping it off.
PMID- 18046812
TI - Planning ahead for sick days. Just when you're feeling your worst is when it's
most important to stay vigilant about your diabetes care.
PMID- 18046814
TI - Avoiding complications. Nuturing your "health bank".
PMID- 18046813
TI - Late summer recipes.
PMID- 18046815
TI - The pressure is on. Hypertension and diabetes.
PMID- 18046816
TI - For parents. When your child needs surgery.
PMID- 18046817
TI - Diabetes quiz. How much do you know about the effect of diet on cholesterol?
PMID- 18046818
TI - Supermarket smarts. Cooking oils.
PMID- 18046819
TI - Diabetes resources. Pump resources.
PMID- 18046820
TI - Thyroid disorders and diabetes. It is common for a person to be affected by both
thyroid disease and diabetes.
PMID- 18046821
TI - Diabetic peripheral neuropathy.
PMID- 18046822
TI - China's problem, the world's challenge.
PMID- 18046823
TI - A trimmer you. The right choices. How to keep those holiday pounds at bay.
PMID- 18046825
TI - The effect of diabetes. A snapshot of a crisis.
PMID- 18046824
TI - Time for yourself. Should you add massage to your regimen?
PMID- 18046826
TI - Powder days. Skiing is a lifelong passion for Jim Stokes.
PMID- 18046827
TI - A world of flavor.
PMID- 18046828
TI - The American revolution. Flavor takes charge in this healthy and delicious twist
on the traditional holiday meal.
PMID- 18046830
TI - Research profile. A tricky business. Control may be key to kidney transplant
success. Kathie Lynn Hermayer, MD, MS.
PMID- 18046829
TI - Brunch Italian style.
PMID- 18046831
TI - An historic turning point arrives for HIV therapy.
PMID- 18046832
TI - Shape-shifting: the art of drug pricing.
PMID- 18046833
TI - Update on experimental antiretrovirals.
PMID- 18046834
TI - Flu shots and the upcoming flu season.
PMID- 18046835
TI - Reyataz monotherapy study stopped.
PMID- 18046836
TI - Dutch study finds treatment interruptions safe for some.
PMID- 18046837
TI - Which bronchodilator in COPD?
PMID- 18046838
TI - ESBRA 2007. Abstracts of the 11th Congress of the European Society for Biomedical
Research on Alcoholism, 23-26 September, Berlin, Germany.
PMID- 18046839
TI - No cause for alarm.
PMID- 18046840
TI - Disability studies: the old and the new.
PMID- 18046841
TI - The immaculate ovum: Jonathan Edwards and the construction of the female body.
PMID- 18046842
TI - Abstracts of the 12th World Congress on Advances in Oncology and the 10
International Symposium on Molecular Medicine, 11-13 October 2007, Hersonissos,
Crete, Greece.
PMID- 18046843
TI - Abstracts of the 3rd Asian Chapter Meeting of the International Society for
Peritoneal Dialysis, November 22-24, 2007, Hiroshima, Japan.
PMID- 18046844
TI - Bibliography. Current world literature. Andrology, sexual dysfunction and
infertility.
PMID- 18046845
TI - Abstracts of the 1st Pan-American Congress of Atherosclerosis, August 9-11, 2007,
Sao Paulo, Brazil.
PMID- 18046846
TI - Mystical pregnancy and holy bleeding: visionary experience in Early Modern
Britain and America.
PMID- 18046847
TI - [A nine-year-old girl with a blue eye].
AB - We present a nine-year-old girl with fracture of the orbital floor and herniation
of soft tissue and extraocular musculature into the maxillary sinus. A marked
restriction of upward gaze was noted. Surgery was performed after two days.
Herniated tissue was released and the orbital floor reconstructed. One week later
she had normal eye motility. Orbital fractures of children are rare, but we
stress the importance of careful clinical examination and early surgery. Due to
the elasticity of the bone and lack of periorbital fat in children, extraocular
musculature is more prone to entrapment in the orbital floor. The most important
sign is limitation of gaze upwards. CT findings are of less importance. Early
surgical management within 24 - 48 hours will reduce the risk of necrosis and
fibrosis of extraocular musculature resulting in reduced eye motility.
PMID- 18046848
TI - [Facial fractures in children].
PMID- 18046849
TI - Sheets of a pleasant colour.
PMID- 18046850
TI - The temper thing.
AB - Examines the tempers and uses of anger by various U.S. presidents, including
Andrew Jackson, Theodore Roosevelt, Harry Truman, Richard Nixon, and Lyndon
Johnson.
PMID- 18046852
TI - [Abstracts of the French Radiology Meeting (JFR), 20-24 October 2007, Paris,
France].
PMID- 18046851
TI - [Abstracts of the 51st Annual Meeting of the Japanese Society for Medical
Mycology, November 9-10, 2007, Gifu, Japan].
PMID- 18046853
TI - Microscopy Conference 2007. Proceedings of the 33rd Conference of the German
Society of Electron Microscopy, September 2-7, 2007, Saarbrucken, Germany.
PMID- 18046854
TI - Weak legs: misbehavior before the enemy.
PMID- 18046855
TI - Inorganic arsenic in drinking water and bladder cancer: a meta-analysis for dose
response assessment.
PMID- 18046856
TI - International Zinc Nutrition Consultative Group (IZiNCG) technical document #1.
Assessment of the risk of zinc deficiency in populations and options for its
control.
PMID- 18046857
TI - The benefits of combined treatment with corticosteroids and long-acting beta
agonists.
PMID- 18046858
TI - The severity of airways obstruction as a determinant of treatment response in
COPD.
AB - Guidelines recommend that patients with COPD are stratified arbitrarily by
baseline severity (FEV1) to decide when to initiate combination treatment with a
long-acting beta2-agonist and an inhaled corticosteroid. Assessment of baseline
FEV1 as a continuous variable may provide a more reliable prediction of treatment
effects. Patients from a 1-year, parallel-group, randomized controlled trial
comparing 50 microg salmeterol (Sal), 500 microg fluticasone propionate (FP), the
combination (Sal/FP) and placebo, (bid), were categorized post hoc into FEV1 <
50% and FEV1 > or = 50% predicted subgroups (n = 949/513 respectively). Treatment
effects on clinical outcomes-- lung function, exacerbations, health status, diary
card symptoms, and adverse events--were investigated. Treatment responses based
on a pre-specified analysis explored treatment differences by severity as a
continuous variable. Lung function improved with active treatment irrespective of
FEV1; Sal/FP had greatest effect. This improvement appeared additive in milder
disease; synergistic in severe disease. Active therapy significantly reduced
exacerbation rate in patients with FEV1 < 50% predicted, not in milder disease.
Health status and breathlessness improved with Sal/FP irrespective of baseline
FEV1; adverse events were similar across subgroups. The spirometric response to
Sal/FP varied with baseline FEV1, and clinical benefits were not restricted to
patients with severe disease. These data have implications for COPD management
decisions, suggesting that arbitrary stratifications of baseline severity are not
necessarily indicative of treatment efficacy and that the benefits of assessing
baseline severity as a continuous variable should be assessed in future trials.
PMID- 18046859
TI - Changing the burden of COPD mortality.
AB - COPD is a major cause of mortality and morbidity worldwide with an estimated 2.75
million deaths in 2000 (fourth leading cause of death). In addition to the
considerable morbidity and mortality associated with COPD, this disease incurs
significant healthcare and societal costs. Current COPD guidelines acknowledge
that the following can improve COPD mortality: smoking cessation; long-term
oxygen therapy; and lung volume reduction surgery in small subsets of COPD
patients. To date, no randomized controlled trials have demonstrated an effect of
pharmacological treatment on mortality, although several observational studies
suggest that both long-acting bronchodilators and inhaled corticosteroids may
provide a survival benefit. The possibility that these treatments reduce
mortality is being investigated in ongoing large-scale clinical trials.
PMID- 18046860
TI - Salmeterol/fluticasone combination in the treatment of COPD.
AB - Clinical trials of a combination therapy of an inhaled corticosteroid,
fluticasone propionate (FP), with a long-acting beta2-agonist, salmeterol (Sal),
have demonstrated a greater improvement in lung function and in quality of life
measures after the combination compared with either component of alone. In a
subanalysis of the data of the TRISTAN study, Sal/FP reduced exacerbation rates
in COPD patients with a baseline FEV1 < 50% of predicted. A combination therapy
of budesonide and formoterol improved quality of life and FEV1, and reduced
exacerbations better than either component alone. In studies of FP or of Sal/FP
in COPD, there was a reduction in all-cause mortality by 25% relative to placebo.
Sal/FP has anti-inflammatory effects in COPD airways. FP inhibits markers of
systemic inflammation, and it is not known whether Sal/FP has an advantage over
FP alone. While long-acting beta2-agonists such as Sal can be recommended for
treatment of moderate COPD, addition of inhaled steroid therapy such as FP should
be considered in more severe disease.
PMID- 18046861
TI - Newer fluoroquinolones in the treatment of acute exacerbations of COPD.
AB - Acute exacerbations of COPD are a major cause of morbidity and mortality.
Bacteria are implicated in about half of all cases. The frequency of
exacerbations is related to decline in lung function and poorer quality of life.
25% of patients with COPD have bacterial colonization of the lower airways in
stable state whereas non-smokers without COPD have airways that are sterile. The
significance of the colonization is unclear, but there is emerging evidence that
it may be detrimental. Much of the data recommending antibiotic treatment are
based on findings more than 10 years old and do not take into account emerging
bacterial resistance. This article reviews these data and that from newer
antibiotic trials. It also reviews current antibiotic prescribing guidelines from
major respiratory societies around the world. Recent antibiotic trials have
compared fluoroquinolones with "standard" antibiotics and found, in the main,
longer exacerbation-free intervals and better bacterial eradication rates in
those treated with fluoroquinolones.
PMID- 18046862
TI - Use of Respimat Soft Mist inhaler in COPD patients.
AB - Events of the past decade have stimulated development of new drug formulations
and delivery devices that have improved the efficiency, ease of use, and
environmental impact of inhaled drug therapy. Respimat Soft Mist Inhaler is a
novel, multidose, propellant-free, hand-held, liquid inhaler that represents a
new category of inhaler devices. The aerosol cloud generated by Respimat contains
a higher fraction of fine particles than most pressurized metered dose inhalers
(pMDIs) and dry powder inhalers (DPIs), and the aerosol spray exits the inhaler
more slowly and for a longer duration than with pMDIs. This translates into
higher lung drug deposition and lower oropharyngeal deposition, making it
possible to give lower nominal doses of delivered drugs without lowering
efficacy. In clinical trials in patients with COPD, bronchodilator drugs
delivered from Respimat were equally effective at half of the dose delivered from
a pMDI. In one study of inhaler preference, Respimat was preferred over the pMDI
by patients with COPD and other obstructive lung diseases. Respimat is a valuable
addition to the range of inhaler devices available to the patient with COPD.
PMID- 18046863
TI - A meta-analysis on the efficacy of oral theophylline in patients with stable
COPD.
AB - BACKGROUND: Theophylline is a nonspecific inhibitor of phosphodiesterases that,
despite exerting bronchodilator and anti-inflammatory effects, is a third-line
therapy rarely used to treat chronic airflow limitation. We wished to evaluate
the efficacy of oral theophylline as measured by improvements in trough (pre
dose) or peak (post-dose) FEV1 and FVC in patients with clinically stable COPD.
DESIGN: Meta-analysis of randomized, placebo-controlled trials reported as of
June 2005 in which theophylline was orally administered to stable COPD patients
and the functional evaluations included pre- and post-theophylline values for
FEV1 and FVC. RESULTS: Atotal of 18 trials were included in the meta-analysis.
The weighted mean differences (WMD) with 95% confidence intervals (95% CI) for
improvement over placebo in trough FEV1 and FVC were 0.108L (0.053-0.163) and
0.186L (0.036-0.336), respectively, while peak FEV1 and FVC improved by 0.096L
(0.044-0.147) and 0.242L (0.11-0.374), respectively. CONCLUSIONS: Treatment with
oral theophylline improves both trough and peak FEV1 and FVC in clinically stable
COPD patients. These results support previously reported benefits of theophylline
in COPD.
PMID- 18046864
TI - Genotypes in matrix metalloproteinase 9 are a risk factor for COPD.
AB - A growing body of evidence indicates that matrix metalloproteinases (MMPs) play a
role in the pathogenesis of COPD. Therefore, we conducted a candidate gene
association study of 4 promoter polymorphisms that are known to modify expression
levels of the MMP-1, MMP-2, and MMP-9 genes and a Gln279Arg polymorphism in exon
6 of MMP-9 that modifies the substrate-binding region. We examined the
association of each variant and haplotypes in 385 male veterans with greater than
20 pack-years of cigarette smoking whose COPD status was characterized using
spirometry. The association of these polymorphisms was also examined with decline
of pulmonary function in a subset of participants. Only the 279Arg variant was
more common in participants with COPD and the homozygous variant was associated
with a 3-fold increased risk for COPD. In the haplotype analysis, the haplotype
comprising the 249Arg and the CA promoter polymorphism within the MMP-9 gene was
associated with risk, suggesting that either 279Arg or a linked variant on this
haplotype underlies the association. No association of this polymorphism was
found with decline in pulmonary function. These studies show that variants of the
MMP-9 gene are associated with COPD in this cohort of veterans.
PMID- 18046865
TI - Cost-effectiveness of smoking cessation and the implications for COPD.
AB - The cost burden of COPD is substantial for patients and families, payers, and
society as a whole. Smoking has been known for decades to be the leading cause of
the disease. Numerous studies have been completed to address the cost
effectiveness of programs created to aid smokers in their efforts to quit.
Because several assumptions must be made in order to conduct such a study, and
because differences in study design are numerous, comparison of data is
difficult. However, studies have consistently shown that regardless of the
perspective from which the study was completed, or the methods used to help
smokers abstain, the interventions are cost-effective. Although no study has been
conducted specifically to assess the cost-effectiveness of smoking cessation
interventions as they relate directly to patients with COPD, based on current
data it can be concluded that smoking cessation programs are cost-effective for
this population.
PMID- 18046867
TI - Cough and its importance in COPD.
AB - Patients with COPD most frequently complain of breathlessness and cough and these
are both increased during exacerbations. Studies have generally focused on
quality of life during end-stage disease, where breathlessness becomes dominant
and cough less important. There are very little data on the frequency and
severity of cough in COPD or its impact on quality of life at different stages of
disease. Little is known about the factors that influence objective cough counts
in COPD. Cough may be a marker for progressive disease in milder COPD patients
who continue to smoke, and it may be useful in case-finding for milder disease in
the community.The cough reflex sensitivity is heightened in COPD compared with
healthy volunteers and similar to that in subjects with asthma. The degree of
airflow obstruction does not predict cough reflex sensitivity or objective cough
counts, implying an independent process. Effective treatments for cough in COPD
have not yet been identified. Improved outcome measures of cough, a better
understanding of cough in the natural history of COPD, and its importance to
patients are needed.
PMID- 18046866
TI - Palliative management of refractory dyspnea in COPD.
AB - COPD is a progressive illness with worldwide impact. Patients invariably reach a
point at which they require palliative interventions. Dyspnea is the most
distressing symptom experienced by these patients; when not relieved by
traditional COPD management strategies it is termed "refractory dyspnea" and
palliative approaches are required. The focus of care shifts from prolonging
survival to reducing symptoms, increasing function, and improving quality of
life. Numerous pharmacological and non-pharmacological interventions can achieve
these goals, though evidence supporting their use is variable. This review
provides a summary of the options for the management of refractory dyspnea in
COPD, outlining currently available evidence and highlighting areas for further
investigation. Topics include oxygen, opioids, psychotropic drugs, inhaled
furosemide, Heliox, rehabilitation, nutrition, psychosocial support, breathing
techniques, and breathlessness clinics.
PMID- 18046868
TI - Depression in COPD--management and quality of life considerations.
AB - Depression is common in COPD patients. Around 40% are affected by severe
depressive symptoms or clinical depression. It is not easy to diagnose depression
in COPD patients because of overlapping symptoms between COPD and depression.
However, the six-item Hamilton Depression Subscale appears to be a useful
screening tool. Quality of life is strongly impaired in COPD patients and
patients' quality of life emerges to be more correlated with the presence of
depressive symptoms than with the severity of COPD. Nortriptyline and imipramine
are effective in the treatment of depression, but little is known about the
usefulness of newer antidepressants. In patients with milder depression,
pulmonary rehabilitation as well as cognitive-behavioral therapy are effective.
Little is known about the long-term outcome in COPD patients with co-morbid
depression. Preliminary data suggest that co-morbid depression may be an
independent protector for mortality.
PMID- 18046869
TI - Biological targets for therapeutic interventions in COPD: clinical potential.
AB - COPD is a widespread inflammatory respiratory disorder characterized by a
progressive, poorly reversible airflow limitation. Currently available therapies
are mostly based on those used to treat asthma. However, such compounds are not
able to effectively reduce the gradual functional deterioration, as well as the
ongoing airway and lung inflammation occurring in COPD patients. Therefore, there
is an urgent need to improve the efficacy of the existing drug classes and to
develop new treatments, targeting the main cellular and molecular mechanisms
underlying disease pathogenesis. These therapeutic strategies will be highlighted
in the present review.
PMID- 18046871
TI - On the evolution of anti-aging medicine.
PMID- 18046870
TI - Strategies for improving outcomes of COPD exacerbations.
AB - COPD is uniquely situated as a chronic disease at the beginning of the 21st
century; it is not only an established major cause of mortality and morbidity but
is increasing in prevalence despite current medical interventions. In addition
COPD is not a stable disease but its natural history is punctuated by periods of
acute deterioration or exacerbations. Exacerbations generate considerable
additional morbidity and mortality, and directly affect patients' quality of
life. However, despite significant advances in understanding and treating this
disease, exacerbations continue to be the major cause of COPD-associated
hospitalization, and provision for their management incurs considerable health
care costs. This review will consider the current management of COPD
exacerbations and how new clinical strategies may improve outcome of these
important clinical events.
PMID- 18046872
TI - Interventions to reduce the adverse psychosocial impact of driving cessation on
older adults.
AB - As a result of our aging population, the coming years will see increasing numbers
of older adults faced with the prospect of giving up driving due to poor health
or functional limitations. Driving cessation has been associated with negative
psychosocial outcomes for older adults including restricted mobility and
depression. While several studies report evaluations of interventions designed to
help older adults to drive safely for longer, there is a paucity of published
research concerned with the design or implementation of intervention programs
intended to reduce the negative consequences of driving cessation. This paper
reviews cognitive and educational interventions designed to promote older driver
safety, and discusses possible approaches to the design and implementation of
clinical interventions for older adults who have ceased driving. A broad
framework for adaptable interventions based on the theoretical tenets of social
cognitive theory, with an emphasis on planning for cessation, problem-solving and
the involvement of friends and family members is proposed.
PMID- 18046873
TI - Anti-aging therapy through fitness enhancement.
AB - Physical exercise is proposed as a highly effective means of treating and
preventing the main causes of morbidity and mortality--most of which are
associated with aging--in industrialized countries. Low physical fitness is an
important risk factor for cardiovascular and all-causes morbidity and mortality;
indeed, it is even a predictor of these problems. When properly measured, the
assessment of physical fitness can be a highly valuable indicator of health and
life expectancy and, therefore, should be performed routinely in the clinical
setting. Individually adapted training programs could be prescribed based on
fitness assessment results and an adequate knowledge of patient lifestyle and
daily physical activity. Such training programs would allow people to develop
their maximum physical potential, improve their physical and mental health, and
attenuate the negative consequences of aging.
PMID- 18046874
TI - Risk assessment for cancer surgery in elderly patients.
AB - Global growth of the elderly population is requiring healthcare providers to
cater for an expanding elderly cancer subpopulation. The aggression with which
cancer should be treated in this subpopulation is an ethical dilemma and is an
ongoing debate, as surgeons have feared increases in postoperative morbidity and
mortality. As a result elderly patients often receive suboptimal cancer
treatment. The need for standardization of cancer surgery is well recognized
despite the difficulties in view of heterogeneity of the group. In this article,
epidemiological changes, tumor biology specific to elderly cancer are visited,
operative risk assessment tools are discussed, and interim results of ongoing
multinational investigation ie, PACE (Preoperative Assessment of Cancer Elderly)
revealed.
PMID- 18046875
TI - Vascular dementia: prevention and treatment.
AB - BACKGROUND: Vascular dementia (VaD) is the most common cause of dementia in the
elderly, second only to Alzheimer's disease (AD). Between 1% and 4% of people of
65 years of age suffer from VaD and the prevalence appears to double every 5-10
years after the age of 65. SUMMARY: Prevention aims to reduce the disease by
eliminating its cause or main risk factors, particularly hypertension as well as
diabetes mellitus, atherosclerosis, coronary artery disease, smoking, lipid
abnormalities, and hyperhomocystinemia. Initial studies of several agents for
symptomatic treatment were disappointing. However, there is growing evidence for
cholinergic involvement in VaD and recent studies with cholinesterase inhibitors
have shown improvement in cognitive, global function, and activities of daily
living as compared with placebo and have been well tolerated. CONCLUSION: VaD is
a common condition and its prevalence is likely to increase. As physicians we
need to be diligent with regards to recognition of risk factors and vigorous
intervention. Promising results have been seen in several clinical trials of
cholinesterase inhibitors and no safety of tolerability issues have been noted.
PMID- 18046876
TI - Anecortave acetate in the treatment of age-related macular degeneration.
AB - RETAANE 15mg (anecortave acetate suspension) is under investigation to treat
exudative age-related macular degeneration (AMD), the single largest cause of
blindness in the Western world, affecting over 15 million people in the USA.
RETAANE suspension is a unique synthetic cortisene and has antiangiogenic
properties that were established in multiple experimental models of angiogenesis.
The molecule acts at multiple sites of the angiogenic cascade. Clinical trials in
patients with exudative AMD have demonstrated the excellent safety record of both
the drug anecortave acetate and the posterior juxtascleral depot (PJD)
administration procedure. A pivotal study comparing RETAANE suspension with
placebo showed a significantly higher chance of maintaining vision in the
treatment (73%) as compared with placebo (47%). Another study compared RETAANE
suspension with Visudyne photodynamic therapy, revealing no statistically
significant differences between the two treatments over 24 months. AMD is a multi
faceted disease and therefore a molecule such as RETAANE suspension with a unique
mechanism of action, demonstrated clinical efficacy, and retreatment every six
months is an important potential treatment option which should be further
investigated both as a monotherapy or in combination with other treatment
strategies.
PMID- 18046877
TI - Citicoline (Cognizin) in the treatment of cognitive impairment.
AB - Pharmacological treatment of cerebrovascular disorders was introduced at the
beginning of the 20th Century. Since then, a multitude of studies have focused on
the development of a consensus for a well defined taxonomy of these disorders and
on the identification of specific patterns of cognitive deficits associated with
them, but with no clear consensus. Nevertheless, citicoline has proved to be a
valid treatment in patients with a cerebrovascular pathogenesis for memory
disorders. A metanalysis performed on the entire database available from the
clinical studies performed with this compound confirms the experimental evidence
from the animal studies which have repeatedly described the multiple biological
actions of citicoline in restoring both the cell lipid structures and some
neurotransmitter functions.
PMID- 18046878
TI - Effect of aging on respiratory system physiology and immunology.
AB - With the looming expansion of the elderly population of the US, a thorough
understanding of "normal" aging-related changes on the respiratory system is
paramount. The respiratory system undergoes various anatomical, physiological and
immunological changes with age. The structural changes include chest wall and
thoracic spine deformities which impairs the total respiratory system compliance
leading to increase work of breathing. The lung parenchyma loses its supporting
structure causing dilation of air spaces: "senile emphysema". Respiratory muscle
strength decreases with age and can impair effective cough, which is important
for airway clearance. The lung matures by age 20-25 years, and thereafter aging
is associated with progressive decline in lung function. The alveolar dead space
increases with age, affecting arterial oxygen without impairing the carbon
dioxide elimination. The airways receptors undergo functional changes with age
and are less likely to respond to drugs used in younger counterparts to treat the
same disorders. Older adults have decreased sensation of dyspnea and diminished
ventilatory response to hypoxia and hypercapnia, making them more vulnerable to
ventilatory failure during high demand states (ie, heart failure, pneumonia, etc)
and possible poor outcomes.
PMID- 18046879
TI - Orthomolecular medicine: the therapeutic use of dietary supplements for anti
aging.
AB - Dietary supplements at high doses as part of medical therapy have been
controversial, but the evidence suggests that they play a significant role in
prevention and treatment of diseases as well as protection from accelerated aging
that results from oxygen free-radical damage, inflammation, and glycation. This
literature review examines several supplements that have documented roles in
medical therapy, including vitamins C and E, coenzyme Q10, alpha-lipoic acid,
chromium, L-carnitine, and quercetin. The evidence shows benefits in diabetes,
cardiovascular disease, hypertension, congestive heart failure, age-related
deterioration of brain function and vision, and immune function, as well as other
age-related health problems.
PMID- 18046880
TI - A five state dissemination of a community-based disability prevention program for
older adults.
AB - OBJECTIVE: To describe challenges in disseminating the Health Enhancement Program
(HEP), a community-based disability prevention program for community dwelling
elders, and to examine program effectiveness in geographically dispersed sites.
METHODS: Within-group, pre-test-post-test comparisons of disability risk factors,
health and functional status, and hospitalizations for 115 participants
completing one year in HEP, and primary care provider awareness and perceptions
of the program. RESULTS: Most (77%) participants were women, with an average age
of 73 years and an average of 3.5 chronic conditions. At one-year follow-up,
compared with enrollment, fewer participants were depressed (8.8% vs 15.9%),
physically inactive (15.8% vs 38.6%), at high nutritional risk (24.3% vs 44.1%),
or experiencing restricted activity days (35% vs 48%). Severity scores on most
measures also improved significantly. The proportion hospitalized was unchanged
from the year prior to HEP, although risk factors predicted an increase in
hospitalizations as for the control group in the randomized trial. CONCLUSIONS:
HEP reduced participants' disability risk factors. Sites varied on numbers
enrolled and time to implement the program, likely due to differing referral
bases, degree of physician awareness of HEP, and site readiness. However, the
benefits of HEP participation were comparable with those reported previously.
PMID- 18046881
TI - Body mass index and change in blood pressure over a 7-year period in older
Mexican Americans.
AB - Obesity and hypertension are major public health concerns in the US. We examined
the relationship between body mass index (BMI) and blood pressure in older
Mexican Americans using data from the Hispanic Established Population for the
Epidemiological Study of the Elderly (EPESE), a longitudinal study of Mexican
Americans aged 65 and over residing in the southwestern US. The study sample was
2404 older Mexican American adults with a mean age of 72.6 years of age at
baseline (1993-4). Both systolic and diastolic blood pressures were higher in
subjects with high BMI categories. The rate of change in systolic blood pressure
and diastolic blood pressure were -0.11 mm Hg and -0.32 mm Hg per year over a 7
year period, respectively. The rate of decline in systolic and diastolic blood
pressure over a 7-year period was greater in subjects with BMI categories of 25-
< 30 kg/m2 and 30- < 35 kg/m2 as compared with those subjects with in the lowest
and in the highest BMI categories. Hypertension is one of the most prevalent
medical conditions affecting older adults. Understanding possible modifiable risk
factors that may play a role in the management of hypertension will be
beneficial.
PMID- 18046882
TI - BMI and low bone mass in an elderly male nursing home population.
AB - INTRODUCTION: Little is known about osteoporosis in institutionalized older
adults. Risk factors such as low body mass index (BMI) have been investigated in
female populations, but remain understudied in men. The objective of this study
was to examine characteristics of older men residing in a nursing home who
received bone mineral density evaluations. METHODS: 57 male Miami Veterans
Affairs Medical Center (VAMC) nursing home residents were screened for
osteoporosis using a peripheral dual X-ray (pDXA) technique. T-scores were
categorized into three groups: normal (0 > -1); osteopenic (-1 to -2.49);
osteoporotic (< -2.5). RESULTS: Average age was 76.2 years (standard deviation =
11.5; range: 48-100). T-scores indicated that 37.3% of the population was normal,
35.6% osteopenic, and 27.1% osteoporotic. 35.6% of patients had normal BMIs, 3.4%
were underweight, 47.5% were overweight, and 13.6% were considered obese. There
was a high prevalence of overweight and obese individuals (61.1%) in the
osteopenic and osteoporotic groups. CONCLUSION: As expected, there was a high
prevalence of low bone mass in our population (62%). However, overweight and
obese men were more likely to have osteoporosis and osteopenia, contrary to
literature and clinical knowledge. This finding may be partially explained by the
prevalence of sedentary lifestyle and relative lack of weight-bearing activity in
this group of men.
PMID- 18046883
TI - Are hypertensive elderly patients treated differently?
AB - OBJECTIVES: 1. To determine if there are differences in the classes of
antihypertensive agents prescribed for the elderly population as compared with
younger patients. 2. To compare patterns of antihypertensive therapy with
established national guidelines. DESIGN: National Ambulatory Medical Care Survey
(NAMCS) database analysis from 1995-2000. SETTING: Multiple outpatient clinic
settings in the US. PARTICIPANTS: Primary care and sub-specialty physicians and
their patients. MEASUREMENTS: 332510280 outpatient visits with an ICD-9 code
corresponding to a diagnosis of hypertension were analyzed. The class(es) of
antihypertensive medications that patients were already taking and/or those added
in that visit were noted. Demographics of the patients were also analyzed.
RESULTS: There was a statistically significant association between the
prescription of diuretics, calcium-channel blockers (CCBs), alpha-1-blockers
(A1Bs), alpha-2 agonists (A2Ags) and age > or = 65 years. CONCLUSION: Patients
aged 65 and over were prescribed different types of medications than those under
age 65. Increased usage of diuretics and CCBs were in accordance with evidence
based guidelines for this group. A1Bs were likely used more due to co-morbid
benign prostatic hyperplasia (BPH), but this is not certain. The increased
prescription of A2Ags likely reflects long-term usage of these medications, which
nonetheless may be hazardous due to their potential for causing increased
cognitive dysfunction in the aged.
PMID- 18046884
TI - Effectiveness of two Arthritis Foundation programs: Walk With Ease, and YOU Can
Break the Pain Cycle.
AB - OBJECTIVE: To evaluate the effectiveness of two Arthritis Foundation programs:
Walk With Ease (WWE) and YOU Can Break The Pain Cycle (PC). DESIGN: Quasi
experimental, repeated measures design. Retested at six weeks and four months.
SETTING: Community based intervention. PARTICIPANTS: Volunteer sample of 163
adults with arthritis recruited through mailings, newspapers, and flyers.
INTERVENTIONS: Subjects participated in a 90 minute seminar (PC, Group A), a six
week walking program (WWE, Group B), or both programs (Group C). MAIN OUTCOME
MEASURES: Survey assessment of arthritis knowledge, general health, self
management activities, confidence, physical abilities, depression, health
distress, and how arthritis affects their life. A Squat Test, a Six Minute Walk
test, and a Timed Functional Walk Test were also administered. RESULTS: Subjects
in Group B were more confident, less depressed, had less health distress, and
less pain than subjects in Group A. Scores of Group C were between Group A and B
scores. Differences in groups over time indicated that the WWE resulted in
increased confidence, physical abilities, time spent in self-management
activities and decreased pain and fatigue. All groups increased in walking
endurance at six weeks, and increased in health distress at four months.
CONCLUSION: Subjects in different programs differed on impact of arthritis. These
programs provide effective arthritis management opportunities.
PMID- 18046885
TI - The big picture and the little picture.
PMID- 18046886
TI - The role for N-acetylcysteine in the management of COPD.
AB - Oxidative stress has been implicated in the pathogenesis and progression of COPD.
Both reactive oxidant species from inhaled cigarette smoke and those endogenously
formed by inflammatory cells constitute an increased intrapulmonary oxidant
burden. Structural changes to essential components of the lung are caused by
oxidative stress, contributing to irreversible damage of both parenchyma and
airway walls. The antioxidant N-acetylcysteine (NAC), a glutathione precursor,
has been applied in these patients to reduce symptoms, exacerbations, and the
accelerated lung function decline. This article reviews the available
experimental and clinical data on the antioxidative effects of NAC in COPD, with
emphasis on the role of exhaled biomarkers.
PMID- 18046887
TI - Tiotropium bromide.
AB - Therapy with bronchodilators forms the pharmacologic foundation of the treatment
of patients with COPD. Bronchodilators can significantly lessen dyspnea, increase
airflow, improve quality of life, and enhance exercise performance. While
bronchodilators decrease airway resistance and lessen dynamic hyperinflation in
patients with COPD, they have not been shown to alter the rate of decline in FEV1
over time, or improve patient survival. Fairly recently, a long-acting, once
daily anticholinergic medication, tiotropium bromide, has been developed which
may improve symptom management in COPD patients. This paper reviews
anticholinergic pharmacologic therapy for patients with COPD focusing on
tiotropium bromide, and discusses treatment strategies based on disease stage. It
is important to recognize that while bronchodilators improve symptoms, a
multimodality treatment approach including respiratory and rehabilitative
therapy, nutrition services, psychosocial counseling, and surgical care, is often
necessary for the best possible care of patients with COPD.
PMID- 18046888
TI - Budesonide-formoterol (inhalation powder) in the treatment of COPD.
AB - The budesonide-formoterol dry powder inhaler (Symbicort Turbuhaler 160/ 4.5
640/18 microg/day) contains the long-acting beta2-adrenoreceptor agonist
formoterol and the inhaled corticosteroid budesonide. Two large, 12-month trials
examined the effect of budesonide-formoterol 160/4.5 microg twice daily in COPD
patients who met these criteria. The studies were identical, except one in which
the patients had received oral prednisolone 30 mg/ day and had inhaled formoterol
4.5 microg twice daily for 2 weeks before randomization. In terms of the FEV1,
budesonide-formoterol produced an effect greater than that of both budesonide
alone and formoterol alone reported in previous studies. The combination was
generally more effective than either of the components in terms of peak
expiratory flow, symptoms, and exacerbations. These advantages of the combination
over those of either budesonide alone or formoterol alone were quite consistent.
Improving lung function and decreasing symptoms significantly, budesonide
formoterol combination therapy provides significant clinical improvements in
COPD, despite the limited reversibility of impaired lung function in the disease.
PMID- 18046889
TI - Role of mucolytics in the management of COPD.
AB - There is, to date, no medical therapy that modifies the decline in lung function
that occurs in COPD. As the disease becomes more severe, exacerbations of COPD
become increasingly common, affecting patient quality of life and increasing
health care costs. Mucolytic agents, through their actions on inflammatory and
oxidative pathways, have potential benefits in COPD. This paper reviews the
randomized controlled trial (RCT) evidence for the effectiveness of at least 2
months of daily therapy with oral mucolytics in COPD. Based on evidence from 26
RCTs, mucolytics reduce exacerbations by up to 0.8 exacerbations per year, with a
greater effect in patients with more severe COPD. This effect appears to be of a
similar magnitude to the reduction in exacerbations seen with tiotropium and
inhaled corticosteroids (ICS), but RCTs that compared the agents would be
required to confirm this. Mucolytics do not affect the rate of lung function
decline, but they do not have any significant adverse effects. Mucolytic
treatment should be considered in: patients with more severe COPD who have
frequent or prolonged exacerbations; those who are repeatedly admitted to
hospital; or in those patients with frequent exacerbations who are unable to take
tiotropium or ICS.
PMID- 18046890
TI - Current status of noninvasive ventilation in stable COPD patients.
AB - Noninvasive ventilation (NIV) has been one of the major advances in respiratory
medicine in the last decade. NIV improves quality of life, prolongs survival, and
improves gas exchange and sleep quality in restrictive patients, but evidence
available now does not allow us to establish clear criteria for prescribing NIV
in patients with chronic respiratory failure due to COPD. On the basis of the
available studies, NIV should not be used as a treatment of choice for all
patients with COPD, even when disease is severe. However, there is more evidence
that NIV has an important effect in these patients. In fact, a selected group of
patients may well benefit from domiciliary mechanical ventilation, and we need to
be able to identify who they are. Moreover, NIV can be a new strategy to improve
exercise tolerance in COPD patients.
PMID- 18046892
TI - Alpha1-antitrypsin deficiency: current perspective on research, diagnosis, and
management.
AB - The Alpha One International Registry (AIR), a multinational research program
focused on alpha1-antitrypsin (AAT) deficiency, was formed in response to a World
Health Organization recommendation. Each of the nearly 20 participating countries
maintains a national registry of patients with AAT deficiency and contributes to
an international database located in Malmo, Sweden. This database is designed to
increase understanding of AAT deficiency. Additionally, AIR members are engaged
in active, wide-ranging investigations to improve the diagnosis, monitoring, and
treatment of the disease and meet biennially to exchange views and research
findings. The fourth biennial meeting was held in Copenhagen, Denmark, on 2-3
June 2005. This review covers the wide range of AAT deficiency-related topics
that were addressed encompassing advances in genetic characterization, risk
factor identification, clinical epidemiology, inflammatory and signalling
processes, therapeutic advances, and lung imaging techniques.
PMID- 18046891
TI - Emerging role of MAP kinase pathways as therapeutic targets in COPD.
AB - Studies examining the cellular mechanisms of inflammation and protease production
in the lung tissue and airways of COPD patients have shed light on the important
role of kinase-based signaling cascades. These pathways can be activated by
environmental stimuli such as tobacco smoke, and by endogenous signals such as
cytokines, growth factors, and inflammation-derived oxidants. The three most
widely characterized cascades are those directed by the classical mitogen
activated protein (MAP) kinase (ERK1/2), stress activated protein kinase/c-Jun N
terminal protein kinase, and p38 enzymes. These phosphorylation cascades transmit
and amplify extracellular, receptor-mediated signals through the cytoplasm of the
cell to activate nuclear transcription factors which bind and induce expression
of target genes. The result is tight control of diverse cellular events, and
rapid responses to external stimuli. However, recent research suggests that
constitutive or aberrant activation of MAP kinases contributes to several COPD
associated phenotypes, including mucus overproduction and secretion,
inflammation, cytokine expression, apoptosis, T cell activation, matrix
metalloproteinase production, and fibrosis. This review explores the biological
functions of the MAP kinase pathways in the pathogenesis of COPD, their
activation by cigarette smoke, and discusses the potential role of MAP kinase
inhibitors in COPD therapy.
PMID- 18046893
TI - Apoptotic mechanisms in the pathogenesis of COPD.
AB - COPD is a leading cause of morbidity and mortality, characterized by a chronic
abnormal inflammatory response to noxious agents. Apoptosis is a physiologic
process, critical to cellular homeostasis, in which cell death follows a
programmed sequence of events. Apoptosis has been recognized to play an important
role in clinical and experimental models of lung diseases. Abnormal apoptotic
events in smokers' and in emphysematous lungs have been shown in epithelial and
endotheliallung cells, neutrophils, lymphocytes, and myocytes. Many factors
associated with COPD, including cigarette smoke, have the potential to cause
apoptosis of alveolar epithelial cells, the main sites of vascular endothelial
growth factor (VEGF) production. The decreased expression of VEGF, a known
survival factor for endothelial cells, and its receptor, results in lung septal
endothelial cell death, leading perhaps to the emphysema observed in COPD. In
smokers who develop COPD there is an activation of adaptive immunity, with an
infiltration of CD4+ and, especially, CD8 + cells. CD8 + cells are cytotoxic to
epithelial cells through the release of granzymes and perforin, which can further
induce apoptosis of alveolar cells. Moreover, any reduction in neutrophil
apoptosis or dysregulation of macrophage uptake of apoptotic neutrophils could
lead to chronic inflammation and tissue injury. Increased rates of T-cell
apoptosis may lead to a defective immune response to infective organisms,
contributing to the high frequency of infections seen in COPD. Increased
apoptosis of skeletal muscle could be responsible for the skeletal muscle
atrophy, the main cause of unexplained weight loss in patients with COPD. This
paper is a review of the current knowledge on the apoptotic pathways involved in
COPD pathogenesis and their interaction with other known contributing factors.
PMID- 18046894
TI - Oxidative and nitrosative stress in the diaphragm of patients with COPD.
AB - COPD is associated with an increased load on the diaphragm. Since chronic muscle
loading results in changes in antioxidant capacity and formation of reactive
oxygen and reactive nitrogen species, we hypothesized that COPD has a similar
effect on the diaphragm, which is related to the severity of COPD. Catalase
activity was determined spectrophotometrically. Levels of 4-hydroxy-2-nonenal
(HNE)-protein adducts and 3-nitrotyrosine (NT) formation were measured using
western blotting. Levels of malondialdehyde (MDA) were assessed by high
performance liquid chromatography. We found that catalase activity was
approximately 89% higher in the diaphragm of severe COPD patients (FEV1 37+/-5%
predicted) compared with non-COPD patients. MDA levels, a marker for lipid
peroxidation, were significantly lower in the diaphragm of COPD patients compared
with non-COPD patients, whereas the level of HNE-protein adducts was equal in
both groups. NT formation was not different between groups. However, increasing
hyperinflation and NT formation were inversely correlated. These results indicate
that in COPD the diaphragm adapts to a higher work load by increasing catalase
activity, resulting in a reduction in oxidative damage to lipids and tyrosine
nitration of proteins.
PMID- 18046895
TI - Physiological associations of computerized tomography lung density: a factor
analysis.
AB - BACKGROUND: Objective quantification of emphysema using computerized tomography
(CT) density measurements is rapidly gaining wide acceptance as an in vivo
measurement tool. However, some studies have suggested that abnormal lung
function in the absence of emphysema can affect lung density, and the role of
such measurements in identifying and monitoring the progression of emphysema is
not clear. OBJECTIVE: To clarify the relationship between lung density
measurements and pulmonary function. METHODS: CT measurements of the proportion
of lung occupied by low density tissue (as percentage of lung area below
predetermined Hounsfield unit [HU] thresholds) were obtained in a large random
population (n = 739) and the association with detailed pulmonary function tests
studied using factor analysis. RESULTS: Density measurements showed a greater
association with measures of hyperinflation and airflow obstruction than measures
of gas transfer (correlation coefficient, high resolution scan, -950HU threshold
vs FEV1/FVC, RV, and DLCO/VA of -0.39, 0.22, and -0.15 respectively). The
strongest lung density factor coefficients of 0.51 (standard resolution scan, -
950 HU threshold) and 0.46 (high resolution scan, - 910 HU threshold) were seen
with factors predominantly consisting of measures of airflow obstruction and
hyperinflation. Most variation in lung density was not accounted for by lung
function measurements (communality 0.21-0.34). CONCLUSION: Lung density
measurements associate most strongly with measures of airway disease that are not
specific to emphysema.
PMID- 18046896
TI - Local lung responses following endobronchial elastase and lipopolysaccharide
instillation in sheep.
AB - Chronic lipopolysaccharide (LPS) exposure may contribute to the pathogenesis of a
number of lung diseases including COPD and emphysema. We sought to develop a
large-animal model of emphysema using repeated LPS administration into sheep lung
segments. An experimental protocol was designed to facilitate comparisons with
elastase-treated and control segments within the same lung of individual sheep.
Histopathologic evaluation of segments treated with LPS demonstrated low-grade
inflammation characterized by an increase in the number of intra-alveolar
macrophages and lymphocytes. Treated segments demonstrated a significant
reduction in airspace surface area (ASA), an increase in percent disrupted
alveolar attachments and the distance between normal alveolar attachments, and a
reduction in the number of normal alveolar attachments surrounding nonrespiratory
bronchioles. Coefficient of variation of individual ASA measurements in elastase
treated segments was indicative of a heterogeneous parenchymal response, in
contrast to that associated with chronic LPS treatment. Our results demonstrate
that chronic LPS treatment of individual lung segments in sheep induces
microscopic emphysema qualitatively and quantitatively consistent with both
accepted pathologic definitions of this condition and with that produced by
airway instillation of elastolytic enzymes. Development of this phenotype is
associated with evidence of downregulated activation of transforming growth
factor beta.
PMID- 18046897
TI - Functional results of unilateral lung volume reduction surgery in alpha1
antitrypsin deficient patients.
AB - Lung volume reduction surgery (LVRS) has been shown to improve lung function and
exercise tolerance in patients with severe emphysema. Some predictors of poor
outcome have been described but the role of alpha1-antitrypsin (alpha1-AT)
deficiency is still not well known. The aim of this study was to analyze the
results of unilateral LVRS in our center according to the alpha1-AT status. The
results of LVRS in 17 deficient patients and 35 nondeficient patients were
analyzed at 3-6 months and 1 year after surgery. Compared with baseline, a
significant improvement of FEV1, partial pressure in arterial blood (PaO2),
dyspnea score and walking distance was observed in the two groups at 3-6 months
after surgery and the studied parameters remained significantly improved at 1
year in the nondeficient group. By contrast, PaO2 and walking distance returned
towards baseline in the deficient group at 1 year whereas improvement of FEV1 and
dyspnea score was persistent. Mean values of FEV, at baseline, 3-6 months, and 1
year were 22 +/- 6%, 29 +/- 11%, and 26 +/- 9% and 28 +/- 12%, 38 +/- 17%, and 40
+/- 17% predicted in the deficient group and in the non-deficient group,
respectively. In conclusion, the functional benefit is short-lasting in alpha1-AT
deficient patients after unilateral LVRS.
PMID- 18046898
TI - The history of COPD.
AB - The evolution of knowledge concerning COPD and its components--emphysema, chronic
bronchitis, and asthmatic bronchitis--covers 200 years. The stethoscope and
spirometer became important early tools in diagnosis and assessment. Spirometry
remains the most effective means of identification and assessment of the course
of COPD and responses to therapy, and is grossly underused for this purpose.
Knowledge of the pathogenesis, course and prognosis, and new approaches to
therapy have dramatically improved our understanding of this important clinical
entity. Smoking cessation improves the early course of disease. Long-term oxygen
improves the length and quality of life in selected patients with hypoxemia.
Surgery benefits a select few. Today, COPD is a steadily growing global
healthcare problem, with increasing morbidity and mortality. Early identification
and prevention, and treatment of emerging stages of disease through smoking
cessation and a growing number of bronchoactive drugs promises to change the
outcome.
PMID- 18046899
TI - Antioxidant therapies in COPD.
AB - Oxidative stress is an important feature in the pathogenesis of COPD. Targeting
oxidative stress with antioxidants or boosting the endogenous levels of
antioxidants is likely to be beneficial in the treatment of COPD. Antioxidant
agents such as thiol molecules (glutathione and mucolytic drugs, such as N-acetyl
L-cysteine and N-acystelyn), dietary polyphenols (curcumin, resveratrol, green
tea, catechins/quercetin), erdosteine, and carbocysteine lysine salt, all have
been reported to control nuclear factor-kappaB (NF-kappaB) activation, regulation
of glutathione biosynthesis genes, chromatin remodeling, and hence inflammatory
gene expression. Specific spin traps such as alpha-phenyl-N-tert-butyl nitrone, a
catalytic antioxidant (ECSOD mimetic), porphyrins (AEOL 10150 and AEOL 10113),
and a superoxide dismutase mimetic M40419 have also been reported to inhibit
cigarette smoke-induced inflammatory responses in vivo. Since a variety of
oxidants, free radicals, and aldehydes are implicated in the pathogenesis of
COPD, it is possible that therapeutic administration of multiple antioxidants
will be effective in the treatment of COPD. Various approaches to enhance lung
antioxidant capacity and clinical trials of antioxidant compounds in COPD are
discussed.
PMID- 18046900
TI - Akt in the pathogenesis of COPD.
AB - In this review we consider the therapeutic potential of targeting Akt for the
treatment of COPD. Akt is a serine/threonine protein kinase that functions as a
signaling intermediate linked to multiple signaling programs involved in
survival, inflammation, and growth. Akt is closely associated with key membrane
bound receptors and represents a convergent integration point for multiple
stimuli implicated in COPD pathogenesis. Persistent activation of Akt secondary
to somatic mutations in regulatory oncogenes, such as PTEN, may explain why
inflammation in COPD does not resolve when smoking is ceased. Akt is also
implicated in the systemic manifestations of COPD such as skeletal muscle wasting
and metabolic disturbances. Furthermore, targeting Akt may provide a useful means
of limiting the severity and duration of disease exacerbations in COPD. As such,
Akt represents a particularly attractive therapeutic target for the treatment of
COPD. Interestingly, current knowledge suggests that both inhibitors and
activators of Akt may be useful for treating different clinical subpopulations of
COPD patients.
PMID- 18046901
TI - Eosinophilic airway inflammation in COPD.
AB - Chronic obstructive pulmonary disease is a common condition and a major cause of
mortality. COPD is characterized by irreversible airflow obstruction. The
physiological abnormalities observed in COPD are due to a combination of
emphysema and obliteration of the small airways in association with airway
inflammation. The predominant cells involved in this inflammatory response are
CD8+ lymphocytes, neutrophils, and macrophages. Although eosinophilic airway
inflammation is usually considered a feature of asthma, it has been demonstrated
in large and small airway tissue samples and in 20%-40% of induced sputum samples
from patients with stable COPD. This airway eosinophilia is increased in
exacerbations. Thus, modifying eosinophilic inflammation may be a potential
therapeutic target in COPD. Eosinophilic airway inflammation is resistant to
inhaled corticosteroid therapy, but does respond to systemic corticosteroid
therapy, and the degree of response is related to the intensity of the
eosinophilic inflammation. In COPD, targeting treatment to normalize the sputum
eosinophilia reduced the number of hospital admissions. Whether controlling
eosinophilic inflammation in COPD patients with an airway eosinophilia will
modify disease progression and possibly alter mortality is unknown, but warrants
further investigation.
PMID- 18046903
TI - Depression in the patient with COPD.
AB - This paper explores the recent literature surrounding comorbid depression and
COPD. The literature reveals a high prevalence of depression in patients with
COPD and some evidence that the depression is a result of the disease. The
literature highlights the negative impact of depression on quality of life and a
possible impact on mortality. Depression also negatively impacts on compliance
and smoking cessation. Treatment of depression in COPD, particularly by cognitive
behavioral therapy, has positive impact on quality of life. Tricyclic
antidepressants have a positive impact on mood and COPD, but side effects limit
their use. The advent of the new antidepressants may improve acceptability and
outcomes, but the research is yet to be undertaken. Physical rehabilitation may
have a positive impact on mood. This paper highlights the difficulty in screening
for depression in patients with COPD due to the overlap of symptoms between the
two diseases. Despite the difficulties, it is important to recognize and treat
depression in patients with COPD because of the significant likelihood of
improvement in quality of life.
PMID- 18046902
TI - Clinical implications of airway hyperresponsiveness in COPD.
AB - COPD represents one of the leading causes of mortality in the general population.
This study aimed at evaluating the relationship between airway
hyperresponsiveness (AHR) and COPD and its relevance for clinical practice. We
performed a MEDLINE search that yielded a total of 1919 articles. Eligible
studies were defined as articles that addressed specific aspects of AHR in COPD,
such as prevalence, pathogenesis, or prognosis. AHR appears to be present in at
least one out of two individuals with COPD. The occurrence of AHR in COPD is
influenced by multiple mechanisms, among which impairment of factors that oppose
airway narrowing plays an important role. The main determinants of AHR are
reduction in lung function and smoking status. We envision a dual role of AHR: in
suspected COPD, specific determinants of AHR, such as reactivity and the plateau
response, may help the physician to discriminate COPD from asthma; in definite
COPD, AHR may be relevant for the prognosis. Indeed, AHR is an independent
predictor of mortality in COPD patients. Smoking cessation has been shown to
reduce AHR. Further studies are needed to elucidate whether this functional
change is associated with improvement in lung function and respiratory symptoms.
PMID- 18046904
TI - Is there a role for mask continuous positive airway pressure in acute respiratory
failure due to COPD? Lessons from a retrospective audit of 3 different cohorts.
AB - Exacerbations of COPD that result in acute respiratory failure requiring
intubation and mechanical ventilation have high morbidity and mortality. This
study is a retrospective observational study that compared the outcomes of 237
patients with COPD and acute respiratory failure requiring intensive care unit
(ICU) admission according to modality of initial therapy: mask continuous
positive airway pressure (CPAP), medical therapy, or intubation. Of the patients
treated with CPAP initially, only 16% failed and required intubation compared
with 62% of those treated medically (p = 0.001). The median length of ICU stay
was 5 days in those treated with CPAP, compared with 7 days for those medically
treated, and 8.5 days for intubated patients (p = 0.001). When compared with mask
CPAP, and after adjusting for potentially confounding differences, mortality was
significantly higher if patients were initially intubated (adjusted odds ratios
[OR] 15.7; 95% confidence interval [CI] 4.2, 59) or given medical therapy (OR
5.1; CI 1.2, 20.8). In COPD patients with acute respiratory failure, initial
treatment with mask CPAP was associated with significantly better outcomes than
other treatment modalities, even after adjusting for potentially confounding
differences in disease severity.
PMID- 18046905
TI - Lower limb vasodilatory capacity is not reduced in patients with moderate COPD.
AB - We compared exercise capacity (peak O2 uptake; VO(2peak)) and lower limb
vasodilatory capacity in 9 patients with moderate COPD (FEV1 52.7 +/- 7.6%
predicted) and 9 age-matched healthy control subjects. VO(2peak) was measured via
open circuit spirometry during incremental cycling. Calf blood flow (CBF)
measurements were obtained at rest and after 5 minutes of ischemia using venous
occlusion plethysmography. While VO(2peak) was significantly lower in the COPD
patients (15.8 +/- 3.5 mL x kg(-1) x min(-1)) compared with the control group
(25.2 +/- 3.5 mL x kg(-1) x min(-1)), there were no significant differences
between groups in peak CBF or peak calf conductance measured 7 seconds post
ischemia. VO(2peak) was significantly correlated with peak CBF and peak
conductance in the control group, whereas no significant relationship was found
between these variables in the COPD group. However, the rate of decay in blood
flow following ischemia was significantly slower (p < 0.05) for the COPD group (
0.036 +/- 0.005 mL x 100 mL(-1) x min(-1) x S(-1)) when compared with controls (
0.048 +/- 0.015 mL x 100 mL(-1) x min(-1) x S(-1)). The results suggest that the
lower peak exercise capacity in patients with moderate COPD is not related to a
loss in leg vasodilatory capacity.
PMID- 18046906
TI - Mitochondrial function in diaphragm of emphysematous hamsters after treatment
with nandrolone.
AB - Respiratory failure in patients with COPD may be caused by insufficient force
production or insufficient endurance capacity of the respiratory muscles.
Anabolic steroids may improve respiratory muscle function in COPD. The effect of
anabolic steroids on mitochondrial function in the diaphragm in emphysema is
unknown. In an emphysematous male hamster model, we investigated whether
administration of the anabolic steroid nandrolone decanoate (ND) altered the
activity of mitochondrial respiratory chain complexes in the diaphragm. The
bodyweight of hamsters treated with ND was decreased after treatment compared
with initial values, and serum testosterone levels were significantly lower in
hamsters treated with ND than in control hamsters. No difference in the activity
of mitochondrial respiratory chain complexes in the diaphragm between normal and
emphysematous hamsters was observed. Treatment with ND did not change the
activity of mitochondrial respiratory chain complexes in the diaphragm of both
normal and emphysematous hamsters. In emphysematous hamsters, administration of
ND decreased the activity of succinate:cytochrome c oxidoreductase compared with
ND treatment in normal hamsters. We conclude that anabolic steroids have negative
effects on the activity of succinate:cytochrome c oxidoreductase and anabolic
status in this emphysematous hamster model.
PMID- 18046907
TI - Does the multidimensional grading system (BODE) correspond to differences in
health status of patients with COPD?
AB - STUDY OBJECTIVES: To verify whether and to what extent the body mass index,
airflow obstruction, dyspnea, and exercise capacity (BODE) index correlates with
a disease-specific index of health status in patients with COPD. DESIGN: Cross
sectional study. SETTING: University-affiliated hospital. PATIENTS: One hundred
patients with stable COPD recruited from the outpatient clinic of a single
institution. MEASUREMENTS: The BODE index was calculated for each patient using
variables obtained within 2 weeks of enrollment. At enrollment, all patients
completed the St George's Respiratory Questionnaire (SGRQ). The Kruskal-Wallis
test was used to compare health status scores with clinical and functional
categories of COPD. The Spearman correlation coefficient (r) was calculated to
assess the association between health status scores and clinical or functional
variables. RESULTS: Categorizing the BODE scores into 4 quartiles, we found that
higher BODE quartiles were associated with higher (worse) SGRQ scores. The
differences among the BODE quartiles in health status indexes were significant
for total SGRQ as well as all 3 of the SGRQ subscales. In all sections of the
SGRQ, scores were moderately to strongly associated with the BODE quartiles (r =
0.27-0.46). In contrast, the association between the SGRQ total, impacts,
activity and Global Initiative for Chronic Obstructive Lung Disease (GOLD) stages
were weaker (r = 0.27-0.28). There was no significant association between SGRQ
symptoms and GOLD stages. CONCLUSIONS: The BODE scoring system corresponds to
important differences in health status of patients with COPD. This grading system
is better correlated to the health status indexes of the SGRQ than the GOLD
staging criteria.
PMID- 18046908
TI - Sermorelin: a better approach to management of adult-onset growth hormone
insufficiency?
PMID- 18046909
TI - Treatment of overactive bladder in the aging population: focus on darifenacin.
AB - Anticholinergics are commonly used in primary and secondary care settings for the
treatment of overactive bladder syndrome. The number of anticholinergic drugs
available on the market is increasing and various studies, both observational and
randomized controlled trials, have evaluated effectiveness of the different
preparations available. When anticholinergic therapy is prescribed, there is
still uncertainty about which anticholinergic drugs are most effective, at which
dose, and by which route of administration. There is also uncertainty about the
role of anticholinergic drugs in different patient groups, particularly in the
elderly. The rationale for using anticholinergic drugs in the treatment of
overactive bladder syndrome is to block the parasympathetic acetylcholine pathway
and thus abolish or reduce the intensity of detrusor muscle contraction. There
are currently five recognized subtypes of muscarinic receptor; the M1, M2, and M3
subtypes are of interest in bladder activity. Muscarinic receptors are found in
other parts of the body, eg, in the gut, salivary glands, tear ducts. Side
effects associated with non-selective antimuscarinics can be particularly
distressing in the elderly. The development of bladder selective M3 specific
antagonists has the advantage of providing increased efficacy with minimal side
effects. Darifenacin is one such preparation. The aim of this review is to assess
the pharmacology, interactions and the safety and tolerability of darifenacin in
the treatment of overactive bladder in the elderly population with particular
reference to clinical trial data available.
PMID- 18046910
TI - Utility of tolcapone in fluctuating Parkinson's disease.
AB - Fluctuating Parkinson's disease (PD) represents a clinical management challenge.
The primary utility of levodopa in patients with PD is moderated by the "wearing
off" phenomena seen with long-term use. COMT inhibitors slow down the rapid
metabolism of levodopa, resulting in a more-sustained response to dopaminergic
therapy. Tolcapone is a selective, reversible catechol-O-methyltransferase (COMT)
inhibitor, shown to have both peripheral and central effects. In clinical trials,
tolcapone has been shown to reduce "off" time, increase "on" time, improve
patient and clinician assessments of disease severity, and improve patient
quality of life. In a SWITCH study, tolcapone was associated with greater
duration of "on" time than remaining on entacapone. Adverse effects of tolcapone
are related to the class, with the exception of rare cases of hepatotoxicity.
Tolcapone has been recently reintroduced on the European market and recent
guidance from the US Food and Drug Administration has reduced the hepatic
monitoring requirements for patients initiating tolcapone therapy. With proper
monitoring, tolcapone is an effective, well-tolerated drug useful in the
management of patients with fluctuating PD.
PMID- 18046912
TI - Principles of soft tissue augmentation for the aging face.
AB - In the quest for the "ideal" soft tissue filler, many diverse products have been
developed. The expanding market of available fillers is a testament that no one
product will ideally suit all patients or clinicians. In addition, the challenge
of satisfying the criteria of an ideal filler has driven researchers to take a
variety of development paths. This has resulted in multiple categories to
characterize soft tissue fillers. These fillers are categorized according to: (1)
filler material, eg, autologous, natural, synthetic; (2) mechanism of action, eg,
void filler, neocollagenesis, fibroblast stimulation; (3) patient type and
profile, eg, younger versus older patient, rhytids versus "sinking and sagging"
skin; or (4) durability of treatment effects, eg, temporary, semi-permanent, or
permanent. Although strategies for soft tissue augmentation may be quite diverse,
strategies should share a universal goal to address fat redistribution (atrophy
and hypertrophy), the primary underlying morphological cause of facial aging. To
accomplish this, volumizers are now available that are injected more deeply,
resulting in the restoration of supportive structure and foundation. These can be
used in combination with other products that are used more superficially for
smoothing skin surfaces. As numerous soft tissue fillers enter the market,
mechanisms and injection techniques become more divergent, and therefore require
that the dermatologist and cosmetic surgeon receive adequate training to use
products safely and effectively. This manuscript provides an overview of soft
tissue fillers and their proper use.
PMID- 18046911
TI - Retinoids in the treatment of skin aging: an overview of clinical efficacy and
safety.
AB - Aging of skin is an intricate biological process consisting of two types. While
intrinsic or chronological aging is an inevitable process, photoaging involves
the premature aging of skin occurring due to cumulative exposure to ultraviolet
radiation. Chronological and photoaging both have clinically differentiable
manifestations. Various natural and synthetic retinoids have been explored for
the treatment of aging and many of them have shown histological and clinical
improvement, but most of the studies have been carried out in patients presenting
with photoaged skin. Amongst the retinoids, tretinoin possibly is the most potent
and certainly the most widely investigated retinoid for photoaging therapy.
Although retinoids show promise in the treatment of skin aging, irritant
reactions such as burning, scaling or dermatitis associated with retinoid therapy
limit their acceptance by patients. This problem is more prominent with tretinoin
and tazarotene whereas other retinoids mainly represented by retinaldehyde and
retinol are considerably less irritating. In order to minimize these side
effects, various novel drug delivery systems have been developed. In particular,
nanoparticles have shown a good potential in improving the stability,
tolerability and efficacy ofretinoids like tretinoin and retinol. However, more
elaborate clinical studies are required to confirm their advantage in the
delivery of topical retinoids.
PMID- 18046913
TI - Candesartan in heart failure.
AB - Candesartan cilexetil is a nonpeptide selective blocker of the angiotensin II
receptor sub-type 1. It is a prodrug that is converted to its active metabolite
during its variable absorption. It is highly protein bound with a small volume of
distribution and a nine-hour half-life. Candesartan is one of two angiotensin
receptor blockers approved for use in heart failure. MEDLINE was searched using
OVID and PubMed to evaluate the evidence for using candesartan in patients with
heart failure. Pharmacologic and pharmacokinetic evaluations, as well as clinical
trials, were selected and are presented in this review. Clinical evidence
supports the indication for use in systolic heart failure. Results for use in
patients with diastolic heart failure were non-significant. Candesartan was well
tolerated in the trials, with hyperkalemia, renal dysfunction, and hypotension
being the most common adverse events. Use of angiotensin receptor blockers with
angiotensin-converting enzyme inhibitors needs further study; however,
candesartan appears to provide added benefit in this setting. Candesartan is a
safe and effective option for patients with systolic heart failure. Data
regarding other angiotensin receptor blockers is underway.
PMID- 18046914
TI - Strontium ranelate: a novel treatment for postmenopausal osteoporosis: a review
of safety and efficacy.
AB - Strontium ranelate is a new orally administered agent for the treatment of women
with postmenopausal osteoporosis that reduces the risk of vertebral and hip
fractures. Evidence for the safety and efficacy of strontium ranelate comes from
two large multinational trials, the SOTI (Spinal Osteoporosis Therapeutic
Intervention) and TROPOS (Treatment Of Postmenopausal Osteoporosis) studies. The
SOTI study evaluated vertebral fracture prevention in 1649 postmenopausal women
with a mean age of 69 y. The subjects all had at least one previous vertebral
fracture and a low spine bone mineral density (BMD) (equivalent to a Hologic
spine T-score below -1.9). The strontium ranelate group had a 41% lower risk of a
new vertebral fracture than the placebo group over the three-year study period
(relative risk [RR] = 0.59; 95% confidence interval [CI]: 0.48-0.73; p < 0.001).
The TROPOS study evaluated non-vertebral fracture prevention in 5091
postmenopausal women with a mean age of 77 y. The subjects were aged 74 y and
over (or 70-74 y with one additional risk factor) and a low femoral neck BMD
(equivalent to an NHANES III [Third National Health and Nutrition Examination
Survey] T-score below -2.2). Over the three-year study period there was a 16%
reduction in all non-vertebral fractures (RR = 0.84; 95% CI 0.702-0.995; p =
0.04) and a 19% reduction at the principal sites for non-vertebral fractures. The
TROPOS study was not powered to investigate hip fracture risk. However, in a high
risk group of women aged 74 y and over and with an NHANES III femoral neck T
score less than -2.4 there was a 36% reduction in hip fracture risk (RR = 0.64;
95% CI: 0.412-0.997; p = 0.046). The overall incidence of adverse events did not
differ significantly from placebo and were generally mild and transient, the most
common being nausea and diarrhea. Strontium ranelate is a useful addition to the
range of anti-fracture treatments available for treating postmenopausal women
with osteoporosis and is the only treatment proven to be effective at preventing
both vertebral and hip fractures in women aged 80 y and over.
PMID- 18046915
TI - Comparative effects of antiresorptive agents on bone mineral density and bone
turnover in postmenopausal women.
AB - Postmenopausal osteoporosis is a common clinical entity; its complications
represent a significant burden to society. In recent years the choice of
therapies available for the treatment of postmenopausal osteoporosis has
increased dramatically. There are a number of antiresorptive agents currently
available including hormone replacement therapy (HRT), selective estrogen
receptor modulators (SERMs), bisphosphonates, and dual action bone agents. It is
difficult to truly compare these therapies given the lack of direct head-to head
studies. The efficacy of antiresorptive therapies can be assessed in a number of
ways including measurement of bone mineral density (BMD), assessment of bone
turnover markers, and fracture reduction. Other important factors include ease of
administration and consequent patient compliance. This article reviews the
currently available antiresorptive agents and their effects on the above outcome
measures.
PMID- 18046916
TI - Doxazosin in the treatment of benign prostatic hypertrophy: an update.
AB - We evaluated the efficacy and safety of alpha 1--blocker doxazosin for treatment
of lower urinary tract symptoms (LUTS) compatible with benign prostatic
hypertrophy (BPH). Fourteen randomized controlled trials enrolled 6261 men,
average age 64 years, who had moderately severe LUTS and flow impairment.
Compared with baseline measures and placebo effect, doxazosin resulted in a
statistically significant improvement in both LUTS and flow. However, when
compared with placebo, the average magnitude of symptom improvement
(International Prostate Symptom Score [IPSS] improvement < 3 points) typically
did not achieve a level detectable by patients. Combined doxazosin and
finasteride therapy improved LUTS and reduced the risk of overall clinical
progression of BPH compared to each drug separately in men followed over 4 years.
Reported mean changes from baseline in the IPSS were -7.4, -6.6, -5.6, and -4.9
points for combination therapy, doxazosin, finasteride, and placebo,
respectively. Combination therapy reduced the need for invasive treatment for BPH
and the risk of long-term urinary retention. The absolute reductions compared
with placebo were less than 4% and primarily seen in men with prostate gland
volume > 40 mL or PSA levels > 4 ng/mL. Efficacy was comparable with other alpha
1--blockers. Withdrawals from treatment for any cause were comparable to placebo.
Dizziness and fatigue occurred more frequently with doxazosin compared to
placebo.
PMID- 18046918
TI - Patient preference in the management of postmenopausal osteoporosis with
bisphosphonates.
AB - The leading treatments for postmenopausal osteoporosis are the nitrogen
containing bisphosphonates, which are required long term for optimal benefit.
Oral bisphosphonates have proven efficacy in postmenopausal osteoporosis in
clinical trials, but in practice the therapeutic benefits are often compromised
by patients' low adherence. Nonadherence to bisphosphonate therapy negatively
impacts outcomes such as fracture rate; fractures are in turn associated with
decreased quality of life. The most common reason cited by patients for their
nonadherence is that the strict dosing instructions for bisphosphonates are
difficult to follow. One aspect of bisphosphonate administration that can be
changed is dosing frequency and several studies have evaluated patient
preferences for different dosing schedules. Studies have shown a preference for a
weekly bisphosphonate regimen versus daily dosing and it has been demonstrated
that this preference for reduced dosing frequency impacts on adherence.
Ibandronate is the first nitrogen-containing oral bisphosphonate for osteoporosis
that can be administered in a monthly regimen and two robust clinical studies
demonstrated a strong patient preference for this monthly regimen versus a weekly
regimen. It is important that physicians consider patient preference when
prescribing treatment for osteoporosis to ensure that the disease is effectively
managed for the long-term benefit of the patient.
PMID- 18046917
TI - Sildenafil in the treatment of erectile dysfunction: an overview of the clinical
evidence.
AB - Erectile dysfunction (ED) is a highly prevalent disease associated with aging as
well as with several risk factors including hypertension, heart disease, obesity,
dyslipidemia, diabetes, hypogonadism, drugs-related, and pelvic surgery. Many of
these factors are components of the metabolic syndrome, a multiplex risk factor
for cardiovascular disease (CVD). ED shares common risk factors with CVD.
Endothelial dysfunction seems to be the early underlying pathophysiology across
both conditions. The efficacy, tolerability and cardiovascular safety of
sildenafil has been evaluated in numerous large, randomized, doubleblind, placebo
controlled clinical studies in the broad population of men with ED including men
with several co-morbid conditions. Sildenafil is effective in several specific
patient populations including the difficult-to-treat subpopulations such as
diabetes mellitus and after radical prostatectomy. It is associated with rapid
onset of action--within 14 minutes for some men--and an extended duration of
action for up to 12 hours. Sildenafil improves quality of life and satisfaction
for treated men and is well tolerated with a favorable safety profile. New data
suggest that sildenafil has beneficial effects in several chronic conditions. It
has been approved for the treatment of idiopathic pulmonary hypertension.
Numerous articles have suggested that it improves endothelial function and a
possible role on premature ejaculation or treatment of lower urinary tract
symptoms has been suggested.
PMID- 18046919
TI - Role of 5 alpha-reductase inhibitors in the management of prostate cancer.
AB - Prostate cancer is one of the most complex and enigmatic oncologic problems in
medicine. It is highly prevalent, particularly in elderly males. Unfortunately,
its generally protracted and variable clinical course and high association with
treatment-related morbidity raise serious questions about the ideal treatment
strategy for the individual patient. 5 alpha-reductase (5AR) inhibitors have a
dramatic effect on benign prostatic disease with low toxicity. Thus, there is
much interest in the potential role of 5AR inhibitors in the prevention and
treatment of prostate cancer. Finasteride is the only agent that has been shown
in a randomized clinical trial to decrease the risk of prostate cancer with a
reduction of almost 25%. Additionally, a recent analysis of the Prostate Cancer
Prevention Trial (PCPT) has found that finasteride improves the performance
characteristics of prostate-specific antigen (PSA) blood test as a screening tool
for prostate cancer, for both cancer detection as well as for detection of high
risk disease. Finally, 5AR inhibitors have been studied as a component of
multimodal therapy for all stages of prostate cancer, with the goal of improving
oncologic outcomes while avoiding the toxicity of medical and surgical
castration.
PMID- 18046920
TI - Management of hyperlipidemia with statins in the older patient.
AB - Numerous randomized, double-blind, placebo-controlled studies and observational
studies have demonstrated that statins decrease mortality and major
cardiovascular events in older high-risk persons with hypercholesterolemia. The
Heart Protection Study found that statins decreased mortality and major
cardiovascular events in high-risk persons regardless of the initial level of
serum lipids, age, or gender. The updated National Cholesterol Education Program
(NCEP) III guidelines state that in very high-risk patients, a serum low-density
lipoprotein (LDL) cholesterol level of < 70 mg/dl is a reasonable clinical
strategy, regardless of age. When a high-risk person has hypertriglyceridemia or
low serum high-density lipoprotein cholesterol, consideration can be given to
combining a fibrate or nicotinic acid with an LDL cholesterol-lowering drug. For
moderately high-risk persons (2 or more risk factors and a 10-year risk for
coronary heart disease of 10% to 20%), the serum LDL cholesterol should be
decreased to < 100 mg/dl. When LDL cholesterol-lowering drug therapy is used to
treat high-risk persons or moderately high-risk persons, the serum LDL
cholesterol should be decreased at least 30% to 40%.
PMID- 18046921
TI - Tadalafil in the treatment of erectile dysfunction; an overview of the clinical
evidence.
AB - Prevalence and severity of erectile dysfunction (ED) increase with aging and are
often associated with illnesses, like diabetes mellitus, heart disease, and
hypertension, pathologically characterized by endothelial dysfunction and whose
prevalence increases with age. The assumption that ED is mainly a neurovascular
disease is supported by the evidence that specific phosphodiesterase type 5
(PDE5) inhibition produces an efficient erection in a wide range of ages and
conditions. The availability of specific PDE5 inhibitors has enabled the
development of effective treatment strategies, in this contest, tadalafil may be
considered as the least "typical" PDE5 inhibitor. In clinical trials, tadalafil
significantly enhanced, in patients of different ages, all efficacy outcomes
across disease etiologies and severities. With an effectiveness lasting up to
36h, tadalafil allows patients to choose when to have sexual activities without
the need to time it, showing positive feedback in terms of quality of life
related to the treatment. Headache and dyspepsia were the most frequent side
effects of tadalafil, followed by back pain, nasal congestion, myalgia, and
flushing, but the impact that long time action could have on effectiveness and
safety is not yet entirely defined. The aim of this article is to critically
review the available evidence from the tadalafil clinical research program and
give the physician a rational approach for intervention in the treatment of ED
and related diseases.
PMID- 18046923
TI - Efficacy of language assessment in Alzheimer's disease: comparing in-person
examination and telemedicine.
AB - BACKGROUND: With the large number of aging individuals requiring screening of
cognitive functions for dementing illnesses, there is a necessity for innovative
evaluation approaches. One domain that should allow for online, at a distance,
examination is speech and language dysfunction, if the auditory and visual
transmission is of sufficient quality to allow adequate patient participation and
reliable, valid interpretation of signs and symptoms (Duffy et al 1997).
OBJECTIVE: Examine the effectiveness of language assessment in mild Alzheimer's
patients using telemedicine (TM) compared with traditional in-person (IP)
assessment. DESIGN: Ten patients with mild Alzheimer's disease, enrolled at a
Geriatric Memory Clinic received a battery of standard language tests under two
conditions: face-to-face and via satellite TM. RESULTS: Comparison of TM and IP
testing conditions were assessed within each for scores on each test in the two
conditions. On each of the five language tasks, the Wilcoxon signed ranks test
indicated no significant difference on performance between the TM and IP
conditions for each participant. Overall acceptance of the TM evaluation in an
elderly population was rated at a high level except for one individual.
CONCLUSION: Telemedicine can improve access to speech and language evaluation
services which is relevant to both dementia and other neurological diseases of
the elderly. In particular, this specific assessment tool can be used to provide
evaluations in under-served rural areas.
PMID- 18046922
TI - Ranibizumab in neovascular age-related macular degeneration.
AB - Neovascular age-related macular degeneration (AMD) is a visually devastating
condition resulting from choroidal neovascularization and secondary photoreceptor
loss. Ranibizumab and bevacizumab are medications that target vascular
endothelial growth factor (VEGF). While other therapies have demonstrated some
ability to reduce the risk of losing vision from neovascular AMD, most patients
continue to lose some degree of central visual acuity. There is growing evidence
that intravitreal administration of ranibizumab and bevacizumab is effective in
significantly improving the visual acuity in patients with neovascular age
related macular degeneration.
PMID- 18046924
TI - Too many notes: up and down the scales of diabetes therapy.
PMID- 18046925
TI - Advancing therapy in type 2 diabetes mellitus with early, comprehensive
progression from oral agents to insulin therapy.
AB - BACKGROUND: Early and intensive glycemic control is necessary to prevent or
minimize the development of microvascular and macrovascular complications in
individuals with type 2 diabetes mellitus. However, many patients are unable to
attain glycemic control, partly due to protracted treatment with oral
antidiabetic drugs (OADs) despite inadequate control and barriers to initiating
insulin therapy. Patients at different stages of disease may benefit from the
early introduction of intensive glycemic control. OBJECTIVE: This article
discusses some of the potential barriers to achieving and maintaining optimal
glycemic levels in patients whose blood glucose is sub-optimally controlled with
OADs and reviews the benefits of early introduction of intensive glycemic control
in patients at various stages of disease, with an emphasis on insulin therapy.
METHODS: Relevant English-language articles published from 1996 to 2006 were
identified through searches of the National Center for Biotechnology PubMed
database. Search terms included insulin, insulin therapy, type 2 diabetes,
insulin analogs, early insulinization, and diabetes prevention, among others.
Studies were assessed regarding designs, primary and secondary efficacy
parameters, glycosylated hemoglobin (HbA1c), fasting plasma glucose, incidence of
hypoglycemia, and other safety assessments. Inclusion criteria were multicenter,
randomized, open-label, parallel-group trials, as well as retrospective
observational studies, conducted in Europe or the United States. Additional
analyses and guideline-based recommendations are included. RESULTS: The landmark
results of the United Kingdom Prospective Diabetes Study, which found that an
intensive strategy in 3867 newly diagnosed patients with type 2 diabetes was
associated with stricter glycemic control than was conventional care (HbA1c over
10 years, 7.0% vs 7.9%; P < 0.001), as well as a 25% reduction in the risk for
microvascular complications (P = 0.01). Early initiation of insulin therapy
concomitantly with OADs appeared well tolerated in the populations studied, was
effective in recently diagnosed patients, and may also confer anti-inflammatory
and antiatherogenic effects. Characteristics associated with newer formulations
of insulin (eg, basal insulin analogues as well as rapid-acting insulin
analogues, the insulin pump, or inhaled insulin) may help overcome barriers
associated with initiating insulin therapy. CONCLUSIONS: Based on the literature,
early and persistent intensification of antidiabetic therapy is an approach that
most likely will achieve optimal glycemic control in patients with type 2
diabetes and help prevent associated complications. Greater clinical experience
with newer therapeutic approaches, including incretin mimetics and dipeptidyl
peptidase-IV inhibitors, will provide insight into their place in the spectrum of
diabetes treatments.
PMID- 18046926
TI - Prandial premixed insulin analogue regimens versus basal insulin analogue
regimens in the management of type 2 diabetes: an evidence-based comparison.
AB - BACKGROUND: Insulin is an effective treatment for type 2 diabetes (T2D), a
progressive condition in which insulin deficiency is one of the core defects.
When patients with T2D are unable to achieve glycemic goals with diet and oral
antihyperglycemic medications, a common starting insulin regimen consists of
basal or premixed insulin added to oral antihyperglycemic medications. When
glycemic goals are not achieved with the initial insulin regimen, a basal-bolus
regimen is necessary. OBJECTIVE: This article reviews clinical-trial data on the
efficacy and safety profile of prandial premixed insulin analogues (insulin
aspart and insulin lispro) compared with basal insulin analogues (insulin
glargine, insulin detemir, and insulin lispro protamine suspension), with or
without a prandial insulin analogue, in the management of T2D. METHODS: A
systematic search of Ovid, MEDLINE, and EMBASE (1995-2007) was performed to
identify published randomized controlled trials comparing prandial premixed
insulin analogues with basal insulin analogues, with or without prandial insulin,
in patients with T2D. The search terms were premixed insulin analogues, premixed
insulin, biphasic insulin aspart, insulin aspart 70/30, insulin aspart 50/50,
premixed insulin lispro, insulin lispro 75/25, insulin lispro 50/50, glargine,
and detemir. Abstracts presented at the 2005 and 2006 meetings of the American
Diabetes Association and the European Association for the Study of Diabetes and
bibliographies of the identified studies were also reviewed. Predetermined
criteria for study inclusion were treatment duration of at least 12 weeks, T2D
diagnosed using valid criteria, use of a basal insulin analogue (with or without
rapid-acting insulin) as a study comparator, and use of well-accepted end points
(eg, glycosylated hemoglobin [HbA1c], hypoglycemia, preprandial and postprandial
blood glucose). RESULTS: Of the identified randomized controlled trials, 3
studies compared premixed insulin analogues containing 70% or 75% basal and 30%
or 25% rapid-acting insulin analogue with basal insulin analogues only, and 3
studies evaluated premixed insulin analogues containing 50% basal and 50% rapid
acting insulin analogue with basal insulin analogues only. Use of prandial
premixed insulin analogues was associated with better overall and postprandial
glycemic control. In the studies that compared twice-daily premixed insulin
analogues with a basal insulin analogue, changes in HbA1c ranged from -1.00% to
2.79% and from -0.42% to -2.36%, respectively (P < 0.01). In the studies that
compared thrice-daily premixed insulin analogues with a basal insulin analogue,
changes in HbA1c ranged from -0.72% to -1.2% and from -0.3% to -0.75%,
respectively (P < 0.01). These results were achieved with some increase in
overall hypoglycemia, but not in nocturnal or severe hypoglycemia. Doses of the
premixed insulin analogues were adjusted during the titration period to achieve
glycemic goals. CONCLUSIONS: The results of this systematic review suggest that
regimens consisting of prandial premixed insulin analogues, which provide both
basal and prandial insulin coverage, may be used as an initial insulin regimen in
patients with T2D to enable better overall, preprandial, and postprandial
glycemic control compared with a basal insulin analogue regimen alone. Premixed
insulin analogues are an effective option for initiating and intensifying insulin
therapy in patients with T2D.
PMID- 18046927
TI - Developing a pulmonary insulin delivery system for patients with diabetes.
AB - BACKGROUND: Many patients with type 1 or type 2 diabetes mellitus (DM) do not
achieve recommended glycemic goals. Insulin therapy is often delayed, despite its
effectiveness in maintaining glycemic control, for reasons such as fear of
needles or dislike of the complexity of injections. Inhaled dry powder insulin
(IDPI) is approved for preprandial use in both the United States and Europe.
METHODS: Relevant English-language publications were identified through a search
of the PubMed database (1980-2007). Search terms included diabetes, in
combination with subcutaneous and/or inhaled insulin. A similar search of
abstracts from the 2006 American Diabetes Association 66th Annual Scientific
Sessions was also performed. RESULTS: Eight clinical studies to date have
reported that IDPI consistently improved glycemic control, whether used in
combination with longer-acting SC insulin regimens in patients with type 1 or
type 2 DM or to supplement or replace oral agent therapy in patients with type 2
DM. Evidence to date suggests that IDPI is associated with an acceptable
tolerability profile, with a risk of hypoglycemia similar to that of SC insulin
(risk ratios in 2 studies were 0.94 and 0.96, in favor of IDPI). Moreover, no
clinically significant changes in pulmonary function have been noted. Patients
treated with IDPI in clinical studies reported significantly greater improvements
in overall satisfaction with treatment compared with SC insulin (P < 0.01) or
oral agent therapy (P = 0.02). CONCLUSION: IDPI is effective and well tolerated
for the treatment of diabetes and may be an option for patients to achieve
glycemic control.
PMID- 18046928
TI - Importance of observational studies in clinical practice.
AB - BACKGROUND: In this era of evidence-based medicine, clinicians require a
comprehensive range of well-designed studies to support prescribing decisions and
patient management. In recent years, data from observational studies have become
an increasingly important source of evidence because of improvements in
observational-study methods and advances in statistical analysis. OBJECTIVE: This
article reviews the current literature and reports some of the key studies
indicating that observational studies can both complement and build on the
evidence base established by randomized controlled trials (RCTs). METHODS: A
literature search using the MEDLINE/ PubMed database (years: 1966-present) was
carried out using the search terms observational or observational study(ies),
historical control, nonrandomized, and postmarketing surveillance. All references
comparing observational studies with randomized controlled trials were obtained
and reviewed and were also hand-checked for studies not identified in the
database searches. RESULTS: Observational studies play an important role in
investigating treatment outcomes. Data from large observational studies can
clarify the tolerability profile of marketed medicines. In particular,
observational studies can be of benefit in the study of large, heterogeneous
patient populations with complex, chronic diseases such as diabetes mellitus.
Observational studies have played a key role in supporting the results of Phase
III studies of insulin analogues for the treatment of patients with type 1 and
type 2 diabetes. Future observational studies in the field of diabetes such as
PREDICTIVE (Predictable Results and Experience in Diabetes through
Intensification and Control to Target: an International Variability Evaluation)
and IMPROVE will further our understanding of this global pandemic. CONCLUSIONS:
Well-designed observational studies can play a key role in supporting the
evidence base for drugs and therapies. Current evidence suggests that
observational studies can be conducted using the same exacting and rigorous
standards as are used for RCTs. The observational study design should be
considered as a complementary rather than a rival analytic technique.
PMID- 18046929
TI - Health care costs and medication adherence associated with initiation of insulin
pen therapy in medicaid-enrolled patients with type 2 diabetes: a retrospective
database analysis.
AB - BACKGROUND: Clinical, health, and economic outcomes in patients with type 2
diabetes may be influenced by self-management behaviors and type of
pharmacotherapy. OBJECTIVE: This study examined differences in medication
adherence and total health care costs among patients with type 2 diabetes who
initiated or converted to insulin administration with a pen device in comparison
with a vial/syringe as add-on therapy to oral antidiabetic drugs. METHODS: This
study evaluated patients with type 2 diabetes who were enrolled in the North
Carolina Medicaid program from September 24, 2001, to July 18, 2006. Patients
receiving insulin with a vial/syringe who converted to pen therapy were compared
with those who remained on vial/syringe in both unmatched comparisons (n = 560
and n = 9988, respectively) and after pair-matching (both cohorts, n = 560) with
the use of propensity scores. In a second analysis, patients who initiated
insulin with vial/syringe (n = 1162) were compared with a cohort that initiated
insulin pen therapy (n = 168) after controlling for covariates in a multivariate
regression model. All included patients had complete enrollment for at least 24
months of followup. Multiple linear regression models were used to predict the
comparative impact on total health care costs and medication adherence for each
cohort. Adjusted means were calculated to determine the group differences for
each outcome. RESULTS: Diabetes-related and overall medication adherence was
comparable for patients initiating insulin with a pen versus a syringe (53% vs
50% and 94% vs 94%, respectively). However, total annualized health care costs
were significantly lower for patients using pen therapy than for those using a
syringe ($14,857.42 vs $31,764.78, respectively; P < 0.05). Cost reductions with
pen therapy were reflected in hospital costs ($1195.93 vs $4965.31, respectively;
P < 0.05), diabetes-related costs ($7324.37 vs $13,762.21, respectively; P <
0.05), and outpatient costs ($7795.98 vs $13,103.51, respectively; P < 0.05).
However, prescription costs of syringe were significantly lower ($535.70 vs
$670.52; P < 0.05) and costs of pen were higher ($840.33 vs $0; P < 0.05) in
patients who were switched from syringe to pen versus those who remained on
syringe therapy. CONCLUSIONS: In a state Medicaid setting among patients with
type 2 diabetes, initiating insulin therapy with a pen device was associated with
comparable medication adherence and significant reductions in health care
resource utilization and associated costs compared with vial/syringe insulin.
Health care professionals and policy makers should consider the potential
economic benefits of pen therapy when initiating insulin among Medicaid
beneficiaries who fail to respond to oral antidiabetic drugs.
PMID- 18046930
TI - Comparisons of rosiglitazone versus pioglitazone monotherapy introduction and
associated health care utilization in medicaid-enrolled patients with type 2
diabetes mellitus.
AB - BACKGROUND: Outcomes in patients with type 2 diabetes mellitus (DM) can differ
based on the antidiabetic medication that is used. Thiazolidinediones (TZDs) are
a newer class of agents used for the treatment of type 2 DM. No previous study
has compared health care utilization associated with the 2 TZDs on the market.
OBJECTIVE: The objective of this study was to compare health care utilization and
costs associated with initiation of treatment with either rosiglitazone or
pioglitazone by Medicaid-enrolled patients with type 2 DM. METHODS: This was a
retrospective data analysis comparing cohorts of patients with type 2 DM starting
a new antidiabetic medication in terms of hospitalizations, emergency department
visits, outpatient physician visits, and health care costs reimbursed by the
North Carolina Medicaid program. The perspective adopted in this analysis was
that of the third-party payer (ie, the North Carolina Medicaid program). Patients
starting rosiglitazone between July 1, 2001, and June 30, 2002, were compared
with patients starting pioglitazone during the same period. The patients were
followed up for 30 months to examine the difference in health care utilization
over time. Multivariate regression techniques were employed for comparisons
between the 2 different antidiabetic therapies. RESULTS: A total of 1705 patients
with type 2 DM were identified and included in the final cohort. There were 660
patients (mean [SD] age, 49.0 [10.2] years) in the rosiglitazone arm and 1045
patients (mean [SD] age, 49.1 [10.5] years) in the pioglitazone arm. Multivariate
analysis showed that the rosiglitazone monotherapy group was associated with a
12.2% decrease in the mean number of hospitalizations, a 10.4% decrease in the
mean number of emergency department visits, and a 7.3% decrease in total health
care costs compared with the pioglitazone monotherapy group (all, P < 0.05). This
study only looked at patients who used the same drug for the entire follow-up
period. It did not account for drug switching or addition of a new drug to an
existing therapy. CONCLUSIONS: Introduction of rosiglitazone was associated with
a decreased number of hospitalizations, emergency department visits, and total
health care costs compared with pioglitazone. The utilization of oral
antidiabetic agents, with documented clinical and economic benefits, should
continue to be advocated to reduce avoidable medical care utilization and to
improve patient outcomes in this population.
PMID- 18046931
TI - Health care costs and mortality for Canadian urban and rural patients with
diabetes: population-based trends from 1993-2001.
AB - BACKGROUND: Recent reports suggest that the health status of individuals residing
in rural areas (as much as one third of the Canadian population) may be inferior
to those who live in urban areas. OBJECTIVE: This study compared trends in
mortality and in provincial health care system costs for individuals with
diabetes, according to urban/rural residence in Saskatchewan, Canada. METHODS:
The Canadian National Diabetes Surveillance System criteria were applied to the
linked administrative databases of Saskatchewan Health to identify all
individuals with diabetes between 1991 and 2001. Annual health care costs across
5 categories of health care services (physician visits, prescription medications,
hospitalizations, day surgeries, and dialysis) were identified over a 9-year
period (1993-2001). Costs (in 2001 Can $) and mortality rates were directly age
adjusted, and comparisons were made across individuals residing in large urban,
small urban, and rural areas. RESULTS: A total of 57,774 individuals with
diabetes were identified, approximately half (n = 26,656) of whom resided in
rural areas. In 2001, total per capita costs were $3454 for large urban, $3427
for small urban, and $3289 for rural dwellers. Per capita costs of most
individual categories were highest in large urban centers over the follow-up
period with the exception of hospitalizations, which were highest among rural
residents ($1444 vs $1283 for large urban residents). Despite differences in the
individual cost categories, overall health care costs according to place of
residence were similar. Overall, the age-adjusted mortality rates increased
substantially from 12 (1993) to 18 (2001) deaths per 1000 population, although
rates were similar across geographic locations. CONCLUSIONS: From 1993 to 2001,
we observed systematic differences in costs of individual resource categories
according to urban/rural residence in Saskatchewan, with urban dwellers with
diabetes incurring greater costs in most categories. Age-adjusted total costs and
mortality rates were similar, however, suggesting that rural populations with
diabetes may not be as disadvantaged as commonly believed. Investing in better
primary care access for all patients with diabetes may reduce downstream costs in
Saskatchewan's provincial health care system.
PMID- 18046932
TI - Recognizing and treating excessive sleepiness in primary care.
PMID- 18046933
TI - Insulin treatment in managing type 2 diabetes: challenges and opportunities.
AB - Type 2 diabetes is a progressive disease in which diminishing pancreatic beta
cell failure can induce acute and chronic complications many years prior to
diagnosis. Therefore, adhering to recommended standards of care and following a
stepwise approach to the management of type 2 diabetes, with early initiation of
insulin therapy that ameliorates both fasting and postprandial hyperglycemia, can
address the progressive increase in insulin resistance and decline in insulin
secretion. An insulin regimen that introduces basal and prandial doses of insulin
gradually to sustain daytime glycemic control is both practical and effective.
PMID- 18046934
TI - Current developments in private offerings.
PMID- 18046935
TI - Detecting reduced bone mineral density from dental radiographs using statistical
shape models.
AB - We describe a novel method of estimating reduced bone mineral density (BMD) from
dental panoramic tomograms (DPTs), which show the entire mandible. Careful expert
width measurement of the inferior mandibular cortex has been shown to be
predictive of BMD in hip and spine osteopenia and osteoporosis. We have
implemented a method of automatic measurement of the width by active shape model
search, using as training data 132 DPTs of female subjects whose BMD has been
established by dual-energy X-ray absorptiometry. We demonstrate that widths
measured after fully automatic search are significantly correlated with BMD, and
exhibit less variability than manual measurements made by different experts. The
correlation is highest towards the lateral region of the mandible, in a position
different from that previously employed for manual width measurement. An receiver
operator characterstic (ROC) analysis for identifying osteopenia (T < -1: BMD
more than one standard deviation below that of young healthy females) gives an
area under curve (AUC) value of 0.64. Using a minimal interaction to initiate
active shape model (ASM) search, the measurement can be made at the optimum
region of the mandible, resulting in an AUC value of 0.71. Using an independent
test set, AUC for detection of osteoporosis (T < -2.5) is 0.81.
PMID- 18046936
TI - Bi-Fi: an embedded sensor/system architecture for REMOTE biological monitoring.
AB - Wireless-enabled processor modules intended for communicating low-frequency
phenomena (i.e., temperature, humidity, and ambient light) have been enabled to
acquire and transmit multiple biological signals in real time, which has been
achieved by using computationally efficient data acquisition, filtering, and
compression algorithms, and interfacing the modules with biological interface
hardware. The sensor modules can acquire and transmit raw biological signals at a
rate of 32 kb/s, which is near the hardware limit of the modules. Furthermore,
onboard signal processing enables one channel, sampled at a rate of 4000
samples/s at 12-bit resolution, to be compressed via adaptive differential-pulse
code modulation (ADPCM) and transmitted in real time. In addition, the sensors
can be configured to filter and transmit individual time-referenced "spike"
waveforms, or to transmit the spike height and width for alleviating network
traffic and increasing battery life. The system is capable of acquiring eight
channels of analog signals as well as data via an asynchronous serial connection.
A back-end server archives the biological data received via networked gateway
sensors, and hosts them to a client application that enables users to browse
recorded data. The system also acquires, filters, and transmits oxygen saturation
and pulse rate via a commercial-off-the-shelf interface board. The system
architecture can be configured for performing real-time nonobtrusive biological
monitoring of humans or rodents. This paper demonstrates that low-power,
computational, and bandwidth-constrained wireless-enabled platforms can indeed be
leveraged for wireless biosignal monitoring.
PMID- 18046937
TI - Privacy-preserving telecardiology sensor networks: toward a low-cost portable
wireless hardware/software codesign.
AB - Recently, a remote-sensing platform based on wireless interconnection of tiny ECG
sensors called Telecardiology Sensor Networks (TSN) provided a promising approach
to perform low-cost real-time cardiac patient monitoring at any time in community
areas (such as elder nursing homes or hospitals). The contribution of this
research is the design of a practical TSN hardware/software platform for a
typical U.S. healthcare community scenario (such as large nursing homes with many
elder patients) to perform real-time healthcare data collections. On the other
hand, due to the radio broadcasting nature of MANET, a TSN has the risk of losing
the privacy of patients' data. Medical privacy has been highly emphasized by U.S.
Department of Health and Human Services. This research also designs a medical
security scheme with low communication overhead to achieve confidential
electrocardiogram data transmission in wireless medium.
PMID- 18046938
TI - An automated methodology for fetal heart rate extraction from the abdominal
electrocardiogram.
AB - This paper introduces an automated methodology for the extraction of fetal heart
rate from cutaneous potential abdominal electrocardiogram (abdECG) recordings. A
three-stage methodology is proposed. Having the initial recording, which consists
of a small number of abdECG leads in the first stage, the maternal R-peaks and
fiducial points (QRS onset and offset) are detected using time-frequency (t-f)
analysis and medical knowledge. Then, the maternal QRS complexes are eliminated.
In the second stage, the positions of the candidate fetal R-peaks are located
using complex wavelets and matching theory techniques. In the third stage, the
fetal R-peaks, which overlap with the maternal QRS complexes (eliminated in the
first stage) are found using two approaches: a heuristic algorithm technique and
a histogram-based technique. The fetal R-peaks detected are used to calculate the
fetal heart rate. The methodology is validated using a dataset of eight short and
ten long-duration recordings, obtained between the 20th and the 41st week of
gestation, and the obtained accuracy is 97.47%. The proposed methodology is
advantageous, since it is based on the analysis of few abdominal leads in
contrast to other proposed methods, which need a large number of leads.
PMID- 18046939
TI - Delivering a lifelong integrated electronic health record based on a service
oriented architecture.
AB - Efficient access to a citizen's Integrated Electronic Health Record (I-EHR) is
considered to be the cornerstone for the support of continuity of care, the
reduction of avoidable mistakes, and the provision of tools and methods to
support evidence-based medicine. For the past several years, a number of
applications and services (including a lifelong I-EHR) have been installed, and
enterprise and regional infrastructure has been developed, in HYGEIAnet, the
Regional Health Information Network (RHIN) of the island of Crete, Greece.
Through this paper, the technological effort toward the delivery of a lifelong I
EHR by means of World Wide Web Consortium (W3C) technologies, on top of a service
oriented architecture that reuses already existing middleware components is
presented and critical issues are discussed. Certain design and development
decisions are exposed and explained, laying this way the ground for coordinated,
dynamic navigation to personalized healthcare delivery.
PMID- 18046940
TI - Knowledge-based data analysis: first step toward the creation of clinical
prediction rules using a new typicality measure.
AB - Clinical prediction rules play an important role in medical practice. They
expedite diagnosis and limit unnecessary tests. However, the rule creation
process is time consuming and expensive. With the current developments of
efficient data mining algorithms and growing accessibility to medical data, the
creation of clinical rules can be supported by automated rule induction from
data. A data-driven method based on the reuse of previously collected medical
records and clinical trial statistics is cost-effective; however, it requires
well defined and intelligent methods for data analysis. This paper presents a new
framework for knowledge representation for secondary data analysis and for
generation of a new typicality measure, which integrates medical knowledge into
statistical analysis. The framework is based on a semiotic approach for
contextual knowledge and fuzzy logic for approximate knowledge. This semio-fuzzy
framework has been applied to the analysis of predictors for the diagnosis of
obstructive sleep apnea. This approach was tested on two clinical data sets.
Medical knowledge was represented by a set of facts and fuzzy rules, and used to
perform statistical analysis. Statistical methods provided several candidate
outliers. Our new typicality measure identified those, which were medically
significant, in the sense that the removal of those important outliers improved
the descriptive model. This is a critical preprocessing step towards automated
induction of predictive rules from data. These experimental results demonstrate
that knowledge-based methods integrated with statistical approaches provide a
practical framework to support the generation of clinical prediction rules.
PMID- 18046941
TI - An integrated system for the segmentation of atherosclerotic carotid plaque.
AB - In this paper, we propose and evaluate an integrated system for the segmentation
of atherosclerotic plaque in ultrasound imaging of the carotid artery based on
normalization, speckle reduction filtering, and four different snakes
segmentation methods. These methods are the Williams and Shah, Balloon, Lai and
Chin, and the gradient vector flow (GVF) snake. The performance of the four
different plaque snakes segmentation methods was tested on 80 longitudinal
ultrasound images of the carotid artery using receiver operating characteristic
(ROC) analysis and the manual delineations of an expert. All four methods were
very satisfactory and similar in all measures evaluated, with no significant
differences between them; however, the Lai and Chin snakes segmentation method
gave slightly better results. Concluding, it is proposed that the integrated
system investigated in this study could be used successfully for the automated
segmentation of the carotid plaque.
PMID- 18046942
TI - A computational framework for approximating boundary surfaces in 3-D biomedical
images.
AB - We propose a new method for detecting and approximating the boundary surfaces in
three-dimensional (3-D) biomedical images. Using this method, each boundary
surface in the original 3-D image is normalized as a zero-value isosurface of a
new 3-D image transformed from the original 3-D image. A novel computational
framework is proposed to perform such an image transformation. According to this
framework, we first detect boundary surfaces from the original 3-D image and
compute discrete samplings of the boundary surfaces. Based on these discrete
samplings, a new 3-D image is constructed for each boundary surface such that the
boundary surface can be well approximated by a zero-value isosurface in the new 3
D image. In this way, the complex problem of reconstructing boundary surfaces in
the original 3-D image is converted into a task to extract a zero-value
isosurface from the new 3-D image. The proposed technique is not only capable of
adequately reconstructing complex boundary surfaces in 3-D biomedical images, but
it also overcomes vital limitations encountered by the isosurface-extracting
method when the method is used to reconstruct boundary surfaces from 3-D images.
The performances and advantages of the proposed computational framework are
illustrated by many examples from different 3-D biomedical images.
PMID- 18046943
TI - A radio frequency identification implanted in a tooth can communicate with the
outside world.
AB - A radio frequency identification (RFID) transponder covering the 13.56 MHz band
was adapted to minimize its volume so that it could be placed in the pulp chamber
of an endodontically treated human tooth. The minimized transponder had a maximum
communication distance of 30 mm. In an animal experiment, the transponder was
fixed in the cavity of a mandibular canine of a dog. An RFID reader positioned
close to the dog's face could communicate with the transponder in the dog's
tooth. In certain cases, the system is applicable for the personal identification
procedures for hospitalized patients instead of an identification wristband.
PMID- 18046944
TI - Do you know tobacco's effect on your state?
PMID- 18046945
TI - Clearing the air. An update on the progress of tobacco control.
PMID- 18046946
TI - What programs does your institution offer for tobacco cessation? St. Louis
University provides programs for employees, patients, and the public.
PMID- 18046947
TI - What strategies have you found to be effective in helping patients to stop
smoking?
PMID- 18046948
TI - Working holiday shifts may be difficult, but Heather remains thankful.
PMID- 18046949
TI - Intracellular signaling protein may be involved in cancer-promoting inflammation.
PMID- 18046950
TI - Manage skin toxicities associated with targeted therapies.
PMID- 18046951
TI - Contemplating complementary medicine?
PMID- 18046952
TI - Baumol's disease.
PMID- 18046953
TI - Payer trend: 'tiering' physicians and 'steering' patients.
PMID- 18046954
TI - Panel size: answers to physicians' frequently asked questions.
PMID- 18046955
TI - The makings of a good meeting.
PMID- 18046956
TI - Optimizing referrals & consults with a standardized process.
PMID- 18046957
TI - Aiding the wounded among us.
PMID- 18046958
TI - Inosine monophosphate dehydrogenase as a probe in antiviral drug discovery.
AB - Inosine monophosphate (IMP) dehydrogenase (IMPDH) is a significant enzyme in the
purine nucleotide biosynthetic pathway. IMPDH is viewed as an important
biological target in the quest for drugs in the antiviral therapeutic area. This
review article is focused on the chemistry and biology of IMPDH inhibitors and
the use of IMPDH inhibition data as a probe in antiviral drug discovery. Examples
of both inosine 5' monophosphate and NAD+ site-directed inhibitors are presented.
Correlation of antiviral activities with IMPDH inhibition is discussed.
PMID- 18046959
TI - The structure-activity relationships of 2,4(1H,3H)-pyrimidinedione derivatives as
potent HIV type 1 and type 2 inhibitors.
AB - Since the discovery of the 2,4 (1H,3H)-pyrimidinediones as potent non-nucleoside
inhibitors of the HIV-1 reverse transcriptase (RT) this class of compounds has
yielded a number of N-1 acyclic substituted pyrimidinediones with substantial
antiviral activity, which is highly dependent upon their molecular fit into the
binding pocket common to this inhibitory class. We have specifically examined the
structure activity relationships of compounds with chemical modification made by
substituting homocyclic rather than acyclic moieties at N-1 of the
pyrimidinedione. Seventy-four compounds were synthesized and evaluated for
antiviral activity against HIV-1 and HIV-2. The homocyclic modifications resulted
in compounds with significant activity against both HIV-1 and HIV-2, suggesting
these compounds represent a new class of non-nucleoside RT inhibitors. The
structure-activity relationship (SAR) evaluations indicated that cyclopropyl,
phenyl and 1- or 3-cyclopenten-1-yl substitutions at the N-1 of the
pyrimidinedione, the addition of a methyl linker between the cyclic moiety and
the N-1 and the addition of a benzoyl group at the C-6 of the pyrimidinedione had
the greatest contribution to antiviral activity. Five pyrimidinedione analogues
with therapeutic indexes (TIs) > 450,000 and a specific analogue (1
cyclopropylmethyl-5-isopropyl-6-(3,5-dimethylbenzoyl)-2,4(1H,3H)
pyrimidinedione), which exhibited a TI of > 2,000,000, were identified. None of
the analogues were cytotoxic to target cells at the highest in vitro test
concentration, which is the upper limit of compound solubility of the analogues
in aqueous solution. Thus, we have identified a series of pyrimidinediones with
substantially improved antiviral efficacy and range of action and with
significantly reduced cellular cytotoxicity.
PMID- 18046960
TI - Isothiazole derivatives as antiviral agents.
AB - We recently described the synthesis and antiviral activity of the compounds 5
phenyl-3-(4-cyano-5-phenylisothiazol-3-yl) disulphanyl-4-isothiazolecarbonitrile
and S-(4-cyano-5-phenylisothiazol -3-yl)-O-ethyl thiocarbonate, which were found
to be effective against both HIV-1 (IIIB) and HIV-2 (ROD). We have now evaluated
these compounds against both RNA and DNA viruses, obtaining high selectivity
indexes for poliovirus 1 (SI: 223 and 828, respectively) and Echovirus 9 (SI: 334
and 200, respectively). In our previous studies, 3-methylthio-5-(4-OBn-phenyl)-4
isothiazolecarbonitrile was found to exhibit a broad spectrum of action against
picornaviruses, we therefore selected this compound and S-(4-cyano-5
phenylisothiazol-3-yl)-O-ethyl thiocarbonate as the model for the synthesis of a
new isothiazole derivative, S-[4-cyano-5-(4-OBn-phenyl)isothiazol-3-yl]-O-ethyl
thiocarbonate. This compound was evaluated against picornaviruses, measles virus,
HIV-1 (IIIB) and HIV-2 (ROD), and some DNA viruses (adenovirus type 2 and herpes
simplex virus type 1). The compound was shown to be active against rhinoviruses
2, 39, 86 and 89, Coxsackie B1 and measles virus.
PMID- 18046961
TI - Profound anti-HIV-1 activity of DAPTA in monocytes/macrophages and inhibition of
CCR5-mediated apoptosis in neuronal cells.
AB - Monocytes/macrophages (M/M) are strategic reservoirs of HIV-1, spreading the
virus to other cells and inducing apoptosis in T-lymphocytes, astrocytes and
neurons. M/M are commonly infected by R5 HIV-1 strains, which use the chemokine
receptor CCR5. D-Ala-peptide T-amide (DAPTA), or Peptide T, named for its high
threonine content (ASTTTNYT), is a synthetic peptide comprised of eight amino
acids (185-192) of the gp120 V2 region and functions as a viral entry inhibitor
by targeting selectively CCR5. The anti-HIV-1 activity of DAPTA was evaluated in
M/M infected with R5 HIV-1 strains. DAPTA at 10(-9) M inhibited HIV-1 replication
in M/M by > 90%. PCR analysis of viral cDNA in M/M showed that DAPTA blocks HIV
entry and in this way prevents HIV-1 infection. Moreover, DAPTA acts as a strong
inhibitor and was more active than the non-peptidic CCR5 antagonist TAK-779 in
inhibiting apoptosis (mediated by RS HIV-1 strains produced and released by
infected M/M) on a neuroblastoma cell line. Our results suggest that antiviral
compounds which interfere with receptor mechanisms such as CCR5 could be
important, either alone or in combination with other antiretroviral treatments,
in preventing HIV infection in the central nervous system and the consequential
neuronal damage that leads to neuronal AIDS.
PMID- 18046962
TI - A comparison of the phenotypic susceptibility profiles of emtricitabine and
lamivudine.
AB - Emtricitabine (FTC) and lamivudine (3TC) are cytosine nucleoside analogues
approved for use in HIV-1 infection. Both compounds select for the M184V/I
mutation resulting in high-level resistance. This study compared the phenotypic
resistance profiles of FTC and 3TC. Both compounds were tested against clinical
samples submitted for routine resistance testing (PhenoSense HIV assay). We
evaluated 306 viruses with nucleoside reverse transcriptase inhibitor mutations
(NRTI-R) and 100 viruses without resistance mutations (WT). Seventy-two percent
had > or = 1 thymidine analogue mutation (TAM), 21% had mixtures at M184, 14% had
L74V and 7.5% had K65R. Results were expressed as fold change (FC) in 50%
effective concentration compared with the NL4-3 reference. Concordance of FC was
evaluated based on biological (99th percentile of the distribution of WT virus
population) and clinical cutoffs (FC above which an optimal virological response
declines). Against the WT viruses, FTC and 3TC had identical mean FC values
relative to the NL4-3 reference of 0.9-fold +/- 0.2 and identical biological
cutoffs of 1.4-fold against WT viruses. For NRTI-R isolates, there was a strong
linear correlation between FTC and 3TC FC values (r2 = 0.94). Moreover, there was
> 90% concordance in resistance calls based on either the biological (1.4-fold)
or proposed clinical (3.5-fold) cutoffs among all NRTI-R isolates or isolates
with M184V/I mixtures. In the absence of M184V/I, the majority of samples with
resistance (> 3.5 FC) exhibited TAMs with a trend toward increased levels of
cross-resistance with increasing numbers of TAMs. FTC and 3TC demonstrate nearly
identical phenotypic resistance profiles and have the same biological cutoff in
this panel of NRTI-R and WT clinical HIV-1 isolates.
PMID- 18046963
TI - Novel 3-sulphonamido-quinazolin-4(3H)-one derivatives: microwave-assisted
synthesis and evaluation of antiviral activities against respiratory and
biodefense viruses.
AB - We designed and synthesized novel 2,3-disubstituted quinazolin-4(3H)-ones by
microwave technique and characterized them by spectral analysis. Synthesized
compounds were screened for cytotoxicity and for antiviral activity against
influenza A (H1N1, H3N2 and H5N1), severe acute respiratory syndrome corona,
dengue, yellow fever, Venezuelan equine encephalitis (VEE), Rift Valley fever,
and Tacaribe viruses in cell culture. A neutral red uptake assay was used to
determine 50% virus-inhibitory concentrations (EC50) of test compounds and their
50% cytotoxicity concentration (CC50) in uninfected Madin-Darby canine kidney,
Vero, and Vero 76 cells; selectivity indices (ratio of CC50 to EC50) were derived
from the data. The compound 4-(6,8-dibromo-4-oxo-2-phenyl quinazolin-3(4H)-yl)-N
(4,5-dimethyloxazol-2yl) benzenesulphonamide 15 inhibited the replication of
avian influenza (H5N1) virus (EC50 = 8.4 microg/ml, CC50 > 100 microg/ml, SI >
11.9) as did 4-(6-bromo-4oxo-2phenylquinazolin-3(4H)-yl) benzene]sulphonamide 5
(EC50 = 3 microg/ml, CC50 = 32 microg/ml, SI = 11). Compound 5 was also
moderately active against VEE and Tacaribe viruses. The methodology described in
this report is applicable for rapid synthesis of many compounds with potential
antiviral properties.
PMID- 18046964
TI - Effect of transdermic acetylsalicylic acid on hemostasis in healthy volunteers.
AB - Acetylsalicylic acid (ASA) exerts an antiaggregatory effect on platelets by
irreversible inhibition of the enzyme thrombocyte cyclooxigenase when it is
administered orally at doses above 80 mg/day. For several years ASA has been
available as a solution that can be topically applied on the skin. It is widely
used by athletes and individuals with chronic rheumatic disorders. However, it
has not been established to date whether the plasma levels that result from these
doses of ASA affect hemostasis during odontological procedures that involve
bleeding, causing platelet dysfunction. The aim of the present study was to
evaluate whether topical application is capable of affecting hemostasis. Three
studies were conducted: A, B y C. Each of the 3 groups included 12 healthy
volunteers of both sexes. The aim of study A was to evaluate if the formulation
for topical application resulted in plasma levels of ASA that resembled those
observed for the oral formulation and affect hemostasis. In experiment A, plasma
levels of salicylic acid (SA) were assessed for each volunteer at 30 minutes, 60
minutes, 6 hours, 12 hours and 24 hours after oral administration of a dose of
500 mg ASA. Experiment B was identical to experiment A except for the fact that
ASA was topically applied employing a commercial preparation Aspirub in a
predetermined area at a rate of 2 ml/day over a period of 15 days. Experiment C
was designed in the same way as experiment B, for a higher dose and a longer
period of time (4 ml/day over a period of 30 days). One of the volunteers
exhibited detectable salicylemia that could affect hemostasis as occurs with the
oral formulation. The following two studies (C1 and C2) employed doses of Aspirub
of 8 and 16 ml/day respectively, over a period of 30 days. We measured
biochemical parameters associated to platelet function. The dose of 8 ml/day
induced moderate alterations in all the parameters related to platelet function
and the daily dose of 16 ml inhibited platelet aggregation in all the volunteers
involved.
PMID- 18046965
TI - Urinary fluoride excretion in children aged 3 to 5 years exposed to fluoridated
salt at 60 to 90 mgF/Kg in two Venezuelan cities. A pilot study.
AB - The aim of the present work was to obtain information on the total urinary
fluoride concentration and excretion of Venezuelan children at the age of 3 to 5
years, as part of a program to monitor fluoride ingestion. A 24 hour urine sample
was collected from each of 63 children, between 3 and 5 years of age and analyzed
for (i) total urinary volume (ml), (ii) urinary flow rate (ml/h), (iii) fluoride
concentration (ppm) and (iv)fluoride excretion rate (microg/h). The group
comprised 32 boys and 31 girls who resided in two different communities, 32 in
Caracas, DC and 31 in San Juan de los Morros, Guarico state. Fluoride analyses
were done with an ion-specific electrode. Age and gender did not affect total
urine volume, urinary flow rate, or urinary fluoride concentration and excretion
rate. The children from San Juan de los Morros had a significantly higher total
urinary volume (426.45 +/- 36.31 ml) and flow rate (17.09 +/- 1.57ml/h) than
children from Caracas (297.06 +/- 23.59 ml and 12.40 +/- 0.98, respectively)
(p=0.0039). Significant differences were also observed when the urinary fluoride
excretion rate of the two communities was compared. Mean urinary fluoride
concentrations did not differ significantly (p < 0.05) between the two
communities. These values were 0.67 +/- 0.40, 0.57 +/- 0.34, 0.76 +/- 0.27 ppmF
in the 3, 4 and 5 year-old children of Caracas and 0.69 +/- 0.33, 0.65 +/- 0.20,
0.63 +/- 0.36 mgF in San Juan de los Morros children. The results showed low
urinary fluoride concentration and excretion, indicating that children residing
in the evaluated communities are receiving fluoride below the recommended optimal
range.
PMID- 18046966
TI - Subgingival distribution of yeast and their antifungal susceptibility in
immunocompetent subjects with and without dental devices.
AB - Yeasts colonize the subgingival biofilm, which becomes a reservoir that favors
their reproduction. The purpose of the present work was to determine the
prevalence of yeasts of the Candida genus in the subgingival biofilm of
gingivoperiodontal disease patients, including users and non-users of dental
devices, and their susceptibility to fluconazole and voriconazole. Samples of
subgingival pockets of immunocompetent nonsmokers showing gingivitis and
periodontitis were inoculated in a differential chromogenic medium. Sixty three
percent of subjects used dental devices. Yeasts were identified and
susceptibility to fluconazole and voriconazole was tested following CLSI M44-A
standards. The prevalence of yeasts in the subgingival biofilm was 40% CI 95%
(30.5-50.3); 10% were patients who did not use dental appliances. The most
frequently observed yeasts were C. albicans, and C. parapsilosis, C.
dubliniensis, C. tropicalis and C. guilliermondii. Only C. dubliniensis and C.
guilliermondii showed resistance to azoles. The use of dental devices
significantly increased the prevalence of yeasts in periodontal pockets
inpatients presenting gingivitis. It is noteworthy that non albicans Candida
species, such as C. dubliniensis and C. guilliermondii, considered emerging
species, which have a diminished susceptibility to antifungal agents were found
in the crevicular fluid of immunocompetent patients.
PMID- 18046967
TI - Meta-analysis of the literature on 1946 cases of minor salivary gland tumors of
the palate.
AB - Minor salivary gland tumors are relatively rare and exhibit great diversity in
terms of histopathology, localization, biological behavior and classification.
The studies of significant case series report controversial data, mainly in terms
of the proportion of benign versus malignant tumors and the relative frequency of
histological types. Palate tumors are the most frequent, with an incidence of
over 50%. The aim of the present study was to perform a meta-analysis to evaluate
salivary gland tumors of the palate in terms of the proportion of malignant
versus benign tumors, the frequency of the histological types and the data
employed for statistical analysis. We analyzed a selection of international
publications (1950-1999) of case series of minor salivary gland tumors of the
palate, including our own series. The host institutions were classified into 3
categories: A) High Complexity Institutions (HCI), i.e. oncological reference
centers and general hospitals that treat cancer patients; B) Medium Complexity
Institutions (MCI); C) Low Complexity Institutions (LCI). Based on the main
classifications, we joined categories and employed a simplified classification to
analyze a total of 1835 cases in the literature and our own series of 111 cases
(unpublish data). The results of the meta-analysis of the literature demonstrated
that the data employed for statistical analysis depends on the type of host
institution. The classification of institutions according to their level of
complexity allowed for adequate interpretation of the previously published
statistical data. Our interpretation of these studies suggests that the data on
the percentage of malignant versus benign tumors and diversity of histological
type must be obtained from series of low complexity institutions. LCI data are
reliable whereas the HCI data are based on pre-selected cases, rendering the data
unreliable.
PMID- 18046968
TI - Use of radiographs for periodontal diagnosis in private practice.
AB - The aim of the present study was to determine the percentage of dentists who use
radiographic examination on the initial appointment, and establish the relation
between the use of periodontal probe and graduation year. Dentists were
interviewed in their private offices in three cities in Rio Grande do Sul. They
were asked about the routine use of radiographic examination and, if applicable,
what technique was applied and what clinical instrument was used at the first
appointment. Opened and closed questions were included in the interview and for
some of them more than one answer was possible. A significant number of dentists
(62.9%) reported some kind of radiographic examination at the initial
appointment. Among the radiographic techniques, the periapical was the most cited
(74.3%), followed by the panoramic (36.2%) and bite-wing (32.9%) techniques.
There was an association between the use of periodontal probe and the use of
radiographic examination at the initial appointment. Dentists who used
periodontal probe used radiographic examination more frequently (p=0.010). More
recently graduated dentists (1991-2005) used radiographic examination more than
the others (p=0.022). In conclusion, a large number of dentists reported the use
of radiographic examination at the initial appointment. There was an association
between the use of this examination technique and the use of the periodontal
probe; recently graduated dentists used radiographic examinations more frequently
than the others.
PMID- 18046969
TI - Periodontal treatment needs in Argentine adult subjects.
AB - The aim of the present study was to assess the periodontal treatment needs of the
population that spontaneously sought treatment from general dentists. 3694
patients (2000 females and 1494 males, age range between 18 and 84 years) were
evaluated using the Community Periodontal Index (CPI). Data were reported
according to the percentage of subjects with the highest Score: 14.3% presented
pocket depth > or = 5.5 mm (Score 4), 26.4% pocket depth between 3.5 and 5.5
(Score 3), 38.3% presented pockets < or = 3.5 mm with calculus or overhanging
restorations (Score 2), 17.2% pockets < or = 3.5 mm with bleeding upon probing
without calculus (Score 1) and 3.2% were healthy (Score 0). Analyzing the data
according to age it was observed that in the group > 40 years the percentage of
patients with Score 4 was higher (25.1%) compared to the other two groups of 18
34 years (6.4%) and 34-45 years (14.3%). Only 16.4% of the patients consulted for
periodontal reasons (gingival bleeding or tooth mobility), whereas 88% requested
treatment for other reasons (pain, caries, esthetics, replacement of teeth,
etc.). However 77% of the patients in this last group needed periodontal
treatment. 96.8% of the patients attending the general dentistry office needed
periodontal treatment: 17.2% oral hygiene instruction, 65.2% oral hygiene
instruction and scaling and 14.3% complex treatment.
PMID- 18046970
TI - Development of an intraoral device for facial muscle retraining and its clinical
application.
AB - The aim of this work was to develop a facial neuromuscular retraining technique
for cases of facial palsy, involving an easy-to use intraoral device that allows
correcting abnormal neuromuscular patterns and improving esthetics. Facial palsy
is a motor alteration of multiple origin that results in facial asymmetry.
Treatment remains controversial to date and includes, surgical anastomosis and
decompressive and plastic surgery, corticosteroids therapy, injection of
botulinum toxin, and administration of other medicinal drugs. Physical therapy
involving electrical stimulation and feedback are also used to retrain facial
muscles. A removable thermopolymerized acrylic intraoral device with wrought wire
clasps was developed and constructed. The device was used on a patient with
facial palsy who was instructed to wear it 4 times a day during 20 minutes and
perform exercises in front of the mirror, trying to coordinate the action of the
device with the smile movement on the unaffected side of the face. Digital
photographs of the patient were taken during smile movement and at rest with and
without the device, and movements of the oral commissures were compared using
specific software. Results showed anatomic and nonanatomic indices of facial
motion for the lower part of the face with the device to be 1.77 and 0
respectively. The device improved facial symmetry during rest by opposing
traction forces of the contralateral muscles, resulting in a better position of
the filtrum during rest, and allowed the patient to exercise smile movements at
home.
PMID- 18046971
TI - Evaluation of nucleolar organizer regions in maxillary osteosarcoma.
AB - Maxillary osteosarcomas are a relatively frequent malignant tumor of the oral
cavity. Similarly to other skeletal osteosarcomas, they exhibit different
cellular differentiation patterns, i.e. chondroblastic, osteoblastic, or
fibroblastic. Although their histological features resemble those of
osteosarcomas of the long bones, their pattern of evolution usually differs.
Morphometric variations in silver stained Nucleolar Organizer Regions (AgNOR)
have proved of value to study the biology of several tumors. However, information
on the analysis of AgNOR in maxillary tumors is scarce. The aim of the present
study was to analyze the variations of different morphological parameters related
to AgNOR in a series of 32 cases of maxillary osteosarcoma. In each case we
analyzed 100 nuclei corresponding to the prevalent cellular differentiation type,
selecting the most aggressive area. We employed software previously developed at
our laboratory that yields information on different AgNOR-related parameters. The
results were compared with those previously reported in a study on 12 cases of
osteosarcoma of long bones. Six cases of oral mucosa squamous cell carcinoma were
also included for comparative purposes. Single AgNOR volume proved to be the most
discriminatory and informative parameter. The value of single AgNOR volume was
considerably lower in mandible osteosarcomas than in osteosarcomas of the upper
maxilla (p=0.02). The values were significantly lower in maxillary osteosarcomas
than in long bone osteosarcomas and in oral carcinomas. This finding would
suggest a slower rate of cell activity in maxillary osteosarcomas, associated in
turn to its known lower degree of aggressiveness. The present results suggest
that the analysis of AgNOR is a valuable and easily applicable marker to
determine the degree of malignancy and biology of maxillary osteosarcomas.
PMID- 18046972
TI - The evolution of ACE inhibition--a turning point in cardiovascular medicine.
PMID- 18046973
TI - Comparison of home and office blood pressure in hypertensive patients treated
with zofenopril or losartan.
AB - In a parallel double-blind multicentre study, 375 hypertensive patients were
enrolled and treated with either the angiotensin-converting enzyme inhibitor
(ACEI) zofenopril 30 mg once daily (titration 60 mg od) or the angiotensin II
type 1 receptor (AT1) antagonist losartan 50 mg od (titration 100 mg od).
Patients with mild to moderate hypertension, defined as a diastolic blood
pressure (DBP) between 95 and 110 mmHg in the sitting position without other
signs of cardiovascular disease were enrolled and treated for 12 weeks. BP was
assessed in the clinic, and self-measured by the patients at home during a
working day and a holiday, as well as before and at the clinic follow-ups.
Systolic (SBP) and DBP were significantly reduced in both treatment groups to a
similar extent at the end of the 12-week study. However, the immediate or early
reduction of DBP as well as DBP reduction over the first month was significantly
greater with zofenopril (p= 0 .01 and p= 0 .003, respectively) compared with
losartan treatment. After 3 months of treatment and dose up-titration, clinic BP
reductions were similar in both groups. However, more subjects with losartan had
used a higher dose step (42.1%) compared with zofenopril (33.1%). Home BP
assessments demonstrated that systolic and diastolic pressures were substantially
lower than the BP measurements made by sphygmomanometer in the clinic. In
particular, assessments 2-3 days before the clinic visits during working days and
holidays were characteristically lower, while the measurements during the clinic
visits were largely similar to the conventional BP measurements by the doctor.
The number and the severity of adverse events, related to the study medications,
were largely benign and similar in both groups. The present study demonstrates
that zofenopril in clinically recommended doses is at least therapeutically
equivalent to losartan treatment, when assessed by conventional sphygmomanometry
at the doctor's office or at home by self-measured BP assessments by the
patients. Zofenopril however, induces a more rapid initial lowering of BP over
the first month of therapy.
PMID- 18046974
TI - An evaluation of the initial and long-term antihypertensive efficacy of
zofenopril compared with enalapril in mild to moderate hypertension.
AB - Angiotensin-converting enzyme inhibitors (ACEIs) are used in the management of a
range of cardiovascular disorders and are well established in primary as well as
secondary cardiovascular prevention programmes. Over the years, several second-
and third-generation ACEIs have been introduced into the clinic. In a comparative
study in patients with mild to moderate hypertension, the efficacy and safety of
zofenopril 30 mg od (with an up-titration to 60 mg od after 4 weeks in non
responder patients) was compared with enalapril 20 mg od (with an up-titration to
40 mg od after 4 weeks in nonresponders) during 12 weeks of treatment. Both
treatments significantly reduced systolic (SBP) and diastolic blood pressure
(DBP). BP reduction was significantly greater with zofenopril (30 mg/day) during
the initial 4 weeks of treatment compared with enalapril (20 mg/day). A larger
proportion of patients needed dose up-titration with enalapril compared with
zofenopril to reach preset BP goals. After 12 weeks of treatment and after
appropriate dose up-titration, SBP and DBPs were lowered to similar extent in the
two treatment groups, resulting in no differences between the groups in terms of
response and control rates. A similar number of patients reported adverse events
in the two study groups. However, the severity of adverse events were
significantly milder with zofenopril compared with enalapril. In mild to moderate
hypertensive patients, zofenopril treatment results in a more pronounced lowering
of BP compared with enalapril at recommended dose levels. Additionally, at
clinical and comparative antihypertensive doses, zofenopril presents a more
beneficial adverse event profile compared with enalapril.
PMID- 18046975
TI - Blood pressure control and response rates with zofenopril compared with
amlodipine in hypertensive patients.
AB - Angiotensin-converting enzyme inhibitors (ACEIs) and calcium antagonists are
today extensively used as first-line monotherapy as well as appropriate
combination therapy in mild to moderate hypertension. In a parallel-group study,
using clinically recommended doses, the ACEI zofenopril was compared with the
calcium antagonist amlodipine in respect of their antihypertensive properties. In
the study, 303 hypertensive patients, aged 18-75 years, were compared in terms of
antihypertensive response and adverse effects after treatment with zofenopril, 30
60 mg once daily or amlodipine 5-10 mg od. After receiving the lower starting
dose, up-titration was optional at 4 weeks to the higher dose if diastolic
pressure (DBP) was 90 mmHg or more or if a decrease from base line of < 10 mmHg
was present. After 4 weeks and appropriate up-titration of dose in non-responder
patients, there were significant and similar reductions of sitting DBP by -10.0
and -9.9 mmHg and systolic blood pressure (SBP) by -13.0 and -13.2 mmHg the in
the zofenopril and amlodipine groups, respectively. After 12 weeks of therapy,
there were further reductions in blood pressure (BP) by the respective therapies.
Thus, the higher zofenopril dose lowered SBP/DBP by 15.7/12.0 mmHg and the higher
amlodipine dose by 17.1/ 12.2 mmHg (ns). Also, at the end of the study, the
percentage of patients controlled (with sitting DBP < 90 mmHg) was 61.4% in the
amlodipine and 62.2% in the zofenopril group and the percentage controlled (with
sitting DBP < 90 mmHg and/or a decrease of at least 10 mmHg) was 76.4 in the
amlodipine and 70.1 in the zofenopril groups (both ns). We conclude that SBP as
well as DBP were substantially reduced in mild to moderate hypertensive patients
over 12 weeks treatment with zofenopril or amlodipine in monotherapy. Thus, given
the size of the BP reduction, such treatments are likely to produce beneficial
cardiovascular outcome effects in patients with mild to moderate hypertension.
PMID- 18046976
TI - Antihypertensive efficacy of zofenopril compared with atenolol in patients with
mild to moderate hypertension.
AB - Two first-line antihypertensive therapies for initiating treatment in
hypertension were compared, the angiotensin-converting enzyme inhibitor (ACEI)
zofenopril and the beta-blocker atenolol. The study was multi-centre and double
blind, and included 304 middle-aged to elderly patients with mild to moderate
hypertension who were randomized to receive either zofenopril 30-60 mg once daily
(od) or atenolol 50-100 mg od for 4 weeks with the possibility to an up-titration
in non-responding patients. The higher dose level was then administered until 12
weeks after randomization. Blood pressures (BPs) were substantially reduced by
either treatment, but after 4 weeks, the systolic and diastolic BP reductions
were significantly greater (p < 0.05) with zofenopril (-15.6/-13.5 mmHg) compared
with atenolol (-13.1/-11.8 mmHg). After 12 weeks and the possibility of dose up
titration, BP differences between treatments were no longer significant. However,
control rates (sitting diastolic BP < 90 mmHg) for zofenopril remained
significantly higher compared with atenolol. The number of subjects with adverse
drug reactions possibly or probably related to the study medication was 14 (9.1%)
in the zofenopril group and 30 (20.8%) in the atenolol group (p = 0.008). It is
concluded that zofenopril as well as atenolol induces substantial reductions of
diastolic BP in middle-aged to elderly patients with hypertension. However, the
control rate when initiating antihypertensive therapy with zofenopril is higher
than that for atenolol.
PMID- 18046977
TI - [Metabolic risk during antipsychotic treatment in patients with schizophrenia].
AB - Compared with the general population, persons with schizophrenia are
characterized with an increased prevalence of obesity, type 2 diabetes mellitus,
and cardiovascular disease. Weight gain and increased adiposity is associated
with decreases in insulin sensitivity, leading to an increased risk of
hyperglycaemia and hyperlipidemia. Antipsychotic drugs can increase adiposity and
the range of trials suggests that treatment with antipsychotic medications may be
associated with an increased risk of acute (ketoacidosis), subacute (weight gain,
glucose intolerance, insulin resistance, dyslipidemia), and chronic (diabetes,
hypertension, coronary heart disease) metabolic complications. Conclusions
regarding the relative effects of various antipsychotic agents on different
components of the metabolic syndrome were reviewed, as well as recommendations
for monitoring these effects were noted. Selection and management of the
antipsychotic agent reflects a balance between optimizing therapeutic
effectiveness, modifying diet and exercise, and avoiding excessive weight gain,
dyslipidemia, and insulin resistance.
PMID- 18046978
TI - [Genetic polymorphism of COMT in mental disorders].
AB - Many neurobiochemical studies show abnormalities within dopaminergic
neuropathways, particularly altered dopamine transmission in etiopathogenesis of
mental disorders. Evaluation of genes associated with the dopaminergic system
include five well known subtypes of dopaminergic receptors, dopamine transporter
and enzymes associated with the synthesis and degradation of dopamine, such as
tyrosine hydroxylase, dopa decarboxylase, monoamine oxidase (MAO) and catechol O
methyltransferase (COMT). None of these genes is 'a' pathognomonic factor of
schizophrenia onset. In each sequence of the following genes 'a' functional
polymorphism can occur. The polymorphisms of genes MAO-A and COMT have been
described in relation to various expression or altered activity of these enzymes,
their influence on cognitive functions, affective and anxiety disorders, learning
disabilities, aggressive behaviour, eating disorders or gender differences.
PMID- 18046979
TI - [Genetic polymorphism of a MAO in mental disorders].
AB - Amin oxydase (monoaminoxydase, MAO) is an enzyme which catalyses chemical
reactions of biogenic amines. It plays a crucial role in pathogenesis of mental
disorders associated with the dysfunction of the central monoaminergic systems
(schizophrenia, affective disorders, some forms of alcohol dependence, and
personality disorders). MAO has got two isoforms such as MAO-A and MAO-B. The
genes coding of MAO are localised at the short arm of chromosome Xp11. In each
sequence of genes there is a probability of functional polymorphism occurrence
which leads to a variable expression or a change of MAO activity and it exerts an
impact on the onset of some mental disorders, such as: schizophrenia, affective
disorders, some forms of alcohol dependence, and personality and behavioural
disorders. Dynamic development of psychiatric genetics may have crucial impact on
considerable progress in understanding molecular background of mental disorders.
PMID- 18046980
TI - [Acute dyskinetic syndrome during chloropromazine treatment of a female patient
with CYP2D6 poor metabolism phenotype].
AB - The authors describe the case of a female patient with the diagnosis of
schizophrenia and the CYP2D6 poor metaboliser phenotype (PM phenotype), who
experienced severe extrapyramidal side effects, including acute dystonia, while
being treated with chloropromazine at 100 mg per day (in the third day of
therapy). The CYP2D6 phenotype was determined using the sparteine test before and
after 3 days of treatment. Metabolic ratio increased 12 times during treatment,
from initial 30 to 355. The authors conclude that CYP2D6 poor phenotype leading
to slow chloropromazine metabolism, which was further inhibited by
chloropromazine during treatment (as chlorpromazine is a strong CYP2D6 enzyme
inhibitor) had significant importance on the occurrence of acute extrapyramidal
side effects. Most likely the antidopaminergic influence of the drug on the CNS
was much more marked due to an inhibition of chlorpromazine metabolism leading
probably to an increase of the chlorpromazine blood level.
PMID- 18046981
TI - [Olfactory dysfunctions in patients with schizophrenia].
AB - Olfactory dysfunctions in patients with schizophrenia are becoming the aim of an
increased number of studies. Smell identification deficits are well known but
also other olfactory processes are examined, eg. sensitivity, discrimination,
familiarity and edibility. Smell identification deficits are present in patients
with schizophrenia and also in their non-psychotic siblings. Moreover, this
dysfunction was reported in a group of children and adolescents with psychosis.
Patients have also neuroanatomical abnormalites in brains structures linked with
olfaction, e.g. olfactory bulb. Probably, there is no relationship between
pathology of olfactory mucosa and olfactory dysfunction. However, correlation
between smoking, neuroleptic treatment and olfactory deficits was not found, but
it is unclear what is the role of long-term pharmacotherapy. There is a
relationship between negative symptoms, IQ and olfactory processing. Smell
identification deficits are not specific for schizophrenia. This disorder is well
described in Alzheimer's disease. It is possible that olfactory deficits in
schizophrenia are induced by pathology in cortical or subcortical regions which
cannot be detected in neuropsychological tests. Currently it is difficult to use
olfactory deficits as endophenotypical markers of schizophrenia, and further
studies are necessary.
PMID- 18046982
TI - [Correlations between features of social network and outcomes in those suffering
from schizophrenia seven years from the first hospitalisation].
AB - AIM: A research had been conducted upon the correlations between selected
parameters of social networks of 64 patients ill with schizophrenia who were
diagnosed according to DSM-III, and the aims of treatment such as: motivation to
receive treatment, insight, compliance in taking medication, satisfaction with
treatment, and treatment outcomes in the area of clinical and social functioning
as well as family functioning seven years after the first admission. METHOD: The
indices of social networks were studied with Bizon's questionnaire. It serves
storing of data on persons who have supportive functions as well as allows to
work out characteristic properties of the support system such as: range of the
network, size of the extra-familial network, level and localisation of the
support, network and support system age. RESULTS: A compound system of social
support and large social network, with a high level of support, correlate in a
beneficial way with higher subjective satisfaction with treatment. Whereas a
large extra-familial network with high level of support, correlates with better
insight into illness. The larger the social network was (its range to be
precise), including extra-familial network and the high level of incoming
support, the fewer positive and negative symptoms the patients had and much more
remissions appeared then. The larger network's range correlates with smaller
number of relapses and global time of being hospitalised. People with a larger
network, with high level of support located in family and outside the family,
have been rarely hospitalised. The connection between network's parameters and
number of daily hospitalisations had been rated. CONCLUSION: People with a larger
network, including extra-familial network, with high level of social support
function better in the society didn't become regressive in their professional
lives and they have smaller burden in their family life. The high level of social
support correlates with better family function. In families of people ill with
schizophrenia having larger extra-familial network with a high level of support
there is less deterioration and disintegration, criticism and rejection.
PMID- 18046983
TI - [Social network and quality of life of people suffering from schizophrenia in
seven years from first hospitalisation].
AB - AIM: The aim of the research was the analysis of a "social network" of people
suffering from schizophrenia in seven years after first admission and analysis of
relationships between "social networks" of people suffering from schizophrenia
and treatment outcomes, the "quality of life" in particular. METHOD: Sixty-four
patients have been diagnosed according to DSM-III seven years after first
admission. In this group were 34 men (55.7%) and 27 women (44.3%) and the average
age was 32 years. Lechman's Life Quality Questionnaire and Bizon's Questionnaire
of Social Support were used in the study. RESULTS: In the Cracovian longitudinal
study, persons with a larger network and with a high level of social support
display better general subjective satisfaction from quality of life. These
results are confirmed in the research of Lehman and his co-workers, Corrigan and
Buican. The lack of connection between social network parameters and actual
health condition as an objective indicator of the quality of life is incompatible
with the results of some investigators, who claim, that as time flies, the
impoverishment of the support system is especially threatening to health and
mental disorders can appear. CONCLUSION: Research upon relationships between
"social network" and quality of life of persons suffering from schizophrenia
haven't been widely described in professional literature so far, moreover, the
obtained results are ambiguous and still raise many doubts.
PMID- 18046984
TI - [Correlation between cognitive defects and the course of schizophrenia. Initial
study of a rehabilitation programme participants].
AB - AIM: Cognitive deficits are the "primary" dysfunction in schizophrenia. They make
possible a reliable prediction of the broadly understood adjustment of the
psychiatric patients. The purpose of this paper is to evaluate the relation
between the results of rehabilitation and the cognitive deficits among the
patients diagnosed with schizophrenia. METHOD: The assessment of the cognitive
deficits presented in this paper was conducted using the WCST, the Trial Making
Test and a verbal fluency tests. These tests evaluated mainly executive
functioning and working memory. Four indicators of the course of schizophrenia
were taken into consideration: the severity of symptoms assessed by PANNS, the
range of social interactions, professional functioning (readiness to be employed)
and the Quality of Life self-evaluation questionnaire by Olivier. Altogether 64
patients diagnosed with schizophrenia (in accordance with the DSM-IV and ICD-10)
who participated in a rehabilitation programme were assessed. RESULTS: The study
showed correlation between poorer verbal fluency and severity of negative
symptoms, worse professional functioning, limited range of social interactions
and lesser satisfaction with work, privacy level and the time spent outside home
estimated by the QLQ. The poorer results of the TMT correlate with the severity
of negative symptoms and the level of general psychopathology as well as with
worse professional functioning. The number of correct answers in the WCST is
correlated with the level of professional functioning. Persons with higher
education made less perseverative errors. Persons who managed to finish the first
category of the test faster are characterised by a broader social interactions
network. CONCLUSIONS: It was demonstrated that within the studied group of people
with a long-term course of schizophrenia cognitive deficits correlate with the
considered indicators of the results of rehabilitation process.
PMID- 18046985
TI - [Schizophrenic patient's constant refusal of psychotropic medication--case report
and psychodynamic remarks].
AB - AIM: The aim of the study was the description of defence mechanisms, phantasies
and object relations in a non-compliant patient with schizophrenia, who many
times had refused using antipsychotic drugs in spite of symptom improvement.
METHOD: Psychodynamic analysis of the case. CONCLUSIONS: Mental functioning of
the patient based on a narcissistic type of relation, massive primitive defence
mechanisms, especially splitting, 'no entry defence' and omnipotent denial. The
authors described the influence of these factors on the efficacy of psychotropic
medication and compliance of patient.
PMID- 18046986
TI - [Mental disorders in a female patient with childhood sexual abuse--schizophrenia
or complex PTSD].
AB - The paper presents the definition, synonyms of child sexual abuse and a short
overview of the current knowledge about long-term effects of that kind of trauma.
The case of a female patient with diagnosed schizophrenia and a history of being
sexually abused in childhood who was hospitalised at The Department of Psychiatry
in Lublin is described. Sexual abuse of the child is prolonged, repeated trauma,
thus another probable diagnosis--Complex PTSD is discussed. The diagnosis of PTSD
includes the symptoms that result when a person experiences a short-lived trauma.
However, chronic trauma like child sexual abuse continue for months or years at a
time and a new diagnosis called Complex PTSD describes the symptoms of long-term
trauma.
PMID- 18046987
TI - [Delusional parasitosis: case report].
AB - A case of a 75-year-old woman suffering from delusional parasitosis is described.
The patient was referred to the consultant psychiatrist by a dermatologist with
the diagnosis of psychosis. In course of the psychiatric treatment the patient
revealed the delusional parasitosis and received antipsychotic treatment
resulting in full remission. Delusional parasitosis (Ekbom syndrome) is a
condition where a person has the unshakeable mistaken belief of being infested
with parasites. Patients give detailed descriptions of the activity and offending
parasites: crawling, biting, burrowing. According to the contemporary ICD-10
diagnostic criteria, the delusional parasitosis is a disorder which belongs to
organic hallucinosis that are usually attached to lonely, old women. In such
cases, a therapeutic process using antipsychotic treatment is necessary. A
liaison between dermatologist and psychiatrist is advocated.
PMID- 18046988
TI - [Basic and clinical analysis of immune cell therapy against advanced renal cell
carcinoma].
PMID- 18046989
TI - Possible roles of transcription factors of pseudorabies virus in
neuropathogenicity.
AB - Pseudorabies virus (PRV) is also known by its taxonomic name, suid herpesvirus 1,
or by its original name, Aujeszky's disease virus. PRV is a swine herpesvirus of
the Alphaherpesvirinae subfamily to which varicella-zoster virus (VZV) and herpes
simplex virus type 1 (HSV-1) and type 2 (HSV-2) belong. PRV is a pathogen of
swine resulting in devastating disease and economic losses worldwide. It causes
severe neurological disorders in infected piglets and latent infection in
surviving pigs. PRV also causes acute and often fatal infection in other domestic
and wild animals. PRV has been of interest to virologists and neurobiologists.
This herpesvirus has served as a useful model organism for the study of
herpesvirus biology. The virus has also been used as a "live" tracer of neuronal
pathways, making use of its remarkable propensity to infect synaptically
connected neurons. Transcription factors of alphaherpesviruses not only control
the expression of their own viral genes, but also influence the gene expression
of other viruses and mammalian cells. This review focuses on recent reports
regarding the use of transgenic mice to study the contributions of PRV
transcription factors to the neuropathogenicity and the functions of their
transcriptional regulatory elements.
PMID- 18046990
TI - [Frequency of gastroesophageal reflux disease (GERD) as a complication in
patients with chronic liver diseases: estimation of frequency scale for the
system of GERD].
AB - Recently, a questionnaire, Frequency Scale for the System of GERD (FSSG), has
been estimated to be clinically useful for the initial diagnosis of
gastroesophageal reflux disease (GERD). We investigated the frequency of GERD as
a complication in patients with chronic liver diseases by using the
questionnaire, FSSG. As a result, it may be considered that, as a complication of
chronic liver disease, GERD exists in about 20% of patients and mainly belongs to
a dyskinetic type.
PMID- 18046991
TI - Preparation of a quality of life (QOL) questionnaire for patients with type II
diabetes and prospects for its clinical application.
AB - Modification of the lifestyle centering on dietary therapy has been proven to be
effective for the treatment of diabetes mellitus, which is increasing
progressively. However, lifetime treatment for diabetes inevitably puts economic
as well as physical and mental burdens on the patients, and many patients drop
out by discontinuing or neglecting treatment, promoting exacerbation of the
condition. In this study, an original "Quality of life (QOL) questionnaire for
patients with type II diabetes" using a visual analogue scale was tentatively
prepared. To evaluate the reliability and validity of this questionnaire, 126
patients (64 males and 62 females) being treated as outpatients or inpatients at
8 university or public hospitals in Fukuoka Prefecture were selected at random,
and valid answers from 73 patients were analyzed. From the 46 questions, those in
which no significant correlation was observed or which were not answered by many
patients were excluded. Since the value of sampling adequacy was 0.673 according
to the Kaiser-Meyer-Olkin (KMO) measure, the sampling adequacy is considered to
have been average. When factor analysis by varimax rotation was performed using
the Statistical Package for Social Science, 4 factors consisting of 18 question
items were extracted. Eventually, these 4 factors of 18 questions were adopted
for the QOL questionnaire for patients with type II diabetes. The alpha values of
the 4 factors were high at 0.867, 0.795, 0.706, and 0.756. These results
confirmed the internal consistency of the questionnaire and sufficient
reliability of this analytical method.
PMID- 18046992
TI - Chronic instability of the anterior syndesmosis of the ankle.
PMID- 18046993
TI - Pathobiology of oral mucositis: novel insights and opportunities.
AB - Oral mucositis is a common and debilitatingly painful side effect of many forms
of chemotherapy and radiation therapy. The erythematous, atrophic, and ulcerative
lesions that develop are a consequence of epithelial damage and death mediated
through a complex series of molecular and cellular events. The consequences of
mucositis are far-reaching and include chemotherapy dose reductions, breaks in
radiation treatment, cessation of cancer therapy, reliance on parenteral
nutrition, administration of narcotics, hospitalization, and morbidity. In this
review, the underlying molecular and cellular pathobiology of oral mucositis is
characterized in five phases: initiation, the primary damage response, signaling
and amplification, ulceration, and healing. The roles of reactive oxygen species,
transduction and transcription pathways, signaling and functional mediators, and
bacteria on the development and resolution of mucositis are described as a
dynamic process in which epithelial stem cells are the targets. Insights into the
mechanisms of oral mucositis are generating new approaches for effective,
targeted treatment.
PMID- 18046994
TI - Clinical and economic consequences of mucositis induced by chemotherapy and/or
radiation therapy.
AB - Mucositis is a common complication of cancer therapy and can be a debilitating
and dose-limiting toxicity. Nearly all patients with head and neck cancer treated
with radiotherapy develop some degree of mucositis, as do the majority of
patients undergoing high-dose chemotherapy in conjunction with hematopoietic stem
cell transplantation. Mucositis can have significant clinical and economic
consequences. It is associated with severe pain that requires opioid analgesics
and often results in the loss of critical functions such as speech and
swallowing. Swallowing difficulties can lead to dehydration, weight loss, and the
need for nutritional support. Furthermore, patients with mucositis are at
increased risk of infection. Unscheduled dose reductions or treatment breaks due
to severe mucositis may potentially compromise the efficacy of therapy and result
in diminished quality of life. Treatment costs for patients with mucositis are
substantially higher due to increased rates of hospitalization, opioid use, and a
greater need for fluids and nutritional support. Costs generally increase as a
function of mucositis severity. Effective treatments to prevent or reduce the
incidence and severity of mucositis are needed to decrease function loss,
minimize symptom burden, and lower treatment costs.
PMID- 18046995
TI - Consequences of mucositis-induced treatment breaks and dose reductions on head
and neck cancer treatment outcomes.
AB - Patients with head and neck cancer (HNC) receiving radiation therapy (RT) alone
or with concurrent chemotherapy (CRT) often develop mucositis that may lead to
unplanned treatment interruptions and/or chemotherapy dose reductions. Some RT
schedules have included planned treatment breaks to allow normal tissues to
recover from these toxicities. These decreases in treatment intensity, however,
may reduce rates of locoregional tumor control and survival. Any treatment gaps
allow for tumor repopulation, which may also promote regrowth of chemotherapy
resistant populations. Therefore, any potential benefits of high-intensity
therapy may be lost due to interruptions in RT or reduced chemotherapy dose
intensity, unless the treatment intensity is sufficient to offset interval tumor
repopulation. Most patients undergoing RT alone and virtually all undergoing CRT-
particularly those with HNC--will develop mucositis, which doubles the risk of
reduction in treatment intensity and can increase the rate of hospitalization and
the use of feeding tubes or total parenteral nutrition. Many of these patients
with severe mucositis will require a break in treatment or change in
administration schedule to alleviate symptoms. Effective prophylaxis or treatment
could reduce the probability of treatment breaks and dose reductions and thus
improve outcomes.
PMID- 18046996
TI - Novel agents for the treatment of mucositis.
AB - Each year, approximately 400,000 people in the United States develop cancer
treatment-induced oral or esophageal mucositis. Ulceration and inflammation in
the alimentary tract can be so severe that they compromise treatment and survival
due to life-threatening complications. These complications may necessitate
treatment interruption, dose reduction, or cessation of treatment altogether.
Pain and difficulty eating or swallowing commonly occur and contribute to long
term morbidity. Until recently, supportive care alone was the mainstay of
treatment for mucositis. Palliative interventions were based on the concept that
injury occurred simply at the epithelial level. Although a wide variety of
palliative treatments were offered to patients, none proved effective at
prevention, and few agents significantly reduced the duration or severity of
mucositis. Within the past decade, however, research on the pathogenesis of
mucositis has revealed a complex sequence of biological events, each of which has
the potential to serve as a therapeutic target. Initial results with selected
agents are encouraging, and active research is under way to identify additional
new drug targets and candidate drugs, which are reviewed here.
PMID- 18046997
TI - Interpretation of aberrometry measurements in cataract surgery.
AB - PURPOSE: To discuss the use of wavefront measurements generated from the NIDEK
OPD-Scan II and OPD-Station for cataract surgery. METHODS: Case examples are
presented along with information and relevant clinical data obtained from the OPD
Scan II aberrometer and OPD-Station software. All eyes underwent corneal
topography, wavefront aberrometry, autorefraction, keratometry, and pupillometry
measurements pre- and postoperatively using the OPD-Scan II. Optical and visual
quality simulations, including modulation transfer functions, and visual quality
attributable to corneal and internal aberrations, were performed using OPD
Station software. RESULTS: Twelve case examples from cataract surgery, including
aspheric intraocular lens (IOL) implantation, diffractive IOLs, and toric IOL
implantation, are presented. CONCLUSIONS: The wavefront analysis in cataract
surgery was shown to be a fundamental tool in evaluating visual quality after IOL
implantation. The OPD-Scan II distinguished between corneal and lenticular
aberrations, allowing for evaluation of actual optical and visual performance
attributable to the IOL. Our review of case examples also showed that for
multifocal IOL implantation, a thorough investigation is necessary to correlate
subjective and objective visual quality and for patient selection.
PMID- 18046998
TI - Objective assessment of the quality of vision before and after repositioning of a
dislocated iris-fixated aphakic anterior chamber lens.
AB - PURPOSE: To document severe visual symptoms due to the dislocation of an iris
fixated aphakic anterior chamber lens using wavefront aberrometry. METHODS: A
patient complaining of severe visual blur and distortion due to a decentered
Artisan iris-fixated anterior chamber lens was evaluated pre- and postoperatively
after recentration. The patient underwent complete ophthalmic evaluation
including the measurement of corneal topography and wavefront aberrations using
the NIDEK OPD-Scan. OPD Station software was used to correlate and simulate the
patient's symptoms. RESULTS: After the repositioning procedure, the visual
symptoms resolved, and high magnitudes of tilt (7.536 microm) and high order
aberrations (5.129 microm) were dramatically reduced by simple lens repositioning
(4.40-mm pupil). The cylinder magnitude was reduced from 6.25 to 0.75 diopters.
CONCLUSIONS: These are the first diagnostic images of this kind in a highly
aberrated eye with iris-fixated anterior chamber lens dislocation. The images
documented the optical effect and visual consequences of IOL decentration and
subsequent recentration.
PMID- 18046999
TI - Long-term effects on corneal keratocytes of mitomycin C during photorefractive
keratectomy: a randomized contralateral eye confocal microscopy study.
AB - PURPOSE: To evaluate the long-term side effects of mitomycin C (MMC) assisted
photorefractive keratectomy (PRK) on corneal keratocytes of highly myopic eyes.
METHODS: Twenty-eight patients with bilateral myopia from -7.00 to -14.25
diopters (D) underwent PRK on both eyes, one eye of each patient received topical
application of 0.02% MMC for 2 minutes immediately after the PRK procedure.
Corneal keratocyte density was quantified by corneal confocal microscopy at
baseline and 5 years postoperatively. RESULTS: Photorefractive keratectomy
reduced keratocyte density in the most anterior stromal layer, without a
statistically significant difference between MMC and standard treated eyes.
Posterior stromal layers showed no signs of keratocyte loss with either
techniques. CONCLUSIONS: Phototherapeutic keratectomy with 0.02% topical MMC has
no significant side effects on corneal keratocytes compared to standard PRK, as
documented by in vivo corneal confocal microscopy.
PMID- 18047000
TI - A prospective, randomized, contralateral eye comparison of epithelial laser in
situ keratomileusis and photorefractive keratectomy in eyes prone to haze.
AB - PURPOSE: To compare refractive outcome, subepithelial haze, and pain after
epithelial laser in situ keratomileusis (epi-LASIK) and photorefractive
keratectomy (PRK). METHODS: In this prospective, randomized study, 32 eyes of 16
patients were treated for myopia with epi-LASIK (epi-LASIK group) in one eye and
PRK in the fellow eye (PRK group). All patients underwent ablation using the
NIDEK EC-5000 CX II excimer laser platform. Mean patient age was 24.8 years
(range: 19 to 35 years). Mean preoperative manifest refractive spherical
equivalent (MRSE) was -2.76 diopters (D) (range: -1.00 to -4.88 D). Refractive
outcome, subepithelial haze, and pain out to 6 months postoperatively were
compared between groups. RESULTS: At 6 months postoperatively, the mean MRSE was
0.22 +/- 0.27 D (range: 0.25 to -0.88 D) in the epi-LASIK group and -0.23 +/-
0.29 D (range: 0.50 to -1.125 D) in the PRK group. There was no statistically
significant difference in the refractive outcomes between groups. By
postoperative day 4, 18% of the epi-LASIK group and 7% of the PRK group achieved
the final uncorrected visual acuity (UCVA). On day 1 postoperatively, 14% fewer
patients in the PRK group experienced pain compared with the epi-LASIK group. On
postoperative day 2, 36% fewer patients in the epi-LASIK group experienced pain.
Seventy-one percent of patients in the epi-LASIK group and 36% of patients in the
PRK group had no haze postoperatively. CONCLUSIONS: Epi-LASIK and PRK produced
similar refractive outcome. Patients who underwent epi-LASIK experienced faster
recovery of vision, less haze, and less pain.
PMID- 18047001
TI - Comparison of laser epithelial keratomileusis with and without mitomycin C for
wavefront customized surface ablations.
AB - PURPOSE: To investigate the efficacy of mitomycin C (MMC) in preventing haze
formation in surface wavefront customized ablations with successful refractive
treatment (laser epithelial keratomileusis [LASEK]) and to evaluate the safety of
this technique on corneal stroma and endothelium. METHODS: This study was a
prospective, double-masked, randomized clinical trial involving 52 eyes (30
placebo and 22 MMC) of 26 patients. The manifest refractive spherical equivalent
(MRSE), best spectacle-corrected visual acuity, uncorrected visual acuity,
corneal pachymetry, topography, aberrometry, endothelial specular microscopy,
contrast sensitivity, corneal confocal microscopy, and complaints of pain via a
subjective questionnaire were recorded preoperatively and 90 days
postoperatively. RESULTS: The mean MRSE at 90 days postoperatively was -0.56
diopters (D) (-4.95 +/- 1.85 D, range: -8.00 to -1.62 D) for the MMC group and
0.49 D (-4.51 +/- 1.81 D, range: -7.75 to -2.25 D) for the placebo group. Higher
order aberrations were similar between the placebo and MMC groups 90 days
postoperatively (0.538 +/- 0.228 microm and 0.478 +/- 0.134 microm,
respectively). Analysis of the endothelial cell count indicated a statistically
significant decrease in endothelial cell density (P = .017) after LASEK,
independent of MMC use. CONCLUSIONS: The predictability of the final target
refraction, induction of high order aberrations, and improvement in contrast
sensitivity proved that the use of MMC was equally safe when compared to
procedures that did not use MMC. In addition, the procedure was efficient in the
prevention of corneal haze.
PMID- 18047002
TI - Wavefront- versus topography-guided customized ablations with the NIDEK EC-5000
CX II in surface ablation treatment: refractive and aberrometric outcomes.
AB - PURPOSE: To compare the long-term refractive outcomes and changes in higher order
wavefront aberrations in patients undergoing photorefractive keratectomy (PRK)
with topography-guided (CATz) or wavefront-guided (OPDCAT) ablation algorithm
using the NIDEK Advanced Vision Excimer Laser System (NAVEX). METHODS: A
retrospective 12-month follow-up study was conducted of 226 eyes undergoing PRK.
The NIDEK EC-5000 CX II excimer laser and Final Fit 1.11 treatment planning
software were used. Sixty-eight eyes underwent OPDCAT ablation and 158 eyes
underwent CATz ablation. Mean preoperative manifest refractive spherical
equivalent (MRSE) was -5.73 +/- 2.03 diopters (D) (range: -11.25 to -2.50 D) in
the OPDCAT group and -3.77 +/- 3.78 D (range: -12.50 to -5.75 D) in the CATz
group. RESULTS: At 12 months postoperatively, mean MRSE was 0.05 D for the OPDCAT
group and plano for the CATz group. Stability was similar in both groups as were
visual outcomes. No eyes lost 2 or more lines of BSCVA at 6 months
postoperatively or later. Mean ocular wavefront higher order aberrations at 3
months postoperatively were 0.44 +/- 0.17 microm for the OPDCAT group and 0.55 +/
0.27 microm for the CATz group. CONCLUSIONS: Refractive outcomes were equivalent
between eyes that underwent PRK with wavefront-guided ablation and those that
underwent topography-guided ablation.
PMID- 18047003
TI - Optimal balance of wavefront aberrations in photorefractive keratectomy.
AB - PURPOSE: To determine the ratio of defocus (Z4) to spherical aberration (Z12) in
patients with optimal visual performance 10 years after multifocal aspheric
photorefractive keratectomy (PRK). METHODS: The optimal ratio of defocus to
spherical aberration was determined in 16 presbyopic patients (32 eyes) with 10
year follow-up after aspheric multifocal PRK with good to excellent visual acuity
postoperatively and the absence of mesopic symptoms such as halos and glare. All
patients were satisfied with their near and far vision. The NIDEK OPD-Scan was
used to measure wavefront aberrations postoperatively for a 6-mm pupil diameter
to the 6th Zernike order. Preoperative manifest refraction spherical equivalent
(MRSE) was -7.61 +/- 1.04 diopters (D) (range: -6.25 to -10.00 D). RESULTS: At 10
years postoperatively, mean spherical equivalent refraction was -0.49 +/- 0.96 D.
All eyes were within 1.00 D of the intended refraction. The ratio of Z4/Z12 for
patients with optimal outcomes was 3.07 +/- 1.36. CONCLUSIONS: The ratio of
Z4/Z12 generated in this study determines the optimum balance of defocus to
spherical aberration required for excellent outcomes in patients undergoing
multifocal aspheric PRK.
PMID- 18047004
TI - Active cyclotorsion error correction during LASIK for myopia and myopic
astigmatism with the NIDEK EC-5000 CX III laser.
AB - PURPOSE: To investigate the predictability and accuracy of active cyclotorsion
compensation during LASIK for myopia with astigmatism. METHODS: Fifty-two eyes of
26 patients were divided into two groups; one group (30 eyes) underwent primary
LASIK with torsion error correction (TEC [TEC group]) and 22 eyes underwent LASIK
without TEC (control group). The NIDEK EC-5000 CX III excimer laser was used for
all treatments. All eyes were targeted for emmetropia. Manifest refraction
spherical equivalent (MRSE), refractive outcomes, and dispersion and
predictability of manifest refractive cylinder were compared between the TEC and
control groups. A P value < .01 was considered statistically significant. All
outcomes are reported at 3 months postoperatively. RESULTS: Statistically
significantly lower cylinder dispersion and mean manifest refractive cylinder
postoperatively were demonstrated in the TEC group (P < .01). Final refractive
cylinder for the TEC group was -0.21 +/- 0.16 diopters (D) (range: -0.62 to 0.00
D) and -0.56 +/- 0.34 D (range: -1.25 to 0.00 D) for the control group. No
statistically significant differences in mean MRSE or safety (defined as a loss
of 2 or more lines of best spectacle-corrected visual acuity) was noted between
groups. CONCLUSIONS: LASIK with active cyclotorsion error correction increases
the accuracy of cylinder correction.
PMID- 18047005
TI - Confocal microscopy of corneal wound healing after Ho:YAG laser
thermokeratoplasty.
AB - PURPOSE: To investigate corneal wound healing using confocal microscopy in
patients who underwent laser thermokeratoplasty (LTK) for hyperopia between +1.50
and +3.00 diopters (D). METHODS: In a prospective, nonrandomized study, 78 eyes
of 42 patients were followed for 1 year using contact and non-contact confocal
microscopy to evaluate corneal appearance after LTK with the Oko-1 Ho: YAG laser.
The NIDEK Confoscan 4, 20x non-contact lens and 40x contact lens, was used to
evaluate the morphology of the corneal layers. The Oko-1 laser was used to reduce
or eliminate hyperopic refractive error. Patients underwent confocal microscopy
measurement preoperatively, 1 and 2 weeks and 1, 3, 6, and 12 months after LTK.
Individual examinations were reviewed and classified by the investigators.
RESULTS: Preoperatively, spherical hyperopia was +2.11 +/- 0.21 D (range: +1.50
to +2.75 D). At 3 months postoperatively, the mean manifest refractive spherical
equivalent (MRSE) was +0.11 +/- 0.02 D and +0.21 +/- 0.02 D at 1 year. Mean
corneal pachymetry was 631.6 +/- 8.40 microm preoperatively, 637.4 +/- 12.7
microm at 3 months, and 608.4 +/- 31.7 microm at 1 year. The mean endothelial
cell density was 2771.4 +/- 28.4 cells/mm2 preoperatively, 2709.4 +/- 122.3
cells/mm2 at 3 months, and 2693.2 +/- 139.1 cells/mm2 at 1 year. Activated
keratocytes were present at 3 months postoperatively, which decreased over the
course of 1 year. CONCLUSIONS: Laser thermokeratoplasty for low to moderate
hyperopia using the Oko-1 results in formation of intrastromal fibrosis with
minimal changes in corneal morphology outside the sites of treatment.
PMID- 18047006
TI - Thin-flap LASIK to increase the range of treatments using the NIDEK EC-5000 CX
excimer laser.
AB - PURPOSE: To compare the safety, advantages, and disadvantages of two LASIK groups
that underwent surgery with a standard-thickness 130-microm microkeratome head
(standard thickness group) and a 90-microm microkeratome head (thin flap group)
prior to ablation with the NIDEK EC-5000 CX excimer laser. METHODS: Two hundred
seventeen patients (420 eyes) with myopia or hyperopia underwent LASIK with
either a 130-microm microkeratome head (137 eyes of 74 patients) or 90-microm
microkeratome head (283 eyes of 143 patients). Corneal and stromal bed thickness
prior to ablation were measured three consecutive times using ultrasound
pachymetry. Averages of the corneal bed with the flap reflected, the flap
thickness, and residual stromal bed were calculated for both groups. The
postoperative appearance of the flaps and stroma in both groups were compared by
slit-lamp examination. RESULTS: No difference in preoperative corneal thickness
was found between groups. Eyes that underwent treatment with the 130-microm
microkeratome head had a mean flap thickness of 163.6 +/- 29.60 microm (range: 71
to 246 microm). Eyes that underwent treatment with the 90-microm microkeratome
head had a mean flap thickness of 113.30 +/- 24.26 microm (range: 65 to 182
microm). No intra- or postoperative complications occurred in either group. Flap
appearance was similar in both groups. CONCLUSIONS: Both 130-microm and 90-microm
microkeratome heads have similar predictability. Using a 90-microm microkeratome
head leaves a thicker stromal bed for ablation.
PMID- 18047007
TI - Phototherapeutic keratectomy to eliminate postoperative interface sheen in
hyperopic LASIK.
AB - PURPOSE: To evaluate the effect of minimal phototherapeutic keratectomy (PTK)
during primary hyperopic LASIK to eliminate or minimize interface sheen. METHODS:
Forty-two eyes of 21 consecutive hyperopic patients underwent LASIK using the
NIDEK EC-5000 CX excimer laser. Mean spherical hyperopia was +3.05 +/- 1.41
diopters (D) (range: +0.75 to +5.75 D); mean cylinder was -0.88 +/- 0.89 D
(range: -0.25 to -2.25 D). Mean manifest refraction spherical equivalent was
+2.70 +/- 1.17 D (range: +0.75 to +5.00 D). Twenty-eight eyes received PTK after
the refractive ablation whereas 14 eyes did not. The presence or absence of
interface sheen was noted using slit-lamp microscopy. RESULTS: One (3.5%) eye in
the group that received PTK ablation had sheen whereas 27 (89%) eyes did not. A
greater number of cases with sheen occurred in the group that did not receive PTK
(12 eyes). CONCLUSIONS: Our experience shows that the delivery of minimal PTK
without a masking agent tends to reduce the incidence of sheen after hyperopic
LASIK.
PMID- 18047008
TI - Tangential topography corneal map to diagnose laser treatment decentration.
AB - PURPOSE: To describe the use of corneal topography to determine the cause of
decentration and pseudodecentration after laser ablation. METHODS: A total of 148
eyes referred for treatment of decentration after primary excimer laser surgery
were evaluated using corneal topography. The criteria and maps used to
distinguish between decentration and pseudodecentration are described. All eyes
were analyzed with the tangential algorithm of the CSO corneal topographer and
the NIDEK OPD-Scan. RESULTS: Eyes were classified into two groups: decentered and
pseudodecentered. Only 5 (3.4%) eyes were decentered, and 143 eyes were
classified as pseudodecentered. Analysis of the different causes of
pseudodecentration included 28 cases due to an irregular ablation, 107 cases due
to high corneal dioptric gradient, and 8 cases due to central islands.
Instantaneous corneal topography was the most suitable map to determine
decentration and pseudodecentration. CONCLUSIONS: Decentration and
pseudodecentration were due to corneal topographic abnormalities causing sudden
changes of corneal curvature in the midperiphery. The evaluation of the
instantaneous curvature maps in this study underscores the importance of these
peripheral changes by highlighting their impact on the optical performance of the
central cornea.
PMID- 18047009
TI - Metabolic activity of the thalamic and telencephalic auditory centers of
reptiles.
PMID- 18047010
TI - The human pituitary-thyroid system under chronic exposure to cold.
PMID- 18047011
TI - To the nature of the effect of glycosidic clathration of pharmacons.
PMID- 18047012
TI - On the origin of consciousness.
PMID- 18047014
TI - Human brain responses to sensory stimuli as determined by EEG and fMRT methods
(pilot studies on the healthy subjects).
PMID- 18047013
TI - Hormonal response to a synthetic analog of adrenocorticotropic hormone in lynx
pups (Lynx lynx L.).
PMID- 18047015
TI - Effect of climatic changes on the zoobenthos of the Barents Sea (on the example
of several abundant species).
PMID- 18047016
TI - Specific features of metabolism in male herring gulls (Larus argentatus Pontop.)
on the Murman coast.
PMID- 18047017
TI - Phylogenetic relationships between species and intraspecific forms of forest mice
from the genus Sylvaemus as determined by partial sequencing of the cytochrome b
gene of mitochondrial DNA.
PMID- 18047018
TI - Monoclonal and de novo arising tetraploid forms of the genus Cobitis (Cobitidae)
from different clonal-bisexual complexes.
PMID- 18047019
TI - Ecological evolution of the phloem of dicotyledonous plants.
PMID- 18047020
TI - The dependence of interspecific differences in the histoenzymatic parameters of
skin glands between Norway (Rattus norvegicus) and black (Rattus rattus) rats on
their social behavior.
PMID- 18047021
TI - On the taxonomic position of the far eastern red-finned mullet (Mugil so-iuy).
PMID- 18047022
TI - Correlation of the shoulder girdle asymmetry with the limb skeleton asymmetry in
Xenopus laevis.
PMID- 18047023
TI - Dependence of the domination of Anabaenaflos-aquae (Lyngb.) Breb. in the
phytoplankton community on the initial abundance of akinetes.
PMID- 18047024
TI - Effect of increased CO2 concentrations on gas exchange and productivity of
cultivated vegetables contributing to the phototrophic component of biological
regeneration life-support systems.
PMID- 18047025
TI - The involvement of phenylalanine ammonia-lyase and salicylic acid in the
induction of resistance of tomato plants infested with gall nematode Meloidogyne
incognita.
PMID- 18047026
TI - Ecological optimum and effect of delayed action of a factor.
PMID- 18047027
TI - Reproduction success of the herring gull Larus argentatus in Murmansk in 2006.
PMID- 18047028
TI - Non-predatory mortality indices of cladoceran zooplankton in the pelagial and
littoral of a shallow meso-eutrophic lake.
PMID- 18047029
TI - Finding of testate rhizopods (Foraminifera) in a mineral spring north Lake
Baikal.
PMID- 18047030
TI - Endemic chromosome races of the common shrew Sorex araneus L. (Insectivora,
Mammalia) and the possible preservation of refugia in the Late Valdai glaciation
area.
PMID- 18047031
TI - Factors of craniometric variability in argali, using an example of Ovis ammon
polii (Bovidae, Artiodactyla).
PMID- 18047032
TI - Myelopeptide-5 is a novel endogenous immunoregulator recovering the functional
activity of T-lymphocytes.
PMID- 18047033
TI - Proliferation of K19+ human epidermal keratinocytes in vitro.
PMID- 18047034
TI - Nucleolus organizer activity in lymphocytes of harp seals of different ages.
PMID- 18047035
TI - Resistance to Erwinia carotovora of plants associated with modified bacteria,
which have lost their pathogenicity.
PMID- 18047036
TI - The endocannabinoid system: novel pathway for cardiometabolic Risk-factor
reduction.
AB - Although rimonabant has been approved for use in several countries, the Food and
Drug Administration has expressed concern about the potential for adverse
neurologic and psychiatric effects, considering the widespread distribution of
CB1 receptors in the brain. While more research is clearly needed, the clinical
evidence shows that CB1-receptor blockade with rimonabant improves multiple
cardiovascular and metabolic variables, including body weight and waist
circumference, HDL-C, triglycerides, and glucose metabolism. Furthermore, these
effects, which are probably mediated by both peripheral and central actions in
the ECS, appear to be greater than the improvements that would be expected from
weight loss alone. There are multiple ongoing and planned studies with rimonabant
as well as several other CB-receptor blockers (e.g., taranabant, CP-945,598).
While diet and exercise are the cornerstones of cardiometabolic risk-factor
reduction, improved pharmacotherapies are urgently needed. The ECS has provided
us with new insights and a promising new avenue for the management of obesity and
its associated cardiometabolic risk factors.
PMID- 18047037
TI - Clinical aspects of cardiometabolic risk-factor reduction: a case-based approach.
PMID- 18047038
TI - Combination of differential interference contrast with prism-type total internal
fluorescence microscope for direct observation of polyamidoamine dendrimer
nanoparticle as a gene delivery in living human cells.
AB - A combined system of differential interference contrast (DIC) and total internal
reflection fluorescence microscope (TIRFM) with a transmitted all-side polished
dove prism was used for the direct monitoring of polyamidoamine (PAMAM) dendrimer
nanoparticles as a gene delivery in living human embryonic kidney 293 (HEK 293)
cells. The PAMAM dendrimer conjugated with fluorescein isothiocyanate (FITC) was
used to form a fluorescent nanoparticle with the plasmid DNA (complexes) in order
to directly monitor the entry of the complexes inside living cells. The DIC image
provided precise information of the living HEK 293 cellular structures. Without
moving the cell, the TIRFM images of the PAMAM nanoparticle-DNA complexes on the
all-side polished dove prism provided precise information on the distance between
the cell membrane and the complexes (< 200 nm) as well as the real-time
localization of the individual complexes in the cells. The complexes were
observed in cytosol within 4 h after incubating the cells with the complexes in
Dulbecco's modified eagle's medium. The localization data of the complexes inside
the cell obtained by TIRFM were reconfirmed using 3D confocal microscopy images
of the complexes at the subcellular localization. These results suggest that the
combined system of DIC and all-side polished dove prism-type TIRFM is a powerful
tool for the direct real-time monitoring of the internalization and subcellular
localization of nanoparticles carrying genes through a nonviral approach for gene
therapy.
PMID- 18047039
TI - Chitosan-TPP nanoparticle as a release system of antisense oligonucleotide in the
oral environment.
AB - Antisense oligonucleotide loaded chitosan nanoparticles were prepared and the
release of oligonucleotide from chitosan-TPP/oligonucleotide nanoparticles was
investigated. Morphological property, zeta potential and particle size of the
prepared chitosan/oligonucleotide nanoparticles were investigated using Field
Emission-Scanning Electron Microscope (FE-SEM) and particle size analyzer. The
interaction between chitosan and oligonucleotide was confirmed by using capillary
zone electrophoresis (CZE), and the released oligonucleotides were determined by
spectrophotometric method. Oligonucleotides formed the complexes with chitosan
with a unique morphological property. The release of oligonucleotides from
nanoparticles was dependent on loading methods and pH conditions.
Chitosan/oligomer-TPP nanoparticles, which was prepared by adding TPP after the
formation of chitosan/oligonucleotide complex, showed the lowest release percent
of oligonucleotides with 41.3% at pH 7.0 among the loading methods. The percent
release of oligonucleotide from oligonucleotide loaded chitosan nanoparticle at
pH 10 was higher than the one in acidic condition (pH 5.0). The released
oligonucleotides from chitosan/oligonucleotide nanoparticles were stable enough
for 12 h under the 20% saliva solution. Our results suggest that the sustained
release of oligonucleotide from chitosan nanoparticles may be suitable for the
local therapeutic application in periodontal diseases.
PMID- 18047040
TI - Anticancer drug-inorganic nanohybrid and its cellular interaction.
AB - An anticancer drug, methotrexate (MTX), has been successfully hybridized with
layered double hydroxide (LDH) through co-precipitation route to produce MTX-LDH
nanohybrids (MTX-LDH). According to the X-ray diffraction and FT-IR spectroscopy,
it was confirmed that MTX molecules are stabilized in the interlayer space of
LDHs by electrostatic interaction, maintaining their functional groups and
structural integrity. According to the drug release study, the total amount of
released MTX from the LDH lattice was determined to be larger under a simulated
intracellular lysosomal condition (pH = 4.5) than simulated body fluid one (pH =
7.4). It is, therefore, expected that the MTX molecules in MTX-LDH can be
effectively released in lysosomes, since the MTX release could be accelerated via
ion-exchange reaction and dissolution of LDH in an acidic lysosomal condition. We
also examined the anticancer efficacy of MTX-LDH in human breast adenocarcinoma
MCF-7 cells. The cellular uptake of MTX was considerably higher in MTX-LDH
treated cells than in free MTX-treated cells, giving a lower IC50 value for the
former than the latter. All the results demonstrated that the MTX-LDH nanohybrid
allows the efficient drug delivery in cells, and thus enhances drug efficacy.
PMID- 18047041
TI - Evaluation for protein binding affinity of maghemite and magnetite nanoparticles.
AB - We investigated the protein binding affinity of magnetite (Fe3O4) and maghemite
(gamma-Fe2O3) nanoparticles with against non-characterized protein from human
lung cancer A549 cell line on sodium dodecyl sulfate polyacrylamide gel
electrophoresis (SDS-PAGE). The binding ability of maghemite was 400 ng/mg.
According to the SDS-PAGE results, the protein binding affinity of maghemite
nanoparticles is stronger than magnetite nanoparticles. These data suggest that a
protein can be detected with maghemite nanoparticles.
PMID- 18047042
TI - Bactericidal effect of TiO2 on the selected Vibrio parahaemolyticus and
optimization using response surface methodology.
AB - In this work, the bactericidal effect of TiO2 on selected typical food pathogenic
bacteria, Vibrio parahaemolyticus was studied. V parahaemolyticus is an important
pathogen of humans and aqua-cultured animals. We established the response surface
methodology (Box-Behnken Design) to investigate the effect of principal
parameters on the cell sterilization such as TiO2 concentration, UV illumination
time, temperature, and pH. The sterilization rate reached maximum value at the
TiO2 concentration of 1.0 mg/ml. During irradiation under the time of 30 min with
UV light with the 1g-TiO2/l, the sterilization rate was greater than 85%, and 99%
or more cell lost their viability with 3 hours of irradiation. Sterilization rate
of the cell increased with decrease in the pH and temperature.
PMID- 18047043
TI - Characterization of nanoporous silicon layer to reduce the optical losses of
crystalline silicon solar cells.
AB - Reduction of optical losses in crystalline silicon solar cells by surface
modification is one of the most important issues of silicon photovoltaics. Porous
Si layers on the front surface of textured Si substrates have been investigated
with the aim of improving the optical losses of the solar cells, because an anti
reflection coating and a surface passivation can be obtained simultaneously in
one process. We have demonstrated the feasibility of a very efficient porous Si
AR layer, prepared by a simple, cost effective, electrochemical etching method.
Silicon p-type CZ (100) oriented wafers were textured by anisotropic etching in
sodium carbonate solution. Then, the porous Si layers were formed by
electrochemical etching in HF solutions. After that, the properties of porous Si
in terms of morphology, structure and reflectance are summarized. The structure
of porous Si layers was investigated using SEM. The formation of a nanoporous Si
layer on the textured silicon wafer result in a reflectance lower than 5% in the
wavelength region from 500 to 900 nm. Such a surface modification allows
improving the Si solar cell characteristics. An efficiency of 13.4% is achieved
on a monocrystalline silicon solar cell using the electrochemical technique.
PMID- 18047044
TI - Photocurrent-voltage of a dye-sensitized nanocrystalline TiO2 solar cells
influenced by N719 dye adsorption properties.
AB - Titanium particles of single-phase anatase nanocrystallites were prepared by the
hydrolysis of titanium tetraisopropoxide. A dye-sensitized solar cell (DSSC) was
fabricated by adsorbing cis-bis(isothiocyanato)bis(2,2'-bipyridyl-4,4'
dicarboxylato)-ruthenium(II)bis-tetrabutylammonium dye (N719) onto TiO2 film. The
samples were characterized by XRD, TEM, FE-SEM, AFM, and Brunauer-Emmett-Teller
(BET) analysis. The influence of the acetic acid treatment of TiO2 electrode with
different concentrations on the photovoltaic performance of DSSC was
investigated. It was found that DSSC had better photoelectric performance when
the TiO2 electrode was treated by acetic acid of 0.5 M. An equivalent circuit
analysis using the one-diode model was used to evaluate the influences of
adsorption quantity and acetic acid treatment on the energy conversion efficiency
of DSSC. A nonlinear least-square optimization method was used to determine five
model parameters.
PMID- 18047045
TI - Hydrochloric acid treatment of TiO2 electrode for quasi-solid-state dye
sensitized solar cells.
AB - Quasi-solid-state dye-sensitized solar cell was fabricated by sandwiched polymer
electrolyte containing liquid electrolytes between the dye-sensitized TiO2
electrode and a Pt electrode. The influence of hydrochloric acid treatment of
TiO2 photoelectrode on the photoelectronic performance was investigated. Quasi
solid-state dye-sensitized solar cell showed better photoelectronic performance
when the TiO2 electrode was treated with hydrochloric acid than that without
treatment. The short-circuit current density (J(sc)), the open-circuit voltage
(V(oc)), and a conversion efficiency obtained for an incident light intensity of
100 mW m(-2) were 6.49 mA cm(-2), 0.76 V and 4.1%, respectively. It was found
that the hydrochloric acid treatment of TiO2 electrode increased the short
circuit current density and cell efficiency.
PMID- 18047046
TI - The evaluation of individual dispersion of single-walled carbon nanotubes using
absorption and fluorescence spectroscopic techniques.
AB - We have investigated the degree of dispersion of single-walled carbon nanotubes
(SWNTs) in solution using laser spectroscopic techniques. SWNTs were suspended in
aqueous media using a sodium dodecyl sulfate (SDS) surfactant. SWNTs with
different dispersion states were prepared by controlling the intensity and
duration of sonication and centrifugation. The absorption and fluorescence
spectroscopic techniques were employed to characterize the different dispersion
state of the prepared samples. Nanotube suspensions with better dispersion showed
higher fluorescence and sharper absorption peaks. The fluorescence data were
characterized as a function of the nanotube chirality, and absorption peak shifts
were analyzed depending on the first and second van Hove singularities (vHs) of
semiconducting nanotubes.
PMID- 18047047
TI - Effect of catalyst thickness and plasma pretreatment on the growth of carbon
nanotubes and their field emission properties.
AB - We demonstrated that the diameter and the density of carbon nanotubes (CNTs)
which had a close relation to electric-field-screening effect could be easily
changed by the control of catalytic Ni thickness combined with NH3 plasma
pretreatment. Since the diameter and the density of CNTs had a tremendous impact
on the field-emission characteristics, optimized thickness of catalyst and
application of plasma pretreatment greatly improved the emission efficiency of
CNTs. In the field emission test using diode-type configuration, well-dispersed
thinner CNTs exhibited lower turn-on voltage and higher field enhancement factor
than the densely-packed CNTs. A CNT film grown using a plasma-pretreated 25
angstroms-thick Ni catalyst showed excellent field emission characteristics with
a very low turn-on field of 1.1 V/microm @ 10 microA/cm2 and a high emission
current density of 1.9 mA/cm2 @ 4.0 V/microm, respectively.
PMID- 18047048
TI - The bulk piezoresistive characteristics of carbon nanotube composites for strain
sensing of structures.
AB - The bulk piezoresistivity of carbon nanotube (CNT) in polymer matrix was
discussed to develop a strain sensor for engineering applications. The polymer
improves interfacial bonding between the nanotubes and the CNT composite and that
enhances the strain transfer, repeatability, and linearity of the sensor. The
largest contribution of piezoresistivity of the sensor may come from slippage of
overlaying or bundled nanotubes in the matrix, from a macroscopic point of view.
Nano interfaces of CNTs in a matrix polymer also contribute to the linear strain
response compared to other micro size carbon filler. The strain sensor had a low
bandwidth and adequate strain sensitivity. The nanocomposite strain sensor is
particularly useful for detecting large strains which can monitor strain and
stress on a structure with simple electric circuit for strain monitoring of
structures.
PMID- 18047049
TI - Novel nanocomposite actuator based on sulfonated poly(styrene-b-ethylene-co
butylene-b-styrene) polymer.
AB - Ionic polymer metal composite (IPMC) actuators were developed with multi-walled
carbon nanotubes (MWNT) and sulfonated poly(styrene-b-ethylene-co-butylene-b
styrene) (SSEBS) ionic polymers. MWNT with the diameter of 10 approximately 15 nm
and length of 10 approximately 20 microm was used to enhance the mechanical and
electrical performances of IPMC actuators. Ultrasonic treatment and high speed
mixing were employed to disperse MWNTs homogeneously in SSEBS solution. The
electroless plating method was used to make electrodes on the both side of the
composite membrane. Scanning electron microscope (SEM) and transmission electron
microscope (TEM) images were taken to characterize the surface and micro
structures of the composite actuators. In this study, novel nano-composite
actuators were fabricated with different weight ratio of the MWNT 0.5%, 1.5% and
the bending actuation performance and electrical power consumptions were
investigated.
PMID- 18047050
TI - Production of nanosized carbon black from hydrocarbon by a thermal plasma.
AB - Thermal plasma conditions (optimal heat and radical sources for the thermal
decomposition) can be used to accelerate thermodynamically favorable chemical
reactions or provide the energy required for endothermic reforming processes.
Direct thermal decomposition of hydrocarbon (methane, acetylene, and propane) was
carried out using a thermal plasma system which is an environmentally favorable
process. In case of thermal decomposition, high purity of the hydrogen and
solidified nano-sized carbon can be achieved without any contaminant. The main
product carbon produced by thermal decomposition can be either sequestered or
used as a raw material and it can be applied for the varieties of industry
fields. The morphology of the carbon was characterized by SEM and the particle
size was determined by a particle size analyzer. It was observed that the carbon
black particles were sphere particles with mainly several tens of nano-sized
diameters, those are about 10-80 nm. It can be expected to be used as a raw
material of laser printer toner which requires small sized carbon black
particles; An average primary particle size of PRINTEX L (Degussa Fillers &
Pigment) used in a part of printing inks is 23 nm. In case of the XRD pattern of
the produced carbon black from acetylene is of higher crystalline than the
commercialized carbon black used for fuel cells. Also carbon species produced
were characterized by EA and TGA.
PMID- 18047051
TI - Aqueous-phase synthesis of ultra-stable small CdSe nanoparticles.
AB - Very stable and small CdSe nanoparticles (NPs) were synthesized from the aqueous
solutions containing L-cysteine (Cys) at room temperature. The Cys-capped CdSe
NPs showed a very sharp excitonic peak at 420 nm. Its very small full width at
half maximum (18 nm) indicates very high quality of the CdSe NPs. Their
absorption features experienced little change over a month, implying an excellent
stability of the CdSe NPs. The synthesis conditions were very critical to the
optical property and stability of the CdSe NPs: only those prepared at specific
conditions (n(Se-precursor)/n(Cd-precursor) = 0.25-0.5, n(Cys)/n(Cd-precursor) =
approximately 9, pH = approximately 12) showed very sharp absorption peaks and
maintained an excellent stability against time. Under these conditions, the peaks
always appear at nearly the same wavelength, indicating that these NPs are
selectively stable and grow at a particular size and structure.
PMID- 18047052
TI - Preparation of highly stable oligo(ethylene glycol) derivatives-functionalized
gold nanoparticles and their application in LSPR-based detection of PSA/ACT
complex.
AB - A sandwich immunoassay for PSA/ACT complex detection based on gold nanoparticle
aggregation using two probes was developed. The functionalized colloidal gold
nanoparticles (AuNPs) showed highly stable not only in the presence of high ionic
strength but also in a wide pH range. The functionalized AuNPs were tagged with
PSA/ACT complex monoclonal antibody and goat PSA polyclonal antibody and served
as the probes to induce aggregation of the colloidal particles. As a result,
PSA/ACT complex was detected at concentrations as low as 1 ng/ml. This is the
first time that a new aggregation sandwich-immunoassay technique using two gold
probes has been used, and the results are generally applicable to other LSPR
based immunoassays.
PMID- 18047053
TI - Selective atomic layer deposition of metal oxide thin films on patterned self
assembled monolayers formed by microcontact printing.
AB - We demonstrate a selective atomic layer deposition of TiO2, ZrO2, and ZnO thin
films on patterned alkylsiloxane self-assembled monolayers. Microcontact printing
was done to prepare patterned monolayers of the alkylsiloxane on Si substrates.
The patterned monolayers define and direct the selective deposition of the metal
oxide thin films using atomic layer deposition. The selective atomic layer
deposition is based on the fact that the metal oxide thin films are selectively
deposited only on the regions exposing the silanol groups of the Si substrates
because the regions covered with the alkylsiloxane monolayers do not have any
functional group to react with precursors.
PMID- 18047054
TI - Unique mechanical properties of nanostructured metals.
AB - Recently, it becomes possible to fabricate bulk metals having ultrafine grained
or nanocrystalline structures of which grain size is in nano-meter dimensions.
One of the promising ways to realize bulk nanostructured metals is severe plastic
deformation (SPD) above logarithmic equivalent strain of 4. We have developed an
original SPD process, named Accumulative Roll Bonding (ARB) using rolling
deformation in principle, and have succeeded in fabricating bulk nanostructured
sheets of various kinds of metals and alloys. The ARB process and the
nanostructured metals fabricated by the ARB are introduced in this paper. The
nanostructured metals sometimes perform quite unique mechanical properties, that
is rather surprising compared with conventionally coarse grained materials. The
unique properties seem to be attributed to the characteristic structures of the
nano-metals full of grain boundaries.
PMID- 18047055
TI - Influence of catalyst pretreatments on the catalytic oxidation of toluene over
nanostructured platinum based spent catalyst.
AB - In this study, we regenerated a nano-structured platinum based spent catalyst by
applying thermal gas and acid pretreatment and examined the influence of
treatment on the catalytic oxidation of toluene. The spent catalysts were
pretreated with air, hydrogen and six different acid aqueous solutions (HCl,
H2SO4, HNO3, H3PO4, CH3COOH and C2H2O4). The physicochemical properties of the
parent and its modified catalysts were characterized by XRD, BET, TEM, and ICP.
The results of light-off curves showed that air and hydrogen treated catalysts
were more active than the parent catalyst. In addition, the catalytic activities
of toluene oxidation for acid aqueous treated samples were identical with the
order of Pt/Al ratio.
PMID- 18047056
TI - Electrothermal properties of porous ceramic fiber media containing carbon
materials.
AB - Electrically regenerable porous ceramic fiber media containing nanoporous carbon
from 2.5% to 19.2% have been prepared for adsorption/regeneration system. An
experimental apparatus was built for in situ measurement of the sample weight
during adsorption and electrothermal desorption of gaseous adsorbates. Adsorption
and electrothermal desorption behavior of gaseous adsorbates on carbon contained
porous ceramic fiber media was explained by physical and electrothermal
properties of these materials measured in this work. In situ thermal desorption
and adsorption experiments show that a considerable amount of water vapor is
adsorbed on the carbon contained media exposed to ambient air.
PMID- 18047057
TI - Low temperature synthesis of colloidal CdSe quantum dots.
AB - In this study, the CdSe nanocrystals were prepared in phenyl ether and octyl
amine to investigate the variations of their size, bandgap energy, and
photoluminescence with growth time and temperature. The sizes of the CdSe
nanocrystals were measured using High Resolution Transmission Electron Microscopy
(HRTEM), and found to be nearly monodisperse for relatively low growth
temperature, 130 degrees C. Their optic properties were characterized by
photoluminescence measurements, which showed that the colors of the nanocrystals
could be controlled. The bandgap energies of the nanocrystals were calculated
theoretically and found to be in accord with quantum confinement theory. This
synthetic method requires only a cheap solvent and offers good reproducibility at
a lower price.
PMID- 18047058
TI - Neutron scintillators of transparent silica xerogel monolith via a sealed
container system and pi-pi interactions.
AB - Transparent crack-free lithiated sol-gel scintillating monoliths were developed
by taking advantage of a sealed container system for a syneresis and the pi-pi
interactions between sol-gel components and organic fluors to yield a better
homogeneity and scintillating efficiency. The transparency of the resulting
materials indicates that the new scintillating material composites are
mesoscopically dispersed. The silica monolith can be prepared without cladding
the monolith with an engineering plastic such as a poly(ether ether ketone)
(PEEK) or a liquid mounting medium. A successful detection of neutron particles
by using these lithiated scintillating monoliths was demonstrated.
PMID- 18047059
TI - Silicon subiodide clusters.
AB - Silicon subiodide clusters (Si(n)I(m), n = 1-20) produced by laser ablation of
bulk powder silicone tetraiodide have been investigated by time-of-flight mass
spectroscopy and ab initio calculations. Both experimental results and
theoretical calculations revealed a tendency to form different structures of the
clusters depending on n: chain, ring, and cage structures for n < or = 6, 6 < n <
16, and n > or = 16, respectively. The results showed that iodine, like hydrogen,
can be used for stable silicon cluster termination.
PMID- 18047060
TI - Significantly improved adhesion of poly(3,4-ethylenedioxythiophene) nanofilms to
amino-silane monolayer pre-patterned SiO2 surfaces.
AB - This study reports a novel patterning method for highly pure poly(3,4
ethylenedioxythiophene) (PEDOT) nanofilms having a particularly strong adhesion
to a SiO2 surface. An oxidized silicon wafer substrate was micro-contact printed
with n-octadecyltrichlorosilane (OTS) monolayer, and subsequently its negative
pattern was self-assembled with three different amino-functionalized
alkylsilanes, (3-aminopropyl)trimethoxysilane (APS), N-(2-aminoethyl)-3
aminopropyltrimethoxy silane (EDAS), and (3-trimethoxysilylpropyl)
diethylenetriamine (DETS). Then, PEDOT nanofilms were selectively grown on the
aminosilane pre-patterned areas via the vapor phase polymerization method. To
evaluate the adhesion and patterning, the PEDOT nanofilms and SAMs were
investigated with a Scotch tape test, contact angle analyzer, optical and atomic
force microscopes. The evaluation revealed that the newly developed bottom-up
process can successfully offer a strongly adhered and selectively patterned PEDOT
nanofilm on an oxidized Si wafer surface.
PMID- 18047061
TI - Catalytic performance of nanosized Pt-Au alloy catalyst in oxidation of methanol
and toluene.
AB - The alloy formed between a group-VII metal such as platinum and a group-IB metal
such as gold changes the catalytic behavior compared to the monometallic phase,
increasing the selectivity toward certain products and also decreasing the
deactivation rate. Pt-Au alloy nanoparticles coated on alumina support were found
to be catalytically very active for complete oxidation of methanol and toluene.
Furthermore, the nanosized Pt-Au particles were added to ZnO/Al2O3 on monolith
catalyst. Also, effect of various parameters such as concentration of methanol
and toluene and feed flow rate was investigated. Au particles were sized in 20
approximately 30 nm and Pt particles were well dispersed. In case of alumina
supported powder catalyst, complete oxidation of methanol occurred at a
temperature lower than that of toluene. From oxidation activity of monolithic
honeycomb with Pt and Au particles, the conversion of methanol was increased with
increasing the concentration of methanol, but conversion of toluene showed a
decreasing tendency as the concentration of toluene increased. Also, conversion
of methanol over honeycomb catalyst was not largely affected by feed flow rate,
while conversion in toluene oxidation was decreased rapidly as feed flow rate was
increased. As a result, the Pt-Au/ZnO/Al2O3/M catalyst used is likely to
efficiently treat a large volume of exhaust gas containing VOCs.
PMID- 18047062
TI - Positional isomerization of butene-2 over nanoporous MCM-48 catalysts.
AB - Positional isomerization of butene-2 to butene-1 was investigated over nanoporous
MCM-48 catalysts. The effects of the method and the amount of aluminum
incorporation into MCM-48 on the catalyst characteristics were studied, with
respect to the butene-2 isomerization reaction. Incorporation of aluminum into
MCM-48 using a post-synthetic grafting method (P) or direct sol-gel method (D)
increases the total acid amount due to the increase in the Lewis acidity level.
From the results of butene-2 isomerization, the yield of butene-1 was increased
although the selectivity of butene-1 was decreased due to an increase of
byproducts such as i-butene, cracked fraction, and C5+ hydrocarbons. This trend
is nearly identical over both catalyst preparation methods; the effect of Al
incorporation method on the butene-1 yield and the selectivity appeared
negligible. The maximum yield of butene-1 was 27.1 wt% by feeding pure butene-2
in the reaction condition as follows: a temperature of 450 degrees C, atmospheric
pressure, and with the WHSV at 70 h(-1).
PMID- 18047063
TI - Preparation of silver nanoparticles having low melting temperature through a new
synthetic process without solvent.
AB - This study presents a new synthetic method of silver nanoparticles using a novel
polyoxyethylene maleate-based surfactant (PEOM). Unlike conventional process
using large amount of a solvent to dissolve silver salts, large amount of silver
salts (AgNO3) can be dissolved and stabilized by our surfactant without solvent.
Silver salts can be dissociated within PEOM by the formation of charge complex
between hydrophilic-COOH segments and Ag+NO3-, resulting in formation of self
assembled microstructures which acting as a nano-reactor and stabilizer. After
reduction using NaBH4, uniform sized silver nanoparticles were formed in the
hydrophilic domain of PEOM. Silver contents in the colloids were changed by 0.5
approximately 3 wt%. Distribution of silver nanoparticle sizes was investigated
by using TEM and XRD. Melting temperature of silver nanoparticles was measured by
differential scanning calorimetry, which depends upon the particle size of silver
nanoparticles. The lowest melting temperature of 112 degrees was measured from
3.5 nm average-sized silver particles.
PMID- 18047064
TI - Synthesis and characterization of highly twisted and bulky tetraoctyloxybiphenyl
containing polyfluorene copolymers: toward efficient blue polymer light emitting
diodes.
AB - We have synthesized new blue light emitting random copolymers, poly(9,9'-n
dioctylfluorene-co-2,2',6,6'-tetraoctyloxybiphenyl-3,3'-diyl)s (PFTOBPs), via
Ni(0)-mediated coupling reactions. The PL emission peaks of the resulting
copolymers closely resembled those of the polyfluorene (PF) homopolymer. The EL
devices fabricated using these copolymers exhibited highly pure blue emission
with approximate 1931 CIE coordinates of (0.15, 0.15) at 1000 cd/m2. The maximum
brightnesses ranged from 2000 to 12000 cd/m2 with maximum efficiencies from 0.53
to 0.97 cd/A. The efficiencies were found to increase as the fraction of TOBP in
the copolymers was increased, which may result the inhibition of exciton
quenching that is produced by the introduction of the highly twisted and bulky
TOBP moieties into the copolymers.
PMID- 18047065
TI - Effects of oxygen content on bioactivity of titanium oxide films fabricated on
titanium by electron beam evaporation.
AB - The titanium oxide films were fabricated on titanium metal by e-beam deposition
technique in various oxygen partial pressures in order to investigate the effects
of oxygen content in titanium oxide film on the bioactivity of titanium implant.
The nano-sized titanium oxide particles were observed on the surface of
specimens. Raman spectra showed that titanium oxide films deposited by e-beam
evaporator had oxygen deficient TiO2 structure. The oxygen content in oxide films
was calculated from the high resolution XPS spectra of Ti 2p. The densities of HA
particles formed on the sample surfaces after immersion test in SBF became higher
as the contents of oxygen in titanium oxide films increased. We concluded that
the degree of hydroxyl group formation in SBF depended on the stoichiometry of
TiO2, which enhanced the bioactivity of titanium.
PMID- 18047066
TI - Mixed micelle-template route to mesoporous silica.
AB - Mesoporous silica materials were prepared through a novel mixed micelle-template
method which was employed by alkyl polyethylene oxide (C16,E20) and C2-ceramide.
X-ray diffraction patterns clearly showed the formation of mesoporous silica by
contribution of mixed micelle-template up to 3/1 weight ratio (C16E20/C2
ceramide). TEM and N2 adsorption isotherms analyses indicated that the mesoporous
structure was maintained even after encased C2-ceramides. However, when the
weight ratio of C16E20/C2-ceramide exceeds 2/2, less ordered and irregular pore
structure was observed. According to the in-vitro experiment on cancer cells such
as MCF-7, HOS, and HepG2, the simultaneously encapsulated C2-ceramide shows
apoptosis. Therefore, the present results could provide a new method for
mesoporous material as drug delivery system.
PMID- 18047067
TI - Observation on growth process of gold Y- and phi-shaped nanoparticles in
solutions.
AB - The morphological evolution of gold (Au) nanoparticles is demonstrated via TEM
and UV-vis spectroscopy in a real-time basis. Y-shaped and phi-shaped Au
nanoparticles were prepared by a seed mediated method at 0 degrees C. The
evolution of shape ranging from spheres to Y- and phi-shapes was characterized by
UV-vis spectroscopy. For the spherical particles, the corresponding transverse
plasmon absorption (540 nm) was observed at the initial growth stage. As further
growth proceeded, new peaks appeared at ca. 620 nm and ca. 700-1000 nm, which was
to the characteristic peak of Y-shaped and phi-shaped nanoparticles,
respectively. In addition, all intermediate steps were observed in the morphology
change by TEM. At the initial step, spherical particles with 20 nm size were
generated and the particles were gradually evolved from tiny triangular shape or
I-shape to Y- and phi-shape. In this study, the growth mechanism of Au
nanoparticles was investigated by the characterization of optical properties as
well as morphologies with respect to reaction time.
PMID- 18047068
TI - Measurement of dispersion stability of surface-modified nanosized carbon black in
various liquids.
AB - The dispersion stability of nano-sized carbon black produced by a thermal plasma
process was investigated using a novel multiple light scattering method. The
effect of surface modification of carbon black on the dispersion stability was
monitored in various polar and non-polar liquids including water, methanol,
styrene, and toluene. The results show that carbon black functionalized with
carboxylic anion groups has much improved colloidal stability in a polar solvent
and the degree of dispersion stability is strongly related to the solubility
parameter value of liquids. In addition, the carbon black with carboxylic anions
has a long-term stability without any auxiliary dispersion agent such as a
surfactant due to electrostatic repulsion.
PMID- 18047069
TI - The functional TiO2-biodegradable plastic composite material produced by HVOF
spraying process.
AB - Photocatalytic TiO2 coatings on bio-degradable plastic(polybutylene succinate:
PBS) were prepared by HVOF spraying using three kinds of agglomerated powders
(P200: 200 nm, P30: 30 nm, P7: 7 nm). The microstructures of the coatings were
characterized with SEM and XRD analysis, and the photocatalytic efficiency of the
coatings was evaluated by photo degradation of gaseous acetaldehyde. For both the
HVOF sprayed P200 and P30 coatings, high anatase ratio of 100% was achieved,
regardless of the fuel gas pressure. On the other hand, for the HVOF sprayed P7
coating, the anatase ratio decreased from 100% to 49.1% with increasing fuel gas
pressure. This decrease may be attributed to the much higher susceptibility to
heat of the 7 nm agglomerated powders than the 30 nm and 200 nm agglomerated
powders. In terms of the photocatalytic efficiency, HVOF sprayed P200 and P30
coatings seemed to outperform the P7 coatings because of their higher anatase
ratios. However, the HVOF sprayed P7 coatings did not show photocatalytic
activity possibly because of the extremely small reaction surface area to the
photo-catalytic activity and low anatase ratio. Therefore, the present study
found that functional PBS plastic with photocatalytic performance could be
produced by spraying of ceramics such as TiO2.
PMID- 18047070
TI - Electrospinning of aligned biodegradable polymer fibers and composite fibers for
tissue engineering applications.
AB - Fibrous membranes of aligned poly(hydroxybutyrate-co-hydroxyvalerate) (PHBV)
fibers have been made through electrospinning. A high-speed rotating drum was
used as the fiber collector while the electric field was manipulated by using
five knife-edged auxiliary electrodes. It was found that a high drum rotating
speed of 3000 rpm could lead to a nearly perfect alignment of PHBV fibers during
electrospinning. Multilayered fibrous structures with each layer having a
different direction of fiber alignment could also be constructed through
electrospinning. The electrospun PHBV fibers were further modified by
incorporating carbonated hydroxyapatite (HA) nanospheres (up to 20% of HA) in the
fibers. The fibrous membranes made of aligned PHBV fibers and made of HA/PHBV
composite fibers should be very useful for the tissue engineering of different
human body tissues.
PMID- 18047071
TI - Material and sensing properties of Pd-deposited WO3 thin films.
AB - The physicochemical and electrical properties of Pd-deposited WO3 thin films were
investigated as a function of Pd thickness, annealing temperature, and operating
temperature for application as a hydrogen gas sensor. WO3 thin films were
deposited on an insulating material using a thermal evaporator. X-ray
diffractometry (XRD), field emission scanning electron microscopy (FE-SEM),
atomic force microscopy (AFM), and X-ray photoelectron spectroscopy (XPS) were
used to evaluate the crystal structure, microstructure, surface roughness, and
chemical property of the films, respectively. The deposited films grew into
polycrystalline WO3 with a rhombohedral structure after annealing at 500 degrees
C. Adding Pd had no effect on the crystallinity, but suppressed the growth of WO3
grains. The Pd was scattered as isolated small spherical particles of PdO2 on the
WO3 thin film after annealing at 500 degrees C, while it agglomerated as
irregular large particles or diffused into the WO3 after annealing at 600 degrees
C. PdO2 reduction under H2 and reoxidation under air were dependent on both the
Pd deposition thickness and annealing conditions. The WO3 thin film with a 2-nm
thick Pd deposit showed a good response and recovery to H2 gas at a 250 degrees C
operating temperature.
PMID- 18047072
TI - Electrical and rheological properties of double percolated poly(methyl
methacrylate)/multiwalled carbon nanotube nanocomposites.
AB - Electrical and rheological properties of nanocomposites based on poly(methyl
methacrylate) (PMMA) and multiwalled carbon nanotube (MWCNT) were studied from
view points of double percolation by adding crosslinked methyl methacrylate
butadiene-styrene (MBS) copolymer particles to lower percolation threshold
concentration of MWCNTs. It was found that the critical concentrations of MWCNTs
for the percolation in the nanocomposites decrease and then increase with
increasing the MBS contents of the nanocomposites. It is postulated that the
addition of MBS at low concentrations results in double percolation of MWCNT and
the significant decrease of critical concentration for the percolations. However,
adding MBS particles in large amounts results in limited space for the
distribution of MWCNTs and less efficient dispersion of the MWCNTs and the
increase of the critical concentrations of MWCNTs for the percolations.
Rheological properties and change of T(g)s reflect large interfacial areas in the
well dispersed nanocomposite and were also interpreted to support the
speculations for the effects of MBS contents and MWCNT concentrations of
PMMA/MWCNT nanocomposites.
PMID- 18047074
TI - Soft-chemical synthesis and electrochemical characterization of multicomponent
Mn(1-x-y)Co(x)Ni(y)O2 nanostructures.
AB - Nanostructured Mn(1-x-y)Co(x)Ni(y)O2 metal oxides are synthesized by one-pot
hydrothermal reaction at low temperature. From powder X-ray diffraction and field
emission-scanning electron microscopic analyses, it is found that the crystal
structure and crystal morphology of the present materials are tunable by the
control of the composition of precursor. 1D nanowires with alpha-MnO2-type
structure are prepared with low substitution rate of Co and Ni, while the
increase of substituent contents leads to the formation of delta-MnO2-structured
3D nanospheres consisting of 2D nanoplates. According to X-ray absorption near
edge spectroscopy and chemical analyses, mixed valent Co(III)/Co(IV) and divalent
Ni(II) ions are stabilized in the octahedral Mn sites of alpha-MnO2- and delta
MnO2-structures. The electrochemical measurements clearly demonstrate that the
present nanostructured materials show promising electrode performances for
lithium secondary batteries.
PMID- 18047073
TI - Preparation and properties of collagen/modified hyaluronic acid hydrogel for
biomedical application.
AB - Hydrogels composed of collagen and hyaluronic acid are types of crosslinked water
swellable polymers and possess vast potential for applications in the medical
industry. Collagen (Co) is the major structural protein of connective tissues
such as skin, tendon and cartilage. Hyaluronic acid (HA) is a non-immunogenic,
non-adhesive glycosaminoglycan that has a high water absorption property and
plays significant roles in several cellular processes. The purpose of this study
is to prepare a collagen (Co)-modified hyaluronic acid (MHA) hydrogel and
investigate its potential utility for biomedical products such as wound dressing
materials. Collagen (Co, type I) was obtained from pig skin and
mucopolysaccharide-HA was modified by a poly (ethylene glycol) diglycidyl ether
(PEGDGE) crosslinker. Thermal stability, swelling behavior, and mechanical
strength of Co-MHA hydrogel according to different mass ratios of Co and MHA in
hydrogel networks were investigated. The physical properties of the hydrogel were
measured by SEM, Differential Scanning Calorimetry (DSC), Thermal Gravity
Analysis (TGA), and a Universal Testing Machine (UTM). The cell viability of Co
MHA hydrogel was also evaluated using an in vitro MTT assay.
PMID- 18047075
TI - The role of Di(2-ethylhexyl)phosphoric acid as a cosurfactant on the morphology
control of mesoporous silica microspheres.
AB - Synthesis of mesoporous silica microspheres larger than 10 microm via surfactant
template approach has rarely been reported. According to the previous studies,
particle morphologies were highly variable, depending on the synthesis conditions
and impeller design such as impeller type, size, and agitation speed. A new
robust surfactant-template synthesis strategy for the stable suspension of large
silica microspheres was investigated by introducing an additional cosurfactant.
Di(2-ethylhexyl)phosphoric acid (HDEHP) as a cosurfactant played a key role in
suspension stabilization without distorting the spherical morphology as well as
in the formation of uniform pore structures. High quality of mesoporous silica
microspheres was obtained and compared with the Kosuge's silica under different
conditions such as stirring rate, acid concentration, the amount of solvent in a
mother liquor.
PMID- 18047077
TI - Annealing characteristics of nanostructured Cu-Fe-P alloy processed by
accumulative roll-bonding.
AB - Annealing characteristics of a nanostructured copper alloy processed by
accumulative roll-bonding (ARB) were studied. A nano-grained Cu-Fe-P alloy
processed by 8 cycles of the ARB was annealed at various temperatures ranging
from 100 to 400 degrees C for 0.6 ks. The sample still showed an ultrafine
grained (UFG) structure up to 250 degrees C, however above 300 degrees C it began
to replace by equiaxed and coarse grains due to an occurrence of the conventional
static recrystallization. The hardness of the annealed copper decreased largely
above 300 degrees C. These annealing characteristics of the UFG copper alloy were
compared to those of a high purity copper.
PMID- 18047076
TI - Time-dependent X-ray absorption spectroscopic (XAS) study on the transformation
of zinc basic salt into bis(N-oxopyridine-2-thionato) zinc (II).
AB - Solid transchelation reaction was established for the synthesis of bis(N
oxopyridine-2-thionato) zinc (II), commonly known as zinc pyrithione (ZPT), to
control particle size using zinc basic salt (ZBS) and aqueous sodium pyrithione
solution. Distinguished from ZPT particles prepared by usual precipitation
reaction, the obtained ZPT nanoparticles exhibited very narrow size distribution.
X-ray absorption spectroscopy (XAS) at Zn K-edge was systematically examined to
elucidate time-dependent local structural evolution during solid transchelation
reaction. X-ray absorption near edge structure (XANES) analysis clearly revealed
that local environment around zinc atoms transformed into pentahedron as reaction
proceeded. Based on quantitative X-ray diffraction and XANES analysis, we made
structural models. Theoretical XAS spectrum calculated with FEFF code could
reproduce experimental one, suggesting that XAS analysis could be very powerful
tool to probe phase transformation. Furthermore, according to extended X-ray
absorption fine structure (EXAFS) fitting results, Zn-O distance in reaction
products gradually increased from 1.96 to 2.07 angstroms, suggesting that zinc
atoms bounded with oxygen ones in ZBS were transchelated with pyrithione ligands.
This study could be a strong evidence for the usefulness of XAS to study time
dependent structural transformation of nanocrystalline materials.
PMID- 18047078
TI - Application of the bimodal meso/macroporous composite synthesized from MCM-41
sol.
AB - A route to synthesize porous materials with a bimodal macro/mesoscopic pore
system has been investigated in this work. Polystyrene with sub-micrometer size
was used as a template in the synthesis. The resulting mesoporous silica wall
replicated inversely the morphology of polystyrene template and had highly
ordered three-dimensional arrays of macro pores. Large and moldable meso/macro
porous silica monoliths could be obtained in centimeter scale by using
monodispersed polystyrene beads and MCM-41 sol solutions. These bimodal
structured porous silicates have been used as supports for asymmetric kinetic
resolution of racemic epoxides to synthesize optically pure epoxide.
PMID- 18047079
TI - Encapsulation of Pd complex in ionic liquid on highly ordered mesoporous silica
MCM-41.
AB - Palladium acetate was encapsulated onto highly ordered mesoporous silica MCM-41
with the aid of ionic liquid. The immobilized Pd complex can efficiently catalyze
Heck coupling reactions of activated and non-activated aryl halides with
acrylates. The Pd catalyst exhibited remarkably high catalytic activity and
outstanding stability. Moreover, the immobilized palladium acetate could be
recycled at least six times without significant loss of activity.
PMID- 18047080
TI - Ferromagnetic signal in nanosized Ag particles.
AB - A new technique using an inductively coupled plasma reactor equipped with a
liquid-nitrogen cooling system was developed to prepare Ag nanoparticles. The
magnetic signal from these Ag particles with diameters of 4 nm showed,
surprisingly, a signal with combined ferromagnetic and diamagnetic components, in
contrast to the signal with only one diamagnetic component from bulk Ag. The same
technique was used to prepare the Ag/Cu nanoparticles, which are Ag nanoparticles
coated with a Cu layer. Compared to the Ag nanoparticles, these showed a greatly
enhanced superparamagnetic signal in addition to the same value of the
ferromagnetism. The comparison between the Ag and the Ag/Cu nanoparticles
indicated that the ferromagnetic components are a common feature of Ag
nanoparticles while the greatly enhanced paramagnetic component of Ag/Cu, which
dominates over the background diamagnetic component from the Ag core, is from the
outer Cu shell.
PMID- 18047081
TI - Silver nanoparticles incorporated electrospun silk fibers.
AB - We present a simple and mass-producible method of incorporating silver
nanoparticles on the surface of electrospun silk non-woven membranes for the
fabrication of antimicrobial wound dressings. Nanofibrous silk membranes with
fiber diameters of 460 +/- 40 nm were electrospun from an aqueous Bombyx mori
fibroin solution. The electrospun membranes incorporating silver nanoparticles
were prepared by dipping the membranes in aqueous silver nitrate (AgNO3) solution
(0.5 or 1.0 wt%) followed by photoreduction. Field emission scanning and
transmission electron microscopy showed that silver nanoparticles were generated
on the electrospun silk fibroin nanofibers as well as inside them. The
interaction between the silver nanoparticles and amide groups in the silk fibroin
molecules was characterized using X-ray photoelectron spectroscopy.
PMID- 18047082
TI - Solvent-induced transition of hollow sphere to giant-tube from amphiphilic rod
coil-rod triblock copolymers of 2-vinylpyridine and n-hexyl isocyanate.
AB - The effect of solvent compositions on the micellization behaviors of amphiphilic
poly(n-hexyl isocyanate)-b-poly(2-vinylpyridine)-b-poly(n-hexyl isocyanate) (PHIC
b-P2VP-b-PHIC) rod-coil-rod triblock copolymer was studied. In absolute methanol
the block copolymer formed hollow spherical micelles. These micelles transformed
into giant-tubes by simply changing the solvent composition. With 20% THF in
CH3OH hollow spheres got interconnected and formed species that are precursors of
the giant tubes. When the composition of THF reached 50-70%, long giant tubes are
formed. Further increasing THF content in the mixed solvent, fragmentation of the
wall of the tubes was observed. In absolute THF, which is the common solvent for
both the blocks, the usual phase separation occurred. TEM image of the giant
tubes stained with iodine showed that the core of the tubes is made from PHIC rod
block.
PMID- 18047083
TI - Surface energy heterogeneity and heterogeneous adsorption of benzene on double
walled carbon nanotubes.
AB - We examined the adsorption properties of double walled carbon nanotubes (DWCNTs)
synthesized by using a catalytic chemical decomposition vapor method. To analyze
the structural and energetical surface characteristics of the DWCNTs, nitrogen
and benzene adsorption isotherms were measured. The Toth isotherm equation was
used to correlate the adsorption data and evaluate the thermodynamic properties
such as Henry's constant and the adsorption second virial coefficient. The
existence of heterogeneous surface in DWCNTs was confirmed by the analysis of
pore size distribution, the isosteric heat of adsorption, and the adsorption
energy distribution determined for nitrogen and benzene.
PMID- 18047084
TI - Effect of metal powder packing on the conductivity of nanometal ink.
AB - The power of nanotechnology is realized in its application in numerous areas. One
such area is undoubtedly the use of metallic nanoparticles as a direct write
application. An effort in this area has resulted in a conductive ink whose
conductivity approaches 60-70% that of the bulk copper. Such an ink has been
developed by reducing silver, gold, and copper nano-sized powders by a wet method
and followed by a heat treatment at less than 400 degrees C. The conductivity of
the resulting ink product was found to be very much affected by how various sizes
of metal powders are packed when particles were dried and packed on various
substrates. The effect of packing and various kinds of metal powders on the
eventual conductivity of the final product of the ink has been described and
discussed in this paper.
PMID- 18047085
TI - Nanocrystalline particle coatings on alpha-alumina powders by a carbonate
precipitation and thermal-assisted combustion route.
AB - We have suggested ultrafine particle coating processes for preparing
nanocrystalline particle coated alpha-alumina powders by a carbonate
precipitation and thermal-assisted combustion route, which is environmentally
friendly. The nanometric ammonium aluminum carbonate hydroxide (AACH) as a
precursor for coating of alumina was produced from precipitation reaction of
ammonium aluminum sulfate and ammonium hydrogen carbonate. The synthetic
crystalline size and morphology were greatly dependent on pH and temperature. By
adding ammonium aluminum sulfate solution dispersed the alpha-alumina core
particle in the ammonium hydrogen carbonate aqueous solution, nanometric AACH
with a size of 5 nm was tightly bonded and uniformly coated on the core powder
due to formation of surface complexes by the adsorption of carbonates, hydroxyl
and ammonia groups on the surface of aluminum oxide. The synthetic precursor
rapidly converted to amorphous- and y-alumina phase without significant change in
the morphological features through decomposition of surface complexes and thermal
assisted phase transformation. As a result, the nanocrystalline polymorphic
particle coated alpha-alumina core powders with highly uniform distribution were
prepared from the route of carbonate precipitation and thermal-assisted
combustion.
PMID- 18047086
TI - Synthesis and electrical property of indium tin oxide nanofibers using
electrospinning method.
AB - In this study indium tin oxide (ITO) nanofibers were synthesized using an
electrospinning method. The morphological properties of the ITO nanofibers were
considered and their specific resistances were measured to determine their
applicability as filler for a transparent conducting film. ITO/PVP composite
nanofibers were successfully obtained by electrospinning using a precursor
solution containing indium nitrate, tin chloride, and poly(vinlypyrrolidone).
After the heat treatment of ITO/PVP composite nanofibers at 600 degrees C and
1000 degrees C, ITO nanofibers with an average diameter of about 168 nm and 165
nm were synthesized, respectively.
PMID- 18047087
TI - Synthesis of mesoporous tin oxide and its application as a LNG sensor.
AB - The nanostructured SnO2 gas sensor with Au electrodes and Pt heater has been
fabricated as one unit via screen printing process. The gas sensor was tested for
CH4 sensing behavior at 350 degrees C in the concentration range of 500-10,000
ppm. Those mesoporous SnO2 sensors exhibited the similar sensoring properties in
CH4 and CO detection. The fast speed of response and high sensitivity were
obtained for mesoporous tin oxide sensor as compared to non-porous one.
PMID- 18047088
TI - Electrical properties of silver paste prepared from nanoparticles and lead-free
frit.
AB - Recently, PbO containing glass systems in commercial silver paste have been used
due to their low glass transition temperature, good thermal and electrical
properties. However, PbO is a hazardous material to both health and the
environment. In this study, Pb-free silver paste was prepared by mixing
commercial silver powder and silver nanoparticles. The commercial powder has an
average particle size of 1.6 microm. The silver nanoparticles with particles size
of 20-50 nm were synthesized by a chemical reduction method using surfactant. Pb
free frit was added into the mixed silver powder as the amounts of 3, 6 and 9
wt%. Using the obtained paste, thick films were fabricated by a screen printing
on alumina substrate and the films were fired at temperature from 400 to 550
degrees C. The films had thickness of 6-11 microm and sheet resistivity of about
4-11 microomega cm.
PMID- 18047089
TI - Aggregation behavior of Na-neutralized styrene-ran-methacrylic acid copolymers in
aqueous solution.
AB - The aggregation behavior of un-neutralized, partly and fully Na-neutralized
poly(styrene-ran-methacrylic acid) copolymers in aqueous solution was
investigated using a SEM technique. It was observed that the un-neutralized
copolymer formed spherical particles on the Si wafer, and the average size of
particles was ca. 160 nm. The particle sizes did not change much with acid
content. Upon the neutralization of the acid groups of the copolymer with NaOH,
the copolymer became more hydrophilic, leading to the formation of network-like
feature consisting of much smaller particles (< 50 nm), compared to those of the
acid copolymers. With increasing ionization, the boundary of the particles
forming networks faded away, implying the formation of tubular structures.
PMID- 18047090
TI - Catalytic Si-Si/Si-O dehydrocoupling of 1,1-dihydrotetraphenylsilole to
optoelectronic polysiloles with colloidal silver nanoparticles.
AB - The combinative Si-Si/Si-O dehydrocoupling at ambient air atmosphere of 1,1
dihydrotetraphenylsilole 1 with 2 mol% of AgNO3 and Ag2SO4 in toluene at 90
degrees C produces optoelectronic polysiloles 2 in high yield. The complexes such
as Cp2Co, Cp2Ni, Cp2ZrCl2/Red-Al, and AgCl were found to be ineffective for the
dehydrocoupling of 1. The polysiloles mainly have Si-Si bonds along with the
small portion of Si-O bonds in the polymer backbone chain. Interestingly, the Si
O linkage increased with increasing the concentration of catalyst AgNO3, implying
that while Ag(0) species catalyze the Si-Si dehydrocoupling, Ag(I) species
catalyze the Si-O dehydrocoupling along with the simultaneous oxidation of NO3
ion to NO2. The silver complexes transformed to colloidal silver nanoparticles
during the catalytic reaction. The Si-Si/Si-O dehydrocoupling of 1 with AgNO3
even at dry nitrogen atmosphere is occurred, supporting that the oxidation of NO3
ion to NO2 is only the possible oxygen source, but not from the adventitious
moisture in air. sigma-, beta-, and gamma-Cyclodextrins considerably deteriorated
the dehydrocoupling of 1 probably due to both the formation of insoluble
inclusion complexes in toluene and the encapsulation of SiH2 moiety. The
resulting silole polymer 2 emits green light at 520 nm and is electroluminescent
at 520 nm.
PMID- 18047091
TI - Characterization of nanoporous structures of polyphenylene oxide derived carbon
membranes by means of 129Xe NMR.
AB - The 129Xe NMR spectroscopy has become a powerful technique of materials
characterization because the xenon atom has a very large polarizability. It is
well known that the signal of xenon sorbed in porous media is sensitively
affected by the surrounding environments such as the chemistry of material
surface. In this study, the pore properties of nanoporous PPO (polyphenylene
oxide) derived carbon membranes were characterized by means of the variable
temperature (VT)-hyperpolarized Xe NMR. The Xe NMR results showed good agreements
with the adsorption results of CO2 for the PPO derived nanoporous carbon
membranes. It was clearly shown that the 129Xe NMR could be used as one of the
promising characterization methods of nanoporous materials with low surface area
and small pore volume.
PMID- 18047092
TI - Microbial synthesis of magnetite and Mn-substituted magnetite nanoparticles:
influence of bacteria and incubation temperature.
AB - Microbial synthesis of magnetite and metal (Co, Cr, Ni)-substituted magnetites
has only recently been reported. The objective of this study was to examine the
influence of Mn ion on the microbial synthesis of magnetite nanoparticles. The
reductive biotransformation of an akaganeite (beta-FeOOH) or a Mn-substituted (2
20 mol%) akaganeite (Fe(1-x)Mn(x)OOH) by Shewanella loiha (PV-4, 25 degrees C)
and Thermoanaerobacter ethanolicus (TOR-39, 60 degrees C) was investigated under
anaerobic conditions at circumneutral pH (pH = 7-8). Both bacteria formed
magnetite nanoparticles using akaganeite as a magnetite precursor. By comparison
of iron minerals formed by PV-4 and TOR-39 using Mn-mixed akaganeite as the
precursor, it was shown that PV-4 formed siderite (FeCO3), green rust
[Fe2+Fe3+(OH)16CO3 x 4H2O], and magnetite at 25 degrees C, whereas TOR-39 formed
mainly nm-sized magnetite at 60 degrees C. The presence of Mn in the magnetite
formed by TOR-39 was revealed by energy dispersive X-ray analysis (EDX) is
indicative of Mn substitution into magnetite crystals. EDX analysis of iron
minerals formed by PV-4 showed that Mn was preferentially concentrated in the
siderite and green rust. These results demonstrate that coprecipitated/sorbed Mn
induced microbial formation of siderite and green rust by PV-4 at 25 degrees C,
but the synthesis of Mn-substituted magnetite nanoparticles proceeded by TOR-39
at 60 degrees C. These results indicate that the bacteria have the ability to
synthesize magnetite and Mn-substituted magnetite nano-crystals. Microbially
facilitated synthesis of magnetite and metal-substituted magnetites at near
ambient temperatures may expand the possible use of specialized ferromagnetic
nano-particles.
PMID- 18047093
TI - Preparation of liposomes containing oleanolic acid via micelle-to-vesicle
transition.
AB - Micelle-to-vesicle transition method was used to make liposomes containing
oleanolic acid. First, the solubilization of potassium salt of oleanolic acid at
basic condition by micelle formation was confirmed. Using the soluble state of
oleanolic acid at basic condition, liposomes containing oleanolic acid was
prepared by adjusting pH. After making homogeneous aqueous mixture of potassium
salt of oleanolic acid and lecithin in basic condition, the solution was
neutralized to produce the lecithin-based liposomes that contain oleanolic acid
inside the lipid bilayers. The optimal loading of oleanolic acid to lecithin
(about 25 mole%) was found to exist to produce liposomal suspension of small size
without homogenization step. Electron microscopy and dynamic light scattering
studies showed that the narrowly distributed, reconstituted oleanolic acid
containing liposomes were prepared without severe mechanical treatment.
PMID- 18047094
TI - A new synthesis route to nanocrystalline olivine phosphates and their
electrochemical properties.
AB - LiFePO4 nanoparticles were synthesized in various polyol mediums without any
further heating. The LiFePO4 samples synthesized in polyol mediums exhibited
average sizes of 20, 20, 50, and 50 nm with orthorhombic-like shapes. The XRD
patterns were indexed on the basis of an olivine structure (space group : Pnma)
except for the sample prepared in EG polyol medium. The LiFePO4 samples prepared
in EG, DEG, TEG, and TrEG polyol mediums show the reversible capacity of 120 mA
h/g, 144 mA h/g, 159 mA h/g, and 167 mA h/g at current density of 0.1 mA/cm2 with
no capacity fading and excellent cycle retentions during extended cycles.
Especially, the samples showed the excellent performances at high rate of 30 C
and 60 C with high capacity retention. It is a speculation that nanometer size
materials (approximately 50 nm) and a uniform size-distribution with highly
crystallined phase may affect the excellent performances at high rate current
densities.
PMID- 18047095
TI - A polyol-mediated synthesis of titania-based nanoparticles and their
electrochemical properties.
AB - Ti-based nanoparticles were prepared in polyol mediums of EG (ethylene glycol)
and TEG (triethylene glycol), with heating treatment. Ti-based materials of dried
power and heated samples showed average sizes of 4, 10, 3, and 8 nm with
spherical shapes and monodispersed state, respectively. The XRD patterns of TiO2
and Li4Ti5O12 materials of dried powder and heated samples were indexed to
anatase phase TiO2 (space group: I4(1)/amd) and the spinel phase (space group:
Fd3m) except for the dried powder sample of Li4Ti5O12, which was corresponded
with amorphous-like characteristics from the X-ray diffraction and electron
diffraction pattern of Field emission-TEM analysis. The TiO2 and Li4Ti5O12
samples after heat-treated at 500 degrees C exhibited excellent high rate
capabilities at a current density of 0.4 mA/cm2 during extended cycles due to
their electrochemically beneficial highly crystalline characteristics, nano-sized
(approximately 10 nm), and uniform distributions state.
PMID- 18047096
TI - Catalytic decomposition of 1,2-dichlorobenzene using Pt-loaded nanoporous zeolite
MFI catalyst.
AB - Nanoporous zeolite MFI was prepared by using HClO4 as a promoter. A significant
proportion of the synthesized zeolite MFI nanoparticles exhibited nanoporous
characteristics. Although the synthesis of the zeolite MFI was completed within 6
h, the crystallinity of all the zeolite MFI was shown to be high. The synthesis
time of approximately 6 h used in this study was much shorter than the
conventional hydrothermal method. The feasibility of the new nanoporous zeolite
MFI towards the gas phase catalytic oxidation of a model for dioxin, 1,2
dichlorobenzene, was tested by comparing the catalytic activity of Pt/nanoporous
zeolite MFI with that of a Pt/gamma-Al2O3 catalyst. The catalytic activity of the
Pt/nanoporous zeolite MFI was higher than that of the Pt/gamma-Al2O3 catalyst.
The internal surface area and acidity appears to be a major factor for the
decomposition of 1,2-dichlorobenzene.
PMID- 18047097
TI - Dry sol-gel polycondensation of hydrosilanes to organosilicas catalyzed by
colloidal nickel nanoparticles.
AB - The dry sol-gel polycondensation at toluene in ambient air atmosphere of p-X
C6H4SiH3 (X = H, CH3, CH3O, F, Cl) to silica p-X-C6H4SiO15 in high yield,
catalyzed by colloidal nickel nanoparticles in-situ generated from
nickelocene(II), nickel(II) acetate, and bis(1,5-cyclooctadiene)nickel(0), is
described. Similar catalytic activities were observed for the catalysts.
Similarly, the dry sol-gel polyco-condensation p-X-C6H4SiH3 (X = CH3, CH3O, F,
Cl):C6H4SiH3 (9:1 mole ratio) at toluene in ambient air atmosphere of was
performed to yield co-silicas (p-X-C6H4SiO1.5)9(p-X-C6H4SiO1.5)1 in high yield
using nickelocene. The co-gels with higher molecular weights and TGA residue
yield were obtained when compared to the homogels. The highest yield, molecular
weight, polydispersity index, and TGA residue yield were obtained for p-Cl
C6H4SiH3. Some degree of unreacted Si-H bonds still remained in the gel matrix
because of steric bulkiness. All the insoluble gels adopt an amorphous structure
with a smooth surface. A plausible mechanism for the dry sol-gel reaction was
suggested.
PMID- 18047098
TI - Effect of the on/off cyclic modulation time ratio of C2H2/H2 flow on the low
temperature deposition of carbon nanofilaments.
AB - Low temperature (less than 600 degrees C) deposition of carbon nanofilaments
(CNFs) could be achieved on the silicon oxide substrate by thermal chemical vapor
deposition system. We used Fe(CO)5 as the catalyst precursor for CNFs formation.
For the enhancement of CNFs formation density, the source gas flow was
intentionally manipulated as the cyclic on/off modulation of C2H2/H2 flow during
the initial deposition stage. The CNFs formation density on silicon oxide
substrate could be much enhanced by the cyclic modulation process having the
higher growing/etching time ratio (180/30 s). Furthermore, the lattice structures
of CNFs developed into carbon nanotubes at the higher growing/etching time ratio
(180/30 s) case. The solely hydrogen gas feeding (C2H2 flow off) time during the
initial deposition stage seems to play an important role for the variation in the
CNFs formation characteristics by the cyclic modulation process.
PMID- 18047099
TI - Hysteresis in a carbon nanotube based electroactive polymer microfiber actuator:
numerical modeling.
AB - Hysteretic behavior is an important consideration for smart electroactive polymer
actuators in a wide variety of nano/micro-scale applications. We prepared an
electroactive polymer actuator in the form of a microfiber, based on single-wall
carbon nanotubes and polyaniline, and investigated the hysteretic characteristics
of the actuator under electrical potential switching in a basic electrolyte
solution. For actuation experiments, we measured the variation of the length of
the carbon-nanotube-based electroactive polymer actuator, using an Aurora
Scientific Inc. 300B Series muscle lever arm system, while electrical potentials
ranging from 0.2 V to 0.65 V were applied. Based on the classical Preisach
hysteresis model, we presented and validated a numerical model that described the
hysteretic behavior of the carbon-nanotube-based electroactive polymer actuator.
Inverse hysteretic behavior was also simulated using the model to demonstrate its
capability to predict an input from a desired output. This numerical model of
hysteresis could be an effective approach to micro-scale control of carbon
nanotube-based electroactive polymer actuators in potential applications.
PMID- 18047100
TI - Nanosized LiFePO4 cathode materials for lithium ion batteries.
AB - In this study, we prepared nano-particles of LiFePO4 as cathode material for
lithium ion batteries by the solid-state reaction. A simple one-step heat
treatment has been employed with control of heating temperature and heated
LiFePO4 at 650 degrees C exhibited higher 125 mA h/g of the discharge capacity
than 600 degrees C, 700 degrees C. To improve conductivity of the inter-particle,
carbon coating was carried out by raw carbon or pyrene as carbon sources and
their morphological properties of particles on the carbon coating was compared
with by FE-SEM, TEM. From the FE-SEM results, the particles of carbon added
LiFePO4 have much smaller size than LiFePO4 as below 300 nm. When adding pyrene
(10 wt%), the carbon surrounded non-uniformly with surface of the particles
compared with adding raw carbon which wrapped uniformly with carbon web and it
was exhibited 152 mA h/g of the discharge capacity on LiFePO4/C composite cells
at 10th cycle.
PMID- 18047101
TI - Nanostructured bulk copper fabricated by accumulative roll bonding.
AB - In this study, we tried to fabricate the nanostructured bulk copper alloys by a
severe plastic deformation process. The sheets of copper alloys (OFC, PMC90, and
DLP) were heavily deformed to an equivalent strain of 6.4 by the accumulative
roll-bonding (ARB) process. The microstructure and the mechanical property of the
fabricated specimens were systematically investigated. The microstructure was
finely subdivided with increasing the equivalent strain by the ARB process. The
severely deformed copper alloys exhibited the ultrafine lamellar boundary
structure where the mean lamella spacing was about 200 nm. The strength
significantly increased with decreasing the lamella spacing in the ARB processed
copper alloys. Especially, the tensile strength of the DLP alloys ARB processed
by 8 cycles (the equivalent strain of 6.4) reached to 520 MPa, which was about
three times higher than that of same materials with conventional grain size of 10
100 microm. On the other hand, the total elongation greatly dropped only by 1 ARB
cycle corresponding to an equivalent strain of 0.8, which was around 3%. However,
the total elongation increased again with increasing the number of the ARB cycle,
and it reached to 10% after 8 cycles. The recovery of the total elongation could
be recognized in all studied copper alloys. The obtained stress-strain curves
showed that the improvement of the total elongation was caused by the increase in
the post-uniform elongation. It can be concluded that the nanostructured copper
alloys sheets having high strength without a large loss of ductility could be
fabricated by the ARB process.
PMID- 18047102
TI - Properties of polypropylene nanocomposites containing silver nanoparticles.
AB - Silver/polypropylene (PP) nanocomposites containing silver nanoparticles smaller
than 10 nm were prepared using a new synthetic method. AgNO3 crystals were
dissolved into hydrophilic domain of polyoxyethylene maleate-based surfactant
(PEOM), which gives self-assembly nano-structures. The AgNO3 in the nano-domains
of PEOM was reduced by NaBH4 to form nanoparticles. The colloidal solutions with
silver nanoparticles were diluted with ethanol and were mixed with PP pellets.
Silver nanocomposites were prepared by extrusion compounding process after drying
the pellets. Contents of silver nanoparticles dispersed within PP resin were
changed from 100 to 1000 ppm. Formation of silver nanoparticles within PP was
confirmed by UV-Vis spectroscopy and TEM. Size and distribution of dispersed
silver nanoparticles were also measured by TEM. Silver/PP nanocomposites films
showed not only improved thermal stability but also increased mechanical
properties compared to neat PP film. Tensile properties of PP nanocomposites were
largely improved compared with neat PP resin, and elongation increased also by
175% for the nanocomposites containing 1000 ppm silver nanoparticles.
PMID- 18047103
TI - Surface modification for polystyrene colloidal particles with controlled charge
densities.
AB - A significant amount of polystyrene sulfonated acid (PSSA) and poly(styrene-ran
acrylic acid) (PSAA) random copolymer can be adsorbed by dispersion of PS
particles via a swelling-quenching process. A THF-water mixed solvent was used in
the swelling process and a large amount of pure water was used, to give a low
concentration of THF% in quenching process. Our results showed that functional
PSSA groups were randomly and tightly adsorbed to the PS particles. When the
mol.% of charged segments was increased, the progressive adsorption of PSSA
chains to the PS particles leads to an increase in the electrophoretic mobility
and zeta-potential of aqueous dispersions. Thus, we were able to obtain well
distributed surface charge density on the PS particles.
PMID- 18047104
TI - Use of polymer nanoparticles as functional nano-absorbents for low-molecular
weight hydrophobic pollutants.
AB - To use amphiphilic polymer nanoparticles as a new nano-absorbent for improving
environmental process, urethane acrylate nonionomer (UAN) chain having
hydrophobic polypropylene oxide-based segment and hydrophilic polyethylene oxide
based segment at the same backbone was synthesized and dispersed as nanoparticles
at water phase without using a surfactant or dispersion agent. These UAN
nanoparticles were converted to crosslinked amphiphilic polymer (CAP)
nanoparticles through soap-free emulsion polymerization and suspension agent-free
suspension polymerization process. Emulsion polymerization process exhibited
higher conversion of polymerization compared to suspension polymerization
process. CAP nanoparticles showed interfacial activity and solubilize hydrophobic
pollutants (phenanthrene and toluene) like surfactant micelles. This result
indicates possible application of CAP nanoparticles as nano-absorbent for
improving efficiency of soil washing and micellar-enhanced ultrafiltration (MEUF)
process.
PMID- 18047105
TI - A hydrogen peroxide biosensor based on peroxidase activity of hemoglobin in
polymeric film.
AB - A Hydrogen peroxide (H2O2) biosensor, based on hemoglobin (Hb) and ortho
phenylenediamine (o-PD) gold electrode, was fabricated. Hb was immobilized onto
the electrode surface by electrochemical polymerize method with o-PD. The
designed biosensor showed a well defined redox peak which was attributed to the
direct electrochemical response of Hb. The immobilized Hb exhibited an excellent
electrocatalytical response to the reduction of hydrogen peroxide, enabling the
sensitivity determination of H2O2. Factors and performances such as pH,
potential, influencing the designed biosensor, were studied carefully. The
amperometric detection of H2O2 was carried out at -300 mV in phosphate buffer
solution (PBS) (0.1 M) with pH 6.0. This biosensor showed a fast amperometric
response (less then 5 s) to H2O2. The levels of the (Relative standard deviation)
RSDs (< 3.5%) for the entire analyses reflected a highly reproducible sensor
performance. Using the optimized conditions, the detection limit of the biosensor
was 1 x 10(-7) M and linear range was from 5 x 10(-6) to 1.25 x 10(-4) M. In
addition, this sensor showed long-term stability and good sensitivity.
PMID- 18047106
TI - Autothermal reforming of propane over hydrotalcite-like catalysts containing
promotor.
AB - Hydrotalcite-like catalysts were synthesized by co-precipitation and then these
were promoted by the addition of noble metals, alkaline earth metals and ceria.
Reaction tests were conducted using a feed of H2O/C/O2 = 3/1/0.37 at a
temperature range from 300 degrees C to 700 degrees C. Catalysts were
characterized by XRD, TEM, FESEM, TPR, and BET. Reaction test results confirmed
an enhancement of the catalytic activity of the promotor-modified catalysts due
to low carbon deposition. Among the alkaline earth metals tested, those with
larger atomic number exhibited higher activity at a lower temperature range.
PMID- 18047107
TI - Autothermal reforming of propane over Ni catalysts supported on a variety of
perovskites.
AB - Autothermal reforming of propane for hydrogen over Ni catalysts supported on a
variety of perovskites was performed in an atmospheric flow reactor. Perovskite
is known for its higher thermal stability and oxygen storage capacity, but
catalytic activity of itself is low. A sites of the ABO3 structured perovskites
were occupied by La while B sites by one of Fe, Co, Ni, and Al by citrate method.
The composition of the reactant mixture was H2O/C/O2 = 8.96/1.0/1.1. The changes
in the states of the catalysts after reaction were analyzed by XRD, TPD, and TGA.
Ni/LaAlO3 catalyst maintained the perovskite structure after reaction. It showed
higher hydrogen yield and thermal stability compared to those of the catalysts
with Fe, Co, or Ni in B sites. Catalysts prepared by deposition-precipitation
(DP) method showed higher activity than those prepared by impregnation method,
presumably due to the smaller sizes of the NiO crystal particles.
PMID- 18047108
TI - Cellular toxicity of inorganic hydroxide nanoparticles.
AB - Layered double hydroxides (LDHs), anionic clays, have attracted increasing
interest as nanovehicles for delivering genes, drugs, and bio-active molecules
into cells. However, no attempts have been made to evaluate the potential
undesirable effects of LDH nanoparticles. The cytotoxicity of LDHs with different
chemical compositions (ZnAl- and MgAl-LDH) was systematically evaluated in
various cell types, such as human normal cells, carcinoma cells, and red blood
cells, by measuring cell viability, cell proliferation, membrane damage, and
hemolytic effect. No significant cytotoxic effects could be seen in both cases,
but ZnAl-LDH was determined to be slightly more toxic than MgAl-LDH in terms of
membrane damage and hemolysis induction. It is, therefore, expected that LDHs
could be promising candidates for novel inorganic drug delivery carriers.
PMID- 18047109
TI - Decrease in work function of boron ion-implanted ZnO thin films.
AB - We have fabricated boron ion-implanted ZnO thin films by ion implantation into
sputtered ZnO thin films on a glass substrate. An investigation of the effects of
ion doses and activation time on the electrical and optical properties of the
films has been made. The electrical sheet resistance and resistivity of the
implanted films are observed to increase with increasing rapid thermal annealing
(RTA) time, while decreasing as the ion dose increases. Without any RTA process,
the variation of the carrier density is insensitive to the ion dose. With the RTA
process, however, the carrier density of the implanted films increases and
approaches that of the un-implanted ZnO film as the ion dose increases. On the
other hand, the carrier mobility is shown to decrease with increasing ion doses
when no RTA process is applied. With the RTA process, however, there is almost no
change in the mobility. We have achieved the optical transmittance as high as 87%
within the visible wavelength range up to 800 nm. It is also demonstrated that
the work function can be engineered by changing the ion dose during the ion
implantation process. We have found that the work function decreases as the ion
dose increases.
PMID- 18047110
TI - Effect of the charge on the morphology of sodium salt form of the randomly
sulfonated polystyrene ionomer cast onto silicon wafers.
AB - The morphology of the sodium salt form of randomly copolymerized polystyrene
sulfonate (Na-PSS) in water/THF(99/1 v/v) cast onto silicon wafers, was studied
by using scanning electron microscope (SEM). The contents of the sulfonate repeat
units in Na-PSS were 1.1, 2.4, 4.6, 10.8, and 15.6 mol%. Based on the observed
SEM images, the morphology of the Na-PSS changed with increasing ionic group
content. For 1.1 and 2.4 mol%, sphere-shaped aggregates were formed with average
sizes of 90 nm and of 77 nm, respectively. For 4.6 mol% and 10.8 mol%, 20-30 nm
sized aggregates were close-packed and fused together, resulting a surface with
large roughness and ca. 10 nm-sized pores were formed. As the mol% increased to
15.6, the surface became smoother and flat films were formed.
PMID- 18047111
TI - Effect of copper doping on the crystal structure and morphology of 1D
nanostructured manganese oxides.
AB - We have tried to control the aspect ratio and physicochemical properties of 1D
nanostructured manganese oxides through copper doping. Copper-doped manganese
oxide nanostructures have been synthesized by one-pot hydrothermal treatment for
the mixed solution of permanganate anions and copper cations. According to powder
X-ray diffraction and electron microscopic analyses, all the present materials
commonly crystallize with alpha-MnO2-type structure but their aspect ratio
decreases significantly with increasing the content of copper. Such a variation
of crystallite dimension is attributable to the limitation of crystal growth by
the incorporation of copper ions. X-ray absorption spectroscopic studies at Mn K-
and Cu K-edges clearly demonstrate that the average oxidation state of manganese
ions is increased by the substitution of divalent copper ions. Electrochemical
measurements reveal the improvement of the electrode performance of
nanostructured manganate upon copper doping, which can be interpreted as a result
of the decrease of aspect ratio and the increase of Mn valence state. From the
present experimental findings, it becomes certain that the present Cu doping
method can provide an effective way of controlling the crystal dimension and
electrochemical property of 1D nanostructured manganese oxide.
PMID- 18047112
TI - H2 uptake and synthesis of the Li-dispersed manganese oxide nanotubes.
AB - Well aligned Li-dispersed manganese oxide nanotubes were prepared using LiNO3,
Mn(NO3)2 x xH2O and an anodic aluminum oxide template by solvent-free method for
potential applications in H2 storage. The obtained nanotubes were characterized
by X-ray diffraction (XRD), scanning electron microscopy (SEM), and transmission
electron microscopy (TEM). The analyses revealed the Mn2O3 nanotubes to have a
cubic structure with a uniform length, 40-50 nm in wall thickness and 250 +/- 10
nm in the outer diameter. The level of H2 adsorption was determined using the
gravimetric method. The Li-dispersed manganese oxide nanotubes showed a 0.26 wt%
for the amount of hydrogen adsorption at 77 K under 4.5 MPa.
PMID- 18047113
TI - Improved electrochemical performance of LiCoPO4 nanoparticles for lithium ion
batteries.
AB - Single phase LiCoPO4 nanoparticles were synthesized by solid-state reaction.
LiCoPO4/Li batteries were fabricated in an argon-filled glove box, and their
electrochemical properties were analyzed by cyclic voltammetry (CV) and charge
discharge tests. The structural performance of LiCoPO4 nanoparticles was
investigated by X-ray diffraction (XRD) and scanning electron microscope (SEM).
The XRD result demonstrated that LiCoPO4 nanoparticles had an orthorhombic
olivine-type structure with a space group of Pmnb. The charge-discharge tests
indicated that the initial discharge capacity and coulombic efficiency of
LiCoPO4/Li batteries were 110 mA h/g and 48% in cut-off voltage range of 3.0-5.3
V, 90 mA h/g and 54% in cut-off voltage range of 3.0-5.1 V, 70 mA h/g and 60% in
cut-off voltage range of 3.0-5.0 V, respectively. After 30 cycles, the coulombic
efficiency was 78% for 3.0-5.3 V, 88% for 3.0-5.1 V, 91% for 3.0-5.0 V,
respectively. These results indicated that the coulombic efficiency of LiCoPO4/Li
battery increased upon cycling and upon decreasing in charge upper limit voltage,
respectively.
PMID- 18047114
TI - Investigation of potential alternative hydrogen carrier, Mg supported zeolite
with temperature programmed desorption of NH3.
AB - Magnesium ion exchanged zeolite A was subject to the measurement of the
temperature programmed desorption of NH3 to explore the possibility of the
potential hydrogen carrier. The result suggested that the Mg supported NaA
zeolite released a significant amount of ammonia corresponding to 1.4 Hwt%
hydrogen at around 373 K. Under the same condition after the NH3 adsorption at
ambient temperature, the MgCl2 sample released 1.0 Hwt% hydrogen at around 340 K.
The present work suggests that the Mg supported zeolite can also be utilized as
hydrogen carrier.
PMID- 18047115
TI - Microspherical poly(methyl methacrylate)/multiwalled carbon nanotube composites
prepared via in situ dispersion polymerization.
AB - In this study, microspherical poly(methyl methacrylate)/multi-walled carbon
nanotube (PMMA/ MWCNT) composites were directly prepared by in situ dispersion
polymerization using poly (N-vinylpyrrolidone) in methanol media. PMMA/MWCNT
microspheres having a diameter of 2.6 approximately 3.9 microm and a molecular
weight of 58,000 approximately 65,000 g/mol with a 15.7 approximately 19.5%
coefficient of variation (Cv) were synthesized. The morphology of the synthesized
composite was investigated using scanning electron microscopy and transmission
electron microscopy. The experimental results demonstrated that MWCNTs are well
dispersed and embedded in the final PMMA/MWCNT microspheres. The prepared
PMMA/MWCNT microspheres were investigated in terms of their capacity to serve as
an electrorheological (ER) materials.
PMID- 18047116
TI - Multi-encoded rugate porous silicon as nerve agents sensors.
AB - The nanostructured rugate porous silicons (PSi) containing multiple photonic band
gaps have been generated by an electrochemical etching through applying a
composite waveform summed three computer-generated pseudo-sinusoidal current
waveforms. They exhibit three sharp photonic band gaps in the optical
reflectivity spectrum, corresponding to the each of the sine components varied
from 0.42, 0.36, and 0.30 Hz, with a spacing of 0.06 Hz between each sine
component. The sensing experiments using multi-encoded rugate PSi for the
detection of nerve agents such as triethyl phosphate (TEP), diethyl
chlorophosphate (DCP), dimethyl methylphosphonate (DMMP), and diethyl
ethylphosphonate (DEEP) have been achieved. Capillary condensation in the pores
causes the reflectivity of rugate PSi to shift to longer wavelengths due to an
increase in refractive indices of the porous medium.
PMID- 18047117
TI - Multi-wavelength emitting InGan/GaN quantum well grown on V-shaped gan(1101)
microfacet.
AB - InGaN/GaN multiple quantum wells (MQWs) were successfully grown on the inclined
GaN(1101) microfacets. Conventional photolithography and subsequent growth of GaN
were employed to generate the V-shaped microfacets along (1120) direction. The
well-developed microfacets observed by scanning electron microscopy and the clear
transmission electron microscope interfacial images indicated that the MQW was
successfully grown on the GaN microfacets. Interestingly, cathodoluminescence
(CL) spectra measured on the microfacets showed a continuous change in the
luminescence peak positions. The CL peaks were shifted to a longer wavelength
from 420 nm to 440 nm as the probing points were changed along upward direction.
This could be attributed to the nonuniform distribution of the In composition
and/or the wavefunction overlapping between adjacent wells. Present works thus
propose a novel route to fabricate a monolithic white light emitting diode
without phosphors by growing the InGaN/GaN MQWs on (1101) facet.
PMID- 18047118
TI - Nanocrystalline formation in immiscible Cu-Mo system subjected to mechanical
alloying.
AB - The mechanical alloying process has been studied on the Cu-Mo system, the atomic
pair of which is characterized by a positive heat of mixing of +19 kJ/mol. The
EXAFS and X-ray diffraction measurements have been employed to analyze the
structural changes taking place during milling. Two phases mixture of
nanocrystalline fcc-Cu and bcc-Mo with a grain size of 10 nm has been formed by
MA of Cu30Mo70 powders for 200 hours. The structural analysis based on the EXAFS
spectra revealed that bcc and fcc crystal structure clearly do not change around
Mo and Cu atoms up to 200 h of milling, respectively. Studies of the
thermodynamical considerations by DSC analyses confirmed that the alloying does
not occur even after 200 hours of MA in Cu-Mo system.
PMID- 18047119
TI - Nanosized hydroxyapatite powder synthesized from eggshell and phosphoric acid.
AB - The present research describes synthesis of highly sinterable, nano-sized
hydroxyapatite (HAp) powders using a wet chemical route with recycled eggshell
and phosphoric acid as calcium and phosphorous sources. The raw eggshell was
easily turned to CaO by the calcining process, and phosphoric acid was mixed with
the calcined eggshell by the wet, ball-milling method. The crystalline
development and microstructures of the synthesized powders and sintered samples
were examined by X-ray diffractometry and scanning electron microscopy,
respectively. The observed phases on the powder synthesis process were dependent
on the mixing ratio (wt%) of the calcined eggshell to phosphoric acid and the
heating temperature. The ball-milled, nano-sized HAp powder, which has an average
particle size of 70 nm, was fully densified at 1300 degrees C for 1h. The Ca/P
ratio for stoichiometric composition of HAp was controlled by adjustment of the
mixing ratio.
PMID- 18047120
TI - Optical properties of eu doped M-Ga2S4 (M: Zn, Ca, Sr) phosphors for white light
emitting diodes.
AB - Eu2+ doped M-thiogallate (MGa2S4, M: Zn, Ca, Sr) phosphors were prepared by solid
state reaction. The dependence of luminescent properties, photoluminescence and
cathodoluminescence, on M2+ ions was investigated. ZnGa2S4: Eu2+, CaGa2S4: Eu2+,
and SrGa2S4: Eu2+ exhibited a green emission band at 540 nm, 560 nm, and 535 nm,
respectively. The red-shift between CaGa2S4: Eu2+ and SrGa2S4: Eu2+ was
originated from the radius difference of Ca2+ and Sr2+ ions. However, it did not
apply to ZnGa2S4 : Eu2+ despite of smaller radius of Zn2+ ion. The particle size
of ZnGa2S4 : Eu2+ was much smaller than those of the other thiogallates, leading
to extremely low CL emission.
PMID- 18047121
TI - Photocatalytic reduction of nitrate in wastewater using ZnO nanopowder
synthesized by solution combustion method.
AB - ZnO nanopowder was synthesized by a unique method which is called solution
combustion method (SCM). This nanopowder was used for a photocatalyst to
decompose nitrate that is a toxic pollutant in wastewater. It has been known that
TiO2, the most popular photocatalyst, does not decompose the nitrate. In this
paper, however, the SCM ZnO nanopowder decomposed about 13% of nitrate.
Furthermore, adding methanol as a hole scavenger, the decomposition rate was
enhanced by about 5 times. On the other hand, it has been reported that the
photocatalytic reduction reaction of nitrate produces ammonia as a final product.
The present results, however, suggest that the final product is non-toxic
nitrogen gas rather than the toxic ammonia. These results would be very valuable
for drinking water purification.
PMID- 18047122
TI - Preparation of nanosized Pt-Au alloy catalyst and its activity in methanol
oxidation.
AB - The alloy catalyst has been widely used because it will be able to improve the
activity and selectivity of the single metal catalyst in a given chemical
reaction. In this study, the preparation and characteristics of nanosized Pt and
Au particles on alumina and their catalytic activity were described. Nanosized Pt
Au catalysts were prepared by impregnation (IMP) method and deposition (DP)
method using alumina or ZnO/Al2O3 as support. The size of Pt and Au particles
were observed by transmission electron microscopy (TEM), energy dispersive
spectroscope (EDS), and X-ray diffraction (XRD). Catalytic activity for oxidation
of methanol was measured using a flow reactor. It could be seen that the Pt
particle size and dispersion in the alloy catalysts was rarely influenced by
preparation methods and Au particles coated by deposition method were well
dispersed. TEM images showed that Au particles were well dispersed in the
Pt/Au/ZnO/Al2O3 catalyst of which Au particles was supported by deposition
method. The catalytic activity for methanol are given in the order of Pt
Au[IMP]/ZnO/Al2O3 > Pt[IMP]/Au[DP]/ZnO/Al2O3 > Au[DP]/Pt[IMP]/ZnO/Al2O3 > Pt
Au[DP]/ZnO/Al2O3. Therefore, Au particle size was doing not play an important
role in increasing the oxidation activity, but the Au particles may promote the
methanol oxidation.
PMID- 18047123
TI - Work function increase of Al-doped ZnO thin films by B+ ion implantation.
AB - The work function of an Al-doped ZnO (AZO) thin film can be increased via B+ ion
implantation from 3.92 eV up to 4.22 eV. The ion implantation has been carried
out with the ion dose of 1 x 10(16) cm(-2) and ion energy of 5 keV. The
resistance of the B+ implanted AZO films has been a bit raised, while their
transmittance is slightly lowered, compared to those of un-implanted AZO films.
These behaviors can be explained by the doping profile and the resultant band
diagram. It is concluded that the coupling between the B+ ions and oxygen
vacancies would be the main reason for an increase in the work function and a
change in the other properties. We also address that the work function is more
effectively alterable if the defect density of the top transparent conducting
oxide layer can be controlled.
PMID- 18047124
TI - Stress behavior of FCC metallic thin films during thermal evaporation.
AB - The development of stress in metallic thin films, monitored by in-situ curvature
measurements during deposition, is analyzed. Three distinct stress regions
including initial compressive, broad tensile, and incremental compressive stress
were reported in terms of the film thickness (deposition time) by F. Spaepen. An
experimental set-up was assembled for the in-situ curvature measurements
utilizing vacuum thermal evaporation and multi-beam laser reflection points
arrayed in x- and y-axis. The change in the spacing of laser reflected points was
converted to the curvature of specimen, in turn, to instantaneous stress levels
in the growing films using Stoney's formula. To investigate the effect on the
distinct stress regions, the flux of the depositing metallic atoms was used as an
experimental variable in this study. For the lowest flux cases for Cu and Ag, an
additional second compressive stress stages after tensile maximum stress was
observed in this study. Initial compressive part and tensile maximum stress
regions appeared in shorter period of time for the thin films deposited at higher
flux of atoms. Thus the flux of depositing atoms may affect the mechanisms of
each stage. The initial compressive stress is conjectured to stem from the state
of thin film surfaces; dynamic and relaxed surface. A broad tensile region is
reported from the fact that the reduction of excess volume associated with grain
boundaries and/or the coalescence of grains for high mobility materials. The
incremental compressive stress region may be related to surface state and atomic
mobilities.
PMID- 18047125
TI - Atomistic simulation of boron diffusion with charged defects and diffusivity in
strained Si/SiGe.
AB - We discuss the boron diffusion in a biaxial tensile strained {001} Si and SiGe
layer with kinetic Monte Carlo (KMC) method. We created a strain in silicon by
adding a germanium mole fraction in silicon in order to perform a theoretical
analysis. The generation of a strain in silicon influences in the diffusivity as
well as the penetration profile during the implantation. The strain energy for
the charged defects has been calculated from the ab-initio calculation while the
diffusivity of boron was extracted from the Arrhenius formula. Hereby, the
influence of the germanium content on the dopant diffusivity was estimated. Our
KMC study revealed that the diffusion of the B atoms was retarded with increasing
Germanium mole fraction in a strained silicon layer. Furthermore, we derived a
functional dependence of the in-plane strain as well as the out-of-plane strain
on the germanium mole fraction, which lies in the distribution of equivalent
stresses along the Si/SiGe interface.
PMID- 18047126
TI - Equivalent circuit model of semiconductor nanowire diode by SPICE.
AB - An equivalent circuit model of nanowire diodes is introduced. Because nanowire
diodes inevitably involve a metal-semiconductor-metal structure, they consist of
two metal-semiconductor contacts and one resistor in between these contacts. Our
equivalent circuit consists of two Schottky diodes and one resistor. The current
through the reverse-biased Schottky diode is calculated from the thermionic field
emission (TFE) theory and that of the forward-biased Schottky diode is obtained
from the classical thermionic emission (TE) equation. Our model is integrated
into the conventional circuit simulator SPICE by a sub-circuit with TFE and TE
routines. The results simulated with our model by SPICE are in good agreement
with various, previously reported experimental results.
PMID- 18047127
TI - Electron-phonon interaction model and prediction of thermal energy transport in
SOI transistor.
AB - An electron-phonon interaction model is proposed and applied to thermal transport
in semiconductors at micro/nanoscales. The high electron energy induced by the
electric field in a transistor is transferred to the phonon system through
electron-phonon interaction in the high field region of the transistor. Due to
this fact, a hot spot occurs, which is much smaller than the phonon mean free
path in the Si-layer. The full phonon dispersion model based on the Boltzmann
transport equation (BTE) with the relaxation time approximation is applied for
the interactions among different phonon branches and different phonon
frequencies. The Joule heating by the electron-phonon scattering is modeled
through the intervalley and intravalley processes for silicon by introducing
average electron energy. The simulation results are compared with those obtained
by the full phonon dispersion model which treats the electron-phonon scattering
as a volumetric heat source. The comparison shows that the peak temperature in
the hot spot region is considerably higher and more localized than the previous
results. The thermal characteristics of each phonon mode are useful to explain
the above phenomena. The optical mode phonons of negligible group velocity obtain
the highest energy density from electrons, and resides in the hot spot region
without any contribution to heat transport, which results in a higher temperature
in that region. Since the acoustic phonons with low group velocity show the
higher energy density after electron-phonon scattering, they induce more
localized heating near the hot spot region. The ballistic features are strongly
observed when phonon-phonon scattering rates are lower than 4 x 10(10) S(-1).
PMID- 18047128
TI - Fabrication and characterization of directly-assembled ZnO nanowire field effect
transistors with polymer gate dielectrics.
AB - We report the fabrication and electrical characterization of ZnO nanowire field
effect transistors (FETs). Dielectrophoresis technique was used to directly align
ZnO nanowires between lithographically prepatterned source and drain electrodes,
and spin-coated polyvinylphenol (PVP) polymer thin layer was used as a gate
dielectric layer in "top-gate" FET device configuration. The electrical
characteristics of the top-gate ZnO nanowire FETs were found to be comparable to
the conventional "bottom-gate" nanowire FETs with a SiO2 gate dielectric layer,
suggesting the directly-assembled nanowire FET with a polymer gate dielectric
layer is a useful device structure of nanowire FETs.
PMID- 18047129
TI - Effect of TiO2 particle size on the performance of viologen-anchored TiO2
electrochromic device.
AB - The effect of TiO2 particle size on the performance of the electrochromic device
(ECD) has been investigated in this work by applying the TiO2 nanoparticles in 7,
15, and 30 nm sizes. The phosphonated viologen, bis(2-phosphonoethyl)-4,4'
bipyridinium dibromide, was anchored on the TiO2 surfaces for the construction of
ECD. The ECD derived from 7 nm-sized TiO2 demonstrated the highest contrast ratio
with high optical transparency, whereas it showed the slowest switching response.
The enhancement of coloration efficiency with decrease of TiO2 particle size is
due to the increased amount of the anchored viologen on TiO2 electrode. On the
other hand, the relatively slower switching response would be caused by the
difficulty of diffusion for the electrolytes and counter-ions through the small
pores of the nanocrystalline TiO2 electrode derived from 7 nm-sized
nanoparticles.
PMID- 18047130
TI - Spin-polarized current of a transistor in single Mn12 molecular magnets.
AB - Focusing on the framework of how to realize the molecular spintronics in a single
molecular magnet, we present theoretical studies on the spin-polarized quantum
transport behavior through a single Mn12 molecular magnet. Our theoretical
results were obtained by carrying out density functional theoretical calculation
within the Keldysh nonequilibrium Green function formalism. The ultimate goal of
the molecular spintronics is to develop single molecule transistors which
generate spin-polarized currents through the molecular magnet. We obtained the
density of states, the transmission coefficients and the characteristic features
of the current-voltage (I-V) on the spin-polarized transport properties of Mn12
by the theoretical calculation. These results show the possibility for the
realization of molecular spintroinics using single molecular magnets.
PMID- 18047132
TI - New adders using hybrid circuit consisting of three-gate single-electron
transistors (TG-SETs) and MOSFETs.
AB - A half-adder (HA) and a full-adder (FA) using hybrid circuits combining three
gate single-electron transistors (TG-SETs) with metal-oxide-semiconductor field
effect-transistors (MOSFETs) are proposed. The proposed HA consists of three TG
SETs, two enhanced-mode NMOSFETs, and two depletion-mode NMOSFETs, and the
proposed FA consists of eight TG-SETs, two enhanced-mode NMOSFETs, and two
depletion-mode NMOSFETs. The complexities in the HA and the FA are 7 and 12,
respectively, and the worst-case delays in the HA and the FA are 1.48 ns and 2.25
ns, respectively. Compared with the conventional CMOS FA with 0.35 microm
technology, the proposed FA can be constructed with 0.43 of devices, and can
operate with 3.5 of worst-case delay, 1/534 of average power consumption, and
1/152 of power-delay-product (PDP). The proposed HA and FA can be operated as a
half-subtractor (HS) and a full-subtractor (FS) in the case when the levels of
the control gates in the HA and the FA are fitly determined. The basic operations
of the proposed HA and the proposed FA have been successfully confirmed through
SPICE circuit simulation based on the physical device model of TG-SETs.
PMID- 18047131
TI - Charge-transfer interaction in single-walled carbon nanotubes with
tetrathiafulvalene and their applications.
AB - We observed that single-walled carbon nanotube (SWNT) was aligned in the presence
of TTF This alignment was induced by a specific interaction between SWNT and
tetrathiafulvalene (TTF), a well-known organic donor. The interaction between the
two molecules can be explained by a charge-transfer, which was confirmed by X-ray
photoelectron spectroscopy (XPS) and Raman spectroscopy. The binding energies of
S (2P1/2) and S (2P3/2) were shifted from 163.0 eV and 164.1 eV to 163.9 eV and
165.1 eV, respectively. In Raman spectra of the SWNT-TTF, three peaks of SWNT in
radial breathing mode were also upshifted by 4-5 cm(-1). The charge-transfer
interaction also contributed in modifying the electronic structure of SWNT and
furthermore enhanced the electrical conductivity of SWNT. A more conductive thin
film was fabricated using the SWNT-TTF Four-probe measurement revealed that the
surface resistance of the SWNT-TTF film was reduced to 4.359 omega at room
temperature while that of SWNT film was 6.894 omega. These results enable carbon
nanotubes to be utilized more for practically for industrial applications in
fabricating peculiar nano-sized building blocks.
PMID- 18047133
TI - Extremely scaled 3-dimensional multiple-gate technologies for terabit era.
AB - In order to make possible silicon-based, room-temperature operable devices having
a feature size in the sub-5 nm range, an all-around gate FinFET having an
extremely narrow gate-surrounded silicon fin with a floating body was proposed
and fabricated. Sub-10 nm device issues such as short channel effects,
punchthrough, source/drain series resistance, gate misalignment, and hot-carrier
injection were intensively studied and optimized for the sub-5 nm structure. The
sub-5 nm all-around gate FinFET with 3 nm fin width and 1.2 nm EOT was
demonstrated for the first time.
PMID- 18047134
TI - Enhanced contrast of electrochromic full cell systems with nanocrystalline PEDOT
prussian blue.
AB - Poly-(3,4-ethylenedioxythiophene) (PEDOT) is an ideal polymer for electrochromic
(EC) devices due to its fast response time, high conductivity, and facile
fabrication in a doped form except its demerit like an optical contrast
limitation. In this study, we developed a simple way to overcome low coloration
efficiency of PEDOT through fabricating a complementary PEDOT and prussian blue
full cell system. Fundamental properties of EC displays, such as optical
contrast, coloration efficiency, and switching speed, could be successfully
optimized by controlling the deposition time and applied voltage during EDOT
polymerization. In particular, UV transmittance spectra indicated that the
optical contrast was enhanced up to 31 approximately 99% at the wavelength of 600
nm. Scanning electron microscopy images showed that the optimized PEDOT and
prussian blue films were deposited on ITO glass substrate with an uniform
thickness of approximately 180 nm and approximately 190 nm, respectively.
Moreover, according to the circuit analysis, the average response time of
electric current for the optimized full cell system was about 400 ms. It is,
therefore, concluded that such a full cell system could have high potential
applications as smart windows and/or optical devices.
PMID- 18047135
TI - Quantum mechanical device modeling: FinFET having an isolated n+/p+ gate region
strapped with poly-silicon.
AB - In this paper, we present our numerical study on FinFET having an isolated n+/p+
gate region strapped with metal and poly-silicon structure. Our theoretical work
is based on 2-D quantum-mechanical simulator with a self-consistent solution of
Poisson-Schrodinger equation. Our numerical simulation revealed that the
threshold voltage (VT) is controlled within -0.1 approximately +0.2 V with
varying the doping concentration of the n+ and p+ polysilicon gate region from
1.0 x 10(17) to 1.0 x 10(18) cm(-3). We also confirmed that the better VT
tolerance of the FinFET on the variation of the fin thickness can be expected
over the conventional FinFET structure. For instance, the VT of the FinFET under
this work exhibited 0.02 V tolerance with respect to the variation of the fin
thickness change of 5 nm (from 30 to 35 nm) while the traditional FinFET
demonstrates the tolerance of 0.12 V for the same variation of the fin thickness.
PMID- 18047136
TI - Resistance switching characteristics of HfO2 film with electrode for resistance
change random access memory.
AB - The electrode dependent resistance switching behaviors of amorphous HfO2 films
grown by atomic layer deposition were systematically investigated. The low and
high resistance states were successfully achieved for all the metal-insulator
metal resistor systems with Mo, Ru, and Pt symmetric electrodes. The
characteristic reset and set voltages as well as the dynamic resistance ratio of
the resistor device are strongly dependent on the electrode material with
different work function. In addition, the different features for switching
voltages with electrode are shown with annealing temperature.
PMID- 18047137
TI - Spin transport in spin filtering magnetic tunneling junctions.
AB - Taking into account spin-orbit coupling and s-d interaction, we investigate spin
transport properties of the magnetic tunneling junctions with spin filtering
barrier using Landauer-Buttiker formalism implemented with the recursive
algorithm to calculate the real-space Green function. We predict completely
different bias dependence of negative tunnel magnetoresistance (TMR) between the
systems composed of nonmagnetic electrode (NM)/ferromagnetic barrier
(FB)/ferromagnet (FM) and NM/FB/FM/NM spin filtering tunnel junctions (SFTJs).
Analyses of the results provide us possible ways of designing the systems which
modulate the TMR in the negative magnetoresistance regime.
PMID- 18047138
TI - Behavior characteristics of nano-stage according to hinge structure.
AB - Nano-stages are used in many ultra-precision systems, such as scanning probe
microscope (SPM), optical fiber aligners, ultra-precision cutting, measuring and
optical systems. Generally, ultra-precision machining and measuring are achieved
using a nano-scale motion stage actuated using Piezo-electric actuators (PZT),
and the importance of and demands for the motion stage increase with the need to
improve system performance and accuracy. However, it is difficult to find
solutions because the performance and characteristics of nano-scale motion stages
are determined by various factors, such as the hinge structure, actuator, and
method of system control. This paper focuses on improving of leafspring and
planar joint hinges, and suggests a composite joint hinge stage.
PMID- 18047139
TI - Fabrication of poly-silicon nano-wire transistors on plastic substrates.
AB - We report the fabrication and characterization of poly-Si nanowire transistors on
flexible substrates. The nanowire transistors are fabricated on a SiO2/Si
substrate using conventional CMOS processes, and then they are transferred onto
polyimide substrates. The transfer process is performed by spin-coating of
polyimide, curing (annealing) of the polyimide layer, and removal of the SiO2
sacrificial layer. The optimized curing condition results in the maximum bending
of 150 degrees with full recovery. The nanowire transistors exhibit transistor
characteristics as a function of the backgate bias. Our new process can be
applied to the fabrication of Si-nanowire transistors with larger mobilities.
PMID- 18047140
TI - Device optimization of CO2 gas sensor using planar technology.
AB - A planar type Li+ ion based potentiometric CO2 micro gas sensor of size 2 x 3 mm
has been fabricated on alumina substrate by combining thin and thick film
technology. The heater, electrodes and electrolyte were deposited by thin film
deposition technique and the sensing and reference electrodes were printed by
silk screen printing technology. The optimal thickness and sintering temperature
of electrolyte are 1.2 microm and 775 degrees C. The sensor with Li2CO3 and 20
mol% BaCO3 not only exhibits a good Nernstian behavior but also consistent
results over a long time at 450 degrees C in dry as well as 70% RH humidity
condition between 160-5000 ppm CO2 concentrations. The spreading effect of the
sensing and reference materials was controlled by the addition of Al2O3:B2O3 (1:2
mol%) glass.
PMID- 18047141
TI - Novel synthesis of nanorod ZnO and Fe-doped ZnO by the hydrolysis of metal
powders.
AB - Fe-doped ZnO nanorods have been synthesized by a novel process employing a
hydrolysis of metal powders. Zn and Fe nano-powders were used as starting
materials and incorporated into distilled water. The solution was refluxed at 60
degrees C for 24 h to obtain the precipitates from the hydrolysis of Zn and Fe. X
ray diffraction patterns for all the samples showed a pure wurtzite single phase,
without any segregation of the Fe into the particulates within the instrumental
resolution limit. The TEM results for ZnO with and without an Fe-doping showed
that the produced powders had a rod-like shape. The rod shape was attributable to
the zinc oxide from the hydrolysis of Zn. With an increasing Fe content, the UV
vis spectra were shifted to a long wave length and this result indicates that the
band gap was changed by an Fe-doping.
PMID- 18047142
TI - Fabrication of 3D functionalized microstructure via scanning probe lithography
and self-assembly methods.
AB - A type of 2-dimensional planar pattern with spatial resolution can be easily
produced using scanning probe lithography (SPL). However, it has not been used
successfully for fabricating 3-dimensional (3D) structures due to the low aspect
ratio of the resulting structure. Herein, we describe a method for fabricating a
3D functionalized structure via a combination of SPL and self-assembly
techniques. In this study, a 3D structure was established on a Si surface with a
passivated monolayer via SPL. The patterned layer was modified using a omega
functionalized organosilane. Lateral force microscopy (LFM) was applied to
discriminate the chemical functionalities and gold nanoparticles were also used
to clearly identify the modified layer.
PMID- 18047143
TI - Photonic polymer replicas from distributed Bragg reflectors structured porous
silicon.
AB - Well defined 1-dimentional (1-D) photonic crystals of polymer replicas have been
successfully obtained. DBR porous silicon containing nanometer-scale pores are
prepared by an anodic electrochemical etch of p(++)-type silicon wafer. The
resulting DBR porous silicon film removed from the substrate by applying an
electropolishing current has been thermally oxidized in the furnace at 400
degrees C for 3 h. Oxidized DBR PSi/polystyrene composite films are prepared by
casting of polymer solution onto a free-standing porous silicon photonic crystal
layer. Flexible photonic polymer replicas have been prepared after the removal of
oxidized DBR PSi matrix in HF/H2O mixture solution. Polymer replicas exhibit a
sharp resonance in the reflectivity spectrum. Optical characteristics of photonic
polymer replica indicate that the surface of polymer film has a negative
structure of DBR PSi. This replica is stable in aqueous solutions for several
days without any degradation.
PMID- 18047144
TI - Characterization of intrinsic a-Si:H films prepared by inductively coupled plasma
chemical vapor deposition for solar cell applications.
AB - The hydrogenated amorphous silicon (a-Si:H) films, which can be used as the
passivation or absorption layer of solar cells, were prepared by inductively
coupled plasma chemical vapor deposition (ICP-CVD) and their characteristics were
studied. Deposition process of a-Si:H films was performed by varying the
parameters, gas ratio (H2/SiH4), radio frequency (RF) power and substrate
temperature, while a working pressure was fixed at 70 m Torr. Their
characteristics were studied by measuring thickness, optical bandgap (eV),
photosensitivity, bond structure and surface roughness. When the RF power and
substrate temperature were 300 watt and 200 degrees C, respectively, optical
bandgap and photosensitivity, similar to the intrinsic a-Si:H film, were
obtained. The Si-H stretching mode at 2000 cm(-1), which means a good quality of
films, was found at all conditions. Although the RF power increased up to 400
watt, average of surface roughness got better, compared to a-Si:H films deposited
by the conventional PECVD method. These results show the potential for developing
the solar cells using ICP-CVD, which have the relatively less damage of plasma.
PMID- 18047145
TI - Carbon nanotube patterning with capillary micromolding of catalyst.
AB - Patterning of multi-walled carbon nanotube (MWNT) in a plasma enhanced chemical
vapor deposition (PECVD) chamber has been achieved by catalyst patterning using
capillary micromolding process. Iron acetate catalyst nanoparticles were
dissolved in ethanol and mold was fabricated with polydimethylsiloxane (PDMS).
The ethanol solution containing catalyst nanoparticles was filled into the
microchannel formed between PDMS mold and Si-wafer by capillary force. The
capillary action of different solvents was simulated by commercial CFD-ACE+
simulation code to determine optimal solvents. Simulated result shows that the
choice of solvent was critical in this capillary filling process. After the
catalyst patterning, MWNT was grown at 700 approximately 800 degrees C by PECVD
process using CH4 and Ar gas in a scale of approximately 10 micro-meters in a
tubular inductively coupled plasma reactor. Grown CNTs were analyzed by FE-SEM
and Raman Spectroscopy.
PMID- 18047146
TI - Characteristics of nanocomposite ZrO2/Al2O3 films deposited by plasma-enhanced
atomic layer deposition.
AB - Nanocomposite ZrO2/Al2O3 (ZAO) films were deposited on Si by plasma-enhanced
atomic layer deposition and the film characteristics including interfacial oxide
formation, dielectric constant (k), and electrical breakdown strength were
investigated without post-annealing process. In both the mixed and nano-laminated
ZAO films, the thickness of the interfacial oxide layer (T(IL)) was considerably
reduced compared to ZrO2 and Al2O3 films. The T(IL) was 0.8 nm in nano-composite
films prepared at a mixing ratio (ZrO2:Al2O3) of 1:1. The breakdown strength and
the leakage current level were greatly improved by adding Al2O3 as little as 7.9%
compared to that of ZrO2 and were enhanced more with increasing content of Al2O3.
The k of ZrO2 and mixed ZAO (Al2O3 7.9%) films were 20.0 and 16.5, respectively.
These results indicate that the addition of Al2O3 to ZrO2 greatly improves the
electrical properties with less cost of k compared to the addition of SiO2.
PMID- 18047147
TI - Electrical properties of polyaniline and multi-walled carbon nanotube hybrid
fibers.
AB - We have fabricated for the first time one-dimensional multiwalled carbon nanotube
(MWNT) nanocomposite fibers with improved electrical properties using
electrospinning. Polyaniline (PANi) and poly(ethylene oxide) (PEO) were used as a
conducting and a nonconducting matrix, respectively, for hybrid nanofibers
including MWNTs. The hybrid nanofibers fabricated by electrospinning had a length
of several centimeters and a diameter ranging from approximately 100 nm to
approximately 1 microm. Transmission electron microscopic analysis confirmed that
the MWNTs were successfully oriented along the fiber axis without any severe
aggregation during electrospinning. The hybrid nanofibers showed an enhanced
electrical conductance with increasing MWNT content up to 0.5 wt%, and compared
to PANi/PEO fibers, they also showed a stable linear ohmic behavior. These hybrid
conducting nanofibers can be applied to chemical and biosensors that require a
high sensitivity.
PMID- 18047148
TI - Effect of pre-existing oxide film on the electrochemical fabrication of
nanoporous alumina film.
AB - Different thickness of barrier-type oxide film was intentionally grown on the Al
metal surface and the effect of barrier film on the formation of nanoporous
aluminum oxide film during anodization was investigated to control the nanopore
structure. Analysis of potential transients during anodization indicated that
anodic oxide film is initially overlaid on the barrier film but the anodic film
is more facile to dissolve than barrier film. As the thickness of barrier film
increases, both nanopore diameter and density decrease but the pore length is
irrespective of barrier-film thickness.
PMID- 18047149
TI - Electrochemical fabrication of SrTiO3 nanowires with nanoporous alumina template.
AB - Strontium titanate nanowires were electrochemically synthesized with nanoporous
alumina template. Both chemical and electrical variables such as electrolyte pH,
temperature, and current waveform were modulated to investigate the synthesis
process of SrTiO3 nanowires. Superimposed cathodic pulse and diffusion time
accelerated the growth of SrTiO3 nanowires, which suggested that the
concentration of H+ and Sr2+ ion inside alumina template had a strong influence
on the formation of SrTiO3 nanowires. Morphology and crystallinity of SrTiO3
nanowires were investigated with scanning electron microscope, X-ray
diffractometer and energy dispersive X-ray spectroscopy.
PMID- 18047150
TI - Fabrication of mesoporous cerium dioxide films by cathodic electrodeposition.
AB - Mesoporous cerium dioxide (Ceria, CeO2) thin films have been successfully
electrodeposited onto ITO-coated glass substrates from an aqueous solution of
cerium nitrate using CTAB (Cetyltrimethylammonium Bromide) as a templating agent.
The synthesized films underwent detailed characterizations. The crystallinity of
synthesized CeO2 film was confirmed by XRD analysis and HR-TEM analysis, and
surface morphology was investigated by SEM analysis. The presence of mesoporosity
in fabricated films was confirmed by TEM and small angle X-ray analysis. As
synthesized film was observed from XRD analysis and HR-TEM image to have well
crystallized structure of cubic phase CeO2. Transmission electron microscopy and
small angle X-ray analysis revealed the presence of uniform mesoporosity with a
well-ordered lamellar phase in the CeO2 films electrodeposited with CTAB
templating.
PMID- 18047151
TI - Fabrications of nanofibers as crossed arrays by electrospinning.
AB - We have developed a new method for obtaining nanofiber crossed arrays by
exploiting an auxiliary electrode subjected to electrical frequencies, between
the capillary tip and the grounded target in an electrospinning machine. The
frequencies generated crossed arrays on a flat collector, used instead of a
rotating wheel because of intersecting jets. We observed many straight and
crossed structures. We determined the variation in morphology with changes in
frequency, and characterized the samples using optical microscopy and a field
emission scanning electron microscope. This paper reports on a simple, easy
method for generating crossed array nanofibers on a flat substrate using
electrical frequency in an auxiliary electrode.
PMID- 18047152
TI - Reduction of interpore distance of anodized aluminum oxide nano pattern by mixed
H3PO4:H2SO4 electrolyte.
AB - A self-formed and ordered anodized aluminum oxide (AAO) nano pattern has
generated considerable interest in both scientific research and commercial
application. However, the interpore distance obtainable by AAO is limited by 40
500 nm depending on electrolyte and anodizing voltage. It's believed that below
30 nm AAO pattern is a key technology in the fabrication semiconductor nano
structures with enhanced quantum confinement effect, so we worked on the
reduction of interpore distance of AAO with a novel electrolyte. AAO nano
patterns were fabricated with mixed H2SO4 and H3PO4 as an electrolyte for various
voltages and temperatures. The interpore distance and pore diameter of AAO were
decreased with reduced anodizing voltage. As a result, an AAO nano pattern with
the interpore distance of 27 nm and the pore diameter of 7 nm was obtained. This
is the smallest pattern, as long as we know, reported till now with AAO
technique. The fabricated AAO pattern could be utilized for uniform and high
density quantum dots with increased quantum effect.
PMID- 18047153
TI - Study on fracture behavior of surface treated montmorillonite/epoxy
nanocomposites.
AB - It is known that the mechanical properties of clay-reinforced nanocomposites are
significantly affected by the dispersion of clay particles in the matrix. In this
study, the effect of surface-treatment of Montmorillonite (MMT) on the fracture
behavior of MMT/epoxy nanocomposite was investigated. For this purpose, fracture
tests were performed using samples with three different clay concentration level.
After fracture tests, SEM analysis was made on the fracture surfaces to examine
the fracture mechanism. It was found that the MMT treatment using 3
aminopropyltriethoxysilane enhanced the fracture toughness increased of the
MMT/epoxy nanocomposite. This is due to the improved intercalation effect and
interfacial strength between MMT and epoxy matrix.
PMID- 18047154
TI - Bio-inspired cell concentration and deformability monitoring chips.
AB - The paper presents a couple of biofluidic devices, whose functions are inspired
from biological cell concentration and deformability monitoring functions. The
cell concentration monitoring chip is inspired from RBC control mechanism in
kidney, performing cell concentration monitoring functions. The cell
deformability chip, inspired from selective RBC destruction mechanism in spleen,
performs mechanical cell deformability monitoring functions. The structures and
principles of the bio-inspired chips are presented and compared with those of the
biological organs. The unique features and performance characteristics of the bio
inspired chips are analyzed and verified from experimental study. The bio
inspired cell concentration monitoring chips perform flow-rate insensitive
concentration measurement, while the bio-inspired cell deformability monitoring
chips achieve size-independent cell deformability measurement. Common advantages
of the bio-inspired chips include simple structures, digital signals and high
integrability, thus making them suitable for use in integrated digital biomedical
systems.
PMID- 18047155
TI - Place and health research in Canada.
PMID- 18047156
TI - Unpacking income inequality and population health: the peculiar absence of
geography.
AB - BACKGROUND: A large and growing body of literature investigating the negative
relationship between income inequality and population health (at different
geographic scales) has developed over the past several years, although the
relationship is not universal apparently. We argue that there has been a peculiar
absence of geography in studies of the relationship between income inequality and
population health and that explanations for the mixed results have been hampered
by an inattention to geography. METHODS: Using methods of spatial pattern
visualization, outlier analysis and comparative case study analysis, we
investigate the role of "geography" as a means of "unpacking" the relationship
between income inequality and health in Canada and the United States. RESULTS:
The findings demonstrate how analyzing the study of income inequality and
population health in the context of place makes otherwise obscure patterns
visible and opens up new questions and opportunities for investigating how
unequal places may be less healthy than more egalitarian ones. Rather than
dismissing the importance of income inequality and health because it does not
appear to exist at all times and in all places, we raise questions such as: Under
what conditions does the relationship between income inequality and population
health hold? and What, if anything, is similar about places where it does (or
does not) hold? as crucial questions requiring a different kind of analysis than
has been common in this literature. CONCLUSION: We recommend that place and
health studies seek this balance between universalistic and particularistic
explanations of place and health relationships in order to best understand the
socio-geographic production of health.
PMID- 18047157
TI - Conceptualizing and operationalizing neighbourhoods: the conundrum of identifying
territorial units.
AB - BACKGROUND: Over the past 10 years, there has been a surge of interest in
studying small-area characteristics as determinants of population and individual
health. Accumulating evidence indicates the existence of variations in the health
status of populations living in areas that differ in affluence and shows that
selected small-area characteristics are associated with the occurrence of
selected health behaviours. These variations cannot be attributed solely to
differential characteristics of populations living within small areas. One vexing
problem that confronts researchers is that of conceptualizing and
operationalizing neighbourhoods through delineation of small territorial units in
health research. GOALS AND METHODS: The aims of this paper are to selectively
overview conceptual definitions of neighbourhoods and to illustrate the
challenges of operationalizing neighbourhoods in urban areas by describing our
attempts to map out small territorial units on the Island of Montreal and in the
City of Calgary. CONCLUSION: We outline guiding principles for the construction
of a methodology for establishing small-area contours in urban areas and
formulate recommendations for future research.
PMID- 18047158
TI - Does choice of spatial unit matter for estimating small-area disparities in
health and place effects in the Vancouver Census Metropolitan Area?
AB - BACKGROUND: The purposes of this study were to determine (i) the extent to which
small-area estimates of self-rated health are dependent upon the choice of areal
unit and measure of socio-economic (SES) status, and (ii) the extent to which
place effects on self-rated health are dependent upon the choice of areal unit
and measure of SES. METHODS: The data were obtained from a subset of respondents
in the Canadian Community Health Survey 2.1 (2003) aged 18 to 74 residing in the
Vancouver Census Metropolitan Area. General health status was estimated using an
item assessing respondents' self-rated health. Small-area data were obtained from
the Statistics Canada 2001 Census at two spatial levels: larger Census Tract (CT)
(average population 2,500-8,000) and smaller Dissemination Area (DA) (average
population 400-700). SES quintiles were constructed using median family income
and two indices. Hierarchical non-linear modelling was used to test for place
effects. RESULTS: A gradient was found of increasing prevalence of "fair or poor"
self-rated health by decreasing SES quintile at both the DA and CT level. With
age category, sex, family income and education controlled for, hierarchical
analysis showed that compared with living in a high SES CT or DA the odds of
reporting fair or poor self-rated health increased for respondents living in the
lowest quintile CT or DA. INTERPRETATION: Aggregation using DAs or CTs produces
only small differences in estimates of fair or poor self-rated health by
quintiles of SES. Gradients are somewhat stronger for DAs. Place effects are
somewhat stronger for deprivation indices than the measure of median income.
PMID- 18047159
TI - Predictors of psychological distress in low-income populations of Montreal.
AB - THEORETICAL PERSPECTIVE: Many epidemiologic studies agree that low-income
populations are the groups most vulnerable to mental health problems. However,
not all people in economic difficulty show symptoms, and it appears that having a
social support network plays a role in protecting against the chronic stress
resulting from conditions such as poverty. OBJECTIVES: The aim of the study is to
clarify the relative contribution of social support to the mental health of low
income populations in two neighbourhoods in the southwest of Montreal: Pointe
Saint Charles and Saint-Henri. METHODS: A random sample of 416 social assistance
recipients in southwest Montreal and another sample of 112 people, drawn at
random from the general population, were interviewed. The psychological distress
scale used was the Indice de detresse psychologique--Enquete Sante Quebec
(IDPESQ). The availability of social support components was assessed by using the
Social Provisions Scale. Data were collected during interviews in the
respondents' homes. Social support measures were entered into a multidimensional
model including many variables identified as being associated with mental health.
Multiple regression analysis identified the best predictors of psychological
distress for the low-income population. FINDINGS AND CONCLUSIONS: Among the 30
variables included in a multiple regression analysis, emotional support and the
presence of persons perceived as stressful together accounted for most of the
variance in distress predicted by the model. Although younger people, people
experiencing food insecurity and people with poorer numeracy show a higher level
of distress, these variables make a fairly marginal contribution compared with
that of social relations.
PMID- 18047160
TI - Living environments and health at the local level: the case of three localities
in the Quebec city region.
AB - BACKGROUND: To arrive at a better understanding of the combined impact of social
health determinants on health inequities a research project was carried out in
three localities in the Quebec city region. This paper aims to show how
residents' health status and health determinants can be explored through various
data sources and analytic perspectives, and how these can then be combined to
create a more comprehensive picture of health status at the local level. METHODS:
A multidisciplinary approach was adopted. Both quantitative and qualitative
methods were used: information from databases entered into a geographic
information system, telephone survey and face-to-face interviews with key
informants, telephone surveys with representative samples of the population in
each locality and in-depth interviews with citizens. The localities were
subdivided into neighbourhood units to refine the analysis on local environment.
RESULTS: The results obtained in the locality of Saint-Louis, which displays the
worst scores of the three localities, illustrate the research strategy's
potential. Socio-economic and health indicators show that Saint-Louis is less
well-off than the two other localities and that huge disparities among
neighbourhoods are present within this locality. Results from the interviews with
key informants, the telephone survey and interviews with citizens confirm this
overall picture, raising many hypotheses about the various factors interacting to
contribute to levels of health. INTERPRETATION: Two main methodological
conclusions can also be drawn from this study: that defining the appropriate
spatial scale to study the impact of living environments is crucially important,
and that a life course approach is essential to understanding how inequities
develop.
PMID- 18047161
TI - Neighbourhood, family and health care.
AB - OBJECTIVE: The effects of family and place on health outcomes may be seriously
misestimated by standard analytic techniques. The information-rich settings in
several Canadian provinces can provide appropriate designs to minimize biases
resulting from omitted variables and measurement error. This paper compares
siblings with children living in the same neighbourhood (but not in the same
family) in terms of health care utilization and health care costs. A complete
history of residential mobility since birth permits an estimate of the effects of
exposure to different environments. METHODS: Registry data from a Manitoba cohort
born between 1978 and 1985 and continuously resident in the province generated a
large sample of same-sex siblings and neighbours (N = 18,280). Administrative
information on physician billings, hospital inpatient stays, and costs provided
data on utilization between ages 12 and 17. RESULTS: Large effects on the outcome
variables were associated with being in the same family (correlations up to
0.50), whereas the correlations representing upper limits on neighbourhood
effects were usually small. These neighbourhood correlations typically shrank
slightly after adjustment for family effects. Higher neighbour correlations with
utilization (particularly ambulatory visits) occurred in rural Manitoba and
probably reflect variation in access to care. Higher correlations are associated
with relatively small neighbourhoods and with families remaining in the
neighbourhood for at least 17 years. DISCUSSION: Although specific variables
taken from administrative data are only marginally predictive, our results
emphasize the importance of "family" in affecting health care utilization in
Manitoba. The minimal effects of neighbourhood differ from those found by
investigators generally using weaker designs and emphasizing the significance of
neighbourhood.
PMID- 18047162
TI - Non-communicable disease and injury-related mortality in rural and urban places
of residence: a comparison between Canada and Australia.
AB - BACKGROUND: Few published studies looking at cross-national comparisons of rural
urban health status are available. As a first step towards addressing the lack of
information on how rural populations in Canada compare with rural populations
elsewhere in the world, this paper examines and contrasts Canadian mortality
risks of selected diseases in rural and urban areas with those of Australia.
METHODS: Age-standardized mortality ratios for selected causes of deaths were
calculated at the national level and broken down into place of residence
categories using country-specific definitions of rurality (Metropolitan Influence
Zones in Canada and the Australian Standard Geographical Classification [ASGC]
Remoteness in Australia). RESULTS: Patterns of rural-urban mortality risk were
mostly similar in both countries. However, depending on the causes of death
examined, important differences were found. Mortality from motor vehicle
accidents, suicide and a few cancer sites showed similar urban-rural gradients in
both Canada and Australia. Notable differences were found for diabetes, all
cancers combined, as well as lung and colorectal cancer. Rural Australians were
at higher risk of dying from these diseases than their urban counterparts,
whereas rural Canadians were at lower risk than urban Canadians. DISCUSSION:
Overall, the patterns that have emerged from this comparison of Canadian and
Australian mortality risks suggest that health status disparities between rural
and urban populations are not limited to a specific country or region of the
world. However, there are also important differences between the two countries,
as the geographic mortality patterns varied according to sex and according to
disease category. This analysis is an initial step in promoting discussion of
rural health in an international context.
PMID- 18047163
TI - Bridging place-based research and action for health.
AB - This paper takes a population health planning and policy view of the issues in
place and health raised by the research articles in this collection. The planning
and policy issues are reducing health inequities and strengthening
neighbourhoods. Regardless of the state of the art of place and health research,
targeted social investments are being made to support neighbourhood
infrastructure and community mobilization for place-based poverty reduction and
community well-being in places across Canada. "Vibrant Communities", "Action for
Neighbourhood Change" and other priority community initiatives provide an
opportunity for intensive neighbourhood-based action research and future dialogue
that will further advance theory and action on place and health.
PMID- 18047165
TI - Now and then: the return of the matron.
PMID- 18047164
TI - Geographic information systems (GIS) in the health field: an opportunity to
bridge the gap between researchers and administrators.
AB - This article starts by presenting some of the difficulties encountered by
researchers and administrators in trying to work together. It then assesses the
importance of the notion of territory in the planning and organization of health
services. Last, the article presents the work achieved by the Agence de la sante
de Montreal, where geographic information systems have contributed to bringing
research and the field closer together.
PMID- 18047166
TI - Introduction of an isolation policy in paediatric wards.
AB - Where and how to care for children with infections, or those requiring
protection, is a daily debate in many paediatric settings. The practice of
placing patients into single rooms for infection control purposes is well
documented but there is little guidance on when to remove patients from isolation
rooms. Unless the appropriateness of isolation for each patient is evaluated
daily, the availability of cubicles falls, resulting in potentially unnecessary
transfers to other hospitals where such facilities are available. A new isolation
policy was introduced to improve the availability of isolation rooms on
paediatric wards in a large inner city teaching hospital with over 100 paediatric
inpatient beds. A change management framework was used that included empowering
organisational action and consolidating improvements. A number of strategies were
introduced to prompt daily review of children in isolation, including clear
criteria for isolation and nursing staff in the emergency department challenging
the decision to admit a child into an isolation room. Introduction of the policy
and subsequent audits have resulted in improved staff awareness, more effective
use of isolation rooms and reduced transfers to other hospitals.
PMID- 18047167
TI - Head injury.
PMID- 18047168
TI - Supporting breastfeeding mothers in hospital: part 2b.
AB - In this third article in the series, two further hypothetical scenarios are used
to provide guidance on supporting breastfeeding mothers when the baby has a
craniofacial anomaly or a cardiac defect. Successful breastfeeding in such
circumstances has a positive effect on maternal confidence and attachment, and
appears in itself to have healing potential. Unexpected benefits of breastfeeding
critically ill babies include enhanced immunity and feeding tolerance in babies
undergoing chemotherapy. A structured breastfeeding support service can assist
staff in promoting successful breastfeeding in the paediatric environment.
Expert, experiential knowledge of overcoming challenges in breastfeeding for
mothers of critically ill babies can be applied in the absence of research
evidence.
PMID- 18047169
TI - Severe allergy: an audit and service review.
AB - BACKGROUND: In 2002, a paediatric respiratory service in South Wales carried out
a study to identify children in local schools who had a diagnosis of severe
allergy, requiring them to carry an epipen. This investigation was carried out as
a result of three schools seeking advice. Three children were identified as
suffering from severe allergy; one child from each of the three schools. It was
evident that sufficient education and support was not available for these
children, their families and school staff regarding allergy management. This led
to further investigation to see if there were others experiencing similar
problems. METHOD: To identify school-aged children with a diagnosis of severe
allergy, a survey took place of the three schools mentioned above plus a further
111 schools. Sixteen additional children were noted to be carrying epipens in 16
separate schools. An audit pro forma was devised and used to collect relevant
data to establish previous allergy management and current allergy status. The 16
children and their families were invited to an assessment clinic where they were
interviewed by a respiratory nurse specialist. RESULTS: There were deficiencies
in allergy management relating to: assessment, diagnosis, medication provision,
education, support and ongoing follow up. These deficiencies highlighted the need
for the development of a paediatric allergy service and a multi professional
collaborative approach to care. CONCLUSION: The findings from the five phases of
this study have influenced the development of an allergy clinic. This has led to
collaborative working between healthcare professionals, school staff, children,
and their families. The findings have promoted practice in terms of conducting
thorough nursing and medical assessment, appropriate diagnosis, education and
ongoing support for children and their families.
PMID- 18047170
TI - Spiritual needs of children with complex healthcare needs in hospital.
AB - AIMS: To explore the views of hospitalised school-aged children with complex
healthcare needs related to spiritual care. This could help inform national
policies and raise awareness of the impact that a stay in an acute paediatric
hospital can have on the spiritual needs of some of the children who use the NHS.
METHOD: Pictures used in previous (US) studies were used to facilitate story
telling, enabling children to talk about concepts that may not have emerged
through direct conversation. A convenience sample of five hospitalised children
were presented with the pictures one at a time and asked open-ended questions
about each picture. Data analysis involved identifying emerging themes from the
transcriptions using a grounded theory approach. FINDINGS: The main themes to
emerge from the interview data were: the role of the child's relationships with
family, friends and healthcare professionals; the impact of the hospital
environment on the child; coping with invasive procedures; belief--children's
views about their health and belief system. CONCLUSION: There is a need for all
healthcare professionals to recognise that children have spiritual needs that can
include religious beliefs, and that it is part of their duty of care to attempt
to identify and meet such needs.
PMID- 18047171
TI - Modernising nursing careers: implications for children's nurses.
AB - The future direction of pre-registration nursing education in the U.K. is once
again under review with two consultation documents published by the Nursing and
Midwifery Council (NMC). Pre-registration programmes need to prepare nurses who
are able to provide holistic care to children, young people and families across
all settings. In 2003 a position statement from the Royal College of Nursing
proposed a model that encompassed: a foundation shared with other professionals
involved in the care of children and young people, general nursing of children
and young people, as well as theoretical and practical experience in public
health, mental health and learning disability nursing of children and young
people. This model is recommended as the way forward to ensure children's nursing
is fit for the future
PMID- 18047172
TI - Supporting children with Noonan syndrome.
AB - Noonan syndrome, a genetic condition occurring in around 1 in 2,000 live births,
was first described in 1968 by Dr Jacqueline Noonan, an American cardiologist,
who noticed that patients attending her clinic often had similar features. After
Down syndrome, it is the second most common syndrome associated with congenital
heart defects but remains largely unknown outside of specialist areas. Three
genetic mutations have been isolated as causes, although in around 35 per cent of
cases the cause is, as yet, unknown. There is a lot of research into the genetic
causes being done and there may be new discoveries in the near future
PMID- 18047173
TI - Complex palliative care and transition: a case review.
AB - This case study assesses the effect that health and social care had on the
chronic life-limiting condition of a young man going through transition from
children's to adult services. He was taken into foster care at 16 years old and
placed with his maternal uncle and aunt. Relevant literature is introduced to
consider the impact that placement had on the foster family and the young person
as he was transitioned over a two-year period to adult services, not only in
terms of their adaptations, but also on his health and prognosis. Close liaison
between social services and hospital and community teams meant that medical and
social outcomes were markedly improved for this young man.
PMID- 18047174
TI - Top ten hot topics in parenteral science and technology.
AB - Ten current "hot topics" in parenteral science and technology are reviewed to
update the reader on current advances and challenges with each topic. Topics
selected are formulation advances, packaging advances, extractables and
leachables, analytical method advances for biopharmaceuticals, protein
pharmaceutics, quality by design, manufacturing and equipment advances, aseptic
processing advances, rapid microbial methods, and visual inspection of parenteral
products.
PMID- 18047175
TI - Preparation and physicochemical and preclinical evaluations of recrystallized
celecoxib.
AB - The aim of the present study is to increase the aqueous solubility of celecoxib
by recrystallization from distilled water, Tween-80, and polyethylene glycol-400.
The prepared crystals were evaluated for various physicochemical evaluations,
dissolution rate, and in vivo performance like analgesic activity (by writhing
and hot plate method) and pharmacokinetics in mice. The practical yield of the
crystals ranged between 83 and 98%, and celecoxib content was more than 99%.
Celecoxib showed an almost 5-fold increase in solubility when recrystallized in
the presence of Tween-80 (2%). The dissolution rates of celecoxib from the co
crystal forms were considerably higher than that of plain celecoxib. The infrared
and differential scanning calorimetry studies indicated the absence of a well
defined interaction between celecoxib and carriers. The differential scanning
calorimetry and X-ray diffraction studies indicated the amorphization or partial
amorphization of the drug. The scanning electron microscopy showed fluffy,
porous, and fine particles in recrystallized celecoxib. The particle size of
prepared co-crystals was considerably reduced in comparison with plain celecoxib.
The crystals prepared with Tween-80 (2%) showed significantly higher analgesic
activity than plain celecoxib. In pharmacokinetic study, the prepared crystals
exhibited significantly high and rapid absorption along with improved
bioavailability.
PMID- 18047177
TI - Microbial diversity in pharmaceutical product recalls and environments.
AB - Identification of microbial contaminants in product recalls and environmental
samples provides important information on the possible contamination sources and
distribution of microbial species in pharmaceutical environments. Analysis of FDA
product recall data for 134 non-sterile pharmaceutical products from 1998 to
September 2006 demonstrated that 48% of recalls were due to contamination by
either Burkholderia cepacia, Pseudomonas spp., or Ralstonia picketti, while yeast
and mold contamination were found in 23% of recalls. Gram-negative bacteria
accounted for 60% of recalls, but only 4% were associated with Gram-positive
bacteria. Of the 193 recalls of sterile products, 78% were due to the lack of
sterility assurance and 7% for yeast and mold contamination. For sterile
products, Gram-negative bacteria accounted for 6% of recalls, with only 1% due to
Gram-positive bacteria. For non-sterile and sterile products, B. cepacia was the
most frequently isolated microbial species with 22% and 2.5% of recalls,
respectively. Based upon the review of the scientific literature, B. cepacia,
Pseudomonas spp., or Ralstonia picketti may be associated with water
contamination, while yeast and mold and Gram-positive bacteria may have indicated
deficient environmental controls. The presence of unculturable microbial
populations in pharmaceutical waters and clean rooms was reported, but no
evidence has been published that product quality was negatively affected.
PMID- 18047176
TI - Evolution of endotoxin contamination during production of a therapeutic serum.
AB - A comparative bench-scale study of endotoxin contamination is presented for two
common processes of immunoglobulin purification from equine plasma: ammonium
sulphate fractionation of F(ab')2 fragments and caprylic acid precipitation of
non-IgG proteins. To this end, both processes were carried out under normal
sterile conditions, using sanitized material and equipment and optimal water
quality in a clean but open environment. Stream samples, taken at different
stages from each process, were analyzed for endotoxin content by the Limulus
Amebocyte Lysate (LAL) test. It was found that exogenous contamination
preferentially came from endotoxins already present in reagents and/or raw
materials, whereas contamination from the environment was minimal. Endogenous
endotoxin accumulation, concomitant with the concentration of proteins during
processing, was found to be an important factor. With classic technology, blood
extraction and sterilizing filtration are critical points for both processes. It
is concluded that sterility is not a sufficient condition to obtain an endotoxin
free product. Only with proper sanitization of material, and by applying the
caprylic acid purification process with a starting plasma below 4-5 EU/mL, would
it be possible to achieve a final product within the norm.
PMID- 18047178
TI - In vivo and in vitro evaluation of a solid dispersion system of gliclazide:PEG
6000.
AB - OBJECTIVE: Gliclazide is a potent antidiabetic agent because of its capability to
decrease blood glucose level via stimulating endogenous insulin secretion from
beta-pancreas cells. Gliclazide is insoluble in water and has low dissolution
rate. In this study, polyethylene glycol (PEG) 6000 was used as a matrix to
disperse gliclazide in the solid state, and the pharmacokinetic profile of this
solid dispersion was studied in rats. DESIGN: The solid dispersion of
Gliclazide:PEG 6000 (1:4) was prepared by solvent evaporation method. MAIN
OUTCOME MEASURES: Samples characterization included differential scanning
calorimetry (DSC), infrared spectroscopy (IR), X-ray diffraction (XRD), and
solubility and dissolution test. In vivo study was carried out in healthy rats,
randomly. After a single dose of oral administration, blood samples were
collected pre-dose (15 min before) and 1, 2, 3, 4, 5, 6, 8, 10, and 12 h post
dose. Plasma concentration of gliclazide was determined by high pressure liquid
chromatography method using C-18 column, with mobile phase KH2PO4 (pH 4.6)
acetonitril (40:60 v/v) and UV detection at 229 nm. RESULTS: Results showed that
there were no differences in DSC, IR spectroscopy, XRD, and dissolution test
between the solid dispersion and physical mixture. In vivo data showed that the
Tmax of gliclazide in solid dispersion and physical mixture was significantly
decreased, while the Cmax, AUC(0-12), and AUC(0-infinity) were significantly
increased compared to gliclazide alone. These results indicate that the rapid
Tmax was due to rapid absorption of gliclazid across the GI tract membrane.
Increased Cmax, AUC(0-12), and AUC(0-infinity) indicate a better absorption of
gliclazide in solid dispersion and physical mixture than of gliclazide alone.
CONCLUSION: Increased in gliclazide dissolution in the presence of PEG 6000 was
followed by improved in vivo data.
PMID- 18047179
TI - Rapid diagnostic method for quantitative testing of <100 microbes in water.
AB - A robust, real-time polymerase chain reaction (RT-PCR) system to universally
detect microbes at a limit of 10 to 50 colony-forming units within 5-6 h was
developed. Pre-treatment of RT-PCR master mixes with ethidiumbromide monoacide
(EMA) facilitates the development of an RT-PCR assay with appropriate
sensitivity, reproducibility, and recovery.The system is useful to replace
conventional microbial plating techniques for the analysis of microbial
contamination in liquids like water. This was statistically confirmed for eight
different bacteria and two different fungi species. Finally a complete procedure
including microbial lysis, DNA extraction, EMA treatment, and RT-PCR was
developed and evaluated for three different bacteria and two fungi species.
PMID- 18047180
TI - Reduced admissions for acute myocardial infarction associated with a public
smoking ban: matched controlled study.
AB - There has been no research linking implementation of a public smoking ban and
reduced incidence of acute myocardial infarction (AMI) among nonsmoking patients.
An ex post facto matched control group study was conducted to determine whether
there was a change in hospital admissions for AMI among nonsmoking patients after
a public smoking ban was implemented in Monroe County compared with Delaware
County, Indiana without such a ban. Poisson analysis was conducted for 44 months
of hospital admissions. A significant drop occurred in the number of admissions
among nonsmoking patients in Monroe County after the ban whereas a nonsignificant
decrease in the number of admissions occurred in Delaware County. The changes in
the number of smoking-patient admissions before and after the ban were not
significant.
PMID- 18047181
TI - A community prevention intervention to reduce youth from inhaling and ingesting
harmful legal products.
AB - Youth use of harmful legal products, including inhaling or ingesting everyday
household products, prescription drugs, and over-the-counter drugs, constitutes a
growing health problem for American society. As such, a single targeted approach
to preventing such a drug problem in a community is unlikely to be sufficient to
reduce use and abuse at the youth population level. Therefore, the primary focus
of this article is on an innovative, comprehensive, community-based prevention
intervention. The intervention described here is based upon prior research that
has a potential of preventing youth use of alcohol and other legal products. It
builds upon three evidence-based prevention interventions from the substance
abuse field: community mobilization, environmental strategies, and school-based
prevention education intervention. The results of a feasibility project are
presented and the description of a planned efficacy trial is discussed.
PMID- 18047182
TI - Protective and risk influences of drug use among a multiethnic sample of
adolescent boys.
AB - A measurement model was analyzed to demonstrate a differential distribution and
cumulative exposure to psychosocial risk and protective influences of adolescent
drug use among ethnically-diverse adolescent samples. The sample included U.S.
born (US) Latino (N = 837), foreign-born (FB) Latino (N = 447), White (N = 632),
and African American (N = 618) adolescent boys. Psychological Distress, Peer Drug
Use, and Adolescent Drug Use were significantly positively correlated with Peer
Drug Use and Adolescent Drug Use. In contrast, Family, School, law abidance, and
guilt were significantly negatively correlated with Peer Drug Use and Adolescent
Drug Use. Multiple group analyses indicated significant similarities and
differences among US and FB Latinos, and Whites. Implications for early
prevention, multi-level interventions, and suggestions for future research are
discussed.
PMID- 18047183
TI - Alcohol involvement and the Five-Factor model of personality: a meta-analysis.
AB - The purpose of this meta-analysis was to quantify the relationship between the
Five-Factor Model of personality and alcohol involvement and to identify
moderators of the relationship. The meta-analysis included 20 studies, 119 effect
sizes, and 7,886 participants. Possible moderators examined included: five-factor
rating type (self vs. other); study time-frame (cross sectional vs.
longitudinal); sample type (treatment vs. non-treatment); type of alcohol
involvement measure used; gender of the participants; and age of the
participants. The meta-analysis showed alcohol involvement was associated with
low conscientiousness, low agreeableness, and high neuroticism, a personality
profile that: a) fits on the low end of a superordinate personality dimension
that has been called self-control; and b) makes treatment difficult. Several
significant moderators of effect size were found, including the following:
studies of individuals in treatment for alcohol problems showed a more negative
pattern of personality traits than did other studies; cross-sectional studies,
but not longitudinal studies, showed a significant effect for agreeableness,
perhaps suggesting that low agreeableness may have a different causal link to
alcohol involvement from the other factors; mixed-sex samples tended to have
lower effect sizes than single-sex samples, suggesting that mixing sexes in data
analysis may obscure effects.
PMID- 18047184
TI - Improving the sensitivity of needs assessment for substance abuse prevention
planning: the measurement of differential severity of consequences for individual
substance types.
AB - There is an absence of systematic, comparative research examining the negative
consequences that are experienced as a result of using specific substances.
Further, techniques typically used for needs assessment (i.e., prevalence
proportions) do not take into account the probability of experiencing a negative
consequence as a result of using specific substances. An approximated severity
index is proposed that: a) takes into account the probability of experiencing
negative consequences as a result of using specific substances; and b) is
comparable across substances. Data from the NSDUH and the ADSS are used to
demonstrate these techniques. The findings suggest that substances typically
considered priorities based on prevalence proportions are not the same substances
that have a high probability of causing negative consequences. The policy
implications of these findings are discussed.
PMID- 18047185
TI - A preliminary evaluation of the effects of a science education curriculum on
changes in knowledge of drugs in youth.
AB - Drug and alcohol use among youth remains at pervasively high levels, but students
are receiving less school-based prevention. Infusing health information into core
curricula may be a valuable prevention approach. Therefore, behavior change
theory was used to develop a science education curriculum on drugs for fourth-
and fifth-grade students, which was then evaluated using a pretest/posttest quasi
experimental design. Exposure to the curriculum was associated with a change in
knowledge; other characteristics like grade level also played a role. More
positive attitudes toward science at pretest predicted greater knowledge change,
and students who knew less at the start showed a greater change in knowledge.
Results of this evaluation may support the efficacy of the curriculum and the
utility of combining behavior change theory with educational approaches.
PMID- 18047186
TI - The moderating effects of culture on peer deviance and alcohol use among high
risk African-American Adolescents.
AB - African-American adolescents have lower rates of alcohol consumption than White
youth. However, African-American youth suffer disproportionately more adverse
social, mental, and physical health outcomes related to alcohol use. Affiliating
with negative peers is a risk factor for alcohol initiation and consumption.
Cultural variables have shown moderating effects against other risk factors for
African-American youth and therefore were the focus of this study. Specifically,
we tested whether three culturally-relevant variables, Africentric beliefs,
religiosity, and ethnic identity were promotive or protective for alcohol
initiation and use within the context of negative peer affiliations. The sample
consisted of 114 at-risk African-American adolescents whose ages ranged from 13
to 20. Participants were administered a questionnaire with measures of alcohol
initiation and use, peer risk behaviors, ethnic identity, Africentric beliefs,
religiosity, and demographic items. Peer risk behaviors accounted for significant
percentages of the variance in age of alcohol initiation, lifetime use, and
current and heavy alcohol use after adjusting for age and gender. Cultural
variables showed both promotive and protective effects. Africentric beliefs were
promotive of delayed alcohol initiation, whereas both Africentrism and
religiosity moderated peer risk behaviors effect on alcohol initiation.
Africentric beliefs were also inversely related to lifetime alcohol use revealing
a promotive effect. Moreover, there were significant protective effects of ethnic
identity and religiosity on heavy alcohol consumption. One implication of these
findings is that prevention programs that infuse cultural values and practices
such as Africentrism, ethnic identity, and religiosity may delay alcohol
initiation and reduce use especially for youth with high risk peers.
PMID- 18047187
TI - Ultrastructural comparison of external morphology of immature stages of Lutzomyia
(Nyssomyia) intermedia and Lutzomyia (Nyssomyia) whitmani (Diptera: Psychodidae),
vectors of cutaneous leishmaniasis, by scanning electron microscopy.
AB - Lutzomyia (Nyssomyia) intermedia (Lutz & Neiva 1912) and Lutzomyia (Nyssomyia)
whitmani (Antunes & Coutinho 1939) (Diptera: Psychodidae) are vectors of American
cutaneous leishmaniasis in several endemic regions of Brazil. We analyzed the
external morphological aspects of the immature stages of these two vectors by
using scanning electron microscopy. In general, the larval stages of the two
species are morphologically similar, although some differences were noted.
Detailed examination of the eggs of both species revealed similar exchorionic
ornamentations of unconnected parallel ridges. The larval head capsules are well
defined, heavily sclerotized, and bear prominent chewing mouthparts. The
abdominal segments are easily recognized by the presence of prolegs on their
ventral surfaces. The morphology of the anal lobe on the terminal abdominal
segment differs between the two species. We found the following three types of
sensillae inserted on the antennae: (1) clavate basiconic; (2) small, blunt
coeloconic; and (3) multipourous clavate coleoconic. In addition; five subtypes
of trichoid sensillae were found on the larval body: (1) long, (2) short, (3)
curved long, (4) brush-like, and (5) weakly brush-like. The caudal filaments
located on the last abdominal segment were recognized as long trichoid sensillae.
We observed pores on the surface of the clavate coelonic sensillae and on the
caudal filaments that presumably function as chemoreceptors. The larvae of the
two species show similarities in the lobular-form antennae of L1 larvae, which
changes to digitiform in second instar (L2), L3, and L4. This study demonstrated
that the external surface of the eggs and larvae of Lu. intermedia and Lu.
whitmani are morphologically similar, but they can be distinguished by details in
the microanatomy observed by scanning electron microscopy.
PMID- 18047188
TI - Observations and larval descriptions of fleas (Siphonaptera: Ceratophyllidae,
Ctenophthalmidae, Ishnopsyllidae) of the southern flying squirrel, little brown
bat, and Brazilian free-tailed bat (Mammalia: Rodentia, Chiroptera).
AB - Larvae of the four fleas infesting nests of the southern flying squirrel,
Glaucomys volans colans (L.) [Conorhinopsylla stanfordi Stewart, Epitedia faceta
(Rothschild), Opisodasys pseudarctomys (Baker), and Orchopeas howardi (Baker)],
and of the bat fleas Myodopsylla insignis (Rothschild) and Sternopsylla distincta
texana (C. Fox), associated with the bats Myotis lcifuigus (Le Conte) and
Tadarida brasiliensis (I. Geof. St. Hilaire), respectively, are described. C.
stanfordi has the second posterior-row seta on abdominal segments 1-5 at most one
fourth the length of the first and third setae, but it is unique among the
Leptopsyllini with five short setae in abdominal segment 9 anterior row. E.
faceta has the straight line of anterior-row setae 2-5 on abdominal segment 1,
which is diagnostic for Phalacropsyllini. O. howardi and O. pseudarctomys have
three anterior-row setae on the anal comb, three ventrolateral setae on the anal
segment (abdominal segment 10), and a narrow mandible with five or more teeth as
other Ceratopyllinae, but O. pseudarctomys is distinguishable from O. howardi
because the first setae on the posterior row of the head is long (greater than
one half the length of the third posterior-row setae), the ventral setae on
abdominal segment 7 are different sizes, and the third anterior-row setae on
abdominal segment 8 does not extend past the spiracle posterior to it. Bat flea
larvae have six posterior-row setae on abdominal segments 1-9 with the anal comb
anterior row with two or more setae; M. insignis has eight mandible teeth and S.
distincta texana three to four.
PMID- 18047189
TI - Estimating dispersal and survival of Anopheles gambiae and Anopheles funestus
along the Kenyan coast by using mark-release-recapture methods.
AB - Mark-release-recapture (MRR) experiments were conducted with emerging Anopheles
gambiae s.l. and Anophelesfunestus Giles at Jaribuni and Mtepeni in Kilifi, along
the Kenyan Coast. Of 739 and 1246 Anopheles released at Jaribuni and Mtepeni,
24.6 and 4.33% were recaptured, respectively. The daily survival probability was
0.96 for An. funestus and 0.95 for An. gambiae in Jaribuni and 0.83 and 0.95,
respectively, in Mtepeni. The maximum flight distance recorded was 661 m. The
high survival probability of An. gambiae and An. funestus estimated accounts for
the continuous transmission of malaria along the Kenyan coast. This study also
shows that the release of young, emergent female Anopheles improves the recapture
rates and may be a better approach to MRR studies.
PMID- 18047190
TI - Three calibration factors, applied to a rapid sweeping method, can accurately
estimate Aedes aegypti (Diptera: Culicidae) pupal numbers in large water-storage
containers at all temperatures at which dengue virus transmission occurs.
AB - The ability of a simple sweeping method, coupled to calibration factors, to
accurately estimate the total numbers of Aedes aegypti (L.) (Diptera: Culicidae)
pupae in water-storage containers (20-6412-liter capacities at different water
levels) throughout their main dengue virus transmission temperature range was
evaluated. Using this method, one set of three calibration factors were derived
that could accurately estimate the total Ae. aegypti pupae in their principal
breeding sites, large water-storage containers, found throughout the world. No
significant differences were obtained using the method at different altitudes (14
1630 m above sea level) that included the range of temperatures (20-30 degrees C)
at which dengue virus transmission occurs in the world. In addition, no
significant differences were found in the results obtained between and within the
10 different teams that applied this method; therefore, this method was extremely
robust. One person could estimate the Ae. aegypti pupae in each of the large
water-storage containers in only 5 min by using this method, compared with two
people requiring between 45 and 90 min to collect and count the total pupae
population in each of them. Because the method was both rapid to perform and did
not disturb the sediment layers in these domestic water-storage containers, it
was more acceptable by the residents, and, therefore, ideally suited for routine
surveillance purposes and to assess the efficacy of Ae. aegypti control programs
in dengue virus-endemic areas throughout the world.
PMID- 18047191
TI - Standardizing container classification for immature Aedes aegypti surveillance in
Kamphaeng Phet, Thailand.
AB - For the development of community-based vector control programs for dengue
prevention, one of the key components is to formulate an adequate classification
scheme for the different containers in which immature Aedes mosquitoes develop.
Such a standardized scheme would permit more efficient targeting of efforts and
resources in the most productive way possible. Based on field data from Kamphaeng
Phet, Thailand, we developed a classification method that consists of the shape
(S), use (U), and material (M) of the container (SUM-method). We determined that
by targeting the four container classes that held the most Ae. aegypti pupae,
adult mosquito production could theoretically be reduced by 70%. The
classification method may be equally suitable for similar studies elsewhere in
the world. Main advantages of the classification scheme are that categorization
of containers does not need to be done a priori, that there is no "miscellaneous"
class, and that different immature control strategies can be easily and
prospectively tested with a local database. We expect that the classification
strategy will 1) facilitate comparison of results among different ecological and
geographic settings and 2) simplify communication among vector control personnel
and affected communities.
PMID- 18047192
TI - Establishment and abundance of a recently introduced mosquito species
Ochlerotatus japonicus (Diptera: Culicidae) in the Southern Appalachians, USA.
AB - Ochlerotatus (Finlaya) japonicus japonicus (Theobald; =Aedes japonicus; see
Reinert 2000) (Diptera: Culicidae), is an introduced Asian mosquito species first
detected in the northeastern United States in 1998. Since its initial discovery,
this species has spread to many neighboring states and Canada. It was first
identified in North Carolina, South Carolina, and Georgia in 2003. Larval surveys
were conducted during 2005 and 2006 in the Southern Appalachians, U.S.A., on a
variety of natural and artificial containers to determine extent of Oc.japonicus
establishment and larval habitat requirements. Detritus amounts were measured in
each container habitat, and co-occurring larval mosquito species were examined as
indicators of potential interspecific competition. Data reveal that Oc. japonicus
was the most abundant container-inhabiting mosquito species in this survey. It
exhibits flexibility in its use of container oviposition habitats, it can persist
in a wide range of conditions, and it co-occurs with a wide range of larval
mosquito species. Its rapid and successful establishment warrants continued
monitoring, because its potential role as a nuisance species or arbovirus vector
remains unknown.
PMID- 18047193
TI - Ectoparasitic assemblages on mormoopid bats (Chiroptera: Mormoopidae) from Puerto
Rico.
AB - We performed the first quantitative survey of ectoparasitic assemblages on three
species of mormoopid bats living on the Caribbean island of Puerto Rico: Mormoops
blainvillii Leach (n=40), Pteronotus quadridens Gundlach (n=40), and Pteronotus
parnellii Gray (n=9). We examined bats for parasites primarily on 8-10 May and 24
27 July 2002 at Culebrones Cave, near Arecibo, Puerto Rico. Only 50% of M.
blainvillii were infested with at least one parasite, compared with 85-100% for
Pteronotus; infested individuals of both species of Pteronotus also harbored a
greater number of parasites than did M. blainvillii. The assemblage on M.
blainvillii was less diverse than in the other species and more dominated by a
single group of parasites, the chiggers. Chirodiscid ear mites and spinturnicid
wing mites were common on both species of Pteronotus but absent from M.
blainvillii. All P. parnellii harbored streblid flies, but none typically was
found on P. quadridens or M. blainvillii. Adult female M. blainvillii and P.
quadridens sheltered a greater number of ectoparasites than did males. All host
parasite combinations represented new associations for Puerto Rico.
PMID- 18047194
TI - Seasonal abundance, parity, and survival of adult Culicoides sonorensis (Diptera:
Ceratopogonidae) in southern Alberta, Canada.
AB - Culicoides sonorensis (Wirth & Jones) (Diptera: Ceratopogonidae) adults were
active from May through October during 2002-2006 in southern Alberta, Canada.
Adults were first captured in May, and populations peaked in early June, late
July, and late August. The first population peak occurred when mean weekly
temperatures exceeded 16 degrees C, resulting in a variable amount of time for
spring emergence. This asynchrony in spring emergence accounted for much of the
annual variation in timing of subsequent population peaks. Peaks were separated
by an average of 6-7 wk. C. sonorensis seems to have one overwintering generation
and two generations during the summer. Abundance was correlated among sites
located up to 90 km apart. Abundance at a rangeland site increased more rapidly
with mean weekly temperatures than at feedlot sites. The proportion female ranged
from 0.68 to 0.83 but showed no consistent differences between rangeland and
feedlot sites. The proportion female declined with distance from a developmental
area at the rangeland site. Proportion parous was similar among rangeland and
feedlot sites, and it also declined with distance from a developmental area at
the rangeland site. The proportion parous increased early in the season,
fluctuated throughout the mid-season, and increased with cooler temperatures in
the fall. The proportion parous tended to increase when temperatures decreased.
Estimates of adult daily survival were generally >0.8. Survival declined with
temperature, was lowest in midsummer, and increased during the fall.
PMID- 18047196
TI - Comparison of larval foraging behavior of Aedes albopictus and Aedes japonicus
(Diptera: Culicidae).
AB - Aedes albopictus (Skuse) (Diptera: Culicidae) invaded the United States in 1985
and spread rapidly across eastern North America, whereas Aedes japonicus
(Theobald) invaded and became established in the United States more recently
(1998). The two species may co-occur in container habitats, and they are of
potential public health concern as arbovirus vectors. To evaluate ecological
differences between Ae. albopictus and Ae.japonicus, we compared larval foraging
behavior of these two species. Based on results from a previous competition
experiment, we predicted that Ae. albopictus would be a more active forager than
Ae. japonicus. However, Ae. japonicus exhibited greater foraging activity than
Ae. albopictus in four of six food environments, including a "no food" control
treatment. Our results, therefore, suggest that more active foraging does not
connote superior competitive ability, and competitive interactions between these
species may be mediated by factors other than feeding behavior.
PMID- 18047195
TI - Attractiveness of MM-X traps baited with human or synthetic odor to mosquitoes
(Diptera: Culicidae) in The Gambia.
AB - Chemical cues play an important role in the host-seeking behavior of blood
feeding mosquitoes (Diptera: Culicidae). A field study was carried out in The
Gambia to investigate the effects of human odor or synthetic odor blends on the
attraction of mosquitoes. MM-X traps baited with 16 odor blends to which carbon
dioxide (CO2) was added were tested in four sets of experiments. In a second
series of experiments, MM-X traps with 14 odor blends without CO2 were tested. A
blend of ammonia and L-lactic acid with or without CO2 was used as control odor
in series 1 and 2, respectively. Centers for Disease Control and Prevention (CDC)
traps were placed in a traditional house and an experimental house to monitor
mosquito densities during the experiments. The MM-X traps caught a total number
of 196,756 mosquitoes, with the most abundant species belonging to the genera
Mansonia (70.6%), Anopheles (17.5%), and Culex (11.5%). The most abundant
mosquito species caught by the CDC traps (56,290 in total) belonged to the genera
Mansonia (59.4%), Anopheles (16.0% An. gambiae s.l. Giles, and 11.3% An. ziemanni
Grunberg), and Culex (11.6%). MM-X traps baited with synthetic blends were in
many cases more attractive than MM-X traps baited with human odors. Addition of
CO2 to synthetic odors substantially increased the catch of all mosquito species
in the MM-X traps. A blend of ammonia + L-lactic acid + CO, + 3-methylbutanoic
acid was the most attractive odor for most mosquito species. The candidate odor
blend shows the potential to enhance trap collections so that traps will provide
better surveillance and possible control.
PMID- 18047197
TI - Anopheles funestus (Diptera: Culicidae) in a humid savannah area of western
Burkina Faso: bionomics, insecticide resistance status, and role in malaria
transmission.
AB - An entomological survey was carried out in three humid savannah sites of western
Burkina Faso (Bama, Lena, and Soumousso) to (1) update the taxonomy of the
Anopheles funestus Giles group, (2) examine the role of each species in malaria
transmission, (3) characterize the insecticide resistance status of this malaria
vector, and (4) determine the distribution of An. funestus chromosomal forms in
these areas. Polymerase chain reaction identification of the members showed the
occurrence of An. leesoni Evans in Lena and An. rivulorum-like in Soumousso in
addition to An. funestus s.s. Malaria transmission was ensured mainly by An.
funestus s.s. both in Soumousso and Lena and by An. gambiae s.s. Giles in Bama,
the rice-growing area. The insecticide resistance status performed only on An.
funestus indicated that this mosquito was susceptible to pyrethroids irrespective
of the study area, but it was resistant to dieldrin. Furthermore, the occurrence
of the two chromosomal forms of An. funestus, namely, Kiribina and Folonzo,
seemed to follow ecological setups where Kiribina predominated in the irrigated
area and Folonzo was more frequent in classic savannah. This study revealed that
the problematic of An. funestus taxonomy was closer to that of An. gambiae
requiring more structured studies to understand its genetic ecology.
PMID- 18047198
TI - Phylogeography of stable fly (Diptera: Muscidae) estimated by diversity at
ribosomal 16S and cytochrome oxidase I mitochondrial genes.
AB - The blood-feeding cosmopolitan stable fly, Stomoxys calcitrans L. (Diptera:
Muscidae), is thought to disperse rapidly and widely, and earlier studies of
allozyme variation were consistent with high vagility in this species. The
geographic origins of New World populations are unknown. Diversity at
mitochondrial loci r16S and cytochrome oxidase I was examined in 277 stable flies
from 11 countries, including five zoogeographical regions. Of 809 nucleotides,
174 were polymorphic and 133 were parsimony informative. Seventy-six haplotypes
were found in frequencies consistent with the Wright-Fisher infinite allele
model. None were shared among four or more zoogeographical regions. The null
hypothesis of mutation neutrality was not rejected, thereby validating the
observed distribution. Fifty-nine haplotypes were singular, eight were private
and confined to the Old World, and three of 76 haplotypes were shared between the
Old and New World. Only 19 haplotypes were found in the New World, 14 of which
were singletons. Haplotype and nucleotide diversities were heterogeneous among
countries and regions. The most diversity was observed in sub-Saharan Africa.
Regional differentiation indices were C(RT) = 0.26 and N(RT) = 0.31, indicating
populations were highly structured macrogeographically. Palearctic and New World
flies were the least differentiated from each other. There were strong genetic
similarities among populations in the Nearctic, Neotropical, and Palearctic
regions, and it is most likely that New World populations were derived from the
Palearctic after 1492 CE, in the colonial era.
PMID- 18047199
TI - Body lice and head lice (Anoplura: Pediculidae) have the smallest genomes of any
hemimetabolous insect reported to date.
AB - The human body louse, Pediculus humanus humanus L. (Anoplura: Pediculidae), is a
vector of several diseases, including louse-borne epidemic typhus, relapsing
fever, and trench fever, whereas the head louse, Pediculus humanus capitis De
Geer (Anoplura: Pediculidae), is more a pest of social concern. Sequencing of the
body louse genome has recently been proposed and undertaken by National Human
Genome Research Institute. One of the first steps in understanding an organism's
genome is to determine its genome size. Here, using flow cytometry
determinations, we present evidence that body louse genome size is 104.7 +/- 1.4
Mb for females and 108.3 +/- 1.1 Mb for males. Our results suggest that head lice
also have a small genome size, of similar size to the body louse. Thus, Pediculus
lice have one of the smallest genome sizes known in insects, suggesting it may be
a suitable choice as a minimal hemimetabolous genome.
PMID- 18047200
TI - R86Q, a mutation in BmAChE3 yielding a Rhipicephalus microplus organophosphate
insensitive acetylcholinesterase.
AB - Mutations were identified in the cDNA sequence encoding the acetylcholinesterase
BmAChE3 in strains of Rhipicephalus (Boophilus) microplus (Canestrini) resistant
or susceptible to organophosphate (OP) acaricide. The mutation that occurred most
frequently in the OP-resistant San Roman strain resulted in a substitution of
glutamine (Q) for arginine (R) at position 86 in BmAChE3 (position 66 in mature
BmAChE). Clones containing the mutant and wild-type cDNA sequences were expressed
in the baculovirus system. Enzyme kinetics of recombinant BmAChE3 containing or
lacking the R86Q mutation demonstrated that the R86Q mutation increased substrate
affinity and conferred insensitivity to paraoxon inhibition. This is the first
demonstration of a mutation in a gene encoding an ixodid acetylcholinesterase
resulting in OP insensitivity. A restriction fragment length polymorphism assay
was developed and used to diagnose the frequency of the R86Q mutation in BmAChE3
genomic DNA from seven laboratory-colonized strains. Use of the R86Q diagnostic
assay detected an increased frequency of the R86Q mutation in OP-resistant tick
strains compared with that of OP-susceptible strains; however, the R86Q mutation
was also present in OP-susceptible strains at unexpectedly high frequency.
Because the R86Q mutation generates an OP-resistant enzyme in vitro and it is
present at an elevated frequency in laboratory strains selected for OP
resistance, we conclude that the data are consistent with a potential role for
BmAChE3 in development of OP resistance; however, because the R86Q mutation has a
high frequency in susceptible strains, the R86Q mutation alone is insufficient to
generate the OP-resistant phenotype at the organismal level. There are likely to
be additional mutations in BmAChE3, mutations in additional acetylcholinesterase
genes, or additional resistance mechanisms (e.g., oxidative metabolism) that
contribute to expression of the OP-resistant phenotype.
PMID- 18047201
TI - Molecular identification of Western European species of obsoletus complex
(Diptera: Ceratopogonidae) by an internal transcribed spacer-1 rDNA multiplex
polymerase chain reaction assay.
AB - In southern Europe, orbiviral diseases such as bluetongue (BT) have been assumed
to have been largely transmitted by the classical Afro-Asian vector Culicoides
imicola Kieffer (Diptera: Ceratopogonidae). Recent outbreaks have occurred in
regions where C. imicola is normally absent, supporting the theory that other
species belonging to the Obsoletus or Pulicaris complexes may play a role in BT
virus transmission. Investigations of the ecology of the species within the
former group are hampered by females of member species being extremely difficult
to separate by classical morphology. To allow straightforward separation of these
species in France, a multiplex polymerase chain reaction-based on internal
transcribed spacer (ITS)-1 rDNA was developed to distinguish between Culicoides
chiopterus Meigen, Culicoides dewulfi Goetghebuer, Culicoides montanus
Shakirjanova, Culicoides obsoletus Meigen, and Culicoides scoticus Downes &
Kettle. This tool will be useful in defining both the vector role and larval
biotopes of these species in Europe.
PMID- 18047202
TI - Laboratory and field evaluation of the impact of exercise on the performance of
regular and polymer-based deet repellents.
AB - Studies were done in Manitoba, Canada, to evaluate the impact of exercise on
repellent performance against mosquitoes. Two products containing the active
ingredient N,N-diethyl-3-methylbenzamide (deet) were tested; one product was a
polymer-based cream (3M Ultrathon Insect Repellent) and the other product was an
alcohol-based pump spray formulation (Muskol Insect Repellent). Assessments were
done in the laboratory using Aedes aegypti (L.) and in the field with naturally
occurring populations of mosquitoes. Repellent was applied to the forearms
(laboratory) or a lower leg (field) of test subjects at 1.5 g of test product per
600 cm2 surface area (0.75 or 0.83 mg deet/cm2). For a given test day, subjects
exercised or did not. Exposure to mosquito attack was for 1 min at 30-min
intervals in laboratory procedures, and it was continuous in field tests.
Performance was measured as complete protection time (CPT). Moderate levels of
physical activity resulted in a >40% decline in mean CPT, from 468 to 267 min in
the laboratory experiments and from 359 to 203 min in field tests. Repellent
product did not affect the magnitude of the decline. Mean biting pressure during
field trials was 21.3 bites per min, and mosquito collections were made up
primarily of Ochlerotatus sticticus (Meigen) and Aedes vexans (Meigen).
PMID- 18047203
TI - Behavioral responses of malaria vectors, Anopheles minimus complex, to three
classes of agrochemicals in Thailand.
AB - Behavioral responses of two wild-caught populations of Anopheles minimus complex,
species A and C, exposed to operational field doses of three commonly used
agricultural insecticides, carbaryl (carbamate), malathion (organophosphate) and
cypermethrin (pyrethroid), were characterized using an excito-repellency test
system. Test populations were collected from different localities in Kanchanaburi
Province, western Thailand. Both populations showed strong irritancy by quickly
escaping test chambers after direct contact with individual surfaces treated with
each insecticide compared with match-paired untreated controls. Noncontact
repellency response to cypermethrin and carbaryl was significantly pronounced in
both A and C populations, but comparatively weak when exposed to malathion.
Noncontact repellency produced much weaker escape response in both populations,
but in some species-chemical combinations, it remained significant compared with
controls. We conclude that contact irritancy is a major behavioral response of
both A and C when exposed directly to any of the three compounds, whereas only
cypermethrin produced a significant repellency response in species A.
PMID- 18047204
TI - Microsporidiosis (Microsporidia: Culicosporidae) alters blood-feeding responses
and DEET repellency in Aedes aegypti (Diptera: Culicidae).
AB - Infection of Aedes aegypti (L.) (Diptera: Culicidae) with Edhazardia aedis
(Microsporidia: Culicosporidae) reduced mean human host attraction and
landing/probing rates in female mosquitoes by 53 and 62%, respectively, compared
with rates in microsporidia-free females. Infection with E. aedis reduced the
average weight of unfed female mosquitoes by 4%, caused them to imbibe 23% less
blood, and to lay 30% fewer eggs than healthy females. In contrast, E. aedis
infected mosquitoes required 20% more time (>1 h) than healthy females to bite
skin treated with 15% DEET. Statistically significant morbidity in E. aedis
infected females was indicated by reductions in host attraction and
landing/probing responses, the mass of unfed and blood-engorged females, and
fecundity, and by increased DEET repellency.
PMID- 18047205
TI - Comparative studies on effects of three chitin synthesis inhibitors on common
malaria mosquito (Diptera: Culicidae).
AB - Toxicities of three chitin synthesis inhibitors (diflubenzuron, nikkomycin Z and
polyoxin D) were evaluated using second instars of the common malaria mosquito,
Anopheles quadrimaculatus Say (Diptera: Culicidae). Neither nikkomycin Z nor
polyoxin D at 50 microg/liter caused significant larval mortality, although they
reduced the body weight of the survivors by 20.5 and 33.8%, respectively, in 48
h. In contrast, exposures of the larvae to diflubenzuron at 12.5 microg/liter for
48 h resulted in 86.7% larval mortality and reduced the body weight of the
survivors by 29.1%. Exposure of the pupae (<12 h old) to diflubenzuron at 100
microg/liter for 48 h caused 18.9% pupal mortality and consequently reduced the
adult emergence by 24.7% from the surviving pupae. Furthermore, exposure of third
instars to diflubenzuron at 4, 20, 100, and 500 microg/liter for 24 h resulted in
the reduction of larval chitin contents by 4.25, 33.2, 35.2, and 57.7%,
respectively. Such an effect seemed to be associated with only cuticular chitin
synthesis because the same exposures did not significantly affect chitin contents
in the guts. Our results indicated that diflubenzuron was highly toxic to second
instars by not only causing high larval mortality but also by affecting their
growth. Diflubenzuron was also fairly toxic to pupae by not only causing pupal
mortality but also affecting the adult emergence. Our results suggest that
diflubenzuron might affect only chitin synthesis in the cuticle but not in the
peritrophic matrix, which is probably due to diflubenzuron's direct contact to
mosquito larvae in water, slow distribution in insect body, rapid degradation in
the insect gut, or a combination.
PMID- 18047206
TI - In vivo evidence that Sarcoptes scabiei (Acari: Sarcoptidae) is the source of
molecules that modulate splenic gene expression.
AB - The clinical signs of a Sarcoptes scabiei (De Geer) (Acari: Sarcoptidae)
infestation are initially delayed, which suggests that the mites can depress the
immune/inflammatory response. The purpose of this study was to investigate the
modulatory properties of scabies mites in vivo at the gene expression level in a
secondary lymphoid organ that is involved in initiating an immune response to the
parasite. We found that substances from scabies mites influenced the expression
of mRNA for molecules that participate in the sequestering of lymphocytes in the
periarteriolar lymphoid sheath, primary follicle, and marginal zone of the
spleen. Mice exposed to live scabies mites exhibited decreased mRNA expression
for the adhesion molecules intercellular adhesion molecule (ICAM)-1, ICAM-2 and L
selectin; the cytokines tumor necrosis factor (TNF)alpha and CCL5; and the
receptors for several other cytokines including TNF and interferon gamma. In
addition, exposure to live mites or vaccination with a scabies extract resulted
in reduced expression of mRNA for B7-2, CD40, CD4, CD8, and CD45, thereby
potentially reducing the physical interactions between B cells and T-helper (Th)2
helper cells, between Th1 and Tc cells, and between T-helper cells and antigen
presenting cells, thus depressing their function in response to thymus-dependent
antigen. Live scabies mites also depressed expression of toll-like receptors 2,
4, and 6. In conclusion, our results indicate that live mites produce substances
that can down-regulate expression of adhesion molecules, cytokines, chemokines,
chemokine receptors, and lymphocyte surface molecules involved in leukocyte
sequestering and the interaction of B and T cells during activation of an immune
response in the spleen.
PMID- 18047207
TI - Aedes albopictus and Culex pipiens implicated as natural vectors of Dirofilaria
repens in central Italy.
AB - To identify the natural vectors of Dirofilaria repens Railliet et Henry,
entomological samplings were carried out in four sites within the Lazio region,
foci of canine subcutaneous dirofilariasis. Collections were made in 2002-2003 by
means of dog-baited and miniature Centers for Disease Control and Prevention
traps as well as on humans. Microscopy identified 1576 attracted mosquito females
as belonging to six species, but molecular diagnostics detected filarial DNA only
in Culex pipiens L. and Aedes albopictus (Skuse, 1894). Dirofilaria immitis Leidy
DNA, D. repens DNA, or both were found in the head and thorax of both mosquitoes.
The simultaneous presence of vectors showing diurnal and nocturnal activity
patterns is of concern for animal and human health. The finding of D. immitis DNA
in mosquitoes in areas where only D. repens was been recovered in dogs also
demonstrates that this filarial parasite circulates among carnivores (wild or
domesticated pets).
PMID- 18047208
TI - West Nile virus-infected dead corvids increase the risk of infection in Culex
mosquitoes (Diptera: Culicidae) in domestic landscapes.
AB - A comparative study of West Nile virus (family Flaviviridae, genus Flavivirus,
WNV) infection rates in Culex mosquitoes collected at 13 sites, seven reporting
WNV-positive dead corvids (case sites) and six without reported dead birds
(control sites) was conducted in Davis, CA, from 14 to 21 July at the beginning
of the 2006 WNV outbreak. In total, 3051 Culex mosquitoes were collected using
gravid traps and CO2-baited traps; WNV-infected mosquitoes were only collected
with CO2-baited traps. WNV-infected Culex pipiens L. were collected at one of the
seven case sites. Six of seven case sites yielded WNV-infected Culex tarsalis
Coquillett, whereas only one of six control sites had WNV-infected Cx. tarsalis.
Overall, the odds of finding WNV-positive mosquitoes were 19.75 times greater at
sites reporting a WNV-infected dead corvid than sites without a WNV-infected dead
corvid. Maximum likelihood estimates of the overall infection rates at the case
sites were 3.48/1000 for Cx. tarsalis and 8.69/1000 for Cx. pipiens compared with
1.02/1000 in Cx. tarsalis collected at the control sites. Results indicate that
Cx. tarsalis was important in early season enzootic transmission within Davis and
that sites reporting WNV-infected dead corvids are areas to focus control and
surveillance efforts.
PMID- 18047209
TI - West Nile virus infection decreases fecundity of Culex tarsalis females.
AB - West Nile virus (family Flaviviridae, genus Flavivirus, WNV) persistently infects
many mosquito tissues, and it has been associated with cytopathological changes
in midgut muscles and salivary glands. However, the effects of WNV infection on
mosquito fitness (survival and reproduction) are not known. We conducted a life
table study of individually housed female Culex tarsalis Coquillett. After an
initial bloodmeal from a WNV-infected or uninfected chicken, mosquitoes were
provided sucrose and offered weekly opportunities to feed on a hanging blood
drop. WNV transmission status was determined by testing the remaining blood drop
for virus after mosquito feeding. Dead mosquitoes and eggs were collected daily.
Mosquito legs and bodies were tested for WNV, and eggs were counted and allowed
to hatch. Two replicates of this experiment were performed, with a total of 62
mosquitoes that fed on a WNV-infected chicken (of which 21 became infected) and
43 mosquitoes that fed on an uninfected chicken. Fecundity of WNV-infected
mosquitoes was significantly lower than that of uninfected mosquitoes, especially
during the first oviposition. WNV infection was associated with smaller egg
rafts, whereas increasing wing length and WNV titer in the legs had a positive
effect on egg raft size. Additionally, infected mosquitoes had lower egg hatch
rates than did uninfected mosquitoes. There were no significant differences in
survival between infected and uninfected mosquitoes. Blood feeding rates were
higher in infected mosquitoes than in uninfected mosquitoes. A small amount of
virus (average, 378; range, 5-5000 plaque-forming units) was transmitted to the
blood drops fed upon by infected mosquitoes. Although WNV infection negatively
impacts mosquito reproduction, facets of mosquito biology that are critical to
virus transmission success were either not affected (survival) or changed in such
a way as to result in enhanced vectorial capacity (blood feeding).
PMID- 18047210
TI - Anopheles kleini, Anopheles pullus, and Anopheles sinensis: potential vectors of
Plasmodium vivax in the Republic of Korea.
AB - Anopheles sinensis Wiedemann (63.3%) was the most abundant Anopheles mosquito
captured at cowshed resting collections in malaria high-risk areas (northern
Gyeonggi Province) near the demilitarized zone (DMZ) in Korea during 2005,
followed by Anopheles kleini Rueda (24.7%) and Anopheles pullus M. Yamada (8.7%).
At cowshed resting collections in malaria low-risk areas (Jeonnam and Gyeongnam
provinces), An. sinensis accounted for 96.8% of all Anopheles spp. collected,
followed by An. kleini Rueda (2.7%), whereas no An. pullus were collected. Three
species, An. kleini (50.9%), An. pullus (29.0%), and An. sinensis (13.8%),
accounted for nearly all of the 224 Anopheles spp. captured by New Jersey light
trap near the DMZ. In addition, An. pullus and An. kleini captured by New Jersey
light trap near the DMZ and assayed by enzyme linked immunosorbent assay for
Plasmodium vivax circumsporozoite antigen concentrations were higher than An.
sinensis sensu stricto (s.s.), indicating higher levels of sporozoites. In
laboratory studies of four concurrent artificial membrane feedings on malaria
infected blood from patients, F1 progeny of An. kleini and An. pullus had higher
infection rates (8.8 and 7.5%, respectively) than An. sinensis s.s. (4.2%). These
data suggest that An. kleini and An. pullus and An. sinensis are vectors of
malaria in Korea. Further studies are required to determine the role of these
species in the transmission of P. vivax in the Republic of Korea.
PMID- 18047211
TI - Isolation of cell lines and a rickettsial endosymbiont from the soft tick Carios
capensis (Acari: Argasidae: Ornithodorinae).
AB - Soft ticks are medically important ectoparasites of birds and mammals that are
found throughout the world. This report describes isolation and partial
characterization of two embryonic cell lines, CCE2 and CCE3, from the seabird
soft tick Carios capensis (Neumann). Sequencing of the mitochondrial 16S rRNA
gene and karyology confirmed the lines were derived from C. capensis. CCE3 cells
were diploid with a modal chromosome number of 20. The population doubling time
for cell lines CCE2 and 3 in passage 40 was 6-9 d. A rickettsial endosymbiont,
RCCE3, was co-isolated along with line CCE3. Nucleotide sequences of polymerase
chain reaction (PCR) products generated using primers specific for rickettsial 17
kDa antigen, outer membrane protein (omp) A, ompB, and citrate synthase genes
along with phylogenetic analyses demonstrated that RCCE3 is a previously
uncultured endosymbiont. The rickettsia was identified as a symbiont of C.
capensis, closely related to rickettsiae previously detected by PCR in C.
capensis, Ornithodoros moubata (Murray) and Hemaphysalis sulcata Canestrini &
Fanzago, a hard tick. RCCE3 caused a cytopathic effect in C. capensis host cells,
and it was transferred to Ixodes scapularis Say cell line ISE6 for maintenance.
The rickettsial endosymbiont was eliminated from CCE3 by treatment with
oxytetracycline. Cell lines from C. capensis will be useful to researchers
investigating interactions between soft ticks and microorganisms, soft tick
physiology, and molecular biology. The rickettsia adds to the growing number of
Rickettsia species that have been isolated in tick cell culture, and it is
available for characterization.
PMID- 18047212
TI - Nocturnal activity of mosquitoes (Diptera: Culicidae) in a West Nile virus focus
in Connecticut.
AB - Six species of mosquitoes (Diptera: Culicidae) were collected in sufficient
numbers for analysis in segregating traps set at 2-h intervals by using CO2 and
light as attractants in a West Nile virus (family Flaviviridae, genus Flavivirus,
WNV) focus in Stratford, CT. The Kolmogorov-Smirnov one-sided test for two
samples was used to analyze the data. Mosquito activity began shortly before
sunset and continued until shortly after sunrise the next morning. All species
had geometric means that were significantly higher during the 2-h period shortly
after sunset compared with the 2-h collection before sunset. Species, known to be
naturally infected with WNV, were often attracted to these traps in about equal
numbers at 2-h intervals during an 8- to 10-h period commencing shortly after
sunset. Differences of geometric means were not significant among the four or
five 2-h collection periods commencing at sunset for Aedes vexans (Meigen), Culex
salinarius Coquillett, and Aedes cinereus Meigen. Aedes cantator (Coquillett) had
a significantly higher geometric mean for the 2-h period commencing at sunset,
and Coquillettidia perturbans (Walker) was captured in significantly greater
numbers during the 2-h period starting at sunset compared with periods commencing
6 h after sunset. Culex pipiens L. tended to have an activity pattern that was
primarily nocturnal. Time of night, not meteorological conditions, was the most
important factor in determining the nightly variation in the number of trapped
mosquitoes. Parity rates of Cx. pipiens collected during specific periods of the
night were not significant. In total, 39 isolations of WNV were made from seven
species collected primarily during periods of total darkness. Humans are at risk
of being bitten by infected mosquitoes throughout the night.
PMID- 18047213
TI - Identification of host bloodmeal source and Borrelia burgdorferi sensu lato in
field-collected Ixodes ricinus ticks in Chaumont (Switzerland).
AB - To evaluate the importance of vertebrate species as tick hosts and as reservoir
hosts in two endemic areas for Lyme borreliosis in Switzerland, we applied
molecular methods for the analysis of bloodmeal source and Borrelia infection in
questing Ixodes ricinus L. ticks. In total, 1326 questing ticks were
simultaneously analyzed for Borrelia and for blood meal remnants by using reverse
line blot. An overall infection prevalence of 19.0% was recorded for Borrelia
sp., with similar rates in both sites. Using a newly developed method for the
analysis ofbloodmeal targeting the 12S rDNA mitochondrial gene, identification of
host DNA from field-collected ticks was possible in 43.6% of cases. Success of
host identification at the genus and species level reached 72%. In one site, host
identification success reached its maximum in spring (93% in May), decreasing in
summer (20% in July) and rising in autumn (73% in October). In the other site,
identification rate in ticks remained low from April to July and increased in
autumn reaching 68% in October and November. The most prevalent identified host
DNA was artiodactyls in both sites. Red squirrel DNA was significantly more
frequently detected in ticks collected in one site, whereas insectivore DNA was
more frequent in ticks in the other site. DNA from more than one vertebrate host
was detected in 19.5% of nymphs and 18.9% of adults. Host DNA was identified in
48.4% of the Borrelia infected ticks. Although DNA from all Borrelia species was
found in at least some ticks with DNA from mammals and some ticks with DNA from
birds, our results confirm a general association of B. afzelii and B. burgdorferi
sensu stricto with rodents, and B. valaisiana and B. garinii with birds.
PMID- 18047214
TI - Passive surveillance in Maine, an area emergent for tick-borne diseases.
AB - In 1989, a free-of-charge, statewide tick identification program was initiated in
Maine, 1 yr after the first Ixodes scapularis Say (=I. dammini Spielman,
Clifford, Piesman & Corwin) ticks were reported in the state. This article
summarizes data from 18 continuous years of tick submissions during which >24,000
ticks of 14 species were identified. Data provided include tick stage, degree of
engorgement, seasonal abundance, geographical location, host, and age of the
person from whom the tick was removed. Maps depict the distributions of the three
major species submitted. I. scapularis emerged first along the coast, and then it
advanced inland up major river valleys, Dermacentor variabilis Say slowly
expanded centrifugally from where it was initially reported in southwestern
Maine, and the distribution of long-established Ixodes cookei Packard remained
unchanged. Submissions of nymphal I. scapularis closely correlated with reported
Lyme diseases cases at the county level. Annual fluctuations of nymphal
submissions in Maine correlated with those of Lyme disease cases for New England,
supporting the possibility of a regional influence on tick abundance. More ticks
were removed from people < or =14 and > or =30 yr of age, and their degree of
engorgement was greatest in people < or =20 yr of age and progressively increased
in people > or =30 yr of age. This study demonstrates the usefulness and
potential of tick identification programs.
PMID- 18047215
TI - Climate niches of tick species in the Mediterranean region: modeling of
occurrence data, distributional constraints, and impact of climate change.
AB - In this study, we used ecological niche factor analysis (ENFA) and principal
components analysis (PCA) of climate variables to define the climate niches and
areas of potential colonization of six species of ticks in the Mediterranean
region: Dermacentor marginatus Sulzer, Rhipicephalus bursa Canestrini & Fanzago,
Rhipicephalus turanicus Pomerantsev, Matikashvili & Lototsky, Hyalomma marginatum
Koch, Hyalomma excavatum Koch, and Boophilus annulatus (Say). ENFA generated
distribution models that varied in accuracy from high to very high (area under
the curve [AUC] = 0.87-0.97), with the lowest AUC obtained for B. annulatus. PCA
provided an adequate separation of the climate niches of different species in the
reduced space of the variables. Climate scenarios and factorial consensus
analysis were used to evaluate the geographic impact of climate change (as
turnover in habitat suitability) on the niches of the ticks and net variations in
habitat availability. The scenario that was most compatible with estimates of
future climate in the Mediterranean region (increase in temperature and decrease
in rainfall) was predicted to produce a sharp increase in the extent of suitable
habitat for R. bursa, R. turanicus, and H. marginatum. This scenario would result
in a northward expansion of suitable habitat areas for these three species. The
highest impact (highest species turnover) would be recorded at the margin of the
current distribution range of the three species. A sensitivity analysis of the
ecological response of the ticks to the climate change scenarios showed that the
response is statistically different in different regions of the PCA-derived
niche. These results outline the need to further investigate the potential of
bioclimate models to obtain accurate estimations of tick species turnover under
conditions of climate change over wide areas.
PMID- 18047216
TI - Spatiotemporal investigation of adult mosquito (Diptera: Culicidae) populations
in an eastern Iowa county, USA.
AB - Landscape and climatic factors regulate distributions of mosquitoes (Diptera:
Culicidae) over time and space. The anthropogenic control of mosquito populations
is often carried out at a local administrative scale, and it is applied based on
the relevant agency's experiential knowledge rather than systematic analysis of
spatial and temporal data. To address this shortcoming, a spatial and temporal
analysis of landscape and climatic parameters in relation to mosquito populations
in Black Hawk County, IA, USA, has been carried out. Adult mosquito sampling took
place using CDC light traps from May to August 2003 in representative landscapes.
Mosquitoes were identified to species level with Aedes trivittatus (Coquillet)
and Aedes vexans (Meigen) dominating the collection totals. The best publicly
available spatial data on landscape and demographic attributes were collated and
included land cover, human census, soils, floodplain, elevation, wetlands,
hydrography, roads, and vegetation indices derived from satellite imagery.
Spatial processing was carried out to organize landscape attributes for
statistical comparison with abundance data from the potentially important West
Nile virus (family Flaviviridae, genus Flavivirus, WNV) vector species Ae. vexans
and Ae. trivittatus. Landscape parameters shown to be significantly correlated
with mosquito counts included soil hydrological properties, presence in
floodplain, wetland areas, and deciduous and bottomland forest cover. Data on
temperature and precipitation were used to investigate the climatic influence on
the temporal occurrence of mosquito population abundances. Late spring rain
provided ample moisture for mosquito development, but low temperatures delayed
widespread emergence of Ae. trivittatus and Ae. vexans until June 2003. Landscape
and climatic impacts on adult mosquito population distributions were
demonstrated, and these results could form the basis for the development of a
spatiotemporal modeling framework that would inform anthropogenic mosquito
control anld vector-borne disease surveillance. A qualitative discussion
concerning Culex pipiens (L.) and Culex restuans Theobald is included.
PMID- 18047217
TI - Spontaneous recovery after reversal and partial reinforcement.
AB - Six experiments used magazine approach in rat subjects to explore changes with
time in responding for stimuli brought to a common moderate level of performance
through acquisition or extinction. They found no evidence for increases with time
in behavior during stimuli given simple acquisition. However, stimuli brought to
that same level by reversal learning, repeated reversal, or partial reinforcement
all showed increases in responding with time. These results suggest that the
decremental process established by nonreinforcement endures through subsequent
reinforcement and is especially sensitive to the passage of time.
PMID- 18047218
TI - CS-duration and partial-reinforcement effects counteract overshadowing in select
situations.
AB - Two experiments used rats in a conditioned lick suppression preparation to
investigate how the conditioned stimulus (CS)-duration and partial-reinforcement
effects (i.e., weakened responding due to conditioning with a CS of longer
duration and presenting nonreinforced CSs intermingled with CS-unconditioned
stimulus [US] pairings, respectively) interact with overshadowing. Experiment 1
found that when overshadowing treatment was combined with either extended CS
duration or partial reinforcement, the response deficit was weaker than when
either of these three treatments was administered alone. In Experiment 2, the
generality of the findings in Experiment 1 was investigated by replicating it
with various US-US intervals. This time counteraction was observed only when both
the absolute duration of total CS exposure and the US-US interval were short. The
results support neither the view that the ratio between the total CS exposure and
total time in the context determines the CS-duration and the partial
reinforcement effects nor the view that these two effects arise from a loss of
effectiveness of the excitatory CS-US association during CS-alone exposures in
partial reinforcement or early periods of CS exposure with long CSs.
PMID- 18047220
TI - Discrimination learning in humans: role of number and complexity of rules.
AB - Various types of discrimination learning tasks, such as so-called nonconditional,
conditional, and biconditional tasks, are generally held to differ in complexity
and to require different amounts of training. However, rather than a difference
in rule complexity, between-task performance differences may reflect a difference
in number of underlying rules. Accordingly, in the present study, human
participants were subjected to tasks differing in number and/or complexity of
rules. In Experiments 1 and 3, participants learned to differentially respond to
visual-target stimuli, each of which was preceded by a visual feature. Conditions
differed in the number of different features and in the informational value of
individual features and/or targets. In Experiment 2, participants were fully
informed about all relevant stimulus-response mappings prior to each trial.
Performance accuracy was primarily determined by number of underlying rules in
the initial phase of discrimination learning, especially when the time available
for responding was restricted. However, when participants had attained a high
accuracy level, performance was solely determined by rule complexity. Apparently,
number and complexity of rules have a different weight, depending on the stage of
discrimination learning.
PMID- 18047219
TI - Place versus response learning in rats.
AB - In previous research designed to test whether place learning or response learning
proceeds more quickly and better in rats, place has not been defined
unambiguously when direction has been controlled by moving an apparatus around in
the test room (Blodgett, McCutchan, & Mathews, 1949; Skinner et al., 2003). In
Experiment 1, we compared place and response learning while controlling direction
in a static apparatus, thus making the meaning of place unambiguous. The
performance of rats that had to make different turns to find food in a particular
place and rats that had to always make the same turn to find food in two
different places did not differ. In Experiment 2, visual cues were made equally
discriminable for place and response learners in a static apparatus. Place
learners still failed to outperform response learners, but there was evidence
that response biases interfered more with place than with response learning. The
results are discussed with reference to the historical debate that generated the
original research and also in terms of morecontemporary spatial-learning issues
in rats.
PMID- 18047221
TI - Flavor evaluative conditioning and contingency awareness.
AB - The relationship between flavor evaluative conditioning and contingency awareness
was examined intwo experiments using flavored drinks. In Experiment 1, one flavor
was always paired with sugar and the other with bitter tween (polysorbate20)
during conditioning. In a subsequent test phase, participants tasted the two
flavors, and their evaluative ratings indicated an overall preference for the
sugar-paired flavor. Moreover, participants were generally able to report which
flavor had been paired with sugar and which with tween. This finding was
replicated and confirmed in Experiment 2A. Furthermore, in both experiments,
evaluative conditioning was seen only in those participants who were aware of the
contingencies. Experiment 2B demonstrated that evaluative conditioning does not
occur to colors, although participants are contingency aware. The differences
between the present findings and prior studies, in which apparently unaware
flavor conditioning has been found, are discussed.
PMID- 18047222
TI - Matching-to-sample in pigeons: in the absence of sample memory, sample frequency
is a better predictor of comparison choice than the probability of reinforcement
for comparison choice.
AB - In matching-to-sample, comparison choice should be controlled by the identity of
the sample and, when the sample is not available, by the overall probability of
reinforcement associated with each of the comparisons. In the present research,
pigeons were trained to match a frequent sample (appearing on 80% of the trials)
to one comparison (C(fr)) and an infrequent sample (appearing on 20% of the
trials) to the other (C(inf)), with the number of reinforcements associated with
each sample equated. In Experiment 1, the task was identity matching; in
Experiments 2 and 3, it was symbolic matching. We asked whether, when control of
comparison choice by the sample was reduced (by inserting a delay between the
sample and the comparisons), pigeons would choose comparisons on the basis of (1)
the number of reinforcements per comparison (and thus show no comparison bias),
(2) the comparison associated with the more frequent sample during training (and
show a preference for C(fr)), or (3) the probability of reinforcement given a
correct response (and show a preference for C(inf)), or (4) inhibition produced
by nonreinforced choice of the more frequently correct comparison (and show a
preference for C(inf)). Pigeons showed a significant tendency to choose C(fr). In
Experiment 3, we showed that this bias did not result from the effects of
intertrial facilitation or interference. Thus, it appears that when control of
comparison choice by the sample is reduced, pigeons' choice is controlled not
merely by the probability of reinforcement but also by overall sample frequency.
PMID- 18047223
TI - Sample and comparison location as factors in matching acquisition, transfer, and
acquired equivalence.
AB - Pigeons learned symbolic matching with samples appearing equally often on left
and right keys. For a location-relevant group, the reinforced comparison choice
for each sample reversed across sample locations; for a location-irrelevant
group, the reinforced choices were the same. Consistent with the hypothesis that
samples at different locations are functionally different for pigeons, Experiment
1 showed that matching acquisition was comparable in these two groups.
Nevertheless, the location-irrelevant group eventually ignored sample location,
given that their performances subsequently transferred to a novel (center-key)
sample location. This transfer was not simply due to sample familiarity at
different training locations; rather, it required that left- and right-key
samples occasion the same reinforced choices in training. Acquired equivalence
between those samples was then assessed in Experiment 2. The location-irrelevant
group showed the predicted equivalence effects, but the location-relevant group
did not--in fact, its results were the opposite of those predicted by
equivalence. Their results indicate that the functional comparison stimuli are
also defined in terms of their locations.
PMID- 18047225
TI - Numerosity discrimination in preschool children.
AB - We used a numerical bisection procedure to examine preschool children's
sensitivity to the numerical attributes of stimuli. In Experiment 1 children
performed two tasks. In the Cups Task they earned coins for choosing a green cup
after two drumbeats and a blue cup after eight drumbeats. In the Gloves Task they
earned coins for raising a red glove on their left hand after two drumbeats and a
yellow glove on their right hand after eight drumbeats. Then in each task a
psychometric function was obtained by presenting intermediate numerosities and
recording the percentage of trials in which children chose the "many" option. In
Experiment 2 children's performance in a '2 vs. 8' discrimination was compared
with their performance in a "4 vs. 16" discrimination. Results showed that the
individual psychometric functions were of two types, one in which the percentage
of "many" choices increased gradually with stimulus numerosity and another in
which it increased abruptly, in a step-like manner. Although the average point of
subjective equality was close to the geometric mean of the anchor numerosities
and the average functions for "2 vs. 8" and "4 vs. 16" superimposed when plotted
on a common scale (the scalar property), the individual data were highly variable
both across tasks (Cups and Gloves) and numerosity ranges ('2 vs. 8' and '4 vs.
16'). It is suggested that between- and within-subjects variability in the
psychometric function is related to children's verbalizations about the sample
stimulus.
PMID- 18047224
TI - Aging and intraindividual variability in performance: analyses of response time
distributions.
AB - It has been suggested that older adults are more variable in their performance
because they are more prone to lapses of either attention or intention. In the
present experiment, 9 young and 9 older adults each performed nearly 2000 trials
of a same-different judgment task. As expected, older adults were slower and more
variable than young adults. When the age-related difference in speed was taken
into account, however, the older adults were, if anything, less variable than the
young adults. When younger and older adults' RT distributions were analyzed using
quantile-quantile plots and by fitting ex-Gaussian and Weibull functions, there
was no consistent evidence that older adults' distributions were more skewed than
young adults', as would be predicted by age-related increases in lapses of
attention or intention. Importantly, there was a positive, linear relation
between RT and intraindividual variability, and the same relation was observed
both within subjects (practice increased speed and reduced variability) as well
as between subjects (regardless of age, slower individuals were more variable).
Thus, the present results suggest that there may be a general law governing the
relation between average RT and variability, and that the greater performance
variability of older adults primarily reflects their greater average RTs.
PMID- 18047226
TI - Tests of behavioral-economic assessments of relative reinforcer efficacy II:
economic complements.
AB - This experiment was conducted to test the predictions of two behavioral-economic
approaches to quantifying relative reinforcer efficacy. The normalized demand
analysis suggests that characteristics of averaged normalized demand curves may
be used to predict progressive-ratio breakpoints and peak responding. By
contrast, the demand analysis holds that traditional measures of relative
reinforcer efficacy (breakpoint, peak response rate, and choice) correspond to
specific characteristics of non-normalized demand curves. The accuracy of these
predictions was evaluated in rats' responding for food or water: two reinforcers
known to function as complements. Consistent with the first approach, predicted
peak normalized response output values obtained under single-schedule conditions
ordinally predicted progressive-ratio breakpoints and peak response rates
obtained in a separate condition. Combining the minimum-needs hypothesis with the
normalized demand analysis helped to interpret prior findings, but was less
useful in predicting choice between food and water--two strongly complementary
reinforcers. Predictions of the demand analysis had mixed success. Peak response
outputs predicted from the non-normalized water demand curves were significantly
correlated with obtained peak responding for water in a separate condition, but
none of the remaining three predicted correlations was statistically significant.
The demand analysis fared better in predicting choice--relative consumption of
food and water under single schedules of reinforcement predicted preference under
concurrent schedules significantly better than chance.
PMID- 18047227
TI - Age trends in stimulus overselectivity.
AB - Stimulus overselectivity refers to the phenomenon whereby stimulus control over
behavior is exerted only by a limited subset of the total number of stimuli
present during discrimination learning. It often is displayed by individuals with
autistic spectrum disorders or learning disabilities, but is not exclusive to
those groups. The present studies investigated the impact of aging on stimulus
control and overselectivity. Three age groups--18-22, 47-55, and 70-80 year olds
were studied in two experiments. All participants were trained on a simple
discrimination task, randomly assigned to one of two conditions (either with or
without a distractor task), and then tested for the emergence of overselectivity
(Experiment 1). In Experiment 2 responding controlled by the overselected
stimulus elements was reduced by introducing a verbal punisher. In subsequent
tests, control of behavior by the previously underselected elements from
Experiment 1 was enhanced across the two younger age groups but not the oldest
group of participants. The results are discussed in relation to the attention
deficit and overshadowing accounts of overselectivity.
PMID- 18047228
TI - Effects of differing response-force requirements on food-maintained responding in
CD-1 mice.
AB - The effect of force requirements on response effort was examined using outbred
(CD-1) mice trained to press a disk with their snout. Lateral peak forces greater
than 2 g were defined as threshold responses (i.e., all measured responses).
Different force requirements were used to define criterion responses (a subclass
of threshold responses) that exceeded the requirement. The reinforcer was
sweetened, condensed milk, and it was delivered upon response termination. All
mice were exposed to two ascending series of criterion force requirements (2, 4,
8, 16, and 32 g). Increasing the force requirement decreased criterion response
rates, but increased threshold response rates. The time-integral of force (area
under the force-time curve for individual responses, which is proportional to
energy expenditure for each response) increased with the increase in the force
requirement. These results conflict with the hypothesis that higher force
requirements have aversive qualities and suggest that increased force
requirements are more analogous to intermittent schedules of reinforcement. These
data suggest that estimations of effort or energy expenditure should be measured
independently of the force requirement. Individual differences in responding were
found for the CD-1 outbred stock.
PMID- 18047229
TI - Seasonal variation in pigeon body weight and delayed matching-to-sample
performance.
AB - The weights of 5 pigeons with free access to food, monitored over 3 calendar
years in the laboratory, were found to fluctuate with season. All pigeons were at
their heaviest in the winter and were lightest in the summer. Five different
pigeons performed a standard delayed matching-to-sample task for 44 weeks from
January to November. Their weights were held at 85% of their summer free-feeding
weights, making their predicted deprivation level higher in the winter relative
to predicted winter free-feeding weights. Slopes of forgetting functions fit to
weekly response totals for each pigeon were shallower in winter, showing an
improvement in accuracy with longer delays. Thus, delayed matching-to-sample
performance may have been affected by the practice of maintaining the pigeons at
a constant body weight throughout the calendar year.
PMID- 18047231
TI - Epidemiological aspects on apical periodontitis. Studies based on the Prospective
Population Study of Women in Goteborg and the Population Study on Oral Health in
Jonkoping, Sweden.
AB - The objectives of this thesis were to describe endodontic status in Swedish
populations, to study clinical and socio-economic risk factors for apical
periodontitis (AP) and to explore a possible association between AP and coronary
heart disease (CHD). In papers I, II and IV the Prospective Study of Women in
Goteborg (PSWG) was used. In paper I dentate women examined in 1968-69 (N=1220),
1980-81 (N=1023) and 1992-93 (N=867) were included for cross-sectional and
longitudinal (N=586) analysis of endodontic status over 24 years in individuals
aged 38-84 years. In papers III and IV a cross-sectional sample (N=844 and N=867,
respectively) from 1992-93 was used for exploring associations between AP, socio
economic risk factors and CHD in multivariate logistic regression models. In
paper II random samples of dentate individuals aged 20-70 years from the
Population Study on Oral Health in Jonkoping (PSJ) were used. The first
examination in 1973 (N=498) was followed by new examinations in 1983 (N=530),
1993 (N=547) and 2003 (N=491). Full mouth radiographic examinations were
restudied, yielding 3981 root filled teeth for the analysis. AP was recorded
according to the Periapical Index (PAI) and the root filling quality was assessed
with respect to length and seal. The association between root filling quality and
AP was studied on the tooth-level as well as on the individual level. The results
from multivariate logistic regression analysis did not reveal a significant
association between AP and CHD and socio-economic risk factors and AP,
respectively. The ratio of root filled teeth increased with age longitudinally
and cross-sectionally, but decreased over time for comparable age groups. The
ratio of AP increased with age cross-sectionally, but decreased with age
longitudinally and for comparable age groups over time. Inadequate root filling
quality was predictive of AP with an odds ratio of 4.5. The root filling quality
was improved over time without a concomitant decrease in ratio of root filled
teeth with AP.
PMID- 18047230
TI - An experimental analysis of memory processing.
AB - Rhesus monkeys were trained and tested in visual and auditory list-memory tasks
with sequences of four travel pictures or four natural/environmental sounds
followed by single test items. Acquisitions of the visual list-memory task are
presented. Visual recency (last item) memory diminished with retention delay, and
primacy (first item) memory strengthened. Capuchin monkeys, pigeons, and humans
showed similar visual-memory changes. Rhesus learned an auditory memory task and
showed octave generalization for some lists of notes--tonal, but not atonal,
musical passages. In contrast with visual list memory, auditory primacy memory
diminished with delay and auditory recency memory strengthened. Manipulations of
interitem intervals, list length, and item presentation frequency revealed
proactive and retroactive inhibition among items of individual auditory lists.
Repeating visual items from prior lists produced interference (on nonmatching
tests) revealing how far back memory extended. The possibility of using the
interference function to separate familiarity vs. recollective memory processing
is discussed.
PMID- 18047232
TI - The relationship of social support to depressive symptoms during the transition
to high school.
AB - The transition to high school is studied as a time when students may experience
disruptions in their social support systems. Peer support, family support, and
school belonging were hypothesized to be associated with adolescent adjustment,
specifically depressive symptoms. Participants included 104 eighth graders and
101 ninth graders from a middle- to high-income, predominantly white community in
southern Rhode Island. In year 2, 60 of the original 8th graders were surveyed as
9th graders. Three hypotheses were examined: Social support declines from 8th to
9th grade; depressive symptoms increase from 8th to 9th grade; and social support
is significantly associated with depressive symptoms. The hypotheses were tested
using cross-sectional and longitudinal analyses. Results indicated that 9th
graders experienced more depressive symptoms and lower levels of school belonging
as compared to the 8th graders. Changes in parent support and peer support were
significantly associated with depressive symptoms in the transition to high
school. Implications for increasing school belonging in the 9th grade are
discussed.
PMID- 18047233
TI - Aggressive adolescents in residential care: a selective review of treatment
requirements and models.
AB - This article presents a selective inventory of treatment methods of aggressive
behavior. Special attention is paid to types of intervention that, according to
research, are frequently used in Dutch residential youth care. These methods are
based on (1) principles of (cognitive) behavior management and control, (2) the
social competence model, and (3) influencing the peer culture. Suggestions are
made as to how the treatment of aggressive adolescents may be realized in
residential settings. The article concludes that more attention should be paid to
the involvement of parents and to the part played by the peer group in the
treatment of aggressive behavior.
PMID- 18047234
TI - Adolescent perception of mothers' parenting strengths and needs: a cross-cultural
approach to curriculum development for parent education.
AB - Black, Hispanic, and White American early adolescents (N = 573) were administered
the Parent Success Indicator. The performance of their mothers was rated for 60
items, included within six subscales, that consider Communication, Use of Time,
Teaching, Frustration, Satisfaction, and Information Needs. Each racial group
described favorable attributes of mothers and noted realms of learning where
further growth seemed warranted. Statistically significant main effects for race,
time spent together, and presence of an adult at home when the adolescent
returned from school were reported. No significant main effects for child gender
were reported. Based on perceptions of the participants, topics were identified
for a common parent curriculum that can serve mothers of each racial group.
Additional topics, based on the ratings within each race, were recommended to
meet the distinctive learning needs of Black, Hispanic, and White mothers of
early adolescents.
PMID- 18047235
TI - Adolescents' views on war and peace in the early phases of the Iraq conflict.
AB - Adolescents' views of war and peace were assessed among 209 children aged 10-14
who attended a parochial school or its after-school religious program located in
a predominantly middle-class, suburban area within commuting distance of New York
City. Findings were compared to those of youth surveyed during other armed
conflicts, specifically the Vietnam War, the first Persian Gulf War, and the U.S.
military involvement in Latin America. The study took place in early fall 2003,
and results were interpreted in light of the social climate and complex realities
of post 9/11 in New York State, the Catholic Church's initial opposition to the
Iraq conflict, and popular opinion. In spite of differences between the Iraq War
and other conflicts, findings are remarkably similar. Although the present group
is highly preoccupied with terrorism and nuclear war, even in a time of war,
participants show concern for what they perceived as affecting their lives
directly, rather than with conventional war. While they believe that President
Bush was honest about the war in Iraq and right in sending troops, they do not
glorify war. On a theoretical level, they overwhelmingly believe that wars are
bad and the majority is optimistic that world peace is possible, though they
realize that wars are difficult to prevent, believe that they are sometimes
needed, and will occur in the future. Unlike the Catholic group surveyed by
Tolley during the Vietnam War, however, participants are not as ready to die for
their country, although findings show that, overall, like past groups, more boys
tend to be pro-war than are girls and participants' views tend to reflect
contemporary public opinions.
PMID- 18047236
TI - Time perspective and school membership as correlates to academic achievement
among African American adolescents.
AB - This study examined the relationship of academic achievement to time perspective
(future, present) and school membership (belonging, acceptance, rejection) among
232 low-income, urban African American adolescents. Findings indicated positive,
significant relationships among academic achievement, future time perspective,
school belonging, and school acceptance. A negative, significant relationship was
found between academic achievement and present time perspective. Based on
multiple regression analysis, the ability of time perspective and school
membership to predict academic achievement differed across gender. Whereas
present time perspective was predictive of academic achievement among males,
school acceptance and future time perspective emerged as significant predictors
of academic achievement among females. Present time perspective contributed
negatively to academic achievement among males, while school acceptance and
future time perspective contributed positively to academic achievement among
females.
PMID- 18047237
TI - Bedroom design and decoration: gender differences in preference and activity.
AB - This investigation examined gender differences in niche-building preference and
activity among 238 8th and 9th grade boys and girls. A questionnaire was
developed to measure both the actual and preferred bedroom content, bedroom
design activity, and the level of perceived influence by the immediate and
extended family, friends, and social institutions. Gender differences were
identified for preference, activity, and influence in bedroom design and
decoration. Girls and boys differed in the type of items contained in their
bedrooms. Girls' rooms contained stuffed animals and pictures of people,
including themselves, more frequently than the boys' rooms. In contrast, boys'
rooms contained sports-related items, and things for building or that they had
built themselves. Although bedroom design activity for both boys and girls was
influenced by older teens, friends, media, and popular culture, boys (but not
girls) were also influenced by their mothers, fathers, girlfriends, and
activities such as sports, Boy or Girl Scouts, and music lessons.
PMID- 18047238
TI - Empowering school social work practices for positive youth development: Hong Kong
experience.
AB - Empowerment has become a popular concept in working with adolescents in recent
years. It challenges the deficit model of youth work and focuses on creating a
facilitative climate in which young people can make maximum use of the
opportunity to learn and grow. While many practitioners have adopted the
empowerment approach in youth services, however, we know little about the
possibilities for empowerment practice in the field of school social work. Based
on the findings of a qualitative study conducted in Hong Kong, this paper
explores how school social workers engage in different dimensions of empowerment:
(1) the personal dimension in regard to how students recapture a sense of
competence to meet life challenges and fight for their own benefits; (2) the
school and community dimensions in regard to how practitioners collaborate with
service users and partners to initiate constructive changes to school policies
and strengthen the school-community partnership for student development; and (3)
the institutional dimension in regard to how practitioners play the advocacy role
in the education sector. The findings provide rich information for other youth
workers, especially those who render service in the school setting, as they apply
the empowerment approach in daily practice.
PMID- 18047239
TI - Perceived parental behavioral control and psychological control in Chinese
adolescents in Hong Kong: a replication.
AB - Perceived paternal and maternal behavioral control (including dimensions of
parental knowledge, expectation, monitoring, discipline and demandingness) and
psychological control in 2,748 Chinese Secondary 2 students were examined.
Results showed that two stable dimensions (paternal and maternal behavioral
control) were extracted from the parental behavioral control measures. Although
different dimensions of parental behavioral control were inter-related, parental
monitoring was the strongest predictor of parental knowledge. Regarding the
relationship between behavioral control and psychological control, composite
parental behavioral control measures were weakly related to parental
psychological control and different aspects of parental behavioral control were
differentially related to parental psychological control. The present findings
replicated previous findings based on Chinese Secondary 1 students.
PMID- 18047240
TI - Impetus for worship: an exploratory study of adolescents' idol adoration
behaviors.
AB - The objective of this article is to explore the idolization behaviors of
Taiwanese adolescents. The study gathered 1,636 questionnaires from 13 senior
high schools across northern, central, southern, and eastern Taiwan. The results
indicate that adolescents' gender correlates with the idol type they choose to
adore when the idol is male. This study summarizes "exterior," "interior,"
"wealth," and "athlete" as four underlying idol traits attracting adolescents.
Various types of idols are considered to be significantly different on these four
traits. The impacts of the four traits on adolescents' worship levels are
nonsymmetrical. While the traits of exterior and athlete are worship
facilitators, the trait of wealth is a prohibitor. By contrast, the trait of
interior is neutral and has no effect on worship levels. Furthermore, adolescents
are involved in higher levels of worship when the idol is a media star or is the
opposite gender of the adolescent. Generally, adolescents' worship levels are
heightened by the illusion of a perfect idol, indicating nonrational worship
behaviors. Implications regarding the association between adolescents' cognitive
abilities and idols' traits are discussed for future research.
PMID- 18047241
TI - Migration and psychological status of adolescents in Turkey.
AB - The aim of this study was to investigate the influence of involuntary migration
on an adolescent population that had moved with their families to either one of
two culturally different locations in Turkey. One of these groups had moved from
a village in Eastern Turkey to a nearby town, while the other had moved a
considerable distance to a large city in Western Turkey. Comparison groups
included adolescents from both regions who had never migrated. Measures of self
esteem, satisfaction with life, and social support networks were used with 305
adolescents who were between the ages of 12 and 15. Adolescents who had migrated
scored lower on self-esteem and life satisfaction, and had fewer people in their
social support networks than those who had not. It was also found that those who
had migrated tended to be more dependent on peers than family for social support.
This was probably the case because school attendance was lower for migrants,
particularly for males, and the probability of full time work on the street away
from their families was considerably higher.
PMID- 18047242
TI - Exploration of the prevalence and correlates of substance use among sheltered
adolescents in South Korea.
AB - Substance use among sheltered adolescents is very serious in South Korea--a
nation in the process of rapid industrialization and urbanization. However, few
studies have investigated substance use among the adolescents which is a growing
concern of the changing society of this nation. This study examined the
prevalence of substance use and explored risk factors that predict substance
abuse by sheltered adolescents. Through a questionnaire survey of sheltered
adolescents, data on substance use and the risk factors were collected. The
sample of the adolescents included 44 (33.3%) males and 88 (67.2%) females; their
mean age was 15.8 years (SD = 1.62). The results of a logistic regression
analysis showed that alcohol expectancy, physical abuse, and peer pressure were
all statistically significantly associated with substance abuse. Findings on the
critical issues are expected to lead to the development of urgently needed
prevention programs for the high-risk adolescents in South Korea.
PMID- 18047243
TI - Reducing wastewater amalgam: the next step.
PMID- 18047244
TI - The sovereignty of dentistry.
PMID- 18047245
TI - The illegal practice of denturism.
PMID- 18047246
TI - The cost of continuing education: who pays the bill?
PMID- 18047247
TI - Confessions of a career day presenter.
PMID- 18047249
TI - Following national trends, MDA "Blues" rates to increase.
PMID- 18047248
TI - Are dental services coming to a franchise near you?
PMID- 18047250
TI - "Steel Water" rises in Grand Rapids.
PMID- 18047251
TI - Getting the most from your hygiene department.
PMID- 18047252
TI - The basis for decisions to end life. The Schiavo dilemma: an essay by the special
guardian ad Litem.
PMID- 18047253
TI - The older driver: who is responsible? The state, the doctor, or the older driver?
PMID- 18047255
TI - Improving the gastrointestinal tolerability of aspirin in older people.
AB - Interventions to reduce mortality and disability in older people are vital.
Aspirin is cheap and effective and known to prevent cardiovascular and
cerebrovascular disease, many cancers, and Alzheimer dementia. The widespread use
of aspirin in older people is limited by its gastrointestinal side effects.
Understanding age-related changes in gastrointestinal physiology that could put
older people at risk of the side effects of aspirin may direct strategies to
improve tolerance and hence lead to greater numbers of older people being able to
take this effective intervention.
PMID- 18047256
TI - Clinical interventions for late-life anxious depression.
AB - Anxiety symptoms are frequently present in patients with late-life depression.
The designation "anxious depression" has been used to describe major depressive
disorder (MDD) accompanied by clinically significant but subsyndromal anxiety
symptoms. MDD may also present comorbid with diagnosable anxiety disorders,
although this presentation is less common in late life. Diagnosis of anxious
depression in the elderly is complicated by several factors (eg, their tendency
to experience and report psychiatric symptoms as somatic illness) and is
associated with a more severe clinical presentation, increased risk for suicidal
ideation, increased disability, and poorer prognosis. Standard pharmacotherapy
for depression may be sufficient but for many patients must be modified or
augmented. Psychosocial interventions may also be an important component in the
treatment of these patients, although no specific psychosocial treatments have
been developed for late-life anxious depression.
PMID- 18047257
TI - Skin treatments and dermatological procedures to promote youthful skin.
AB - The skin, the largest organ of the body, is the organ in which changes associated
with aging are most visible. With increasing frequency, patients are requesting
information and treatments that improve the appearance of their skin.
Corresponding to this trend, there is an increasing number of products and
methods available that claim to aid this pursuit. First, a change of the
patient's lifestyle (eg, sun behavior, nicotine abuse, and nutrition) must take
place. Only then may other methods be used. This article reflects on the
following topics: topical retinoids, peels, botulinum neurotoxin, soft tissue
fillers, lasers, topical and systemic endocrinological therapies, and
phytohormones. A thorough knowledge of the properties (benefits, limitations, and
complications) of the expanding array of possibilities for rejuvenation of the
skin is essential for any physician treating patients with cosmetic complaints.
PMID- 18047258
TI - Cardiovascular assessment of falls in older people.
AB - Falls in older people can be caused by underlying cardiovascular disorders,
either because of balance instability in persons with background gait and balance
disorders, or because of amnesia for loss of consciousness during unwitnessed
syncope. Pertinent investigations include a detailed history, 12-lead
electrocardiography, lying and standing blood pressure, carotid sinus massage
(CSM), head-up tilt, cardiac electrophysiological tests, and ambulatory blood
pressure and heart rate monitoring, which includes external and internal cardiac
monitoring. The presence of structural heart disease predicts an underlying
cardiac cause. Conversely, the absence of either indicates that neurally mediated
etiology is likely. CSM and tilt-table testing should be considered in patients
with unexplained and recurrent falls. Holter monitoring over 24 hours has a low
diagnostic yield. Early use of an implantable loop recorder may be more cost
effective. A dedicated investigation unit increases the likelihood of achieving
positive diagnoses and significantly reduces hospital stay and health
expenditure.
PMID- 18047254
TI - Dietary approaches that delay age-related diseases.
AB - Reducing food intake in lower animals such as the rat decreases body weight,
retards many aging processes, delays the onset of most diseases of old age, and
prolongs life. A number of clinical trials of food restriction in healthy adult
human subjects running over 2-15 years show significant reductions in body
weight, blood cholesterol, blood glucose, and blood pressure, which are risk
factors for the development of cardiovascular disease and diabetes. Lifestyle
interventions that lower energy balance by reducing body weight such as physical
exercise can also delay the development of diabetes and cardiovascular disease.
In general, clinical trials are suggesting that diets high in calories or fat
along with overweight are associated with increased risk for cardiovascular
disease, type 2 diabetes, some cancers, and dementia. There is a growing
literature indicating that specific dietary constituents are able to influence
the development of age-related diseases, including certain fats (trans fatty
acids, saturated, and polyunsaturated fats) and cholesterol for cardiovascular
disease, glycemic index and fiber for diabetes, fruits and vegetables for
cardiovascular disease, and calcium and vitamin D for osteoporosis and bone
fracture. In addition, there are dietary compounds from different functional
foods, herbs, and neutraceuticals such as ginseng, nuts, grains, and polyphenols
that may affect the development of age-related diseases. Long-term prospective
clinical trials will be needed to confirm these diet-disease relationships. On
the basis of current research, the best diet to delay age-related disease onset
is one low in calories and saturated fat and high in wholegrain cereals, legumes,
fruits and vegetables, and which maintains a lean body weight. Such a diet should
become a key component of healthy aging, delaying age-related diseases and
perhaps intervening in the aging process itself. Furthermore, there are studies
suggesting that nutrition in childhood and even in the fetus may influence the
later development of aging diseases and lifespan.
PMID- 18047260
TI - Vitamins in aging, health, and longevity.
AB - Evidence of epidemiological associations of vitamins and disease states have been
found for nine vitamins. In observational studies, people with a high intake of
antioxidant vitamins by regular diet or as food supplements generally have a
lower risk of major chronic disease, such as myocardial infarction or stroke,
than people who are low consumers of antioxidant vitamins. Prospectively, folate
appears to reduce the incidence of neural tube defects. Vitamin D is associated
with a decreased occurrence of fractures when taken with calcium. Zinc,
betacarotene, and vitamin E appear to slow the progression of macular
degeneration, but do not reduce the incidence. Vitamin E and lycopene may
decrease the risk of prostate cancer. In other randomized controlled trials, the
apparent beneficial results of a high intake of antioxidant vitamins seen in
observational studies have not been confirmed. There is increasing concern from
these trials that pharmacological supplementation of vitamins may be associated
with a higher mortality risk.
PMID- 18047261
TI - The ensemble of hetero-proteins in inorganic nanochannels.
AB - The assembly and proper alignment of two heterofluorescent proteins (sGFP and
DsRed) in the mesoporous channels of ethanol-treated FSM6.2 (a folded-sheet
mesoporous material with a pore diameter of 6.2 nm) was confirmed using a
fluorescence resonance energy transfer (FRET) technique. The sGFP-DsRed-FSM6.2
conjugate showed a large decrease in the emission of donor (sGFP) fluorescence,
indicating that the conjugate functions as an energy transfer system through the
combination of the two heteroproteins, due to the successful encapsulation of the
sGFP-DsRed pairs in the mesopores. Fluorescence spectral analysis demonstrated
that the proteins were highly dispersed and homogeneously encapsulated in the
mesopores of FSM6.2, even at high concentration, although they spontaneously
aggregated and showed a red shift in solution at the concentration corresponding
to that in the conjugate. Furthermore, an increase in the amount of sGFP and
DsRed adsorbed to the pores of FSM6.2 led to a decrease in the distance between
these proteins, resulting in enhancement of FRET efficiency.
PMID- 18047259
TI - Nutrition and aging: assessment and treatment of compromised nutritional status
in frail elderly patients.
AB - Nutrition is an important determinant of health in persons over the age of 65.
Malnutrition in the elderly is often underdiagnosed. Careful nutritional
assessment is necessary for both the successful diagnosis and development of
comprehensive treatment plans for malnutrition in this population. The purpose of
this article is to provide clinicians with an educational overview of this
essential but often underecognized aspect of geriatric assessment. This article
will review some common issues in nutrition for the elderly in both hospital and
community settings. The complexity and impact of multiple comorbidities on the
successful nutritional assessment of elderly patients is highlighted by using
case scenarios to discuss nutritional issues common to elderly patients and
nutritional assessment tools. Three case studies provide some context for an
overview of these issues, which include the physiology of aging, weight loss,
protein undernutrition, impaired cognition, malnutrition during hospitalization,
screening procedures, and general dietary recommendations for patients 65 years
of age and older.
PMID- 18047262
TI - Scouting human A3 adenosine receptor antagonist binding mode using a molecular
simplification approach: from triazoloquinoxaline to a pyrimidine skeleton as a
key study.
AB - The concept of molecular simplification as a drug design strategy to shorten
synthetic routes, while keeping or enhancing the biological activity of the lead
drug, has been applied to design new classes of human A3 adenosine receptor (AR)
antagonists. Over the past decade, we have focused a part of our research on the
study of AR antagonists belonging to strictly correlated classes of tricyclic
compounds. One of these classes is represented by the 2-aryl-1,2,4-triazolo[4,3
a]quinoxalin-1-one derivatives, either 4-amino or 4-oxo-substituted, which were
intensively investigated by evaluating the effect of different substituents on
the 2-phenyl ring and on the 4-amino group. Using an in silico molecular
simplification approach, a new series of easily synthesizable 2-amino/2
oxoquinazoline-4-carboxamido derivatives have been discovered, presenting high
affinity and selectivity against human A3 AR.
PMID- 18047263
TI - BINOL-amino acid conjugates as triggerable carriers of DNA-targeted potent
photocytotoxic agents.
AB - Mild photoactivation of new BINOL-amino acid and -amino ester conjugates
(BINOLAMs) yielded alkylating and DNA cross-linking agents with high
photoefficiency and superior cytotoxicity. Detection of the transient
electrophile, by laser flash photolysis (LFP), suggests that BINOL-quinone
methides (QMs) are key intermediates in the process. QMs trapping by water,
monitored in a time-dependent product distribution analysis, demonstrated that
the phototriggered reactivity of BINOLAMs as bis-alkylating agents is the result
of a two-step process involving sequential photogeneration of monoalkylating QMs.
Light activation of the BINOL-L-amino esters produced cytotoxic QMs very
effective against human tumor LoVo cells with EC50 in the 130-230 nM range.
Trimethylpsoralen (PS) is about 4 times less potent than our newly tested
compounds. BINOL-L-proline methyl ester showed notable photoselectivity because
it displayed cytotoxic effects upon irradiation only and was able to efficiently
reach the target DNA inside the cells, where it forms both alkylated and cross
linked species.
PMID- 18047264
TI - Multi-target-directed drug design strategy: from a dual binding site
acetylcholinesterase inhibitor to a trifunctional compound against Alzheimer's
disease.
AB - A design strategy to convert a dual-binding site AChE inhibitor into triple
functional compounds with promising in vitro profile against multifactorial
syndromes, such as Alzheimer's disease, is proposed. The lead compound bis(7)
tacrine (2) was properly modified to confer to the new molecules the ability of
chelating metals, involved in the neurodegenerative process. The multifunctional
compounds show activity against human AChE, are able to inhibit the AChE-induced
amyloid-beta aggregation, and chelate metals, such as iron and copper.
PMID- 18047266
TI - A p-[18F]fluoroethoxyphenyl bicyclic nucleoside analogue as a potential positron
emission tomography imaging agent for varicella-zoster virus thymidine kinase
gene expression.
AB - We recently reported a new positron emission tomography (PET) reporter gene,
namely, varicella-zoster virus thymidine kinase (VZV-tk) in combination, with
carbon-11 or fluorine-18 labeled m-alkoxyphenyl bicyclic nucleoside analogues
(BCNAs) as PET reporter probes. We now report the synthesis and evaluation of p
alkoxyphenyl-BCNA tracers ([11C]-4 and [18F]-5), which are found to be superior
to the m-alkoxyphenyl-BCNA tracers. In particular, the fluorine-18 labeled tracer
([18F]-5, IC50 of 5 is 4.2 microM) shows a higher accumulation in VZV-tk
expressing cells than the previously reported m-methoxyphenyl BCNA. [11C]-4 and
[18F]-5 were synthesized by heating the phenol precursor 3 with 11CH 3I and 18FCH
2CH 2Br, respectively, as alkylating agents. In vitro evaluation of [11C]-4 and
[18F]-5 in 293T cells showed about 14- and 54-fold higher uptake, respectively,
into VZV-tk gene-transduced cells compared to control cells. LC-MS analysis
confirmed the formation of monophosphate derivative of 5 upon catalysis by VZV
TK. In vivo studies of this new reporter gene/probe system are in progress.
PMID- 18047267
TI - Design of recombinant antibody microarrays for cell surface membrane proteomics.
AB - Generating proteomic maps of membrane proteins, common targets for therapeutic
interventions and disease diagnostics, has turned out to be a major challenge.
Antibody-based microarrays are among the novel rapidly evolving proteomic
technologies that may enable global proteome analysis to be performed. Here, we
have designed the first generation of a scaleable human recombinant scFv antibody
microarray technology platform for cell surface membrane proteomics as well as
glycomics targeting intact cells. The results showed that rapid and multiplexed
profiling of the cell surface proteome (and glycome) could be performed in a
highly specific and sensitive manner and that differential expression patterns
due to external stimuli could be monitored.
PMID- 18047268
TI - Rapid and reliable peptide de novo sequencing facilitated by microfluidic chip
based Edman degradation.
AB - This paper expands the application of the newly developed highly sensitive
microfluidic chip-based Edman degradation system. Comparison between the MS/MS
spectra of a native peptide and its N-terminus truncated counterpart after
carrying out one cycle of Edman degradation in a microfluidic chip can not only
provide N-terminal residue information, but also facilitate the identification of
different series of fragment ions. Manual peptide sequencing is more feasible and
rapid using this method as demonstrated with three peptide examples including one
neuropeptide. Furthermore, two cycles of Edman degradation allow the
determination of the exact value of b 2 ion of the intact peptide, which can
serve as an internal calibrant to increase the mass accuracy of the MS/MS
spectrum.
PMID- 18047269
TI - Specific on-plate enrichment of phosphorylated peptides for direct MALDI-TOF MS
analysis.
AB - An on-plate specific enrichment method is presented for the direct analysis of
peptides phosphorylation. An array of sintered TiO 2 nanoparticle spots was
prepared on a stainless steel plate to provide porous substrate with a very large
specific surface and durable functions. These spots were used to selectively
capture phosphorylated peptides from peptide mixtures, and the immobilized
phosphopeptides could then be analyzed directly by MALDI MS after washing away
the nonphosphorylated peptides. beta-Casein and protein mixtures were employed as
model samples to investigate the selection efficiency. In this strategy, the
steps of phosphopeptide capture, purification, and subsequent mass spectrometry
analysis are all successfully accomplished on a single target plate, which
greatly reduces sample loss and simplifies analytical procedures. The low
detection limit, small sample size, and rapid selective entrapment show that this
on-plate strategy is promising for online enrichment of phosphopeptides, which is
essential for the analysis of minute amount of samples in high-throughput
proteome research.
PMID- 18047270
TI - Quantitation by isobaric labeling: applications to glycomics.
AB - The study of glycosylation patterns (glycomics) in biological samples is an
emerging field that can provide key insights into cell development and pathology.
A current challenge in the field of glycomics is to determine how to quantify
changes in glycan expression between different cells, tissues, or biological
fluids. Here we describe a novel strategy, quantitation by isobaric labeling
(QUIBL), to facilitate comparative glycomics. Permethylation of a glycan with
(13)CH 3I or (12)CH 2DI generates a pair of isobaric derivatives, which have the
same nominal mass. However, each methylation site introduces a mass difference of
0.002922 Da. As glycans have multiple methylation sites, the total mass
difference for the isobaric pair allows separation and quantitation at a
resolution of approximately 30000 m/Delta m. N-Linked oligosaccharides from a
standard glycoprotein and human serum were used to demonstrate that QUIBL
facilitates relative quantitation over a linear dynamic range of 2 orders of
magnitude and permits the relative quantitation of isomeric glycans. We applied
QUIBL to quantitate glycomic changes associated with the differentiation of
murine embryonic stem cells to embryoid bodies.
PMID- 18047271
TI - Analyzing large-scale proteomics projects with latent semantic indexing.
AB - Since the advent of public data repositories for proteomics data, readily
accessible results from high-throughput experiments have been accumulating
steadily. Several large-scale projects in particular have contributed
substantially to the amount of identifications available to the community.
Despite the considerable body of information amassed, very few successful
analyses have been performed and published on this data, leveling off the
ultimate value of these projects far below their potential. A prominent reason
published proteomics data is seldom reanalyzed lies in the heterogeneous nature
of the original sample collection and the subsequent data recording and
processing. To illustrate that at least part of this heterogeneity can be
compensated for, we here apply a latent semantic analysis to the data contributed
by the Human Proteome Organization's Plasma Proteome Project (HUPO PPP).
Interestingly, despite the broad spectrum of instruments and methodologies
applied in the HUPO PPP, our analysis reveals several obvious patterns that can
be used to formulate concrete recommendations for optimizing proteomics project
planning as well as the choice of technologies used in future experiments. It is
clear from these results that the analysis of large bodies of publicly available
proteomics data by noise-tolerant algorithms such as the latent semantic analysis
holds great promise and is currently underexploited.
PMID- 18047272
TI - Identification of mouse embryonic stem cell-associated proteins.
AB - Over the past few years, there has been a growing interest in discovering the
molecular mechanisms controlling embryonic stem cells' (ESCs) proliferation and
differentiation. Proteome analysis has proven to be an effective approach to
comprehensively unravel the regulatory network of differentiation. We applied a
two-dimensional electrophoresis based proteomic approach followed by mass
spectrometry to analyze the proteome of two mouse ESC lines, Royan B1 and D3, at
0, 6, and 16 days after differentiation initiation. Out of 97 ESC-associated
proteins commonly expressed in two ESC lines, 72 proteins were identified using
MALDI TOF-TOF mass spectrometry analysis. The expression pattern of four down
regulated proteins including Hspd1, Hspa8, beta-Actin, and Tpt1 were further
confirmed by Western blot and immunofluorescence analyses in Royan B1 and D3 as
well as two other mouse ESC lines, Royan C1 and Royan C4. Differential mRNA
expression analysis of 20 genes using quantitative real-time reverse
transcription PCR revealed a low correlation between mRNA and protein levels
during differentiation. We also observed that the mRNA level of Tpt1 increased
significantly in differentiating cells, whereas its protein level decreased.
Several novel ESC-associated proteins have been presented in this study which
warrants further investigation with respect to the etiology of stemness.
PMID- 18047273
TI - Proteomic analysis of human follicular fluid using an alternative bottom-up
approach.
AB - Human follicular fluid (hFF) is the in vivo environment of oocytes during
follicular maturation in the ovaries. It contains a huge variety of compounds
such as, e.g., proteins that might play an important role in follicular
development and oocyte growth. Previous proteomic studies on follicular fluid
have isolated and already identified a certain number of proteins. Nevertheless,
only a small part of proteins present in follicular fluid have been covered so
far and a large number have still not been identified. Therefore, the need for
new, more resolving, and sensitive approaches in proteome research is evident. We
utilized a proteomic setup based on in solution isoelectric focusing (IEF) and
reversed-phase nanoliquid chromatography coupled to matrix-assisted laser
desorption/ionization time-of-flight tandem mass spectrometry (nano-LC MALDI
TOF/TOF MS) for in depth protein analysis of human follicular fluid samples of
patients undergoing controlled ovarian hyper stimulation (COH) for in vitro
fertilization therapy (IVF). This approach led to the significant identification
of 69 proteins, where 32 have not been reported before to be found in human
follicular fluid with proteomic methods. Among these findings, at least two
relevant compounds essentially involved in hormone secretion regulation during
the folliculogenetic process were identified: sex hormone binding globulin (SHBG)
and inhibin A (INHA). To confirm these results, both proteins were further
validated by immunoassays.
PMID- 18047274
TI - Flexible microarray construction and fast DNA hybridization conducted on a
microfluidic chip for greenhouse plant fungal pathogen detection.
AB - This study employed a microfluidic method in which probe creation does not
require pin-spotting and fast hybridization is conducted on the same microarray
chip for the detection of three greenhouse pathogens ( Botrytis cinerea,
Didymella bryoniae, and Botrytis squamosa). In this method, 16 oligonucleotide
probe line arrays were created on a glass substrate by a microfluidic printing
method. Then, low amounts of the DNA samples (1 fmol of oligonucelotides or 1.4
ng of PCR products) were introduced into the microchannels that were orthogonal
to these probe lines. The hybridizations of 16 samples (21-mer complementary
oligonuleotides and approximately 260 bp PCR products) were fulfilled at the
channel-probe line intersections and in a short time (minutes). The optimization
of probe immobilization and sample hybridization are described in detail. The
method successfully detected and discriminated between two 260 bp PCR products
with a one-base-pair difference from closely related greenhouse plant fungal
pathogens (B. cinerea and B. squamosa).
PMID- 18047275
TI - Molar absorptivities and reducing capacity of pyranoanthocyanins and other
anthocyanins.
AB - To improve accuracy in the determination of anthocyanin purity and succeeding
antioxidant capacity, 1H and 13C nuclear magnetic resonance spectroscopy have
been combined with high-performance liquid chromatography (HPLC) equipped with a
diode array detector and UV-vis spectroscopy in the analysis of anthocyanidin 3
glycosides and 5-carboxypyranoanthocyanidin 3-glycosides. The molar absoptivity
(epsilon) values were found to be relatively similar, in contrast to previously
reported literature values, and the average epsilon values for both anthocyanidin
3-monoglycosides and 5-carboxypyranoanthocyanidin 3-glycosides were proposed to
be 22,000 and 23,000 in acidified aqueous and methanolic solutions, respectively.
To assess the influence of structure on the potential antioxidant capacity of
anthocyanins, the 3-glucosides of pelargonidin (1), cyanidin (2), peonidin (3),
delphinidin (4), petunidin (5), malvidin (6), 5-carboxypyranopelargonidin (8), 5
carboxypyranocyanidin (9), 5-carboxypyranodelphinidin (11), 5
carboxypyranopetunidin (12), and 5-carboxypyranomalvidin (13) and the 3
galactosides of cyanidin (7) and 5-carboxypyranocyanidin (14) were examined by a
ferric ion reducing antioxidant power (FRAP) assay. The reducing capacities of
the individual anthocyanins were in the range of 0.9-5.2 micromol of Trolox
equivalents/micromol. The two 5-carboxypyranoanthocyanins 11 and 9 and the four
common anthocyanins 2, 4, 7, and 14, all possessing pyrogallol or catechol type
of B rings, showed the highest antioxidant capacity measured by FRAP. However,
the inclusion of the 5-hydroxyl in the D ring and just one oxygen substituent on
the B ring in 8 diminished the reducing capacity considerably. Correspondingly,
electrochemical behavior of 5-carboxypyranoanthocyanidin 3-glucosides and
anthocyanidin 3-glucosides was derived using HPLC coupled to a coulometric array
detector set from 100 to 800 mV in increments of 100 mV. The relative order of
the reducing capacity of the various 5-carboxypyranoanthocyanidin 3-glucosides
and anthocyanidin 3-glucosides were nearly alike, whether determined by
coulometric array detection or FRAP.
PMID- 18047276
TI - Aging effects and grape variety dependence on the content of sulfur volatiles in
wine.
AB - Thirteen sulfur compounds (boiling points from 35 to 231 degrees C), usually
considered as possible off-flavoring volatiles, were quantified by a concurrent
headspace-solid phase microextraction method coupled with gas chromatography-mass
spectrometry (HS-SPME/GC-MS) on 80 not off-flavoring wines of four varieties
(Merlot, Marzemino, and Teroldego as red wines and Chardonnay as a white one) and
of five vintages produced in the North Italian Trentino region. The results of
the research, the first Italian data-bank per variety on such volatiles, allow us
to make a comparison with the data of other winegrowing areas, to investigate the
aging effect on the considered volatiles, and, finally, to try a variety
discrimination using statistical procedures. Dimethyl sulfide, 3-(methylthio)-1
propanol, diethyl sulfide, and diethyl disulfide were found to increase with time
whereas 2-mercaptoethanol and ethylmercaptan showed a decreasing trend.
Furthermore, the concentration of several compounds was found to be dependent on
the variety. For instance, sulfide, disulfides, benzothiazole, and thioalcohols
are at higher levels in Merlot wines, whereas thiols and thioacetates are more
abundant in Marzemino and Teroldego wines. Chardonnay products, well apart from
the other wines, are the poorest in 3-(methylthio)-1-propanol and rather rich in
dimethyl disulfide and in diethyl disulfide, mostly in the aged wines. Applying
the principal component analysis to the data, it was possible to demonstrate that
Chardonnay and Merlot wines are well-discriminated from the Italian native
varietal wines, which on their turn are only partially distinguishable among
them. A contribution of these compounds to the variety characteristics of wine is
reasonable.
PMID- 18047277
TI - Water absorption of freeze-dried meat at different water activities: a
multianalytical approach using sorption isotherm, differential scanning
calorimetry, and nuclear magnetic resonance.
AB - Hydration of freeze-dried chicken breast meat was followed in the water activity
range of aw=0.12-0.99 by a multianalytical approach comprising of sorption
isotherm, differential scanning calorimetry (DSC), and nuclear magnetic resonance
(NMR). The amount of frozen water and the shape of the T2-relaxogram were
evaluated at each water content by DSC and NMR, respectively. Data revealed an
agreement between sorption isotherm and DSC experiments about the onset of bulk
water (aw=0.83-0.86), and NMR detected mobile water starting at aw=0.75. The
origin of the short-transverse relaxation time part of the meat NMR signal was
also reinvestigated through deuteration experiments and proposed to arise from
protons belonging to plasticized matrix structures. It is proved both by D2O
experiments and by gravimetry that the extra protons not contributing to the
water content in the NMR experiments are about 6.4% of the total proton NMR CPMG
signal of meat.
PMID- 18047278
TI - Vascular effects, angiotensin I-converting enzyme (ACE)-inhibitory activity, and
antihypertensive properties of peptides derived from egg white.
AB - In this study, we have identified novel antihypertensive peptides derived from
egg-white proteins. The sequences YRGGLEPINF and ESIINF produced an acute blood
pressure-lowering effect in spontaneously hypertensive rats upon a single oral
administration. Our results suggest that the antihypertensive action could be
attributed to a vascular-relaxing mechanism that would occur in vivo
independently of angiotensin I-converting enzyme (ACE) inhibition, because
neither these peptides nor their main digestion fragments, except for the
dipeptide YR, acted as ACE inhibitors in vitro. The vasodilator and
antihypertensive activity of the sequences ESI and NF would explain the blood
pressure-lowering effect of ESIINF. With regard to YRGGLEPINF, in addition to NF,
YR appeared as the main fragment responsible for its activity. The dipeptide YR,
named kyotorphin and previously identified as an endogenous analgesic
neuropeptide in the central nervous system, showed strong vasodilator and
antihypertensive properties. The structure-activity features of the vasodilator
peptides are discussed.
PMID- 18047279
TI - Impact of casein gel microstructure on self-diffusion coefficient of molecular
probes measured by 1H PFG-NMR.
AB - The translational dynamics of poly(ethylene glycol) (PEG) polymers with molecular
weights (Mw) varying from 6x10(2) to 5x10(5) were investigated by pulsed field
gradient NMR in casein suspensions and in gels induced by acidification, enzyme
action, and a combination of both. For molecules with Mwor=8000, there was
strong dependence of diffusion on PEG size and on the casein network structure as
revealed by scanning electron microscopy images. The diffusion coefficients of
the two largest PEGs were increased after coagulation by amounts that depended on
the internal structure of the gel. In addition, the 527,000 g/mol PEG was found
to deviate from Gaussian diffusion behavior to greater or lesser extents
according to the casein concentration and the sample microstructure. The results
are discussed in terms of network rearrangements.
PMID- 18047280
TI - A simple and rapid approach for removing citrinin while retaining monacolin K in
red mold rice.
AB - Monascus species-fermented red mold rice (RMR) has been regarded as a popular
hypolipidemic functional food because it contains monacolin K, an inhibitor of de
novo cholesterol synthesis. However, the safety of RMR is always an issue because
citrinin is present in RMR and is reported to be hepatotoxic and nephrotoxic. The
present study was therefore to develop a postprocess to remove citrinin yet
retain monacoln K in the RMR preparation. We found that phosphate-ethanol
extraction was effective for the removal citrinin, yet it could retain monacolin
K, because the former was more hydrophilic than the latter in phosphate-ethanol
solution. Ethanol, phosphate, and extraction time were optimized as factors of
response surface methodology (RSM). It was found that the optimal RSM condition
was 45% ethanol, 1.5% phosphate, and extraction for 70 min. Under this optimal
condition, 91.6% citrinin was removed and 79.5% monacolin K was retained in the
final RMR.
PMID- 18047281
TI - Retention of provitamin A carotenoids in high beta-carotene maize (Zea mays)
during traditional African household processing.
AB - High beta-carotene maize, biofortified with beta-carotene through plant breeding,
is being developed as a cost-effective, sustainable agronomic approach to
alleviating the problem of vitamin A deficiency in Africa. We used high beta
carotene maize (10.49+/-0.16 microg beta-carotene/g) to prepare traditional maize
porridges and compared the carotenoid contents in the following: (1) whole
kernels; (2) wet milled flour; (3) wet milled flour, fermented; (4) wet milled
flour, cooked; (5) wet milled flour, fermented and cooked. The cumulative losses
of beta-carotene in the final, cooked products were 24.5% (95% CI 22.8-26.2%) and
24.8% (95% CI 23.1-26.5%), for the fermented and unfermented porridges,
respectively. Thus, fermentation, a traditional technology with documented
nutritional and other health benefits, does not adversely affect the retention of
beta-carotene in porridges prepared with high beta-carotene maize. The relatively
good retention of beta-carotene during traditional maize processing provides
additional experimental support for the feasibility of maize biofortification as
a means to alleviate vitamin A deficiency.
PMID- 18047282
TI - Infrared imaging of sunflower and maize root anatomy.
AB - Synchrotron radiation infrared microspectroscopy (SR-IMS) permits the direct
analysis of plant cell-wall architecture at the cellular level in situ, combining
spatially localized information and chemical information from IR absorbances to
produce a chemical map that can be linked to a particular morphology or
functional group. This study demonstrated the use of SR-IMS to probe biopolymers,
such as cellulose, lignin, and proteins, in the root tissue of hydroponically
grown sunflower and maize plants. Principal components analysis (PCA) was
employed to reveal the major spectral variance between maize and sunflower plant
tissues. The use of PCA showed distinct separation of maize and sunflower samples
using the IR spectra of the epidermis and xylem. The infrared band at 1635 cm(
1), representing hydrocinnamic acid in (H type) lignin, provided a conclusive
means of distinguishing between maize and sunflower plant tissues.
PMID- 18047283
TI - Effect of heat damage in an autoclave on the reactive lysine contents of soy
products and corn distillers dried grains with solubles. Use of the results to
check on lysine damage in common qualities of these ingredients.
AB - The suitability of the homoarginine reaction for determining the reactive lysine
in soy products and corn distillers dried grain with solubles (DDGS) was tested.
For this purpose, some batches were subjected to deliberate heat damage for up to
30 min in an autoclave with 135 degrees C hot steam, and the samples were
analyzed for total lysine and reactive lysine. In addition, 84 samples of common
soy and 80 samples of corn DDGS were tested for their content of total and
reactive lysine, and the contents were compared with those of the autoclave
tests. For soy products conclusive results were obtained. In the case of heat
treatment, both total lysine and reactive lysine decrease, but the latter is
clearly a more sensitive indicator of lysine damage. Most normal products are
quite similar, with toasting-induced damage to reactive lysine of ca. 15%
compared to untoasted beans. The cause of the constantly occurring residual
lysine after guanidination and the poorer reaction balance in the case of damage
were explained. For common DDGS samples, however, less favorable results were
obtained. Reactive and total lysine decreased almost in parallel due to heat
damage, showing a great gap between them. Results showed indeed that variation of
total and reactive lysine in DDGS is high, proving that its production conditions
are not yet optimal for a feed ingredient.
PMID- 18047284
TI - Polyphenols are intensively metabolized in the human gastrointestinal tract after
apple juice consumption.
AB - Polyphenols are secondary plant compounds showing anticarcinogenic effects both
in vitro and in animal experiments and may thus reduce the risk of colorectal
cancer in man. The identification of polyphenol metabolites formed via their
passage through the small intestine of healthy ileostomy subjects after apple
juice consumption is presented. Identification and quantification of polyphenols
and their metabolites were performed using HPLC-DAD as well as HPLC-ESI-MS/MS.
Total procyanidin content (TPA) was measured, and additionally the mean degree of
polymerization (DPm) of the procyanidins was determined in the apple juice and
ileostomy effluents. As products of polyphenol metabolism, D-(-)-quinic acid and
methyl esters of caffeic acid and p-coumaric acid are liberated from the
corresponding hydroxycinnamic acid esters. 1-Caffeoylquinic acid and 3
caffeoylquinic acid were determined as products of isomerization. Phloretin 2'-O
glucoside (phloridzin) and phloretin 2'-O-xyloglucoside were metabolized into the
corresponding aglycons phloretin and phloretin 2'-O-glucuronide and all were
found in the ileostomy effluent. Ninety percent of the consumed procyanidins were
recovered in the ileostomy effluent and therefore would reach the colon under
physiologic circumstances. The DP m was reduced (DP m of apple juice=5.7) and
varied depending on the time point of excretion. The gastrointestinal passage
seems to play an important role in the colonic availability of apple polyphenols.
PMID- 18047285
TI - Self-assembly and adhesion of DOPA-modified methacrylic triblock hydrogels.
AB - Marine mussels anchor to a variety of surfaces by secreting liquid proteins that
harden and form water-resistant bonds to a variety of surfaces. Studies have
revealed that these mussel adhesive proteins contain an unusual amino acid, 3,4
dihydroxy-L-phenylalanine (DOPA), which is believed to be responsible for the
cohesive and adhesive properties of these proteins. To separate the cohesive and
adhesive roles of DOPA, we incorporated DOPA into the midblock of poly(methyl
methacrylate)-poly(methacrylic acid)-poly(methyl methacrylate) (PMMA-PMAA-PMMA)
triblock copolymers. Self-assembled hydrogels were obtained by exposing triblock
copolymer solutions in dimethyl sulfoxide to water vapor. As water diffused into
the solution, the hydrophobic end blocks formed aggregates that were bridged by
the water-soluble midblocks. Strong hydrogels were formed with polymer weight
fractions between 0.01 and 0.4 and with shear moduli between 1 and 5 kPa. The
adhesive properties of the hydrogels on TiO2 surfaces were investigated by
indentation with a flat-ended cylindrical punch. At pH values of 6 and 7.4, the
fully protonated DOPA groups were highly adhesive to the TiO2 surfaces, giving
values of approximately equal to 2 J/m2 for the interfacial fracture energy,
which we believe corresponds to the cohesive fracture energy of the hydrogel. At
these pH values, the DOPA groups are hydrophobic and have a tendency to
aggregate, so contact times of 10 or 20 min are required for these high values of
the interfacial strength to be observed. At a pH of 10, the DOPA groups were
hydrophilic and highly swellable, but less adhesive gels were formed. Oxidation
of DOPA groups, a process that is greatly accelerated at a pH of 10, decreased
the adhesive performance of the hydrogels even further.
PMID- 18047286
TI - Synthesis and characterization of radiopaque iodine-containing degradable PVA
hydrogels.
AB - Poly (vinyl alcohol) (PVA) hydrogels are highly attractive for biomedical
applications, especially for controlled release of drugs and proteins. Recently,
degradable PVA hydrogels have been described, having the advantage that the
material disappears over time from the implantation site. Herein, we report the
synthesis of radiopaque degradable PVA, which gives a further advantage that the
position of the hydrogel can precisely be determined by X-ray fluoroscopy.
Radiopacity has been introduced by replacing 0.5% of the pendent alcohol groups
on the PVA with 4-iodobenzoylchloride. This level of substitution rendered the
polymer adequately radiopaque. The subsequent modification of 0.8% of the pendent
hydroxyl groups with an ester acrylate functional group allowed for cross-linking
of the macromers. The radiopaque hydrogels degraded over a time span of 140 days.
Rheology data suggested that the macromer solutions were appropriate for
injection.
PMID- 18047287
TI - Scattering and turbidity study of the dissociation of casein by calcium
chelation.
AB - The dissociation of casein was studied after addition of polyphosphate that leads
to calcium chelation, using light and X-ray scattering and turbidimetry. It is
shown that the dissociation is a cooperative process; that is, a casein complex
is either completely dissociated or remains largely intact. A systematic study
was done of the dependence of the rate and extent of dissociation on the
polyphosphate concentration and was found to be determined by the ratio between
casein and polyphosphate. The structures of the casein complex and the small
micellar particles formed after dissociation were compared. Additional
experiments with a different chelatant (EDTA) gave similar results.
PMID- 18047288
TI - New amphiphilic lactic acid oligomer-hyaluronan conjugates: synthesis and
physicochemical characterization.
AB - The "grafting onto" strategy was used to conjugate DL-lactic acid oligomers (OLA)
to hyaluronan (HA) for the sake of developing novel degradable HA-based self
assembling polymeric systems. Grafting was achieved by reacting COCl-terminated
OLA with cetyltrimethylammonium hyaluronate (CTA-HA) in dimethyl sulfoxide
(DMSO). The resulting CTA-HAOLA conjugates were purified and turned to sodium
form (Na-HAOLA) by dissolution in a phosphate buffer-DMSO mixture and successive
dialyses against DMSO, ethanol, and water. In contrast, when the same protocol
was applied to CTA-HAOLA, phase separation with gel formation was observed. The
solution phase was composed of Na-HAOLA whereas the gel phase was made of mixed
CTA-Na-HAOLA salt with ca. 25% of the carboxyl groups neutralized by CTA.
Gelation was assigned to intramolecular hydrophobic associations between OLA and
cetyl alkyl chains that complemented electrostatic interactions between CTA and
HA COO- groups synergistically. Therefore, the corresponding stabilized CTA ions
required more drastic conditions to be released. Under the selected dialysis
conditions, the CTA-Na-HAOLA gels formed tiny tubes. Na-HAOLA and CTA-Na-HAOLA
were characterized by FTIR, one-dimensional 1H and two-dimensional 1H NMR. The
extent of grafting was ca. 5% per disaccharidic repeating unit, regardless of the
molecular weight, as determined by NMR and capillary zone electrophoresis.
Amphiphilic Na-HAOLA molecules were aggregated and formed spherical species in
water according to size exclusion chromatography combined with multiangle laser
light scattering detection. The critical aggregation concentration ranged between
0.2 and 0.35% (w/v), depending of the molecular weight of the parent hyaluronan.
PMID- 18047289
TI - Micelles based on biodegradable poly(L-glutamic acid)-b-polylactide with
paramagnetic Gd ions chelated to the shell layer as a potential nanoscale MRI
visible delivery system.
AB - There is much interest in the development of a nanoscale drug delivery system
with MRI visibility to optimize the delivery efficiency and therapeutic efficacy
under image guidance. Here we report on the successful fabrication of nanoscale
micelles based on biodegradable poly( L-glutamic acid)- b-polylactide (PG- b-PLA)
block copolymer with paramagnetic Gd3+ ions chelated to their shell. PG- b-PLA
was synthesized by sequential polymerization reactions: anionic polymerization of
L-lactide followed by ring-opening polymerization of benzyl glutamate N
carboxylic anhydride. The metal chelator p
aminobenzyldiethylenetriaminepenta(acetic acid) (DTPA) was readily conjugated to
the side chain carboxylic acids of poly( L-glutamic acid). The resulting
copolymer formed spherical micelles in aqueous solution with an average diameter
of 230 nm at pH 7.4. The size of PG(DTPA)- b-PLA micelles decreased with
increasing pH value. DTPA-Gd chelated to the shell layer of the micelles
exhibited significantly higher spin-lattice relaxivity (r1) than a small
molecular-weight MRI contrast agent, indicating that water molecules could
readily access the Gd ions in the micelles. Because of the presence of multiple
carboxylic acid functional groups in the shell layer, polymeric micelles based on
biodegradable PG(DTPA-Gd)- b-PLA may be a suitable platform for the development
of MRI-visible, targeted nanoscale drug delivery systems.
PMID- 18047290
TI - Influence of enzymatic specificity on the behavior of ephemeral gels.
AB - Ephemeral gels, called Enzgels, successively undergo sol-gel and then gel-sol
transition under the action of two antagonistic enzymes, transglutaminase and
protease. Molecular and macroscopic properties of Enzgels are directly dependent
on the enzymatic activities and their ratios. This work studies the
characteristics of Enzgels according to the specificity of three different
proteases: thermolysin, trypsin, and collagenase. The experiments are conducted
using three types of gelatin networks, one created only by triple helices, one
only by covalent bonds, and the last network by both triple helices and covalent
bonds. Rheology and polarimetry measurements show that the evolution of Enzgels
is directly dependent on the specificity of the protease used. Moreover, gelatin
network conformation has different influences according to this proteolytic
specificity. Collagenase is not very sensitive to gelatin conformation, whereas
trypsin is very limited by the presence of covalent bonds. This study
considerably expands the knowledge of Enzgel properties.
PMID- 18047291
TI - Design and biophysical characterization of novel polycationic epsilon-peptides
for DNA compaction and delivery.
AB - Design and solid-phase synthesis of novel and chemically defined linear and
branched -oligo( l-lysines) (denoted -K n, where n is the number of lysine
residues) and their alpha-substituted homologues (epsilon-(R)K10, epsilon-(Y)K10,
epsilon-(L)K10, epsilon-(YR)K10, and epsilon-(LYR)K10) for DNA compaction and
delivery are reported. The ability to condense viral (T2 and T4) and plasmid DNA
as well as the size of -peptide DNA complexes under different conditions was
investigated with static and dynamic light scattering, isothermal titration
calorimetry, and fluorescence microscopy. Nanoparticle diameters varied from 100
to 150 and 375 to 550 nm for plasmid and T4 DNA peptide complexes, respectively.
Smaller sizes were observed for oligo(L-lysines) compared to alpha-poly( L
lysine). The linear -oligo-lysines are less toxic and epsilon-(LYR)K10 showed
higher transfection efficiency in HeLa cells than corresponding controls. The
results also demonstrate that with a branched design having pendent groups of
short alpha-oligopeptides, improved transfection can be achieved. This study
supports the hypothesis that available alpha-oligolysine derived systems would
potentially have more favorable delivery properties if they are based instead on
epsilon-oligo( L-lysines). The flexible design and unambiguous synthesis that
enables variation of pendent groups holds promise for optimization of such
peptides to achieve improved DNA compaction and delivery.
PMID- 18047292
TI - Halichonadin E, a dimeric sesquiterpenoid from the sponge Halichondria sp.
AB - A new dimeric sesquiterpenoid with eudesmane and aromadendrane skeletons linked
through a urea fragment, halichonadin E ( 1), was isolated from a marine sponge
Halichondria sp., and the gross structure and relative configuration of 1 were
elucidated on the basis of spectroscopic data. Halichonadin E ( 1) is the first
hetero-dimeric sesquiterpenoid with eudesmane and aromadendrane skeletons linked
through a urea fragment.
PMID- 18047293
TI - Lipoperoxidation and cyclooxygenase enzyme inhibitory piperidine alkaloids from
Cassia spectabilis green fruits.
AB - Phytochemical work in the search for bioactive metabolites from the methanolic
extract of Senna spectabilis green fruits led to the isolation of a new
piperidine alkaloid, (+)-3- O-feruloylcassine ( 1), in addition to the known (-)
spectaline ( 2) and (-)-3- O-acetylspectaline ( 3). The isolates were submitted
to in vitro evaluation of lipoperoxidation (LPO) and cyclooxygenase enzymes (COX
1 and -2) inhibitory properties and showed moderate antioxidant activities (40
70%) at 100 ppm when compared to commercial standards BHT and vitamin E and
moderate inhibition of COX-1 (ca . 40%) and marginal inhibition of COX-2 enzymes
(<10%) at 100 ppm when compared to nonsteroidal anti-inflammatory drugs (NSAIDs)
aspirin, rofecoxib, and celecoxib, respectively.
PMID- 18047294
TI - Isoflavone tetraglycosides from Sophora japonica Leaves.
AB - Two new isoflavone tetraglycosides ( 1 and 2) and six known compounds were
isolated from the leaves of Sophora japonica. The new glycosides are genistein 7-
O-beta- d-glucopyranoside-4'- O-(6'''- O-alpha- l-rhamnopyranosyl)-beta
sophoroside ( 1) and genistein 7- O-alpha- l-rhamnopyranoside-4'- O-(6'''- O
alpha- l-rhamnopyranosyl)-beta-sophoroside ( 2). The structures of compounds 1
and 2 were established primarily by NMR experiments and chemical methods, and
they are the first reported naturally occurring isoflavone glycosides with four
attached sugar residues.
PMID- 18047295
TI - Kinetics of hypobromous acid-mediated oxidation of lipid components and
antioxidants.
AB - Hypohalous acids are generated from the oxidation of halide ions by
myeloperoxidase and eosinophil peroxidase in the presence of H2O2. These oxidants
are potent antibacterial agents, but excessive production can result in host
tissue damage, with this implicated in a number of human pathologies. Rate
constants for HOCl with lipid components and antioxidants have been established.
Here, the corresponding reactions of HOBr have been examined to determine whether
this species shows similar reactivity. The second-order rate constants for the
reaction of HOBr with 3-pentenoic acid and sorbate, models of unsaturated lipids,
are 1.1x10(4) and 1.3x10(3) M(-1) s(-1), respectively, while those for reaction
of HOBr with phosphoryl-serine and phosphoryl-ethanolamine are ca. 10(6) M(-1) s(
1). The second-order rate constants (M(-1) s(-1)) for reactions of HOBr with
Trolox (6.4x10(4)), hydroquinone (2.4x10(5)), and ubiquinol-0 (2.5x10(6)) were
determined, as models of the lipid-soluble antioxidants, alpha-tocopherol, and
ubiquinol-10; all of these rate constants are ca. 50-2000-fold greater than for
HOCl. In contrast, the second-order rate constants for the reaction of HOBr with
the water-soluble antioxidants, ascorbate and urate, are ca. 10(6) M(-1) s(-1)
and closer in magnitude to those for HOCl. Kinetic models have been developed to
predict the sites of HOBr attack on low-density lipoproteins. The data obtained
indicate that HOBr reacts to a much greater extent with fatty acid side chains
and lipid-soluble antioxidants than HOCl; this has important implications for
HOBr-mediated damage to cells and lipoproteins.
PMID- 18047297
TI - Evaluation of multitransducer arrays for the determination of organic vapor
mixtures.
AB - A study of vapor recognition and quantification by polymer-coated multitransducer
(MT) arrays is described. The primary data set consists of experimentally derived
sensitivities for 11 organic vapors obtained from 15 microsensors comprising five
cantilever, capacitor, and calorimeter devices coated with five different
sorptive-polymer films. These are used in Monte Carlo simulations coupled with
principal component regression models to assess expected performance. Recognition
rates for individual vapors and for vapor mixtures of up to four components are
estimated for single-transducer (ST) arrays of up to five sensors and MT arrays
of up to 15 sensors. Recognition rates are not significantly improved by
including more than five sensors in an MT array for any specific analysis,
regardless of difficulty. Optimal MT arrays consistently outperform optimal ST
arrays of similar size, and with judiciously selected 5-sensor MT arrays, one
third of all possible ternary vapor mixtures are reliably discriminated from
their individual components and binary component mixtures, whereas none are
reliably determined with any of the ST arrays. Quaternary mixtures could not be
analyzed effectively with any of the arrays. A "universal" MT array consisting of
eight sensors is defined, which provides the best possible performance for all
analytical scenarios. Accurate quantification is predicted for correctly
identified vapors.
PMID- 18047299
TI - Trapping and release of CO2 guest molecules by amorphous ice.
AB - Interactions of 13CO2 guest molecules with vapor-deposited porous H2O ices have
been examined using temperature-programmed desorption (TPD) and Fourier transform
infrared (FTIR) techniques. Specifically, the trapping and release of 13CO2 by
amorphous solid water (ASW) has been studied. The use of 13CO2 eliminates
problems with background CO2. Samples were prepared by (i) depositing 13CO2 on
top of ASW, (ii) depositing 13CO2 underneath ASW, and (iii) codepositing 13CO2
and H2O during ASW formation. Some of the deposited 13CO2 becomes trapped when
the ice film is annealed. The amount of 13CO2 trapped in the film depends on the
deposition method. The release of trapped molecules occurs in two stages. The
majority of the trapped 13CO2 escapes during the ASW-to-cubic ice phase
transition at 165 K, and the rest desorbs together with the cubic ice film at 185
K. We speculate that the presence of 13CO2 at temperatures up to 185 K is due to
13CO2 that is trapped in cavities within the ASW film. These cavities are similar
to ones that trap the 13CO2 that is released during crystallization. The
difference is that 13CO2 that remains at temperatures up to 185 K does not have
access to escape pathways to the surface during crystallization.
PMID- 18047298
TI - Non-nearest-neighbor dependence of the stability for RNA bulge loops based on the
complete set of group I single-nucleotide bulge loops.
AB - Fifty-nine RNA duplexes containing single-nucleotide bulge loops were optically
melted in 1 M NaCl, and the thermodynamic parameters DeltaH degrees, DeltaS
degrees, DeltaG 37 degrees, and TM for each sequence were determined. Sequences
from this study were combined with sequences from previous studies [Longfellow,
C. E., et al. (1990) Biochemistry 29, 278-285; Znosko, B. M., et al. (2002)
Biochemistry 41, 10406-10417], thus examining all possible group I single
nucleotide bulge loop and nearest-neighbor sequence combinations. The free energy
increments at 37 degrees C for the introduction of a group I single-nucleotide
bulge loop range between 1.3 and 5.2 kcal/mol. The combined data were used to
develop a model for predicting the free energy of a RNA duplex containing a
single-nucleotide bulge. For bulge loops with adjacent Watson-Crick base pairs,
neither the identity of the bulge nor the nearest-neighbor base pairs had an
effect on the influence of the bulge loop on duplex stability. The proposed model
for prediction of the stability of a duplex containing a bulged nucleotide was
primarily affected by non-nearest-neighbor interactions. The destabilization of
the duplex by the bulge was related to the stability of the stems adjacent to the
bulge. Specifically, there was a direct correlation between the destabilization
of the duplex and the stability of the less stable duplex stem. The stability of
a duplex containing a bulged nucleotide adjacent to a wobble base pair also was
primarily affected by non-nearest-neighbor interactions. Again, there was a
direct correlation between the destabilization of the duplex and the stability of
the less stable duplex stem. However, when one or both of the bulge nearest
neighbors was a wobble base pair, the free energy increment for insertion of a
bulge loop is dependent upon the position and orientation of the wobble base pair
relative the bulged nucleotide. Bulge sequences of the type ((5'UBX)(3'GY)),
((5'GBG)(3'UU)) and ((5'UBU)(3'GG)) are less destabilizing by 0.6 kcal/mol, and
bulge sequences of the type ((5'GBX)(3'UY)) and ((5'XBU)(3'YG)) are more
destabilizing by 0.4 kcal/mol than bulge loops adjacent to Watson-Crick base
pairs.
PMID- 18047300
TI - Heats of formation of triplet ethylene, ethylidene, and acetylene.
AB - Heats of formation of the lowest triplet state of ethylene and the ground triplet
state of ethylidene have been predicted by high level electronic structure
calculations. Total atomization energies obtained from coupled-cluster CCSD(T)
energies extrapolated to the complete basis set limit using correlation
consistent basis sets (CBS), plus additional corrections predict the following
heats of formation in kcal/mol: DeltaH0r(C2H4,3A1) = 80.1 at 0 K and 78.5 at 298
K, and DeltaH0t(CH3CH,3A' ') = 86.8 at 0 K and 85.1 at 298 K, with an error of
less than +/-1.0 kcal/mol. The vertical and adiabatic singlet-triplet separation
energies of ethylene were calculated as DeltaES-T,vert = 104.1 and DeltaES-T,adia
= 65.8 kcal/mol. These results are in excellent agreement with recent quantum
Monte Carlo (DMC) values of 103.5 +/- 0.3 and 66.4 +/- 0.3 kcal/mol. Both sets of
computational values differ from the experimental estimate of 58 +/- 3 kcal/mol
for the adiabatic splitting. The computed singlet-triplet gap at 0 K for
acetylene is DeltaES-T,adia(C2H2) = 90.5 kcal/mol, which is in notable
disagreement with the experimental value of 82.6 kcal/mol. The heat of formation
of the triplet is DeltaH0tC2H2,3B2) = 145.3 kcal/mol. There is a systematic
underestimation of the singlet-triplet gaps in recent photodecomposition
experiments by approximately 7 to 8 kcal/mol. For vinylidene, we predict
DeltaH0t(H2CC,1A1) = 98.8 kcal/mol at 298 K (exptl. 100.3 +/- 4.0),
DeltaH0t(H2CC,3B2) = 146.2 at 298 K, and an energy gap DeltaES-T-adia(H2CC) =
47.7 kcal/mol.
PMID- 18047301
TI - Near-threshold electron attachment as Regge resonances: cross sections for K, Rb,
and Cs atoms.
AB - We investigate the near-threshold formation of negative ions as Regge resonances
in electron-atom scattering, with specific results obtained for e--K, e--Rb, and
e--Cs. The complex angular momentum method, implemented within the Mulholland
formulation of the total elastic cross sections, is employed. We demonstrate that
for e--K, e--Rb, and e--Cs scattering, the near-threshold electron attachment
cross sections are characterized by the Wigner threshold behavior, Ramsauer
Townsend minima, and Regge resonances, all discernible only through Regge partial
cross section scrutiny. Regge partial, differential, and total elastic cross
sections are presented and contrasted, as well as the differential cross section
critical minima.
PMID- 18047302
TI - High-accuracy extrapolated ab initio thermochemistry of vinyl chloride.
AB - Applying a modified "high accuracy extrapolated ab initio thermochemistry" (HEAT)
scheme, the standard heat of formation of vinyl chloride at 0 K is computed to be
29.79 +/- 1 kJ/mol and at 298.15 K to be 20.9 +/- 2 kJ/mol, thus resolving
earlier discrepancies among the available experimental values, which span a range
from 21 up to 38 kJ/mol. The enthalpies of the reactions C2H4 + Cl2 --> CH2CHCl +
HCl and C2H2 + HCl --> CH2CHCl at 298.15 K are determined to be -123.0 and -113.9
+/- 2 kJ/mol, respectively.
PMID- 18047303
TI - Raman investigation of the CO2 complex formation in CO2-acetone mixtures.
AB - Polarized and depolarized Raman spectra of CO2-acetone mixtures have been
measured along the isotherm 313 K as a function of CO2 concentration (0.1-0.9
molar fractions in CO2) by varying the pressure from 0.2 up to 8 MPa. Upon CO2
addition, a new band appears at about 655 cm(-1) and is assigned to the lower
frequency nu 2(1) component of the bending mode after degeneracy removal due to
the formation of a 1:1 electron donor acceptor (EDA) CO2 complex. The equilibrium
constant associated with the complex formation was estimated and found close to
those of contact charge transfer complexes. The main modifications of the Fermi
dyad of CO2 in the mixtures compared with that of pure CO2 at equivalent density
have been assessed. The band-shape analysis revealed that each dyad component is
described by two Lorentzian profiles, showing that a tagged CO2 molecule probes
two kinds of environment in its first shell of neighbors. The first one involves
nonspecific interactions of CO2 with surrounding acetone whereas the second is
assigned to the signature of 'transient' CO2 complexes formed with acetone. An
upper bound life time of the complex has been estimated to be 8 ps. In addition,
a broad band has been detected between the Fermi dyad peaks at about 1320 cm(-1)
and its origin interpreted as a further evidence of the CO2-acetone heterodimer
formation. Finally, the values of the equilibrium concentration of the
heterodimer versus the total concentration of CO2 deduced from the analysis of
the nu 2(1) band and from the Fermi dyad have been compared, and the difference
is interpreted as due to a lack of theoretical approach of Fermi resonance
transitions associated with species existing in different environments.
PMID- 18047304
TI - Competition between photochemistry and energy transfer in UV-excited
diazabenzenes. 4. UV photodissociation of 2,3-, 2,5-, and 2,6-dimethylpyrazine.
AB - The quantum yield for HCN formation via 248 nm photodissociation of 2,3-, 2,5-,
and 2,6-dimethylpyrazine (DMP, C6N2H8) was measured using diode laser probing of
the HCN photoproduct. The total quantum yield is phi = 0.039 +/- 0.07, 0.14 +/-
0.02, and 0.30 +/- 0.06 for 248 nm excitation of 2,3-, 2,5- and 2,6-DMP,
respectively. Analysis of the quenching data within the context of a gas kinetic,
strong collision model allows an estimate of the rate constant for HCN production
via DMP photodissociation, ks = 4.1 x 10(3), 1.0 x 10(3), and 1.3 x 10(4) s(-1)
for 2,3-, 2,5- and 2,6-DMP, respectively. Unlike HCN produced from the
photodissociation of pyrazine and methylpyrazine, the amount of HCN produced via
a prompt, unquenched dissociation channel was essentially zero, suggesting little
multiphoton UV absorption. The rate constants for HCN formation together with
previously measured rate constants for HCN production from photodissociation of
pyrazine and methylpyrazine have been used to investigate possible reaction
mechanisms. The position of the methyl group affects the HCN rate constant,
suggesting that the mechanism for pyrazine dissociation involves an initial step
that is hindered by the addition of the methyl groups. The proposed initial
molecular motion of the mechanism, an out-of-plane H atom migration across a N
atom, is consistent with (1) the position of the methyl groups, (2) the
dissociation lifetime of the various pyrazine molecules studied, and (3) the
observed large energy transfer magnitudes from pyrazine near dissociation. These
so-called "supercollisions" have been linked to low-frequency, out-of-plane
motion, suggesting that the molecular motions leading to efficient energy
transfer are the same motions involved in dissociation. In addition, the pyrazine
(C4N2H4) 248 nm photoproduct (C3H3N) was identified as acrylonitrile using IR
spectroscopy, an observation that aids in understanding the dissociation
mechanism.
PMID- 18047305
TI - Bond dissociation energies and radical stabilization energies: an assessment of
contemporary theoretical procedures.
AB - Various contemporary theoretical procedures have been tested for their accuracy
in predicting the bond dissociation energies (BDEs) and the radical stabilization
energies (RSEs) for a test set of 22 monosubstituted methyl radicals. The
procedures considered include the high-level W1, W1', CBS-QB3, ROCBS-QB3, G3(MP2)
RAD, and G3X(MP2)-RAD methods, unrestricted and restricted versions of the double
hybrid density functional theory (DFT) procedures B2-PLYP and MPW2-PLYP, and
unrestricted and restricted versions of the hybrid DFT procedures BMK and MPWB1K,
as well as the unrestricted DFT procedures UM05 and UM05-2X. The high-level
composite procedures show very good agreement with experiment and are used to
evaluate the performance of the comparatively less expensive DFT procedures.
RMPWB1K and both RBMK and UBMK give very promising results for absolute BDEs,
while additionally restricted and unrestricted X2-PLYP methods and UM05-2X give
excellent RSE values. UM05, UB2-PLYP, UMPW2-PLYP, UM05-2X, and UMPWB1K are among
the less well performing methods for BDEs, while UMPWB1K and UM05 perform less
well for RSEs. The high-level theoretical results are used to recommend
alternative experimental BDEs for propyne, acetaldehyde, and acetic acid.
PMID- 18047306
TI - IR-IR-UV hole-burning: conformation specific IR spectra in the face of UV
spectral overlap.
AB - A new technique, IR-IR-UV hole-burning, is reported for obtaining conformation
specific IR spectra when the electronic spectra are too closely overlapped to
obtain clean spectra free from interference from other conformations via standard
ion dip or fluorescence dip methods. The 4'-aminobenzo-15-crown-5 ether-(HDO)
complex is used as an example, on which the method was applied to prove the
presence of two conformations having overlapped electronic spectra and to assign
IR transitions belonging to the same conformation.
PMID- 18047308
TI - Ultrafast energy transfer in water-AOT reverse micelles.
AB - A spectroscopic investigation of the vibrational dynamics of water in a
geometrically confined environment is presented. Reverse micelles of the ternary
microemulsion H2O/AOT/n-octane (AOT = bis-2-ethylhexyl sulfosuccinate or aerosol
OT) with diameters ranging from 1 to 10 nm are used as a model system for
nanoscopic water droplets surrounded by a soft-matter boundary. Femtosecond
nonlinear infrared spectroscopy in the OH-stretching region of H2O fully confirms
the core/shell model, in which the entrapped water molecules partition onto two
molecular subensembles: a bulk-like water core and a hydration layer near the
ionic surfactant headgroups. These two distinct water species display different
relaxation kinetics, as they do not exchange vibrational energy. The observed
spectrotemporal ultrafast response exhibits a local character, indicating that
the spatial confinement influences approximately one molecular layer located near
the water-amphiphile boundary. The core of the encapsulated water droplet is
similar in its spectroscopic properties to the bulk phase of liquid water, i.e.,
it does not display any true confinement effects such as droplet-size-dependent
vibrational lifetimes or rotational correlation times. Unlike in bulk water, no
intermolecular transfer of OH-stretching quanta occurs among the interfacial
water molecules or from the hydration shell to the bulk-like core, indicating
that the hydrogen bond network near the H2O/AOT interface is strongly disrupted.
PMID- 18047309
TI - Physicochemical studies of octadecyl-trimethyl-ammonium bromide: a critical
assessment of its solution behavior with reference to formation of micelle, and
microemulsion with n-butanol and n-heptane.
AB - Octadecyl-trimethyl-ammonium bromide (C18TAB) is a much less studied
representative in the alkyltrimethylammonium halide surfactant series. A
comprehensive study of its normal and reverse micelle (microemulsion) formation
has been herein conducted by the methods of conductometry, tensiometry,
fluorimetry, and microcalorimetry. The energetics of its air/liquid interfacial
adsorption and self-association in aqueous solution have been examined. The phase
behavior of its combinations with water, n-butanol, and n-heptane in the
formation of microemulsions have been investigated with identification of a
variety of phases. The energetics of formation of water dispersion in oil (w/o)
has been evaluated from dilution experiments conducted at different temperatures.
From the results, structural parameters of the droplets have been determined at
different [water]/[surfactant] mole ratios (omega) and temperatures. The w/o
dispersions have evidenced both volume- and temperature-induced conductance
percolation. The results have been treated in light of the Scaling equations, and
the associated parameters for the process have been determined. The activation
energies for the temperature-induced percolation process of the w/o dispersion
have been evaluated and assessed.
PMID- 18047310
TI - Ligand-to-metal charge-transfer dynamics in a blue copper protein plastocyanin: a
molecular dynamics study.
AB - Equilibrium and nonequilibrium dynamics of a blue copper protein plastocyanin in
an oxidized state are studied by molecular dynamics (MD) simulation. Potential
energy functions of the lowest seven electronic states, including ligand-to-metal
charge-transfer (LMCT) and copper d --> d excited states, were taken from our
previous work (Ando, K. J. Phys. Chem. B 2004, 108, 3940), which employed ab
initio molecular orbital and density functional calculations on the active-site
model. The equilibrium MD simulations in the ground state indicate that ligand
motions coupled to transition from the ground state to the LMCT state are mostly
represented by stretching and bending vibrations of the Cu-S(Cys) distance,
Ndelta(His)-Cu-Ndelta(His) angle, and S(Cys)-Cu-[Ndelta(His)]2 trigonal pyramid
structure. The nonequilibrium dynamics on the LMCT potential exhibit rapid decays
in which surface crossings to the d --> d and the first excited states occur in
70-80 fs. The crossing dynamics mostly correlate with cleavage of the Cu-S(Cys)
bond and the associated response in the Ndelta(His)-Cu-Ndelta(His) moiety. The
average dynamics of the vertical energy gap coordinates exhibit an overdamped
decay with a recurrence oscillation in 500 fs, which shows clear coherence
surviving after the ensemble averaging. This oscillation stems mostly from the
recoiling motion of the Ndelta(His)-Cu-Ndelta(His) part. The dynamics of the
energy gaps after this coherent oscillation are randomized such that the ensemble
average yields flat profiles along time, although each single trajectory exhibits
fluctuations with amplitudes large enough to reach surface crossings. These
indicate that the relaxation from the LMCT state first occurs via ballistic and
coherent potential crossings in 70-80 and 500 fs, followed by thermally activated
random transitions.
PMID- 18047311
TI - Adsorption-induced conformational changes of antifreeze glycoproteins at the
ice/water interface.
AB - The conformation of antifreeze glycoprotein (AFGP) molecules adsorbed at the
ice/water interface was studied by attenuated total reflection (ATR)-FTIR
spectroscopy. Measurements were carried out for AFGP/D2O solution films formed on
the surface of an ATR prism as a function of temperature. Using the FTIR spectrum
from the O-D stretching band of D2O molecules, we monitored the supercooled and
frozen states of the film and measured the thickness of the quasi-liquid layer
(QLL) at the ice/prism interfaces. The AFGP structure was determined for the
liquid, supercooled, and frozen states of the solution film using the amide I
band spectra. No noticeable differences in conformation were observed in the
solution conformation from room temperature down to the 15 K supercooling
studied, whereas the alpha-helical content of AFGP suddenly increased when the
supercooled solution film froze at -15 degrees C. This change in conformation can
increase the overall interaction between the AFGP molecules and ice surface and
allow a stronger adsorption. In contrast, the alpha-helical content of AFGP in
the frozen film gradually decreased with increasing temperature and finally
returned to its solution-state level at the melting point of D2O ice. This
gradual decrease in the alpha-helix content directly correlates with the measured
increase in QLL thickness. Finally, we conclude that the differences in the alpha
helix signals between the frozen and supercooled states indicate the
conformational change of AFGP molecules upon adsorption at the ice/water
interface, emphasizing the importance of the structure-function relationship,
even for this highly flexible antifreeze.
PMID- 18047312
TI - Preparation and characterization of two new water-soluble endohedral
metallofullerenes as magnetic resonance imaging contrast agents.
AB - Two new water-soluble Gd-containing endohedral metallofullerenes [ScxGd3
xN@C80OmOHn (x = 1, 2; m approximately 12; n approximately 26)] were synthesized
in a simple one-step reaction and characterized by Fourier transform (FT)-IR as
well as X-ray photoelectron spectroscopy (XPS). Their observed longitudinal
relaxivities (R1) for water protons are 20.7 and 17.6 mM(-1) s(-1), respectively,
which are significantly higher than that of the commercial magnetic resonance
imaging (MRI) contrast agent (Gd-DTPA, 3.2 mM(-1) s(-1)). These results indicate
these trimetallic nitride endohedral fullerenols are potential next-generation
high-efficiency MRI contrast agents.
PMID- 18047313
TI - Structural effects of phosphorus inclusion in bioactive silicate glasses.
AB - Molecular dynamics simulations of four bioactive silicate glasses containing
between 0 (P0) and 12 (P12) mol % P2O5 have been carried out in order to
elucidate the structural role of phosphorus in these materials. In particular, we
have focused on structural features which can have a direct role in the bioactive
mechanism of dissolution and bone bonding. The higher affinity of modifier Na and
Ca cations for coordinating phosphate rather than silicate, together with the
formation of P-O-Si linkages, lead to increasing repolymerization of the silicate
network with increasing P2O5 content, which in principle would represent a
negative effect of P inclusion on the glass bioactivity. However, this effect is
counterbalanced by the concomitant increase in the amount of free orthophosphate
groups, whose fast release is deemed to enhance the bioactivity. The strong
affinity of the orthophosphates for calcium ions leads to a clear tendency toward
separation of silicate-rich and phosphate-rich phases for the P12 composition.
Although this could reduce the bioactivity in the case of P12, in general, the
favorable balance between the effects mentioned above should result in a positive
effect of partial Si --> P substitution on the glass bioactivity.
PMID- 18047314
TI - Diffusion-influenced reversible geminate ABCD reaction in the presence of an
external field.
AB - We investigate a diffusion-influenced ground-state reversible geminate ABCD
reaction in the presence of a constant external field in one dimension. In the
Laplace domain, we first obtain the nonreactive Green function from which the
reactive Green function is derived. Analytic asymptotic expressions of the
survival probability are obtained in the time domain for both short and long time
regions. There exist four regimes for the equilibrium survival probability
according to the signs of the field intensities a1 and a2 that reactant and
product states feel, respectively. Analysis of the long-time asymptotic behavior
of the survival probability shows two regimes depending on the sign of a
parameter K( identical with a(2)(2)D(2) -a(2)(1)D(1)), where D(1) and D(2) are
the relative diffusion constants of corresponding states, respectively. Combining
these two results, we predict a total of eight regimes for the long-time
asymptotic behavior of the survival probability. We find that the long-time
asymptotic behavior of the deviation of the effective survival probability shows
the t(-3/2) power law when m( identical with min {a(2)(1)D(1), a(2)(2)D(2)}) not
equal 0, whereas it shows t(-1/2) power law when m = 0. When one of the fields is
turned off, the long-time asymptotic behavior of the survival probability shows a
kinetic transition as the sign of the remaining field changes.
PMID- 18047315
TI - Vapor pressure and sublimation rate of molecular crystals: role of internal
degrees of freedom.
AB - It is a common practice to approximate the desorption rate of atoms from crystal
surfaces with an expression of the form nueff exp(-DeltaE/kBT), where DeltaE is
an activation barrier to desorb and nueff is an effective vibrational frequency
approximately 10(12) s(-1). For molecular solids, however, such an approximation
can lead to a many orders of magnitude underestimation of vapor pressure and
sublimation rates due to neglected contributions from molecular internal degrees
of freedom. Here, we develop a simple working formula that yields good estimates
for a general molecular (or atomic) solid and illustrate the approach by
computing equilibrium vapor pressure of three different molecular solids and an
atomic solid, as well as the desorption rate of a foreign (inhibitor) molecule
from the surface of a molecular solid.
PMID- 18047316
TI - Dynamics of liquid crystalline gelation of DNA.
AB - DNA liquid crystalline gel (LCG) films have been prepared by immersing DNA
aqueous borate solutions sandwiched between two circular glass plates into cobalt
chloride solutions. The time courses of the thickness, the weight fractions of
DNA and cobalt cations, and the birefringence and turbidity of the film consisted
of outer DNA LCG and inner DNA amorphous gel or solution have been measured. To
clarify the mechanism of the process forming LCG, the theory based on the
nonequilibrium thermodynamics with "moving boundary picture" [Langmuir 2005, 21,
8155-8160] was modified, and the results were analyzed by the modified theory. It
was found that the growth process of DNA LCG consists of two dynamics: cobalt
cation diffusion-limited process at the early stage and the DNA circumstance
change limited process at the later stage.
PMID- 18047317
TI - Ultrafast optical pump-probe studies of the cytochrome b(6)f complex in solution
and crystalline states.
AB - The cytochrome b6f complex of oxygenic photosynthesis contains a single
chlorophyll a (Chl a) molecule whose function is presently unknown. The singlet
excited state of the Chl a molecule is quenched by the surrounding protein
matrix, and thus the Chl a molecule in the b6f complex may serve as an
exceptionally sensitive probe of the protein structure. For the first time,
singlet excited-state dynamics were measured in well-diffracting crystals using
femtosecond time-resolved optical pump-probe methodology. Lifetimes of the Chl a
molecule in crystals of the cytochrome b6f complex having different space groups
were 3-6 times longer than those determined in detergent solutions of the b6f.
The observed differences in excited state dynamics may arise from small (1-1.5 A)
changes in the local protein structure caused by crystal packing. The Chl a
excited state lifetimes measured in the dissolved cytochrome b6f complexes from
several different species are essentially the same, in spite of differences in
the local amino acid sequences around the Chl a. This supports an earlier
hypothesis that the short excited state lifetime of Chl a is critical for the
function of the b6f complex.
PMID- 18047318
TI - Chemical stability and characterization of rhodium-diisocyanide coordination
polymers.
AB - Poly-[Rh(1,4-phenylene diisocyanide)+4/2(Cl)-] has a two-dimensional template
structure, where Rh atoms are bonded by the -conjugated 1,4-phenylene
diisocyanide (pdi) ligands in the x-y plane and through overlapping dz orbitals
in the z direction. The more conductive metallic bonds in the z direction create
anisotropy in the electrical conductivity. The anisotropy and unique geometry of
poly-[Rh(pdi)+4/2(Cl)-] make it a useful test bed for examining the relationship
between electrical properties and chemical stability in metal-isocyanide
molecular wire systems. The bulk powder of poly-[Rh(pdi)+4/2(Cl)-] is estimated
to have a room-temperature bulk conductivity of 3.4 x 10(-11) S x cm(-1), an
electrical activation energy of 0.9 eV, and a dielectric constant of 7.5. In this
paper, impedance spectroscopy and X-ray powder diffraction were used to show the
dependence of the electrical conductivity on the metal-metal bonding of pressed
bulk powders of poly-[Rh(pdi)+4/2(Cl)-]. Thermo-gravimetric analysis and X-ray
photoelectron spectroscopy were used to demonstrate air sensitivity in the
polymer and elucidate the mechanism of oxidative degradation.
PMID- 18047319
TI - Investigation of the slide of the single layer of the 1,3,5-triamino-2,4,6
trinitrobenzene crystal: sliding potential and orientation.
AB - This paper reports for the first time that the sliding potential of a single
layer of the 1,3,5-triamino-2,4,6-trinitrobenzene (TATB) crystal is within 0-77.9
kJ per mol unit cell or 0-29.4 MJ/m(3) and that the most possible sliding
orientation is approximately along one line. As compared to another easy-slide
material, graphite, TATB has a higher sliding potential and fewer sliding routes
and furthermore is more difficult to slide. However, TATB can still slide due to
its highest sliding potential points below the apparent activation energy of the
decomposition energy of any common explosive. This slide may be the main reason
as to why TATB can be used as a desensitizer versus mechanical stimuli.
PMID- 18047320
TI - Transient behavior of the hydrophobic surface/water interface: from nanobubbles
to organic layer.
AB - We report the formation and subsequent change of the water-depleted layer at a
hydrophobic surface/water interface. With water as the solvent, surface plasmon
resonance measurements indicate time dependent evolution of two separate states.
The first state is the water-depleted layer, and it is characterized by a layer
of nanobubbles on the surface and is short-lived in time (order of 10 min). The
second state is a final equilibrium state, which occurs in approximately 30 h,
where a layer is formed with organic characteristics. If, instead of water, an
aqueous solution is exposed to the hydrophobic surface, the evolution from
nanobubbles to an organic like layer shows dependency on the surface energy of
the liquid media.
PMID- 18047321
TI - Thermodynamic modeling of the phase behavior of binary systems of ionic liquids
and carbon dioxide with the group contribution equation of state.
AB - The group contribution equation of state (GC-EOS) was applied to predict the
phase behavior of binary systems of ionic liquids of the homologous families 1
alkyl-3-methylimidazolium hexafluorophosphate and tetrafluoroborate with CO2.
Pure group parameters for the new ionic liquid functional groups [-mim][PF6] and
[-mim][BF4] and interaction parameters between these groups and the paraffin
(CH3, CH2) and CO2 groups were estimated. The GC-EOS extended with the new
parameters was applied to predict high-pressure phase equilibria in binary
mixtures of the ionic liquids [emim][PF6], [bmim][PF6], [hmim][PF6], [bmim][BF4],
[hmim][BF4], and [omim][BF4] with CO2. The agreement between experimental and
predicted bubble point data for the ionic liquids was excellent for pressures up
to 20 MPa, and even for pressures up to about 100 MPa, the agreement was good.
The results show the capability of the GC-EOS to describe phase equilibria of
systems consisting of ionic liquids.
PMID- 18047323
TI - Structural, thermal, and magnetic study of solvation processes in spin-crossover
[Fe(bpp)(2)][Cr(L)(ox)(2)](2).nH(2)O complexes.
AB - The influence of lattice water in the magnetic properties of spin-crossover
[Fe(bpp)2]X2.nH2O salts [bpp = 2,6-bis(pyrazol-3-yl)pyridine] is well-documented.
In most cases, it stabilizes the low-spin state compared to the anhydrous
compound. In other cases, it is rather the contrary. Unraveling this mystery
implies the study of the microscopic changes that accompany the loss of water.
This might be difficult from an experimental point of view. Our strategy is to
focus on some salts that undergo a nonreversible dehydration-hydration process
without loss of crystallinity. By comparison of the structural and magnetic
properties of original and rehydrated samples, several rules concerning the role
of water at the microscopic level can be deduced. This paper reports on the
crystal structure, thermal studies, and magnetic properties of
[Fe(bpp)2][Cr(bpy)(ox)2]2.2H2O (1), [Fe(bpp)2][Cr(phen)(ox)2]2.0.5H2O.0.5MeOH
(2), and [Fe(bpp)2][Cr(phen)(ox)2]2.5.5H2O.2.5MeOH (3). Salt 1 contains both high
spin (HS) and low-spin (LS) Fe2+ cations in a 1:1 ratio. Dehydration yields the
anhydrous spin-crossover compound with T1/2 downward arrow = 353 K and T1/2
upward arrow = 369 K. Rehydration affords the dihydrate
[Fe(bpp)2][Cr(bpy)(ox)2]2.2H2O (1r) with 100% HS Fe2+ sites. Salt 2 also contains
both HS and LS Fe2+ cations in a 1:1 ratio. Dehydration yields the anhydrous spin
crossover compound with T1/2 downward arrow = 343 K and T1/2 upward arrow = 348
K. Rehydration affords [Fe(bpp)2][Cr(phen)(ox)2]2.0.5H2O (2r) with 72% Fe2+ sites
in the LS configuration. The structural, magnetic, and thermal properties of
these rehydrated compounds 1r and 2r are also discussed. Finally, 1 has been
dehydrated and resolvated with MeOH to give [Fe(bpp)2][Cr(bpy)(ox)2]2.MeOH (1s)
with 33% HS Fe2+ sites. The influence of the guest solvent in the Fe2+ spin state
can anticipate the future applications of these compounds in solvent sensing.
PMID- 18047324
TI - Structural diversity and supramolecular aggregation in calcium, strontium, and
barium salicylates incorporating 1,10-phenanthroline and 4,4'-bipyridine: probing
the softer side of group 2 metal ions with pyridinic ligands.
AB - Group 2 metal complexes [Ca(SA)2(phen)]n (1), [Sr2(SA)4(phen)4] (2), and
[Ba(SA)2(phen)2]n (3) (SA = salicylate) have been obtained by the addition of
1,10-phenanthroline (phen) to the corresponding metal salicylates, while the
bipyridine derivatives {[Ca3(SA)6(H2O)4](4bpy)2}n (4),
{[Sr(SA)2(H2O)3](4bpy)1.5(H2O)}n (5), and {[Ba(SA)2(H2O)3]-(4bpy)1.5(H2O)}n (6)
have been synthesized starting from the respective metal carbonates, salicylic
acid (SA-H), and 4,4'-bipyridine (4bpy). The new compounds have been
characterized by elemental analysis, pH measurements, thermal analysis, and
spectroscopic measurements (IR, NMR, ultraviolet, and fluorescence). Molecular
structure determination by single-crystal X-ray diffraction has been carried out
for all the compounds. The thermal analysis studies indicate the loss of
coordinated and/or lattice water molecules below 200 degrees C in 4-6 and the
absence of any coordinated or uncoordinated water molecules in compounds 1-3.
Compounds 1 and 3-6 exist as one-dimensional polymers while compound 2
crystallizes as a discrete dimer. Considerable variations have been observed in
the molecular structures of 1-6 in terms of the geometry around the metal, the
binding mode of salicylate, and the coordination behavior of the pyridine ligand.
Calcium ion is hexacoordinated in 1, while in 4 both hexa- and heptacoordinated
calcium ions are simultaneously present. Strontium exhibits coordination numbers
of nine and eight in 2 and 5, respectively. The barium ions in 3 and 6 assume
coordination numbers of eight and seven, respectively. While the OH group of the
salicylate ligand does not directly bind the metal in 1-3, it coordinates to the
metal ions in complexes in 4-6 in the un-ionized form. The 4bpy molecules show no
direct ligation to the metal in 4-6; the phen ligands in 1-3, however, occupy one
side of the coordination sphere around the metal. The presence of additional O
H...O, C-H...O, and N-H...O hydrogen bonding and pi-pi stacking in these
compounds results in the formation of polymeric structures. The results obtained
for the calcium complexes in this study have been compared with the available
data in structural calcium chemistry with the aid of a detailed analysis of the
Cambridge Structural Database.
PMID- 18047325
TI - Conversion of methanol to 2,2,3-trimethylbutane (triptane) over indium(III)
iodide.
AB - InI3 is able to catalyze the conversion of methanol to a mixture of hydrocarbons
at 200 degrees C with one highly branched alkane, 2,2,3-trimethylbutane
(triptane), being obtained in high selectivity. The mechanism for InI3-catalyzed
reactions appears to be basically the same as that proposed for the previously
studied ZnI2-catalyzed system in which sequential methylation of olefins is
followed by competing reactions of the resulting carbocation: proton loss to give
the next olefin vs hydride transfer to give the corresponding alkane. Although
the reaction conditions and typical triptane yields achievable with ZnI2 and InI3
are quite similar, the two systems behave rather differently in a number of
important particulars, including significant differences between the detailed
product distributions. Most of the differences in behavior can be ascribed to the
stronger Lewis acidity of InI3, including the ability to activate some alkanes,
the higher activity for methylation of arenes, and the fact that methanol
conversion can be observed at somewhat lower temperatures with InI3 than with
ZnI2.
PMID- 18047326
TI - Rare examples of transition-metal-main-group metal heterometallic metal-organic
frameworks from gallium and indium dipyrrinato complexes and silver salts:
synthesis and framework variability.
AB - New main-group metal dipyrrinato complexes [M(4-pyrdpm)3], where M = Ga3+ or In3+
and 4-pyrdpm is the anion of 5-(4-pyridyl)dipyrrin (4-pyrdpmH), have been
synthesized and incorporated into metal-organic frameworks (MOFs) by reacting the
dipyrrinato complexes with silver(I) salts. MOFs formed with AgOTf (OTf = O3SCF3)
and AgSbF6 gave frameworks with three-dimensional (10,3) and two-dimensional
(6,3) topologies, respectively. In contrast, AgPF6 produced both (10,3) and (6,3)
network topologies, suggesting that the PF6 anion has little preference for
templating (10,3) versus (6,3) frameworks within this system. These findings
update an earlier MOF study that examined the role of these anions in templating
related heterometallic MOFs which used [Fe(4-pyrdpm)3] and [Co(4-pyrdpm)3]
metalloligands. All of the dipyrrin compounds reported here have been
characterized by single-crystal X-ray crystallography, including the first
crystallographically characterized example of a 1,2,3-unsubstituted free-base
dipyrrin, 4-pyrdpmH.
PMID- 18047327
TI - Syntheses and structural characterization of a series of one-dimensional
fluorotitanophosphates (NH4)(x)K(4-x)[Ti(2)PO(4)F(9)] (x = 0, 0.70, 1.00, 1.25).
AB - A series of novel fluorotitanophosphates with a general formula (NH4)xK4 -
x[Ti2PO4F9] (x = 0, 0.70, 1.00, 1.25, named as 1, 2, 3, and 4 respectively) have
been synthesized under hydrothermal conditions. Their structures were determined
by X-ray single-crystal diffraction technique, which show that all of the phases
in this series contain an idental anionic fluorotitanophosphate chain, consisting
of alternating linkage of PO4 tetrahedra and TiO2F4 octahedra. The
fluorotitanophosphate chain is unique, which is different from the first
titanophosphate chain found in [Ti3P6O27].5[NH3CH2-CH2NH3].2H3O. Another
interesting observation of this series is that, by partial substitution of
potassium by ammonium, the structure converts to a more-symmetric version, while
maintaining all of the topological feature.
PMID- 18047328
TI - Proton-coupled electron transfer in ruthenium(II)-pterin complexes: formation of
ruthenium-coordinated pterin radicals and their electronic structures.
AB - Ruthenium(II)-pterin complexes were prepared using tetradentate and tripodal
tris(2-pyridylmethyl)amine (TPA) and tris(5-methyl-2-pyridylmethyl)amine (5-Me3
TPA) as auxiliary ligands together with 2-(N,N-dimethyl)-6,7-dimethylpterin
(Hdmdmp) and 6,7-dimethylpterin (Hdmp) as pterin derivatives for ligands.
Characterization was made by spectroscopic methods, X-ray crystallography, and
electrochemical measurements. The pterin ligands coordinated to the ruthenium
centers as monoanionic bidentate ligands via the 4-oxygen of the pyrimidinone
moiety and the 5-nitrogen of the pyrazine parts. The striking feature is that the
coordinated dmp- ligand exhibits a quinonoid structure rather than a deprotonated
biopterin structure, showing a short C-N bond length for the 2-amino group. Those
complexes exhibit reversible two-step protonation for both pterin derivatives
coordinated to the ruthenium centers to give a drastic spectral change in the UV
vis spectroscopy. Doubly protonated Ru(II)-pterin complexes were stabilized by pi
back-bonding interaction and exhibited clear and reversible proton-coupled
electron transfer (PCET) to give ruthenium-coordinated neutral monohydropterin
radicals as intermediates of PCET processes. Those ESR spectra indicate that the
unpaired electron delocalizes onto the PCET region (N5-C6-C7-N8) of the pyrazine
moiety.
PMID- 18047329
TI - Tetrakis- and tris(1-Methyluracil) complexes of Pt(II): formation and properties
of a carbon-bonded nucleobase species as well as of heternonuclear derivatives.
AB - The reaction of K2PtCl4 with an excess of 1-methyluracilate (1-MeU) in water at
60 degrees C leads to the formation of two major products, K2[Pt(1-MeU
N3)4].10H2O (1) and trans-K[Pt(1-MeU-N3)2(1-MeU-C5)(H2O)].3H2O (2). Addition of
CuCl2 to an aqueous solution of 2 yields the mixed-metal complex trans-[PtCl(1
MeU-N3,O4)2(1-MeU-C5,O4)Cu(H2O)].H2O (4). Single-crystal X-ray analysis was
carried out for 1 and 4. In both compounds, the heterometals (K+ in 1 and Cu2+ in
4) are bonded to exocyclic oxygens atoms of the 1-MeU ligands, giving rise to
intermetallic distances of 3.386(2) and 3.528(2) A in 1 and 2.458(1) A in 4. The
shortness of the Pt-Cu separation in 4 is consistent with a dative bond between
PtII and CuII. The aqua ligand in 2 is readily substituted by a series of other
ligands (e.g., 1-MeC, 9-MeGH, and CN-), as demonstrated by 1H NMR spectroscopy,
with 3J(195Pt-1H(6)) coupling constants being sensitive indicators. Acid-base
equilibria of 1 and 2 have been studied in detail and reveal some unexpected
features: 1 has a relatively high basicity, with protonation starting below pH 5,
and first and second pKa values being ca. 3.4 and 0.4, respectively. These pKa
values are markedly higher than those of related neutral 2:1 or cationic 1:1
complexes and are attributed to both charge effects (-2 charge of 1) and a
favorable stabilization of oxygen-protonated species by the arrangement of four
exocyclic oxygen groups of 1-MeU ligands at either sides of the platinum
coordination planes. Whereas in 2, H+ affinities of the three uracil ligands are
in the normal range, there is a surprisingly low acidity of N(3)H of the C5
bonded uracil with a pKa of approximately 12.2, which compares with 9.75 for free
1-methyluracil. This implies that the C5-bonded PtII does not induce the typical
acidifying effect of a PtII metal entity when bonded to a ring nitrogen atom of a
neutral nucleobase. Rather, the effect is qualitatively similar to that of a
metal ion bonded to N3 of an anionic 1-MeU ligand, which likewise increases its
overall basicity as compared to neutral 1-MeUH.
PMID- 18047331
TI - Hollowing Sn-doped TiO2 nanospheres via ostwald ripening.
AB - The well-known physical phenomenon Ostwald ripening in crystal growth has been
widely employed in template-free fabrication of hollow inorganic nanostructures
in recent years. Nevertheless, all reported works so far are limited only to
stoichiometric phase-pure solids. In this work we describe the first
investigation of doped (nonstoichiometric) materials using Ostwald ripening as a
means of creating interior space. In particular, we chose the xSnO2-(1 - x)TiO2
binary system to establish preparative principles for this approach in synthesis
of structurally and compositionally complex nanomaterials. In this study, uniform
Sn-doped TiO2 nanospheres with hollow interiors in 100% morphological yield have
been prepared with an aqueous inorganic route under hydrothermal conditions.
Furthermore, our structural and surface analyses indicate that Sn4+ ions can be
introduced linearly into TiO2, and preferred structural phase(s) can also be
attained (e.g., either anatase or rutile, or their mixtures). Fluoride anions of
starting reagents are adsorbed on the surface sites of oxygen. The resultant
anion overlayer may contribute to stabilization of surface and creation of
repulsive interaction among the freestanding nanospheres. On the basis of these
findings, we demonstrate that Ostwald ripening can now be employed as a general
hollowing approach to architect interior spaces for both simple and complex
nanostructures.
PMID- 18047330
TI - Immunomagnetic diffractometry for detection of diagnostic serum markers.
AB - We describe an integrated approach for detection of diagnostic markers using in
situ assembled optical diffraction gratings in combination with immunomagnetic
capture. Folate receptor (FR), a serum protein indicative of various cancers, was
chosen as a model system to demonstrate the potential of the method. Magnetic
beads coupled to FR antibody were used to capture FR from serum. The FR-bound
magnetic beads self-assembled onto microcontact-printed folate-coupled BSA (F
BSA) patterns to form diffraction gratings which served to detect FR by measuring
the diffraction intensities caused by laser illumination. The FR-containing
beads, upon binding to the F-BSA surface, served as intrinsic signal enhancement
agents, circumventing the need for additional enzymatic signal amplification or
fluorescent labeling steps. With this approach, a detection sensitivity of 700 fM
(20 pg/mL) was achieved. The potential use of this approach in clinical
diagnostics was demonstrated by measuring FR concentration in blood samples
obtained from cancer patients.
PMID- 18047332
TI - An electronic rationale for observed initiation rates in ruthenium-mediated
olefin metathesis: charge donation in phosphine and N-heterocyclic carbene
ligands.
AB - Ru K-edge XAS data indicate that second generation ruthenium-based olefin
metathesis precatalysts (L = N-heterocyclic carbene) possess a more electron
deficient metal center than in the corresponding first generation species (L =
tricyclohexylphosphine). This surprising effect is also observed from DFT
calculations and provides a simple rationale for the slow phosphine dissociation
kinetics previously noted for second-generation metathesis precatalysts.
PMID- 18047333
TI - A new paradigm for carbon-carbon bond formation: aerobic, copper-templated cross
coupling.
AB - Thiol esters and boronic acids react to produce ketones under aerobic conditions
in the presence of catalytic quantities of a CuI or CuII salt. The reaction
occurs at reasonable rates between room temperature and 50 degrees C at neutral
pH using thiol esters derived from bulky 2 degrees amides of thiosalicylamides
such as those based on N-tert-butyl-2-mercaptobenzamide. In this mechanistically
unprecedented reaction system, the carbon-carbon bond formation occurs through
templating of the thiol ester and the boronic acid at copper; the system is
rendered catalytic in copper under the aerobic conditions.
PMID- 18047334
TI - Improved optical enrichment of SWNTs through extraction with chiral nanotweezers
of 2,6-pyridylene-bridged diporphyrins.
AB - Chiral single-walled carbon nanotubes (SWNTs) have left- and right-handed helical
structures (M and P, respectively, according to the IUPAC nomenclature). In this
report, optically active SWNTs were obtained through preferential extraction of
(M)- or (P)-SWNTs with 2,6-pyridylene-bridged chiral diporphyrins 1. In the
circular dichroism (CD) spectra, the SWNTs extracted with 1 exhibit much larger
intensity than those extracted with 1,3-phenylene-bridged chiral diporphyrins 2,
indicating an improved chiral discrimination ability of 1. In particular, (6,5)
SWNTs display the most intensified CD signals among the SWNTs extracted with 1.
In addition, the SWNT extraction ability of 1 has been shown to be considerably
enhanced in comparison to 2. These improved discrimination and extraction
abilities of 1 are attributed to the formation of its more stable SWNT complex.
Computer-calculated energy minimized structures for 1:(6,5)-SWNT complexes show
that (R)- and (S)-1 form complexes preferentially with (M)- and (P)-(6,5)-SWNTs,
respectively. These calculations also predict that the 1:(6,5)-SWNT complex is
approximately 1.6 kcal mol-1 more stable than the corresponding complex of 2,
accounting for the improved abilities of 1 in the chiral discrimination and
extraction.
PMID- 18047335
TI - Ordering of dipeptide chains on Cu surfaces through 2D cocrystallization.
AB - We report on the induced ordering of dispersed chiral diphenylalanine (Phe-Phe)
chains grown on the anisotropic Cu(110) and isotropic Cu(100) surfaces. Scanning
tunneling microscopy (STM) data reveal that 2D extended hybrid molecular motifs
can be fabricated by utilizing terephthalic acid (TPA) molecules as linkers.
These act as a molecular "glue" to bridge the isolated Phe-Phe chains without
altering the global chirality of the final structures. Our results demonstrate
the applicability and effectiveness of this 2D analogue of the 3D
cocrystallization approach.
PMID- 18047336
TI - Anthryl-doped conjugated polyelectrolytes as aggregation-based sensors for
nonquenching multicationic analytes.
AB - The fluorescence-based detection of nonquenching, multicationic small molecules
has been demonstrated using a blue-emitting, polyanionic poly(p-phenylene
ethynylene) (PPE) doped with green-emitting exciton traps (anthryl units).
Multicationic amines (spermine, spermidine, and neomycin) were found to
effectively induce the formation of tightly associated aggregates between the
polymer chains in solution. This analyte-induced aggregation, which was
accompanied by enhanced exciton migration in the PPE, ultimately led to a
visually noticeable blue-to-green fluorescence color change in the solution. The
aggregation-based sensor exhibited poor sensitivity toward dicationic and
monocationic amines, demonstrating that a conjugated polyelectrolyte sensor
relying on nonspecific, electrostatic interactions may still attain a certain
level of selectivity.
PMID- 18047337
TI - Rapid vapor-phase fabrication of organic-inorganic hybrid superlattices with
monolayer precision.
AB - We report a new layer-by-layer growth method of self-assembled organic multilayer
thin films based on gas-phase reactions. In the present molecular layer
deposition (MLD) process, alkylsiloxane self-assembled multilayers (SAMs) were
grown under vacuum by repeated sequential adsorptions of C=C-terminated
alkylsilane and titanium hydroxide. The MLD method is a self- limiting layer-by
layer growth process, and is perfectly compatible with the atomic layer
deposition (ALD) method. The SAMs films prepared exhibited good thermal and
mechanical stability, and various unique electrical properties. The MLD method,
combined with ALD, was applied to the preparation of organic-inorganic hybrid
nanolaminate films in the ALD chamber. The organic-inorganic hybrid superlattices
were then used as active mediums for two-terminal electrical bistable devices.
The advantages of the MLD method with ALD include accurate control of film
thickness, large-scale uniformity, highly conformal layering, sharp interfaces,
and a vast library of possible materials. The MLD method with ALD is an ideal
fabrication technique for various organic-inorganic hybrid superlattices.
PMID- 18047338
TI - Dynamics of large elongated RNA by NMR carbon relaxation.
AB - We present an NMR strategy for characterizing picosecond-to-nanosecond internal
motions in uniformly 13C/15N-labeled RNAs that combines measurements of R1,
R1rho, and heteronuclear 13C{1H} NOEs for protonated base (C2, C5, C6, and C8)
and sugar (C1') carbons with a domain elongation strategy for decoupling internal
from overall motions and residual dipolar coupling (RDC) measurements for
determining the average RNA global conformation and orientation of the principal
axis of the axially symmetric rotational diffusion. TROSY-detected pulse
sequences are presented for the accurate measurement of nucleobase carbon R1 and
R1rho rates in large RNAs. The relaxation data is analyzed using a model free
formalism which takes into account the very high anisotropy of overall rotational
diffusion (Dratio approximately 4.7), asymmetry of the nucleobase CSAs and
noncollinearity of C-C, C-H dipolar and CSA interactions under the assumption
that all interaction tensors for a given carbon experience identical isotropic
internal motions. The approach is demonstrated and validated on an elongated HIV
1 TAR RNA (taum approximately 18 ns) both in free form and bound to the ligand
argininamide (ARG). Results show that, while ARG binding reduces the amplitude of
collective helix motions and local mobility at the binding pocket, it leads to a
drastic increase in the local mobility of "spacer" bulge residues linking the two
helices which undergo virtually unrestricted internal motions (S2 approximately
0.2) in the ARG bound state. Our results establish the ability to quantitatively
study the dynamics of RNAs which are significantly larger and more anisotropic
than customarily studied by NMR carbon relaxation.
PMID- 18047339
TI - Sterically controlled recognition of macromolecular sequence information by
molecular tweezers.
AB - Sequence-specific binding is demonstrated between pyrene-based tweezer molecules
and soluble, high molar mass copolyimides. The binding involves complementary pi
pi stacking interactions, polymer chain-folding, and hydrogen bonding and is
extremely sensitive to the steric environment around the pyromellitimide binding
site. A detailed picture of the intermolecular interactions involved has been
obtained through single-crystal X-ray studies of tweezer complexes with model
diimides. Ring-current magnetic shielding of polyimide protons by the pyrene
"arms" of the tweezer molecule induces large complexation shifts of the
corresponding 1H NMR resonances, enabling specific triplet sequences to be
identified by their complexation shifts. Extended comonomer sequences (triplets
of triplets in which the monomer residues differ only by the presence or absence
of a methyl group) can be "read" by a mechanism which involves multiple binding
of tweezer molecules to adjacent diimide residues within the copolymer chain. The
adjacent-binding model for sequence recognition has been validated by two
conceptually different sets of tweezer binding experiments. One approach compares
sequence-recognition events for copolyimides having either restricted or
unrestricted triple-triplet sequences, and the other makes use of copolymers
containing both strongly binding and completely nonbinding diimide residues. In
all cases the nature and relative proportions of triple-triplet sequences
predicted by the adjacent-binding model are fully consistent with the observed 1H
NMR data.
PMID- 18047340
TI - Subdiffraction imaging through the selective donut-mode depletion of thermally
stable photoswitchable fluorophores: numerical analysis and application to the
fluorescent protein Dronpa.
AB - The fast and reversible on/off switching of the fluorescence emission of the GFP
like fluorescent protein Dronpa has attracted considerable interest for
applications in subdiffraction imaging. In this paper we study the use of a donut
mode beam in combination with two more overlapping laser beams to increase the
imaging resolution through selective switching to the nonfluorescent
photoswitched state. We devise and run a series of numerical simulations to
determine suitable photophysical parameters of prospective, thermally stable
photoswitchable molecules, in terms of photoswitching quantum yields, fatigue
resistance, and possible presence of transient nonfluorescent states. Many of our
findings are applicable to other measurements that make use of donut beams, and
these guidelines can be used in the synthesis and screening of novel
photoswitchable compounds. We experimentally demonstrate the possibility of
obtaining increased resolution by making use of the efficient and thermally
stable Dronpa photoswitching, using equipment that is commonly available.
PMID- 18047341
TI - Protein nanopores with covalently attached molecular adapters.
AB - Molecular adapters are crucial for the stochastic sensing of organic analytes
with alpha-hemolysin (alphaHL) protein nanopores when direct interactions between
analytes and the pore cannot readily be arranged by conventional protein
engineering. In our earlier studies, cyclodextrin adapters were lodged
noncovalently within the lumen of the alphaHL pore. In the present work, we have
realized the controlled covalent attachment of a beta-cyclodextrin (betaCD)
adapter in the two possible molecular orientations inside alphaHL pores prepared
by genetic engineering. There are two advantages to such a covalent system.
First, the adapter cannot dissociate, which means there are no gaps during
stochastic detection, a crucial advance for single-molecule exonuclease DNA
sequencing where the continuous presence of a molecular adapter will be essential
for reading individual nucleotides. Second, the ability to orient the adapter
allows analytes to bind through only one of the two entrances to the betaCD
cavity. We demonstrate that the covalently attached adapters can be used to alter
the ion selectivity of the alphaHL pore, examine binding events at elevated
temperatures, and detect analytes with prolonged dwell times.
PMID- 18047342
TI - Interaction of urea with amino acids: implications for urea-induced protein
denaturation.
AB - The molecular mechanism of urea-induced protein denaturation is not yet fully
understood. Mainly two opposing mechanisms are controversially discussed,
according to which either hydrophobic, or polar interactions are the dominant
driving force. To resolve this question, we have investigated the interactions
between urea and all 20 amino acids by comprehensive molecular dynamics
simulations of 22 tripeptides. Calculation of atomic contact frequencies between
the amino acids and solvent molecules revealed a clear profile of solvation
preferences by either water or urea. Almost all amino acids showed preference for
contacts with urea molecules, whereas charged and polar amino acids were found to
have slight preferences for contact with water molecules. Particularly strong
preference for contacts to urea were seen for aromatic and apolar side-chains, as
well as for the protein backbone of all amino acids. Further, protein-urea
hydrogen bonds were found to be significantly weaker than protein-water or water
water hydrogen bonds. Our results suggest that hydrophobic interactions are the
dominant driving force, while hydrogen bonds between urea and the protein
backbone contribute markedly to the overall energetics by avoiding unfavorable
unsatisfied hydrogen bond sites on the backbone. In summary, we suggest a
combined mechanism that unifies the two current and seemingly opposing views.
PMID- 18047343
TI - PELDOR spectroscopy with DOPA-beta2 and NH2Y-alpha2s: distance measurements
between residues involved in the radical propagation pathway of E. coli
ribonucleotide reductase.
AB - Escherichia coli ribonucleotide reductase (RNR) catalyzes the reduction of
nucleotides to 2'-deoxynucleotides. The active enzyme is a 1:1 complex of two
homodimeric subunits, alpha2 and beta2. The alpha2 is the site of nucleotide
reduction, and beta2 harbors a diferric tyrosyl radical (Y122*) cofactor.
Turnover requires formation of a cysteinyl radical (C439*) in the active site of
alpha2 at the expense of the Y122* in beta2. A docking model for the alpha2beta2
interaction and a pathway for radical transfer from beta2 to alpha2 have been
proposed. This pathway contains three Ys: Y356 in beta2 and Y731/Y730 in alpha2.
We have previously incorporated 3-hydroxytyrosine and 3-aminotyrosine into these
residues and showed that they act as radical traps. In this study, we use these
alpha2/beta2 variants and PELDOR spectroscopy to measure the distance between the
Y122* in one alphabeta pair and the newly formed radical in the second alphabeta
pair. The results yield distances that are similar to those predicted by the
docking model for radical transfer. Further, they support a long-range radical
initiation process for C439* generation and provide a structural constraint for
residue Y356, which is thermally labile in all beta2 structures solved to date.
PMID- 18047344
TI - Conformational dynamics of bistable RNAs studied by time-resolved NMR
spectroscopy.
AB - The structural transition between two alternate conformations of bistable RNAs
has been characterized by time-resolved NMR spectroscopy. The mechanism,
kinetics, and thermodynamics underlying the global structural transition of
bistable RNAs were delineated. Both bistable RNA conformations and a partial
unstructured RNA of identical sequence could be trapped using photolabile
protecting groups. This trapping allowed for an investigation of the initial
folding from an unfolded RNA to one of the preferred conformations of the
bistable RNA and of the structural transitions involved. Folding of the secondary
structure elements occurs rapidly, while the global structural transition of the
bistable RNA occurs on a time scale of minutes and shows marked temperature
dependence. Comparison of these results with bistable systems previously
investigated leads to the prediction of activation enthalpies (DeltaH++)
associated with global structural transitions in RNA.
PMID- 18047345
TI - The dinuclear Zn(II) complex catalyzed cyclization of a series of 2-hydroxypropyl
aryl phosphate RNA models: progressive change in mechanism from rate-limiting P-O
bond cleavage to substrate binding.
AB - A methoxide-bridged dinuclear Zn(II) complex of 1,3-[N,N'-bis(1,5,9
triazacyclododecane)]propane (1-Zn(II)2:(-OCH3)) was prepared, and its catalysis
of the cyclization of a series of 2-hydroxypropyl aryl phosphates (4a-g) was
investigated in methanol at pH 9.8, T = 25degreesC by stopped-flow
spectrophotometry. An X-ray diffraction structure of the hydroxide analogue of 1
Zn(II)2:(-OCH3), namely 1-Zn(II)2:(-OH), reveals that each of the Zn(II) ions is
coordinated by the three N's of the triazacyclododecane units and a bridging
hydroxide. The cyclizations of substrates 4a-g reveal a progressive change in the
observed kinetics from Michaelis-Menten saturation kinetics for the poorer
substrates (4-OCH3 (4g); 4-H (4f); 3-OCH3 (4e); 4-Cl (4d); 3-NO2, (4c)) to second
order kinetics (linear in 1-Zn(II)2:(-OCH3)) for the better substrates (4-NO2,3
CH3 (4b); 4-NO2, (4a)). The data are analyzed in terms of a multistep process
whereby a first formed complex rearranges to a reactive complex with a doubly
activated phosphate coordinated to both metal ions. The kinetic behavior of the
series is analyzed in terms of change in rate-limiting step for the catalyzed
reaction whereby the rate-limiting step for the poorer substrates (4g-c) is the
chemical step of cyclization of the substrate, while for the better substrates
(4b,a) the rate-limiting step is binding. The catalysis of the cyclization of
these substrates is extremely efficient. The kcat/KM values for the catalyzed
reactions range from 2.75 x 10(5) to 2.3 x 10(4) M-1 s-1, providing an
acceleration of 1 x 10(8) to 4 x 10(9) relative to the methoxide reaction
(k2OCH3, which ranges from 2.6 x 10(-3) to 5.9 x 10(-6) M-1 s-1 for 4a-g). At a
pH of 9.8 where the catalyst is maximally active, the acceleration for the
substrates ranges from (1 - 4) x 10(12) relative to the background reaction at
the same pH. Detailed energetics calculations show that the transition state for
the catalyzed reaction comprising 1-Zn(II)2, methoxide, and 4 is stabilized by
about -21 to -23 kcal/mol relative to the transition state for the methoxide
reaction. The pronounced catalytic activity is attributed to a synergism between
a positively charged catalyst that has high affinity for the substrate and for
the transition state for cyclization, and a medium effect involving a reduced
polarity/dielectric constant that complements a reaction where an oppositely
charged reactant and catalyst experience charge dispersal in the transition
state.
PMID- 18047346
TI - Zipper assembly of photoactive rigid-rod naphthalenediimide pi-stack
architectures on gold nanoparticles and gold electrodes.
AB - We introduce zipper assembly as a simple and general concept to create complex
functional architectures on conducting surfaces. Rigid-rod pi-stack architecture
composed of p-oligophenyl rods and blue naphthalenediimide (NDI) stacks is
selected as an example. First, short p-quaterphenyl initiators with four anionic
NDIs are deposited on gold. Then, long p-octiphenyl propagators with eight
cationic NDIs are added. The lower half of the propagator pi-stacks with the
initiator, whereas the upper half of the molecule remains free. These cationic
sticky-ends zip up with anionic propagators to produce anionic sticky-ends, and
so on. Zipper assembly on gold nanoparticles is demonstrated by the appearance of
the absorption of face-to-face NDI pi-stacks and the shift of the surface plasmon
resonance band with increasing layer thickness. Complete inhibition by zipper
capping demonstrates that zipper assembly affords complex architectures that are
more ordered than those obtained by conventional layer-by-layer (LBL) approaches.
Zipper assembly on gold electrodes produces increasing photocurrents with
increasing number of zipped layers. The photocurrents obtained by this method are
much higher than those obtained by conventional LBL controls; zipper termination
by capping cleanly stops any increase in photocurrent.
PMID- 18047347
TI - DNA-TiO2 nanoconjugates labeled with magnetic resonance contrast agents.
AB - Recent efforts have shown that nanoscale materials, specifically, metal-based
nanoparticles, hold particular promise for the development of multifunctional
imaging probes. These new materials provide the means to chaperone and
concentrate both drugs and contrast agents in specific organs, tissues, and
cells. Therefore, we have prepared a Gd(III)-modified DNA-TiO2 semiconducting
nanoparticle that is detectable in cells by MR imaging. These labeled particles
are retained at specific subcellular locations via DNA hybridization to
intracellular targets, hence creating the first nanoparticle system capable of
targeting specific DNA sequences while being simultaneously detected via MR
imaging.
PMID- 18047348
TI - A two-directional approach to a (-)-dictyostatin C11-C23 segment: development of
a highly diastereoselective, kinetically-controlled Meerwein-Ponndorf-Verley
reduction.
AB - A three-step synthesis of a precursor to the C11-C23 segment of (-)-dictyostatin
is described. The sequence features a sonication-assisted, enantioselective
double hetero Diels-Alder (HDA) reaction catalyzed by Jacobsen's Cr(III) Schiff
base catalyst, followed by a novel, highly diastereoselective Meerwein-Ponndorf
Verley (MPV) reduction of the hydropyranone subunits under kinetic control to
yield the bis(axial alcohol) 4. Generalized studies of both the HDA and MPV
methodologies are also described.
PMID- 18047349
TI - Kinetically favored platination of adenine in the g-rich human telomeric repeat.
AB - The interactions of PT-ACRAMTU, a cytotoxic platinum-acridine conjugate, with the
human telomeric G-quadruplex have been studied using in-line high-performance
liquid chromatography-mass spectrometry and footprinting assays. The conjugate
reacts significantly faster with quadruplex DNA (t1/2 = 1.2 h) than with double
stranded DNA, and A-N7, and not G-N7, is the kinetically preferred target, an
unprecedented reactivity feature in platinum-DNA interactions. Unlike the
clinical platinum drug cisplatin, which targets the human telomeric sequence
nonspecifically, the platinum-intercalator technology has the potential to
produce telomere-specific anticancer agents via a mechanism that kinetically
discriminates between G and A in the two DNA secondary structures.
PMID- 18047351
TI - Diastereoselective self-assembly of double- and triple-stranded helicates from a
D-isomannide derivative.
AB - Difunctionalization of D-isomannide yielded a bis(bipyridine) ligand bearing four
stereogenic centers whose diastereoselective self-assembly to enantiomerically
pure dinuclear helicates upon coordination to different metal ions was
investigated by NMR and CD spectroscopic as well as ESI mass spectrometric
methods.
PMID- 18047352
TI - Electrically-driven chiroptical switches based on axially dissymmetric 1,1'
binaphthyl and electrochromic viologens: synthesis and optical properties.
AB - A novel redox type of chiral molecular switch based on axially dissymmetric 1,1'
binaphthyl and electrochromic 4,4'-bipyridinium exhibits drastic changes in
absorption and circular dichroism spectra upon electrochemical redox reaction and
is fully characterized for the electrically driven chiroptical switching
properties.
PMID- 18047353
TI - Subphthalocyanine-dehydro[18]annulenes.
AB - A subphthalocyanine trimer built around a dehydro[18]annulene core was prepared.
The synthesis was achieved through direct homocoupling of an ortho-diethynyl
functionalized subphthalocyanine, obtained by palladium-catalyzed cross-coupling
of the corresponding diiodo-subphthalocyanine with an ethynyl derivative. The
lower analogue dehydro[12]annulene did not form in these homocoupling conditions.
The trimers were fully characterized and their electrochemical properties
investigated.
PMID- 18047355
TI - Synthesis of thromboxane B2 via ketalization/ring-closing metathesis.
AB - Total synthesis of thromboxane B2 using intermolecular ketalization followed by
ring-closing metathesis is reported. Other key steps include a Sharpless
asymmetric epoxidation to form an oxirane on the endo face of the bicyclic
acetal, epoxide opening using lithioacetonitrile, an allylic alcohol 1,3
transposition, and Mitsunobu lactonization.
PMID- 18047354
TI - Synthetic and biosynthetic studies on FR900482 and mitomycin C: an efficient and
stereoselective hydroxymethylation of an advanced benzazocane intermediate.
AB - We report a simple, efficient, and stereoselective Mukaiyama aldol approach to
install the key hydroxymethyl moiety into the benzazocane framework of FR900482.
Synthetic investigations revealed that the reaction is highly dependent upon the
electronics of the aromatic ring. This approach enabled the economical
introduction of a [13C] label to study the biosynthesis of these structurally and
biogenetically related natural products. Epimerization of the initially formed
beta-hydroxy ketone may enable access to mitomycin C or FR900482 biosynthetic
congeners.
PMID- 18047356
TI - Synthesis and study of differentially substituted dibenzotetraazafulvalenes.
AB - A series of functionalized dibenzotetraazafulvalenes have been synthesized and
characterized using X-ray crystallography, UV-vis spectroscopy, and cyclic
voltammetry. The solid-state structures, electronic properties, and redox
potentials of these compounds varied in accordance with the nature of the pendant
arene substituent.
PMID- 18047357
TI - Simple palladium(II) precatalyst for Suzuki-Miyaura couplings: efficient
reactions of benzylic, aryl, heteroaryl, and vinyl coupling partners.
AB - trans-PdBr(N-Succ)(PPh3)2 (1) is a universally effective precatalyst for Suzuki
Miyaura cross-couplings of benzylic halides with aryl- or heteroarylboronic
acids. Substituted aryl halides and halogenated cyclic enones can be cross
coupled with aryl- or vinylboronic acids in excellent yields. Catalyst recycling
is also demonstrated.
PMID- 18047358
TI - New safety-catch photolabile protecting group.
AB - Photolabile protecting groups have proven their usefulness on many occasions.
Their versions as linkers are however less attractive, as robustness and real
orthogonality become critical issues. Safety-catch systems, where a preliminary
activation phase is necessary, circumvent the problem of premature cleavage. In
this work, we introduce a new safety-catch photolabile protecting group, whose
cleavage requires the simultaneous presence of light and a chemical promoter.
PMID- 18047359
TI - Efficient synthesis of highly substituted Pyrrolin-4-ones via PIFA-mediated
cyclization reactions of enaminones.
AB - A convenient and efficient synthesis of highly substituted pyrrolin-4-ones is
developed via the PIFA-mediated cyclization reactions of readily available
enaminones, and a mechanism involving sequential cleavage of N-C bond, formation
of new N-C bond, intramolecular addition reaction, and benzilic acid type
rearrangement is proposed.
PMID- 18047360
TI - Ring enlargement of cyclic acetals and ketals: a way to seven-membered nucleoside
phostones.
AB - Novel seven-membered nucleoside phostones were prepared by the reaction of
chlorodiethyl phosphite with 3',5'-acetals or ketals derived from xylo-dT. A
mechanism for the ring enlargement was proposed, and support for it was provided
by ab initio calculations.
PMID- 18047361
TI - Synthesis of (+)-didemniserinolipid B via ketalization/ring-closing metathesis.
AB - A modular synthesis of didemniserinolipid B is reported. Central to this
synthesis was the use of a ketalization/ring-closing metathesis (K/RCM) strategy
to establish the 6,8-dioxabicyclo[3.2.1]octane core. The C10 axial alcohol was
established via a selective epoxidation, followed by reductive trans-diaxial
epoxide opening. The serinol and unsaturated ester side chains were introduced by
a Williamson etherification and cross metathesis, respectively.
PMID- 18047362
TI - Scope of a novel three-component synthesis of highly functionalized
pyridines(dagger).
AB - A mechanistically unique three-component synthesis provides a variety of
functionalized pyridine derivatives in fair to excellent yields. The scope of
this reaction was studied with respect to the alkoxyallene, the nitrile, and the
carboxylic acid. Due to the 4-hydroxy group, these pyridine derivatives are
suitable precursors for subsequent palladium-catalyzed reactions. Suzuki
couplings of the corresponding pyridyl nonaflates lead to a variety of pyridine
and bipyridine derivatives.
PMID- 18047363
TI - Direct aminoalkylation of arenes and hetarenes via Ni-catalyzed Negishi cross
coupling reactions.
AB - A direct room-temperature Ni-catalyzed cross-coupling of aminoalkylzinc halides,
readily available from the corresponding aminoalkyl chlorides via Grignard
reagents, with aryl and hetaryl electrophiles, allows a convenient one-step
preparation of aminoalkyl (het)arenes, bearing a basic tertiary nitrogen in the
side chain, including piperidine and tropane derivatives. Such aminoalkylarene
scaffolds are widely present in various biologically active molecules.
PMID- 18047364
TI - Benzo-21-crown-7/secondary dialkylammonium salt [2]pseudorotaxane- and
[2]rotaxane-type threaded structures.
AB - We demonstrate that secondary dialkylammonium salts can thread through the cavity
of benzo-21-crown-7 to form [2]pseudorotaxanes with binding constants (527-1062 M
1 in acetone) higher than the corresponding values (135-261 M-1 in acetone) of
the analogous complexes with their traditionally used host, dibenzo-24-crown-8.
Based on this new benzo-21-crown-7/secondary dialkylammonium salt recognition
motif, a [2]rotaxane was successfully prepared. The formation of these threaded
structures was confirmed by proton NMR spectroscopy, electrospray ionization mass
spectrometry, and X-ray single crystal analysis.
PMID- 18047365
TI - ClickFerrophos: new chiral ferrocenyl phosphine ligands synthesized by click
chemistry and the use of their metal complexes as catalysts for asymmetric
hydrogenation and allylic substitution.
AB - The new ferrocenyl P,P- and P,N-ligands 5 and 6 (collectively, "ClickFerrophos")
were readily prepared in four steps using Click Chemistry methodology, starting
from the commercially available aminoferrocene 1. Rhodium and ruthenium complexes
of ClickFerrophos 5 were effective catalysts for the hydrogenation of alkenes and
ketones, respectively, producing products with up to 99.7% ee. The analogous
palladium complex with 6 worked well for asymmetric allylic alkylation.
PMID- 18047366
TI - An efficient synthesis of chiral beta-hydroxy sulfones via ru-catalyzed
enantioselective hydrogenation in the presence of iodine.
AB - Ru-SUNPHOS catalyzed asymmetric hydrogenation of a variety of sulfonyl ketones (R
= alkyl, aryl) in the presence of iodine gave enantioenriched hydroxyl sulfones
with good catalytic efficiency. Further investigation revealed that the in situ
generated anhydrous HI is the operating additive.
PMID- 18047367
TI - Platinum(II)-catalyzed cross-coupling of polyfluoroaryl imines.
AB - The introduction of fluorine into an organic molecule imparts unique
physicochemical properties. Not surprisingly, fluorine is increasingly
incorporated into new drugs and agrochemicals. However, aryl fluoride building
blocks are only available through synthesis. The ability to cross-couple
polyfluoroaromatics selectively could provide a convenient route to
functionalized fluoroaromatics. We report herein the first examples of Pt
catalyzed cross-coupling of aryl fluorides. The methylated products can
potentially serve as precursors to a wide range of functionalized fluorinated
small molecules.
PMID- 18047368
TI - Electrocatalytic oxidative cleavage of electron-deficient substituted stilbenes
in acetonitrile-water employing a new high oxidation potential electrocatalyst.
An electrochemical equivalent of ozonolysis.
AB - A series of symmetrical and unsymmetrical stilbenes bearing two or more strong
electron-withdrawing groups were oxidatively cleaved to the corresponding
aldehydes in high yield by electrocatalytic anodic oxidation in aqueous
acetonitrile employing a new high oxidation potential triphenylamine
electrocatalyst. The oxidations apparently involve the corresponding 1,2-diols,
which are also converted to aldehydes in high yield under the same conditions.
PMID- 18047369
TI - Enantioselective synthesis of chiral sulfones by Rh-catalyzed asymmetric addition
of boronic acids to alpha,beta-unsaturated 2-pyridyl sulfones.
AB - A general and efficient method for the rhodium-catalyzed enantioselective
catalytic conjugate addition of organoboronic acids to alpha,beta-unsaturated
sulfones is described. The success of the process relies on the use of alpha,beta
unsaturated 2-pyridyl sulfones as key metal-coordinating substrates; typical
sulfones such as vinyl phenyl sulfones are inert under the reaction conditions.
Among a variety of chiral ligands, Chiraphos provided the best asymmetric
induction. This rhodium [Rh(acac)(C2H4)2]/Chiraphos catalyst system has a broad
scope, being applicable to the addition of both aryl and alkenyl boronic acids to
cis and trans alpha,beta-unsaturated 2-pyridyl sulfones. In most cases,
especially in the addition of aryl boronic acids, the reactions take place
cleanly and with high enantioselectivity, affording chiral beta-substituted 2
pyridyl sulfones in good yields and enantioselectivities (70-92% ee). The sense
and magnitude of this enantioselectivity have been studied by DFT theoretical
calculations of the aryl-rhodium insertion step. These calculations strongly
support the formation of a five-membered pyridyl-rhodium chelated species as the
most stable complex after the insertion into the C=C bond. These highly
enantioenriched chiral sulfones are very appealing building blocks in
enantioselective synthesis. For instance, the straightforward elimination of the
2-pyridylsulfonyl group by either Julia-Kociensky olefination or
alkylation/desulfonylation sequences provides a variety of functionalized chiral
compounds, such as allylic substituted alkenes or beta-substituted ketones and
esters.
PMID- 18047370
TI - Fluorinated alcohol mediated displacement of the C10 acetoxy group of
benzo[a]pyrene-7,8,9,10-tetrahydrotetraol tetraacetates: a new route to diol
epoxide-deoxyguanosine adducts.
AB - We describe a novel trifluoroethanol (TFE) or hexafluoropropan-2-ol (HFP)
mediated substitution reaction of the bay-region C10 acetoxy group in four
stereoisomeric 7,8,9,10-tetraacetoxy-7,8,9,10-tetrahydrobenzo[a]pyrenes (tetraol
tetraacetates, two pairs of cis and trans isomers at the 9,10 positions) by the
exocyclic N2-amino group of O6-allyl-3',5'-di-O-(tert-butyldimethylsilyl)-2'
deoxyguanosine (3). The tetraacetates are derived from cis and trans hydrolysis
of (+/-)-7beta,8alpha-dihydroxy-9beta,10beta-epoxy-7,8,9,10
tetrahydrobenzo[a]pyrene (B[a]P DE-1) and of (+/-)-7beta,8alpha-dihydroxy
9alpha,10alpha-epoxy-7,8,9,10-tetrahydrobenzo[a]pyrene (B[a]P DE-2) at C-10
followed by acetylation. Excellent yields and high regioselectivity were
observed. Similar cis/trans product ratios were observed for each set of cis and
trans tetraol tetraacetates derived from DE-1 ( approximately 75/25) and from DE
2 (approximately 67/33) in HFP. This strongly suggests that the substitution
proceeds via an SN1 mechanism involving a carbocation intermediate that is common
to the cis and trans tetraacetates. Since it is likely that the cis and trans
products from 3 arise from different conformations of the carbocation, its
lifetime must be sufficiently long to permit conformational equilibration before
its capture by the purine nucleophile. The corresponding reaction of (+/-)-9alpha
bromo-7beta,8alpha,10beta-triacetoxy-7,8,9,10-tetrahydrobenzo[a]pyrene with 3 in
HFP was highly regio- and stereoselective and gave exclusively trans 10beta
adducts. This newly developed substitution reaction provides an attractive
alternative synthetic strategy for the preparation of polycyclic hydrocarbon
adducted oligonucleotide building blocks.
PMID- 18047371
TI - The decarboxylative Blaise reaction.
AB - Reaction of aryl nitriles with potassium ethyl malonate in the presence of zinc
chloride and a catalytic amount of Hunig's base provided beta-amino acrylates in
moderate to good yield. Compared to the classical Blaise reaction, this reaction
is safer (endothermic), devoid of lacrimatory reagent, and is possible with 0.5
1.0 equiv of zinc chloride.
PMID- 18047372
TI - Asymmetric Mannich reaction of dicarbonyl compounds with alpha-amido sulfones
catalyzed by cinchona alkaloids and synthesis of chiral dihydropyrimidones.
AB - The highly enantioselective cinchona alkaloid-catalyzed Mannich reaction of
dicarbonyl compounds with alpha-amido sulfones as acyl imine precursors is
described. The reaction requires 10 mol % of the cinchona alkaloid catalyst,
which serves as a general base to generate acyl imines in situ, and aqueous
Na2CO3 to maintain the concentration of free alkaloid catalyst. The reaction
products are obtained in good yields and high enantioselectivities, and in
diastereoselectivities that range from 1:1 to >95:5. The cinchonine-catalyzed
reactions provide practical access to highly functionalized building blocks which
have been employed in the synthesis of chiral dihydropyrimidones, a class of
compounds rich in diverse biological activity. Dihydropyrimidone modifications
include a highly diastereoselective hydrogenation of the enamide moiety, using an
H-Cube flow hydrogenator and a Rh(II)-mediated 1,3-dipolar cycloaddition to
afford highly functionalized complex heterocycles.
PMID- 18047373
TI - New insight into the reaction of singlet oxygen with sulfur-containing cyclic
alkenes: dye-sensitized photooxygenation of 5,6-dihydro-1,4-dithiins.
AB - The reaction of 3-methyl-5,6-dihydro-1,4-dithiins with singlet oxygen affords
dicarbonyl compounds and/or ring-contracted ketosulfoxides, the latter regio- and
stereoselectively, depending on the nature of the substituent at C-2 and on the
reaction conditions. In competition with normal fragmentation, the intermediate
dioxetanes, derived from [2 + 2] cycloaddition of singlet oxygen to the double
bond, undergo an intramolecular oxygen transfer to the sulfur-1 atom, leading to
labile epoxide intermediates. The latter convert to cis- and trans-ketosulfoxides
through a non-concerted S-4 migration. This pathway is promoted by the electron
withdrawing group at C-2 and, for monosubstituted amide, by the solvent basicity.
S-Oxidation of dithiins is insignificant, except for the monosubstituted amide
derivative or in the presence of protic species, and occurs selectively at the S
1 atom.
PMID- 18047375
TI - Molecular complexity from aromatics: a novel, stereoselective route to
tricyclo[5.2.2.0(1,5)]undecenones, tricyclo[6.2.2.0(1,6)]dodecenones, and
[n.3.3]propellanes.
AB - A general stereoselective route to functionalized and substituted tricyclo
[5.2.2.0(1,5)]undecenones, tricyclo[6.2.2.0(1,6)]dodecenones, and [3.3.3]- and
[4.3.3]propellanes from simple aromatic precursors is reported. The methodology
involves generation and cycloaddition of annulated cyclohexa-2,4-dienones with
various acrylates followed by manipulation of the resulting tricyclic adducts,
leading to functionalized tricyclo[5.2.2.0(1,5)]undecenones and
tricyclo[6.2.2.0(1,6)]dodecenones endowed with a beta,gamma-enone chromophore.
Photochemical reaction of the tricyclic chromophoric systems followed by
reductive cleavage provided an efficient entry into propellanes.
PMID- 18047374
TI - Toward selective reactions with C-H bonds: a rationale for the regio- and
stereochemistry of dichlorocarbene insertions into cyclic hydrocarbons.
AB - DFT calculations have been performed to study the course of dichlorocarbene
insertion reactions into alkanes and to better understand the regio- and
stereoselectivities observed. At the B3LYP/6-31G(d) level of theory, the
selectivity of dichlorocarbene insertions into a number of hydrocarbons agrees
well with the obtained experimental results. The reactivity of a specific C-H
bond is determined by the capacity of the remaining alkyl fragment to effectively
delocalize the partial positive charge buildup during the reaction. This activity
can readily be estimated by calculation of the hydride transfer potential (HTP).
A comparison with the structure and the stability of the corresponding cation is
useful to emphasize the origins of the selectivity. Dichlorocarbene is also
predicted to react efficiently with acidic C-H bonds through a nucleophilic
electrophilic mechanism. In principle, an attack of a carbene on an appropriately
substituted three-membered ring may lead to fragmentation of the molecule.
PMID- 18047376
TI - Dynamic transitions in molecularly thin liquid films under frictional sliding.
AB - The friction properties of the molecularly thin films of an asymmetric ether, 1,3
dimethylbutyl hexadecyl ether (DBHE), confined between mica surfaces were
investigated using the surface forces apparatus. Kinetic friction was measured as
a function of normal load and sliding velocity, and the static friction
(stiction) was measured as a function of normal load and surface stopping time.
Kinetic friction measurements exhibited unstable sliding dynamics: the friction
force exhibited cyclic bumps and valleys in the sliding velocity range from about
10(-2) to 1 microm/s, but above and below the velocity range, smooth sliding was
observed. Stop-start experiments showed a stiction spike when surface stopping
time exceeded a characteristic nucleation time, indicative of the static friction
state at very low sliding velocity. These results imply that the friction of the
confined DBHE film has at least three responsible friction states--static
friction and two different kinetic friction states--depending on the sliding
velocity. The unstable sliding (bumps and valleys of the friction force) reflects
the dynamic transition between two different kinetic states. The different
friction states and their transitions are discussed on the basis of the recent
experiments and theories of "inverted" stick-slip friction.
PMID- 18047377
TI - Coordinated NH3-removal-induced hydrogen adsorption of Cu-complex crystals.
AB - We synthesized a discrete type of organic-inorganic hybrid crystal
[Cu(ina)2(NH3)2(H2O)2] (ina = isonicotinate). The monomer units connect to each
other with hydrogen bonds and pi-pi interactions, forming a three-dimensional
network. Removal of ammonia and water molecules by vacuum heating treatment
induced a substantial change from nonporous to porous crystals. The resultant
porous crystals can predominantly adsorb supercritical hydrogen rather than
nitrogen vapor at 77 K.
PMID- 18047378
TI - Monomer adsorption on equilateral triangular lattices with attractive first
neighbor interactions.
AB - We have recently studied a model of monomer adsorption on infinitely long
equilateral triangular lattices with terraces of finite width M and nonperiodic
boundaries. This study was restricted to the case of repulsive adsorbate
adsorbate first-neighbor interactions but included attractive, repulsive, and
negligible second-neighbor interactions. The present work extends this study to
the case of attractive first-neighbors, and the phases are determined, as before,
with a confidence exceeding 10 significant figures. Phase diagrams are included
for terrace widths M < or =11. Most of the occupational characteristics of the
phases fit exact analytic expressions in M. The infinite-M limit of these
expressions, combined with other analyses, provide the complete phase diagram for
the infinite two-dimensional lattice. In addition to the empty and full coverage
phases, there are three phases exhibiting stripe and cluster features that were
not observed in the case of repulsive first-neighbors.
PMID- 18047379
TI - Tailor-made surface properties of particles with a hydrophilic or hydrophobic
polymer shell mediated by supercritical CO2.
AB - Controlling the surface characteristics of inorganic materials with an organic
shell is of great interest for control of the properties of the final material. A
challenge is thus to be able to deposit a polymer shell with different solvation
properties onto the surface of inorganic particles and to have a good control of
the thickness of the organic layer without a prefunctionalization of surfaces. We
demonstrate, in this paper, a method for coating silica particles (170-550 nm),
used as model substrates, with either a hydrophilic (polyethylene glycol) or a
hydrophobic polymer (polybutadiene hydroxy terminated) using a supercritical
antisolvent process (precipitation from a compressed antisolvent). Several
operating parameters were studied to control precisely the thickness of the
deposited layer (from 2 to 30 nm), which was characterized using TEM, FESEM, XPS,
and UV-visible techniques. This work demonstrates that supercritical antisolvent
processes are powerful methods and good alternatives to conventional coating
techniques toward the development of hybrid and/or core-shell nanomaterials.
PMID- 18047380
TI - A computer simulation study of stick-slip transitions in water films confined
between model hydrophilic surfaces. 1. Monolayer films.
AB - The shear behavior of monolayer water films confined in a slit-like pore between
hydrophilic walls is simulated in the quasistatic regime using the grand
canonical Monte Carlo technique. Each wall is represented as a hexagonal lattice
of force sites that interact with water through an orientation-dependent hydrogen
bonding potential. When the walls are in registry, the water oxygen atoms form
either a crystal- or fluid-like structure, depending on the period of the wall's
lattice. In both cases, however, the monolayer structure is orientationally
disordered. Both the crystal- and fluid-like monolayers prove to be capable of
experiencing well-defined stick-slip transitions, with the largest yield stress
occurring in the crystal-like case. Beyond the yield point, the crystal-like
monolayers "melt", but their structure and molecular motion differ in many
respects from those characteristic of normal fluids.
PMID- 18047382
TI - Preparation and liquid crystalline properties of spherical cellulose
nanocrystals.
AB - A novel kind of spherical cellulose nanocrystal (SCNC) suspension was prepared by
hydrolysis of microcrystalline cellulose with a mixture of sulfuric acid and
hydrochloric acid under ultrasonic treatment. The mechanism of SCNC formation and
the liquid crystalline properties of their suspensions were investigated. A
suspension of spherical particles was usually inclined to form crystallization
colloids rather than liquid crystals at high concentration. However, a SCNC
suspension with high polydispersity (49%) was observed to form the liquid
crystalline phase, and the liquid crystalline textures changed with increasing
concentration. This observation offers an approach to the liquid crystal
formation of highly polydisperse spherical nanoparticles.
PMID- 18047381
TI - A tetrafluorophenyl activated ester self-assembled monolayer for the
immobilization of amine-modified oligonucleotides.
AB - A tetrafluorophenyl (TFP) ester-terminated self-assembled monolayer (SAM) for the
fabrication of DNA arrays on gold surfaces is described. Activated ester SAMs are
desirable for biomolecule array fabrication because they readily react with amine
containing molecules to form a stable amide linkage. N-Hydroxysuccinimide (NHS)
ester SAMs are commonly used for this purpose but are subject to a competing
hydrolysis side reaction, limiting their effectiveness under basic conditions.
TFP was evaluated here as an alternative activated ester leaving group with a
potentially greater stability under basic conditions. It is shown that TFP SAMs
are much more stable to basic pH than their NHS analogs and are also more
hydrophobic, which is an advantage in the fabrication of high-density spotted
arrays. DNA arrays prepared on TFP SAMs at pH 10 have a 5-fold greater surface
density of DNA molecules, reduced fluorescence background, and smaller spot radii
than those prepared on NHS SAM analogs.
PMID- 18047383
TI - Exciton dissociation and stark effect in the carbon nanotube photocurrent
spectrum.
AB - The field-dependent photocurrent spectrum of individual carbon nanotubes is
measured using a displacement photocurrent technique. A series of peaks is
observed in the photocurrent corresponding to both excitonic and free carrier
transitions. The photocurrent peak corresponding to the ground state exciton
increases by a factor of 200 beyond a critical electric field, and shows both red
and blue shifts depending on the field regime. This provides evidence for field
induced mixing between excitonic and free carrier states.
PMID- 18047385
TI - When NICE says no!
PMID- 18047386
TI - NICE cost-effectiveness appraisal of cholinesterase inhibitors: was the right
question posed? Were the best tools used?
AB - The National Institute for Health and Clinical Excellence (NICE) recently issued
updated guidance on the use of cholinesterase inhibitors in the treatment of
Alzheimer's disease. NICE initially recommended that cholinesterase inhibitors no
longer be used, but final guidance restricted treatment to patients with disease
of a moderately severe stage. This decision was based largely on results from a
heavily criticised economic evaluation that used an adaptation of the Assessment
of Health Economics in Alzheimer's Disease (AHEAD) model. As the developers of
the AHEAD model, we examined the appropriateness of NICE's economic analyses and
presentation of results. We attempted to replicate NICE's results by modifying
the original AHEAD model. Sensitivity analyses were then run using the modified
AHEAD model to evaluate the extent of uncertainty in predictions. The AHEAD(NICE)
analyses resulted in an incremental cost-effectiveness ratio for galantamine of
82,000 pound per QALY gained (year 2003 values) from the perspective of the UK
NHS and Personal Social Services. This was later revised to 46,000 pound per
QALY, compared with < 9000 pound per discounted QALY gained (year 2001 values) in
the original AHEAD model. Using our modified AHEAD with effectiveness estimates
matching those of AHEAD(NICE), we show that NICE's choice and presentation of
sensitivity analyses obscured the instability of their estimates. In the final
NICE evaluation, the recommendation to delay treatment with cholinesterase
inhibitors until patients have moderately severe disease was based on critical
assumptions in the economic analyses that had little evidence to support them.
The case of NICE's guidance on cholinesterase inhibitors highlights the
importance of transparent and valid economic evaluations and the dangers of using
inappropriate modelling technologies, basing analyses on a limited subset of the
available data, and insufficiently reflecting the uncertainty in estimates that
are intended to inform decision makers.
PMID- 18047387
TI - Recombinant factor VIIa (eptacog alfa): a pharmacoeconomic review of its use in
haemophilia in patients with inhibitors to clotting factors VIII or IX.
AB - Recombinant factor VIIa (NovoSeven; also known as recombinant activated factor
VII or eptacog alfa) is indicated as an intravenous haemostatic agent in
haemophilia patients with inhibitors to clotting factors VIII or IX. In
noncomparative trials in haemophilia patients with inhibitors, on-demand home
treatment with recombinant factor VIIa was effective in controlling episodes of
mild to moderate bleeding and well tolerated, with early treatment being
associated with a greater rate of success and the need for fewer doses than
delayed treatment. Prophylactic treatment with recombinant factor VIIa was also
effective in maintaining haemostasis in patients with this indication undergoing
surgery. Relative to prior treatment with plasma-derived agents, treatment with
recombinant factor VIIa was associated with improvements in health-related
quality of life in a cost-utility study in haemophilia patients with inhibitors
in Australia. In well designed decision-model cost analyses conducted from a
healthcare payer perspective in several countries, on-demand treatment with
recombinant factor VIIa to control mild to moderate bleeding episodes in this
patient population was predicted to be cost saving or cost neutral relative to on
demand treatment with intravenous activated prothrombin complex concentrate
(aPCC). Although the acquisition cost of recombinant factor VIIa was greater than
that of aPCC in some studies, the greater initial efficacy of recombinant factor
VIIa than aPCC resulted in lower predicted total medical costs. Results were
generally robust to plausible changes in key parameters. Orthopaedic surgery with
recombinant factor VIIa to maintain haemostasis in haemophilia patients with
inhibitors was generally predicted to be cost saving, relative to not having
surgery, over the medium to long term in modelled cost analyses from a healthcare
payer perspective in the UK and US. The initial cost of surgery was high, but the
difference in costs between patients undergoing or not undergoing surgery was
predicted to decline over time, as savings were realised from the decrease in the
number of bleeding episodes requiring treatment in patients who underwent
surgery. In haemophilia patients with inhibitors, recombinant factor VIIa is
clinically effective in controlling mild to moderate bleeds, and in maintaining
haemostasis in patients undergoing orthopaedic surgery. Available
pharmacoeconomic data from several countries, despite inherent limitations,
support the use of recombinant factor VIIa as a treatment option that is at least
cost neutral relative to aPCC in treating mild to moderate bleeds in this patient
population. In addition, orthopaedic surgery with recombinant factor VIIa to
maintain haemostasis in haemophilia patients with inhibitors is generally cost
saving relative to not having surgery over the medium to long term, as the
acquisition costs of recombinant factor VIIa are offset by cost savings resulting
from the decrease in the number of joint-related bleeds.
PMID- 18047389
TI - Economic evidence at the local level : options for making it more useful.
AB - Like other countries, Australia has had some success in incorporating economic
evidence into national healthcare decisions. However, it has been recognised that
this coverage does not extend to the local hospital or health region level. An
extensive body of research has identified barriers to the use of economic
evidence at the local level, leading some commentators to suggest that economic
evaluation should only be targeted at national decision-making bodies. Yet, local
decision makers in Australia and elsewhere make important choices about the
uptake and diffusion of healthcare technologies. We propose a number of
interrelated options to address the barriers that currently prohibit the use of
economic evaluation by local decision makers in many jurisdictions. These include
wider dissemination of user friendly models, inclusion of assessments of the cost
impact of interventions on various budgets, and the establishment of an
authoritative body that ensures the production of high quality economic models.
It is argued that these options can have a significant impact on the way economic
evaluations are conducted, reported, disseminated and used.
PMID- 18047388
TI - Atorvastatin: a pharmacoeconomic review of its use in the primary and secondary
prevention of cardiovascular events.
AB - Atorvastatin is a lipid-lowering agent that has been evaluated in a number of
primary and secondary intervention studies. In the primary prevention trials
ASCOT-LLA and CARDS, atorvastatin 10 mg/day significantly reduced cardiovascular
events compared with placebo. A prospectively conducted economic analysis of the
3.3-year ASCOT-LLA trial showed that atorvastatin was associated with incremental
cost-effectiveness ratios (ICERs) of euro11,693 (UK) and euro12,673 (Sweden) per
event avoided (2002 values). Longer-term modelled analyses using data from CARDS
showed ICERs of euro8046 (Spain) and 6471pound (UK) per QALY gained (2003/2004
values), and a US analysis showed atorvastatin was dominant versus no statin when
modelled over the lifetime of a representative US diabetic primary prevention
population. In a modelled analysis based on results of the IDEAL trial, which
showed significant reductions in cardiovascular endpoints with high-dose
atorvastatin (80 mg/day) compared with conventional-dose simvastatin in patients
with stable coronary heart disease, ICER values were below the commonly used cost
effectiveness threshold of euro50,000 per QALY gained in Norway, Sweden and
Denmark, but were above this threshold in Finland (2005 values). A modelled US
analysis that also included data from IDEAL and other sources showed an ICER of
$US33,400 per QALY gained, assuming the incremental difference in acquisition
cost between high-dose atorvastatin and conventional-dose simvastatin was
$US1.40/day (2005 value). Most cost-effectiveness analyses with atorvastatin in
patients with acute coronary syndrome used data from the 16-week MIRACL study,
which showed a significant reduction in cardiovascular events with high-dose
atorvastatin compared with placebo. Analyses were conducted in North America and
Europe and showed that 31-86% of the acquisition cost of high-dose atorvastatin
was offset by reductions in costs associated with cardiovascular events. Across
five countries, ICER values ranged from approximate $US850 to $US4100 per event
avoided (2000/2001 values). Another analysis conducted in the US used longer-term
data and showed that high-dose atorvastatin versus conventional-dose statin was
associated with an ICER of $US12,900 per QALY gained, assuming the daily
difference in acquisition cost was $US1.40 (2005 value). In conclusion,
atorvastatin has demonstrated beneficial effects on various cardiovascular
endpoints in large, well designed primary and secondary intervention trials.
These benefits in moderate- to high-risk patients were achieved at a relatively
low incremental cost and, across the economic analyses, a substantial proportion
of atorvastatin acquisition costs was offset by reductions in healthcare resource
use associated with cardiovascular events. Cost-effectiveness analyses based on
major clinical trials comparing atorvastatin with placebo, usual medical care,
simvastatin or pravastatin have generally shown that atorvastatin is associated
with favourable ICER values, often well below commonly used cost-effectiveness
thresholds. These modelled analyses have the inherent limitation that projecting
long-term outcomes beyond the time period of a clinical trial imparts a degree of
uncertainty to the results. Nevertheless, while some findings were sensitive to
changes in model assumptions, such as the long-term benefits of statin therapy,
most sensitivity analyses showed that results of the base-case analyses were
robust to plausible changes in key parameters. Although a clear pattern is not
evident from available data, intuitively, the value of atorvastatin would be
expected to increase with the patient's risk for serious cardiovascular events.
PMID- 18047390
TI - Oral antiplatelet therapy in secondary prevention of cardiovascular events: an
assessment from the payer's perspective.
AB - BACKGROUND: A wide variety of oral antiplatelet trials have been carried out, and
a large number of cost-effectiveness estimates based on them have been published.
OBJECTIVE: To assess the cost effectiveness of oral antiplatelet treatments in
the prevention of cardiovascular events. METHODS: A comprehensive literature
search was carried out in PubMed and the Cochrane Library and the data reviewed.
Cost-effectiveness or cost-utility studies of oral antiplatelets published since
2000 were selected. Cost-effectiveness analyses from the perspective of the UK
NHS were then carried out using a Markov model with a 6-month cycle length and a
lifetime horizon. Inputs from the CAPRIE, CHARISMA, (PCI)-CURE, CREDO, COMMIT,
CLARITY, ESPS 2 and ESPRIT trials were included. All estimates of cost found (per
event avoided, per QALY gained or per life-year gained) were included. Results
were analysed in light of the National Institute for Health and Clinical
Excellence (NICE) guidelines for the use of antiplatelets for the prevention of
cardiovascular events and all estimates were updated to pound (year 2006 values)
for easy comparison. RESULTS: Of the initial 141 studies found, 21 were included
in the initial review. The literature and the Markov model subsequently used
suggest that aspirin (acetylsalicylic acid) dominates placebo for the secondary
prevention of cardiovascular events, as it is effective, is also less costly and
is as well tolerated as placebo. Additionally, in periods or patients with
elevated risk, more intensive treatment with clopidogrel (alone or together with
aspirin) is cost effective compared with aspirin alone for the secondary
prevention of ischaemic events. For secondary stroke prevention, combination
therapy with aspirin and dipyridamole has a favourable incremental cost
effectiveness ratio (ICER) when compared with aspirin alone and, based on an
indirect comparison, also when compared with clopidogrel. CONCLUSIONS: The cost
effectiveness estimates presented in this article support the NICE guidelines for
the use of antiplatelets for the prevention of cardiovascular events. Based on
these pharmacoeconomic data alone, aspirin should be prescribed for primary or
secondary prevention among patients at high risk of cardiovascular events,
dipyridamole for the secondary prevention of stroke (for a maximum of 5 years),
and clopidogrel for the treatment of symptomatic cardiovascular disease or acute
coronary syndrome (for a maximum of 2 years). The cost effectiveness of
antiplatelets hinges on the patient's initial risk, the risk reduction associated
with treatment, and the price of the treatment. Evidence suggests that the cost
effectiveness of antiplatelets can be optimized by individualising the treatment
decision based on patient risk and expected risk reduction.
PMID- 18047392
TI - The impact of a rural emergency department rotation on applicant ranking of a US
emergency medicine residency program.
AB - INTRODUCTION: Few US emergency medicine (EM) residency programs have been located
in rural states due to program requirements for emergency department (ED) patient
volume. Recent revision to the program requirements now permits 'educationally
justifiable exceptions' to the patient population requirement, 'such as clinical
sites in a rural setting', and some EM residency programs now plan to offer rural
ED clinical experiences as a required curricular component. The impact of a
required rural EM rotation on the ranking decisions of applicants is important to
residency programs seeking to attract the most desirable applicants. OBJECTIVE:
To assess the impact of a required rural ED rotation on applicant ranking of an
EM residency program in the US National Resident Matching Program (NMRP).
METHODS: All applicants to the study's EM residency program completing the
interview portion of the application process received a mailed and emailed survey
following the release of the 2004 NMRP results. The survey included questions
addressing the rural/non-rural classification of the location of the applicants'
childhood home, medical school, and anticipated future practice. RESULTS: Of 46
eligible subjects, 32 (69.6%) completed the survey. Of subjects with a rural
childhood, 73.3% reported a positive impact on rank order (95% CI 50.9-95.7%) and
26.7% reported no impact (CI 4.3-49.1%); 81.3% of subjects with non-rural
backgrounds reported no impact (CI 62.2-100%), 12.5% higher rank (CI 0-28.7%),
and 6.3% lower (CI 0-18.2%). If planning a future practice in a rural community,
83.3% reported positive impact (CI 62.2-100%) and 16.7% no impact (CI 0-37.8%);
78.9% of subjects anticipating future practice in non-rural communities reported
no impact (CI 60.6-97.3%), 15.8% higher rank (CI 0-32.2%), and 5.3% lower (CI 0
15.4). Of the subjects attending medical school in rural states, 52.2% reported a
positive impact (CI 31.8-72.6%) and 47.8% no impact (CI 27.4-68.2%), while 75% of
graduates of medical schools in non-rural states reported no impact (CI 32.6
100%) and 25% (CI 0-67.4%) a negative impact. CONCLUSION: The presence of a rural
ED rotation did not adversely impact EM residency applicants' ranking of the
program.
PMID- 18047393
TI - Molecular and proteomic characterization of human mesenchymal stem cells derived
from amniotic fluid: comparison to bone marrow mesenchymal stem cells.
AB - Human mesenchymal stem cells (hMSCs) constitute a population of multipotent
adherent cells able to give rise to multiple mesenchymal lineages such as
osteoblasts, adipocytes, or chondrocytes. So far, the most common source of MSCs
has been the bone marrow (BM); however BM-MSC harvesting and processing exhibits
major drawbacks and limitations. Thus, identification and characterization of
alternative sources of MSCs are of great importance. In the present study, we
isolated and expanded fetal MSCs from second-trimester amniotic fluid (AF). We
documented that these cells are of embryonic origin, can differentiate under
appropriate conditions into cell types derived from all three germ layers, and
express the pluripotency marker Oct-4, the human Nanog protein, and the stage
specific embryonic antigen-4 (SSEA-4). Furthermore, we systematically tested the
immunophenotype of cultured MSCs by flow cytometry analysis using a wide variety
of markers. Direct comparison of this phenotype to the one derived from cultured
BM-MSCs demonstrated that cultured MSCs from both sources exhibit similar
expression patterns. Using the two-dimensional gel electrophoresis and matrix
assisted laser desorption ionization time-of-flight mass spectrometry (MALDI-TOF
MS) approach, we have generated for the first time the protein map of cultured AF
MSCs by identifying 261 proteins, and we compared it directly to that of cultured
BM-MSCs. The functional pattern of the identified proteins from both sources was
similar. However, cultured AF-MSCs displayed a number of unique proteins related
to proliferation and primitive phenotype, which may confer to the distinct
features of the two types. Considering the easy access to this new cell source
and the yield of expanded MSCs for stem cell research, AF may provide an
excellent source of MSCs both for basic research and for potential therapeutic
applications.
PMID- 18047394
TI - Habitat factors influencing distributions of Anaplasma phagocytophilum and
Ehrlichia chaffeensis in the Mississippi Alluvial Valley.
AB - Human monocytotropic ehrlichiosis (HME), caused by the bacterium Ehrlichia
chaffeensis, and human granulocytic anaplasmosis (HGA), caused by the bacterium
Anaplasma phagocytophilum, are two emerging tick-borne zoonoses of concern.
Factors influencing geographic distributions of these pathogens are not fully
understood, especially at varying spatial extents (regional versus landscape) and
resolutions (counties versus smaller land units). We used logistic regression to
compare influences of physical environment, land cover composition, and landscape
heterogeneity on distributions of A. phagocytophilum and E. chaffeensis at
multiple spatial extents. Pathogen presence or absence was determined from white
tailed deer (Odocoileus virginianus) serum samples collected from 1981 to 2005.
Ecological predictor variables were derived from spatial datasets that
represented deer density, elevation, land cover, normalized difference vegetation
index (NDVI), hydrology, and soil moisture. We used three strategies (a priori,
exploratory, and spatial extent) to develop models. Best fitting models were
applied within a geographic information system to create predictive probability
surfaces for each bacterium. Ecological predictor variables generally resulted in
better fitting models for E. chaffeensis than A. phagocytophilum (90.5% and 68%
sensitivity, respectively), possibly as a result of differences in the natural
histories of tick vectors. Although alternative model development strategies
produced different models, in all cases bacteria presence or absence was affected
by a combination of soil moisture or flooding variables (thought to affect
primarily tick vectors) and forest cover or NDVI variables (thought to affect
primarily mammalian hosts). This research demonstrates the potential for modeling
the distributions of microscopic tick-borne pathogens using coarse regional
datasets and emphasizes the importance of forest cover and flooding as
environmental constraints, as well as the importance of considering ecological
variables at multiple spatial extents.
PMID- 18047395
TI - Climate change effects on plague and tularemia in the United States.
AB - Plague and tularemia are serious zoonotic diseases endemic to North America. We
evaluated spatial patterns in their transmission in view of changing climates.
First, we tested whether observed shifts since the 1960s are consistent with
expected patterns of shift given known climate changes over that period. Then, we
used general circulation model results summarizing global patterns of changing
climates into the future to forecast likely shifts in patterns of transmission
over the next 50 years. The results indicate that these diseases are indeed
shifting in accord with patterns of climatic shift, but that overall geographic
shifts will likely be subtle, with some northward movement of southern limits and
possibly northward movement of northern limits as well.
PMID- 18047396
TI - Submitter and technician observations, and animal rabies detection in
Massachusetts, 1992-2006.
AB - A relationship was detected between the submitter and technician observations and
animal rabies detection in Massachusetts during 1992-2006 by logistic regression
and Fisher exact testing. The results suggested that aggression (OR = 3.94, p <
0.0001), disorientation (OR = 1.17, p = 0.0006), paralysis (OR = 1.22, p =
0.041), unexplained wound (OR = 1.472, p < 0.0001), and found dead (OR = 1.16, p
= 0.0089) were independently associated with positive rabies testing results at
alpha 0.05 level adjusted by categorized animal species and type of animal.
Fisher exact test confirmed the relationship between embedded porcupine quills
and skunk spray of rabies-tested animals with positive rabies testing results.
PMID- 18047397
TI - Modeling the spatial distribution of crimean-congo hemorrhagic fever outbreaks in
Turkey.
AB - The more than 1100 human cases of Crimean-Congo Hemorrhagic Fever (CCHF) reported
in a continuing series of outbreaks that started in 2003 in Turkey constitute the
largest epidemic of the disease since CCHF was first recognized in 1944. The
spatial distribution of CCHF case reporting rates in Turkey was studied to look
for clusters of disease. We applied the spatial scan analysis to test the
hypothesis of whether there were areas with a higher than expected number of CCHF
cases. The analysis was conducted through windows of 10, 20, 40 and 80 km in
diameter[SR1] to determine whether clustering of cases was dependent on the size
of the scanning window. At the largest window size, consistent patterns of
significantly higher than expected numbers of CCHF cases were found in a total of
40 administrative districts. A predictive model to map the habitat suitability
for the vector tick was developed from satellite-based climate data and high
resolution features of the vegetation from Landsat images covering the whole
country. It was found that areas of higher risk (higher CCHF reporting) were
correlated (p<0.05) with zones of high climate suitability for the tick together
with a high rate of fragmentation of agricultural land interspersed between
forest and shrub-type vegetation.
PMID- 18047398
TI - Differential exposure to Anaplasma phagocytophilum in rodent species in northern
California.
AB - Anaplasma phagocytophilum is a zoonotic tick-borne rickettsial pathogen that
causes granulocytic anaplasmosis (GA) in humans, horses, and dogs. In California,
dusky-footed woodrats (Neotoma fuscipes) are a putative reservoir host, and
Ixodes pacificus is a vector for transmission from rodents to humans, dogs, and
horses. Cases are clustered in coastal and Sierra Nevada foothill regions, but
not necessarily in proximity to infected woodrats. This study was designed to
compare exposures and active infections of A. phagocytophilum in multiple rodents
at a fine spatial scale in a hyperenzootic area and to evaluate the spatial
clustering of infections. Of 331 rodents, the seroprevalence was 14.5%, with 60%
in tree squirrels (Sciurus griseus and Tamiasciurus douglasii), 29% in woodrats,
14% in flying squirrels (Glaucomys sabrinus), and 5% in chipmunks (Tamias senex).
No seropositive ground squirrels (Spermophilus beecheyi) were detected. The
seroprevalence was significantly higher west of the Trinity River (23.1%) than
east (11.8%) of the river. One Douglas squirrel and one western gray squirrel
were polymerase chain reaction (PCR) positive. There was more spatial clustering
among seropositive animals compared with all animals tested across the spatial
scales evaluated, and this clustering was significantly greater than expected by
chance alone. A significant cluster of 24 seropositive animals was found west of
the Trinity River, with a population of 56 animals considered within the 50%
population-at-risk, and a radius of 362.8 meters. The diversity of cricetine and
sciurid rodents infected suggests that squirrels and chipmunks may be
underappreciated contributors to A. phagocytophilum ecology in the western United
States. The spatial clustering of exposed animals suggests interesting underlying
spatially heterogeneous environmental variables that could facilitate the
persistence of A. phagocytophilum in nature.
PMID- 18047399
TI - The effect of the copper chelator tetraethylenepentamine on reactive oxygen
species generation by human hematopoietic progenitor cells.
AB - Clinical observations suggest that copper (Cu) plays a role in regulating
hematopoietic progenitor cell (HPC) development. Cu is known to generate
oxidative stress in cells which in turn affects proliferation, differentiation
and apoptosis. To study this role of Cu, we used double staining flow cytometry
to measure reactive oxygen species (ROS) generation by neonatal cord blood
derived CD34(+)CD38(-) cells. ROS was increased by Cu and was decreased by the Cu
chelator tetraethylenepentamine (TEPA). Previously, we showed that TEPA reduces
the free Cu content of HPCs and stimulates their ex vivo expansion. The present
results suggest that TEPA affects expansion of HPCs by lowering their oxidative
stress.
PMID- 18047400
TI - Image reconstruction in positron emission tomography (PET): the 90th anniversary
of Radon's solution.
AB - This year is the 90th anniversary of Radon's solution of how the values of a
function can be reconstructed from measured line integrals. In medical
tomography, Radon's method allows the formation of cross-sectional images of a
human's body from measurements outside the patient. This paper reviews the use of
Radon's method in positron emission tomography (PET) and presents some future
trends in PET.
PMID- 18047401
TI - [Registration of fundus images for generating wide field composite images of the
retina ].
AB - The composition of retinal images presents high demands to the applied methods.
Substantially different lighting conditions between the images, glarings and fade
outs within one image, large textureless regions and non-linear distortions are
the main challenges. We present a fully automatic algorithm for the registration
of images of the human retina and their overlay to wide field montage images
combining area-based and point-based approaches. The algorithm combines an area
based as well as a point-based approach for determining similarities between
images. Various measures of similarity were investigated, where the normalized
correlation coefficient was superior compared to the usual definitions of
transinformation. The transformation of the images was based on a quadratic model
that can be derived from the spherical surface of the retina. This model was
compared to four other parameterized transformations and performed best both
visually and quantitatively in terms of measured misregistration. Problems may
occur if the images are extremely defocused or contain very little relevant
structural information.
PMID- 18047402
TI - [ElePhant: an anatomic-electronic simulation system for the evaluation of
computer assisted interventions and surgical education].
AB - BACKGROUND: Suitable simulation systems providing realistic conditions are
required for preclinical evaluation of computer assisted interventions and
surgical training. Techniques are necessary for an objective detection of
injuries to the structures at risk. The aim of this study was the technical
realization of a simulation system for the ENT intervention, mastoidectomy.
MATERIALS AND METHODS: The basis of the simulation system was a CT scan of a
cadaver skull. Using 3D printing, an anatomical phantom with realistic bone-like
properties was created. Electronic detection systems were integrated into the
structures at risk. A study with 16 ENT surgeons was conducted to prove the
system's suitability for surgical training. RESULTS: The creation of simulation
systems for the objective evaluation of surgical intervention qualities is
feasible. A modular structure enables economic and simple replacement of the
simulation area. The modules are cost effective and reproducible with high
accuracy. The present study shows that the simulation system can be applied in
surgical education and evaluation as an alternative to cadavers. CONCLUSION:
Objective evaluation of injured structures at risk can be realized in real time.
The simulation system permits preclinical evaluation studies of computer assisted
instruments and surgical education. Reproducibility of the results makes multi
center studies possible.
PMID- 18047403
TI - An easily reproducible and biomechanically standardized model to investigate bone
healing in rats, using external fixation.
AB - Abstract We have established a new small animal model to investigate the process
of bone regeneration. A total of 42 male Sprague-Dawley rats received an
osteotomy of the left femur, stabilized with a custom-made external fixator. The
fixation method was chosen to create an easily reproducible, biomechanically well
defined model with minimized interference of the implant with the healing zone.
At 14 or 56 days post-operation, the animals were sacrificed and examined
biomechanically, histologically and radiologically. Radiologically, the femurs of
all animals were anatomically positioned directly post-operation and remained in
that position throughout the examination period. At 14 days post-operation, a
typical periosteal callus formation could be observed both histologically and
radiologically. At 56 days post-operation, the osteotomy was almost completely
bridged by periosteal callus and the biomechanical competence of the bones was
fully restored. Relative to the intact contralateral femur, the torsional
stiffness median was 130.3% (interquartile range 118.9-157.7%) and the maximum
torsional failure moment median was 135.6% (interquartile range 69.5-208.7%). As
this model provides standardized conditions, it is suitable for a wide range of
investigations and is particularly valuable for investigations of locally applied
therapies, such as osteoconductive materials or osteoinductive factors.
PMID- 18047404
TI - [ Improvement of femoral bone-cement adhesion in cemented revision hip
arthroplasty by application of an amphiphilic bonder in a dynamic femur expulsion
testing in vitro ].
AB - Cemented femoral stems have shown decreased longevity compared to cementless
implants in hip revision arthroplasty. The aim of this study was to evaluate the
effect of an amphiphilic bonder on bone cement stability in a biomechanical femur
expulsion test. A simplified hip simulator test setup with idealised femur stem
specimens was carried out. The stems were implanted into bovine femurs (group 1:
no bonder, n=10; group 2: bonder including glutaraldehyde, n=10; group 3: bonder
without glutaraldehyde, n=10). A dynamic loading (maximum load: 800 N; minimum
load: 100 N; frequency: 3 Hz; 105 cycles) was performed. Subsequently, the stem
specimens were expulsed axially out of their implant beds and maximum load at
failure was recorded. The static controls showed a mean maximum load to failure
of 4123 N in group 1, 8357.5 N in group 2 and 5830.8 N in group 3. After dynamic
loading, the specimens of group 2 reached the highest load to failure (8191.5 N),
followed by group 3 (5649.5 N) and group 1 (3462 N), respectively. In group 2, we
observed nine periprosthetic fractures at a load of 8400 N without signs of
interface loosening. Application of an amphiphilic bonder led to a significant
improvement of bonding stability, especially when glutaraldehyde was added to the
bonder. This technique might offer an increased longevity of cemented femur
revision stems in total hip replacement.
PMID- 18047408
TI - Inhomogeneities in heteroassociative memories with linear learning rules.
AB - We investigate how various inhomogeneities present in synapses and neurons affect
the performance of feedforward associative memories with linear learning, a high
level network model of hippocampal circuitry and plasticity. The inhomogeneities
incorporated into the model are differential input attenuation, stochastic
synaptic transmission, and memories learned with varying intensity. For a class
of local learning rules, we determine the memory capacity of the model by
extending previous analysis. We find that the signal-to-noise ratio (SNR), a
measure of fidelity of recall, depends on the coefficients of variation (CVs) of
the attenuation factors, the transmission variables, and the intensity of the
memories, as well as the parameters of the learning rule, pattern sparsity and
the number of memories stored. To predict the effects of attenuation due to
extended dendritic trees, we use distributions of attenuations appropriate to
unbranched and branched dendritic trees. Biological parameters for stochastic
transmission are used to determine the CV of the transmission factors. The
reduction in SNR due to differential attenuation is surprisingly low compared to
the reduction due to stochastic transmission. Training a network by storing
memories at different intensities is equivalent to using a learning rule
incorporating weight decay. In this type of network, new memories can be stored
continuously at the expense of older ones being forgotten (a palimpsest). We show
that there is an optimal rate of weight decay that maximizes the capacity of the
network, which is a factor of e lower than its nonpalimpsest equivalent.
PMID- 18047409
TI - Gamma oscillations and stimulus selection.
AB - More coherent excitatory stimuli are known to have a competitive advantage over
less coherent ones. We show here that this advantage is amplified greatly when
the target includes inhibitory interneurons acting via GABA(A)-receptor-mediated
synapses and the coherent input oscillates at gamma frequency. We hypothesize
that therein lies, at least in part, the functional significance of the
experimentally observed link between attentional biasing of stimulus competition
and gamma frequency rhythmicity.
PMID- 18047410
TI - Populations of tightly coupled neurons: the RGC/LGN system.
AB - A mathematical model, of general character for the dynamic description of coupled
neural oscillators is presented. The population approach that is employed applies
equally to coupled cells as to populations of such coupled cells. The formulation
includes stochasticity and preserves details of precisely firing neurons. Based
on the generally accepted view of cortical wiring, this formulation is applied to
the retinal ganglion cell (RGC)/lateral geniculate nucleus (LGN) relay cell
system, of the early mammalian visual system. The smallness of quantal voltage
jumps at the retinal level permits a Fokker-Planck approximation for the RGC
contribution; however, the LGN description requires the use of finite jumps,
which for fast synaptic dynamics appears as finite jumps in the membrane
potential. Analyses of equilibrium spiking behavior for both the deterministic
and stochastic cases are presented. Green's function methods form the basis for
the asymptotic and exact results that are presented. This determines the spiking
ratio (i.e., the number of RGC arrivals per LGN spike), which is the reciprocal
of the transfer ratio, under wide circumstances. Criteria for spiking regimes, in
terms of the relatively few parameters of the model, are presented. Under
reasonable hypotheses, it is shown that the transfer ratio is 85
years, suggesting that some degree of decreased thyroid activity at the tissue
level might have favorable effects in the oldest-old. However, the effects of
subtle thyroid dysfunction may be different in different age ranges. Since the
main studies supporting a role for SH as a risk factor for atherosclerosis,
cardiovascular disease, and all-cause mortality have been carried out in
populations aged > or =55-60 years, mild thyroid failure could concur to
increased cardiovascular risk in middle-aged and "young elderly" subjects, while
being devoid of detrimental effects and possibly protective in the oldest-old.
Further studies are needed to confirm this hypothesis.
PMID- 18047430
TI - Clinical features and therapeutic implication of papillary thyroid
microcarcinoma.
AB - Papillary thyroid microcarcinomas (PTMs) are small tumors (< or =1 cm of
diameter) that belong to the well-differentiated low-risk carcinomas of the
thyroid, which are characterized by benign behavior, probably of little clinical
significance, and do not affect patients' survival. They are found in otherwise
normal thyroids or in multinodular goiters with a clinical frequency varying
substantially according to different series. Sometimes, PTM may be associated
with lymph node metastases at presentation and/or locoregional recurrences during
follow-up. Distant metastases are extremely rare, but have been reported.
Although deaths related to PTM are almost unknown, PTM raises therapeutic
implications. This review addresses the issue of definition, treatment, and
follow-up of PTM.
PMID- 18047431
TI - Treatment of micropapillary carcinoma of the thyroid: where do we draw the line?
AB - Two patients with papillary thyroid cancer were seen in consultation after they
had been treated in other medical centers. Their cancers measured 1 and 1.2 mm,
respectively. Both patients were treated by two thyroid operations and (131)I
ablation. We believe that, apart from lobectomy, these therapies were not
justified. We draw attention to this to provide a topic for debate and to attempt
to prevent this happening to other patients.
PMID- 18047432
TI - The effect of type of delivery and povidone-iodine application at delivery on
cord dried-blood-specimen thyrotropin level and the rate of hyperthyrotropinemia
in mature and normal-birth-weight neonates residing in an iodine-replete area:
report of Tehran Province, 1998-2005.
AB - OBJECTIVE: To evaluate the effects of delivery type and of povidone-iodine
disinfection at delivery on cord dried-blood-specimen (DBS) thyrotropin (TSH)
concentrations. METHODS: From 1998 to 2005, cord DBSs were collected from live
deliveries in Tehran and Damavand, and TSH was assayed by a two-site
immunoradiometric assay. Hyperthyrotropinemia was defined as TSH > or =20 mU/L.
Vaginal deliveries prepared with povidone-iodine (VGL-PVP-I) or chlorhexidine
(VGL-CHL), and cesarean sections prepared with povidone-iodine (CS-PVP-I)
disinfection were assessed. Full-term, normal-size newborns were included. Iodine
concentrations were measured in urine samples collected from 132 pregnant women
immediately prior to delivery. RESULTS: Median (range) TSH was 5.3 (1.0 to >100)
mU/L in VGL-PVP-I (n = 23,432), 5.3 (1.0 to >100) mU/L in VGL-CHL (n = 5658), and
5.0 (1.0 to >100) mU/L in CS-PVP-I (n = 19,016) (p < 0.0001). Median TSH was
higher in VGL-PVP-I versus CS-PVP-I (p < 0.0001) and in VGL-CHL versus CS-PVP-I
(p < 0.0001). Hyperthyrotropinemia was present in 1.4%, 1.2%, and 0.8% of VGL-PVP
I, VGL-CHL, and CS-PVP-I deliveries, respectively, and was significantly higher
in VGL-PVP-I versus CS-PVP-I (p < 0.0001) and in VGL-CHL versus CS-PVP-I (p =
0.005). Median (range) urinary iodine concentration of pregnant women was 176 (20
4600) microg/L. CONCLUSION: Cord DBS TSH and rates of hyperthyrotropinemia are
lower in cesarean section than in vaginal deliveries. Povidone-iodine
disinfection at delivery has an effect neither on DBS TSH concentrations nor on
the rate of hyperthyrotropinemia in the iodine-replete area of Iran.
PMID- 18047433
TI - Management of thyroid dysfunction during pregnancy and postpartum: an Endocrine
Society Clinical Practice Guideline.
PMID- 18047435
TI - The effect of subsequent pregnancy on patients with thyroid carcinoma apparently
free of the disease.
PMID- 18047437
TI - A note on Lewicki-Sejnowski gradient for learning overcomplete representations.
AB - Overcomplete representations have greater robustness in noise environment and
also have greater flexibility in matching structure in the data. Lewicki and
Sejnowski (2000) proposed an efficient extended natural gradient for learning the
overcomplete basis and developed an overcomplete representation approach.
However, they derived their gradient by many approximations, and their proof is
very complicated. To give a stronger theoretical basis, we provide a brief and
more rigorous mathematical proof for this gradient in this note. In addition, we
propose a more robust constrained Lewicki-Sejnowski gradient.
PMID- 18047438
TI - The use of CranioSacral therapy in a physically impaired population in a
disability service in southern Ireland.
PMID- 18047439
TI - Duckweed (Lemna gibba L.) as a test organism for homeopathic potencies.
AB - OBJECTIVES: A bioassay with duckweed (Lemna gibba L.) was used to study the
effects of homeopathic potencies on the plant's growth rate. Screening included
12 substances: argentum nitricum, copper sulfate, gibberellic acid, 3-indole
acetic acid, kinetin, lactose, lemna minor, methyl jasmonate, metoxuron,
phosphorus, potassium nitrate, and sulfur. Each substance was tested in the
potency range 14x-30x. Controls were unsuccussed and succussed water. DESIGN: In
randomized and blinded experiments, duckweed was grown in either potentized
substances or water controls over 7 days. Frond (leaf) growth was measured
regularly with a computerized image analysis system and growth rates were
calculated for different time intervals (day 0-7, 0-3, 3-7). Additionally, a
water control run with unsuccussed water as the only test substance was performed
to determine the variability of the bioassay. RESULTS: For the water control run,
the between-group coefficient of variance for groups of five replicates was 0.87%
for the frond area-related average specific growth rate r(area) compared to 1.60%
for the frond number-related average specific growth rate r(num). Thus, the
former is the preferred parameter to be used. Of twelve tested substances,
potentized argentum nitricum, phosphorus, and kinetin significantly (p<0.05,
analysis of variance F-test) affected the main parameter: frond area-related
average specific growth rate (day 0-7). Segmented area growth rates (day 0-3 or 3
7) were affected by potentized argentum nitricum, gibberellic acid, lactose, and
phosphorus. CONCLUSIONS: The described experimental set-up with L. gibba as test
organism appears to be a promising new model system to investigate effects of
potentized substances. Yet larger sets of replication experiments with selected
test substances and systematic negative controls are necessary to verify the
effects found.
PMID- 18047440
TI - Assessing the utility of the standards for reporting trials of acupuncture
(STRICTA): a survey of authors.
AB - OBJECTIVE: To inform the potential revision of Standards for Reporting
Interventions in Controlled Trials of Acupuncture (STRICTA), we sought the
opinion of acupuncture trial authors and systematic reviewers to rank the utility
of the guidelines and asked trial authors about their experiences using them.
DESIGN: Questionnaires ranking STRICTA items and qualitative responses about
experience using the guidelines. SAMPLE: The authors of 38 randomized controlled
acupuncture trials randomly selected from a systematic search of those published
in 2004 and 2005 were contacted with a questionnaire. Authors of 14 Cochrane
acupuncture systematic reviews or protocols published in the same time frame were
also sent a questionnaire. RESULTS: Fifty-four percent (54%) (28/52) of the
sample responded. Among the trial authors, 58% (11/19) used STRICTA to help guide
their writing, but more than half of these reported that the editing process had
removed some or all of the STRICTA-specific items. STRICTA was seen as a helpful
reference, but authors requested that some items be clarified. Respondents tended
to rank the utility of STRICTA highly overall, but five items in particular were
not highly valued; three of these pertained to details on the trial
acupuncturists' background. Authors flagged potential difficulties of reporting
unusual trial designs in the current format of STRICTA. CONCLUSIONS: Authors of
acupuncture trials and systematic reviews believe that STRICTA contributes to the
reporting of acupuncture interventions and rate it highly. Because very few
acupuncture studies are published in STRICTA-adopting journals, the editing
process for journals unaware of the guidelines may be responsible for deleting
acupuncture intervention-specific items. Several items remain unclear, and the
relevance of STRICTA to some trial designs is questioned. A review of STRICTA is
warranted to clarify and reconsider items, and targeted promotion to non
complementary and alternative medicine journals should be considered.
PMID- 18047441
TI - Measuring dimensions of body connection: body awareness and bodily dissociation.
AB - OBJECTIVE: This study aimed to test the preliminary psychometric properties of
the Scale of Body Connection (SBC), a 20-item self-report measure, designed to
assess body awareness and bodily dissociation in mind-body intervention research.
METHODS: The SBC items were based on common expressions of awareness in body
therapy. Content validity was established by a panel of experts. The validity and
reliability of the scale was examined with an undergraduate sample. To assess the
scale's discriminant validity, the respondents were asked to indicate exposure to
specific traumas. RESULTS: Confirmatory factor analysis, used to examine the
scale's construct validity, indicated acceptable goodness-of-fit indices, and
revealed uncorrelated subscales, reflecting independent dimensions. Cronbach's
alpha revealed equal internal consistency reliability for each subscale for both
men and women. Body awareness scores did not differ between individuals with and
without reported trauma exposure. Bodily dissociation scores differed between
individuals with and without past experience with physical trauma, suggesting the
applicability of this subscale for use with populations with trauma histories.
CONCLUSIONS: The results provide preliminary evidence of the construct validity
and internal consistency reliability of the SBC.
PMID- 18047442
TI - Can electrons act as antioxidants? A review and commentary.
AB - A previous study demonstrated that connecting the human body to the earth during
sleep (earthing) normalizes the daily cortisol rhythm and improves sleep. A
variety of other benefits were reported, including reductions in pain and
inflammation. Subsequent studies have confirmed these earlier findings and
documented virtually immediate physiologic and clinical effects of grounding or
earthing the body. It is well established, though not widely known, that the
surface of the earth possesses a limitless and continuously renewed supply of
free or mobile electrons as a consequence of a global atmospheric electron
circuit. Wearing shoes with insulating soles and/or sleeping in beds that are
isolated from the electrical ground plane of the earth have disconnected most
people from the earth's electrical rhythms and free electrons. The most
reasonable hypothesis to explain the beneficial effects of earthing is that a
direct earth connection enables both diurnal electrical rhythms and free
electrons to flow from the earth to the body. It is proposed that the earth's
diurnal electrical rhythms set the biological clocks for hormones that regulate
sleep and activity. It is also suggested that free electrons from the earth
neutralize the positively charged free radicals that are the hallmark of chronic
inflammation. A relationship between cortisol and inflammation was established in
the pioneering work of H. Selye published in the 1950s. Current biomedical
research has led to an inflammation hypothesis that is establishing chronic
inflammation as the culprit behind almost every modern chronic illness. The
research summarized here and in subsequent reports provides a basis for a number
of earthing technologies that restore and maintain natural electrical contact
between the human body and the earth throughout the day and night in situations
where going barefoot on the earth is impractical. It is proposed that free or
mobile electrons from the earth can resolve chronic inflammation by serving as
natural antioxidants.
PMID- 18047443
TI - Seeking an oracle: using the Delphi process to develop practice guidelines for
the treatment of endometriosis with Chinese herbal medicine.
AB - BACKGROUND: For most complementary and alternative medicine interventions, the
absence of a high-quality evidence base to define good practice presents a
serious problem for clinicians, educators, and researchers. The Delphi process
may offer a pragmatic way to establish good practice guidelines until more
rigorous forms of assessment can be undertaken. OBJECTIVES: To use a modified
Delphi to develop good practice guidelines for a feasibility study exploring the
role of Chinese herbal medicine (CHM) in the treatment of endometriosis. To
compare the outcomes from Delphi with data derived from a systematic review of
the Chinese language database. DESIGN: An expert group was convened for a three
round Delphi that initially produced key statements relating to the CHM diagnosis
and treatment of endometriosis (round 1) and then anonymously rated these on a 1
7 Likert scale (rounds 2 and 3). Statements with a median score of 5 and above
were regarded as demonstrating positive group consensus. The differential
diagnoses within Chinese Medicine and rating of the clinical value of individual
herbs were then contrasted with comparable data from a review of Chinese language
reports in the Chinese Biomedical Retrieval System (1978-2002), and China Academy
of Traditional Chinese Medicine (1985-2002) databases and the Chinese TCM and
magazine literature (1984-2004) databases. RESULTS: Consensus (good practice)
guidelines for the CHM treatment of endometriosis relating to common diagnostic
patterns, herb selection, dosage, and patient management were produced. The
Delphi guidelines demonstrated a high degree of congruence with the information
from the Chinese language databases. CONCLUSIONS: In the absence of rigorous
evidence, Delphi offers a way to synthesize expert knowledge relating to
diagnosis, patient management, and herbal selection in the treatment of
endometriosis. The limitations of the expert group and the inability of Delphi to
capture the subtle nuances of individualized clinical decision-making limit the
usefulness of this approach.
PMID- 18047444
TI - Gymnema sylvestre for diabetes mellitus: a systematic review.
AB - Across the globe, there are an estimated 150 million people suffering from
diabetes mellitus. Each of these people is at increased risk of developing a
number of complications, each of which are associated with a reduction in quality
of life and an increase in individual morbidity and mortality. However, despite
these psychosocial implications, as well as the financial burden associated with
the management of the disease, existing treatment options are costly, and have
limited, palliative effects. One treatment that is emerging as a potential
panacea for the management of diabetes is Gymnema sylvestre. Yet, what evidence
is there to support the use of this extract? In order to answer this question, a
systematic review of the literature and a discussion of the best available
evidence on gymnema are needed. The findings of such a review are presented in
this paper.
PMID- 18047445
TI - Herbal mixtures containing the mushroom Ganoderma lucidum improve recovery time
in patients with herpes genitalis and labialis.
AB - OBJECTIVE: To investigate the time course for resolution of symptoms from
recurrent herpes simplex viral infections after treatment with the herbal mixture
WTTCGE [Wisteria floribunda, Trapa natans, Terminalia chebulae, Coicis lachryma
jobi, Ganoderma lucidum, Elfuinga applanata]. METHODS: Fifteen (15) patients with
herpes genitalis (49.3+/-12.0: mean+/-standard deviation age in years), and 13
patients with herpes labialis (43.7+/-12.9), were studied. All had been suffering
from recurrent herpes outbreaks for more than 1 year, and had received regular
outpatient treatment at other medical institutions without improvement. RESULTS:
The herbal mixture, WTTCGE appeared to provide fast, effective relief from the
symptoms of recurrent herpes genitalis and labialis. The mean duration before
relief from herpes genitalis occurred was 10.9+/-6.3 days without WTTCGE
treatment and 4.9+/-1.3 days with it (p<0.002). Similarly, the time required to
obtain relief from herpes labialis was 7.8+/-4.3 days without WTTCGE treatment
and 4.0+/-1.1 days with it (p<0.005). CONCLUSIONS: Administration of WTTCGE to
patients suffering from herpes genitalis and labialis appears to shorten the time
required to obtain symptom relief as has been shown in a previous report.
PMID- 18047446
TI - Who uses complementary and alternative medicine in Israel?
AB - OBJECTIVE: To describe the Israeli complementary and alternative medicine users
and examine whether they are the same or different from non-users. DESIGN: This
analysis was conducted on data collected from the use of health services module
(n=2,365) of the Israeli National Health Interview Survey conducted 2003-2004.
The questionnaire was based on the European Health Interview Survey and was
administered over the telephone by trained interviewers. SUBJECTS: This survey
was conducted on a random sample of the Israel general population age 21 years or
more. OUTCOME MEASURES: Chi-square tests and logistic regression analyses were
conducted. Complementary and alternative medicine was defined as self-reported
use of homeopath, acupuncturist, chiropractor/osteopath, naturopath, or other
complementary and alternative provider services for the subjects' own health
needs in the last 12 months. RESULTS: Almost 6 percent of Israelis reported using
complementary and alternative medicine. Use increased with income. Users were
more likely to visit any doctor or a specialist in the prior 4 weeks to the
survey compared to nonusers. Users self-reported similar use of pain medications
compared to nonusers. CONCLUSIONS: These findings inform the international debate
regarding if and how complementary and alternative medicine services should be
covered by national health insurance.
PMID- 18047447
TI - Use of complementary and alternative therapies in community-dwelling older
adults.
AB - OBJECTIVES: Although complementary and alternative medicine (CAM) use is becoming
increasingly prevalent in the United States, knowledge regarding complementary
and alternative therapy use in older adults is limited. The purposes of this
study were to (1) assess the prevalence and patterns of CAM use in a probability
based sample of older adults; (2) describe the characteristics of older CAM
users; and (3) identify factors associated with complementary and alternative
medicine use/nonuse. METHODS: A cross-sectional survey design was used. An age
stratified sample of community-dwelling adults ages>or=65 (N=1200) was randomly
selected from the Minnesota Driver's License/Identification Tape using names from
the Twin Cities seven-county metropolitan area. The mailed questionnaire included
items on demographics, health status, health care utilization, CAM modality use,
reasons for use, costs, and complementary and alternative therapy use
satisfaction. Descriptive statistics, chi-square tests, and regression analysis
were performed. RESULTS: Overall, 62.9% (N=445) of the respondents reported use
of one or more complementary and alternative medicine modalities with an average
of three modalities (SD+/-1.9, range 1-11). The top five CAM modalities used were
nutritional supplements (44.3%), spiritual healing/prayer (29.7%), megavitamins
(28.3%), herbal supplements (20.7%), and chiropractic (17.8%). Maintaining health
and treating a health condition were the primary reasons for CAM use. The most
common conditions treated were arthritis (44.4%) and chronic pain (23.5%).
Demographic variables were not significantly different between CAM users and
nonusers. CAM users reported more unhealthy days than nonusers did. Overall
satisfaction with CAM use was high (80%). Symptoms of a health problem and desire
for personal control over health motivated CAM use. The main barriers to CAM use
were lack of reason to use and knowledge about CAM. Only 53% of users disclosed
CAM use to their primary care providers. CONCLUSIONS: CAM use is common in older
adults, especially those with health problems. The widespread use of oral
supplements combined with not disclosing CAM use to primary care providers is a
concern.
PMID- 18047448
TI - Turkish general practitioners and complementary/alternative medicine.
AB - BACKGROUND: Complementary and alternative medicine (CAM) is in the spotlight of
society. However, what is the position of physicians at this point? OBJECTIVES:
To determine general practitioners' (GP) knowledge, attitudes, and approaches to
CAM. METHODS: All GPs (n=521) practicing in Bursa Province, Turkey were surveyed
by a questionnaire. RESULTS: Responses from 49% of GPs were analyzed. Altogether,
most of our physicians (96.5%) had not received any education about CAM, wanted
to learn more (74.4%), and their knowledge levels were low (60.8%). About half of
them (51%) believed in the efficiency of CAM, whereas 38.0% did not. GPs desire
more information about herbal medicine and acupuncture. Only 29% of GPs were
using some type of CAM for themselves. CONCLUSIONS: GPs are aware of the
subject's importance and want to learn more about CAM and improve their
knowledge. It would be reasonable to provide training possibilities for GPs,
primarily for the CAM types highly used by the population and most requested by
the physicians.
PMID- 18047449
TI - Utilization of Ayurveda in health care: an approach for prevention, health
promotion, and treatment of disease. Part 1--Ayurveda, the science of life.
AB - Ayurveda is a natural health care system that originated in India more than 5000
years ago. Its main objective is to achieve optimal health and well-being through
a comprehensive approach that addresses mind, body, behavior, and environment.
Ayurveda emphasizes prevention and health promotion, and provides treatment for
disease. It considers the development of consciousness to be essential for
optimal health and meditation as the main technique for achieving this. Treatment
of disease is highly individualized and depends on the psychophysiologic
constitution of the patient. There are different dietary and lifestyle
recommendations for each season of the year. Common spices are utilized in
treatment, as well as herbs and herbal mixtures, and special preparations known
as Rasayanas are used for rejuvenation, promotion of longevity, and slowing of
the aging process. A group of purification procedures known as Panchakarma
removes toxins from the physiology. Whereas Western allopathic medicine is
excellent in handling acute medical crises, Ayurveda demonstrates an ability to
manage chronic disorders that Western medicine has been unable to. It may be
projected from Ayurveda's comprehensive approach, emphasis on prevention, and
ability to manage chronic disorders that its widespread use would improve the
health status of the world's population.
PMID- 18047450
TI - Response to a proposal for an integrative medicine curriculum.
AB - BACKGROUND: A paper entitled "Core Competencies in Integrative Medicine for
Medical School Curricula: A proposal," published in Academic Medicine, stimulated
a broad discussion among complementary and alternative medicine (CAM) educators.
This discussion led to a formal process for responding to the issues raised by
the paper. METHODS: Representatives from the Academic Consortium for
Complementary and Alternative Health Care (ACCAHC) and the Oregon Collaborative
for Complementary and Integrative Medicine (OCCIM) formed the ACCAHC/OCCIM Task
Force to participate in a Delphi process of consultation and deliberation. This
process led to a broad, cross-discipline agreement on important points to include
in a response to the integrative medicine (IM) curriculum proposal. RESULTS: Five
key areas of concern emerged: (1) the definition of IM as presented in the paper;
(2) lack of clarity about the goals of the proposed IM curriculum; (3) lack of
recognition of the breadth of whole systems of health care; (4) omission of
competencies related to collaboration between MDs and CAM professionals in
patient care; and (5) omission of potential areas of partnership in IM education.
CONCLUSIONS: A major overall theme emerging from the Delphi process was a desire
for closer collaboration between conventional medical schools and CAM academic
institutions in developing IM curricula. Several cross-disciplinary venues for
addressing the Delphi Task Force themes include the National Center for
Complementary and Alternative Medicine's R-25 Initiatives, and the National
Education Dialogue. OCCIM is presented as an example of a successful lateral
integration approach.
PMID- 18047451
TI - Evaluation of internet websites marketing herbal weight-loss supplements to
consumers.
AB - OBJECTIVE: The aim of this study was to evaluate the quality and quantity of drug
information available to consumers on Internet websites marketing herbal weight
loss dietary supplements in the United States. METHODS: We conducted an Internet
search using the search engines Yahoo and Google and the keywords "herbal weight
loss." Website content was evaluated for the presence of active/inactive
ingredient names and strengths and other Food and Drug Administration (FDA)
labeling requirements. Information related to drug safety for the most common
herbal ingredients in the products evaluated was compared against standard herbal
drug information references. RESULTS: Thirty-two (32) websites were evaluated for
labeling requirements and safety information. All sites listed an FDA disclaimer
statement and most sites (84.4%) listed active ingredients, although few listed
strengths or inactive ingredients. Based on the drug information for the most
common ingredients found in the weight-loss dietary supplements evaluated,
potential contraindications for cardiovascular conditions, pregnancy/nursing, and
high blood pressure were listed most frequently (73%, 65.5%, and 37%,
respectively), whereas few websites listed potential drug interactions or adverse
reactions. CONCLUSIONS: Potential hazards posed by dietary supplements may not be
accurately, if at all, represented on Internet websites selling these products.
Since consumers may not approach their physicians or pharmacists for information
regarding use of dietary supplements in weight loss, it becomes necessary for
health care providers to actively engage their patients in open discussion
regarding the use, benefits, and hazards of dietary supplements.
PMID- 18047455
TI - Qualitative research is evidence, too.
PMID- 18047456
TI - Short-term effects on outcomes related to the mechanism of intervention and
physiological outcomes but insufficient evidence of clinical benefits for
breathing control: a systematic review.
AB - QUESTIONS: What is the volume, quality, consistency, and generalisability of the
evidence for breathing control? What is the effect on outcomes related to the
target and mechanism of breathing control, as well as physiological and clinical
outcomes? DESIGN: Systematic review with meta-analysis. PARTICIPANTS: People with
chronic respiratory disease, post-surgical, or asymptomatic individuals.
INTERVENTION: Breathing control (relaxed basal, diaphragmatic, or abdominal
breathing) as the sole intervention. OUTCOME MEASURES: All outcome measures
providing continuous data. RESULTS: Twenty studies were included within the meta
analysis. A beneficial effect was found for abdominal movement (SMD 1.36, 95% CI
0.42 to 2.31), diaphragm excursion (SMD 1.39, 95% CI 1.00 to 1.77), respiratory
rate (SMD -0.84, 95% CI -1.09 to -0.60), tidal volume (SMD 0.98, 95% CI 0.71 to
1.25), arterial oxygen saturation (SMD 0.63, 95% CI 0.25 to 1.02) and
percutaneous oxygen (SMD 1.48, 95% CI 0.85 to 2.11). Breathing control had a
detrimental effect on the work of breathing (SMD 1.06, 95% CI 0.52 to 1.60) and
dyspnoea (SMD 1.47, 95% CI 0.88 to 2.05). CONCLUSION: When used as a sole
intervention, there was a beneficial effect on outcomes related to the mechanism
of breathing control as well as on short-term physiological outcomes. In people
with severe respiratory disease, breathing control resulted in a detrimental
effect on dyspnoea and work of breathing. There was no clear evidence of an
effect on ventilation or long-term physiological outcomes related to gas exchange
or the energy cost of breathing. Overall, evidence was satisfactory with studies
demonstrating poor consistency, good generalisability, and satisfactory volume
and quality.
PMID- 18047457
TI - A predominantly home-based progressive resistance exercise program increases knee
extensor strength in the short-term in people with chronic obstructive pulmonary
disease: a randomised controlled trial.
AB - QUESTIONS: Does a 12-week, predominantly home-based program of progressive
resistance exercises reduce impairments, activity limitations, and participation
restrictions in people with chronic obstructive pulmonary disease? Are any gains
maintained 12 weeks after the cessation of the program? DESIGN: Randomised
controlled trial with concealed allocation, assessor blinding, and intention-to
treat analysis. PARTICIPANTS: 54 people with moderately severe chronic
obstructive pulmonary disease not undergoing pulmonary rehabilitation.
INTERVENTION: The experimental group performed six progressive resistance
exercises three times per week (once a week hospital-based, twice a week home
based) for 12 weeks. Exercise intensity was three sets of 8 to 12 repetition
maximum progressed against elasticised bands of increasing resistance. The
control group received no intervention. OUTCOME MEASURES: Primary outcomes were
strength (knee extensor, hip abductor, shoulder horizontal flexor, shoulder
flexor) measured using hand-held dynamometry, and walking capacity measured by
the 6-minute Walk Test performed before and after intervention and again at 12
weeks after the cessation of intervention. RESULTS: The experimental group
increased their knee extensor strength by 4.9 kg (95% CI 1.1 to 8.7) more than
the control group by Week 12. However, this gain was not maintained at Week 24.
No difference between the groups was found for any of the other primary outcomes.
CONCLUSIONS: A predominantly home-based progressive resistance exercise program
led to modest improvements in knee extensor strength in people with chronic
obstructive pulmonary disease. However, 44% of the experimental group were unable
to complete the exercise program, highlighting the need to understand factors
influencing adherence to exercise in this population.
PMID- 18047458
TI - Four weeks of daily stretch has little or no effect on wrist contracture after
stroke: a randomised controlled trial.
AB - QUESTIONS: In adults undergoing rehabilitation after stroke, does 30 minutes of
daily stretch of the wrist and finger flexors for four weeks prevent or reverse
contracture, decrease pain, or improve upper-limb activity? Are any gains
maintained one week and five weeks after the cessation of stretching? DESIGN:
Randomised controlled trial with concealed randomisation, assessor blinding, and
intention-to-treat analysis. PARTICIPANTS: 40 adults undergoing rehabilitation
after stroke or stroke-like brain injury, who were unable to actively extend the
affected wrist. INTERVENTION: Both groups received routine upper-limb retraining
five days a week. In addition, the experimental group received 30 minutes daily
stretch of the wrist and finger flexors five days a week for four weeks. OUTCOME
MEASURES: The primary outcome was contracture, measured as torque-controlled
passive wrist extension with the fingers extended. Secondary outcomes were pain
at rest measured on a 10-cm visual analogue scale, and upper-limb activity
measured using the Motor Assessment Scale. Outcomes were collected at baseline,
post-intervention, and one and five weeks after cessation of intervention.
RESULTS: The mean effect on passive range of wrist extension was 3.8 [corrected]
degrees (95% CI -2.5 to 10.1) [corrected] after 4 weeks of daily stretch, 4.1
degrees (95% CI -4.0 to 12.3) after a week of no stretch, and 3.5 degrees (95% CI
-4.6 to 11.7) after a further four weeks. CONCLUSION: These data suggest that
four weeks of regular stretching has little or no effect on wrist contracture
after stroke. However the estimate of the size of this effect is not sufficiently
precise to rule out the possibility of a marginally worthwhile effect. The
stretch had no significant effect on upper-limb pain, and did not result in
significantly improved upper-limb activity.
PMID- 18047459
TI - Cyclic pneumatic soft-tissue compression enhances recovery following fracture of
the distal radius: a randomised controlled trial.
AB - QUESTIONS: Does the addition of cyclic pneumatic soft-tissue compression during
the 6-week immobilisation period following fracture of the distal radius result
in a faster recovery of muscle strength and joint range of motion? Does it result
in a larger recovery of muscle strength and joint range of motion immediately
after the immobilisation period (at 6 weeks) or four weeks after the
immobilisation period (at 10 weeks)? DESIGN: Randomised controlled trial with
concealed allocation and assessor blinding. PARTICIPANTS: 21 patients with
fracture of the distal radius. INTERVENTION: The experimental group received
cyclic pneumatic soft-tissue compression during the 6-week immobilisation period
whereas the control group received usual care. Both groups were instructed to
actively make a fist 100 times per day during the 6-week immobilisation period
and were given an exercise program during the 4-week post-immobilisation period.
OUTCOME MEASURES: Function was measured as power grip, pinch grip, key grip, and
supination strength using dynamometry from Week 1 to 10 as well as wrist
flexion/extension and forearm supination/pronation range of motion using
goniometry from Week 6 to 10. The outcome measures are presented as a percentage
of the intact side. RESULTS: The experimental group improved significantly faster
than the control group in muscle strength from Week 1 to 10 (p ? 0.001) but not
in joint range of motion from Week 6 to 10 (p > 0.05). By Week 6, the
experimental group was 12-26% stronger and had 8-14% more range of motion than
the control group. By Week 10, the experimental group was 24-29% stronger and had
10-15% more range of motion than the control group. CONCLUSION: The findings
indicate that a larger clinical trial is warranted and should incorporate direct
measures of fracture healing.
PMID- 18047460
TI - Trunk stabilisation exercises reduce sternal separation in chronic sternal
instability after cardiac surgery: a randomised cross-over trial.
AB - QUESTION: Do trunk stabilisation exercises reduce sternal separation and pain,
and improve the quality and control of the performance of tasks in individuals
with chronic sternal instability? DESIGN: Randomised crossover study with
concealed allocation and intention-to-treat analysis. PARTICIPANTS: Nine
individuals with chronic sternal instability following a median sternotomy for
cardiac surgery. INTERVENTION: The experimental intervention consisted of six
weeks of trunk stabilisation exercises; the control intervention was no
exercises. OUTCOME MEASURES: Outcomes were sternal separation measured by
ultrasound in mm, pain during the performance of nine everyday tasks measured on
a 100-mm visual analogue scale, and the quality and control of the performance of
two tasks scored on a 100-mm visual analogue scale. RESULTS: Overall, sternal
separation during the period of trunk stabilisation exercises decreased by 6.2 mm
(95% CI 3.5 to 8.9) more than during the control period. Overall, pain decreased
when performing everyday tasks by 14 mm (95% CI 5 to 23) more than during the
control period. Overall, task performance during the period of trunk
stabilisation exercises did not improve (mean difference 10 mm, 95% CI -3 to 22)
more than during the control period. CONCLUSION: Trunk stabilisation exercises
should be included in the rehabilitation of individuals who experience sternal
instability following cardiac surgery. A larger trial is warranted to determine
if stabilisation exercises are beneficial in improving the quality and control of
task performance.
PMID- 18047461
TI - Physiotherapy decision making in acute cardiorespiratory care is influenced by
factors related to physiotherapist and the nature and context of the decision: a
qualitative study.
AB - QUESTIONS: What factors influence the decision making of cardiorespiratory
physiotherapists in acute care? How do cardiorespiratory physiotherapists manage
multiple factors in their decision making? DESIGN: Qualitative study using
observation and semi-structured interviews. PARTICIPANTS: Fourteen
physiotherapists working in acute cardiorespiratory care. RESULTS:
Cardiorespiratory physiotherapy decision making was affected by factors related
to the nature of the decision itself (such as the complexity and difficulty of
the decision), factors related to the context in which the decision occurred
(such as physical, organisational and socio-professional factors), and factors
related to the physiotherapists themselves (such as decision making capabilities,
physiotherapy frames of reference, and level of clinical experience). CONCLUSION:
Optimising the quality of decision making in the context of health care today
requires an awareness and consideration of a range of factors influencing
decision making.
PMID- 18047462
TI - Clinical prediction rules can be derived and validated for injured Australian
workers with persistent musculoskeletal pain: an observational study.
AB - QUESTIONS: Can clinical prediction rules be derived for injured Australian
workers with persistent musculoskeletal pain? Are they valid? DESIGN:
Longitudinal observational study. PARTICIPANTS: 847 injured workers with
persistent musculoskeletal pain undergoing rehabilitation. OUTCOME MEASURES: At
baseline, 12 putative predictors were measured. At 9 weeks, short-term outcomes
such as pain (visual analogue scale), activity limitation (Functional Rating
Index) and work upgrade (increase in work hours or duties) were measured. At 6
months, long-term work status (working or not working) was measured. RESULTS:
Data were obtained from 85% of the participants who were followed up at both 9
weeks (720 of 847) and 6 months (247 of 290). Predictors of outcome included high
baseline pain and activity limitation, long duration of previous intervention,
not working, non-English speaking background, and the area of pain. Accuracy was
highest for clinical prediction rules predicting pain and level of activity
limitation at 9 weeks (R2 = 0.67 and 0.69 respectively) and work status at 6
months (LR- = 0.24). CONCLUSION: Accurate clinical prediction rules have been
derived and validated for injured workers with persistent musculoskeletal pain,
predicting activity limitation, pain, and work outcomes following exercise-based
rehabilitation. Further research to validate these prediction rules in other
populations and to assess the effectiveness of tailoring intervention based on
the estimated prognosis would be valuable.
PMID- 18047463
TI - Physiotherapy in intensive care is safe: an observational study.
AB - QUESTION: How often do adverse events (including adverse physiological changes)
occur during physiotherapy intervention in intensive care? DESIGN: A multi-centre
prospective observational study. PARTICIPANTS: Five tertiary level university
affiliated intensive care units. OUTCOME MEASURES: All physiotherapy intervention
in five intensive care units over a three month period. When certain specified
changes occurred during physiotherapy intervention, details were noted including
diagnosis of patient, intervention, vital signs, radiological changes, co
morbidities, chemical pathology, and fluid balance. RESULTS: 12 281 physiotherapy
interventions were completed with 27 interventions resulting in adverse
physiological changes (0.2%). This incidence was significantly lower than a
previous study of adverse physiological changes (663 events in 247 patients over
a 24-hour period); the incidence during physiotherapy intervention was lower than
during general intensive care. Common factors in the patients who had an adverse
physiological change were a deterioration in cardiovascular status (ie, decrease
in blood pressure or arrhythmia) in patients on medium to high doses of
inotropes/vasopressors, unstable baseline hemodynamic values, previous cardiac co
morbidities and intervention consisting of positive pressure or right side lying.
CONCLUSION: The incidence of adverse events during physiotherapy intervention in
these five tertiary hospitals was low, demonstrating that physiotherapy
intervention in intensive care is safe.
PMID- 18047464
TI - Clinical networks--bridging the research-quality chasm.
PMID- 18047465
TI - Mechanisms underlying developmental programming of elevated blood pressure and
vascular dysfunction: evidence from human studies and experimental animal models.
AB - Cardiovascular-related diseases are the leading cause of death in the world in
both men and women. In addition to the environmental and genetic factors, early
life conditions are now also considered important contributing elements to these
pathologies. The concept of 'fetal' or 'developmental' origins of adult diseases
has received increased recognition over the last decade, yet the mechanism by
which altered perinatal environment can lead to dysfunction mostly apparent in
the adult are incompletely understood. This review will focus on the mechanisms
and pathways that epidemiological studies and experimental models have revealed
underlying the adult cardiovascular phenotype dictated by the perinatal
experience, as well as the probable key causal or triggering elements. Programmed
elevated blood pressure in the adult human or animal is characterized by vascular
dysfunction and microvascular rarefaction. Developmental mechanisms that have
been more extensively studied include glucocorticoid exposure, the role of the
kidneys and the renin-angiotensin system. Other pathophysiological pathways have
been explored, such as the role of the brain and the sympathetic nervous system,
oxidative stress and epigenetic changes. As with many complex diseases, a
unifying hypothesis linking the perinatal environment to elevated blood pressure
and vascular dysfunction in later life cannot be presumed, and a better
understanding of those mechanisms is critical before clinical trials of
preventive or 'deprogramming' measures can be designed.
PMID- 18047466
TI - Vitamin A: a drug for prevention of restenosis/reocclusion after percutaneous
coronary intervention?
AB - The re-establishment of adequate blood flow in a vessel with a reduced lumen due
to an atherosclerotic plaque by percutaneous vascular intervention is a well
established procedure. However, the long-term outcome of such interventions is
negatively influenced by the development of intimal hyperplasia/restenosis.
Although extensively researched, this still represents a significant clinical
problem. Retinoids, i.e. natural and synthetic derivates of vitamin A, represent
a potential therapeutic compound, since they have been shown to influence the
vast majority of processes that ultimately lead to reocclusion of the injured
vessel. Retinoids exert their effects at the transcriptional level through their
nuclear receptors. Targeting multiple processes, i.e. proliferation, migration,
extracellular matrix composition and cell differentiation, as well as
coagulation/fibrinolysis, should increase their future role in the prevention of
restenosis. The purpose of this review is to summarize the diverse effects of
retinoids on pathobiological and biological processes activated at sites of
vascular injury with particular emphasis on intimal hyperplasia/restenosis after
endovascular interventions.
PMID- 18047467
TI - Cell (patho)physiology of magnesium.
AB - There is an unsettled debate about the role of magnesium as a 'chronic regulator'
of biological functions, as opposed to the well-known role for calcium as an
'acute regulator'. New and old findings appear to delineate an increasingly
complex and important role for magnesium in many cellular functions. This review
summarizes the available evidence for a link between the regulation of
intracellular magnesium availability and the control of cell growth, energy
metabolism and death, both in healthy and diseased conditions. A comprehensive
view is precluded by technical difficulties in tracing magnesium within a
multicompartment and dynamic environment like the cell; nevertheless, the last
few years has witnessed encouraging progress towards a better characterization of
magnesium transport and its storage or mobilization inside the cell. The latest
findings pave the road towards a new and deeper appreciation of magnesium
homoeostasis and its role in the regulation of essential cell functions.
PMID- 18047469
TI - Post-transcriptional regulation of CLMP mRNA is controlled by tristetraprolin in
response to TNFalpha via c-Jun N-terminal kinase signalling.
AB - During spermatogenesis, extensive restructuring of blood-testis barrier takes
place to facilitate the migration of preleptotene/leptotene spermatocytes from
the basal to the adluminal compartment in the seminiferous epithelium. However,
the biochemical mechanisms involved in this event remain elusive. Recent studies
have shown that pro-inflammatory cytokine TNFalpha (tumour necrosis factor alpha)
plays a crucial role in this event by inhibiting the expression of tight junction
proteins in Sertoli cells. In the present study, we sought to examine the
detailed mechanism on how TNFalpha affects the expression of CLMP (coxsackie- and
adenovirus-receptor-like membrane protein), a newly identified tight junction
transmembrane protein, in the testis. Addition of TNFalpha (10 ng/ml) to Sertoli
cell culture (TM4 cells) significantly reduced the steady-state CLMP mRNA and
protein levels. In an mRNA stability assay, it was shown that the rate of CLMP
mRNA degradation was significantly increased when cells treated with TNFalpha
were compared with vehicle. Blockage of the JNK (c-Jun N-terminal kinase)
signalling pathway by SP600125 significantly abolished the TNFalpha-mediated
destabilization of CLMP mRNA. Activation of the JNK signalling pathway by
TNFalpha up-regulated the expression of an RNA-binding protein, TTP
(tristetraprolin). TTP was present in the RNA-protein complex in the RNA EMSA
(electrophoretic mobility shift assay) and decreased the CLMP 3'-UTR
(untranslated region)-dependent luciferase activity. Taken together, these
results suggest that the TNFalpha-mediated mRNA degradation of the CLMP gene is
controlled by TTP through the JNK signalling cascade.
PMID- 18047470
TI - n-Propyl gallate activates hypoxia-inducible factor 1 by modulating intracellular
oxygen-sensing systems.
AB - HIF-1 (hypoxia-inducible factor 1) is a master regulator of cellular adaptive
responses to hypoxia. The expression and transcriptional activity of the HIF
1alpha subunit is stringently controlled by intracellular oxygen tension through
the action of prolyl and asparaginyl hydroxylases. In the present study we
demonstrate that PG (n-propyl gallate) activates HIF-1 and expression of its
downstream target genes under normoxic conditions in cultured cells and in mice.
The stability and transcriptional activity of HIF-1alpha are increased by PG. PG
treatment inhibits the interaction between HIF-1alpha and VHL (von Hippel-Lindau
protein) and promotes the interaction between HIF-1alpha and p300, indicating
that PG inhibits the activity of both prolyl and asparaginyl HIF-1alpha
hydroxylases. We conclude that PG activates HIF-1 and enhances the resultant gene
expression by directly affecting the intracellular oxygen sensing system in vitro
and in vivo and that PG represents a lead compound for the development of a non
toxic activator of HIF-1.
PMID- 18047468
TI - Is the mineralocorticoid receptor a potential target for stroke prevention?
AB - In recent years, it has become increasingly clear that the extra-renal effects of
aldosterone play an important role in the pathogenesis of cardiovascular disease.
Stroke is one of the leading causes of death in the Western world, and MR
(mineralocorticoid receptor) antagonism is a potential preventative therapy for
patients at risk of both ischaemic and haemorrhagic strokes. This protective
effect of MR antagonism appears to occur at the level of the cerebral vasculature
and may be related to the expression and activation of the EGFR (epidermal growth
factor receptor) and the degree of vessel wall collagen deposition.
PMID- 18047471
TI - A novel bivalent single-chain variable fragment (scFV) inhibits the action of
tumour necrosis factor alpha.
AB - Suppression of TNFalpha (tumour necrosis factor alpha) activity is widely
considered to be among the most efficient treatments available for chronic
inflammatory diseases. Here, a bivalent scFv (single-chain variable fragment)
fragment, named TNF-BAb, was engineered by fusing two anti-TNFalpha scFV
fragments in tandem via a long and flexible linking peptide derived from human
serum albumin and produced in functional form from Escherichia coli inclusion
bodies. The bioactivity assays demonstrated that TNF-BAb gained enormously in
avidity and showed a much stronger ability to inhibit the biological action of
TNFalpha, indicating that TNF-BAb may become a good candidate for anti-TNFalpha
therapy.
PMID- 18047472
TI - ARL2, ARG1 and PIN3 define a gravity signal transduction pathway in root
statocytes.
AB - ALTERED RESPONSE TO GRAVITY1 (ARG1) and its paralog ARG1-LIKE2 (ARL2) are J
domain proteins that are required for normal root and hypocotyl gravitropism. In
this paper, we show that both ARL2 and ARG1 function in a gravity signal
transduction pathway with PIN3, an auxin efflux facilitator that is expressed in
the statocytes. In gravi-stimulated roots, PIN3 relocalizes to the lower side of
statocytes, a process that is thought to, in part, drive the asymmetrical
redistribution of auxin toward the lower flank of the root. We show that ARL2 and
ARG1 are required for PIN3 relocalization and asymmetrical distribution of auxin
upon gravi-stimulation. ARL2 is expressed specifically in the root statocytes,
where it localizes to the plasma membrane. Upon ectopic expression, ARL2 is also
found at the cell plate of dividing cells during cytokinesis, an area of intense
membrane dynamics. Mutations in ARL2 and ARG1 also result in auxin-related
expansion of the root cap columella, consistent with a role for ARL2 and ARG1 in
regulating auxin flux through the root tip. Together these data suggest that ARL2
and ARG1 functionally link gravity sensation in the statocytes to auxin
redistribution through the root cap.
PMID- 18047473
TI - The xenobiotic beta-aminobutyric acid enhances Arabidopsis thermotolerance.
AB - The non-protein amino acid beta-aminobutyric acid (BABA) primes Arabidopsis to
respond more quickly and strongly to pathogen and osmotic stress. Here, we report
that BABA also significantly enhances acquired thermotolerance in Arabidopsis.
This thermotolerance was dependent on heat shock protein 101, a critical
component of the normal heat-shock response. BABA did not enhance basal
thermotolerance under a severe heat-shock treatment. No roles for the hormones
ethylene and salicylic acid in BABA-induced acquired thermotolerance were
identified by mutant analysis. Using global gene expression analysis, transcript
levels for several transcription factors and DNA binding proteins regulating
responses to the stress hormone abscisic acid (ABA) were found to be elevated in
BABA-treated plants compared with water-treated plants. The role of ABA in BABA
induced thermotolerance was complex. BABA-enhanced thermotolerance was partially
compromised in the ABA-insensitive mutant, abi1-1, but was augmented in abi2-1.
In an unrelated process, BABA, like ABA, inhibited root growth, and the level of
inhibition was roughly additive in roots treated with both compounds. Root growth
of both abi1-1 and abi2-1 was also inhibited by BABA. Unexpectedly, abi1-1 and
abi2-1 root growth was inhibited more strongly by combined ABA and BABA
treatments than by BABA alone. Our results, together with previously published
data, suggest that BABA is a general enhancer of plant stress resistance, and
that cross-talk occurs between BABA and ABA signalling cascades. Specifically,
the BABA-mediated accumulation of ABA transcription factors without concomitant
activation of a downstream ABA response could represent one component of the BABA
primed state in Arabidopsis.
PMID- 18047474
TI - Phytochrome-mediated inhibition of shade avoidance involves degradation of growth
promoting bHLH transcription factors.
AB - Plant growth and development are particularly sensitive to changes in the light
environment and especially to vegetational shading. The shade-avoidance response
is mainly controlled by the phytochrome photoreceptors. In Arabidopsis, recent
studies have identified several related bHLH class transcription factors (PIF,
for phytochrome-interacting factors) as important components in phytochrome
signaling. In addition to a related bHLH domain, most of the PIFs contain an
active phytochrome binding (APB) domain that mediates their interaction with
light-activated phytochrome B (phyB). Here we show that PIF4 and PIF5 act early
in the phytochrome signaling pathways to promote the shade-avoidance response.
PIF4 and PIF5 accumulate to high levels in the dark, are selectively degraded in
response to red light, and remain at high levels under shade-mimicking
conditions. Degradation of these transcription factors is preceded by
phosphorylation, requires the APB domain and is sensitive to inhibitors of the
proteasome, suggesting that PIF4 and PIF5 are degraded upon interaction with
light-activated phyB. Our data suggest that, in dense vegetation, which is rich
in far-red light, shade avoidance is triggered, at least partially, as a
consequence of reduced phytochrome-mediated degradation of transcription factors
such as PIF4 and PIF5. Consistent with this idea, the constitutive shade
avoidance phenotype of phyB mutants partially reverts in the absence of PIF4 and
PIF5.
PMID- 18047475
TI - Acute acetaminophen overdose is associated with dose-dependent hypokalaemia: a
prospective study of 331 patients.
AB - Hypokalaemia is a recognized complication of acute acetaminophen overdose. It is
unclear whether this might be a pharmacological effect of acetaminophen, or due
to association with confounding factors. The present study sought to better
characterize the relationship between acetaminophen concentrations and risk of
hypokalaemia. A prospective study of patients received N-acetylcysteine treatment
within 15 hr of acute acetaminophen ingestion. Serum potassium concentrations
were determined before and after N-acetylcysteine. Serum acetaminophen
concentrations were used to indicate overall drug exposure by comparison to the
Rumack-Matthew nomogram. Hypokalaemia was pre-defined by serum concentrations
<3.5 mmol/l, and groups compared by Mann-Whitney tests. There were 331 patients.
Median (95% confidence interval) fall in serum potassium concentration after N
acetylcysteine was 0.05 mmol/l (-0.11-0.30 mmol/l) if acetaminophen
concentrations were below the 'high-risk' treatment line, 0.30 mmol/l (0.17-0.40
mmol/l) if between the 'high-risk' and 'normal' treatment lines (P = 0.0358), and
0.40 mmol/l (0.20-0.50 mmol/l) if above the 'normal' treatment line (P = 0.0136).
A receiver operating characteristic showed that high acetaminophen concentrations
were predictive of hypokalaemia (P = 0.0001 versus zero discriminatory line), and
4 hr acetaminophen concentration >156 mmol/l gave 81% sensitivity and 48%
specificity. The risk of hypokalaemia after acute acetaminophen overdose depends
on the extent of acetaminophen exposure, irrespective of N-acetylcysteine
administration and independent of whether vomiting occurred. Acetaminophen
appears to cause concentration-dependent hypokalaemia after overdose, and the
pharmacological basis requires further consideration.
PMID- 18047476
TI - Increased expression of catalase in human hepatoma cells by the soy isoflavone,
daidzein.
AB - The reduced incidence of cancer that has been observed in Asian population
traditionally consuming soy-based food has been linked to the antioxidant
potential of soy isoflavones, in particular daidzein and genistein. The present
study was undertaken in order to test the antioxidative potential of daidzein and
to examine the effect of daidzein treatment on the expression of the antioxidant
enzyme catalase in the human hepatoma cell lines Huh-7 and HepG2. Daidzein itself
did not display radical scavenging activity but it significantly increased the
activity of the antioxidant enzyme catalase. Huh-7 cells were much more
susceptible to daidzein cytotoxicity than HepG2 cells and showed much lower basal
activity in luciferase reporter gene assays with the 3.2 kb fragment of the human
catalase promoter. However, treatment with daidzein at a non-toxic concentration
resulted in a similar induction of promoter activity in both cell lines. Reporter
gene studies with different promoter constructs in HepG2 cells restrict the
potential localization of the main regulatory elements for basal and inducible
activity of the catalase promoter to a region approximately 120 bp to 300 bp
upstream of the start codon of the catalase gene. From our results, we conclude
that in human hepatoma cells daidzein at a non-toxic concentration increases the
activity of human catalase and induces the transcription of the catalase gene via
interaction with the proximal part of the promoter.
PMID- 18047477
TI - Effects of lactational exposure to soy isoflavones on reproductive system in
neonatal female rats.
AB - To examine effects of lactational exposure to soy isoflavones on female
reproductive system, soy isoflavones, 0 (control), 10, 50, 100, 150 or 200 mg/kg
body weight, were administered to maternal rats by gavage daily during postnatal
days 5-10. Ten female litters were killed on day 11. Uterine and ovary weights,
serum oestradiol and progesterone concentrations, proliferating cell nuclear
antigen, mRNA expressions of oestrogen receptor, androgen receptor and
progesterone receptor in uterus or ovary were measured. When compared to the
control group, litters in the 150 and 200 mg/kg body weight groups had
significantly higher ovary and uterine weights (P < 0.05). Endometrial thickness
was also significantly increased. Isoflavone-treated groups had increased
proliferating cell nuclear antigen staining in comparison to the control group.
Litters in the 50, 150 and 200 mg/kg body weight groups had significantly higher
oestradiol concentration while lower progesterone concentrations than those in
the control group (P < 0.05 or P < 0.01). All soy isoflavone-treated groups had
androgen receptor mRNA expressions in ovary and uterus comparable to the control
group. Progesterone receptor mRNA expression in the 150 and 200 mg/kg body weight
groups was significantly lower than that in control group (P < 0.01). However,
ovaries in the 150 and 200 mg/kg body weight groups had significantly higher
oestrogen receptor mRNA expression while the uterus in these two treatment groups
had significantly lower expressions, when compared to the control group (P < 0.05
or P < 0.01). In conclusion, lactational exposure to isoflavones could result in
oestrogen-like actions on the reproductive system of neonate female rats, which
mechanisms may be, at least, involved with modifications of hormone production
and steroid receptor transcription in the reproductive system.
PMID- 18047478
TI - The dark side of ecstasy: neuropsychiatric symptoms after exposure to 3,4
methylenedioxymethamphetamine.
AB - 3,4-Methylenedioxymethamphetamine (MDMA, ecstasy) is a known neurotoxin in
animals. This review discusses the history, pattern of use, pharmacology, acute
and long-term effects of MDMA. Emphasis is given to the concern that MDMA may
induce long-term cognitive and psychiatric effects. MDMA is an illegal substance,
and investigations of the effects of exposure in human beings have limitations
and weaknesses. There are numerous studies suggesting a correlation between MDMA
exposure and psychopathology, and that the psychotropic effects may be long
lasting or permanent. However, it is not possible to conclude that there is a
causal relationship between exposure and the increased psychopathology observed
in MDMA users. Longitudinal studies are needed to assess whether MDMA causes
persistent cognitive impairment and/or psychiatric symptoms in human beings.
PMID- 18047479
TI - Effects of azumolene on normal and malignant hyperthermia-susceptible skeletal
muscle.
AB - Malignant hyperthermia is a pharmacogenetic disease of skeletal muscle in which a
life-threatening, hypermetabolic syndrome is induced by exposure of susceptible
patients to halogenated general anaesthetics and/or succinylcholine. Dantrolene
sodium, the only drug effective for treatment of malignant hyperthermia, has low
water solubility that makes its clinical use difficult. The aim of this study was
to investigate the potency of azumolene, a 30-fold more water-soluble analogue,
in comparison to the prototype dantrolene sodium, on mammalian and human skeletal
muscles. The twitches of extensor digitorum longus and soleus muscles from mice
were inhibited by azumolene with IC(50) of 2.8 +/- 0.8 and 2.4 +/- 0.6 microM,
respectively. The IC(50) of dantrolene sodium in these muscles was 1.6 +/- 0.4
and 3.5 +/- 1.2 microM, respectively, with no difference in comparison to
azumolene. Previous in vitro exposure of mouse soleus muscle to azumolene and
dantrolene sodium (10 microM) significantly inhibited 8 mM caffeine-induced
contractures. Azumolene was just effective as dantrolene sodium in relaxing
caffeine-induced contractures of mouse soleus muscle. Intravenous injection
caused dose-dependent decreases in twitches of guinea pig gastrocnemius muscle
with IC(50) of 1.2 +/- 0.1 and 1.5 +/- 0.2 mg/kg for azumolene and dantrolene
sodium, respectively. Azumolene, 10 microM, was effective in blocking and
reversing caffeine-induced contracture of human malignant hyperthermia
susceptible skeletal muscle in vitro. These studies provide evidence that
azumolene is equipotent to dantrolene sodium in blocking pharmacologic-induced
muscle contractures and that azumolene should be efficacious for
treatment/prevention of malignant hyperthermia.
PMID- 18047480
TI - Brain activation for alertness measured with functional near infrared
spectroscopy (fNIRS).
AB - Alertness is a basic psychological function within the construct of attention.
The neural basis of alertness has been found in the right frontotemporal cortex
and the left ventrolateral prefrontal cortex. The aim of the present study was to
establish the measurement of functional brain activity during alertness with
functional near infrared spectroscopy (fNIRS). Therefore we measured the changes
in brain oxygenation in two independent samples during an alertness condition and
control conditions with 52 NIRS channels placed over the frontotemporal area.
Based on a region of interest defined in the first sample (and on the literature)
we showed in the second sample significantly higher activation during the
alertness condition compared to the control conditions. This study proves the
possibility of investigating the neural correlates of alertness using fNIRS.
PMID- 18047481
TI - Dietary sodium effects on heart rate variability in salt sensitivity of blood
pressure.
AB - High dietary sodium intake is a risk factor for hypertension, and heart rate
variability (HRV) is decreased in hypertension. Effects of dietary sodium intake
on HRV of normotensive persons have not, however, been investigated to date. The
present study examined effects of low and high sodium diets on blood pressure,
heart rate, and HRV in 36 healthy, normotensive women, ages 40-70. Each was
placed on a low sodium diet for 6 days followed by a high sodium diet for 6 days.
The high salt diet increased mean systolic blood pressure, decreased heart rate,
and increased high frequency HRV (HF). Cardiac vagal tone, estimated at baseline
from heart period and a time domain estimate of respiratory sinus arrhythmia, was
higher in salt-sensitive than salt-insensitive subjects. The finding of increased
vagal tone in normotensive persons on high salt intake indicates that dietary
sodium status should be considered in behavioral studies of HRV.
PMID- 18047482
TI - Novelty and conflict in the categorization of complex stimuli.
AB - We manipulated categorical typicality and the presence of conflicting information
as participants categorized multifeatured artificial animals. In Experiment 1,
rule-irrelevant features were correlated with particular categories during
training. In the test phase, participants applied a one-dimensional rule to
stimuli with rule-irrelevant features that were category-congruent, category
incongruent, or novel. Category-incongruent and novel features delayed RT and P3
latency, but had no effect on the N2. Experiment 2 used a two-dimensional rule to
create conflict between rule-relevant features. Conflict resulted in prolonged
RTs and larger amplitudes of a prefrontal positive component, but had no impact
on the N2. Stimuli with novel features did elicit a larger N2 than those with
frequent features. These results suggest limitations on the generality of the
N2's sensitivity to conflicting information while confirming its sensitivity to
attended visual novelty.
PMID- 18047483
TI - Relative left frontal activation to appetitive stimuli: considering the role of
individual differences.
AB - Experiments examining asymmetrical frontal activity in response to affective
pictures have produced inconsistent results. These inconsistencies may have
occurred because the pictorial stimuli may not have evoked strong emotional or
motivational tendencies for all individuals. In the current study, participants
were asked to indicate their liking for dessert and the time since they had last
eaten to assess individual differences in emotion and motivation. Then, they were
shown dessert pictures or neutral pictures while EEG activity was recorded.
Results indicated that the individual differences predicted greater left than
right frontal activity (inverse of the alpha power) within the first second as
well as all 12 s of viewing of the dessert pictures.
PMID- 18047484
TI - EEG correlates of emotions in dream narratives from typical young adults and
individuals with autistic spectrum disorders.
AB - The relationship between emotional dream content and Alpha and Beta REM sleep EEG
activity was investigated in typical individuals and in Autistic Spectrum
Disorders (ASD). Dream narratives of persons with ASD contained fewer emotional
elements. In both groups, emotions correlated positively with slow Alpha (8.0
10.0 Hz) spectral power over parieto-occipital and left central regions, as well
as with a right occipital EEG asymmetry. Slow Alpha activity in ASD individuals
was lower over midline and parasagittal areas and higher over lateral areas
compared to controls. Both groups displayed a right-biased slow Alpha activity
for midparietal and occipital (significantly higher in control) sites. Results
indicate that Alpha EEG activity may represent a neurophysiological substrate
associated with emotional dream content. Distinctive Alpha EEG patterns and
asymmetries suggest that dream generation implies different brain connectivity in
ASD.
PMID- 18047485
TI - Pharmacological and functional characterization of novel EP and DP receptor
agonists: DP1 receptor mediates penile erection in multiple species.
AB - INTRODUCTION: Despite the widespread use of prostaglandin E(1) as an efficacious
treatment for male erectile dysfunction for more than two decades, research on
prostanoid function in penile physiology has been limited. AIM: To characterize
the pharmacological and physiological activity of novel subtype-selective EP and
DP receptor agonists. METHODS: Radioligand binding and second messenger assays
were used to define receptor subtype specificity of the EP and DP agonists.
Functional activity was further characterized using isolated human and rabbit
penile cavernosal tissue in organ baths. In vivo activity was assessed in rabbits
and rats by measuring changes in cavernous pressure after intracavernosal
injection of receptor agonists. MAIN OUTCOME MEASURES: Receptor binding and
signal transduction, smooth muscle contractile activity, erectile function.
RESULTS: In organ bath preparations of human cavernosal tissue contracted with
phenylephrine, EP2- and EP4-selective agonists exhibited variable potency in
causing relaxation. One of the compounds caused mild contraction, and none of the
compounds was as effective as PGE(1) (EC(50) = 0.23 microM). There was no
consistent correlation between the pharmacological profile (receptor binding and
second messenger assays) of the EP agonists and their effect on cavernosal tissue
tone. In contrast, the DP1-selective agonist AS702224 (EC(50) =29 nM) was more
effective in relaxing human cavernosal tissue than either PGE(1), PGD(2) (EC(50)
= 58 nM), or the DP agonist BW245C (EC(50) =59 nM). In rabbit cavernosal tissue,
PGE(1) and PGD(2) caused only contraction, while AS702224 and BW245C caused
relaxation. Intracavernosal administration of AS702224 and BW245C also caused
penile tumescence in rabbits and rats. For each compound, the erectile response
improved with increasing dose and was significantly higher than vehicle alone.
CONCLUSIONS: These data suggest that AS702224 is a potent DP1-selective agonist
that causes penile erection. The DP1 receptor mediates relaxation in human
cavernosal tissue, and stimulates pro-erectile responses in rat and rabbit. Thus,
rabbits and rats can be useful models for investigating the physiological
function of DP1 receptors.
PMID- 18047486
TI - Effects of written information material on help-seeking behavior in patients with
erectile dysfunction: a longitudinal study.
AB - INTRODUCTION: Neither men with erectile dysfunction (ED) nor their physicians are
willing to discuss sexual problem sufficiently. Written information material
could facilitate a dialogue and encourage men to seek treatment. AIM: The central
task of this article was to determine the effectiveness and acceptance of patient
information material for sexual dysfunction. METHODS: Through an information
campaign, men received informational material. Eight thousand men also received a
first survey, which asked about the intention to seek treatment and to discuss
the sexual problem with a physician or partner. A second follow-up questionnaire,
3-6 months after the first one, asked for the implementation of these intentions.
Descriptive and regression-based analyses were applied. MAIN OUTCOME MEASURES:
Help-seeking behavior, subjective assessment of change in disease severity and
partnership quality, satisfaction. RESULTS: Four hundred forty-three men
participated in both surveys. Nearly 90% of them became active after reading the
information material. More than half talked with their partner (57.8%) and a
physician (65%), and one-third sought treatment (31.8%). Especially discussing
the problem with the partner and receiving treatment improved erectile
functioning and led to an increase in the quality of partnership (P = 0.05).
The initial intention to become active was a good predictor for completing an
action. The main reasons for not becoming active were inhibitions to talk about
ED (46.8%) and fear of a medical examination (27.7%). CONCLUSIONS: Overall, the
results demonstrate that written information material is a useful resource for
men with ED, because it evokes a high help-seeking behavior. It was perceived
both to improve the sexual problem as well as to increase the quality of
partnership. Providing such material in the medical practice may be an
appropriate way to overcome inhibitions and to initiate dialogue with affected
men. However, the results must be interpreted with caution because of possible
motivationally driven self-selection bias.
PMID- 18047487
TI - Modified hygienic Epitec System abutment for magnetic retention of orbital
prostheses.
AB - Maintenance of healthy periimplant soft tissue is a significant problem for
orbital prosthesis wearers. Two female patients with orbital defects after
malignant tumor resection were treated using custom-made retentive components of
an individual magnet for an Epitec System orbital prosthesis. Freestanding
hygienic retentive components for an individual magnet were fabricated. An
abutment replica was trimmed and modified, and using pattern resin, a magnetic
keeper was cast and soldered to the abutment. The patients could maintain good
hygiene and healthy periimplant soft tissue. This type of freestanding retentive
component may be advantageous for the hygiene maintenance of periimplant soft
tissue.
PMID- 18047488
TI - A simple method of positioning the iris disk on a custom-made ocular prosthesis.
A clinical report.
AB - We report two cases of ocular prosthesis fabrication that make use of a
transparent graph grid for positioning the iris disk. The custom-made ocular
prostheses achieved intimate contact with the tissue bed enabling ideal fit. As
asymmetry may result in a squint-eyed appearance, proper positioning of the iris
disk in the scleral wax pattern is vital to fabricating the custom-made
artificial eye. The position of the iris disk in the custom-made ocular
prosthesis was in symmetry with that of the natural eye, restoring esthetics of
the patient.
PMID- 18047489
TI - Mechanical behavior and failure analysis of prosthetic retaining screws after
long-term use in vivo. Part 2: Metallurgical and microhardness analysis.
AB - PURPOSE: This study involved testing and analyzing multiple retrieved prosthetic
retaining screws after long-term use in vivo to: (1) detect manufacturing defects
that could affect in-service behavior; (2) characterize the microstructure and
alloy composition; and (3) further characterize the wear mechanism of the screw
threads. MATERIALS AND METHODS: Two new (control) screws from Nobel Biocare (NB)
and 18 used (in service 18-120 months) retaining screws [12 from NB and 6 from
Sterngold (SG)] were: (1) metallographically examined by light microscopy and
scanning electron microscopy (SEM) to determine the microstructure; (2) analyzed
by energy dispersive X-ray (EDX) microanalysis to determine the qualitative and
semiquantitative average alloy and individual phase compositions; and (3) tested
for Vickers microhardness. RESULTS: Examination of polished longitudinal sections
of the screws using light microscopy revealed a significant defect in only one
Group 4 screw. No significant defects in any other screws were observed. The
defect was considered a "seam" originating as a "hot tear" during original
casting solidification of the alloy. Additionally, the examination of
longitudinal sections of the screws revealed a uniform homogeneous microstructure
in some groups, while in other groups the sections exhibited rows of second phase
particles. The screws for some groups demonstrated severe deformation of the
lower threads and the bottom part of the screw leading to the formation of
crevices and grooves. Some NB screws were comprised of Au-based alloy with Pt,
Cu, and Ag as alloy elements, while others (Groups 4 and 19) were Pd-based with
Ga, Cu, and Au alloy elements. The microstructure was homogeneous with fine or
equiaxed grains for all groups except Group 4, which appeared inhomogeneous with
anomalous grains. SG screws demonstrated a typical dendritic structure and were
Au-based alloy with Cu and Ag alloy elements. There were differences in the
microhardness of gold alloy screws from NB and SG as well as palladium alloy
screws from NB. CONCLUSIONS: Significant differences within NB retaining screws
and between NB and SG screws were found for microstructure, major alloy
constituents, and microhardness.
PMID- 18047490
TI - Evaluation of load at fracture of Procera AllCeram copings using different luting
cements.
AB - PURPOSE: The current study investigated the effect of different luting agents on
the fracture resistance of Procera AllCeram copings. METHODS: Six master dies
were duplicated from the prepared maxillary first premolar tooth using
nonprecious metal alloy (Wiron 99). Thirty copings (Procera AllCeram) of 0.6-mm
thickness were manufactured. Three types of luting media were used: zinc
phosphate cement (Elite), glass ionomer cement (Fuji I), and dual-cured composite
resin cement (Panavia F). Ten copings were cemented with each type. Two master
dies were used for each group, and each of them was used to lute five copings.
All groups were cemented according to manufacturer's instructions and received a
static load of 5 kg during cementation. After 24 hours of distilled water storage
at 37 degrees C, the copings were vertically compressed using a universal testing
machine at a crosshead speed of 1 mm/min. RESULTS: ANOVA revealed significant
differences in the load at fracture among the three groups (p < 0.001). The
fracture strength results showed that the mean fracture strength of zinc
phosphate cement (Elite), glass ionomer cement (Fuji I), and resin luting cement
(Panavia F) were 1091.9 N, 784.8 N, and 1953.5 N, respectively. CONCLUSION:
Different luting agents have an influence on the fracture resistance of Procera
AllCeram copings.
PMID- 18047491
TI - Excellent early and late outcomes of aortic valve replacement in people aged 80
and older.
AB - OBJECTIVES: To investigate early and late outcome of aortic valve replacement
(AVR) in a large cohort of patients aged 80 and older. DESIGN: Retrospective
study of consecutive patients undergoing AVR using a computerized database based
on the New York State Department of Health registry. Data collection was
performed prospectively. SETTING: University hospital (single institution).
PARTICIPANTS: One thousand three hundred eight patients undergoing AVR (231
(17.6%) aged > or = 80, 1,077 (82.4%) < 80). MEASUREMENTS: Patient
characteristics, hospital mortality, morbidity, length of stay and long-term
survival were analyzed. RESULTS: Subjects aged 80 and older were more likely to
be female, had a lower body mass index, and presented significantly more often
with comorbidities such as heart failure, renal failure, and extensive aortic
calcification. Crude hospital mortality was 5.2% (n=12) in subjects age 80 and
older, compared with 4.5% (n=48) in those younger than 80 (P=.37). Respiratory
failure occurred more frequently in those aged 80 and older. In multivariate
logistic regression analysis, age of 80 and older was not a predictor of hospital
mortality. The median length of stay was significantly higher in those aged 80
and older than in those younger than 80 (10 days vs 7 days, P=.01). Five-year
survival was 64+/-5% in those aged 80 and older, which was similar to that of the
age- and sex-matched general U.S. population. CONCLUSION: Excellent results after
AVR can be expected in patients aged 80 and older, with minimal increase in
postoperative mortality and acceptable postoperative morbidity. Respiratory
failure is the main postoperative complication in patients aged 80 and older.
Recent advances in operative techniques and perioperative management have
contributed to better surgical outcomes in these patients than found in
historical reports.
PMID- 18047492
TI - Antioxidant vitamin supplement use and risk of dementia or Alzheimer's disease in
older adults.
AB - OBJECTIVES: To examine whether use of vitamins C or E alone or in combination was
associated with lower incidence of dementia or Alzheimer's disease (AD). DESIGN:
Prospective cohort study. SETTING: Group Health Cooperative, Seattle, Washington.
PARTICIPANTS: Two thousand nine hundred sixty-nine participants aged 65 and older
without cognitive impairment at baseline in the Adult Changes in Thought study.
MEASUREMENTS: Participants were followed biennially to identify incident dementia
and AD diagnosed according to standard criteria. Participants were considered to
be users of vitamins C or E if they self-reported use for at least 1 week during
the month before baseline. RESULTS: Over a mean follow-up+/-standard deviation of
5.5+/-2.7 years, 405 subjects developed dementia (289 developed AD). The use of
vitamin E was not associated with dementia (adjusted hazard ratio (HR)=0.98, 95%
confidence interval (CI)=0.77-1.25) or with AD (HR=1.04; 95% CI=0.78-1.39). No
association was found between vitamin C alone (dementia: HR=0.90, 95% CI=0.71
1.13; AD: HR=0.95, 95% CI=0.72-1.25) or concurrent use of vitamin C and E
(dementia: HR=0.93, 95% CI=0.72-1.20; AD: HR=1.00, 95% CI=0.73-1.35) and either
outcome. CONCLUSION: In this study, the use of supplemental vitamin E and C,
alone or in combination, did not reduce risk of AD or overall dementia over 5.5
years of follow-up.
PMID- 18047493
TI - Health care for older Americans with multiple chronic conditions: a research
agenda.
AB - In response to the substantial and increasing healthcare requirements of older
adults with multiple chronic conditions and acknowledgment of major gaps in
knowledge and funding, two expert meetings were convened to identify a research
agenda addressing the needs of this population. Research priorities are to
develop and evaluate more-effective models of health care, develop and evaluate
management practices and organizational structures that lead to improved long
term care, develop and implement relevant and effective preventive health
strategies, determine the most effective interventions in patients who have
concurrent cognitive or emotional impairments, and determine how interventions
during and after hospitalization affect the outcomes of hospitalized patients.
PMID- 18047494
TI - Outcome of septic shock in older adults after implementation of the sepsis
"bundle".
AB - OBJECTIVES: To evaluate the effect on 28-day mortality of implementation of a
sepsis "bundle" protocol for the treatment of older adults with septic shock.
DESIGN: Observational prospective study with a historical control group. SETTING:
Tertiary care center. PARTICIPANTS: Eighty-seven consecutive patients recruited
between May 2004 and February 2007 matched to a historic group identified between
March 2001 and April 2004. INTERVENTIONS: Implementation of a sepsis protocol for
the management of septic shock. RESULTS: Subjects who were treated according to
the sepsis "bundle" had an absolute risk reduction in 28-day mortality of 16%
(95% confidence interval (CI)=-31% to -2%). The treatment group received a larger
volume of fluid in the first 6 hours of presentation (3,960 +/- 1,990 vs 2,490 +/
1,020 mL; P<.001) and lower doses of vasopressors (maximum dosage of
norepinephrine 0.51 microg/kg per min (range 0.12-1.7) vs 0.98 microg/kg per min
(range 0.15-3.1) P=.009). A high prevalence of adrenal insufficiency (86%) was
identified in the study population. There were no significant differences between
the treatment and control groups in the surviving patients with respect to
duration of mechanical ventilation (median 8.5 vs 12.0, respectively; P=.07) or
intensive care unit length of stay (median 12 days vs 15 days; P=.08). According
to Cox regression analysis, implementation of the sepsis bundle protocol was
independently associated with better 28-day survival (hazard ratio=0.54, 95%
CI=0.33-0.86; P=.01). CONCLUSION: Older patients with septic shock had a better
28-day survival rate when treated with a comprehensive sepsis "bundle" protocol.
PMID- 18047495
TI - Assuring quality end-of-life care: imperative to expand the evidence base in
concert with growth of the field.
PMID- 18047496
TI - Ethnic disparities in hospice use among Asian-American and Pacific Islander
patients dying with cancer.
AB - Asian Americans and Pacific Islanders (AAPIs) are a rapidly growing population in
the United States, yet little is known about hospice use and length of stay in
hospice of older AAPIs dying with cancer. A retrospective study was conducted of
the last year of life of AAPI and white Medicare beneficiaries registered in the
Surveillance, Epidemiology, and End Results Program. White (n=175,467) and AAPI
(n=8,614) patients aged 65 and older who were dying with lung, colorectal,
breast, prostate, gastric, or liver cancer were studied. Cox proportional hazards
models were used to examine hospice use and length of stay in hospice. All AAPI
subgroups studied had lower rates of hospice use (Chinese (adjusted hazard ratio
(HR)=0.62, 95% confidence interval (CI)=0.55-0.69), Japanese (adjusted HR=0.67,
95% CI=0.60-0.73), Filipino (adjusted HR=0.61, 95% CI=0.54-0.70),
Hawaiian/Pacific Islanders (adjusted HR=0.78, 95% CI=0.67-0.91), and other Asians
(adjusted HR=0.70), 95% CI=0.55-0.90) than white patients, adjusting for patient
demographic and clinical characteristics. Of those who enrolled in hospice
(approximately 20% of the total sample), Japanese Americans had a shorter median
length of stay (21 days), and Filipino Americans had a longer median length of
stay (32 days) than white patients (26 days). Overall, approximately 20% of
patients enrolled within 7 days of death, and only 6% had hospice stays that were
longer than 2 months, with no significant differences across racial or ethnic
groups. In conclusion, in every ethnic subgroup studied, AAPIs were less likely
than whites to enroll in hospice. Further research is needed to understand these
differences and eliminate potential barriers to hospice care.
PMID- 18047497
TI - Reflections on a 'virtual' practice development unit: changing practice through
identity development.
AB - AIMS: This paper draws together the personal thoughts and critical reflections of
key people involved in the establishment of a 'virtual' practice development unit
of clinical nurse specialists in the south of England. BACKGROUND: This practice
development unit is 'virtual' in that it is not constrained by physical or
specialty boundaries. It became the first group of Trust-wide clinical nurse
specialists to be accredited in the UK as a practice development unit in 2004.
DESIGN AND METHODS: The local university was asked to facilitate the
accreditation process via 11 two-hour audio-recorded learning sessions. Critical
reflections from practice development unit members, leaders and university staff
were written 12 months after successful accreditation, and the framework of their
content analysed. FINDINGS AND DISCUSSION: Practice development was seen as a way
for the clinical nurse specialists to realize their potential for improving
patient care by transforming care practice in a collaborative, interprofessional
and evolutionary manner. The practice development unit provided a means for these
nurses to analyse their role and function within the Trust. Roberts' identity
development model for nursing serves as a useful theoretical underpinning for the
reflections contained in this paper. CONCLUSIONS: These narratives provide
another example of nurses making the effort to shape and contribute to patient
care through organizational redesign. This group of nurses began to realize that
the structure of the practice development unit process provided them with the
means to analyse their role and function within the organization and, as they
reflected on this structure, their behaviour began to change. RELEVANCE TO
CLINICAL PRACTICE: Evidence from these reflections supports the view that
practice development unit participants have secured a positive and professional
identity and are, therefore, better able to improve the patient experience.
PMID- 18047498
TI - Sleep-disordered breathing and cognition in older women.
AB - OBJECTIVES: To investigate the association between objectively measured sleep
disordered breathing (SDB) and cognitive impairment in community-dwelling older
women and to determine whether the apolipoprotein E (APOE) epsilon4 allele
modifies this association. DESIGN: Cross-sectional. SETTING: Participants' homes
and two sites of the Study of Osteoporotic Fractures (SOF). PARTICIPANTS: Four
hundred forty-eight women with a mean age+/-standard deviation (SD) of 82.8+/
3.4. MEASUREMENTS: Participants completed the Mini-Mental State Examination
(MMSE), Trail Making Test Part B (Trails B), and polysomnography (PSG). SDB
indices were the apnea-hypopnea index (AHI), the central apnea index (CAI), and
oxygen saturation (SaO2) nadir less than 80%. APOE epsilon4 was determined for a
subset of 242 women. Cognitive impairment was defined as 1.5 SDs or more from the
sample mean on either cognitive test (MMSE or Trails B). RESULTS: All SDB indices
were associated with cognitive impairment according to the MMSE (AHI (per SD,
odds ratio (OR)=1.4, 95% confidence interval (CI)=1.03-1.9), AHI of > or = 30
(OR=3.4, 95% CI=1.4-8.1), SaO2 nadir < 80% (OR=2.7, 95% CI=1.1-6.6), and CAI (per
SD, OR=1.4, 95% CI=1.1-1.7)). Weaker, nonsignificant associations emerged between
SDB and Trails B. In women who completed genotyping, each SD increase in AHI was
associated with 70% greater odds of cognitive impairment according to the MMSE
(OR=1.7, 95% CI=1.2-2.6). Women with the epsilon4 allele had a nearly five times
greater odds of impairment (per SD, OR=4.6, 95% CI-1.0-20.7); the association was
smaller and nonsignificant in women without the epsilon4 allele (per SD, OR=1.5,
95% CI-0.9-2.4; P for interaction=.08). CONCLUSION: SDB is an important risk
factor for cognitive impairment in older women, especially those with the APOE
epsilon4 allele. Mechanisms linking these disorders need to be identified.
PMID- 18047499
TI - Randomized controlled trial of intravenous dexamethasone to prevent relapse in
acute migraine headache.
AB - OBJECTIVES: Migraine headache is a common presentation in the emergency
department (ED). Inflammation is thought to play a role in the pathophysiology of
migraine and there is conflicting evidence regarding the effect of
corticosteroids on reducing early recurrences. We conducted a randomized clinical
trial to test the hypothesis that dexamethasone (DEX) reduced headaches after
discharge and examine the factors associated with relapse. METHODS: Consenting
adults (18 and older) presenting with acute migraine at 4 EDs were enrolled. In
addition to standard intravenous (IV) abortive therapy, using concealed
allocation patients were randomized to receive IV DEX (15 mg) or placebo (PLA) in
a double-blind fashion. Relapse was defined as a return to the ED, an urgent
clinic visit, or a headache that precluded normal activity reported during follow
up telephone interviews 48-72 hours and 7 days after ED discharge. Intention to
treat was used for all final analyses. RESULTS: A total of 130 patients were
randomized; 126 patients are included in the analysis (one patient left prior to
treatment and 3 enrolled twice); 64 received DEX and 62 received PLA. Mean age
was 35 years, 81% was female; most (77%) suffered from headaches at least
monthly. On a 10-point visual analog scale (VAS), the median pain scores were 8
at presentation, and 2 at discharge. At 48-72 hours, relapses occurred in 14/64
(22%) in the DEX group and 20/62 (32%) in the PLA groups (OR = 0.6; 95% CI: 0.3
1.3). By day 7, 18/64 (28%) in the DEX group had relapsed, compared with 25/62
(40%) in the PLA group (OR = 0.6; 95% CI: 0.3-1.3). Controlling for treatment
assignment, relapse was more common when headache pain was incompletely relieved
(VAS > 2) at ED discharge (OR = 2.2; 95% CI: 1.1-5.4). CONCLUSIONS: The overall
relapse rate differed from those previously reported; however, DEX failed to
reduce headache relapses after ED discharge. Relapse was closely associated with
incomplete pain relief at discharge. Further research is needed to determine the
factors associated with migraine relapse.
PMID- 18047500
TI - Elimination of migraine-associated nausea in patients treated with rizatriptan
orally disintegrating tablet (ODT): a randomized, double-blind, placebo
controlled study.
AB - OBJECTIVE: To confirm the efficacy of rizatriptan 10 mg orally disintegrating
tablet (ODT) for the elimination of migraine-associated nausea. BACKGROUND:
Pooled studies of rizatriptan analyzing elimination of nausea as a secondary
endpoint showed that 65% of rizatriptan patients reported elimination of nausea
at 2 hours compared with 41% of patients taking placebo. METHODS: This was a
multicenter, randomized, double-blind, placebo-controlled single-attack trial
enrolling adult patients with at least a 6-month history of migraine who
typically experience migraine-associated nausea. Patients treated a moderate or
severe migraine headache at the earliest sign of nausea with either rizatriptan
10 mg ODT or placebo (2 : 1). The primary endpoint was elimination of nausea at 2
hours postdose, and the secondary endpoint was pain relief at 2 hours postdose.
RESULTS: Although not statistically significant, a greater percentage of patients
had elimination of nausea at 2 hours with rizatriptan compared with placebo
(70.3% vs 62.0%, P = .165, odds ratio [95% CI] = 1.45 [0.86, 2.46]). When
patients were grouped by baseline headache severity, rizatriptan showed a greater
advantage than placebo for patients with moderate pain (rizatriptan 72.8% vs
placebo 57.4%), but no difference for patients with severe pain (rizatriptan
67.7% vs placebo 66.7%). There were significantly more patients who achieved 2
hour pain relief with rizatriptan (69.7% vs 54.3%, P = .012, odds ratio [95% CI]
= 1.94 [1.16, 3.25]). CONCLUSION: Although the efficacy of rizatriptan 10 mg ODT
for the elimination of migraine-associated nausea was comparable to that seen in
previous rizatriptan trials, the higher-than-usual placebo response prevented a
finding of a statistically significant difference. There was a sizable difference
in placebo response between patients who treated moderate vs severe migraine.
Rizatriptan was effective for 2-hour pain relief.
PMID- 18047501
TI - Botulinum toxin type A as migraine preventive treatment in patients previously
failing oral prophylactic treatment due to compliance issues.
AB - OBJECTIVE: To examine the efficacy and safety of and satisfaction with botulinum
toxin type A (BoNTA; BOTOX: Allergan, Inc., Irvine, CA) for prophylactic
treatment of migraine headache in patients previously failing prophylaxis because
of issues pertaining to compliance. Background.- Numerous factors (eg, adverse
effects, tolerability, cost, frequency of dosage, hesitancy to take daily
medication, failure to complete treatment) negatively influence compliance with
the preventive pharmacology for migraine prophylaxis. BoNTA may offer benefit in
improving compliance because of its long duration of action, injectable route of
administration, and its tolerability (adverse event [AE]) profile. METHODS: This
was a randomized, double-blind, single-center, placebo-controlled study (months 1
to 3) of BoNTA with a cross-over to open-label BoNTA treatment (months 4 to 6).
Criteria for enrollment included patients with disabling headache (International
Headache Society, International Classification of Headache Disorders [ICHD-I]
diagnosis 1.1, 1.2, 1.7, or 2.2, and Headache Impact Test [HIT]-6 scores >/=56)
previously failing prophylaxis because of compliance, tolerability, or adherence
issues. After baseline evaluation, subjects were randomized 2 : 1 to a single set
of BoNTA (139 units [U] total; 17 sites/6 muscle groups) or placebo injections.
After month 3, only placebo-treated subjects were eligible to receive BoNTA in
the open-label continuation study. Treatment outcomes were evaluated by headache
episodes and days and maximum headache severity. Headache impact was assessed by
the HIT-6, Migraine Disability Assessment (MIDAS) score, and Quality of Life
(QoL) questionnaires. Treatment satisfaction was assessed with the Migraine
Impact Questionnaire (MIQ), which included MIDAS and QoL. RESULTS: Of the 73
subjects screened, 61 (40 BoNTA; 21 placebo) with migraine headache diagnosis 1.1
and 1.2 who met all study criteria were enrolled in the 3-month, blinded study,
with 54 completing the study; 19 of 21 placebo-treated subjects participated in
the open-label period (months 4 to 6), with 18 completing the study. Between
group comparisons, demonstrated through analysis of the subjects' headache
diaries, did not reach statistical significance at months 1 to 3 for the number
of headache episodes or days (primary endpoint). At month 2, a decrease from
baseline in the number of headache episodes (-0.99 +/- 2.38; P = .0147 vs 0.42 +/
3.23; P = not significant [NS]) and headache days (-1.52 +/- 3.84; P = .0194 vs
0.23 +/- 4.67; P = NS) was noted in the BoNTA-treated subjects but not in the
placebo-treated subjects, respectively. During the open-label study, BoNTA
treated subjects had a decrease in the number of headache episodes at months 5
and 6 (-1.58 +/- 2.88 and -1.58 +/- 2.85, respectively; P < .05 vs baseline for
both) and headache days at months 5 and 6 (-2.84 +/- 4.47 and -2.73 +/- 4.86,
respectively; P < .05 vs baseline for both). BoNTA did not affect maximum
headache severity compared with baseline or placebo during the first 3 months of
the study. A decrease in HIT-6 scores was significantly greater for BoNTA-treated
subjects than for placebo-treated subjects at month 3 (-7.77 vs -3.58, P =
.0466). Within-group decreases in HIT-6 scores were significant in BoNTA-treated
subjects during each month of the blinded trial (-5.10 +/- 8.85, -6.63 +/- 7.49,
7.77 +/- 8.78 for months 1 to 3, respectively; P < .0001 for all vs baseline) and
throughout the open-label portion of the study (-7.89 +/- 6.48, -10.39 +/- 10.81,
-9.00 +/- 11.12 for months 4 to 6, respectively; P < .01 for all vs baseline).
The within-group decrease in placebo-treated subjects was significant at months 1
and 3 (-3.35 +/- 6.07 and -3.58 +/- 5.40, respectively; P < .05 for both). At 3
months, BoNTA was significantly better than placebo (P = .001) in the reduction
of MIDAS total score. The change from baseline in the MIDAS total scores was
significant in BoNTA-treated subjects (-21.62 +/- 38.70; P < .0001) but not in
placebo recipients (4.76 +/- 18.85; P = NS). BoNTA-treated subjects showed
improvement in 11 of 13 and 7 of 13 assessments of treatment satisfaction in MIQ
at months 3 and 6, respectively, while the placebo group showed no improvement at
any measured time interval in the study. At month 3 (blinded period), there were
no treatment-related AEs reported in both groups. However, there were 18
possible/probable occurrences of treatment-related AEs in the BoNTA group. At
month 6 (open-label period), 4 treatment-related AEs were reported, along with 2
possible occurrences. The majority of treatment-related AEs were transient and
mild to moderate in severity, with no subjects discontinuing the study because of
AEs. CONCLUSIONS: BoNTA-treated subjects showed improvements from baseline in
measures of headache frequency, and improvements from baseline and in comparison
with placebo treatment in headache impact and treatment satisfaction at multiple
time points in this study. However, BoNTA-treated subjects did not differ from
placebo-treated subjects in measures of headache frequency and severity. BoNTA
may be a useful treatment option for headache patients demonstrating poor
compliance, adherence, or AE profile with oral prophylactic regimens.
PMID- 18047502
TI - Botulinum toxin type A and divalproex sodium for prophylactic treatment of
episodic or chronic migraine.
AB - OBJECTIVE: To compare the efficacy and safety of botulinum toxin type A (BoNTA;
BOTOX: Allergan, Inc.) and divalproex sodium (DVPX; DEPAKOTE: Abbott
Laboratories) as prophylaxis in reducing disability and impact associated with
migraine. BACKGROUND: There is a need for effective, well-tolerated prophylactic
treatment of migraine. DESIGN/METHODS: This was a randomized, double-blind,
single-center prospective study. Fifty-nine patients received either BoNTA 100
U/placebo-DVPX bid or placebo-BoNTA/DVPX 250 mg bid. BoNTA/placebo injections
were given at Day 0 and at Month 3. Patients were evaluated at Months 1, 3, 6,
and 9. RESULTS: Both treatments showed significant improvements in migraine
disability scores and reductions in headache days and headache index. A trend to
decreased headache severity was observed with BoNTA. A greater percentage of DVPX
patients reported adverse events possibly related to treatment (DVPX 75.8% vs
BoNTA 50%, P = .04) and discontinued because of adverse events (DVPX 27.6% vs
BoNTA 3.3%, P = .012). CONCLUSIONS: Both BoNTA and DVPX significantly reduced
disability associated with migraine; BoNTA had a favorable tolerability profile
compared with DVPX.
PMID- 18047503
TI - Pemphigoid nodularis associated with psoriatic erythroderma: successful treatment
with suplatast tosilate.
PMID- 18047504
TI - Long-term efficacy of a single course of infliximab in hidradenitis suppurativa.
AB - BACKGROUND: Hidradenitis suppurativa is a chronic inflammatory skin disease
characterized by abscess formation, predominantly in the axillae and groins. The
disease is difficult to treat and has a severe impact on quality of life.
Recently, several case reports have been published describing successful
treatment of hidradenitis suppurativa with infliximab and other tumour necrosis
factor alpha inhibitors. OBJECTIVES: To evaluate the long-term efficacy of a
single course of infliximab. METHODS: Ten patients with severe, recalcitrant
hidradenitis were treated with infliximab (three infusions of 5 mg kg(-1) at
weeks 0, 2 and 6) and followed up for at least 1 year. The disease activity was
measured using laboratory parameters and a recently developed acne score. The
patients rated the efficacy of infliximab on a 10-point scale at regular
intervals. Quality of life was measured before and after treatment using the
Dermatology Quality of Life Index (DQLI). RESULTS: All patients improved within 2
6 weeks. The average acne score diminished from 164+/-50 (mean+/-SD) before
treatment to 89+/-49 after 1 year (P=0.002). The mean CRP (C-reactive protein)
was reduced from 31.7 mg mL(-1) to 5.5 mg mL(-1) after 1 month (P=0.015).
Patients judged the efficacy with a score of 7.9. The mean DQLI was reduced from
18.4+/-7.9 before treatment to 9.3+/-9.1 after 1 year (P=0.007). In three
patients long-lasting improvement was observed, with no recurrence of lesions in
a 2-year follow-up period. The other patients showed recurrence of lesions after
8.5 months (range 4.3-13.4 months). CONCLUSIONS: Infliximab is an effective
treatment in severe hidradenitis suppurativa, leading to reduction of symptoms
for a prolonged period.
PMID- 18047505
TI - Lichenoid drug eruption to terazosin.
PMID- 18047506
TI - A case of bromoderma and bromism.
PMID- 18047507
TI - Photosensitizing activity of hypericin and hypericin acetate after topical
application on normal mouse skin.
AB - BACKGROUND: Hypericin, originating from Hypericum perforatum, is a potent
photosensitizer known to induce skin phototoxicity when given systemically.
Previously, we have examined the penetration and distribution of hypericin and
its acetate ester in the skin of hairless mice after topical application.
OBJECTIVES: In this study, we assessed the time course and skin histopathology of
the phototoxic response after a single topical application of hypericin and
hypericin acetate, and subsequent irradiation. The amount of blood-borne
photosensitizer and the skin clearance, as well the remaining photosensitizing
capacity as a function of time, were evaluated. Furthermore, elicited phototoxic
responses were compared with those after application of methyl aminolaevulinic
acid (Me-ALA). METHODS: At different time points after topical application of
hypericin (0.1-1%) and hypericin acetate (0.015-1.5%) onto mouse ears,
penetration and retention of hypericin were assessed by fluorescence microscopy.
After definite application times, the ears were irradiated (10 J cm(-2), 20 mW
cm(-2)). Ear thickness measurements were conducted daily, and frequently ear
samples were taken for histological analysis. RESULTS: Application of hypericin
on mouse ears resulted only in limited phototoxicity, probably due to confined
penetration into the epidermal layers. Extended penetration achieved by
administration of hypericin acetate did give rise to a more severe and prolonged
response after irradiation, characterized by intense erythema and ear swelling.
Skin damage induced by 0.15% hypericin acetate application completely healed in
14 days without scar formation. After a single application of hypericin acetate,
the residual photosensitizing capacity was found to decline quickly and was
hardly detectable after 7 days. Under the experimental conditions used, hypericin
acetate induced equal or more severe phototoxic responses compared with Me-ALA,
depending on the concentration. CONCLUSIONS: Our results indicate that hypericin
is an effective photosensitizer not only after systemic administration, but also
after topical application, especially when applied as its precursor acetate
ester. Moreover, our data provide some insights on safety limits and the time
course of skin phototoxicity following hypericin and hypericin acetate
application. These data will aid in developing protocols for future photodynamic
therapy in the dermatological clinic.
PMID- 18047508
TI - Confirmed cancer trends in families of patients with multiple cancers including
cutaneous melanoma.
PMID- 18047509
TI - Cost-effectiveness of wart treatment.
PMID- 18047511
TI - Use of a spectrophotometric intracutaneous analysis device in the real-time
diagnosis of melanoma.
PMID- 18047512
TI - Sickle cell leg ulcers: a frequently disabling complication and a marker of
severity.
AB - BACKGROUND: Leg ulcers are a poorly known and underestimated complication of
sickle cell disease (SCD), but in our experience they often appear as a severely
disabling condition, associated with the most severe forms of the disease.
OBJECTIVES: To assess the characteristics, complications, repercussion on quality
of life and associations of SCD ulcers. METHODS: Case series of 20 patients
followed in a French referral centre for SCD and who had previous/present leg
ulcers. RESULTS: Median ulcerated area was 12 cm2 and median time spent with
ulcers was 29.5 months. Locoregional infections developed in 85%, ankle stiffness
in 50% and mood disorders in 85%. Ninety per cent of patients needed analgesics,
20% being opioids. Median loss of time from work was 12.5 months. The Short Form
36 Health Survey showed physical and mental component summary scores of 41.5 and
40.7, respectively, indicating severe alteration close to that found in lung
cancer or haemodialysis. Two categories of SCD leg ulcers were distinguished,
defined by a 1-year duration cut off. The 'prolonged' ulcers had larger surfaces,
tended to recur more frequently and led to more infection and depression. Several
SCD complications were associated with leg ulcers, notably priapism, pulmonary
hypertension, stroke and acute chest syndrome. CONCLUSIONS: Leg ulcers are a
major complication of SCD, given their severe consequences and frequent
association with other specific organ damage, and they constitute in their
'prolonged' form a severely disabling condition that remains an important
therapeutic challenge.
PMID- 18047513
TI - Post-kala-azar dermal leishmaniasis and borderline tuberculoid leprosy.
PMID- 18047514
TI - Dermoid cyst with magnetic resonance image of sack-of-marbles.
PMID- 18047516
TI - Genetics of melanoma tumorigenesis.
AB - Melanoma therapy is moving away from combinatorial approaches and towards newer
targeted strategies. With the identification of mutations in various RAS pathway
genes, there are now tremendous opportunities to bring inhibitors of RAS
signalling to the clinical arena.
PMID- 18047517
TI - Comparison of the depth profiles of water and water-binding substances in the
stratum corneum determined in vivo by Raman spectroscopy between the cheek and
volar forearm skin: effects of age, seasonal changes and artificial forced
hydration.
AB - BACKGROUND: Dermatologists and cosmetic scientists are becoming increasingly
interested in stratum corneum (SC) hydration because the SC plays an important
role in keeping the skin surface soft and smooth. However, conventional in vivo
noninvasive methods do not provide direct information about the depth profiles of
water content or SC components that hold water. OBJECTIVES: To study the depth
profiles of water and SC components in vivo by Raman spectroscopy, and to analyse
the changes due to age, anatomical location, season and water application.
METHODS: In vivo Raman spectra of the skin of the cheek and the volar forearm
were obtained from 50 healthy Japanese volunteers of different ages (age range 22
76 years) with a confocal Raman spectrometer. The depth-dependent profiles of
water and of SC water-binding components were calculated from the respective
Raman spectra. RESULTS: The depth profile of the SC water content was observed in
a pattern ranging from around 30% (water/wet tissue) at the outermost layer of
the SC to about 70% at the deeper living layer. Although the water content at a
depth of 10-30 microm in the forearm skin tended to be lower in older subjects
than in younger subjects, no such difference was found in the much thinner SC of
the cheek. Moreover, there was no seasonal difference in depth profile of water
content from the mid part of the SC to an 80-microm depth from the skin surface
both in the cheek and in the forearm. The water content of all the evaluated SC
components showed a gradual decrease from the surface to deeper portions. The
mean amounts of lactate in the forearm skin and cholesterol in the cheek skin
were significantly higher in younger subjects than in older subjects. In
contrast, the levels of free amino acids and trans-urocanic acid were higher in
the forearm skin of older subjects than in younger subjects. The relative amounts
of urea and lactate were the highest in summer, when that of trans-urocanic acid
was the lowest. Prolonged water application on the forearm skin even for 90 min
resulted in a remarkable increase in water content throughout the SC, even
reaching the granular layer, which was only gradually released from the upper
part of the SC after discontinuation of the hydration procedure. CONCLUSIONS: Our
present findings suggest that changes in the concentration depth profiles of
water, free amino acids and lipids in the skin depend on age, anatomical site and
season. These findings indicate the important roles played by various water
holding substances in the SC in the regulation of SC water content.
PMID- 18047518
TI - A randomized, single-blind comparison of topical clindamycin + benzoyl peroxide
and adapalene in the treatment of mild to moderate facial acne vulgaris.
AB - BACKGROUND: Antibiotics are often combined with other agents to provide topical
acne treatments that are effective against both inflammatory and noninflammatory
lesions and minimize the development of antibiotic resistance. Retinoids and
associated treatments also have anti-inflammatory activity and decrease
microcomedo formation. To date, few direct comparisons of these different acne
treatments have been conducted. OBJECTIVES: To compare the clinical effectiveness
of two treatments for facial acne: a ready-mixed once-daily gel containing
clindamycin phosphate 10 mg mL(-1) + benzoyl peroxide 50 mg mL(-1) (CDP + BPO;
Duac; Stiefel, High Wycombe, U.K.) and a once-daily gel containing adapalene 0.1%
(ADA; Differin; Galderma, Watford, U.K.). METHODS: In this assessor-blind,
randomized study; 65 patients were treated with CDP + BPO once daily and 65
patients with ADA once daily. The treatment period was 12 weeks and lesion
counts, acne grade and global improvement were assessed at weeks 1, 2, 4, 8 and
12. RESULTS: CDP + BPO showed an earlier onset of action with a faster
significant reduction in inflammatory and total lesion counts than ADA. A between
group comparison of the percentage change from baseline showed that CDP + BPO was
statistically significantly superior to ADA from week 1 onwards both for
inflammatory lesions (P < or = 0.001) and for total lesions (P < or = 0.004).
While 76% of inflammatory lesions remained at week 2 for patients using ADA, in
contrast, only 55% of inflammatory lesions remained at week 2 in the CDP + BPO
group, resulting in a treatment effect of 1.38. Thus CDP + BPO removed 38% more
inflammatory lesions than ADA at this timepoint. The trend in favour of CDP +
BPO, although less marked, continued to the end of the study. CDP + BPO was
better tolerated than ADA, with a greater proportion of ADA-treated patients
experiencing treatment-related adverse events. Adjunctive topical or oral agents
and their impact on acne were not studied in this trial. Due to product
differences, this study could not be double blinded but was only single
(assessor) blinded. CONCLUSIONS: CDP + BPO and ADA are both effective treatments
for acne, but CDP + BPO has a significantly earlier onset of action, is
significantly more effective against inflamed and total lesions and is better
tolerated, which should improve patient compliance.
PMID- 18047519
TI - Explaining phenotype heterogeneity in patients with psoriasis.
AB - Psoriasis is a heterogeneous disorder with many different phenotypes. Further,
different forms may alter their morphology and course of disease in that, for
instance, plaque-type psoriasis may become pustular and vice versa. With
advancing insight in immunopathology phenotype switching can now be explained by
directional changes from T cell-mediated pathology to an inflammatory
neutrophilic pattern which is driven by innate signalling. Within this framework
not a single 'antigen' but various causative agents play a key role.
PMID- 18047520
TI - Human papillomavirus-associated increase in p16INK4A expression in penile lichen
sclerosus and squamous cell carcinoma.
AB - BACKGROUND: Human papillomaviruses (HPVs) are sexually transmitted human
carcinogens that may play a role in the oncogenesis of penile cancer. OBJECTIVES:
To investigate the role of HPV infection and expression of the tumour suppressor
protein p16INK4A in the pathogenesis of penile cancer. METHODS: By means of
polymerase chain reaction amplification and reverse hybridization line probe
assay to detect HPV infection, and immunohistochemical staining for p16INK4A and
Ki67, we analysed 26 penile squamous cell carcinomas (SCCs) and 20 independent
penile lichen sclerosus (LS) lesions from 46 patients. RESULTS: HPV DNA was found
in 54% of penile SCCs and 33% of penile LS cases in single and multiple
infections. High-risk HPV 16 was the predominant HPV type detected. No
relationship between Ki67 expression and HPV infection was observed. Strong
immunostaining for p16INK4A correlated with HPV 16/18 infection in both penile LS
and penile SCC. In our penile SCC series the cancer margins were also associated
with penile LS in 13 of 26 lesions, and HPV was detected in seven of the 13 SCC
cases associated with LS and in six of the 11 SCC lesions not involving LS.
CONCLUSIONS: Our study shows a high prevalence of HPV 16 and p16INK4A expression
in penile lesions, consistent with an active role for HPV in interfering with the
retinoblastoma pathway. High-risk HPV infection could be involved in the
tumorigenic process in 50% of penile cancers, and the use of prophylactic HPV
vaccines has the potential to prevent these cancers.
PMID- 18047521
TI - Impact of adalimumab treatment on health-related quality of life and other
patient-reported outcomes: results from a 16-week randomized controlled trial in
patients with moderate to severe plaque psoriasis.
AB - BACKGROUND: Health-related quality of life (HRQOL) and other patient-reported
outcomes (PROs) are important in evaluating the impact of psoriasis and its
treatment. OBJECTIVES: To assess the impact of adalimumab treatment on HRQOL and
other PROs in patients with moderate to severe psoriasis. METHODS: A 16-week,
double-blind, double-dummy, randomized controlled trial evaluated the efficacy
and safety of adalimumab in 271 adults with moderate to severe chronic plaque
psoriasis. Patients were randomized in a 2:2:1 ratio to adalimumab, methotrexate
(MTX) or placebo. PROs were evaluated throughout the study and included the
Dermatology Life Quality Index (DLQI), Patient's Global Assessment of disease
severity, plaque psoriasis and psoriatic arthritis pain visual analogue scale
(VAS), Psoriasis-Related Pruritus Assessment and EuroQOL 5D (EQ-5D). RESULTS:
Statistically significant differences were observed between the adalimumab- and
placebo-treated and the MTX-treated groups on mean DLQI total scores during the
16-week double-blind study (both P<0.001). Significant differences, favouring
adalimumab compared with placebo, were also observed on the Patient's Global
Assessment of disease severity (P<0.001), VAS for pain (P<0.001), Psoriasis
Related Pruritus Assessment (P<0.001), EQ-5D VAS (P<0.001) and EQ-5D index score
(P<0.01). Compared with MTX, adalimumab resulted in statistically significantly
greater improvements in the Patient's Global Assessment of disease severity
(P<0.001), the VAS for pain (P<0.01) and the Psoriasis-Related Pruritus
Assessment (P<0.001). CONCLUSIONS: Adalimumab was efficacious in improving
dermatology-specific HRQOL, disease control and symptom outcomes in patients with
moderate to severe psoriasis.
PMID- 18047522
TI - Use of a spectrophotometric intracutaneous analysis device in the real-time
diagnosis of melanoma.
PMID- 18047523
TI - Efficacy and safety results from the randomized controlled comparative study of
adalimumab vs. methotrexate vs. placebo in patients with psoriasis (CHAMPION).
AB - BACKGROUND: Biologic therapies such as adalimumab, a tumour necrosis factor
antagonist, are safe and effective in the treatment of moderate to severe chronic
plaque psoriasis. OBJECTIVES: To compare a biologic agent with methotrexate, a
traditional systemic agent, to define clearly the role of biologics in psoriasis.
METHODS: Patients with moderate to severe plaque psoriasis were randomized to
adalimumab (80 mg subcutaneously at week 0, then 40 mg every other week, n=108),
methotrexate (7.5 mg orally, increased as needed and as tolerated to 25 mg
weekly; n=110) or placebo (n=53) for 16 weeks. The primary efficacy endpoint was
the proportion of patients achieving at least a 75% improvement in the Psoriasis
Area and Severity Index (PASI 75) after 16 weeks. Safety was assessed at all
visits through week 16. RESULTS: After 16 weeks, 79.6% of adalimumab-treated
patients achieved PASI 75, compared with 35.5% for methotrexate (P<0.001 vs.
adalimumab) and 18.9% for placebo (P<0.001 vs. adalimumab). Statistically
significantly more adalimumab-treated patients (16.7%) than methotrexate-treated
patients (7.3%) or placebo-treated patients (1.9%) achieved complete clearance of
disease. The response to adalimumab was rapid, with a 57% improvement in mean
PASI observed at week 4. Adverse events were similar across treatment groups.
Adverse events leading to study discontinuation were greatest in the methotrexate
group, primarily because of hepatic-related adverse events. CONCLUSIONS: After 16
weeks, adalimumab demonstrated significantly superior efficacy and more rapid
improvements in psoriasis compared with either methotrexate or placebo.
PMID- 18047524
TI - Conditional generalized estimating equations for the analysis of clustered and
longitudinal data.
AB - A common and important problem in clustered sampling designs is that the effect
of within-cluster exposures (i.e., exposures that vary within clusters) on
outcome may be confounded by both measured and unmeasured cluster-level factors
(i.e., measurements that do not vary within clusters). When some of these are
ill/not accounted for, estimation of this effect through population-averaged
models or random-effects models may introduce bias. We accommodate this by
developing a general theory for the analysis of clustered data, which enables
consistent and asymptotically normal estimation of the effects of within-cluster
exposures in the presence of cluster-level confounders. Semiparametric efficient
estimators are obtained by solving so-called conditional generalized estimating
equations. We compare this approach with a popular proposal by Neuhaus and
Kalbfleisch (1998, Biometrics 54, 638-645) who separate the exposure effect into
a within- and a between-cluster component within a random intercept model. We
find that the latter approach yields consistent and efficient estimators when the
model is linear, but is less flexible in terms of model specification. Under
nonlinear models, this approach may yield inconsistent and inefficient
estimators, though with little bias in most practical settings.
PMID- 18047525
TI - A general framework for the analysis of animal resource selection from telemetry
data.
AB - We propose a general framework for the analysis of animal telemetry data through
the use of weighted distributions. It is shown that several interpretations of
resource selection functions arise when constructed from the ratio of a use and
availability distribution. Through the proposed general framework, several
popular resource selection models are shown to be special cases of the general
model by making assumptions about animal movement and behavior. The weighted
distribution framework is shown to be easily extended to readily account for
telemetry data that are highly autocorrelated; as is typical with use of new
technology such as global positioning systems animal relocations. An analysis of
simulated data using several models constructed within the proposed framework is
also presented to illustrate the possible gains from the flexible modeling
framework. The proposed model is applied to a brown bear data set from southeast
Alaska.
PMID- 18047526
TI - Estimating a predator-prey dynamical model with the parameter cascades method.
AB - Ordinary differential equations (ODEs) are widely used in ecology to describe the
dynamical behavior of systems of interacting populations. However, systems of
ODEs rarely provide quantitative solutions that are close to real field
observations or experimental data because natural systems are subject to
environmental and demographic noise and ecologists are often uncertain about the
correct parameterization. In this article we introduce "parameter cascades" as an
improved method to estimate ODE parameters such that the corresponding ODE
solutions fit the real data well. This method is based on the modified penalized
smoothing with the penalty defined by ODEs and a generalization of profiled
estimation, which leads to fast estimation and good precision for ODE parameters
from noisy data. This method is applied to a set of ODEs originally developed to
describe an experimental predator-prey system that undergoes oscillatory
dynamics. The new parameterization considerably improves the fit of the ODE model
to the experimental data sets. At the same time, our method reveals that
important structural assumptions that underlie the original ODE model are
essentially correct. The mathematical formulations of the two nonlinear
interaction terms (functional responses) that link the ODEs in the predator-prey
model are validated by estimating the functional responses nonparametrically from
the real data. We suggest two major applications of "parameter cascades" to
ecological modeling: It can be used to estimate parameters when original data are
noisy, missing, or when no reliable priori estimates are available; it can help
to validate the structural soundness of the mathematical modeling approach.
PMID- 18047527
TI - Maximum likelihood ratio tests for comparing the discriminatory ability of
biomarkers subject to limit of detection.
AB - In this article, we consider comparing the areas under correlated receiver
operating characteristic (ROC) curves of diagnostic biomarkers whose measurements
are subject to a limit of detection (LOD), a source of measurement error from
instruments' sensitivity in epidemiological studies. We propose and examine the
likelihood ratio tests with operating characteristics that are easily obtained by
classical maximum likelihood methodology.
PMID- 18047528
TI - Clustered mixed nonhomogeneous Poisson process spline models for the analysis of
recurrent event panel data.
AB - A flexible semiparametric model for analyzing longitudinal panel count data
arising from mixtures is presented. Panel count data refers here to count data on
recurrent events collected as the number of events that have occurred within
specific follow-up periods. The model assumes that the counts for each subject
are generated by mixtures of nonhomogeneous Poisson processes with smooth
intensity functions modeled with penalized splines. Time-dependent covariate
effects are also incorporated into the process intensity using splines. Discrete
mixtures of these nonhomogeneous Poisson process spline models extract functional
information from underlying clusters representing hidden subpopulations. The
motivating application is an experiment to test the effectiveness of pheromones
in disrupting the mating pattern of the cherry bark tortrix moth. Mature moths
arise from hidden, but distinct, subpopulations and monitoring the subpopulation
responses was of interest. Within-cluster random effects are used to account for
correlation structures and heterogeneity common to this type of data. An
estimating equation approach to inference requiring only low moment assumptions
is developed and the finite sample properties of the proposed estimating
functions are investigated empirically by simulation.
PMID- 18047529
TI - Efficient statistical inference procedures for partially nonlinear models and
their applications.
AB - Motivated by an analysis of a real data set in ecology, we consider a class of
partially nonlinear models where both a nonparametric component and a parametric
component are present. We develop two new estimation procedures to estimate the
parameters in the parametric component. Consistency and asymptotic normality of
the resulting estimators are established. We further propose an estimation
procedure and a generalized F-test procedure for the nonparametric component in
the partially nonlinear models. Asymptotic properties of the newly proposed
estimation procedure and the test statistic are derived. Finite sample
performance of the proposed inference procedures are assessed by Monte Carlo
simulation studies. An application in ecology is used to illustrate the proposed
methods.
PMID- 18047530
TI - A new exact and more powerful unconditional test of no treatment effect from
binary matched pairs.
AB - We consider the problem of testing for a difference in the probability of success
from matched binary pairs. Starting with three standard inexact tests, the
nuisance parameter is first estimated and then the residual dependence is
eliminated by maximization, producing what I call an E+M P-value. The E+M P-value
based on McNemar's statistic is shown numerically to dominate previous
suggestions, including partially maximized P-values as described in Berger and
Sidik (2003, Statistical Methods in Medical Research 12, 91-108). The latter
method, however, may have computational advantages for large samples.
PMID- 18047531
TI - A test for constant fatality rate of an emerging epidemic: with applications to
severe acute respiratory syndrome in Hong Kong and Beijing.
AB - The etiology, pathogenesis, and prognosis for a newly emerging disease are
generally unknown to clinicians. Effective interventions and treatments at the
earliest possible times are warranted to suppress the fatality of the disease to
a minimum, and inappropriate treatments should be abolished. In this situation,
the ability to extract most information out of the data available is critical so
that important decisions can be made. Ineffectiveness of the treatment can be
reflected by a constant fatality over time while effective treatment normally
leads to a decreasing fatality rate. A statistical test for constant fatality
over time is proposed in this article. The proposed statistic is shown to
converge to a Brownian motion asymptotically under the null hypothesis. With the
special features of the Brownian motion, we are able to analyze the first passage
time distribution based on a sequential tests approach. This allows the null
hypothesis of constant fatality rate to be rejected at the earliest possible time
when adequate statistical evidence accumulates. Simulation studies show that the
performance of the proposed test is good and it is extremely sensitive in picking
up decreasing fatality rate. The proposed test is applied to the severe acute
respiratory syndrome data in Hong Kong and Beijing.
PMID- 18047532
TI - Modeling nonhomogeneous Markov processes via time transformation.
AB - Longitudinal studies are a powerful tool for characterizing the course of chronic
disease. These studies are usually carried out with subjects observed at periodic
visits giving rise to panel data. Under this observation scheme the exact times
of disease state transitions and sequence of disease states visited are unknown
and Markov process models are often used to describe disease progression. Most
applications of Markov process models rely on the assumption of time homogeneity,
that is, that the transition rates are constant over time. This assumption is not
satisfied when transition rates depend on time from the process origin. However,
limited statistical tools are available for dealing with nonhomogeneity. We
propose models in which the time scale of a nonhomogeneous Markov process is
transformed to an operational time scale on which the process is homogeneous. We
develop a method for jointly estimating the time transformation and the
transition intensity matrix for the time transformed homogeneous process. We
assess maximum likelihood estimation using the Fisher scoring algorithm via
simulation studies and compare performance of our method to homogeneous and
piecewise homogeneous models. We apply our methodology to a study of delirium
progression in a cohort of stem cell transplantation recipients and show that our
method identifies temporal trends in delirium incidence and recovery.
PMID- 18047533
TI - Modeling time series of animal behavior by means of a latent-state model with
feedback.
AB - We describe a family of models developed for time series of animal feeding
behavior. The models incorporate both an unobserved state, which can be
interpreted as the motivational state of the animal, and a mechanism for feedback
to this state from the observed behavior. We discuss methods for evaluating and
maximizing the likelihood of an observed series of behaviors, and thereby
estimating parameters, and for inferring the most likely sequence of underlying
states. We indicate several extensions of the models, including the incorporation
of random effects. We apply these methods in an analysis of the feeding behavior
of the caterpillar Helicoverpa armigera, and thereby demonstrate the potential of
this family of models as a tool in the investigation of behavior.
PMID- 18047534
TI - On the Bayesian estimation of a closed population size in the presence of
heterogeneity and model uncertainty.
AB - We consider the estimation of the size of a closed population, often of interest
for wild animal populations, using a capture-recapture study. The estimate of the
total population size can be very sensitive to the choice of model used to fit to
the data. We consider a Bayesian approach, in which we consider all eight
plausible models initially described by Otis et al. (1978, Wildlife Monographs
62, 1-135) within a single framework, including models containing an individual
heterogeneity component. We show how we are able to obtain a model-averaged
estimate of the total population, incorporating both parameter and model
uncertainty. To illustrate the methodology we initially perform a simulation
study and analyze two datasets where the population size is known, before
considering a real example relating to a population of dolphins off northeast
Scotland.
PMID- 18047535
TI - Inference for kappas for longitudinal study data: applications to sexual health
research.
AB - Analysis of instrument reliability and rater agreement is used in a wide range of
behavioral, medical, psychosocial, and health-care-related research to assess
psychometric properties of instruments, consensus in disease diagnoses, fidelity
of psychosocial intervention, and accuracy of proxy outcomes. For categorical
outcomes, Cohen's kappa is the most widely used index of agreement and
reliability. In many modern-day applications, data are often clustered, making
inference difficult to perform using existing methods. In addition, as
longitudinal study designs become increasingly popular, missing data have become
a serious issue, and the lack of methods to systematically address this problem
has hampered the progress of research in the aforementioned fields. In this
article, we develop a novel approach based on a new class of kappa estimates to
tackle the complexities involved in addressing missing data and other related
issues arising from a general multirater and longitudinal data setting. The
approach is illustrated with real data in sexual health research.
PMID- 18047536
TI - Multivariate Markov process models for the transmission of methicillin-resistant
Staphylococcus aureus in a hospital ward.
AB - Methicillin-resistant Staphylococcus Aureus (MRSA) is a pathogen that continues
to be of major concern in hospitals. We develop models and computational schemes
based on observed weekly incidence data to estimate MRSA transmission parameters.
We extend the deterministic model of McBryde, Pettitt, and McElwain (2007,
Journal of Theoretical Biology 245, 470-481) involving an underlying population
of MRSA colonized patients and health-care workers that describes, among other
processes, transmission between uncolonized patients and colonized health-care
workers and vice versa. We develop new bivariate and trivariate Markov models to
include incidence so that estimated transmission rates can be based directly on
new colonizations rather than indirectly on prevalence. Imperfect sensitivity of
pathogen detection is modeled using a hidden Markov process. The advantages of
our approach include (i) a discrete valued assumption for the number of colonized
health-care workers, (ii) two transmission parameters can be incorporated into
the likelihood, (iii) the likelihood depends on the number of new cases to
improve precision of inference, (iv) individual patient records are not required,
and (v) the possibility of imperfect detection of colonization is incorporated.
We compare our approach with that used by McBryde et al. (2007) based on an
approximation that eliminates the health-care workers from the model, uses Markov
chain Monte Carlo and individual patient data. We apply these models to MRSA
colonization data collected in a small intensive care unit at the Princess
Alexandra Hospital, Brisbane, Australia.
PMID- 18047537
TI - Optimal observation times in experimental epidemic processes.
AB - This article describes a method for choosing observation times for stochastic
processes to maximise the expected information about their parameters. Two
commonly used models for epidemiological processes are considered: a simple death
process and a susceptible-infected (SI) epidemic process with dual sources for
infection spreading within and from outwith the population. The search for the
optimal design uses Bayesian computational methods to explore the joint parameter
data-design space, combined with a method known as moment closure to approximate
the likelihood to make the acceptance step efficient. For the processes
considered, a small number of optimally chosen observations are shown to yield
almost as much information as much more intensively observed schemes that are
commonly used in epidemiological experiments. Analysis of the simple death
process allows a comparison between the full Bayesian approach and locally
optimal designs around a point estimate from the prior based on asymptotic
results. The robustness of the approach to misspecified priors is demonstrated
for the SI epidemic process, for which the computational intractability of the
likelihood precludes locally optimal designs. We show that optimal designs
derived by the Bayesian approach are similar for observational studies of a
single epidemic and for studies involving replicated epidemics in independent
subpopulations. Different optima result, however, when the objective is to
maximise the gain in information based on informative and non-informative priors:
this has implications when an experiment is designed to convince a naive or
sceptical observer rather than consolidate the belief of an informed observer.
Some extensions to the methods, including the selection of information criteria
and extension to other epidemic processes with transition probabilities, are
briefly addressed.
PMID- 18047538
TI - Haplotype-based regression analysis and inference of case-control studies with
unphased genotypes and measurement errors in environmental exposures.
AB - It is widely believed that risks of many complex diseases are determined by
genetic susceptibilities, environmental exposures, and their interaction.
Chatterjee and Carroll (2005, Biometrika 92, 399-418) developed an efficient
retrospective maximum-likelihood method for analysis of case-control studies that
exploits an assumption of gene-environment independence and leaves the
distribution of the environmental covariates to be completely nonparametric.
Spinka, Carroll, and Chatterjee (2005, Genetic Epidemiology 29, 108-127) extended
this approach to studies where certain types of genetic information, such as
haplotype phases, may be missing on some subjects. We further extend this
approach to situations when some of the environmental exposures are measured with
error. Using a polychotomous logistic regression model, we allow disease status
to have K+ 1 levels. We propose use of a pseudolikelihood and a related EM
algorithm for parameter estimation. We prove consistency and derive the resulting
asymptotic covariance matrix of parameter estimates when the variance of the
measurement error is known and when it is estimated using replications.
Inferences with measurement error corrections are complicated by the fact that
the Wald test often behaves poorly in the presence of large amounts of
measurement error. The likelihood-ratio (LR) techniques are known to be a good
alternative. However, the LR tests are not technically correct in this setting
because the likelihood function is based on an incorrect model, i.e., a
prospective model in a retrospective sampling scheme. We corrected standard
asymptotic results to account for the fact that the LR test is based on a
likelihood-type function. The performance of the proposed method is illustrated
using simulation studies emphasizing the case when genetic information is in the
form of haplotypes and missing data arises from haplotype-phase ambiguity. An
application of our method is illustrated using a population-based case-control
study of the association between calcium intake and the risk of colorectal
adenoma.
PMID- 18047539
TI - Association between genetic variants in the IL-23R gene and early-onset Crohn's
disease: results from a case-control and family-based study among Canadian
children.
AB - BACKGROUND AND OBJECTIVES: Interleukin (IL)-23 is a key regulator of inflammation
and influences the activities of T-helper 17 (Th-17) lymphocytes. Recent reports
indicate that variants in the gene coding for its receptor (IL-23R) are strongly
associated with Crohn's disease (CD). We investigated whether DNA variants in the
IL-23R gene determine susceptibility for CD in Canadian children. DESIGN AND
METHODS: A case-control and case-parent trio design was implemented at three
pediatric centers across Canada. Cases of CD (=20 yr) along with their parents
and controls were recruited. DNA samples were collected and genotyped for 10
single nucleotide polymorphisms (SNPs) in the IL-23R gene and three common SNPs
in the CARD15 gene. Transmission disequilibrium-based tests were applied to the
case-parent data and logistic regression models to the case-control data to study
the association between the SNPs and CD. RESULTS: A total of 259 CD cases, 139
controls, and 232 families (167 trios and 65 dyads) were studied. The mean age at
diagnosis was 13.3 yr (range 2.6-20 yr). The majority of the patients were
Caucasian. Case-control analysis revealed significant associations with three
SNPs (rs1004819, rs7517847, and rs11209026 [R381Q]) and borderline nonsignificant
associations with three other SNPs (rs10489629, rs10889697, and rs11465804) in
the IL-23R gene. Having any CARD15 variant was associated with a significant risk
for CD (P < 0.0001). Analyses of case-parent data confirmed the findings from the
case-control analysis including significant associations with the R381Q SNP (P=
0.002). The common variant in this SNP conferred risk for CD. These associations
were largely independent of the CARD15 gene. CONCLUSIONS: Our findings confirm
recently reported genome-wide associations between the IL-23R gene and CD. They
suggest that the gene is also associated with pediatric-onset CD among Canadian
children.
PMID- 18047540
TI - ATG16L1 and IL23R are associated with inflammatory bowel diseases but not with
celiac disease in the Netherlands.
AB - BACKGROUND: Inflammatory bowel disease (IBD)--Crohn's disease (CD) and ulcerative
colitis (UC)--and celiac disease are intestinal inflammatory disorders with a
complex genetic background. Recently, two novel genes were found to be associated
with IBD susceptibility. One, an uncommon coding variant (rs11209026) in the gene
encoding for the interleukin-23 receptor (IL23R), conferred strong protection
against CD. The other, rs2241880 in the autophagy-related 16-like 1 gene
(ATG16L1), was associated with CD. We performed a case-control study for the
association of IBD with IL23R and ATG16L1 in a Dutch cohort. We also looked at
the association of IL23R and ATG16L1 with celiac disease. METHODS: Five hundred
eighteen Dutch white IBD patients (311 CD and 207 UC, including 176 trios of
patients with both parents), 508 celiac disease patients, and 893 healthy
controls were studied for association with the rs11209026 (IL23R) and rs2241880
(ATG16L1) single nucleotide polymorphisms (SNP). RESULTS: The rs11209026 SNP in
IL23R had a protective effect for IBD in the case-control analysis (odds ratio
[OR] 0.19, 95% confidence interval [CI] 0.10-0.37, P= 6.6E-09). Both CD (OR 0.14,
CI 0.06-0.37, P= 3.9E-07) and UC (OR 0.33, CI 0.15-0.73, P= 1.4E-03) were
associated with IL23R. For ATG16L1, the rs2241880 SNP was associated with CD
susceptibility (OR 1.36, CI 1.12-1.66, P= 0.0017). The population-attributable
risk of carrying allele G is 0.24 and is 0.19 for homozygosity for allele G in
CD. No association was found between IL23R or ATG16L1 and celiac disease.
CONCLUSIONS: We confirmed the association of IL23R and ATG16L1 with CD
susceptibility and also the association of IL23R with UC. We found IL23R and
ATG16L1 were not associated with celiac disease susceptibility.
PMID- 18047541
TI - Gastroparesis-related hospitalizations in the United States: trends,
characteristics, and outcomes, 1995-2004.
AB - OBJECTIVES: Gastroparesis is an increasingly recognized disorder. Its prevalence
in the United States is unknown. We examined the trends, characteristics, and
outcomes of gastroparesis-related hospitalizations during 1995-2004. METHODS: The
publicly available Healthcare Cost and Utilization Project (HCUP) Nationwide
Inpatient Sample (NIS) comprises a nationally representative sample of 5-8
million hospitalizations per year. Gastroparesis-related hospitalizations were
identified using the International Classification of Diseases (ICD-9) code 536.3
and compared with other hospitalizations. Multivariate regressions were used to
compare for differences in the outcomes including length of stay, total charges,
and in-hospital deaths. RESULTS: Hospitalizations with gastroparesis as the
primary diagnosis increased from 3,977 in 1995 to 10,252 in 2004 (+158%) and
hospitalizations with gastroparesis as the secondary diagnosis increased from
56,726 to 134,146 (+136%). These compared to smaller changes in diabetes-related
hospitalizations (+53%), all hospitalizations (+13%), and hospitalizations with
gastroesophageal reflux disease (GERD), gastric ulcer, gastritis, or nonspecific
nausea/vomiting as the primary diagnosis (-3% to +76%). Of the five upper
gastrointestinal conditions studied as the primary diagnosis, gastroparesis had
the longest length of stay (+15.4% to +66.2%, all P < 0.001) and the highest or
second highest total charges (-7.2% to +60.6%, all P < 0.01) in 2004, with
similar results in 1995. CONCLUSIONS: The number of gastroparesis-related
hospitalizations has been increasing in the United States, suggesting an
increasing prevalence of gastroparesis. The economic impact of gastroparesis
related hospitalizations is significant and increasing.
PMID- 18047542
TI - Predictive factors of response to cyclosporine in steroid-refractory ulcerative
colitis.
AB - OBJECTIVES: Cyclosporine is an effective rescue therapy in steroid-refractory
ulcerative colitis (UC) and may avoid immediate colectomy. However, the
individual's response to cyclosporine is poorly predictable. The aim of this
study was to identify predictive factors of the response to cyclosporine in
steroid-refractory UC. METHODS: One hundred thirty-five patients with steroid
refractory UC, admitted consecutively between 1992 and 2004, were included. Data
were collected on the first day of the cyclosporine therapy. Colonoscopy was
performed within 2 days preceding or following the cyclosporine treatment in 118
patients for assessing the presence of severe endoscopic lesions. RESULTS: The
actuarial rate of colectomy was 0.45 at 6 months. Cox analysis in the whole
population selected three predictive criteria of colectomy: body temperature
>37.5 degrees C (adjusted hazard ratio = 1.94, 95% confidence interval 1.51
2.49), heart rate >90 bpm (1.86, 1.45-2.38), and C-reactive protein (CRP) >45
mg/L (1.70, 1.34-2.16). In the 118 patients who underwent colonoscopy, the
presence of severe endoscopic lesions was an independent predictive factor of
colectomy (2.38, 1.80-3.14). Colonoscopy was decisive and changed the therapeutic
decision in patients with one or two criteria: 71% of the patients with severe
endoscopic lesions were colectomized versus 17% of the patients without severe
endoscopic lesions (P < 0.001). Finally, the clinical, biological, and endoscopic
criteria allowed the classification of the patients into two different groups
(80%vs 20% colectomy at 6 months). CONCLUSION: In patients with steroid
refractory UC, the combination of simple criteria is useful to predict the
response to cyclosporine. Colonoscopy is crucial in patients with intermediate
clinical and biological severity.
PMID- 18047544
TI - Capsule endoscopy for screening for short-segment Barrett's esophagus.
AB - BACKGROUND: The rise in the incidence of esophageal adenocarcinoma has led to the
development of new methods to screen for the precursor lesion, Barrett's
esophagus. AIM: To evaluate the potential role of esophageal capsule endoscopy in
identifying the presence of short-segment Barrett's esophagus. METHODS: Patients
with biopsy-proven short-segment Barrett's esophagus underwent esophageal capsule
endoscopy. The images were reviewed by two expert observers with no knowledge of
the purpose of the study. The data collected included transit time, quality of
image, presence or absence of Z-line, Schatzki's ring, hiatal hernia, and
Barrett's esophagus (long or short, definite or suspected). RESULTS: Twenty
patients were studied; in 18, the capsule passed into the stomach. Barrett's
esophagus was identified or suspected in eight cases (44%) by one observer and
three (16%) by the second (P= 0.14). Although the Z-line was seen in the 18 cases
that were qualified by both observers, there was an agreement in only six cases
as to whether it was regular or irregular. Erosive gastroesophageal reflux
disease (GERD) was scored as present in three and absent in six patients by both
readers. Nonexisting feline esophagus, varices, and distal esophageal stricture
were suspected in one patient each. CONCLUSIONS: Esophageal capsule endoscopy had
a high interobserver variability and a low yield for short-segment Barrett's
esophagus. Esophageal capsule endoscopy cannot be recommended for screening for
short-segment Barrett's esophagus.
PMID- 18047543
TI - New serological markers for inflammatory bowel disease are associated with
earlier age at onset, complicated disease behavior, risk for surgery, and
NOD2/CARD15 genotype in a Hungarian IBD cohort.
AB - BACKGROUND: Antibodies to Saccharomyces cerevisiae (S. cerevisiae) (ASCA) and
porin protein-C of Escherichia coli (anti-OmpC) are associated with disease
phenotype and may be of diagnostic importance in inflammatory bowel diseases
(IBD). Our aim was to determine whether a panel of new antibodies against
bacterial proteins and carbohydrates could help differentiate among the various
forms of IBD, and whether they were associated with particular clinical
manifestations in a Hungarian cohort of IBD patients. METHODS: Six hundred fifty
two well-characterized, unrelated, consecutive IBD patients (CD [Crohn's disease]
557, men/women 262/295, duration 8.1 +/- 11.3 yr; ulcerative colitis [UC] 95,
men/women 44/51, duration 8.9 +/- 9.8 yr) and 100 healthy and 48 non-IBD
gastrointestinal (GI) controls were investigated. Sera were assayed for anti-OmpC
and antibodies against a mannan epitope of S. cerevisiae (gASCA), laminaribioside
(ALCA), chitobioside (ACCA), and mannobioside (AMCA). TLR4 and NOD2/CARD15
variants were tested by polymerase chain reaction/restriction fragment length
polymorphism (PCR-RFLP). Detailed clinical phenotypes were determined by
reviewing the patients' medical charts. RESULTS: Sixty-six percent of the CD
patients had at least one of the investigated antibodies. Among glycan
antibodies, gASCA or the combination of gASCA and atypical perinuclear
antineutrophil cytoplasmic antibodies (pANCA) was most accurate for
differentiating between CD and UC. ASCA and gASCA assays performed similarly.
Increasing amount and level of antibody responses toward gASCA, ALCA, ACCA, AMCA,
and OmpC were associated with more complicated disease behavior (P < 0.0001) and
need for surgery in CD (P= 0.023). A serological dosage effect was also observed.
gASCA and AMCA antibodies were associated with NOD2/CARD15, in addition to a gene
dosage effect. No serotype-phenotype associations were found in UC. CONCLUSIONS:
Antibody response to this new panel of serological markers was associated with
complicated disease phenotype, NOD2/CARD15 genotype, and a need for surgery in
this eastern European IBD cohort.
PMID- 18047545
TI - Obstacles to colorectal cancer screening in Chinese: a study based on the health
belief model.
AB - BACKGROUND: Colorectal cancer (CRC) has emerged as the second most common cancer
in Asia. This study uses the Health Belief Model (HBM) to examine the factors
associated with the uptake of CRC testing. METHODS: A population-based telephone
survey in which 1,004 randomly selected Chinese residents of Hong Kong aged
between 30 and 65 yr were interviewed in 2006. Instruments were developed to
measure the variables pertinent to the HBM, including perceived susceptibility,
severity, benefit, barriers, and cues to action. The relationships of these
predictors with CRC testing were examined using bivariate and multiple logistic
regression analyses. RESULTS: Ten percent of the respondents had undertaken a CRC
screening test. In the multiple logistic regression analyses, knowledge factors
that were positively associated with CRC testing included knowledge of CRC
symptoms (adjusted odds ratio [aOR] 3.33, 95% confidence interval [CI] 1.21-9.11)
and knowledge of CRC risk factors (aOR 2.61, 95% CI 1.16-5.88). Five of the
variables pertaining to the HBM were significant correlates of CRC testing
perceived severity of CRC (aOR 0.28, 95% CI 0.13-0.65), perceived health and
psychological barriers to CRC testing (aOR 0.42, 95% CI 0.21-0.84, 95% CI 0.21
0.85), perceived access barriers to CRC testing (aOR 0.22, 95% CI 0.05-0.85),
physician's recommendation (indicator of cues to action) (aOR 23.50, 95% CI 10.66
51.80), and having health insurance (indicator of cues to action) (aOR 2.06, 95%
CI 1.01-4.19). CONCLUSIONS: CRC testing compliance among this Asian population is
low. Knowledge of CRC symptoms and risk factors are low. Perceived health,
psychological, and access barriers to CRC testing are high. The physician's
recommendation and having health insurance coverage significantly increase
testing, but physicians mainly recommend patients with a family history of CRC
for testing, and only around one-third of the population has health insurance.
PMID- 18047546
TI - Detection of antisynthetic mannoside antibodies (ASigmaMA) reveals heterogeneity
in the ASCA response of Crohn's disease patients and contributes to differential
diagnosis, stratification, and prediction.
AB - OBJECTIVES: Anti-S. cerevisiae mannan antibodies (ASCA) are human antibodies
associated with Crohn's disease (CD) reacting with Saccharomyces cerevisiae (S.
cerevisiae) mannan polymer. As mannan is a complex and variable repertoire of
oligomannoses acting as epitopes, we chemically synthesized (Sigma) two major
oligomannose epitopes, Man alpha-1,3 Man alpha-1,2 Man (SigmaMan3) and Man alpha
1,3 Man alpha-1,2 Man alpha-1,2 Man (SigmaMan4), and then explored how
antisynthetic mannoside antibodies (ASigmaMA) compare with ASCA as markers of CD.
METHODS: The study involved different cohorts of CD and ulcerative colitis (UC)
patients and healthy controls who had been studied previously in several medical
centers in Europe, the United States, and North Africa to determine the clinical
value of ASCA in terms of differential diagnosis, evolution of indeterminate
colitis (IC), and serotype-phenotype correlations. The comparison of ASigmaMA and
ASCA included a total of 1,365 subjects: 772 CD, 261 UC, 43 IC, and 289 controls.
RESULTS: The specificity of ASigmaMA was similar to that of ASCA (89% vs 93%),
although the sensitivity was lower (38% vs 55%). Unexpectedly, 24% of the CD
patients who were negative for ASCA and/or other CD-associated serologic markers
were positive for ASigmaMA. ASigmaMA were associated with colonic involvement in
CD (odds ratio [OR] 1.609, 95% confidence interval [CI] 1.033-2.506, P = 0.03)
and were 100% predictive of CD in patients with IC. CONCLUSIONS: ASigmaMA reveal
the heterogeneity of the antioligomannose antibody response in CD patients and
increase the sensitivity of CD diagnosis when combined with ASCA. The subset of
ASCA-negative CD patients diagnosed by ASigmaMA had preferentially a colonic
involvement, which confirms the high predictive value of ASigmaMA for determining
IC evolution toward CD.
PMID- 18047547
TI - An acquired factor V inhibitor associated with defective factor V function,
storage and binding to multimerin 1.
PMID- 18047548
TI - Mild hemophilia A with factor VIII assay discrepancy: using thrombin generation
assay to assess the bleeding phenotype.
AB - INTRODUCTION: In some patients with mild hemophilia A, there are discrepancies
between 1-stage (1-st) and 2-stage (2-st) factor VIII (FVIII) clotting assays,
and also chromogenic assays for FVIII activity (FVIII:C). We examined whether
thrombography could provide a better evaluation of the hemostatic status of these
patients. METHODS: Two families with such discrepancies and markedly contrasting
clinical histories were studied. Family X had no serious bleedings, in contrast
to family Y. Sixty-one moderate/mild hemophiliacs without discrepancy and 15
healthy subjects served as controls. Calibrated automated thrombography was
performed with platelet-rich plasma after one freeze-thawing cycle and low tissue
factor concentration. RESULTS: The chromogenic FVIII:C levels were higher (0.90
+/- 0.15 and 0.47 +/- 0.13 IU mL(-1)) than the 1-st clotting ones (0.14 +/- 0.05
and 0.10 +/- 0.05 IU mL(-1)) in family X and Y, respectively (P < 0.001). Mean
endogenous thrombin potential (ETP) was 1579 +/- 359 nM min(-1) and 1060 +/- 450
for healthy controls and hemophilic controls, respectively. For members of family
X, the ETP values were 1188, 1317 and 2277 nM min(-1), whereas for those of
family Y they ranged from 447 to 1122 nM min(-1). Two novel missense point
mutations were evidenced: p.Ile369Thr in family X and p.Phe2127Ser in family Y.
In family X, we postulate that the mutation is responsible for a delayed but non
deleterious FVIII activation. CONCLUSIONS: Our results suggest that the
hemostatic phenotype assessed by thrombography may be clinically relevant in
moderate/mild hemophilic patients with discrepant FVIII:C results.
PMID- 18047549
TI - Protein trafficking to apical organelles of malaria parasites - building an
invasion machine.
AB - Malaria is caused by four species of apicomplexan protozoa belonging to the genus
Plasmodium. These parasites possess a specialized collection of secretory
organelles called rhoptries, micronemes and dense granules (DGs) that in part
facilitate invasion of host cells. The mechanism by which the parasite traffics
proteins to these organelles as well as regulates their secretion has important
implications for understanding the invasion process and may lead to development
of novel intervention strategies. In this review, we focus on emerging data about
trafficking signals, mechanisms of biogenesis and secretion. At least some of
these are conserved in higher eukaryotes, suggesting that rhoptries, micronemes
and DGs are related to organelles such as secretory lysosomes that are well known
to mainstream cell biologists.
PMID- 18047550
TI - Oestradiol rapidly enhances spontaneous glycinergic synaptic inhibition of
hypoglossal motoneurones.
AB - Whereas oestradiol is well-known to facilitate excitatory glutamatergic synaptic
transmission, its effects on fast inhibitory neurotransmission are not as well
established. Possible acute modulation of the spontaneous glycinergic synaptic
activity by oestradiol was investigated in voltage-clamped hypoglossal
motoneurones by whole-cell patch-clamp recording in rat brainstem slices. The
spontaneous glycinergic synaptic activity was continuously recorded in each
neurone under control conditions, during 12-20 min of perfusion with 17beta
oestradiol and during washing. When oestradiol was diluted in ethanol, the
control solution contained the same amount of ethanol. At 100 nM, oestradiol
markedly increased the frequency of the total spontaneous glycinergic activity.
Similar experiments were performed after blockade of action potentials by
tetrodotoxin, aiming to isolate miniature glycinergic synaptic currents.
Oestradiol increased the frequency of glycinergic miniatures in most slices, in
some cases within less than 1 min. In some slices, oestradiol also favoured the
occurrence of glycinergic miniatures of large amplitude. These effects were
slowly reversible during washing. At 1 nm, oestradiol still increased the
frequency of glycinergic miniatures. The results were confirmed in the absence of
ethanol by using water-soluble cyclodextrin-encapsulated oestradiol. In these
experiments, the control solution contained the same amount of (2-hydroxypropyl)
beta-cyclodextrin as the oestradiol-containing solution. In addition, prolonged
control recordings were performed without applying oestradiol to check the
stability of the glycinergic synaptic activity during prolonged whole-cell
recordings. The results show, for the first time, that, within a few minutes,
oestradiol can enhance the spontaneous synaptic release of a major inhibitory
transmitter, glycine.
PMID- 18047551
TI - Acupuncture in polycystic ovary syndrome: current experimental and clinical
evidence.
AB - This review describes the aetiology and pathogenesis of polycystic ovary syndrome
(PCOS) and evaluates the use of acupuncture to prevent and reduce symptoms
related with PCOS. PCOS is the most common female endocrine disorder and it is
strongly associated with hyperandrogenism, ovulatory dysfunction and obesity.
PCOS increases the risk for metabolic disturbances such as hyperinsulinaemia and
insulin resistance, which can lead to type 2 diabetes, hypertension and an
increased likelihood of developing cardiovascular risk factors and impaired
mental health later in life. Despite extensive research, little is known about
the aetiology of PCOS. The syndrome is associated with peripheral and central
factors that influence sympathetic nerve activity. Thus, the sympathetic nervous
system may be an important factor in the development and maintenance of PCOS.
Many women with PCOS require prolonged treatment. Current pharmacological
approaches are effective but have adverse effects. Therefore, nonpharmacological
treatment strategies need to be evaluated. Clearly, acupuncture can affect PCOS
via modulation of endogenous regulatory systems, including the sympathetic
nervous system, the endocrine and the neuroendocrine system. Experimental
observations in rat models of steroid-induced polycystic ovaries and clinical
data from studies in women with PCOS suggest that acupuncture exert long-lasting
beneficial effects on metabolic and endocrine systems and ovulation.
PMID- 18047552
TI - Affective and adrenocorticotrophic responses to photoperiod in Wistar rats.
AB - The present study tested the hypothesis that seasonal intervals of exposure to
modest changes in photoperiod, typical of those experienced by humans living in
temperate latitudes (10-14 h light/day), engage changes in emotional behaviour of
Wistar rats, a commonly-used animal model for investigations of affective
physiology. Short day lengths (80% agreement on the allele effects. Some of the differences
could be attributed to epistatic interactions. Depending on the search
conditions, metabolic pathway-derived candidate genes were found for 24-67% of
all tested mQTL in the database AraCyc 3.5. This dataset thus provides a
comprehensive basis for the detection of functionally relevant variation in known
genes with metabolic function and for identification of genes with hitherto
unknown roles in the control of metabolism.
PMID- 18047558
TI - Integrative functional genomics of salt acclimatization in the model legume Lotus
japonicus.
AB - The model legume Lotus japonicus was subjected to non-lethal long-term salinity
and profiled at the ionomic, transcriptomic and metabolomic levels. Two
experimental designs with various stress doses were tested: a gradual step
acclimatization and an initial acclimatization approach. Ionomic profiling by
inductively coupled plasma/atomic emission spectrometry (ICP-AES) revealed salt
stress-induced reductions in potassium, phosphorus, sulphur, zinc and molybdenum.
Microarray profiling using the Lotus Genechip allowed the identification of 912
probesets that were differentially expressed under the acclimatization regimes.
Gas chromatography/mass spectrometry-based metabolite profiling identified 147
differentially accumulated soluble metabolites, indicating a change in metabolic
phenotype upon salt acclimatization. Metabolic changes were characterized by a
general increase in the steady-state levels of many amino acids, sugars and
polyols, with a concurrent decrease in most organic acids. Transcript and
metabolite changes exhibited a stress dose-dependent response within the range of
NaCl concentrations used, although threshold and plateau behaviours were also
observed. The combined observations suggest a successive and increasingly global
requirement for the reprogramming of gene expression and metabolic pathways to
maintain ionic and osmotic homeostasis. A simple qualitative model is proposed to
explain the systems behaviour of plants during salt acclimatization.
PMID- 18047559
TI - Agonist- and competitive antagonist-induced movement of loop 5 on the alpha
subunit of the neuronal alpha4beta4 nicotinic acetylcholine receptor.
AB - Neuronal nicotinic acetylcholine receptors (nAChRs) are ligand-gated ion channels
that rapidly convert a chemical signal into an electrical signal. Although the
structure of the nAChR is quite well described, the coupling between agonist
binding and channel gating is still under debate. In this study, we probed local
conformational transitions on the neuronal alpha4beta4 nAChR by specifically
tethering a conformation-sensitive fluorescent dye on alphaG98C located on loop 5
(L5), and simultaneously monitoring fluorescence intensity and current after
expression in Xenopus oocytes. The potency of acetylcholine (ACh) was
significantly higher in the cysteine mutant and further increased upon
tetramethylrhodamine-6-maleimide labeling, suggesting a role of L5 in binding or
gating. Structural reorganizations of L5 were shown to occur upon activation, as
revealed by the fluorescence intensity increase during ACh exposure. Fluorescence
changes were also detected at ACh concentrations lower than needed for current
activation, suggesting a movement of L5 for a closed, resting or desensitized
state. The competitive antagonist dihydro-beta-erythroidine also induced a
movement of L5 although at concentrations significantly higher than needed for
current inhibition. Consequently L5, located inside the lumen of the pentamer,
plays a role in both activation and inhibition of the nAChR.
PMID- 18047560
TI - Photoreceptor-specific expression, light-dependent localization, and
transcriptional targets of the zinc-finger protein Yin Yang 1 in the chicken
retina.
AB - The zinc-finger transcription factor Yin Yang 1 (YY1) is a multifunctional
protein that plays a critical role in embryonic development. Although it has been
shown to play a role in eye development, its expression in the retina was not
previously described. Here, we investigated YY1 expression in chicken tissues and
we identified the neural retina as one of the tissues with highest YY1 protein
levels. Immunohistochemical detection of YY1 in the retina revealed a clear-cut
photoreceptor specificity and day/night differences in the cytoplasmic
localization of the protein. YY1 was also present at high concentration in the
nuclei of some photoreceptors. Gel-shift assays indicated YY1 bound to regulatory
regions of several genes specifically expressed in photoreceptors. One of these
genes, hydroxyindole-O-methyltransferase (EC 2.1.1.4), encodes the last enzyme of
the melatonin synthesis pathway. Although over-expression of chicken YY1 was not
sufficient to activate the chicken hydroxyindole-O-methyltransferase promoter in
HEK293 cells, the YY1-binding site contained in this promoter was clearly
required for full transcriptional activity in chicken embryonic retinal cells.
These results suggest a role of YY1 in regulating the melatoninergic function of
retinal photoreceptors.
PMID- 18047561
TI - BDNF impairment in the hippocampus is related to enhanced despair behavior in CB1
knockout mice.
AB - Stress can cause damage and atrophy of neurons in the hippocampus by deregulating
the expression of neurotrophic factors that promote neuronal plasticity. The
endocannabinoid system represents a physiological substrate involved in
neuroprotection at both cellular and emotional levels. The lack of CB1 receptor
alters neuronal plasticity and originates an anxiety-like phenotype in mice. In
the present study, CB1 knockout mice exhibited an augmented response to stress
revealed by the increased despair behavior and corticosterone levels showed in
the tail suspension test and decreased brain derived neurotrophic factor (BDNF)
levels in the hippocampus. Interestingly, local administration of BDNF in the
hippocampus reversed the increased despair behavior of CB1 knockout mice,
confirming the crucial role played by BDNF on the emotional impairment of these
mutants. The neurotrophic deficiency seems to be specific for BDNF as no
differences were found in the levels of nerve growth factor and NT-3, two
additional neurotrophic factors. Moreover, BDNF impairment is not related to the
activity of its specific tyrosine kinase receptor or the activity of the
transcription factor cAMP responsive element binding. These results suggest that
the lack of CB1 receptor originates an enhanced response to stress and deficiency
in neuronal plasticity by decreasing BDNF levels in the hippocampus that lead to
impairment in the responses to emotional disturbances.
PMID- 18047562
TI - Simvastatin prevents the inflammatory process and the dopaminergic degeneration
induced by the intranigral injection of lipopolysaccharide.
AB - Anti-inflammatory strategies have attracted much interest for their potential to
prevent further deterioration of Parkinson's disease. Recent experimental and
clinical evidence indicate that statins - extensively used in medical practice as
effective lipid-lowering agents - have also anti-inflammatory effects. In this
study, we investigated the influence of simvastatin on the degenerative process
of the dopaminergic neurons of the rat following intranigral injection of
lipopolysaccharide (LPS), a potent inductor of inflammation that we have
previously used as an animal model of Parkinson's disease. We evaluated TH
positive neurons, astroglial, and microglial populations and found that
simvastatin prevented the inflammatory processes, as the induction of interleukin
1beta, tumor necrosis factor-alpha, and iNOS and the consequent dopaminergic
degeneration induced by LPS. Moreover, simvastatin produced the activation of the
neurotrophic factor BDNF, along with the prevention of the oxidative damage to
proteins. Moreover, it also prevents the main changes produced by LPS on
different mitogen-activated protein kinases, featured as increases of P-c-Jun N
terminal protein kinase, P-extracellular signal-regulated kinase, p-38, and P
glycogen synthase kinase and the decrease of the promotion of cell survival
signals such as cAMP response element-binding protein and Akt. Our results
suggest that statins could delay the progression of dopaminergic degeneration in
disorders involving inflammatory processes.
PMID- 18047563
TI - Is interleukin-1 genotyping useful for the clinical management of patients with
atrophic body gastritis?
AB - BACKGROUND: Atrophic body gastritis patients are at increased risk for gastric
cancer. IL-1B/IL-1RN polymorphisms have been associated with gastric cancer
susceptibility. The relationship between these polymorphisms and the long-term
outcome of atrophic body gastritis patients is not known. AIM: To investigate
whether the genotyping of IL-1B-511/IL-1RN polymorphisms is useful to
characterize atrophic body gastritis patients at increased risk for gastric
neoplasms. METHODS: IL-1B-511/IL-1RN polymorphisms were compared between 110
atrophic body gastritis patients and 110 age- and gender-matched controls, and
patients were followed up (median 4.1 years) according to a cohort study design.
RESULTS: Genotype frequencies of IL-1B-511/IL-1RN were similar between patients
and controls. Atrophic body gastritis patients harbouring the wild type of IL-1B
511/IL-1RN polymorphisms were not different from those harbouring the
proinflammatory pattern as far as regards gender, age, gastric cancer family
history and metaplastic atrophy. Sixteen atrophic body gastritis patients
developed a gastric neoplastic lesion at follow-up: eight were IL-1B-511-T
carriers and eight were IL-1RN-allele-2 carriers. Harbouring the proinflammatory
genotypes was not significantly associated with developing gastric neoplastic
lesions. CONCLUSIONS: In atrophic body gastritis patients, IL-1B-511 and IL-1RN
polymorphisms do not appear to be associated either with specific clinical,
biochemical or histological features or with the development of gastric
neoplastic lesions at long-term follow-up.
PMID- 18047564
TI - Pre-operative transarterial chemoembolization for resectable hepatocellular
carcinoma adversely affects post-operative patient outcome.
AB - BACKGROUND: Long-term outcomes after hepatic resection for hepatocellular
carcinoma are not satisfactory because of high recurrence rates. Aim To assess
whether a single session of pre-operative transarterial chemoembolization affects
post-operative outcome. METHODS: We analysed outcomes retrospectively in 334
consecutive patients who underwent hepatic resection for hepatocellular
carcinoma, initially judged resectable. Ninety-seven of these patients had each
undergone a single session of pre-operative transarterial chemoembolization
(transarterial chemoembolization + hepatic resection group), whereas 237 had not
(hepatic resection group). RESULTS: Most clinicopathological characteristics were
similar in the two groups. The overall survival rate was significantly higher in
the hepatic resection than in the transarterial chemoembolization + hepatic
resection group (P = 0.011), whereas their disease-free survival rates were
comparable (P = 0.67). The overall and disease-free survival rates of the
transarterial chemoembolization + hepatic resection group with incomplete tumour
necrosis were significantly lower than those of the hepatic resection group (P <
0.001 and P = 0.006, respectively). Multivariate analysis showed that pre
operative transarterial chemoembolization, serum alpha-fetoprotein elevation
(>1000 ng/mL), tumour size (>5 cm) and vascular invasion were independent risk
factors for poor overall survival after hepatic resection. CONCLUSIONS: A single
session of pre-operative transarterial chemoembolization for initially resectable
hepatocellular carcinoma worsens overall survival rate. It may also increase the
risk of tumour recurrence in patients who achieve incomplete tumour necrosis.
PMID- 18047565
TI - Effect of proton pump inhibitors on markers of risk for high-grade dysplasia and
oesophageal cancer in Barrett's oesophagus.
AB - BACKGROUND: It has been shown that the presence on diagnosis of endoscopic
macroscopic markers indicates a high-risk group for Barrett's oesophagus. AIM: To
determine whether proton pump inhibitor therapy prior to diagnosis of Barrett's
oesophagus influences markers for risk development of subsequent high-grade
dysplasia/adenocarcinoma. METHODS: A review of all patients with Barrett's
oesophagus entering a surveillance programme was undertaken. Five hundred and two
patients diagnosed with Barrett's oesophagus were assessed on diagnosis for
endoscopic macroscopic markers or low-grade dysplasia. Subsequent development of
high-grade dysplasia/adenocarcinoma was documented. The relationship between the
initiation of proton pump inhibitor therapy prior to the diagnosis of BE and the
presence of macroscopic markers or low-grade dysplasia at entry was determined.
RESULTS: Fourteen patients developed high-grade dysplasia/adenocarcinoma during
surveillance. Patients who entered without prior proton pump inhibitor therapy
were 3.4 times (95% CI: 1.98-5.85) more likely to have a macroscopic marker or
low-grade dysplasia than those patients already on a proton pump inhibitor.
CONCLUSIONS: Use of proton pump inhibitor therapy prior to diagnosis of Barrett's
oesophagus significantly reduced the presence of markers used to stratify patient
risk. Widespread use of proton pump inhibitors will confound surveillance
strategies for patients with Barrett's oesophagus based on entry characteristics
but is justified because of the lower risk of neoplastic progression.
PMID- 18047566
TI - An unusual case of both upper and lower gastrointestinal bleeding in a kidney
transplant recipient.
AB - BACKGROUND: Tuberculosis (TB) is an uncommon opportunistic infection in
immunocompromised patients. Extrapulmonary infection involving the intestine is
rare and poses diagnostic difficulties. CASE REPORT: A 49-year-old man with IgA
nephropathy underwent a kidney transplantation in 1996 and was put on
cyclosporine, azathioprine, and steroid. He suffered from a recurrence of his
primary kidney disease and had a gradual deterioration of renal function since
1998. By 2005, he presented with an unusual gastrointestinal (GI) symptom with
alternating signs of upper GI bleeding - melena - as well as lower GI bleeding
with fresh rectal bleeding, resulting in severe anemia with hemoglobin level down
to 5.0 g/dL. At the same time, his renal function further deteriorated and
necessitated the initiation of dialysis while he was maintained on low-dose
immunosuppressive drugs. Repeated upper and lower GI endoscopies were either
unremarkable or revealed non-specific lesions. Symptoms persisted and exploratory
laparotomy finally showed a 1 cm submucosal mass at the proximal jejunum and
multiple inflammatory lesions at the terminal ileum. Segmental resection of the
lesions was performed and confirmed TB infection. However, despite the initiation
of anti-tuberculous treatment, the patient eventually died of complications.
CONCLUSION: Diagnosing TB intestinal infection is a clinical challenge. A high
index of suspicion in susceptible subjects is necessary, and early surgical
intervention should always be considered when facing diagnostic uncertainties.
PMID- 18047567
TI - Gac/Rsm signal transduction pathway of gamma-proteobacteria: from RNA recognition
to regulation of social behaviour.
AB - In many gamma-proteobacteria, the conserved GacS/GacA (BarA/UvrY) two-component
system positively controls the expression of one to five genes specifying small
RNAs (sRNAs) that are characterized by repeated unpaired GGA motifs but otherwise
appear to belong to several independent families. The GGA motifs are essential
for binding small, dimeric RNA-binding proteins of a single conserved family
designated RsmA (CsrA). These proteins, which also occur in bacterial species
outside the gamma-proteobacteria, act as translational repressors of certain
mRNAs when these contain an RsmA/CsrA binding site at or near the Shine-Dalgarno
sequence plus additional binding sites located in the 5' untranslated leader
mRNA. Recent structural data have established that the RsmA-like protein RsmE of
Pseudomonas fluorescens makes specific contacts with an RNA consensus sequence 5'
(A)/(U)CANGGANG(U)/(A)-3' (where N is any nucleotide). Interaction with an
RsmA/CsrA protein promotes the formation of a short stem supporting an ANGGAN
loop. This conformation hinders access of 30S ribosomal subunits and hence
translation initiation. The output of the Gac/Rsm cascade varies widely in
different bacterial species and typically involves management of carbon storage
and expression of virulence or biocontrol factors. Unidentified signal molecules
co-ordinate the activity of the Gac/Rsm cascade in a cell population density
dependent manner.
PMID- 18047568
TI - Bistability and biofilm formation in Bacillus subtilis.
AB - Biofilms of Bacillus subtilis consist of long chains of cells that are held
together in bundles by an extracellular matrix of exopolysaccharide and the
protein TasA. The exopolysaccharide is produced by enzymes encoded by the epsA-O
operon and the gene encoding TasA is located in the yqxM-sipW-tasA operon. Both
operons are under the control of the repressor SinR. Derepression is mediated by
the antirepressor SinI, which binds to SinR with a 1:1 stoichiometry.
Paradoxically, in medium promoting derepression of the matrix operons, the
overall concentration of SinR in the culture greatly exceeded that of SinI. We
show that under biofilm-promoting conditions sinI, which is under the control of
the response regulator Spo0A, was expressed only in a small subpopulation of
cells, whereas sinR was expressed in almost all cells. Activation of Spo0A is
known to be subject to a bistable switch, and we infer that SinI reaches levels
sufficient to trigger matrix production only in the subpopulation of cells in
which Spo0A is active. Additionally, evidence suggests that sinI is expressed at
intermediate, but not low or high, levels of Spo0A activity, which may explain
why certain nutritional conditions are more effective in promoting biofilm
formation than others.
PMID- 18047569
TI - The Bacteroides fragilis transcriptome response to oxygen and H2O2: the role of
OxyR and its effect on survival and virulence.
AB - The intestinal anaerobic symbiont, Bacteroides fragilis, is highly aerotolerant
and resistant to H(2)O(2). Analysis of the transcriptome showed that expression
of 45% of the genome was significantly affected by oxidative stress. The gene
expression patterns suggested that exposure to oxidative stress induced an acute
response to rapidly minimize the immediate effects of reactive oxygen species,
then upon extended exposure a broad metabolic response was induced. This
metabolic response induced genes encoding enzymes that can supply reducing power
for detoxification and restore energy-generating capacity. An integral aspect of
the metabolic response was downregulation of genes related to translation and
biosynthesis which correlated with decreased growth and entry into a stationary
phase-like growth state. Examination of oxyR mutants showed that they were
impaired for the acute response and they induced the expanded metabolic response
with only minimal exposure to stress. The oxyR mutants were more sensitive to
oxidants in vitro and in vivo they were attenuated in an intra-abdominal abscess
infection model. Aerotolerance and resistance to oxidative stress are
physiological adaptations of B. fragilis to its environment that enhance survival
in extra-intestinal sites and promote opportunistic infections.
PMID- 18047570
TI - Co-ordinated regulation of gluconate catabolism and glucose uptake in
Corynebacterium glutamicum by two functionally equivalent transcriptional
regulators, GntR1 and GntR2.
AB - Corynebacterium glutamicum is a Gram-positive soil bacterium that prefers the
simultaneous catabolism of different carbon sources rather than their sequential
utilization. This type of metabolism requires an adaptation of the utilization
rates to the overall metabolic capacity. Here we show how two functionally
redundant GntR-type transcriptional regulators, designated GntR1 and GntR2, co
ordinately regulate gluconate catabolism and glucose uptake. GntR1 and GntR2
strongly repress the genes encoding gluconate permease (gntP), gluconate kinase
(gntK), and 6-phosphogluconate dehydrogenase (gnd) and weakly the pentose
phosphate pathway genes organized in the tkt-tal-zwf-opcA-devB cluster. In
contrast, ptsG encoding the EII(Glc) permease of the glucose phosphotransferase
system (PTS) is activated by GntR1 and GntR2. Gluconate and glucono-delta-lactone
interfere with binding of GntR1 and GntR2 to their target promoters, leading to a
derepression of the genes involved in gluconate catabolism and reduced ptsG
expression. To our knowledge, this is the first example for gluconate-dependent
transcriptional control of PTS genes. A mutant lacking both gntR1 and gntR2 shows
a 60% lower glucose uptake rate and growth rate than the wild type when
cultivated on glucose as sole carbon source. This growth defect can be
complemented by plasmid-encoded GntR1 or GntR2.
PMID- 18047571
TI - Mapping a common interaction site used by Plasmodium falciparum Duffy binding
like domains to bind diverse host receptors.
AB - The Duffy binding-like (DBL) domain is a key adhesive module in Plasmodium
falciparum, present in both erythrocyte invasion ligands (EBLs) and the large and
diverse P. falciparum erythrocyte membrane protein 1 (PfEMP1) family of
cytoadherence receptors. DBL domains bind a variety of different host receptors,
including intercellular adhesion molecule 1 (ICAM-1), a receptor interaction that
may have a role in infected erythrocyte binding to cerebral blood vessels and
cerebral malaria. In this study, we expressed the nearly full complement of
DBLbeta-C2 domains from the IT4/25/5 (IT4) parasite isolate and showed that ICAM
1-binding domains (DBLbeta-C2(ICAM-1)) were confined to group B and group C
PfEMP1 proteins and were not present in group A, suggesting that ICAM-1 selection
pressure differs between PfEMP1 groups. To further dissect the molecular
determinants of binding, we modelled a DBLbeta-C2(ICAM-1) domain on a solved DBL
structure and created alanine substitution mutants in two DBLbeta-C2(ICAM-1)
domains. This analysis indicates that the DBLbeta-C2::ICAM-1 interaction maps to
the equivalent glycan binding region of EBLs, and suggests a general model for
how DBL domains evolve under dual selection for host receptor binding and immune
evasion.
PMID- 18047572
TI - Roles of amyloplasts and water deficit in root tropisms.
AB - Directed growth of roots in relation to a moisture gradient is called
hydrotropism. The no hydrotropic response (nhr1) mutant of Arabidopsis lacks a
hydrotropic response, and shows a stronger gravitropic response than that of wild
type (wt) in a medium with an osmotic gradient. Local application of abscisic
acid (ABA) to seeds or root tips of nhr1 increased root downward growth,
indicating the critical role of ABA in tropisms. Wt roots germinated and treated
with ABA in this system were strongly gravitropic, even though they had almost no
starch amyloplasts in the root-cap columella cells. Hydrotropically stimulated
nhr1 roots, with or without ABA, maintained starch in the amyloplasts, as opposed
to those of wt. Hence, the near-absence (wt) or abundant presence (nhr1) of
starch granules does not influence the extent of downward gravitropism of the
roots in an osmotic gradient medium. Starch degradation in the wt might help the
root sustain osmotic stress and carry out hydrotropism, instead of reducing
gravity responsiveness. nhr1 roots might be hydrotropically inactive because they
maintain this starch reserve in the columella cells, sustaining both their turgor
and growth, and in effect minimizing the need for hydrotropism and at least
partially disabling its mechanism. We conclude that ABA and water stress are
critical regulators of root tropic responses.
PMID- 18047573
TI - No longer hungry in hospital: improving the hospital mealtime experience for
older people through action research.
AB - AIMS AND OBJECTIVES: This study aimed to improve the mealtime experience of older
people in a hospital setting through helping staff to make changes to their
clinical practice and the ward environment. BACKGROUND: Poor nutritional care has
been a persistent and seemingly intractable problem for many years. METHODS: We
used an action research design for the study, drawing on techniques from practice
development to support the action phase of the work, including action learning,
role modelling good practice and reflection. The ward context was explored at the
beginning and end of the study using focus groups, interviews, observation and
benchmarking. RESULTS: Ward staff made a number of changes to their nursing
practice. The most significant was that all staff became engaged with,
prioritized and were involved in the mealtime, ensuring that there was sufficient
time and expertise available to assist patients with eating. CONCLUSIONS: This
study demonstrates that it is possible to change nursing practice at mealtimes
and that this change leads to improvements in patients' experience through
ensuring they receive the help they need. Relevance to clinical practice.
Although hospital mealtimes are frequently viewed as problematic, we have shown
that nurses can be enabled to make changes to their practice that have a positive
impact on both the mealtime experience and wider patient care.
PMID- 18047575
TI - Parents' narratives about their experiences of their child's reconstructive
genital surgeries for ambiguous genitalia.
AB - AIM: The aim of this study was to initiate an exploration of parents'
understanding and experiences of their child's reconstructive genital surgeries
for ambiguous genitalia. BACKGROUND: The determination of gender for a child born
with ambiguous genitalia is a complex medical and social process influenced by
biological, psychological, social and cultural factors. Two main approaches
exist; one promotes interventions (optimal gender policy) while the other
suggests delaying interventions (informed consent policy) until the child can
contribute to the decision. METHODS: An exploratory narrative inquiry design was
chosen. Data were collected through narrative interviews with a purposive non
random sample of 10 parents of eight children (aged 0-11 years) who had ambiguous
genitalia. RESULTS: Parents' stories reflected strong protective instincts
towards their children along with feelings of shock and disbelief. Parents'
social construction of gender influenced their attitudes and beliefs about their
child's ambiguous genitalia and the need for surgery. Parents' desired to be
'good parents' and do what they perceived as 'right' for their child. They
considered genital surgery as a necessity primarily relying on medical advice to
guide them at times of uncertainty and confusion. Parents rarely shared stories
about their child's surgeries/genitalia outside of the couple relationship and
these stories were often referred to as 'secrets'. CONCLUSION: Having a child
with ambiguous genitalia was perceived as problematic and brought about changes
in roles, responsibilities, goals and social status as a parent beyond those
usually associated with parenthood. RELEVANCE TO CLINICAL PRACTICE: These early
findings help increase awareness of parents' experiences and of the problems and
emotional challenges that parents face when their child is born with genital
ambiguity.
PMID- 18047574
TI - Collecting factors related to the haemolysis of blood specimens.
AB - AIM: The purpose of the study is to explore the collecting factors for the
haemolysis of the blood specimens in a regional hospital in South Taiwan.
BACKGROUND: Blood collecting is one of the most common procedures used in
hospital. However, it often faces the risk of haemolysis of blood specimens
during laboratory testing and the specimens collected can be easily rejected by
the laboratory. METHODS: This is a descriptive and cross-sectional study. The
purposive samples were collected from the blood specimens of the hospitalized
patients or the emergency-room patients by using structured observational
checklists which included demographic characteristics, caring factors and
material factors. A total of 274 blood specimens was collected. RESULTS:
Specimens obtained from non-antecubital sites were 3.35 times at risk of
haemolysis as many as those from antecubital sites (p = 0.001). Blood collected
into tubes through steel needles were 3.7 times more at risk of haemolysis as
that through syringes (after removing needles) (p = 0.002). Specimens delivered
by ward assistants were 8.7 times more at risk for haemolysis as those by the
laboratory staff (p < 0.01). CONCLUSIONS: These findings suggest that nurse
supervisors establish a protocol related to preventing haemolysis. Future
research should explore the effectiveness of this protocol to verify the
relationship between different gauges of steel needles or catheters and
haemolysis. RELEVANCE TO CLINICAL PRACTICE: Nurse educators are encouraged to
include the factors affecting and preventing haemolysis into the in service
education. Therefore, findings may assist healthcare professionals in minimizing
the risk of haemolysis and improve the quality of care.
PMID- 18047576
TI - Improving the patient's experience of a bone marrow biopsy - an RCT.
AB - AIMS: To compare nitrous oxide 50%/oxygen 50% (N(2)O/O(2)- entonox) plus local
anaesthetic (LA) with placebo (oxygen) plus LA in the management of pain
experienced by patients undergoing a bone marrow biopsy. BACKGROUND: Bone marrow
biopsies are a common procedure for many haematological conditions. Despite the
use of a LA, pain during the procedure has frequently been reported by patients.
Previous research in pain management of other invasive diagnostic procedures
(e.g. sigmoidoscopy) has reported N(2)O/O(2) as an effective alternative to LA.
DESIGN: Double-blind randomized controlled trial. METHODS: Forty-eight patients
requiring a bone marrow biopsy were randomized to receive either N(2)O/O(2) or
oxygen in addition to their LA. Participants were asked to complete a pain score
and comment on their experience of the procedure. RESULTS: Although the overall
pain scores were moderate, there was a wide range of scores. N(2)O/O(2) resulted
in significantly less pain for men, but not for women. All patients who had had
previous biopsies reported significantly more pain, regardless of the gas used.
There were no significant adverse effects in either group. CONCLUSION: N(2)O/O(2)
is a safe, effective, easy-to-use analgesic which merits further investigation in
potentially painful diagnostic (and other) interventions. Relevance to clinical
practice. Relief of pain is an important issue for nurses. Appropriate assessment
of pain experience is a key issue. Nurses should reflect on their own area of
practice and identify what is known about the effectiveness of pain management
from their patient's perspective. Individual differences may be important, and
careful instruction regarding appropriate administration of analgesic agents,
such as N(2)O/O(2) may enhance their effectiveness.
PMID- 18047577
TI - A systematic review of peer teaching and learning in clinical education.
AB - AIMS AND OBJECTIVES: The purpose of this review is to provide a framework for
peer teaching and learning in the clinical education of undergraduate health
science students in clinical practice settings and make clear the positive and
negative aspects of this teaching and learning strategy. BACKGROUND: The practice
of using peers incidentally or purposefully in the clinical education of
apprentice or undergraduate health science students is a well-established
tradition and commonly practiced, but lacks definition in its implementation.
METHOD: The author conducted a search of health science and educational
electronic databases using the terms peer, clinical education and undergraduate.
The set limitations were publications after 1980 (2005 inclusive), English
language and research papers. Selection of studies occurred: based on
participant, intervention, research method and learning outcomes, following a
rigorous critical and quality appraisal with a purposefully developed tool. The
results have been both tabled and collated in a narrative summary. RESULTS:
Twelve articles met the inclusion criteria, representing five countries and four
health science disciplines. This review reported mostly positive outcomes on the
effectiveness of peer teaching and learning; it can increase student's confidence
in clinical practice and improve learning in the psychomotor and cognitive
domains. Negative aspects were also identified; these include poor student
learning if personalities or learning styles are not compatible and students
spending less individualized time with the clinical instructor. CONCLUSIONS: Peer
teaching and learning is an effective educational intervention for health science
students on clinical placements. Preclinical education of students congruent with
the academic timetable increases student educational outcomes from peer teaching
and learning. Strategies are required prior to clinical placement to accommodate
incompatible students or poor student learning. RELEVANCE TO CLINICAL PRACTICE:
The findings from this systematic review, although not statistically significant,
do have pragmatic implications for clinical practice. It can increase clinical
placement opportunities for undergraduate health students, assist clinical staff
with workload pressures and increase clinician time with clients, while further
developing students' knowledge, skills and attitudes.
PMID- 18047578
TI - Discharge training and counselling increase self-care ability and reduce
postdischarge problems in CABG patients.
AB - AIMS AND OBJECTIVES: The aim of this study was to determine how discharge
training and counselling provided to patients, who had undergone coronary artery
bypass graft (CABG) surgery, had effects on patients' self-care ability and on
the problems encountered after discharge. The objectives were to help patients
develop self-care behaviour to deal more comfortably with the problems caused by
the disease and, hopefully, to reduce the number of problems they may encounter.
BACKGROUND: CABG surgery patients needing to manage various aspects of their self
care at home often find these tasks very difficult to carry out effectively.
Discharge training and counselling services help patients undergoing CABG to
develop self-care behaviours. DESIGN: The study was prospective and quasi
experimental. METHODS: The intervention and control groups consisted of 57
patients who were given discharge training and counselling by a researcher and 52
patients who were given routines by a nurse, respectively. The intervention group
began receiving discharge training and counselling on the day of hospitalization.
These were provided according to their individual knowledge needs and patients
were given a booklet developed for training purposes. Data were collected by
researcher using the Personal Information Form, the Self-Care Agency Scale.
RESULTS: It was found that the intervention group had a higher mean self-care
score than the control group and experienced fewer problems following discharge
compared with patients in the control group. CONCLUSION: The discharge training
and counselling services given to patients in the intervention group had a
positive impact on the self-care ability of these patients and on alleviating the
problems they encountered. RELEVANCE TO CLINICAL PRACTICE: As discharge training
and counselling services had a positive impact on the self-care and alleviation
of the problems that patients encounter after being discharged, we recommend
application of these services and the usage of the training booklet for CABG
patients.
PMID- 18047579
TI - Intracellular levels and activity of PvdS, the major iron starvation sigma factor
of Pseudomonas aeruginosa.
AB - In Pseudomonas aeruginosa the iron starvation sigma factor PvdS directs the
transcription of pyoverdine and virulence genes under iron limitation. PvdS
activity is modulated by pyoverdine through the surface signalling cascade
involving the FpvA receptor and the inner membrane-spanning sensor FpvR. To gain
insight into the molecular mechanisms enabling PvdS to compete with the major
sigma RpoD for RNA polymerase (RNAP) binding, we determined the intracellular
levels of RNAP, RpoD and PvdS in P. aeruginosa PAO1, and the effect of pyoverdine
signalling on PvdS activity. Under iron limitation, P. aeruginosa contains 2221
and 933 molecules of RNAP and RpoD per cell respectively. PvdS attains 62% of
RpoD levels. The high PvdS content is partly offset by retention of 30% of PvdS
on the membrane, lowering the concentration of cytosolic PvdS to 45% of RpoD
levels. RNAP purification from iron-starved P. aeruginosa cells demonstrated that
PvdS-RNAP is poorly represented compared with RpoD-RNAP (1 and 27% of total RNAP
respectively). Pyoverdine signalling does not affect the PvdS cellular content
but facilitates PvdS release from the membrane, increasing its cytosolic
concentration from 35% in both pvdF and fpvA signalling mutants to 70% in the
wild type and 83% in the fpvR mutant.
PMID- 18047580
TI - Incorporation of a polypeptide segment into the beta-domain pore during the
assembly of a bacterial autotransporter.
AB - Bacterial autotransporters consist of an N-terminal 'passenger domain' that is
transported into the extracellular space by an unknown mechanism and a C-terminal
'beta-domain' that forms a beta-barrel in the outer membrane. Recent studies have
revealed that fully assembled autotransporters have an unusual architecture in
which a small passenger domain segment traverses the pore formed by the beta
domain. It is unclear, however, whether this configuration forms prior to
passenger domain translocation or results from the translocation of the passenger
domain through the beta-domain pore. By examining the accessibility of tobacco
etch virus protease sites and single-cysteine residues in the passenger domain of
the Escherichia coli O157:H7 autotransporter EspP at different stages of protein
biogenesis, we identified a novel pre-translocation intermediate whose topology
resembles that of the fully assembled protein. This intermediate was isolated in
the periplasm in cell fractionation experiments. The data strongly suggest that
the EspP beta-domain and an embedded polypeptide segment are integrated into the
outer membrane as a single pre-formed unit. The data also provide indirect
evidence that at least some outer membrane proteins acquire considerable tertiary
structure prior to their membrane integration.
PMID- 18047582
TI - A stepwise model for double-stranded RNA processing by ribonuclease III.
AB - RNA interference is mediated by small interfering RNAs produced by members of the
ribonuclease III (RNase III) family represented by bacterial RNase III and
eukaryotic Rnt1p, Drosha and Dicer. For mechanistic studies, bacterial RNase III
has been a valuable model system for the family. Previously, we have shown that
RNase III uses two catalytic sites to create the 2-nucleotide (nt) 3' overhangs
in its products. Here, we present three crystal structures of RNase III in
complex with double-stranded RNA, demonstrating how Mg(2+) is essential for the
formation of a catalytically competent protein-RNA complex, how the use of two
Mg(2+) ions can drive the hydrolysis of each phosphodiester bond, and how
conformational changes in both the substrate and the protein are critical
elements for assembling the catalytic complex. Moreover, we have modelled a
protein-substrate complex and a protein-reaction intermediate (transition state)
complex on the basis of the crystal structures. Together, the crystal structures
and the models suggest a stepwise mechanism for RNase III to execute the
phosphoryl transfer reaction.
PMID- 18047581
TI - Periplasmic phosphorylation of lipid A is linked to the synthesis of undecaprenyl
phosphate.
AB - One-third of the lipid A found in the Escherichia coli outer membrane contains an
unsubstituted diphosphate unit at position 1 (lipid A 1-diphosphate). We now
report an inner membrane enzyme, LpxT (YeiU), which specifically transfers a
phosphate group to lipid A, forming the 1-diphosphate species. (32)P-labelled
lipid A obtained from lpxT mutants do not produce lipid A 1-diphosphate. In vitro
assays with Kdo(2)-[4'-(32)P]lipid A as the acceptor shows that LpxT uses
undecaprenyl pyrophosphate as the substrate donor. Inhibition of lipid A 1
diphosphate formation in wild-type bacteria was demonstrated by sequestering
undecaprenyl pyrophosphate with the cyclic polypeptide antibiotic bacitracin,
providing evidence that undecaprenyl pyrophosphate serves as the donor substrate
within whole bacteria. LpxT-catalysed phosphorylation is dependent upon transport
of lipid A across the inner membrane by MsbA, a lipid A flippase, indicating a
periplasmic active site. In conclusion, we demonstrate a novel pathway in the
periplasmic modification of lipid A that is directly linked to the synthesis of
undecaprenyl phosphate, an essential carrier lipid required for the synthesis of
various bacterial polymers, such as peptidoglycan.
PMID- 18047583
TI - Sex- or gender-specific medicine in hepatology.
AB - Sex- or gender-specific medicine is an up-to-date medical science in recent
medical care. Medical doctors must offer better medical care and should
understand and elucidate the mechanisms underlying the sex or gender differences
regarding the incidence or etiology, clinical features, and natural history or
response to therapies. Sex or gender differences are frequently seen among liver
diseases, such as viral hepatitis, alcoholic liver disease, non-alcoholic fatty
liver disease, autoimmune hepatitis, primary biliary cirrhosis, primary
sclerosing cholangitis and hepatocellular carcinoma. The mechanisms of sex or
gender differences, however, are still unclear. Clinicians and basic scientists
are required to cooperatively contribute to the development of sex- or gender
specific medicine to establish an accurate diagnosis and prophylaxis.
PMID- 18047584
TI - Ultraviolet A induced modulation of gap junctional intercellular communication by
P38 MAPK activation in human keratinocytes.
AB - Aberrant gap junctional intercellular communication (GJIC) has been implicated in
tumor development and progression. UltravioletA (UVA)-induced oxidative stress
has been associated with skin carcinogenesis. We report a potential link between
GJIC and the cellular stress response induced by UVA in normal human
keratinocytes (NHK). In this study, UVA irradiation (10 J/cm(2)) compromised GJIC
integrity in absence of cytotoxic effects as demonstrated by the absence of cell
death and by the reversibility of GJIC down-regulation. Inhibition of
communication by UVA was associated with hyperphosphorylation and decreased
expression of connexin43 (Cx43), the most abundant gap junction protein expressed
by keratinocytes. Cx43 hyperphosphorylation induced by UVA is, at least in part,
mediated through mitogen-activated protein kinase (MAPK) activation as Ser279 and
Ser282 sites, two downstream direct targets of p38 MAPK were found to be
phosphorylated after UVA treatment. However, inhibition of p38 MAPK activity did
not significantly protect from cell-cell communication inhibition because of a
strong cellular cytotoxicity observed with SB202190 and SB203580, two selective
inhibitors of p38 MAPK, in combination with UVA that compromises the outcome of
dye transfer assay. By contrast, in Hacat cell line, inhibition of p38 activity
reduced both phosphorylation and degradation of Cx43, demonstrating that these
events are correlated.
PMID- 18047585
TI - Voxel-based 3D MRI analysis helps to detect subtle forms of subcortical band
heterotopia.
AB - PURPOSE: To evaluate the potential diagnostic value of a novel magnetic resonance
image (MRI) postprocessing technique in subtle forms of subcortical band
heterotopia (SBH). The method was introduced to improve the visualization of
blurred gray-white matter junctions associated with focal cortical dysplasia but
was found to be applicable also to SBH. METHODS: In the voxel-based MRI analysis
presented here, T1-weighted MRI volume data sets are normalized and segmented
using standard algorithms of SPM5. The distribution of gray and white matter is
analyzed on a voxelwise basis and compared with a normal database of 150
controls. Based on this analysis, a three-dimensional feature map is created that
highlights brain areas if their signal intensities fall within the range between
normal gray and white matter and differ from the normal database in this respect.
The method was applied to the MRI data of 378 patients with focal epilepsy in
three different epilepsy centers. RESULTS: SBH was diagnosed in seven patients
with five of them showing subtle forms of SBH that had gone unrecognized in
conventional visual analysis of MRI and were only detected by MRI postprocessing.
In contrast to distinct double cortex syndrome, these patients had partial double
cortex with SBH mostly confined to posterior brain regions. CONCLUSIONS: The
results of this study suggest that a considerable part of cases with SBH might
remain unrecognized by conventional MRI. Voxel-based MRI analysis may help to
identify subtle forms and appears to be a valuable additional diagnostic tool in
the evaluation of patients with cryptogenic epilepsy.
PMID- 18047587
TI - The unseen majority: soil microbes as drivers of plant diversity and productivity
in terrestrial ecosystems.
AB - Microbes are the unseen majority in soil and comprise a large portion of life's
genetic diversity. Despite their abundance, the impact of soil microbes on
ecosystem processes is still poorly understood. Here we explore the various roles
that soil microbes play in terrestrial ecosystems with special emphasis on their
contribution to plant productivity and diversity. Soil microbes are important
regulators of plant productivity, especially in nutrient poor ecosystems where
plant symbionts are responsible for the acquisition of limiting nutrients.
Mycorrhizal fungi and nitrogen-fixing bacteria are responsible for c. 5-20%
(grassland and savannah) to 80% (temperate and boreal forests) of all nitrogen,
and up to 75% of phosphorus, that is acquired by plants annually. Free-living
microbes also strongly regulate plant productivity, through the mineralization
of, and competition for, nutrients that sustain plant productivity. Soil
microbes, including microbial pathogens, are also important regulators of plant
community dynamics and plant diversity, determining plant abundance and, in some
cases, facilitating invasion by exotic plants. Conservative estimates suggest
that c. 20 000 plant species are completely dependent on microbial symbionts for
growth and survival pointing to the importance of soil microbes as regulators of
plant species richness on Earth. Overall, this review shows that soil microbes
must be considered as important drivers of plant diversity and productivity in
terrestrial ecosystems.
PMID- 18047586
TI - Linking traits of foraging animals to spatial patterns of plants: social and
solitary ants generate opposing patterns of surviving seeds.
AB - Foraging traits of seed predators are expected to impact the spatial structure of
plant populations, community dynamics and diversity. Yet, many of the key
mechanisms governing distance- or density-dependent seed predation are poorly
understood. We designed an extensive set of field experiments to test how seed
predation by two harvester ant species interact with seed dispersal in shaping
the spatial patterns of surviving seeds. We show that the Janzen-Connell
establishment pattern can be generated by central-place foragers even if their
focal point is located away from the seed source. Furthermore, we found that
differences in the social behaviour of seed predators influence their sensitivity
to seed density gradients and yield opposing spatial patterns of surviving seeds.
Our results support the predictions of a recent theoretical framework that
unifies apparently opposing plant establishment patterns, and suggest that
differences in foraging traits among seed predators can drive divergent pathways
of plant community dynamics.
PMID- 18047588
TI - Demographic analysis of continuous-time life-history models.
AB - I present a computational approach to calculate the population growth rate, its
sensitivity to life-history parameters and associated statistics like the stable
population distribution and the reproductive value for exponentially growing
populations, in which individual life history is described as a continuous
development through time. The method is generally applicable to analyse
population growth and performance for a wide range of individual life-history
models, including cases in which the population consists of different types of
individuals or in which the environment is fluctuating periodically. It
complements comparable methods developed for discrete-time dynamics modelled with
matrix or integral projection models. The basic idea behind the method is to use
Lotka's integral equation for the population growth rate and compute the integral
occurring in that equation by integrating an ordinary differential equation,
analogous to recently derived methods to compute steady-states of physiologically
structured population models. I illustrate application of the method using a
number of published life-history models.
PMID- 18047589
TI - Problems for people with epilepsy beyond seizures.
PMID- 18047590
TI - Long-term social outcomes for children with epilepsy.
AB - Children with epilepsy often grow into adults with significant social problems
including decreased employment, marriage, social relationships, and independent
living arrangements. These problems are noted in population-based longitudinal
and cross-sectional studies from many countries. Learning disorder and mental
handicap are the most consistent predictors of poor social outcome. Epilepsy
variables, even remission, appear to have little effect. The influence of
epilepsy on social outcome is greater than found in other childhood chronic
disease control groups. More attention and research is needed to correct these
unfortunate outcomes.
PMID- 18047591
TI - Social stigma for adults and children with epilepsy.
AB - For many people with epilepsy, the continuing social reality of their condition
is as a stigma. Epilepsy stigma has three different levels; internalized,
interpersonal, and institutional. While there have been documented improvements
in public attitudes towards epilepsy, the remnants of "old" ideas about epilepsy
continue to inform popular concepts resulting in a difficult social environment
for those affected. The social and quality of life problems arising from a
diagnosis of epilepsy can represent greater challenges than are warranted by its
clinical severity. The relationship between stigma and impaired quality of life
is well documented. Tackling the problem of stigma effectively requires that all
three of different levels at which it operates are systematically addressed.
PMID- 18047592
TI - An overview of epilepsy and driving.
AB - Operating a motor vehicle is important to people with epilepsy (PWE). Being
allowed to legally drive, largely depends on being seizure free for a defined
period of time and being physically capable. Each patient's driving situation
should be considered individually with advice offered guided by the goals of
maximizing safety for both PWE and the public. There are considerable risks
associated with driving for PWE or other medical conditions. Unfortunately, laws
that govern driving are not uniform from state to state or country to country,
requiring individual practitioners to be familiar with the local regulations.
Good medical care leading to improved seizure control may allow PWE to drive and
improve their quality of life. The privilege of driving a motor vehicle is
important for many people with and without epilepsy. Many factors impact the
ability to drive and laws governing driving and epilepsy are quite variable
around the USA and the world. Being restricted from driving due to seizures
represents a significant event in one's life affecting independence, sometimes
employment and quality of life. The privilege of operating a motorized vehicle is
granted by some form of governmental agency in virtually every state and country.
PMID- 18047593
TI - The burden of normality: a framework for rehabilitation after epilepsy surgery.
AB - This paper describes a conceptual framework that generates a modus operandi for
rehabilitation after epilepsy surgery derived from regular longitudinal and
prospective follow-up of patients and families. The framework focuses on patient
experiences of undergoing surgery placed within the context of the family and
broader community. It adopts a holistic view of patient care to understand the
complex interactions between neurobiological and psychosocial factors that
determine surgical outcome in the eyes of the patient, family, and clinical team.
It emphasises the importance of anticipating postoperative adjustment issues
using a preventive treatment approach.
PMID- 18047594
TI - Psychosis in epilepsy patients.
AB - Epileptic psychoses reflect a fundamental disruption in the fidelity of mind and
occur during seizure freedom or during or after seizures. The psychotic symptoms
in epilepsy share some qualities with schizophrenic psychosis, such as positive
symptoms of paranoid delusions and hallucinations. Psychotic syndromes in
epilepsy are most common but not exclusively associated with temporal lobe
epilepsy. De Novo psychosis following epilepsy surgery is rare. Forced
normalization-psychosis associated with dramatic reduction of epileptiform
activity or seizures is described in small series only. Ictal and postictal
psychosis can be prevented with seizure control, but postictal and chronic
interictal psychoses require multidisciplinary and psychopharmacologic
management.
PMID- 18047595
TI - Epilepsy and mood disorders.
AB - Mood disorders (MD) are a frequent comorbidity of epilepsy with a negative impact
on quality of life. The higher prevalence of MD in people with epilepsy (PWE) is
most likely a reflection of a bidirectional relation between the two conditions,
and common pathogenic mechanisms. Treatment of MD in PWE is safe with selective
serotonin reuptake inhibitor (SSRIs) and serotonin-norepinephrine reuptake
inhibitors (SNRIs), but nonpsychiatrists need to know when to refer these
patients to a psychiatrist for further evaluation and treatment.
PMID- 18047596
TI - The basic science of memory as it applies to epilepsy.
AB - The mechanisms of memory delineated by the model of long-term potentiation (LTP)
are similar to those underlying epileptogenesis by kindling. Memory is impaired
by seizures and epilepsy. High frequency neural activity is important in both
memory formation and seizures. Both kindling and LTP are most effectively induced
by high-frequency stimuli, involve synaptic facilitation, and share overlapping
molecular mechanisms, such as N-methyl-d-aspartate (NMDA) receptor-induced
calcium cascade and protein synthesis. The hippocampus contributes to both
through its role in memory formation and its low seizure threshold.
PMID- 18047597
TI - Long-term memory impairment in patients with focal epilepsy.
AB - In temporal lobe epilepsy, long-term memory disturbance starts early in life
mainly affecting declarative memory. Primary impairment of episodic memory often
results in reduced semantic and autobiographic memory. Neuropsychological
performance predicts academic achievement and everyday life functioning while
subjective memory complaints are highly correlated with depression. Memory
impairment is also influenced by initial brain damage, developmental retardation
and dynamic factors (e.g., seizure frequency, medication). Damage of functional
tissue, low mental reserve capacity, and poor seizure outcome increase the risk
for postsurgical memory impairment whereas functional release due to seizure
freedom counteracts negative impact. Preliminary findings indicate that
postsurgical training improves memory deficits and encourage further research.
PMID- 18047599
TI - The autism-epilepsy connection.
AB - The high prevalence of epilepsy in children with autism supports a neurobiologic
etiology for autism. It remains unclear whether seizures and epileptiform
activity on the EEG are causative or comorbid. It is also uncertain if focal
epileptiform EEG abnormalities may be associated with stable cognitive
impairment. Even less clear is whether these EEG abnormalities can result in the
combination of language and social dysfunction seen in autistic spectrum
disorders.
PMID- 18047598
TI - Genetically seizure-prone or seizure-resistant phenotypes and their associated
behavioral comorbidities.
AB - It was questioned whether amygdala kindling, a model of temporal lobe epilepsy,
is under genetic control, and is associated with comorbid behavioral features.
Initially, rats were selectively bred for speed of amygdala kindling, and, in
subsequent generations, were assessed in behavioral paradigms to measure
activity, emotionality, impulsivity, and learning. Clearly kindling was under
genetic control, as two strains were developed to be either Fast or Slow to
kindle, and each was associated with different neurological, electrophysiological
and behavioral features. Behaviorally, the Fast rats appear much like humans with
attention deficit hyperactivity disorder (ADHD), showing easy distraction,
hyperactivity and impulsivity, compared to Slow rats.
PMID- 18047600
TI - The interaction between sleep and epilepsy.
AB - Sleep and epilepsy are interrelated. Understanding the relationship between
epilepsy and sleep is important for optimizing management of the epilepsy patient
in several ways. First, sleep modulates the expression of epileptic seizures and
interictal epileptiform discharges. Second, epilepsy and its treatment influence
sleep organization and daytime alertness, and may contribute to, or ameliorate,
sleep disorders. Finally, treatment of a coexisting sleep disorder may improve
seizure control, daytime alertness, and health-related quality of life. In this
article, aspects related to the interrelationship of sleep and epilepsy will be
discussed and the model of how sleep can interact with a neurological disorder
will be illustrated.
PMID- 18047601
TI - Screening for bone health in epilepsy.
AB - Elderly and young patients with epilepsy are at risk for fractures from seizure
and antiepileptic drug (AED) related falls and from epilepsy and AED related
lowered bone mass. Enzyme inducing AEDs are often implicated, although, nonenzyme
inducing medications may also impact epilepsy bone disease. Screening for bone
health with direct measures, such as bone densitometry by dual-energy x-ray
absorptiometry (DEXA) and indirectly via biochemical markers of bone turnover may
help identify patients who are at increased risk for fractures and offer an
opportunity for intervention.
PMID- 18047602
TI - Weight issues for people with epilepsy--a review.
AB - Weight gain or loss is not an integral part of epilepsy although a sedentary
lifestyle can contribute to weight gain. Pharmacological treatment for epilepsy
may be associated with substantial weight changes that may increase morbidity and
impair adherence to the treatment regimen. Antiepileptic drugs (AEDs) associated
with weight loss are felbamate, topiramate, and zonisamide. AEDs associated with
weight gain are gabapentin, pregabalin, valproic acid, and vigabatrin and
possibly, carbamazepine. Weight neutral AEDs are lamotrigine, levetiracetam, and
phenytoin. In clinical practice it is critical to weigh patients regularly and
AED selection should be based on each patient's profile without sacrificing
therapeutic efficacy.
PMID- 18047603
TI - Defining safety tolerance limits.
PMID- 18047604
TI - Definition of the tear trough and the tear trough rating scale.
AB - BACKGROUND: Nasojugal groove and tear trough are interchangeably used terms by
many authors in the literature despite the fact that they describe distinct and
different anatomic entities. In the same vein, there are multiple descriptions of
treatments and techniques for the cosmetic improvement of these anatomic areas
without specifically addressing the anatomic difference between them. OBJECTIVE:
This study aims to define the anatomic characteristics of the tear trough and
describe a novel classification scale for the evaluation of the tear trough
deformity. METHODS: The tear trough rating scale (TTRS) was applied to a
representative sample of our patient population. Five of the authors evaluated
each patient using the TTRS, and the numeric results were tabulated and compared.
Results The TTRS provided an effective, reproducible method for evaluating tear
trough deformities, and there was very little interobserver variability.
CONCLUSION: The tear trough should be defined as the depression of the medial
lower eyelid just lateral to the anterior lacrimal crest and limited in its
inferior aspect by the inferior orbital rim. The TTRS is a reliable tool for the
classification of the tear trough and evaluation of therapeutic and cosmetic
interventions.
PMID- 18047605
TI - Applications of microemulsions in cosmetics.
AB - Since microemulsions were discovered approximately six decades ago, their
applications in several fields, including cosmetics, have been increased due to
their good appearance, thermodynamic stability, high solubilization power, and
ease of preparation. In addition, microemulsions can enhance skin permeation of
the loaded substances. They are classified into three types: oil-in-water,
bicontinuous, and water-in-oil. All types of microemulsions can be formed
spontaneously when the ratios of oil, water, and amphiphile in the systems are
appropriate. These proper ratios can be found in a microemulsion region of a
phase diagram. The efficiency of microemulsions in topical application is related
to microemulsion type. Microemulsion characterization needs a combination of the
data from several experimental techniques. Numerous applications of cosmetic
microemulsions include skin-care, hair-care, and personal-care products for
improving the product efficiency and stability. Moreover, new materials have been
developed to be used in cosmetic microemulsion formulations for increasing the
product efficiency and reducing the toxicity. The aim of this review is to
present some basic information of microemulsions and novel applications of
microemulsions in cosmetic formulations.
PMID- 18047606
TI - Granulomatous reactions to permanent cosmetic tattoos successfully treated with
topical steroids and allopurinol.
AB - Two female patients presented nodular erythematous lesions overlying a permanent
tattooed eyebrow and lip, respectively. Histologic examination showed in both
cases epithelioid granulomas in close relation with scattered pigment.
Complementary examinations and follow-up disclosed a sarcoidosis. The lesions
resolved after treatment with topical steroids and also oral allopurinol in one
of the cases. Allopurinol may be an effective treatment for granulomatous
reactions to foreign body particles.
PMID- 18047607
TI - Genomic expression changes induced by topical N-acetyl glucosamine in skin
equivalent cultures in vitro.
AB - N-acetyl glucosamine (NAG) has been shown to be effective in reducing the
appearance of hyperpigmented spots. From published in vitro mechanistic testing,
glucosamine inhibits enzymatic glycosylation, a required processing step in
converting inactive human pro-tyrosinase to the active tyrosinase, a key enzyme
in the production of melanin. There is also published literature discussing the
anti-inflammatory and antioxidant properties of glucosamine compounds. To
identify additional mechanisms by which NAG might affect melanin production, an
in vitro genomics experiment was conducted in SkinEthic skin equivalent cultures,
which were topically dosed with NAG vs. a vehicle control. Relative to vehicle,
NAG reduced melanin production, and the expression of several pigmentation
relevant genes were affected (down-regulated or up-regulated) by NAG treatment.
Thus, there are several mechanisms that may be operative in the observed
pigmentation effects.
PMID- 18047608
TI - New cosmetic emulsions for dry skin.
AB - The aim of this study was to formulate stable water-in-oil (w/o) emulsions
containing oils with a high percentage of fatty acids, sterols, vitamins,
cholesterol, and ceramides in order to improve topical treatment of dry skin
conditions. Two w/o emulsions were developed and physicochemical characterized.
The biological effects of the formulations were assessed on the volar surface of
the human foreharm over 28 days. The results obtained showed that areas treated
by either cream were significantly different from the control area, as assessed
by epidermal capacitance and sebometry over the 28 days. Treatment with cream
containing ceramides presented higher values of sebometry and corneometry.
According to the sensory evaluation performed, both creams were found to have
good acceptability.
PMID- 18047609
TI - The clinical anti-aging effects of topical kinetin and niacinamide in Asians: a
randomized, double-blind, placebo-controlled, split-face comparative trial.
AB - BACKGROUND: Kinetin and niacinamide are used in the cosmetic industry as anti
aging agents. Neither the interactive/additive effects of these compounds nor the
anti-aging efficacy on Asian skin has been studied. Objective To assess the
clinical anti-aging effects and efficacy differences between kinetin plus
niacinamide and niacinamide alone vs. vehicle placebo in an Asian cohort.
METHODS: Fifty-two Taiwanese subjects were enrolled in a randomized, double
blind, placebo-controlled, split-face comparative study. Group 1 subjects were
treated with kinetin 0.03% plus niacinamide 4%, whereas group 2 subjects received
niacinamide 4%. The treatment formulation was applied on one side of the face,
whereas a placebo was applied on the other for a period of 12 weeks. We used
noninvasive biometrological instruments to evaluate a variety of skin parameters
at baseline and at weeks 4, 8, and 12. RESULTS: Persistent and significant
reductions in spot, pore, wrinkle, and evenness counts were found at weeks 8 and
12 in group 1. A significant increase in corneal hydration status was also
evident at week 12, whereas persistent decreases in erythema index were apparent
at 8 and 12 weeks. In group 2, significant reductions in pore and evenness counts
at week 8 and wrinkle counts at week 12 were noted. CONCLUSION: We found kinetin
and niacinamide exert a synergistic anti-aging effect. Our data suggest that
these compounds have multiactive, multifunctional, and pluripotent effects on
skin. They are also both promising to be included in the cutaneous anti-aging
cosmeceuticals in the future.
PMID- 18047610
TI - Submental fat reduction by mesotherapy using phosphatidylcholine alone vs.
phosphatidylcholine and organic silicium: a pilot study.
AB - BACKGROUND: Excess skin and fatty tissues beneath the jaw lead to a double chin
deformity. Localized fat deposits in this area are a cause of discomfort and
anguish, leading patients to undergo surgical procedures such as liposuction and
dermolipectomy to improve the cosmetic effect. Both procedures require anesthesia
and an operating room setting and are quite expensive. Fearful of extensive
surgery and its complications, patients and physicians seek less invasive
methods. Mesotherapy with phosphatidylcholine and other cocktails have been used
to treat localized fat deposits. However, there are few published articles
regarding its effectiveness and some are even anecdotal. OBJECTIVE: This study
aims to determine the efficacy of phosphatidylcholine alone vs.
phosphatidylcholine and organic silicium in submental fat reduction. METHODS:
Twelve patients with submental fat deposit with no coexisting morbidity and with
informed consent were included in the study. They were submitted to one to five
treatment sessions with an average interval of 2 weeks between each session. The
medication administered was injected, either pure phosphatidylcholine or a
combination of phosphatidylcholine and organic silicium. Baseline measurements of
submental fat using vernier caliper and digital photographs of the patients were
taken during each treatment session. The occurrence of adverse effects was
likewise noted. Results Among the 12 patients, 11 completed the treatment course,
and 1 was excluded from the study because of failure to follow up. Both
phosphatidylcholine and a combination of phosphatidylcholine and organic silicium
were equally effective in reducing submental fat deposits. There was no
significant difference as to the rate and degree of reduction. Significant
reduction in the thickness of submental fat was achieved after three treatment
sessions. Adverse reactions in both groups were mild and transitory ranging from
heavy sensation, localized heat, nodulations, and slight bruising that abated 3
to 5 days after treatment. Limitations As of this writing, information on the use
of both phosphatidylcholine and organic silicium for mesotherapy of localized fat
such as the submental area is scarce. The exact mechanisms of action of both
treatments are likewise unknown. Ultrasound and histopathological changes were
not documented. The study did not involve a double-blind, placebo-controlled
design, and the sample size was small. CONCLUSION: Mesotherapy using
phosphatidylcholine vs. phosphatidylcholine plus organic silicium was similarly
effective in reducing submental fat. There was no significant difference between
them in terms of rate and degree of reduction. Optimal reduction of submental fat
was achieved after three treatment sessions. Adverse reactions were few, mild,
and transitory. Therefore, both regimens are safe, efficacious, cost-effective,
and can be used as alternatives to invasive surgical procedures.
PMID- 18047611
TI - Role of liquid nitrogen alone compared with combination of liquid nitrogen and
intralesional triamcinolone acetonide in treatment of small keloids.
AB - Keloids are well-defined fibrous tissue overgrowths extending beyond the original
defects. The purpose of our study was to compare the efficacy of liquid nitrogen
cryosurgery alone with liquid nitrogen cryosurgery and intralesional
triamcinolone acetonide combination. Study on 60 clinically diagnosed lesions of
keloids from 21 patients was conducted to compare the efficacy of liquid nitrogen
cryosurgery alone. The statistical analysis shows synergistic action of
cryosurgery and corticosteroids may offer promise in the treatment.
PMID- 18047612
TI - Marginal traction alopecia severity score: development and test of reliability.
AB - BACKGROUND: Traction alopecia (TA) is common in African females. Although
hairstyles are thought to be causal, the contribution of individual hairstyling
variables to TA severity has not been quantified. The aim of the current study
was to develop a severity scoring system (M-TAS score) for marginal TA, the
commonest form of this disorder, and to test its reliability. METHOD: The margins
of the scalp were divided into anterior and posterior with an imaginary line
joining the tips of both ears. The anterior and posterior hairlines were further
divided into three using the temporalis muscles and mastoid processes at the
hairline as landmarks, respectively. Each examiner assessed each of the six
areas. If any were found to have TA, the severity was scored using an examiner
assessed system (tested once) or a picture matched score (tested twice). Ten and
eight female patients with TA of varying severity were assessed by 11 and 9
examiners (dermatologists, dermatology trainees, and nurses), respectively.
RESULTS: The intraclass correlation coefficient (ICC) for interobserver agreement
was larger with photograph-matched scores than with examiner estimated scores
reaching substantial (0.61-0.80) and excellent (0.81-100) agreement for both
anterior and posterior scores irrespective of level of skill of examiner. The ICC
for intraobserver agreement with the photograph matched scores was 0.99.
LIMITATIONS: The diagnosis of normal margin was excellent for the anterior yet
poor for the posterior margin with photograph scores. The M-TAS score is not for
diagnosis but to assess severity. CONCLUSION: The photograph-based M-TAS score
requires validation with larger samples but could be a useful research tool for
elucidating disease prevalence and determinants of TA as well for monitoring
response to treatment.
PMID- 18047613
TI - Pyogenic granuloma following treatment of a port-wine stain with intense pulsed
ligt.
PMID- 18047614
TI - An efficient method of preparing dressing materials for laser procedures.
AB - Cutting numerous dressing materials for every laser-treated site is tedious and
time-consuming. Here, we describe an efficient method of rapidly mass producing
dressing materials. We found that a one-hole punch - commonly used in daily
office work - can be used as a powerful tool for this laborious task.
PMID- 18047616
TI - Threading warts: a beauty parlor dermatosis.
AB - Threading is a common beauty parlor procedure usually performed to shape the
eyebrows and remove unwanted facial hair for cosmetic reasons. Appearance of
warts at the site of threading is an unusual phenomenon. We report two such
cases, first as koebnerization from the initial lesion elsewhere on the patient's
body and second, possibly from the infected material at the beauty parlor. We
emphasize the importance of identification of this condition and discuss its
dermatological and cosmetological perspectives.
PMID- 18047617
TI - LVMH Recherche Symposium VII. Stem cells and skin: present and future. Abstracts.
PMID- 18047619
TI - Water channels in chondrocyte function: another 'unexpected' role of aquaporins.
PMID- 18047620
TI - Endothelins and NADPH oxidases in the cardiovascular system.
AB - 1. The endothelin (ET) system and NADPH oxidase play important roles in the
regulation of cardiovascular function, as well as in the pathogenesis of
hypertension and other cardiovascular diseases. 2. Endothelins activate NADPH
oxidases and thereby increase superoxide production, resulting in oxidative
stress and cardiovascular dysfunction. Thus, NADPH oxidases may mediate the role
of endothelins in some cardiovascular diseases. However, the role of reactive
oxygen species (ROS) in mediating ET-induced vasoconstriction and cardiovascular
disease remains under debate, as evidenced by conflicting reports from different
research teams. Conversely, activation of NADPH oxidase can stimulate ET
secretion via ROS generation, which further enhances the cardiovascular effects
of NADPH oxidase. However, little is known about how ROS activate the endothelin
system. It seems that the relationship between ET-1 and ROS may vary with
cardiovascular disorders. 3. Endothelins activate NADPH oxidase via the ET
receptor-proline-rich tyrosine kinase-2 (Pyk2)-Rac1 pathway. Rac1 is an important
regulator of NADPH oxidase. There is ample evidence supporting direct stimulation
by Rac1 of NADPH oxidase activity. In addition, Rac1-induced cardiomyocyte
hypertrophy is mediated by the generation of ROS.
PMID- 18047621
TI - Renal functional responses to ischaemia-reperfusion injury in normotensive and
hypertensive rats following non-selective and selective cyclo-oxygenase
inhibition with nitric oxide donation.
AB - 1. Acute renal failure develops as a result of periods of renal ischaemia during
cardiovascular surgery or hypovolaemic shock. The present study investigated the
importance of endogenous prostaglandin production and nitric oxide (NO) in the
renal haemodynamic and excretory responses to ischaemia-reperfusion both normally
and in the hypertensive state by chronic administration of cyclo-oxygenase (COX)
inhibitors. 2. Male Wistar and stroke-prone spontaneously hypertensive rats
(SHRSP) were subjected to 30 min renal ischaemia and 2 h reperfusion following 7
day treatment with vehicle, aspirin, NO-aspirin or celecoxib. 3. Renal blood flow
was higher in the SHRSP treatment groups. Renal ischaemia increased blood
pressure in all Wistar groups except that given aspirin, had no effect in the
SHRSP and did not change renal blood flow in any group. Glomerular filtration
rate was reduced throughout the reperfusion period in both rat strains. The
postischaemic diuresis in the Wistar was enhanced by COX-2 inhibition, but not by
aspirin or NO-aspirin. Urine flow increased in SHRSP during the postischaemic
period, which was blunted by aspirin and NO-aspirin, but not by celecoxib. There
was a postischaemic increase in fractional sodium excretion, the magnitude of
which was unaltered by any drug in the Wistar rats, but was blunted by aspirin,
NO-aspirin and celecoxib in SHRSP. 4. These results suggest that products of COX
activity contribute to the renal responses to ischaemia-reperfusion injury, but
in different ways, in SHRSP, which may reflect variations in renal prostaglandin
and NO production in the hypertensive state.
PMID- 18047622
TI - Effects of direct haemoperfusion through fibres immobilizing polymyxin B and
nafamostat mesilate on endotoxaemia in conscious Guinea-pigs.
AB - 1. Direct haemoperfusion through a network of fibres immobilizing polymyxin B
(PMX-B) is used for the treatment of septic shock, but the mechanism underlying
its clinical benefits remains unclear. The aims of the present study were to
assess the actions of direct haemoperfusion through fibres immobilizing PMX-B
(PMX-DHP) on the effects of exogenously administered endotoxin in conscious
guinea-pigs and to examine the difference in the effects of heparin compared with
nafamostat mesilate (NM) used as an anticoagulant. Although nafamostat is widely
used in Japan, the agent cannot necessarily be used elsewhere in the world.
Therefore, the study aimed to investigate and elucidate the effectiveness of NM
compared with heparin. 2. Colonic motion was monitored continuously via telemetry
using a force transducer attached to the taenia caecum, whereas blood pressure
was monitored using a carotid artery catheter. To establish a haemoperfusion
circuit in each freely moving and conscious guinea-pig, catheters were implanted
in the carotid artery and the jugular vein, tunnelled subcutaneously,
exteriorized at the back of the neck in contact with a lightweight tethering
spring and attached to a swivel device at the top of the cage. On the day after
the operation, lipopolysaccharide (LPS; Escherichia coli, O111:B4; 1 mg/kg) was
administered i.v. and PMX-DHP was conducted for 2 h. Heparin (50 IU/h) or NM (0.4
mg/h) was used as the anticoagulant. Furthermore, guinea-pigs were administered a
lethal dose of LPS (10 mg/kg) and the survival rate was examined for animals
undergoing PMX-DHP compared with control animals. 3. In guinea-pigs treated with
PMX-DHP, relaxation of colonic longitudinal muscle caused by LPS was
significantly suppressed, as were decreases in blood pressure. Of the two
anticoagulants used, NM was more effective than heparin. In addition, PMX-DHP
significantly increased the survival rate of guinea-pigs that received
potentially lethal doses of LPS. 4. In conscious and unrestrained guinea-pig
endotoxaemia model, PMX-DHP significantly improved intestinal paralysis and
decreases in blood pressure. These effects were augmented more by NM than by
heparin when an anticoagulant was used in the perfusion process. These findings
suggest that haemoperfusion using PMX and NM performed in the early stage of
endotoxaemia is an effective treatment.
PMID- 18047623
TI - Effects of Yunke (technetium-99 conjugated with methylene diphosphonate; (99)Tc
MDP) and/or colloidal chromic phosphate phosphonium-32, alone and in combination,
in rats with adjuvant arthritis.
AB - 1. The present study investigated the therapeutic effects of both single and
combination treatment with Yunke (technetium-99 conjugated with methylene
diphosphonate; (99)Tc-MDP) and colloidal chromic phosphate (32)P (phosphonium-32)
in rats with adjuvant arthritis (AA). 2. Rats were randomly allocated to one of
five groups: (i) normal control group (sham operated and treated with normal
saline); (ii) AA control group (arthritis induced with adjuvant and treated with
normal saline); (iii) (32)P colloid group (arthritis induced with adjuvant and
treated with a single intra-articular injection of colloidal chromic phosphate
phosphonium-32 (0.02 mCi) and i.p. injections of normal saline every other day);
(iv) Yunke group (arthritis induced with adjuvant and treated with i.p. Yunke
(2.5 x 10(-3) microg/kg) every other day and single intra-articular injection of
normal saline); and (v) combination group (arthritis induced with adjuvant and
treated with a combination of both therapies). 3. The left-to-right diameter
(LRD) of the left hind ankle, serum levels of tumour necrosis factor (TNF) and
interleukin (IL)-1b and histological sections of the ankle joints were examined
at different time points. 4. The LRD of the left hind ankle was smaller for the
combination group compared with (32)P colloid alone at Week 4 (7.11 +/- 0.28 vs
7.57 +/- 0.24 mm, respectively; P < 0.001). The combination treatment was more
effective than (32)P colloid alone in decreasing serum TNF (1.614 +/- 0.368 vs
1.977 +/- 0.255 ng/mL, respectively; P = 0.002 for Week 4) and IL-1b (0.271 +/-
0.027 vs 0.308 +/- 0.020 ng/mL, respectively for Week 4; 0.209 +/- 0.023 vs 0.255
+/- 0.016 ng/mL, respectively for Week 6; both P = 0.001). Histologically, the
combination group exhibited less synovium proliferation compared with Yunke
treatment alone and decreased inflammatory cell infiltration compared with (32)P
colloid alone. 5. In conclusion, the combination of Yunke and (32)P colloid is
more effective in the treatment of AA in rats compared with Yunke or (32)P
colloid alone.
PMID- 18047624
TI - Effects of dipeptidyl peptidase iv inhibition on arterial blood pressure.
AB - 1. The aim of the present study was to determine whether inhibition of dipeptidyl
peptidase IV (DPP IV) elevates arterial blood pressure and whether any such
effect is dependent on genetic background, the sympathetic nervous system and
Y(1) receptors. The rationale behind this study was that: (i) neuropeptide (NP)
Y(1-36) and peptide YY(1-36) (PYY(1-36)) are endogenous Y(1) receptor agonists
and are metabolised by DPP IV to NPY(3-36) and PYY(3-36), which are not Y(1) but
rather selective Y(2) receptor agonists; (ii) Y(1) receptors mediate
vasoconstriction, whereas Y(2) receptors have little effect on vascular tone;
(iii) vaso-constrictor effect of the Y(1) receptor is enhanced in spontaneously
hypertensive rats (SHR) compared with normotensive Wistar-Kyoto (WKY) rats; and
(iv) NPY(1-36) is released from sympathetic nerve terminals. 2. We examined the
effects of acute administration of 3-N-[(2S,3S)-2-amino-3-methylpentanoyl]-1,3
thiazolidine (P32/98; a DPP IV inhibitor) on arterial blood pressure in
anaesthetized adult SHR and WKY rats in the absence and presence of either
captopril, hydralazine or chlorisondamine to lower basal mean arterial blood
pressure (MABP) by different mechanisms (inhibition of angiotensin-converting
enzyme, direct vasodilation and ganglionic blockade, respectively). 3. In naive
SHR with severely elevated basal blood pressures (MABP = 176 +/- 3 mmHg; n = 4),
i.v. boluses (1, 3 and 10 mg/kg) of P32/98 did not affect blood pressure. 4. When
basal blood pressure was reduced by pretreatment of SHR with either captopril (30
mg/kg, i.v.; MABP = 116 +/- 3 mmHg; n = 9) or hydralazine (5 mg/kg, i.p.; MABP =
84 +/- 3 mmHg; n = 7), P32/98 (1, 3 and 10 mg/kg) caused significant dose-related
increases in arterial blood pressure (4 +/- 2, 10 +/- 2 and 12 +/- 3 mmHg in the
captopril-pretreated group, respectively (P < 0.01); 5 +/- 2, 8 +/- 3 and 11 +/-
4 mmHg in the hydralazine-pretreated group, respectively (P < 0.01)). 5. The
increases in arterial blood pressure induced by P32/98 in captopril- or
hydralazine-pretreated SHR were entirely blocked by pretreatment with the
selective Y(1) receptor antagonist N2-(diphenylacetyl)-N-[(4
hydroxyphenyl)methyl]-d-arginine amide (BIBP 3226; 6 mg/kg per h). 6. When basal
blood pressure was reduced in SHR by pretreatment with chlorisondamine (10 mg/kg,
s.c.; MABP = 108 +/- 4 mmHg; n = 7), inhibition of DPP IV with P32/98 did not
affect arterial blood pressure. Basal heart rate in chlorisondamine-treated SHR
was significantly reduced compared with naive SHR, captopril-pretreated SHR and
hydralazine-pretreated SHR, indicating effectiveness of ganglionic blockade. 7.
Unlike the results in genetically hypertensive animals, in normotensive WKY rats
pretreated with captopril (30 mg/kg, i.v.; MABP = 81 +/- 4 mmHg; n = 6), or
hydralazine (5 mg/kg, i.p.; MABP = 63 +/- 4 mmHg; n = 4) or chlorisondamine (10
mg/kg, s.c.; MABP = 63 +/- 4 mmHg; n = 5), P32/98 did not affect arterial blood
pressure. 8. We conclude that, in genetically susceptible animals, inhibition of
DPP IV increases arterial blood pressure via Y(1) receptors when elevated blood
pressure is reduced with antihypertensive drugs provided that the sympathetic
nervous system is functional. The results suggest vigilance because DPP IV
inhibitors are used more widely in hypertensive patients treated with
antihypertensive drugs.
PMID- 18047625
TI - Oral mitemcinal (GM-611), an erythromycin-derived prokinetic, accelerates normal
and experimentally delayed gastric emptying in conscious dogs.
AB - 1. We examined effects of orally administered mitemcinal, an erythromycin-derived
motilin agonist, on gastric emptying and antroduodenal motility in conscious
normal dogs and conscious dogs with experimentally delayed gastric emptying. For
comparison, we also examined the effects of orally administered cisapride. 2.
Gastric emptying was assessed by adding paracetamol to the test meal and
determining three of its pharmacokinetic parameters as indices of gastric
emptying. Antroduodenal motility was assessed from the output of force
transducers chronically implanted in the gastric antrum and duodenum. 3. In
normal dogs, mitemcinal (0.25, 0.5 and 1 mg/kg) dose-dependently accelerated
gastric emptying, significantly increasing all three indices at doses of 0.5 and
1 mg/kg; cisapride (1, 3 and 10 mg/kg) had no significant effect. Mitemcinal also
dose-dependently stimulated antroduodenal motility in the interdigestive and
digestive states. Cisapride, at 100-fold the dose, produced similar effects in
the interdigestive state, but mixed results in the digestive state. 4. In dogs
with delayed gastric emptying induced by subcutaneous clonidine (0.03 mg/kg),
mitemcinal (0.25, 0.5 and 1 mg/kg) dose-dependently improved delayed gastric
emptying, significantly increasing two of three indices at a dose of 1 mg/kg.
Cisapride (1, 3 and 10 mg/kg) caused non-significant increases in the indices of
gastric emptying, with roughly bell-shaped dose-response curves. The highest dose
of mitemcinal (1 mg/kg) also stimulated antroduodenal motility. 5. In dogs with
delayed gastric emptying induced by vagotomy, mitemcinal (0.125, 0.25 and 0.5
mg/kg) dose-dependently improved delayed gastric emptying, significantly
increasing all three indices at doses of 0.25 and 0.5 mg/kg. Cisapride (3 mg/kg)
restored the indices to roughly prevagotomy levels, but none of the increases was
significant. Mitemcinal, at a dose of 0.25 mg/kg, also stimulated antroduodenal
motility. 6. Because delayed gastric emptying is the basic characteristic of
gastroparesis, the fact that mitemcinal accelerated gastric emptying in dogs with
normal and delayed gastric emptying much more robustly than cisapride adds to the
evidence that mitemcinal is likely to be useful for the treatment of patients
with gastroparesis.
PMID- 18047626
TI - Effect of polyphenol-containing azuki bean (Vigna angularis) extract on blood
pressure elevation and macrophage infiltration in the heart and kidney of
spontaneously hypertensive rats.
AB - 1. Hypertension is a major risk factor for myocardial infarction and renal
damage, and it has also been shown to have pro-inflammatory actions that increase
the formation of reactive oxygen species. Macrophage infiltration has been
suggested to play a role in the pathogenesis of hypertension. Azuki beans are
known to contain pro-anthocyanidins, a group of polyphenolic bioflavonoids with
remarkable radical-scavenging activities in vitro. Therefore, the aim of the
present study was to investigate the effect of polyphenol-containing azuki bean
extract (ABE) on systolic blood pressure (SBP) and macrophage infiltration in the
heart and kidney of spontaneously hypertensive rats (SHR). 2. Spontaneously
hypertensive rats and control normotensive Wistar-Kyoto (WKY) rats were divided
into two groups fed either 0 or 0.8% ABE in their diets. Tail SBP and macrophage
kinetics in the heart and kidney were examined. 3. The SBP of the SHR group was
higher than that of age-matched WKY rats throughout the treatment period. After 8
weeks of treatment, the increased SBP in ABE-treated SHR was significantly less
than that in untreated SHR. 4. Nicotinamide adenine dinucleotide (NADH) or
nicotinamide adenine dinucleotide phosphate (NADPH)-stimulated superoxide (O2-)
production was enhanced in the kidney and heart in SHR and WKY rats compared with
levels in the absence of NADH or NADPH. The NADPH-stimulated superoxide (O2-)
levels in the kidney in untreated SHR was significantly higher than that in
untreated WKY rats. The (O2-) levels in ABE-treated SHR were significantly
decreased compared with the untreated SHR group. 5. In immunohistochemical
analyses, the number of macrophages in the heart and in the glomeruli and
tubulointerstitium of the kidney was significantly higher in ABE-untreated SHR
than in ABE-untreated WKY rats. Conversely, there was a significant decrease in
the number of macrophages in ABE-treated SHR compared with the untreated SHR.
There were significant positive correlations between SBP and the number of ED1
positive macrophages in the heart and tubulointerstitial and glomerular areas of
the kidney in WKY rats and SHR. 6. In conclusion, the results of the present
study suggest that ABE attenuates the elevation of SBP and macrophage
infiltration in the heart, as well as in the glomeruli and tubulointerstitium of
the kidney, in our SHR model.
PMID- 18047627
TI - Methylene blue increases contralateral testicular ischaemia-reperfusion injury
after unilateral testicular torsion.
AB - 1. Testicular ischaemia-reperfusion injury is commonly seen in childhood.
Infertility occurs in 25% of patients after unilateral testicular ischaemia. It
is has been reported that methylene blue has a positive effect in the reparation
of ischaemia-reperfusion injury in different tissues. Therefore, we hypothesized
that methylene blue may prevent the hazardous effects of ischaemia-reperfusion
injury in testicular tissue after unilateral testicular torsion. 2. Thirty-two
prepubertal Wistar-albino rats were divided into four groups. Testicular torsion
was created by rotating the right testis 720 degrees in a clockwise direction for
5 h in all groups except for Group C, which was the sham control group. In Group
T, bilateral orchiectomy was performed following the torsion period. In Group TD,
both testes were removed 5 days after the torsion period. In Group MB, methylene
blue (1 mg/kg, i.p.) was administered 40 min before detorsion and once daily over
5 days; then, both testes were harvested. Tissue levels of malondialdehyde (MDA),
serum levels of creatine kinase (CK), mean testicular biopsy score (MTBS) and
mean seminifer tubule diameter (MSTD) were determined. 3. There was a significant
difference in MTBS between Groups T and TD (P < 0.05) in both ipsilateral and
contralateral testes. In the contralateral testis, treatment with methylene blue
decreased MTBS and MSTD (P < 0.05) and increased MDA levels (P < 0.05). In Group
T, mean serum CK concentrations were higher than in any of the other groups (P <
0.05). 4. After 5 h of unilateral testicular torsion and a 5 day reperfusion
period, serious tissue damage occurred on both the ipsilateral and contralateral
sides. Serum CK concentrations may be an indicator for ischaemia, but not for
ischaemia-reperfusion injury. Contrary to our hypothesis, methylene blue
increased contralateral testicular damage after unilateral testicular torsion and
exacerbated oxidative events.
PMID- 18047628
TI - Immunochemical characterization of the functional constituents of Tripterygium
wilfordii contributing to its anti-inflammatory property.
AB - 1. Tripterygium wilfordii (TW) contains bioactive compounds that possess
immunosuppressive properties. These compounds are considered to be potential
drugs in the treatment of acute graft rejections. However, their structure
activity relationships remain unknown. 2. The aim of the present study was to
delineate the molecular moieties of triptolide that could account for its ability
to inhibit inflammatory responses. In this context, purified TW active compounds
(triptolide and triptonide) and synthetic triptolide derivatives were prepared to
investigate the structure-activity relationships of triptolide. To this end, rat
splenocytes were treated with increasing concentrations of the compounds and then
allogenically stimulated using a mixed lymphocyte reaction to determine their
antiproliferative activities. From the results, the IC50 value of each compound
was calculated. 3. Modification of the beta-hydroxyl group at the C-14 position
of the triptolide molecule significantly affected the immunosuppressive activity
of T59, as demonstrated by a sevenfold increase of the IC50. Conversely,
reduction of the gamma-butyrolactone group in T60 and T61 completely abrogated
the antiproliferative effect. Alterations in the C-14 beta-hydroxyl and gamma
butyrolactone groups also resulted in reduced cytotoxicity. 4. The present
findings demonstrate that the C-14 beta-hydroxyl and gamma-butyrolactone moieties
of the triptolide molecule are crucial for its anti-inflammatory properties and
cytotoxicity and are responsible for the compound's antiproliferative activity.
PMID- 18047629
TI - Combined spinal and epidural anaesthesia with chloroprocaine for hysterectomy.
AB - 1. The aim of the present study was to determine the clinical efficacy and safety
of chloroprocaine (CP) for gynaecological surgery. 2. One hundred and twenty
gynaecological patients scheduled for hysterectomy were divided randomly into
four groups: Group A (n = 30), 2.5% CP 1.0 mL; Group B (n = 30), 2.5% CP 1.2 mL;
Group C (n = 30), 2.5% CP 1.4 mL; and Group D (n = 30), 2.5% CP 1.6 mL. The dose
of CP used in each group was mixed with 1 mL vehicle containing 5% glucose and
1.5% ephedrine. Spinal anaesthesia was achieved by lumbar puncture in the L2-3
interspace and injection of the mixture. Wherever necessary, CP (2.5%) was used
for epidural anaesthesia. 3. Although the times to onset and peak effect, as well
as the grade of motor block of the lower limbs (Bromage scale), were similar
among the four groups, the level of the highest sensory nerve block increased
gradually, from T7 (+/- 1), T6 (+/- 1), T4 (+/- 1) to T3 (+/- 1) in Groups A, B,
C and D, respectively. The rate of unsatisfactory spinal anaesthesia was 80 and
16.7% in Groups A and B, respectively, and consequently epidural anaesthesia was
superimposed in those patients for surgery to start. Spinal anaesthesia was very
satisfactory for surgery in Groups C and D. In contrast, the incidence of
hypotension in Groups B, C and D was 6.7, 16.7 and 67.7%, respectively; however,
respiratory depression only occurred in Group D in nine cases (30%). No other
adverse events or neurologic deficits were found. 4. The present results suggest
that 30-35 mg CP in a total volume of 2.2-2.4 mL used for spinal anaesthesia in
hysterectomy is safe and efficient. The combination of spinal and epidural
anaesthesia with 2.5% CP can achieve 100% satisfactory anaesthesia for this type
of surgery.
PMID- 18047630
TI - Hyperoxia confers myocardial protection in mechanically ventilated rats through
the generation of free radicals and opening of mitochondrial ATP-sensitive
potassium channels.
AB - 1. One hour exposure to hyperoxia has been shown previously to limit a subsequent
ischaemia-reperfusion injury in spontaneously breathing rats. We tested the
cardioprotective effect of a shorter period of hyperoxia during mechanical
ventilation and the possible contribution of reactive oxygen species (ROS) and
mitochondrial ATP-sensitive potassium (mitoK(ATP)) channels. 2. Mechanically
ventilated rats were exposed to normoxia (Fi O2 = 0.3) or hyperoxia (Fi O2 = 1.0)
for 30 min and pH, P CO2, PO2, heart rate, airway and blood pressure were
measured at baseline and after 30 min mechanical ventilation. Isolated hearts
were subsequently subjected to 30 min ischaemia and 120 min reperfusion. Infarct
size and left ventricular end-diastolic pressure (LVEDP), developed pressure
(LVDP) and coronary flow (CF) were measured. In order to investigate the role of
ROS and KATP channels within the mechanism leading to cardioprotection, the free
radical scavenger N-acetylcysteine (NAC; 150 mg/kg) was infused in mechanically
ventilated rats and the KATP channel blockers glibenclamide (200 mmol/L) or 5
hydroxydecanoate (10 mmol/L) were infused in isolated hearts immediately before
ischaemia. 3. No differences were detected in P CO2, pH, heart rate, airway and
blood pressure between the groups. However, the PO2 in hyperoxic groups was
significantly higher compared with that in normoxic groups (P < 0.01). After 30
min ischaemia, we found that hyperoxic preconditioning significantly improved CF
(P < 0.01), LVDP (P < 0.01) and LVEDP (P < 0.01) and reduced the extent of
infarct size in the reperfused heart compared with the normoxic group (P < 0.01).
When rats were pretreated either with NAC before hyperoxic ventilation or with
K(ATP) channel blockers before ischaemia, myocardial protection was abolished. 4.
Hyperoxic mechanical ventilation, prior to ischaemia, reduces myocardial
reperfusion injury. This is likely to occur through the induction of oxidative
stress, which leads to myocyte mitoKATP channel opening.
PMID- 18047631
TI - Peroxisome proliferator-activated receptor-gamma agonists attenuate angiotensin
II-induced collagen type I expression in adventitial fibroblasts.
AB - 1. Angiotensin (Ang) II-mediated oxidative stress may be important in enhanced
adventitial fibroblast collagen formation. The aim of the present study was to
test whether PPAR-gamma agonists 15-deoxy-Delta(12,14)-prostaglandin J(2) (15d
PGJ2) and pioglitazone could alter AngII-induced collagen type I formation in
vascular adventitial fibroblasts via reactive oxygen species (ROS). 2. Vascular
adventitial fibroblasts were isolated from rat thoracic aortas of male Sprague
Dawley rats and treated with different concentrations of AngII for different
periods of time. The expression of collagen type I induced by AngII was examined
by western blot. Expression of PPAR-gamma mRNA was examined by reverse
transcription-polymerase chain reaction (RT-PCR). Intracellular ROS generation
was measured by flow cytometry. Activation of transcription factors nuclear
factor (NF)-kappaB and activator protein (AP)-1 was assessed by an
electrophoretic mobility shift assay. 3. Angiotensin II increased expression of
collagen type I in a time- and dose-dependent manner in adventitial fibroblasts.
In addition, AngII stimulated intracellular generation of ROS in adventitial
fibroblasts. Pretreatment of cells with 15d-PGJ2 and pioglitazone attenuated
collagen type I expression and generation of ROS induced by AngII, respectively.
Moreover, we observed that N-acetylcysteine inhibited collagen type I expression
induced by AngII as did the PPAR-gamma agonists. Angiotensin II treatment
activated the redox-sensitive transcription factors NF-kappaB and AP-1, whereas
pretreatment with 15d-PGJ2 and pioglitazone reduced the AngII-induced DNA-binding
activity of NF-kappaB but not AP-1. 4 Our data demonstrate that the PPAR-gamma
agonists 15d-PGJ2 and pioglitazone attenuate AngII-mediated collagen type I
expression in adventitial fibroblasts, which may be mediated by the modulation of
ROS release and the redox-sensitive transcription factor NF-kappaB.
PMID- 18047632
TI - Correlation of body composition with cardiac function and arterial compliance.
AB - 1. The aim of the present study was to examine the correlation of precisely
measured body composition with cardiac function and arterial compliance. 2. Body
composition was determined in a total of 325 healthy volunteers (217 men, 108
women) with an average age of 48 years using bioelectrical impedance analysis
(BIA). Multiple body components, such as water, fat, mineral, protein and
intracellular and extracellular liquid, were included in our measurements. Aortic
pulse wave velocity (PWV) was evaluated to assess arterial stiffness, whereas
cardiac function was examined by echocardiography. Levels of serum glucose, high
density lipoprotein-cholesterol, triglycerides, creatinine and uric acid were
measured to evaluate the cardiovascular risk of the participants. 3. All
parameters of body composition, especially body fat rate (BFR; body fat
mass/weight, were closely correlated with arterial function as evaluated by PWV.
However, plasma homocysteine, one of the independent risk factors for
cardiovascular diseases, failed to exhibit any correlation with arterial
compliance. Notably, the BFR was found to be associated with both systolic and
diastolic cardiac function with high correlation coefficients. Individuals with
normal systolic and diastolic function were characterized with lower PWV values
for the aorta, as well as arm and inferior arteries, indicating better arterial
compliance. Body fat mass and BFR were significantly lower in subjects with
normal systolic function than those with defective arterial compliance. 4. In
conclusion, our data provide new insights into the intrinsic correlation between
body composition and cardiac function, as well as arterial compliance,
implicating a potential application of BIA in the clinical prediction and
diagnosis of cardiovascular disease.
PMID- 18047633
TI - Pressor and non-pressor effects of sodium loading on stroke in stroke-prone
spontaneously hypertensive rats.
AB - 1. Aetiological studies have shown that sodium loading increases both blood
pressure and death from stroke. The present study was designed to investigate the
pressor and non-pressor effects of sodium loading on stroke in stroke-prone
spontaneously hypertensive rats (SHRSP). 2. Eighty-five female SHRSP were used.
Forty-nine SHRSP, aged 5 months, were randomly divided into two groups with or
without sodium loading and their survival times were recorded. Thirty-six SHRSP,
aged 3 months, were randomly divided into two groups and were instrumented to
determine blood pressure, heart period and baroreflex sensitivity (BRS) after 4
months of sodium loading or normal rat chow. After determination of BRS, blood
samples were collected for the measurement of tumour necrosis factor (TNF),
interleukin (IL)-1beta, IL-6 and angiotensin (Ang) II and brains were dissected
for light microscopic examination. 3. Over the 15 month period, the mortality of
control SHRSP was 37.5%, which reached 80.0% in the sodium loading group.
Compared with the control group, blood pressure was increased but BRS was
significantly decreased (P < 0.001) in sodium-loaded rats. Levels of IL-1beta, IL
6 and AngII were all significantly increased (P < 0.05) in the sodium-loaded
rats. Sodium loading also markedly increased the number of cerebral aneurysms.
Multivariate regression analysis showed that IL-6 was the most significant factor
related to aneurysm formation. 4. Sodium loading increases death from stroke in
SHRSP. The increased blood pressure, impaired BRS, inflammatory reaction and the
formation of cerebral aneurysms may contribute to the development of stroke.
PMID- 18047634
TI - Induction of inflammatory cytokine release from human umbilical vein endothelial
cells by agonists of proteinase-activated receptor-2.
AB - 1. Human endothelial cells express proteinase-activated receptor-2 (PAR-2),
inflammatory cytokines and trypsin (EC 3.4.21.4). However, little is known about
the mechanism through which trypsin induces cytokine release from endothelial
cells. 2. In the present study, we investigated the effect of trypsin on cytokine
release from primary cultures of human umbilical vein endothelial cells (HUVEC)
using an antibody based protein microarray and ELISA. 3. The results showed that
1 microg/mL trypsin induced release of 32 different inflammatory factors, whereas
100 micromol/L Ser-Leu-Ile-Gly-Lys-Val-NH2 (SLIGKV-NH2) only stimulated secretion
of 16 inflammatory factors from HUVEC, as assessed by an antibody based protein
microarray. Because the release of interleukin (IL)-1a, IL-8, IL-10 and IL-12 was
markedly increased following PAR-2 activation, their release was investigated
further using ELISA. Increases in release of up to approximately 4.8-, 4.3-, 4.1-
and 1.8-fold were observed for IL-1a, IL-10, IL-12 and IL-8, respectively, when
HUVEC were challenged with trypsin for 16 h. Agonist peptides of PAR-2, namely
SLIGKV-NH2 and trans-cinnamoyl-Leu-Ile-Gly-Arg-Leu-Orn-NH2 (tc-LIGRLO-NH2), also
provoked significant release of IL-8. Trypsin-induced cytokine release was
inhibited by its inhibitors soybean trypsin inhibitor, alpha1-antitrypsin and the
inhibitor peptide of PAR-2 Phe-Ser-Leu-Leu-Arg-Tyr-NH2 (FSLLRY-NH2). 4. These
data indicate the action of trypsin on HUVEC is most likely through activation of
PAR-2, suggesting that PAR-2-related mechanisms are involved in the inflammatory
process in humans.
PMID- 18047635
TI - delta-Opioid receptor stimulation enhances the growth of neonatal rat ventricular
myocytes via the extracellular signal-regulated kinase pathway.
AB - 1. The aims of the present study were to determine whether delta-opioid receptor
stimulation enhanced proliferation of and to investigate the role of the
extracellular signal-regulated kinase (ERK) pathway in ventricular myocytes from
neonatal rats. 2. At concentratins ranging from 10 nmol/L to 10 micromol/L, [D
Ala2,D-Leu5]enkephalin (DADLE) concentration-dependently promoted myocardial
growth and DNA synthesis and altered the cytoskeleton. 3. At 1 micromol/L, DADLE
also increased the expression and phosphorylation of ERK. 4. These effects of 1
micromol/L DADLE were abolished by 10 micromol/L naltrindole, a selective delta
opioid receptor antagonist, 10 nmol/L U0126, a selective ERK antagonist, 1
micromol/L staurosporine, an inhibitor of protein kinase (PK) C, and 100
micromol/L Rp-adenosine 3',5'-cyclic monophosphorothioate triethylammonium salt
hydrate (Rp-cAMPS), an inhibitor of PKA. 5. In conclusion, delta-opioid receptor
stimulation enhances the proliferation and development of the ventricular
myocytes of neonatal rats. The ERK pathway and related signalling mechanisms,
namely PKC and PKA, are involved.
PMID- 18047637
TI - Large bowel obstruction due to sesame seed bezoar: a case report.
AB - We report a case of a 79 year old man with a known benign anastomotic stricture
presenting with large bowel obstruction. At laparotomy the obstruction was found
to be caused by a large sesame seed bezoar. Seed bezoars are well known to cause
impaction in the rectum but have never been previously reported to cause large
bowel obstruction. We recommend that patients with known large bowel strictures
should be advised not to eat seeds as this could ultimately lead to obstruction,
ischaemia or perforation.
PMID- 18047639
TI - Provider-initiated HIV testing in rural Haiti: low rate of missed opportunities
for diagnosis of HIV in a primary care clinic.
AB - As HIV treatment is scaled-up in resource-poor settings, the timely
identification of persons with HIV infection remains an important challenge. Most
people with HIV are unaware of their status, and those who are often present late
in the course of their illness. Free-standing voluntary counseling and testing
sites often have poor uptake of testing. We aimed to evaluate a 'provider
initiated' HIV testing strategy in a primary care clinic in rural resource-poor
Haiti by reviewing the number of visits made to clinic before an HIV test was
performed in those who were ultimately found to have HIV infection. In
collaboration with the Haitian Ministry of Health, a non-governmental
organization (Partners In Health) scaled up HIV care in central Haiti by
reinforcing primary care clinics, instituting provider-initiated HIV testing and
by providing HIV treatment in the context of primary medical care, free of charge
to patients. Among a cohort of people with HIV infection, we assessed
retrospectively for delays in or 'missed opportunities' for diagnosis of HIV by
the providers in one clinic. Of the first 117 patients diagnosed with HIV in one
clinic, 100 (85%) were diagnosed at the first medical encounter. Median delay in
diagnosis for the remaining 17 was only 62 days (IQR 19 - 122; range 1 - 272).
There was no statistical difference in CD4 cell count between those with and
without a delay. 3787 HIV tests were performed in the period reviewed. Provider
initiated testing was associated with high volume uptake of HIV testing and
minimal delay between first medical encounter and diagnosis of HIV infection. In
scale up of HIV care, provider-initiated HIV testing at primary care clinics can
be a successful strategy to identify patients with HIV infection.
PMID- 18047638
TI - Adiponectin and AMP kinase activator stimulate proliferation, differentiation,
and mineralization of osteoblastic MC3T3-E1 cells.
AB - BACKGROUND: Adiponectin is a key mediator of the metabolic syndrome that is
caused by visceral fat accumulation. Adiponectin and its receptors are known to
be expressed in osteoblasts, but their actions with regard to bone metabolism are
still unclear. In this study, we investigated the effects of adiponectin on the
proliferation, differentiation, and mineralization of osteoblastic MC3T3-E1
cells. RESULTS: Adiponectin receptor type 1 (AdipoR1) mRNA was detected in the
cells by RT-PCR. The adenosine monophosphate-activated protein kinase (AMP
kinase) was phosphorylated by both adiponectin and a pharmacological AMP kinase
activator, 5-amino-imidazole-4-carboxamide-riboside (AICAR), in the cells.
AdipoR1 small interfering RNA (siRNA) transfection potently knocked down the
receptor mRNA, and the effect of this knockdown persisted for as long as 10 days
after the transfection. The transfected cells showed decreased expressions of
type I collagen and osteocalcin mRNA, as determined by real-time PCR, and reduced
ALP activity and mineralization, as determined by von Kossa and Alizarin red
stainings. In contrast, AMP kinase activation by AICAR (0.01-0.5 mM) in wild-type
MC3T3-E1 cells augmented their proliferation, differentiation, and
mineralization. BrdU assay showed that the addition of adiponectin (0.01-1.0
mug/ml) also promoted their proliferation. Osterix, but not Runx-2, appeared to
be involved in these processes because AdipoR1 siRNA transfection and AICAR
treatments suppressed and enhanced osterix mRNA expression, respectively.
CONCLUSION: Taken together, this study suggests that adiponectin stimulates the
proliferation, differentiation, and mineralization of osteoblasts via the AdipoR1
and AMP kinase signaling pathways in autocrine and/or paracrine fashions.
PMID- 18047640
TI - Glucocorticoid receptor gene polymorphisms associated with progression of lung
disease in young patients with cystic fibrosis.
AB - BACKGROUND: The variability in the inflammatory burden of the lung in cystic
fibrosis (CF) patients together with the variable effect of glucocorticoid
treatment led us to hypothesize that glucocorticoid receptor (GR) gene
polymorphisms may affect glucocorticoid sensitivity in CF and, consequently, may
contribute to variations in the inflammatory response. METHODS: We evaluated the
association between four GR gene polymorphisms, TthIII, ER22/23EK, N363S and
BclI, and disease progression in a cohort of 255 young patients with CF.
Genotypes were tested for association with changes in lung function tests,
infection with Pseudomonas aeruginosa and nutritional status by multivariable
analysis. RESULTS: A significant non-corrected for multiple tests association was
found between BclI genotypes and decline in lung function measured as the forced
expiratory volume in one second (FEV1) and the forced vital capacity (FVC).
Deterioration in FEV1 and FVC was more pronounced in patients with the BclI GG
genotype compared to the group of patients with BclI CG and CC genotypes (p =
0.02 and p = 0.04 respectively for the entire cohort and p = 0.01 and p = 0.02
respectively for F508del homozygous patients). CONCLUSION: The BclI polymorphism
may modulate the inflammatory burden in the CF lung and in this way influence
progression of lung function.
PMID- 18047641
TI - Application of phage display to high throughput antibody generation and
characterization.
AB - We have created a high quality phage display library containing over 1010 human
antibodies and describe its use in the generation of antibodies on an
unprecedented scale. We have selected, screened and sequenced over 38,000
recombinant antibodies to 292 antigens, yielding over 7,200 unique clones. 4,400
antibodies were characterized by specificity testing and detailed sequence
analysis and the data/clones are available online. Sensitive detection was
demonstrated in a bead based flow cytometry assay. Furthermore, positive staining
by immunohistochemistry on tissue microarrays was found for 37% (143/381) of
antibodies. Thus, we have demonstrated the potential of and illuminated the
issues associated with genome-wide monoclonal antibody generation.
PMID- 18047642
TI - Recently enlisted patients in general practice use more health care resources.
AB - BACKGROUND: The continuity of care is one of the cornerstones of general
practice. General practitioners find personal relationships with their patients
important as they enable them to provide a higher quality of care. A long-lasting
relationship with patients is assumed to be a prior condition for attaining this
high quality. We studied the differences in use of care between recently enlisted
patients and those patients who have been enlisted for a longer period. METHODS:
104 general practices in the Netherlands participated the study. We performed a
retrospective cohort study in which patients who have been enlisted for less than
1 year (n = 10,102) were matched for age, sex and health insurance with patients
who have been enlisted for longer in the same general practice. The two cohorts
were compared with regard to the number of contacts with the general practice,
diagnoses, rate of prescribing, and the referral rate in a year. These variables
were chosen as indicators of differences in the use of care. RESULTS: In the year
following their enlistment, a higher percentage of recently enlisted patients had
at least one contact with the practice, received a prescription or was referred.
They also had a higher probability of receiving a prescription for an antibiotic.
Furthermore, they had a higher mean number of contacts and referrals, but not a
higher mean number of prescriptions. CONCLUSION: Recently enlisted patients used
more health care resources in the first year after their enlistment compared to
patients enlisted longer. This could not be explained by differences in health.
PMID- 18047643
TI - Does training family physicians in shared decision making promote optimal use of
antibiotics for acute respiratory infections? Study protocol of a pilot clustered
randomised controlled trial.
AB - BACKGROUND: In North America, although it varies according to the specific type
of acute respiratory infections (ARI), use of antibiotics is estimated to be well
above the expected prevalence of bacterial infections. The objective of this
pilot clustered randomized controlled trial (RCT) is to assess the feasibility of
a larger clustered RCT aiming at evaluating the impact of DECISION+, a continuing
professional development (CPD) program in shared decision making, on the optimal
use of antibiotics in the context of ARI. METHODS/DESIGN: This pilot study is a
cluster RCT conducted with family physicians from Family Medicine Groups (FMG) in
the Quebec City area, Canada. Participating FMG are randomised to an immediate
DECISION+ group, a CPD program in shared decision making, (experimental group),
or a delayed DECISION+ group (control group). Data collection involves recruiting
five patients consulting for ARI per physician from both study groups before
(Phase 1) and after (Phase 2) exposure of the experimental group to the DECISION+
program, and after exposure of the control group to the DECISION+ program (Phase
3). The primary outcome measures to assess the feasibility of a larger RCT
include: 1) proportion of contacted FMG that agree to participate; 2) proportion
of recruited physicians who participate in the DECISION+ program; 3) level of
satisfaction of physicians regarding DECISION+; and 4) proportion of missing data
in each data collection phase. Levels of agreement of the patient-physician dyad
on the Decisional Conflict Scale and physicians' prescription profile for ARI are
performed as secondary outcome measures. DISCUSSION: This study protocol is
informative for researchers and clinicians interested in designing and/or
conducting clustered RCT with FMG regarding training of physicians in shared
decision making. TRIAL REGISTRATION: ClinicalTrials.gov Identifier: NCT00354315.
PMID- 18047644
TI - Genetic vaccine for tuberculosis (pVAXhsp65) primes neonate mice for a strong
immune response at the adult stage.
AB - BACKGROUND: Vaccination of neonates is generally difficult due to the immaturity
of the immune system and consequent higher susceptibility to tolerance induction.
Genetic immunization has been described as an alternative to trigger a stronger
immune response in neonates, including significant Th1 polarization. In this
investigation we analysed the potential use of a genetic vaccine containing the
heat shock protein (hsp65) from Mycobacterium leprae (pVAXhsp65) against
tuberculosis (TB) in neonate mice. Aspects as antigen production, genomic
integration and immunogenicity were evaluated. METHODS: Hsp65 message and genomic
integration were evaluated by RT-PCR and Southern blot, respectively.
Immunogenicity of pVAXhsp65 alone or combined with BCG was analysed by specific
induction of antibodies and cytokines, both quantified by ELISA. RESULTS: This
DNA vaccine was transcribed by muscular cells of neonate mice without integration
into the cellular genome. Even though this vaccine was not strongly immunogenic
when entirely administered (three doses) during early animal's life, it was not
tolerogenic. In addition, pVAXhsp65 and BCG were equally able to prime newborn
mice for a strong and mixed immune response (Th1 + Th2) to pVAXhsp65 boosters
administered later, at the adult life. CONCLUSION: These results suggest that
pVAXhsp65 can be safely used as a priming stimulus in neonate animals in prime
boost similar strategies to control TB. However, priming with BCG or pVAXhsp65,
directed the ensuing immune response triggered by an heterologous or homologous
booster, to a mixed Th1/Th2 pattern of response. Measures as introduction of IL
12 or GM-CSF genes in the vaccine construct or even IL-4 neutralization, are
probably required to increase the priming towards Th1 polarization to ensure
control of tuberculosis infection.
PMID- 18047645
TI - X-chromosome tiling path array detection of copy number variants in patients with
chromosome X-linked mental retardation.
AB - BACKGROUND: Aproximately 5-10% of cases of mental retardation in males are due to
copy number variations (CNV) on the X chromosome. Novel technologies, such as
array comparative genomic hybridization (aCGH), may help to uncover cryptic
rearrangements in X-linked mental retardation (XLMR) patients. We have
constructed an X-chromosome tiling path array using bacterial artificial
chromosomes (BACs) and validated it using samples with cytogenetically defined
copy number changes. We have studied 54 patients with idiopathic mental
retardation and 20 controls subjects. RESULTS: Known genomic aberrations were
reliably detected on the array and eight novel submicroscopic imbalances, likely
causative for the mental retardation (MR) phenotype, were detected. Putatively
pathogenic rearrangements included three deletions and five duplications (ranging
between 82 kb to one Mb), all but two affecting genes previously known to be
responsible for XLMR. Additionally, we describe different CNV regions with
significant different frequencies in XLMR and control subjects (44% vs. 20%).
CONCLUSION: This tiling path array of the human X chromosome has proven
successful for the detection and characterization of known rearrangements and
novel CNVs in XLMR patients.
PMID- 18047646
TI - The geographical distribution of lymphatic filariasis infection in Malawi.
AB - Mapping distribution of lymphatic filariasis (LF) is a prerequisite for planning
national elimination programmes. Results from a nation wide mapping survey for
lymphatic filariasis (LF) in Malawi are presented. Thirty-five villages were
sampled from 23 districts excluding three districts (Karonga, Chikwawa and
Nsanje) that had already been mapped and Likoma, an Island, where access was not
possible in the time frame of the survey. Antigenaemia prevalence [based on
immunochromatographic card tests (ICT)] ranged from 0% to 35.9%. Villages from
the western side of the country and distant from the lake tended to be of lower
prevalence. The exception was a village in Mchinji district on the Malawi-Zambia
border where a prevalence of 18.2% was found. In contrast villages from lake
shore districts [Salima, Mangochi, Balaka and Ntcheu (Bwanje valley)] and
Phalombe had prevalences of over 20%.A national map is developed which
incorporates data from surveys in Karonga, Chikwawa and Nsanje districts, carried
out in 2000. There is a marked decline in prevalence with increasing altitude.
Further analysis revealed a strong negative correlation (R2 = 0.7 p < 0.001)
between altitude and prevalence. These results suggest that the lake shore,
Phalombe plain and the lower Shire valley will be priority areas for the Malawi
LF elimination programme. Implications of these findings as regards implementing
a national LF elimination programme in Malawi are discussed.
PMID- 18047647
TI - Monitoring lymphatic filariasis interventions: Adult mosquito sampling, and
improved PCR - based pool screening method for Wuchereria bancrofti infection in
Anopheles mosquitoes.
AB - BACKGROUND: Monitoring and evaluation are essential to the successful
implementation of mass drug administration programmes for LF elimination.
Monitoring transmission when it is low requires both large numbers of mosquito
vectors and sensitive methods for detecting Wuchereria bancrofti infections in
them. PCR-based methods are preferred over classical dissections but the best
protocol so far achieved detection of one L3 Wuchereria bancrofti larva in a pool
of 35-50 Anopheles mosquitoes. It also lacks consistency and remains still a
costly tool. Hence we decided to improve upon this to achieve detection in a pool
of 100 or more by enhancing the quality of the template DNA. Prior to this we
also evaluated three vector sampling methods in the context of numbers for
monitoring. METHODS: Human landing, pyrethrium spray and light traps catches were
conducted concurrently at sites in an LF endemic district in Ghana and the
numbers obtained compared. Two DNA extraction methods; Bender buffer and
phenol/chloroform purification, and DNAeasy Tissue kit (Quaigen Inc) were used on
pools of 25, 50, 75 100 and 150 mosquitoes each seeded with one L3 or its
quivalent amount of DNA. Then another set of extracted DNA by the two methods was
subjected to Dynal bead purification method (using capture oligonucleotide
primers). These were used as template DNA in PCR to amplify W. bancrofti
sequences. The best PCR result was then evaluated in the field at five sites by
comparing its results (infections per 1000 mosquitoes) with that of dissection of
roughly equal samples sizes. RESULTS: The largest numbers of mosquitoes were
obtained with the human landing catches at all the sites sampled. Although PCR
detection of one L3 in pools of 25, 50 and 75 mosquitoes was consistent
irrespective of the extraction method, that of one L3 in 100 was only achieved
with the kit-extracted DNA/Dynal bead purification method. Infections were found
at only two sites by both dissection and pool-screening being 14.3 and 19 versus
13.4 and 20.1 per 1000 Anopheles mosquitoes respectively, which were not
statistically significant DISCUSSION AND CONCLUSION: HLC still remains the best
option for sampling for the large numbers of mosquitoes required for monitoring
transmission during MDA programmes, when vector population densities are high and
classical indices of transmission are required. One - in - 100 detection is an
improvement on previous PCR pool-screening methods, which in our opinion was a
result of the introduction of the extra step of parasite DNA capture using
Dynal/beads. As pool sizes increase the insects DNA will swamp parasite DNA
making the latter less available for an efficient PCR, therefore we propose
either additional steps of parasite DNA capture or real-time PCR to improve
further the pool screening method. The study also attests also to the
applicability of Katholi et al's algorithm developed for determining
onchocerciasis prevalence in LF studies.
PMID- 18047648
TI - Long-term stability of RNA in post-mortem bovine skeletal muscle, liver and
subcutaneous adipose tissues.
AB - BACKGROUND: Recovering high quality intact RNA from post-mortem tissue is of
major concern for gene expression studies in animals and humans. Since the
availability of post-mortem tissue is often associated with substantial delay, it
is important that we understand the temporal variation in the stability of total
RNA and of individual gene transcripts so as to be able to appropriately
interpret the data generated from such studies. Hence, the objective of this
experiment was to qualitatively and quantitatively assess the integrity of total
and messenger RNA extracted from bovine skeletal muscle, subcutaneous adipose
tissue and liver stored at 4 degrees C at a range of time points up to 22 days
post-mortem. These conditions were designed to mimic the environment prevailing
during the transport of beef from the abattoir to retail outlets. RESULTS: The
28S and 18S rRNA molecules of total RNA were intact for up to 24 h post-mortem in
liver and adipose tissues and up to 8 days post-mortem in skeletal muscle. The
mRNA of housekeeping genes (GAPDH and ACTB) and two diet-related genes (RBP5 and
SCD) were detectable up to 22 days post-mortem in skeletal muscle. While the mRNA
stability of the two housekeeping genes was different in skeletal muscle and
liver, they were similar to each other in adipose tissue. After 22 days post
mortem, the relative abundance of RBP5 gene was increased in skeletal muscle and
in adipose tissue and decreased in liver. During this period, the relative
abundance of SCD gene also increased in skeletal muscle whereas it decreased in
both adipose tissue and liver. CONCLUSION: Stability of RNA in three tissues
(skeletal muscle, subcutaneous adipose tissue and liver) subjected to long-term
post-mortem storage at refrigeration temperature indicated that skeletal muscle
can be a suitable tissue for recovering biologically useful RNA for gene
expression studies even if the tissue is subjected to post-mortem storage for
weeks, whereas adipose tissue and liver should be processed within 24 hours post
mortem.
PMID- 18047649
TI - Biased exonization of transposed elements in duplicated genes: A lesson from the
TIF-IA gene.
AB - BACKGROUND: Gene duplication and exonization of intronic transposed elements are
two mechanisms that enhance genomic diversity. We examined whether there is less
selection against exonization of transposed elements in duplicated genes than in
single-copy genes. RESULTS: Genome-wide analysis of exonization of transposed
elements revealed a higher rate of exonization within duplicated genes relative
to single-copy genes. The gene for TIF-IA, an RNA polymerase I transcription
initiation factor, underwent a humanoid-specific triplication, all three copies
of the gene are active transcriptionally, although only one copy retains the
ability to generate the TIF-IA protein. Prior to TIF-IA triplication, an Alu
element was inserted into the first intron. In one of the non-protein coding
copies, this Alu is exonized. We identified a single point mutation leading to
exonization in one of the gene duplicates. When this mutation was introduced into
the TIF-IA coding copy, exonization was activated and the level of the protein
coding mRNA was reduced substantially. A very low level of exonization was
detected in normal human cells. However, this exonization was abundant in most
leukemia cell lines evaluated, although the genomic sequence is unchanged in
these cancerous cells compared to normal cells. CONCLUSION: The definition of the
Alu element within the TIF-IA gene as an exon is restricted to certain types of
cancers; the element is not exonized in normal human cells. These results further
our understanding of the delicate interplay between gene duplication and
alternative splicing and of the molecular evolutionary mechanisms leading to
genetic innovations. This implies the existence of purifying selection against
exonization in single copy genes, with duplicate genes free from such constrains.
PMID- 18047650
TI - The effectiveness of motorised lumbar traction in the management of LBP with
lumbo sacral nerve root involvement: a feasibility study.
AB - BACKGROUND: Traction is commonly used for the treatment of low back pain (LBP),
predominately with nerve root involvement; however its benefits remain to be
established. The aim of this study was to test the feasibility of a pragmatic
randomized controlled trial to compare the difference between two treatment
protocols (manual therapy, exercise and advice, with or without traction) in the
management of acute/sub acute LBP with 'nerve root' involvement. METHODS: 30 LBP
patients with nerve root pain were recruited and randomly assigned to one of two
treatment groups. Primary outcome measures were the: McGill pain questionnaire,
Roland Morris disability questionnaire, and the SF36 Questionnaire; recorded at
baseline, discharge, 3 and 6 months post-discharge. RESULTS: 27 patients
completed treatment with a loss of another four patients at follow up. Intention
to treat analysis demonstrated an improvement in all outcomes at follow up points
but there appeared to be little difference between the groups. CONCLUSION: This
study has shown that a trial recruiting patients with 'nerve root' problems is
feasible. Further research based upon a fully powered trial is required to
ascertain if the addition of traction has any benefit in the management of these
patients. TRIAL REGISTRATION: REGISTRATION NUMBER: ISRCTN78417198.
PMID- 18047651
TI - Culture-confirmed childhood tuberculosis in Cape Town, South Africa: a review of
596 cases.
AB - BACKGROUND: The clinical, radiological and microbiological features of culture
confirmed childhood tuberculosis diagnosed at two referral hospitals are
described. METHODS: Cultures of Mycobacterium tuberculosis from children less
than 13 years of age at Tygerberg and Red Cross Children's Hospitals, Cape Town,
South Africa, were collected from March 2003 through February 2005. Folder review
and chest radiography were performed and drug susceptibility tests done. RESULTS:
Of 596 children (median age 31 months), 330 (55.4%) were males. Of all children,
281 (47.1%) were HIV-uninfected, 133 (22.3%) HIV-infected and 182 (30.5%) not
tested. Contact with infectious tuberculosis adults was recorded in 295 (49.5%)
children. Missed opportunities for chemoprophylaxis were present in 117/182
(64.3%) children less than 5 years of age. Extrathoracic TB was less common in
HIV-infected than in HIV-uninfected children (49/133 vs. 156/281; odds ratio
0.50, 95% confidence interval 0.32-0.78). Alveolar opacification (84/126 vs.
128/274; OR 1.85, 95%CI 1.08-3.19) and cavitation (33/126 vs. 44/274; OR 2.28,
95%CI 1.44-3.63) were more common in HIV-infected than in HIV-uninfected
children. Microscopy for acid-fast bacilli on gastric aspirates and sputum was
positive in 29/142 (20.4%) and 40/125 (32.0%) children, respectively. Sixty-seven
of 592 (11.3%) children's isolates showed resistance to isoniazid and/or
rifampicin; 43 (7.3%) were isoniazid-monoresistant, 2 (0.3%) rifampicin
monoresistant and 22 (3.7%) multidrug-resistant. Death in 41 children (6.9%) was
more common in HIV-infected children and very young infants. CONCLUSION: HIV
infection and missed opportunities for chemoprophylaxis were common in children
with culture-confirmed TB. With cavitating disease and sputum or gastric
aspirates positive for acid-fast bacilli, children may be infectious.
Transmission of drug-resistant TB is high in this setting.
PMID- 18047652
TI - An EM algorithm for mapping segregation distortion loci.
AB - BACKGROUND: Chromosomal region that causes distorted segregation ratios is
referred to as segregation distortion locus (SDL). The distortion is caused
either by differential representation of SDL genotypes in gametes before
fertilization or by viability differences of SDL genotypes after fertilization
but before genotype scoring. In both cases, observable phenotypes are distorted
for marker loci in the chromosomal region close to the SDL. Under the
quantitative genetics model for viability selection by proposing a continuous
liability controlling the viability of individual, a simplex algorithm has been
used to search for the solution in SDL mapping. However, they did not consider
the effects of SDL on the construction of linkage maps. RESULTS: We proposed a
multipoint maximum-likelihood method to estimate the position and the effects of
SDL under the liability model together with both selection coefficients of marker
genotypes and recombination fractions. The method was implemented via an
expectation and maximization (EM) algorithm. The superiority of the method
proposed under the liability model over the previous methods was verified by a
series of Monte Carlo simulation experiments, together with a working example
derived from the MAPMAKER/QTL software. CONCLUSION: Our results suggested that
the new method can serve as a powerful alternative to existing methods for SDL
mapping. Under the liability model, the new method can simultaneously estimate
the position and the effects of SDL as well as the recombinant fractions between
adjacent markers, and also be used to probe into the genetic mechanism for the
bias of uncorrected map distance and to elucidate the relationship between the
viability selection and genetic linkage.
PMID- 18047653
TI - An additional human chromosome 21 causes suppression of neural fate of
pluripotent mouse embryonic stem cells in a teratoma model.
AB - BACKGROUND: Down syndrome (DS), caused by trisomy of human chromosome 21 (HSA21),
is the most common genetic cause of mental retardation in humans. Among complex
phenotypes, it displays a number of neural pathologies including smaller brain
size, reduced numbers of neurons, reduced dendritic spine density and plasticity,
and early Alzheimer-like neurodegeneration. Mouse models for DS show behavioural
and cognitive defects, synaptic plasticity defects, and reduced hippocampal and
cerebellar neuron numbers. Early postnatal development of both human and mouse
model DS shows the reduced capability of neuronal precursor cells to generate
neurons. The exact molecular cause of this reduction, and the role played by
increased dosage of individual HSA21 genes, remain unknown. RESULTS: We have
subcutaneously injected mouse pluripotent ES cells containing a single freely
segregating supernumerary human chromosome 21 (HSA21) into syngeneic mice, to
generate transchromosomic teratomas. Transchromosomic cells and parental control
cells were injected into opposite flanks of thirty mice in three independent
experiments. Tumours were grown for 30 days, a time-span equivalent to combined
intra-uterine, and early post-natal mouse development. When paired teratomas from
the same animals were compared, transchromosomic tumours showed a three-fold
lower percentage of neuroectodermal tissue, as well as significantly reduced mRNA
levels for neuron specific (Tubb3) and glia specific (Gfap) genes, relative to
euploid controls. Two thirds of transchromosomic tumours also showed a lack of
PCR amplification with multiple primers specific for HSA21, which were present in
the ES cells at the point of injection, thus restricting a commonly retained
trisomy to less than a third of HSA21 genes. CONCLUSION: We demonstrate that a
supernumerary chromosome 21 causes Inhibition of Neuroectodermal DIfferentiation
(INDI) of pluripotent ES cells. The data suggest that trisomy of less than a
third of HSA21 genes, in two chromosomal regions, might be sufficient to cause
this effect.
PMID- 18047654
TI - Projections from the posterolateral olfactory amygdala to the ventral striatum:
neural basis for reinforcing properties of chemical stimuli.
AB - BACKGROUND: Vertebrates sense chemical stimuli through the olfactory receptor
neurons whose axons project to the main olfactory bulb. The main projections of
the olfactory bulb are directed to the olfactory cortex and olfactory amygdala
(the anterior and posterolateral cortical amygdalae). The posterolateral cortical
amygdaloid nucleus mainly projects to other amygdaloid nuclei; other seemingly
minor outputs are directed to the ventral striatum, in particular to the
olfactory tubercle and the islands of Calleja. RESULTS: Although the olfactory
projections have been previously described in the literature, injection of
dextran-amines into the rat main olfactory bulb was performed with the aim of
delimiting the olfactory tubercle and posterolateral cortical amygdaloid nucleus
in our own material. Injection of dextran-amines into the posterolateral cortical
amygdaloid nucleus of rats resulted in anterograde labeling in the ventral
striatum, in particular in the core of the nucleus accumbens, and in the medial
olfactory tubercle including some islands of Calleja and the cell bridges across
the ventral pallidum. Injections of Fluoro-Gold into the ventral striatum were
performed to allow retrograde confirmation of these projections. CONCLUSION: The
present results extend previous descriptions of the posterolateral cortical
amygdaloid nucleus efferent projections, which are mainly directed to the core of
the nucleus accumbens and the medial olfactory tubercle. Our data indicate that
the projection to the core of the nucleus accumbens arises from layer III; the
projection to the olfactory tubercle arises from layer II and is much more robust
than previously thought. This latter projection is directed to the medial
olfactory tubercle including the corresponding islands of Calleja, an area
recently described as critical node for the neural circuit of addiction to some
stimulant drugs of abuse.
PMID- 18047655
TI - A standardised protocol for texture feature analysis of endoscopic images in
gynaecological cancer.
AB - BACKGROUND: In the development of tissue classification methods, classifiers rely
on significant differences between texture features extracted from normal and
abnormal regions. Yet, significant differences can arise due to variations in the
image acquisition method. For endoscopic imaging of the endometrium, we propose a
standardized image acquisition protocol to eliminate significant statistical
differences due to variations in: (i) the distance from the tissue (panoramic vs
close up), (ii) difference in viewing angles and (iii) color correction. METHODS:
We investigate texture feature variability for a variety of targets encountered
in clinical endoscopy. All images were captured at clinically optimum
illumination and focus using 720 x 576 pixels and 24 bits color for: (i) a
variety of testing targets from a color palette with a known color distribution,
(ii) different viewing angles, (iv) two different distances from a calf
endometrial and from a chicken cavity. Also, human images from the endometrium
were captured and analysed. For texture feature analysis, three different sets
were considered: (i) Statistical Features (SF), (ii) Spatial Gray Level
Dependence Matrices (SGLDM), and (iii) Gray Level Difference Statistics (GLDS).
All images were gamma corrected and the extracted texture feature values were
compared against the texture feature values extracted from the uncorrected
images. Statistical tests were applied to compare images from different viewing
conditions so as to determine any significant differences. RESULTS: For the
proposed acquisition procedure, results indicate that there is no significant
difference in texture features between the panoramic and close up views and
between angles. For a calibrated target image, gamma correction provided an
acquired image that was a significantly better approximation to the original
target image. In turn, this implies that the texture features extracted from the
corrected images provided for better approximations to the original images.
Within the proposed protocol, for human ROIs, we have found that there is a large
number of texture features that showed significant differences between normal and
abnormal endometrium. CONCLUSION: This study provides a standardized protocol for
avoiding any significant texture feature differences that may arise due to
variability in the acquisition procedure or the lack of color correction. After
applying the protocol, we have found that significant differences in texture
features will only be due to the fact that the features were extracted from
different types of tissue (normal vs abnormal).
PMID- 18047656
TI - Enhancement of lipase activity in non-aqueous media upon immobilization on multi
walled carbon nanotubes.
AB - BACKGROUND: Immobilization of biologically active proteins on nanosized surfaces
is a key process in bionanofabrication. Carbon nanotubes with their high surface
areas, as well as useful electronic, thermal and mechanical properties,
constitute important building blocks in the fabrication of novel functional
materials. RESULTS: Lipases from Candida rugosa (CRL) were found to be adsorbed
on the multiwalled carbon nanotubes with very high retention of their biological
activity (97%). The immobilized biocatalyst showed 2.2- and 14-fold increases in
the initial rates of transesterification activity in nearly anhydrous hexane and
water immiscible ionic liquid [Bmim] [PF6] respectively, as compared to the
lyophilized powdered enzyme. It is presumed that the interaction with the
hydrophobic surface of the nanotubes resulted in conformational changes leading
to the 'open lid' structure of CRL. The immobilized enzyme was found to give 64%
conversion over 24 h (as opposed to 14% with free enzyme) in the formation of
butylbutyrate in nearly anhydrous hexane. Similarly, with ionic liquid [Bmim]
[PF6], the immobilized enzyme allowed 71% conversion as compared to 16% with the
free enzyme. The immobilized lipase also showed high enantioselectivity as
determined by kinetic resolution of (+/-) 1-phenylethanol in [Bmim] [PF6]. While
free CRL gave only 5% conversion after 36 h, the immobilized enzyme resulted in
37% conversion with > 99% enantiomeric excess. TEM studies on the immobilized
biocatalyst showed that the enzyme is attached to the multiwalled nanotubes.
CONCLUSION: Successful immobilization of enzymes on nanosized carriers could pave
the way for reduced reactor volumes required for biotransformations, as well as
having a use in the construction of miniaturized biosensensor devices.
PMID- 18047658
TI - The effect of attitude to risk on decisions made by nurses using computerised
decision support software in telephone clinical assessment: an observational
study.
AB - BACKGROUND: There is variation in the decisions made by telephone assessment
nurses using computerised decision support software (CDSS). Variation in nurses'
attitudes to risk has been identified as a possible explanatory factor. This
study was undertaken to explore the effect of nurses' attitudes to risk on the
decisions they make when using CDSS. The setting was NHS 24 which is a nationwide
telephone assessment service in Scotland in which nurses assess health problems,
mainly on behalf of out-of-hours general practice, and triage calls to self care,
a service at a later date, or immediate contact with a service. METHODS: All NHS
24 nurses were asked to complete a questionnaire about their background and
attitudes to risk. Routine data on the decisions made by these nurses was
obtained for a six month period in 2005. Multilevel modelling was used to measure
the effect of nurses' risk attitudes on the proportion of calls they sent to self
care rather than to services. RESULTS: The response rate to the questionnaire was
57% (265/464). 231,112 calls were matched to 211 of these nurses. 16%
(36,342/231,112) of calls were sent to self care, varying three fold between the
top and bottom deciles of nurses. Fifteen risk attitude variables were tested,
including items on attitudes to risk in clinical decision-making. Attitudes to
risk varied greatly between nurses, for example 27% (71/262) of nurses strongly
agreed that an NHS 24 nurse "must not take any risks with physical illness" while
17% (45/262) disagreed. After case-mix adjustment, there was some evidence that
nurses' attitudes to risk affected decisions but this was inconsistent and
unconvincing. CONCLUSION: Much of the variation in decision-making by nurses
using CDSS remained unexplained. There was no convincing evidence that nurses'
attitudes to risk affected the decisions made. This may have been due to the
limitations of the instrument used to measure risk attitude.
PMID- 18047657
TI - Metabolic signature of breast cancer cell line MCF-7: profiling of modified
nucleosides via LC-IT MS coupling.
AB - BACKGROUND: Cancer, like other diseases accompanied by strong metabolic
disorders, shows characteristic effects on cell turnover rate, activity of
modifying enzymes and DNA/RNA modifications, resulting also in elevated amounts
of excreted modified nucleosides. For a better understanding of the impaired RNA
metabolism in breast cancer cells, we screened these metabolites in the cell
culture supernatants of the breast cancer cell line MCF-7 and compared it to the
human mammary epithelial cells MCF-10A. The nucleosides were isolated and
analyzed via 2D-chromatographic techniques: In the first dimension by cis-diol
specific boronate affinity extraction and subsequently by reversed phase
chromatography coupled to an ion trap mass spectrometer. RESULTS: Besides the
determination of ribonucleosides, additional compounds with cis-diol structure,
deriving from cross-linked biochemical pathways, like purine-, histidine- and
polyamine metabolism were detected. In total, 36 metabolites were identified by
comparison of fragmentation patterns and retention time. Relation to the internal
standard isoguanosine yielded normalized area ratios for each identified compound
and enabled a semi-quantitative metabolic signature of both analyzed cell
lines.13 of the identified 26 modified ribonucleosides were elevated in the cell
culture supernatants of MCF-7 cells, with 5-methyluridine, N2,N2,7
trimethylguanosine, N6-methyl-N6-threonylcarbamoyladenosine and 3-(3
aminocarboxypropyl)-uridine showing the most significant differences. 1
ribosylimidazole-4-acetic acid, a histamine metabolite, was solely found in the
supernatants of MCF-10A cells, whereas 1-ribosyl-4-carboxamido-5-aminoimidazole
and S-adenosylmethionine occurred only in supernatants of MCF-7 cells.
CONCLUSION: The obtained results are discussed against the background of
pathological changes in cell metabolism, resulting in new perspectives for
modified nucleosides and related metabolites as possible biomedical markers for
breast carcinoma in vivo.
PMID- 18047659
TI - Outcomes of skin graft reconstructions with the use of Vacuum Assisted Closure
(VAC(R)) dressing for irradiated extremity sarcoma defects.
AB - BACKGROUND: Flaps are currently the predominant method of reconstruction for
irradiated wounds. The usefulness of split-thickness skin grafts (STSG) in this
setting remains controversial. The purpose of this study is to examine the
outcomes of STSGs in conjunction with VAC therapy used in the treatment of
irradiated extremity wounds. METHODS: The records of 17 preoperatively radiated
patients with extremity sarcomas reconstructed with STSGs in conjunction with
VAC(R) therapy were reviewed regarding details of radiation treatment, wound
closure, and outcomes. RESULTS: STSGs healed without complications (>95% of the
graft take) in 12 (71%). Minor loss (6% - 20% surface) was noted in 3 patients
(17.6%) and complete loss in 2 (11.7%). Two patients (11.7%) required flap
reconstructions and 12 (88%) healed without further operative procedures.
CONCLUSION: Although flap coverage is an established treatment for radiated
wounds, STSG in conjunction with liberal utilization of VAC therapy is an
alternative for selected patients where acceptable soft tissue bed is preserved.
Healing of the preoperatively radiated wounds can be achieved in the vast
majority of such patients with minimal need for additional reconstructive
operations.
PMID- 18047660
TI - Upper limb impairments associated with spasticity in neurological disorders.
AB - BACKGROUND: While upper-extremity movement in individuals with neurological
disorders such as stroke and spinal cord injury (SCI) has been studied for many
years, the effects of spasticity on arm movement have been poorly quantified. The
present study is designed to characterize the nature of impaired arm movements
associated with spasticity in these two clinical populations. By comparing
impaired voluntary movements between these two groups, we will gain a greater
understanding of the effects of the type of spasticity on these movements and,
potentially a better understanding of the underlying impairment mechanisms.
METHODS: We characterized the kinematics and kinetics of rapid arm movement in
SCI and neurologically intact subjects and in both the paretic and non-paretic
limbs in stroke subjects. The kinematics of rapid elbow extension over the entire
range of motion were quantified by measuring movement trajectory and its
derivatives; i.e. movement velocity and acceleration. The kinetics were
quantified by measuring maximum isometric voluntary contractions of elbow flexors
and extensors. The movement smoothness was estimated using two different
computational techniques. RESULTS: Most kinematic and kinetic and movement
smoothness parameters changed significantly in paretic as compared to normal arms
in stroke subjects (p < 0.003). Surprisingly, there were no significant
differences in these parameters between SCI and stroke subjects, except for the
movement smoothness (p < or = 0.02). Extension was significantly less smooth in
the paretic compared to the non-paretic arm in the stroke group (p < 0.003),
whereas it was within the normal range in the SCI group. There was also no
significant difference in these parameters between the non-paretic arm in stroke
subjects and the normal arm in healthy subjects. CONCLUSION: The findings suggest
that although the cause and location of injury are different in spastic stroke
and SCI subjects, the impairments in arm voluntary movement were similar in the
two spastic groups. Our results also suggest that the non-paretic arm in stroke
subjects was not distinguishable from the normal, and might therefore be used as
an appropriate control for studying movement of the paretic arm.
PMID- 18047661
TI - Treatment outcome of new pulmonary tuberculosis in Guangzhou, China 1993-2002: a
register-based cohort study.
AB - BACKGROUND: Completion of treatment for tuberculosis (TB) is of utmost priority
for TB control programs. The aims of this study were to evaluate the treatment
outcome of TB cases registered in Guangzhou during the period 1993-2002, and to
identify factors associated with treatment success. METHODS: Two (of eight)
districts in Guangzhou were selected randomly as objects of study and their
surveillance database was analyzed to assess the treatment outcome and identify
factors associated with treatment success for TB cases registered in Guangzhou.
Six treatment outcome criteria were assessed based on guidelines set by the World
Health Organization (WHO). Logistic regression was used to estimate risk factors
for treatment outcome. RESULTS: A total of 6743 pulmonary tuberculosis cases
(4903 males, 1840 females) were included in this study. The treatment success
rate (including cured and complete treatment) was 88% (95%CI 87%-89%). One
hundred and eight-six (2.8%) patients died and 401 (5.9%) patients defaulted
treatment. In multivariate analysis, treatment success was found to be associated
with young age, lack of cavitation and compliance with treatment. CONCLUSION: The
total treatment success rate in the current study was similar to the WHO target
for all smear positive cases, while the failure rate and the default rate in 2002
were slightly higher. Good care of elderly patients, early diagnosis of
cavitation and compliance with treatment could improve the success rate of TB
treatment.
PMID- 18047662
TI - Tumor-infiltrating macrophages and dendritic cells in human colorectal cancer:
relation to local regulatory T cells, systemic T-cell response against tumor
associated antigens and survival.
AB - INTRODUCTION: Although systemic T-cell responses against tumor antigens and tumor
infiltration by T cells have been investigated in colorectal cancer (CRC), the
initiation of spontaneous immune responses in situ is not well understood.
Macrophages and dendritic cells (DC) play an important role as a link between
innate and adaptive immune response. The aim of the present study was to analyze
macrophage and DC infiltration in CRC and to investigate whether there is a
correlation to systemic T-cell response, regulatory T cell (Treg) infiltration,
and survival. METHODS: Immunohistological staining was performed with nine
markers for macrophages and DC (CD68, CD163, S100, CD11c, CD208, CD209, CD123,
CD1a, Langerin) in 40 colorectal cancer samples from patients, in whom the state
of systemic T-cell responses against tumor-associated antigens (TAA) and Treg
infiltration had previously been determined. RESULTS: All specimens contained
cells positive for CD68, CD163, S100 and CD1a in epithelial tumor tissue and
tumor stroma. Only a very few (less than median 3/HPF) CD123+, CD1a+, CD11c+, CD
208+, CD209+, or Langerin+ cells were detected in the specimens. Overall, we
found a trend towards increased infiltration by S100-positive DC and a
significantly increased number of stromal S100-positive DC in patients without T
cell response. There was an increase of stromal S100 DC and CD163 macrophages in
limited disease (S100: 11.1/HPF vs. 7.3/HPF, p = 0.046; CD163: 11.0/HPF vs.
8.1/HPF, p = 0.06). We found a significant, positive correlation between S100
positive DC and FOXP3-positive Tregs. Survival in patients with high DC
infiltration was significantly better than that in those with low DC infiltration
(p < 0.05). Furthermore, we found a trend towards better survival for increased
infiltration with CD163-positive macrophages (p = 0.07). CONCLUSION: The present
in situ study adds new data to the discussion on the interaction between the
innate and adoptive immune system. Our data strongly support the hypothesis that
tumor-infiltrating DC are a key factor at the interface between innate and
adaptive immune response in malignant disease. Tumor infiltrating S100-positive
DC show an inverse relationship with the systemic antigen-specific T-cell
response, a positive correlation with regulatory T cells, and a positive
association with survival in CRC. These data put tumor-infiltrating DC at the
center of the relevant immune response in CRC.
PMID- 18047663
TI - SAT, a flexible and optimized Web application for SSR marker development.
AB - BACKGROUND: Simple Sequence Repeats (SSRs), or microsatellites, are among the
most powerful genetic markers known. A common method for the development of SSR
markers is the construction of genomic DNA libraries enriched for SSR sequences,
followed by DNA sequencing. However, designing optimal SSR markers from bulk
sequence data is a laborious and time-consuming process. RESULTS: SAT (SSR
Analysis Tool) is a user-friendly Web application developed to minimize tedious
manual operations and reduce errors. This tool facilitates the integration,
analysis and display of sequence data from SSR-enriched libraries.SAT is designed
to successively perform base calling and quality evaluation of chromatograms,
eliminate cloning vector, adaptors and low quality sequences, detect chimera or
partially digested sequences, search for SSR motifs, cluster and assemble the
redundant sequences, and design SSR primer pairs. An additional virtual PCR step
establishes primer specificity. Users may modify the different parameters of each
step of the SAT analysis. Although certain steps are compulsory, such as SSR
motifs search and sequence assembly, users do not have to run the entire
pipeline, and they can choose selectively which steps to perform. A database
allows users to store and query results, and to redo individual steps of the
workflow. CONCLUSION: The SAT Web application is available at
http://sat.cirad.fr/sat, and a standalone command-line version is also freely
downloadable. Users must send an email to the SAT administrator tropgene@cirad.fr
to request a login and password.
PMID- 18047665
TI - Assessing the evolutionary rate of positional orthologous genes in prokaryotes
using synteny data.
AB - BACKGROUND: Comparison of completely sequenced microbial genomes has revealed how
fluid these genomes are. Detecting synteny blocks requires reliable methods to
determining the orthologs among the whole set of homologs detected by exhaustive
comparisons between each pair of completely sequenced genomes. This is a complex
and difficult problem in the field of comparative genomics but will help to
better understand the way prokaryotic genomes are evolving. RESULTS: We have
developed a suite of programs that automate three essential steps to study
conservation of gene order, and validated them with a set of 107 bacteria and
archaea that cover the majority of the prokaryotic taxonomic space. We identified
the whole set of shared homologs between two or more species and computed the
evolutionary distance separating each pair of homologs. We applied two strategies
to extract from the set of homologs a collection of valid orthologs shared by at
least two genomes. The first computes the Reciprocal Smallest Distance (RSD)
using the PAM distances separating pairs of homologs. The second method groups
homologs in families and reconstructs each family's evolutionary tree,
distinguishing bona fide orthologs as well as paralogs created after the last
speciation event. Although the phylogenetic tree method often succeeds where RSD
fails, the reverse could occasionally be true. Accordingly, we used the data
obtained with either methods or their intersection to number the orthologs that
are adjacent in for each pair of genomes, the Positional Orthologous Genes
(POGs), and to further study their properties. Once all these synteny blocks have
been detected, we showed that POGs are subject to more evolutionary constraints
than orthologs outside synteny groups, whichever the taxonomic distance
separating the compared organisms. CONCLUSION: The suite of programs described in
this paper allows a reliable detection of orthologs and is useful for evaluating
gene order conservation in prokaryotes whichever their taxonomic distance. Thus,
our approach will make easy the rapid identification of POGS in the next few
years as we are expecting to be inundated with thousands of completely sequenced
microbial genomes.
PMID- 18047664
TI - The oxidative stress adaptor p66Shc is required for permanent embryo arrest in
vitro.
AB - BACKGROUND: Excessive developmental failure occurs during the first week of in
vitro embryo development due to elevated levels of cell death and arrest. We
hypothesize that permanently arrested embryos enter a stress-induced "senescence
like" state that is dependent on the oxidative stress-adaptor and lifespan
determinant protein p66Shc. The aim of this study was to selectively diminish
p66Shc gene expression in bovine oocytes and embryos using post-transcriptional
gene silencing by RNA-mediated interference to study the effects of p66Shc
knockdown on in vitro fertilized bovine embryos. RESULTS: Approximately 12,000
24,000 short hairpin (sh)RNAi molecules specific for p66Shc were microinjected
into bovine germinal vesicle stage oocytes or zygotes. Experiments were comprised
of a control group undergoing IVF alone and two groups microinjected with and
without p66Shc shRNAi molecules prior to IVF. The amount of p66Shc mRNA
quantified by Real Time PCR was significantly (P < 0.001) lowered upon p66Shc
shRNAi microinjection. This reduction was selective for p66Shc mRNA, as both
histone H2a and p53 mRNA levels were not altered. The relative signal strength of
p66Shc immuno-fluorescence revealed a significant reduction in the number of
pixels for p66Shc shRNAi microinjected groups compared to controls (P < 0.05). A
significant decrease (P < 0.001) in the incidence of arrested embryos upon p66Shc
shRNAi microinjection was detected compared to IVF and microinjected controls
along with significant reductions (P < 0.001) in both cleavage divisions and
blastocyst development. No significant differences in p66Shc mRNA levels (P =
0.314) were observed among the three groups at the blastocyst stage. CONCLUSION:
These results show that p66Shc is involved in the regulation of embryo
development specifically in mediating early cleavage arrest and facilitating
development to the blastocyst stage for in vitro produced bovine embryos.
PMID- 18047666
TI - Decoupling of arsenic and iron release from ferrihydrite suspension under
reducing conditions: a biogeochemical model.
AB - High levels of arsenic in groundwater and drinking water are a major health
problem. Although the processes controlling the release of As are still not well
known, the reductive dissolution of As-rich Fe oxyhydroxides has so far been a
favorite hypothesis. Decoupling between arsenic and iron redox transformations
has been experimentally demonstrated, but not quantitatively interpreted. Here,
we report on incubation batch experiments run with As(V) sorbed on, or co
precipitated with, 2-line ferrihydrite. The biotic and abiotic processes of As
release were investigated by using wet chemistry, X-ray diffraction, X-ray
absorption and genomic techniques. The incubation experiments were carried out
with a phosphate-rich growth medium and a community of Fe(III)-reducing bacteria
under strict anoxic conditions for two months. During the first month, the
release of Fe(II) in the aqueous phase amounted to only 3% to 10% of the total
initial solid Fe concentration, whilst the total aqueous As remained almost
constant after an initial exchange with phosphate ions. During the second month,
the aqueous Fe(II) concentration remained constant, or even decreased, whereas
the total quantity of As released to the solution accounted for 14% to 45% of the
total initial solid As concentration. At the end of the incubation, the aqueous
phase arsenic was present predominately as As(III) whilst X-ray absorption
spectroscopy indicated that more than 70% of the solid-phase arsenic was present
as As(V). X-ray diffraction revealed vivianite Fe(II)3(PO4)2.8H2O in some of the
experiments. A biogeochemical model was then developed to simulate these aqueous-
and solid-phase results. The two main conclusions drawn from the model are that
(1) As(V) is not reduced during the first incubation month with high Eh values,
but rather re-adsorbed onto the ferrihydrite surface, and this state remains
until arsenic reduction is energetically more favorable than iron reduction, and
(2) the release of As during the second month is due to its reduction to the more
weakly adsorbed As(III) which cannot compete against carbonate ions for sorption
onto ferrihydrite. The model was also successfully applied to recent experimental
results on the release of arsenic from Bengal delta sediments.
PMID- 18047667
TI - Codon-triplet context unveils unique features of the Candida albicans protein
coding genome.
AB - BACKGROUND: The evolutionary forces that determine the arrangement of synonymous
codons within open reading frames and fine tune mRNA translation efficiency are
not yet understood. In order to tackle this question we have carried out a large
scale study of codon-triplet contexts in 11 fungal species to unravel
associations or relationships between codons present at the ribosome A-, P- and E
sites during each decoding cycle. RESULTS: Our analysis unveiled high bias within
the context of codon-triplets, in particular strong preference for triplets of
identical codons. We have also identified a surprisingly large number of codon
triplet combinations that vanished from fungal ORFeomes. Candida albicans
exacerbated these features, showed an unbalanced tRNA population for decoding its
pool of codons and used near-cognate decoding for a large set of codons,
suggesting that unique evolutionary forces shaped the evolution of its ORFeome.
CONCLUSION: We have developed bioinformatics tools for large-scale analysis of
codon-triplet contexts. These algorithms identified codon-triplets context
biases, allowed for large scale comparative codon-triplet analysis, and
identified rules governing codon-triplet context. They could also detect
alterations to the standard genetic code.
PMID- 18047668
TI - Selecting process quality indicators for the integrated care of vulnerable older
adults affected by cognitive impairment or dementia.
AB - BACKGROUND: This study aimed at evaluating face and content validity, feasibility
and reliability of process quality indicators developed previously in the United
States or other countries. The indicators can be used to evaluate care and
services for vulnerable older adults affected by cognitive impairment or dementia
within an integrated service system in Quebec, Canada. METHODS: A total of 33
clinical experts from three major urban centres in Quebec formed a panel
representing two medical specialties (family medicine, geriatrics) and seven
health or social services specialties (nursing, occupational therapy, psychology,
neuropsychology, pharmacy, nutrition, social work), from primary or secondary
levels of care, including long-term care. A modified version of the
RAND(R)/University of California at Los Angeles (UCLA) appropriateness method, a
two-round Delphi panel, was used to assess face and content validity of process
quality indicators. The appropriateness of indicators was evaluated according to
a) agreement of the panel with three criteria, defined as a median rating of 7-9
on a nine-point rating scale, and b) agreement among panellists, judged by the
statistical measure of the interpercentile range adjusted for symmetry.
Feasibility of quality assessment and reliability of appropriate indicators were
then evaluated within a pilot study on 29 patients affected by cognitive
impairment or dementia. For measurable indicators the inter-observer reliability
was calculated with the Kappa statistic. RESULTS: Initially, 82 indicators for
care of vulnerable older adults with cognitive impairment or dementia were
submitted to the panellists. Of those, 72 (88%) were accepted after two rounds.
Among 29 patients for whom medical files of the preceding two years were
evaluated, 63 (88%) of these indicators were considered applicable at least once,
for at least one patient. Only 22 indicators were considered applicable at least
once for ten or more out of 29 patients. Four indicators could be measured with
the help of a validated questionnaire on patient satisfaction. Inter-observer
reliability was moderate (Kappa = 0.57). CONCLUSION: A multidisciplinary panel of
experts judged a large majority of the initial indicators valid for use in
integrated care systems for vulnerable older adults in Quebec, Canada. Most of
these indicators can be measured using patient files or patient or caregiver
interviews and reliability of assessment from patient-files is moderate.
PMID- 18047669
TI - Evolutionary conservation of plant gibberellin signalling pathway components.
AB - BACKGROUND: Gibberellins (GA) are plant hormones that can regulate germination,
elongation growth, and sex determination. They ubiquitously occur in seed plants.
The discovery of gibberellin receptors, together with advances in understanding
the function of key components of GA signalling in Arabidopsis and rice, reveal a
fairly short GA signal transduction route. The pathway essentially consists of
GID1 gibberellin receptors that interact with F-box proteins, which in turn
regulate degradation of downstream DELLA proteins, suppressors of GA-controlled
responses. RESULTS: Arabidopsis sequences of the gibberellin signalling compounds
were used to screen databases from a variety of plants, including protists, for
homologues, providing indications for the degree of conservation of the pathway.
The pathway as such appears completely absent in protists, the moss
Physcomitrella patens shares only a limited homology with the Arabidopsis
proteins, thus lacking essential characteristics of the classical GA signalling
pathway, while the lycophyte Selaginella moellendorffii contains a possible
ortholog for each component. The occurrence of classical GA responses can as yet
not be linked with the presence of homologues of the signalling pathway.
Alignments and display in neighbour joining trees of the GA signalling components
confirm the close relationship of gymnosperms, monocotyledonous and
dicotyledonous plants, as suggested from previous studies. CONCLUSION: Homologues
of the GA-signalling pathway were mainly found in vascular plants. The GA
signalling system may have its evolutionary molecular onset in Physcomitrella
patens, where GAs at higher concentrations affect gravitropism and elongation
growth.
PMID- 18047670
TI - Small steps or giant leaps for male-killers? Phylogenetic constraints to male
killer host shifts.
AB - BACKGROUND: Arthropods are infected by a wide diversity of maternally transmitted
microbes. Some of these manipulate host reproduction to facilitate population
invasion and persistence. Such parasites transmit vertically on an ecological
timescale, but rare horizontal transmission events have permitted colonisation of
new species. Here we report the first systematic investigation into the influence
of the phylogenetic distance between arthropod species on the potential for
reproductive parasite interspecific transfer. RESULTS: We employed a well
characterised reproductive parasite, a coccinellid beetle male-killer, and
artificially injected the bacterium into a series of novel species. Genetic
distances between native and novel hosts were ascertained by sequencing sections
of the 16S and 12S mitochondrial rDNA genes. The bacterium colonised host tissues
and transmitted vertically in all cases tested. However, whilst transmission
efficiency was perfect within the native genus, this was reduced following some
transfers of greater phylogenetic distance. The bacterium's ability to distort
offspring sex ratios in novel hosts was negatively correlated with the genetic
distance of transfers. Male-killing occurred with full penetrance following
within-genus transfers; but whilst sex ratio distortion generally occurred, it
was incomplete in more distantly related species. CONCLUSION: This study
indicates that the natural interspecific transmission of reproductive parasites
might be constrained by their ability to tolerate the physiology or genetics of
novel hosts. Our data suggest that horizontal transfers are more likely between
closely related species. Successful bacterial transfer across large phylogenetic
distances may require rapid adaptive evolution in the new species. This finding
has applied relevance regarding selection of suitable bacteria to manipulate
insect pest and vector populations by symbiont gene-drive systems.
PMID- 18047671
TI - Lymphatic vessel density and function in experimental bladder cancer.
AB - BACKGROUND: The lymphatics form a second circulatory system that drains the
extracellular fluid and proteins from the tumor microenvironment, and provides an
exclusive environment in which immune cells interact and respond to foreign
antigen. Both cancer and inflammation are known to induce lymphangiogenesis.
However, little is known about bladder lymphatic vessels and their involvement in
cancer formation and progression. METHODS: A double transgenic mouse model was
generated by crossing a bladder cancer-induced transgenic, in which SV40 large T
antigen was under the control of uroplakin II promoter, with another transgenic
mouse harboring a lacZ reporter gene under the control of an NF-kappaB-responsive
promoter (kappaB-lacZ) exhibiting constitutive activity of beta-galactosidase in
lymphatic endothelial cells. In this new mouse model (SV40-lacZ), we examined the
lymphatic vessel density (LVD) and function (LVF) during bladder cancer
progression. LVD was performed in bladder whole mounts and cross-sections by
fluorescent immunohistochemistry (IHC) using LYVE-1 antibody. LVF was assessed by
real-time in vivo imaging techniques using a contrast agent (biotin-BSA-Gd-DTPA
Cy5.5; Gd-Cy5.5) suitable for both magnetic resonance imaging (MRI) and near
infrared fluorescence (NIRF). In addition, IHC of Cy5.5 was used for time-course
analysis of co-localization of Gd-Cy5.5 with LYVE-1-positive lymphatics and CD31
positive blood vessels. RESULTS: SV40-lacZ mice develop bladder cancer and
permitted visualization of lymphatics. A significant increase in LVD was found
concomitantly with bladder cancer progression. Double labeling of the bladder
cross-sections with LYVE-1 and Ki-67 antibodies indicated cancer-induced
lymphangiogenesis. MRI detected mouse bladder cancer, as early as 4 months, and
permitted to follow tumor sizes during cancer progression. Using Gd-Cy5.5 as a
contrast agent for MRI-guided lymphangiography, we determined a possible
reduction of lymphatic flow within the tumoral area. In addition, NIRF studies of
Gd-Cy5.5 confirmed its temporal distribution between CD31-positive blood vessels
and LYVE-1 positive lymphatic vessels. CONCLUSION: SV40-lacZ mice permit the
visualization of lymphatics during bladder cancer progression. Gd-Cy5.5, as a
double contrast agent for NIRF and MRI, permits to quantify delivery, transport
rates, and volumes of macromolecular fluid flow through the interstitial
lymphatic continuum. Our results open the path for the study of lymphatic
activity in vivo and in real time, and support the role of lymphangiogenesis
during bladder cancer progression.
PMID- 18047672
TI - Functional, genetic and bioinformatic characterization of a calcium/calmodulin
kinase gene in Sporothrix schenckii.
AB - BACKGROUND: Sporothrix schenckii is a pathogenic, dimorphic fungus, the
etiological agent of sporotrichosis, a subcutaneous lymphatic mycosis. Dimorphism
in S. schenckii responds to second messengers such as cAMP and calcium,
suggesting the possible involvement of a calcium/calmodulin kinase in its
regulation. In this study we describe a novel calcium/calmodulin-dependent
protein kinase gene in S. schenckii, sscmk1, and the effects of inhibitors of
calmodulin and calcium/calmodulin kinases on the yeast to mycelium transition and
the yeast cell cycle. RESULTS: Using the PCR homology approach a new member of
the calcium/calmodulin kinase family, SSCMK1, was identified in this fungus. The
cDNA sequence of sscmk1 revealed an open reading frame of 1,221 nucleotides
encoding a 407 amino acid protein with a predicted molecular weight of 45.6 kDa.
The genomic sequence of sscmk1 revealed the same ORF interrupted by five introns.
Bioinformatic analyses of SSCMK1 showed that this protein had the distinctive
features that characterize a calcium/calmodulin protein kinase: a
serine/threonine protein kinase domain and a calmodulin-binding domain. When
compared to homologues from seven species of filamentous fungi, SSCMK1 showed
substantial similarities, except for a large and highly variable region that
encompasses positions 330 - 380 of the multiple sequence alignment. Inhibition
studies using calmodulin inhibitor W-7, and calcium/calmodulin kinase inhibitors,
KN-62 and lavendustin C, were found to inhibit budding by cells induced to re
enter the yeast cell cycle and to favor the yeast to mycelium transition.
CONCLUSION: This study constitutes the first evidence of the presence of a
calcium/calmodulin kinase-encoding gene in S. schenckii and its possible
involvement as an effector of dimorphism in this fungus. These results suggest
that a calcium/calmodulin dependent signaling pathway could be involved in the
regulation of dimorphism in this fungus. The results suggest that the
calcium/calmodulin kinases of yeasts are evolutionarily distinct from those in
filamentous fungi.
PMID- 18047673
TI - Contributions of different mosquito species to the transmission of lymphatic
filariasis in central Nigeria: implications for monitoring infection by PCR in
mosquito pools.
AB - BACKGROUND: Members of the Anopheles gambiae complex are important vectors of
lymphatic filariasis (LF) in sub-Saharan Africa, but little is known about the
relative contributions of all mosquitoes to lymphatic filariasis transmission in
this area. METHODS: Over a 28 month period, mosquitoes were collected from 13
villages in Plateau and Nasarawa states in central Nigeria and dissected to
determine W. bancrofti infection status. Wings and legs from a subset of the
mosquitoes visually identified as A. gambiae s.l. were identified by PCR as
either A. gambiae s.s. or A. arabiensis. RESULTS: A. gambiae s.s peaked in
abundance during the rainy season while A. arabiensis predominated during drier
parts of the year. Both species were found equally likely to be infected with the
developing stages (L1-L3) of W. bancrofti (9.2% and 11.1%, respectively). Fewer
A. funestus (1.1%, p < 0.001) were infected than A. gambiae s.l. CONCLUSION:
Understanding the relative contributions of morphologically indistinguishable
species to LF transmission is essential if PCR is to be performed on mosquito
pools. In the study area, the use of mosquito pools composed of A. gambiae
sibling species would not be problematic, as both A. gambiae s.s. and A.
arabiensis contribute equally to LF transmission.
PMID- 18047674
TI - Identification of candidate predictive and surrogate molecular markers for
dasatinib in prostate cancer: rationale for patient selection and efficacy
monitoring.
AB - BACKGROUND: Dasatinib is a potent, multi-targeted kinase inhibitor that was
recently approved for treatment of chronic myelogenous leukemia resistant to
imatinib. To aid the clinical development of dasatinib in prostate cancer, we
utilized preclinical models to identify potential molecular markers for patient
stratification and efficacy monitoring. RESULTS: Using gene expression profiling,
we first identified 174 genes whose expression was highly correlated with in
vitro sensitivity of 16 cell lines and, thus, considered as candidate efficacy
predictive markers. Among these are important prostatic cell lineage markers,
cytokeratin 5, androgen receptor and prostate specific antigen. Our results
indicate that 'basal type' cell lines with high expression of cytokeratin 5 and
low expression of androgen receptor or prostate specific antigen are sensitive to
dasatinib. To identify markers as surrogates for biological activity, we treated
cell lines with dasatinib and identified genes whose expression was significantly
modulated by the drug. Ten genes, including that encoding urokinase-type
plasminogen activator (uPA), were found to not only be potential efficacy markers
but also to have reduced expression upon dasatinib treatment. The down-regulation
of uPA by dasatinib was drug-specific and correlated with the sensitivity of cell
lines to dasatinib. Furthermore, EphA2, a target of dasatinib, was found to be a
sensitivity biomarker. CONCLUSION: Using the gene expression profiling approach
and preclinical models, we have identified prostatic biomarkers that are
associated with sensitivity to dasatinib. This study has provided a basis for
clinical evaluation of a potential dasatinib efficacy signature in prostate
cancer.
PMID- 18047675
TI - Computational prediction and experimental validation of Ciona intestinalis
microRNA genes.
AB - BACKGROUND: This study reports the first collection of validated microRNA genes
in the sea squirt, Ciona intestinalis. MicroRNAs are processed from hairpin
precursors to ~22 nucleotide RNAs that base pair to target mRNAs and inhibit
expression. As a member of the subphylum Urochordata (Tunicata) whose larval form
has a notochord, the sea squirt is situated at the emergence of vertebrates, and
therefore may provide information about the evolution of molecular regulators of
early development. RESULTS: In this study, computational methods were used to
predict 14 microRNA gene families in Ciona intestinalis. The microRNA prediction
algorithm utilizes configurable microRNA sequence conservation and stem-loop
specificity parameters, grouping by miRNA family, and phylogenetic conservation
to the related species, Ciona savignyi. The expression for 8, out of 9 attempted,
of the putative microRNAs in the adult tissue of Ciona intestinalis was validated
by Northern blot analyses. Additionally, a target prediction algorithm was
implemented, which identified a high confidence list of 240 potential target
genes. Over half of the predicted targets can be grouped into the gene ontology
categories of metabolism, transport, regulation of transcription, and cell
signaling. CONCLUSION: The computational techniques implemented in this study can
be applied to other organisms and serve to increase the understanding of the
origins of non-coding RNAs, embryological and cellular developmental pathways,
and the mechanisms for microRNA-controlled gene regulatory networks.
PMID- 18047676
TI - Esophageal cancer in a young woman with bulimia nervosa: a case report.
AB - Adenocarcinoma of the esophagus has increased dramatically within the United
States and continues to have a poor prognosis despite aggressive treatment.
Identifying potential risk factors is critical for the early detection and
treatment of this disease. The present case report describes a very young woman
who developed adenocarcinoma of the esophagus after only a brief history of
bulimia. These findings suggest that even in very young patients, bulimia may
represent a risk factor for adenocarcinoma of the esophagus.
PMID- 18047677
TI - Immunolocalisation of phosphorylated STAT3, interleukin 11 and leukaemia
inhibitory factor in endometrium of women with unexplained infertility during the
implantation window.
AB - BACKGROUND: Uterine receptivity and embryo implantation are critical in the
establishment of pregnancy. The diagnosis of endometrial fertility requires more
precise measurements of endometrial receptivity. Interleukin (IL-11) and leukemia
inhibitory factor (LIF) are essential for murine implantation and signal via
intracellular phosphorylation (p) of STAT3 in the endometrium. Both cytokines are
present in the endometrium of women duiring the receptive window. Endometrial IL
11, IL-11 receptor alpha (IL-11Ralpha), LIF and pSTAT3 in women with primary
unexplained infertility was compared to normal fertile women during the
implantation window. METHODS: LH timed endometrial biopsies (LH+6 to LH+10) were
collected from women with unexplained infertility and normal fertility. pSTAT3,
IL-11, IL-11Ralpha and LIF production was determined by immunohistochemistry.
Staining intensity was determoned by two independent observers blind to the
fertility status of the patient from whom the biopsy was taken. Staining
intensity and heterogeneity in each of the endometrial compartments (epithelium;
stroma, including decidualized stromal cells; and vasculature) was assessed. The
Mann-Whitney U test was used to analyze IL-11, pSTAT3, IL-11Ralpha and LIF
immunostaining intensities in the samples. RESULTS: IL-11, IL-11Ralpha and LIF
were present predominantly in glandular epithelium, whilst luminal epithelium
showed patchy staining. pSTAT3 was present in both glandular epithelium and
stroma. IL-11 and pSTAT3 immunostaining was significantly lower in glandular
epithelium in infertile women compared to controls (P < 0.05) whilst IL-11Ralpha
and LIF staining did not differ. CONCLUSION: This is the first demonstration of
reduced endometrial pSTAT3 and IL-11 in some women with unexplained infertility.
This suggests IL-11 and pSTAT3 may be involved in the secretory transformation of
glandular epithelium during receptivity. Reduced IL-11 production and STAT3
phosphorylation may contribute to unexplained infertility in some women.
PMID- 18047678
TI - Improving quality of reproductive health care in Senegal through formative
supervision: results from four districts.
AB - BACKGROUND: In Senegal, traditional supervision often focuses more on collection
of service statistics than on evaluation of service quality. This approach yields
limited information on quality of care and does little to improve providers'
competence. In response to this challenge, Management Sciences for Health (MSH)
has implemented a program of formative supervision. This multifaceted, problem
solving approach collects data on quality of care, improves technical competence,
and engages the community in improving reproductive health care. METHODS: This
study evaluated changes in service quality and community involvement after two
rounds of supervision in 45 health facilities in four districts of Senegal. We
used checklists to assess quality in four areas of service delivery:
infrastructure, staff and services management, record-keeping, and technical
competence. We also measured community involvement in improving service quality
using the completion rates of action plans. RESULTS: The most notable improvement
across regions was in infection prevention.Management of staff, services, and
logistics also consistently improved across the four districts. Record-keeping
skills showed variable but lower improvement by region. The completion rates of
action plans suggest that communities are engaged in improving service quality in
all four districts. CONCLUSION: Formative supervision can improve the quality of
reproductive health services, especially in areas where there is on-site skill
building and refresher training. This approach can also mobilize communities to
participate in improving service quality.
PMID- 18047679
TI - Subcellular location prediction of proteins using support vector machines with
alignment of block sequences utilizing amino acid composition.
AB - BACKGROUND: Subcellular location prediction of proteins is an important and well
studied problem in bioinformatics. This is a problem of predicting which part in
a cell a given protein is transported to, where an amino acid sequence of the
protein is given as an input. This problem is becoming more important since
information on subcellular location is helpful for annotation of proteins and
genes and the number of complete genomes is rapidly increasing. Since existing
predictors are based on various heuristics, it is important to develop a simple
method with high prediction accuracies. RESULTS: In this paper, we propose a
novel and general predicting method by combining techniques for sequence
alignment and feature vectors based on amino acid composition. We implemented
this method with support vector machines on plant data sets extracted from the
TargetP database. Through fivefold cross validation tests, the obtained overall
accuracies and average MCC were 0.9096 and 0.8655 respectively. We also applied
our method to other datasets including that of WoLF PSORT. CONCLUSION: Although
there is a predictor which uses the information of gene ontology and yields
higher accuracy than ours, our accuracies are higher than existing predictors
which use only sequence information. Since such information as gene ontology can
be obtained only for known proteins, our predictor is considered to be useful for
subcellular location prediction of newly-discovered proteins. Furthermore, the
idea of combination of alignment and amino acid frequency is novel and general so
that it may be applied to other problems in bioinformatics. Our method for plant
is also implemented as a web-system and available on http://sunflower.kuicr.kyoto
u.ac.jp/~tamura/slpfa.html.
PMID- 18047680
TI - Synthesis of the benzo-fused indolizidine alkaloid mimics.
AB - A general synthesis of various benzo-fused indolizidine alkaloid mimics has been
developed. The indolizidine derivatives 8 were prepared via heteroaryl Grignard
addition to N-acylpyridinium salts followed by an intramolecular Heck
cyclization. Further substitution reactions were developed to demonstrate that
heterocycles 8 are good scaffolds for chemical library preparation.
PMID- 18047681
TI - Comparison of effectiveness of Halo-femoral traction after anterior spinal
release in severe idiopathic and congenital scoliosis: a retrospective study.
AB - BACKGROUND: Halo-femoral traction could gradually improve the coronal and
sagittal deformity and restore the trunk balance through the elongation of the
spine. The purpose of this retrospective study was to assess the effectiveness of
Halo-femoral traction after anterior spinal release in the management of severe
idiopathic and congenital scoliosis. METHODS: Sixty patients with severe and
rigid curve treated with anterior spinal release, Halo-femoral traction, and
second stage posterior spinal fusion were recruited for this retrospective study.
Idiopathic Scoliosis (IS) group was 30 patients (23 females and 7 males) with
mean age of 15.5 years. The average coronal Cobb angle was 91.6 degrees and the
mean global thoracic kyphosis was 50.6 degrees . The curve type of these patients
were 2 with Lenke 1AN, 4 with Lenke 1A+, 1 with Lenke 1BN, 10 with Lenke 1CN, 3
with Lenke 1C+, 3 with Lenke 3CN, 3 with Lenke 3C+, and 4 with Lenke 5C+.
Congenital Scoliosis (CS) group included 30 patients (20 females and 10 males)
with average age of 15.2 years. The average coronal Cobb angle of the main curve
before operation was 95.7 degrees and the average thoracic kyphosis was 70.2
degrees . All patients had a minimum 12-month follow-up radiograph (range 12-72
months, mean 38 months). RESULTS: The average traction time was 23 days and the
average traction weight was 16 kg. Four patients experienced brachial plexus
palsy and complete nerve functional restoration was achieved at two months follow
up. For the IS group, the post-operative mean Cobb angle of major curve averaged
40.1 degrees with correction rate of 57.5%. For the CS group, the post-operative
mean Cobb angle was 56.5 degrees with average correction rate of 45.2%. The
difference in curve magnitude between the IS and CS patients after posterior
correction was statistically significant (t = 4.15, p < 0.001). The correction
rate of kyphosis between IS and CS patients was also statistically significant (t
= -2.59, p < 0.016). CONCLUSION: Halo-femoral traction was a safe, well-tolerated
and effective method for the treatment of severe and rigid scoliosis patients.
The posterior correction rate obtained after anterior release and traction was
significant superior than that recorded from side bending film in current study.
PMID- 18047682
TI - Double knockdown of alpha1,6-fucosyltransferase (FUT8) and GDP-mannose 4,6
dehydratase (GMD) in antibody-producing cells: a new strategy for generating
fully non-fucosylated therapeutic antibodies with enhanced ADCC.
AB - BACKGROUND: Antibody-dependent cellular cytotoxicity (ADCC) is greatly enhanced
by the absence of the core fucose of oligosaccharides attached to the Fc, and is
closely related to the clinical efficacy of anticancer activity in humans in
vivo. Unfortunately, all licensed therapeutic antibodies and almost all currently
developed therapeutic antibodies are heavily fucosylated and fail to optimize
ADCC, which leads to a large dose requirement at a very high cost for the
administration of antibody therapy to cancer patients. In this study, we explored
the possibility of converting already-established antibody-producing cells to
cells that produce antibodies fully lacking core fucosylation in order to
facilitate the rapid development of next-generation therapeutic antibodies.
RESULTS: Firstly, loss-of-function analyses using small interfering RNAs (siRNAs)
against the three key genes involved in oligosaccharide fucose modification, i.e.
alpha1,6-fucosyltransferase (FUT8), GDP-mannose 4,6-dehydratase (GMD), and GDP
fucose transporter (GFT), revealed that single-gene knockdown of each target was
insufficient to completely defucosylate the products in antibody-producing cells,
even though the most effective siRNA (>90% depression of the target mRNA) was
employed. Interestingly, beyond our expectations, synergistic effects of FUT8 and
GMD siRNAs on the reduction in fucosylation were observed, but not when these
were used in combination with GFT siRNA. Secondly, we successfully developed an
effective short hairpin siRNA tandem expression vector that facilitated the
double knockdown of FUT8 and GMD, and we converted antibody-producing Chinese
hamster ovary (CHO) cells to fully non-fucosylated antibody producers within two
months, and with high converting frequency. Finally, the stable manufacture of
fully non-fucosylated antibodies with enhanced ADCC was confirmed using the
converted cells in serum-free fed-batch culture. CONCLUSION: Our results suggest
that FUT8 and GMD collaborate synergistically in the process of intracellular
oligosaccharide fucosylation. We also demonstrated that double knockdown of FUT8
and GMD in antibody-producing cells could serve as a new strategy for producing
next-generation therapeutic antibodies fully lacking core fucosylation and with
enhanced ADCC. This approach offers tremendous cost- and time-sparing advantages
for the development of next-generation therapeutic antibodies.
PMID- 18047683
TI - Bacterial flora-typing with targeted, chip-based Pyrosequencing.
AB - BACKGROUND: The metagenomic analysis of microbial communities holds the potential
to improve our understanding of the role of microbes in clinical conditions.
Recent, dramatic improvements in DNA sequencing throughput and cost will enable
such analyses on individuals. However, such advances in throughput generally come
at the cost of shorter read-lengths, limiting the discriminatory power of each
read. In particular, classifying the microbial content of samples by sequencing
the < 1,600 bp 16S rRNA gene will be affected by such limitations. RESULTS: We
describe a method for identifying the phylogenetic content of bacterial samples
using high-throughput Pyrosequencing targeted at the 16S rRNA gene. Our analysis
is adapted to the shorter read-lengths of such technology and uses a database of
16S rDNA to determine the most specific phylogenetic classification for reads,
resulting in a weighted phylogenetic tree characterizing the content of the
sample. We present results for six samples obtained from the human vagina during
pregnancy that corroborates previous studies using conventional techniques.Next,
we analyze the power of our method to classify reads at each level of the
phylogeny using simulation experiments. We assess the impacts of read-length and
database completeness on our method, and predict how we do as technology improves
and more bacteria are sequenced. Finally, we study the utility of targeting
specific 16S variable regions and show that such an approach considerably
improves results for certain types of microbial samples. Using simulation, our
method can be used to determine the most informative variable region. CONCLUSION:
This study provides positive validation of the effectiveness of targeting 16S
metagenomes using short-read sequencing technology. Our methodology allows us to
infer the most specific assignment of the sequence reads within the phylogeny,
and to identify the most discriminative variable region to target. The analysis
of high-throughput Pyrosequencing on human flora samples will accelerate the
study of the relationship between the microbial world and ourselves.
PMID- 18047684
TI - Epigenetic regulation of RhoB loss of expression in lung cancer.
AB - BACKGROUND: RhoB is down-regulated in most lung cancer cell lines and tumor
tissues when compared with their normal counterparts. The mechanism of this loss
of expression is not yet deciphered. METHODS: Since no mutation has been reported
in the RhoB sequence, we investigated the epigenetic regulation of RhoB
expression by analyzing the effect of HDAC inhibitors and methyltransferase
inhibitors, by direct sequencing after bisulfite treatment and by methylation
specific PCR. RESULTS: We first showed that histone deacetylase (HDAC) inhibitors
induce a significant RhoB re-expression in lung cancer cell lines whereas only a
slight effect was observed with methyl transferase inhibitors. As promoter
methylation is the most common epigenetic process in lung cancer, we performed
methylation specific PCR and sequence analysis after bisulfite treatment and
demonstrated that RhoB was methylated neither in lung cancer cell lines nor in
tumor tissues. We also showed that a variable number of tandem repeats sequences
in the 5' region of the RhoB gene was involved in HDAC response. CONCLUSION: We
thus propose that RhoB regulation of expression occurs mainly by histone
deacetylation rather than by promoter hypermethylation and that this process can
be modulated by specific 5' sequences within the promoter.
PMID- 18047685
TI - Influenza activity in Europe during eight seasons (1999-2007): an evaluation of
the indicators used to measure activity and an assessment of the timing, length
and course of peak activity (spread) across Europe.
AB - BACKGROUND: The European Influenza Surveillance Scheme (EISS) has collected
clinical and virological data on influenza since 1996 in an increasing number of
countries. The EISS dataset was used to characterise important epidemiological
features of influenza activity in Europe during eight winters (1999-2007). The
following questions were addressed: 1) are the sentinel clinical reports a good
measure of influenza activity? 2) how long is a typical influenza season in
Europe? 3) is there a west-east and/or south-north course of peak activity
('spread') of influenza in Europe? METHODS: Influenza activity was measured by
collecting data from sentinel general practitioners (GPs) and reports by national
reference laboratories. The sentinel reports were first evaluated by comparing
them to the laboratory reports and were then used to assess the timing and spread
of influenza activity across Europe during eight seasons. RESULTS: We found a
good match between the clinical sentinel data and laboratory reports of influenza
collected by sentinel physicians (overall match of 72% for +/- 1 week
difference). We also found a moderate to good match between the clinical sentinel
data and laboratory reports of influenza from non-sentinel sources (overall match
of 60% for +/- 1 week). There were no statistically significant differences
between countries using ILI (influenza-like illness) or ARI (acute respiratory
disease) as case definition. When looking at the peak-weeks of clinical activity,
the average length of an influenza season in Europe was 15.6 weeks (median 15
weeks; range 12-19 weeks). Plotting the peak weeks of clinical influenza activity
reported by sentinel GPs against the longitude or latitude of each country
indicated that there was a west-east spread of peak activity (spread) of
influenza across Europe in four winters (2001-2002, 2002-2003, 2003-2004 and 2004
2005) and a south-north spread in three winters (2001-2002, 2004-2005 and 2006
2007). CONCLUSION: We found that: 1) the clinical data reported by sentinel
physicians is a valid indicator of influenza activity; 2) the length of influenza
activity across the whole of Europe was surprisingly long, ranging from 12-19
weeks; 3) in 4 out of the 8 seasons, there was a west-east spread of influenza,
in 3 seasons a south-north spread; not associated with type of dominant virus in
those seasons.
PMID- 18047686
TI - Technology transfer from worms and flies to vertebrates: transposition-based
genome manipulations and their future perspectives.
AB - To meet the increasing demand of linking sequence information to gene function in
vertebrate models, genetic modifications must be introduced and their effects
analyzed in an easy, controlled, and scalable manner. In the mouse, only about
10% (estimate) of all genes have been knocked out, despite continuous
methodologic improvement and extensive effort. Moreover, a large proportion of
inactivated genes exhibit no obvious phenotypic alterations. Thus, in order to
facilitate analysis of gene function, new genetic tools and strategies are
currently under development in these model organisms. Loss of function and gain
of function mutagenesis screens based on transposable elements have numerous
advantages because they can be applied in vivo and are therefore phenotype
driven, and molecular analysis of the mutations is straightforward. At present,
laboratory harnessing of transposable elements is more extensive in invertebrate
models, mostly because of their earlier discovery in these organisms. Transposons
have already been found to facilitate functional genetics research greatly in
lower metazoan models, and have been applied most comprehensively in Drosophila.
However, transposon based genetic strategies were recently established in
vertebrates, and current progress in this field indicates that transposable
elements will indeed serve as indispensable tools in the genetic toolkit for
vertebrate models. In this review we provide an overview of transposon based
genetic modification techniques used in higher and lower metazoan model
organisms, and we highlight some of the important general considerations
concerning genetic applications of transposon systems.
PMID- 18047687
TI - Meganuclease and transposon mediated transgenesis in medaka.
AB - From among a plethora of various gene delivery methods, the researcher must
choose the right one according to availability for a given species and the
precise application the transgenic animal is intended for. Here we review the
progress in meganuclease and Sleeping Beauty transposon mediated transgenesis
over recent years with a focus on medaka and zebrafish. We present a side-by-side
comparison of these two approaches based on their biologic properties and provide
interesting perspectives for future experiments and applications, which are
different for the two techniques because of their distinct modes of action.
PMID- 18047688
TI - Manipulating the Xenopus genome with transposable elements.
AB - The study of amphibian embryogenesis has provided important insight into the
mechanisms of vertebrate development. The frog Xenopus laevis has been an
important model of vertebrate cell biology and development for many decades.
Genetic studies in this organism are not practical because of the tetraploid
nature of the genome and the long generation time of this species. Recently, a
closely related frog, namely Xenopus tropicalis, has been proposed as an
alternative system; it shares all of the physical characteristics that make X.
laevis a useful model but has the advantage of a diploid genome and short
generation time. The rapid accumulation of genetic resources for this animal and
the success of pilot mutagenesis screens have helped propel this model system
forward. Transposable elements will provide invaluable tools for manipulating the
frog genome. These integration systems are ideally suited to transgenesis and
insertional mutagenesis strategies in the frog. The high fecundity of the frog
combined with the ability to remobilize transposon transgenes integrated into
frog genome will allow large-scale insertional mutagenesis screens to be
performed in laboratories with modest husbandry capacities.
PMID- 18047691
TI - Germline mutagenesis mediated by Sleeping Beauty transposon system in mice.
AB - Following the descovery of its transposition activity in mammalian culture
systems, the Sleeping Beauty (SB) transposon has since been applied to achieve
germline mutagenesis in mice. Initially, the transposition efficiency was found
to be low in cultured systems, but its activity in germ cells was unexpectedly
high. This difference in transposition efficiency was found to be largely
dependent on chromosomal status of the host genomic DNA and transposon vector
design. The SB transposon system has been found to be suitable for comprehensive
mutagenesis in mice. Therefore, it is an effective tool as a forward genetics
screen for tagged insertional mutagenesis in mice.
PMID- 18047689
TI - Predicting preferential DNA vector insertion sites: implications for functional
genomics and gene therapy.
AB - Viral and transposon vectors have been employed in gene therapy as well as
functional genomics studies. However, the goals of gene therapy and functional
genomics are entirely different; gene therapists hope to avoid altering
endogenous gene expression (especially the activation of oncogenes), whereas
geneticists do want to alter expression of chromosomal genes. The odds of either
outcome depend on a vector's preference to integrate into genes or control
regions, and these preferences vary between vectors. Here we discuss the relative
strengths of DNA vectors over viral vectors, and review methods to overcome
barriers to delivery inherent to DNA vectors. We also review the tendencies of
several classes of retroviral and transposon vectors to target DNA sequences,
genes, and genetic elements with respect to the balance between insertion
preferences and oncogenic selection. Theoretically, knowing the variables that
affect integration for various vectors will allow researchers to choose the
vector with the most utility for their specific purposes. The three principle
benefits from elucidating factors that affect preferences in integration are as
follows: in gene therapy, it allows assessment of the overall risks for
activating an oncogene or inactivating a tumor suppressor gene that could lead to
severe adverse effects years after treatment; in genomic studies, it allows one
to discern random from selected integration events; and in gene therapy as well
as functional genomics, it facilitates design of vectors that are better targeted
to specific sequences, which would be a significant advance in the art of
transgenesis.
PMID- 18047690
TI - Pigs taking wing with transposons and recombinases.
AB - Swine production has been an important part of our lives since the late
Mesolithic or early Neolithic periods, and ranks number one in world meat
production. Pig production also contributes to high-value-added medical markets
in the form of pharmaceuticals, heart valves, and surgical materials. Genetic
engineering, including the addition of exogenous genetic material or manipulation
of the endogenous genome, holds great promise for changing pig phenotypes for
agricultural and medical applications. Although the first transgenic pigs were
described in 1985, poor survival of manipulated embryos; inefficiencies in the
integration, transmission, and expression of transgenes; and expensive husbandry
costs have impeded the widespread application of pig genetic engineering.
Sequencing of the pig genome and advances in reproductive technologies have
rejuvenated efforts to apply transgenesis to swine. Pigs provide a compelling new
resource for the directed production of pharmaceutical proteins and the provision
of cells, vascular grafts, and organs for xenotransplantation. Additionally,
given remarkable similarities in the physiology and size of people and pigs,
swine will increasingly provide large animal models of human disease where rodent
models are insufficient. We review the challenges facing pig transgenesis and
discuss the utility of transposases and recombinases for enhancing the success
and sophistication of pig genetic engineering. 'The paradise of my fancy is one
where pigs have wings.' (GK Chesterton).
PMID- 18047692
TI - Transposons for cancer gene discovery: Sleeping Beauty and beyond.
AB - The use of Sleeping Beauty transposons as somatic mutagens to discover cancer
genes in hematopoietic tumors and sarcomas has been documented. Here, we discuss
the future of Sleeping Beauty for cancer genetic studies and the potential use of
additional transposable elements for somatic mutagenesis.
PMID- 18047693
TI - Mutagenesis in rodents using the L1 retrotransposon.
AB - LINE1 (L1) retrotransposons are genetic elements that are present in all
mammalian genomes. L1s are active in both humans and mice, and are capable of
copying themselves and inserting the copy into a new genomic location. These de
novo insertions occasionally result in disease. Endogenous L1 retrotransposons
can be modified to increase their activity and mutagenic power in a variety of
ways. Here we outline the advantages of using modified L1 retrotransposons for
performing random mutagenesis in rodents and discuss several potential
applications.
PMID- 18047694
TI - The DNA transposon Minos as a tool for transgenesis and functional genomic
analysis in vertebrates and invertebrates.
AB - Transposons are powerful tools for conducting genetic manipulation and functional
studies in organisms that are of scientific, economic, or medical interest.
Minos, a member of the Tc1/mariner family of DNA transposons, exhibits a low
insertional bias and transposes with high frequency in vertebrates and
invertebrates. Its use as a tool for transgenesis and genome analysis of rather
different animal species is described.
PMID- 18047695
TI - Transposon mediated transgenesis in a marine invertebrate chordate: Ciona
intestinalis.
AB - Achievement of transposon mediated germline transgenesis in a basal chordate,
Ciona intestinalis, is discussed. A Tc1/mariner superfamily transposon, Minos,
has excision and transposition activities in Ciona. Minos enables the creation of
stable transgenic lines, enhancer detection, and insertional mutagenesis.
PMID- 18047696
TI - Retroviral enhancer detection insertions in zebrafish combined with comparative
genomics reveal genomic regulatory blocks - a fundamental feature of vertebrate
genomes.
AB - A large-scale enhancer detection screen was performed in the zebrafish using a
retroviral vector carrying a basal promoter and a fluorescent protein reporter
cassette. Analysis of insertional hotspots uncovered areas around developmental
regulatory genes in which an insertion results in the same global expression
pattern, irrespective of exact position. These areas coincide with vertebrate
chromosomal segments containing identical gene order; a phenomenon known as
conserved synteny and thought to be a vestige of evolution. Genomic comparative
studies have found large numbers of highly conserved noncoding elements (HCNEs)
spanning these and other loci. HCNEs are thought to act as transcriptional
enhancers based on the finding that many of those that have been tested direct
tissue specific expression in transient or transgenic assays. Although gene order
in hox and other gene clusters has long been known to be conserved because of
shared regulatory sequences or overlapping transcriptional units, the chromosomal
areas found through insertional hotspots contain only one or a few developmental
regulatory genes as well as phylogenetically unrelated genes. We have termed
these regions genomic regulatory blocks (GRBs), and show that they underlie the
phenomenon of conserved synteny through all sequenced vertebrate genomes. After
teleost whole genome duplication, a subset of GRBs were retained in two copies,
underwent degenerative changes compared with tetrapod loci that exist as single
copy, and that therefore can be viewed as representing the ancestral form. We
discuss these findings in light of evolution of vertebrate chromosomal
architecture and the identification of human disease mutations.
PMID- 18047697
TI - Transposable elements and the dynamic somatic genome.
AB - Although alterations in the genomes of somatic cells cannot be passed on to
future generations, they can have beneficial or detrimental effects on the host
organism, depending on the context in which they occur. This review outlines the
ways in which transposable elements have important consequences for somatic cell
genomes.
PMID- 18047698
TI - Transposable elements in fish functional genomics: technical challenges and
perspectives.
AB - The recent introduction of several transposable elements in zebrafish opens new
frontiers for genetic manipulation in this important vertebrate model. This
review discusses transposable elements as mutagenesis tools for fish functional
genomics. We review various mutagenesis strategies that were previously applied
in other genetic models, such as Drosophila, Arabidopsis, and mouse, that may be
beneficial if applied in fish. We also discuss the forthcoming challenges of high
throughput functional genomics in fish.
PMID- 18047699
TI - Tol2: a versatile gene transfer vector in vertebrates.
AB - The medaka fish Tol2 element is an autonomous transposon that encodes a fully
functional transposase. The transposase protein can catalyze transposition of a
transposon construct that has 200 and 150 base pairs of DNA from the left and
right ends of the Tol2 sequence, respectively. These sequences contain essential
terminal inverted repeats and subterminal sequences. DNA inserts of fairly large
sizes (as large as 11 kilobases) can be cloned between these sequences without
reducing transpositional activity. The Tol2 transposon system has been shown to
be active in all vertebrate cells tested thus far, including zebrafish, Xenopus,
chicken, mouse, and human. In this review I describe and discuss how the Tol2
transposon is being applied to transgenic studies in these vertebrates, and
possible future applications.
PMID- 18047700
TI - Transposons as tools for enhancer trap screens in vertebrates.
AB - DNA transposons are efficient tools in transgenesis and have therefore become
popular in the analysis of the regulatory genome in vertebrates via enhancer trap
screens. Here, I discuss recent progress in this field of research, with a focus
on the application of one of these transposons, namely the medaka fish derived
Tol2, to enhancer trapping in zebrafish, and how this approach compares with
others that have a similar objective.
PMID- 18047701
TI - Insertional mutagenesis strategies in zebrafish.
AB - We review here some recent developments in the field of insertional mutagenesis
in zebrafish. We highlight the advantages and limitations of the rich body of
retroviral methodologies, and we focus on the mechanisms and concepts of new
transposon-based mutagenesis approaches under development, including prospects
for conditional 'gene trapping' and 'gene breaking' approaches.
PMID- 18047702
TI - Framework for a protein ontology.
AB - Biomedical ontologies are emerging as critical tools in genomic and proteomic
research, where complex data in disparate resources need to be integrated. A
number of ontologies describe properties that can be attributed to proteins. For
example, protein functions are described by the Gene Ontology (GO) and human
diseases by SNOMED CT or ICD10. There is, however, a gap in the current set of
ontologies - one that describes the protein entities themselves and their
relationships. We have designed the PRotein Ontology (PRO) to facilitate protein
annotation and to guide new experiments. The components of PRO extend from the
classification of proteins on the basis of evolutionary relationships to the
representation of the multiple protein forms of a gene (products generated by
genetic variation, alternative splicing, proteolytic cleavage, and other post
translational modifications). PRO will allow the specification of relationships
between PRO, GO and other ontologies in the OBO Foundry. Here we describe the
initial development of PRO, illustrated using human and mouse proteins involved
in the transforming growth factor-beta and bone morphogenetic protein signaling
pathways.
PMID- 18047703
TI - BioCAD: an information fusion platform for bio-network inference and analysis.
AB - BACKGROUND: As systems biology has begun to draw growing attention, bio-network
inference and analysis have become more and more important. Though there have
been many efforts for bio-network inference, they are still far from practical
applications due to too many false inferences and lack of comprehensible
interpretation in the biological viewpoints. In order for applying to real
problems, they should provide effective inference, reliable validation, rational
elucidation, and sufficient extensibility to incorporate various relevant
information sources. RESULTS: We have been developing an information fusion
software platform called BioCAD. It is utilizing both of local and global
optimization for bio-network inference, text mining techniques for network
validation and annotation, and Web services-based workflow techniques. In
addition, it includes an effective technique to elucidate network edges by
integrating various information sources. This paper presents the architecture of
BioCAD and essential modules for bio-network inference and analysis. CONCLUSION:
BioCAD provides a convenient infrastructure for network inference and network
analysis. It automates series of users' processes by providing data preprocessing
tools for various formats of data. It also helps inferring more accurate and
reliable bio-networks by providing network inference tools which utilize
information from distinct sources. And it can be used to analyze and validate the
inferred bio-networks using information fusion tools.
PMID- 18047704
TI - GO for gene documents.
AB - BACKGROUND: Annotating genes and their products with Gene Ontology codes is an
important area of research. One approach is to use the information available
about these genes in the biomedical literature. The goal in this paper, based on
this approach, is to develop automatic annotation methods that can supplement the
expensive manual annotation processes currently in place. RESULTS: Using a set of
Support Vector Machines (SVM) classifiers we were able to achieve Fscores of
0.49, 0.41 and 0.33 for codes of the molecular function, cellular component and
biological process GO hierarchies respectively. We find that alternative term
weighting strategies are not different from each other in performance and feature
selection strategies reduce performance. The best thresholding strategy is one
where a single threshold is picked for each hierarchy. Hierarchy level is
important especially for molecular function and biological process. The cellular
component hierarchy stands apart from the other two in many respects. This may be
due to fundamental differences in link semantics. This research shows that it is
possible to beneficially exploit the hierarchical structures by defining and
testing a relaxed criteria for classification correctness. Finally it is possible
to build classifiers for codes with very few associated documents but as expected
a huge penalty is paid in performance. CONCLUSION: The GO annotation problem is
complex. Several key observations have been made as for example about topic drift
that may be important to consider in annotation strategies.
PMID- 18047705
TI - A coherent graph-based semantic clustering and summarization approach for
biomedical literature and a new summarization evaluation method.
AB - BACKGROUND: A huge amount of biomedical textual information has been produced and
collected in MEDLINE for decades. In order to easily utilize biomedical
information in the free text, document clustering and text summarization together
are used as a solution for text information overload problem. In this paper, we
introduce a coherent graph-based semantic clustering and summarization approach
for biomedical literature. RESULTS: Our extensive experimental results show the
approach shows 45% cluster quality improvement and 72% clustering reliability
improvement, in terms of misclassification index, over Bisecting K-means as a
leading document clustering approach. In addition, our approach provides concise
but rich text summary in key concepts and sentences. CONCLUSION: Our coherent
biomedical literature clustering and summarization approach that takes advantage
of ontology-enriched graphical representations significantly improves the quality
of document clusters and understandability of documents through summaries.
PMID- 18047706
TI - A comparison study on algorithms of detecting long forms for short forms in
biomedical text.
AB - MOTIVATION: With more and more research dedicated to literature mining in the
biomedical domain, more and more systems are available for people to choose from
when building literature mining applications. In this study, we focus on one
specific kind of literature mining task, i.e., detecting definitions of acronyms,
abbreviations, and symbols in biomedical text. We denote acronyms, abbreviations,
and symbols as short forms (SFs) and their corresponding definitions as long
forms (LFs). The study was designed to answer the following questions; i) how
well a system performs in detecting LFs from novel text, ii) what the coverage is
for various terminological knowledge bases in including SFs as synonyms of their
LFs, and iii) how to combine results from various SF knowledge bases. METHOD: We
evaluated the following three publicly available detection systems in detecting
LFs for SFs: i) a handcrafted pattern/rule based system by Ao and Takagi, ALICE,
ii) a machine learning system by Chang et al., and iii) a simple alignment-based
program by Schwartz and Hearst. In addition, we investigated the conceptual
coverage of two terminological knowledge bases: i) the UMLS (the Unified Medical
Language System), and ii) the BioThesaurus (a thesaurus of names for all UniProt
protein records). We also implemented a web interface that provides a virtual
integration of various SF knowledge bases. RESULTS: We found that detection
systems agree with each other on most cases, and the existing terminological
knowledge bases have a good coverage of synonymous relationship for frequently
defined LFs. The web interface allows people to detect SF definitions from text
and to search several SF knowledge bases. AVAILABILITY: The web site is
http://gauss.dbb.georgetown.edu/liblab/SFThesaurus.
PMID- 18047707
TI - Extracting unrecognized gene relationships from the biomedical literature via
matrix factorizations.
AB - BACKGROUND: The construction of literature-based networks of gene-gene
interactions is one of the most important applications of text mining in
bioinformatics. Extracting potential gene relationships from the biomedical
literature may be helpful in building biological hypotheses that can be explored
further experimentally. Recently, latent semantic indexing based on the singular
value decomposition (LSI/SVD) has been applied to gene retrieval. However, the
determination of the number of factors k used in the reduced rank matrix is still
an open problem. RESULTS: In this paper, we introduce a way to incorporate a
priori knowledge of gene relationships into LSI/SVD to determine the number of
factors. We also explore the utility of the non-negative matrix factorization
(NMF) to extract unrecognized gene relationships from the biomedical literature
by taking advantage of known gene relationships. A gene retrieval method based on
NMF (GR/NMF) showed comparable performance with LSI/SVD. CONCLUSION: Using known
gene relationships of a given gene, we can determine the number of factors used
in the reduced rank matrix and retrieve unrecognized genes related with the given
gene by LSI/SVD or GR/NMF.
PMID- 18047708
TI - Proceedings of the Fourth Annual Conference of the MidSouth Computational Biology
and Bioinformatics Society.
PMID- 18047709
TI - Nanopore current transduction analysis of protein binding to non-terminal and
terminal DNA regions: analysis of transcription factor binding, retroviral DNA
terminus dynamics, and retroviral integrase-DNA binding.
AB - BACKGROUND: Synthetic transcription factors (STFs) promise to offer a powerful
new therapeutic against Cancer, AIDS, and genetic disease. Currently, 10% of
drugs are of this type, including salicylate and tamoxifen. STFs that can
appropriately target (and release) their transcription factor binding sites on
native genomic DNA provide a means to directly influence cellular mRNA
production. An effective mechanism for screening amongst transcription factor
(TF) candidates would itself be highly valued, and such may be possible with
nanopore cheminformatics methods. RESULTS: It is hypothesized that binding
targets on channel-captured molecules, that are well away from the channel
captured region, can be monitored insofar as their binding status, or history, is
concerned. The first set of experiments we perform to explore this "transduction"
hypothesis involve non-terminal dsDNA binding to protein (DNA TATA box receptor
binding to TBP), where we show new experimental results and application of a new
cheminformatics data analysis method. In the second series of experiments to
explore the transduction hypothesis we examine terminal (blunt-ended) dsDNA
binding to protein. We show experimental results before and after introduction of
HIV's DNA integrase to a solution of bifunctional "Y" shaped aptamers that have
an HIV consensus terminus exposed for interaction. CONCLUSION: X-ray
crystallographic studies have guided our understanding of DNA structure for
almost a century. It is still difficult, however, to translate the sequence
directed curvature information obtained through these tools to actual systems
found in solution. With a nanopore detector the sequence-dependent conformation
kinetics of DNA, especially at the DNA terminus, can be studied in a new way
while still in solution and on a single molecule basis.
PMID- 18047710
TI - Preliminary nanopore cheminformatics analysis of aptamer-target binding strength.
AB - BACKGROUND: Aptamers are nucleic acids selected for their ability to bind to
molecules of interest and may provide the basis for a whole new class of
medicines. If the aptamer is simply a dsDNA molecule with a ssDNA overhang (a
"sticky" end) then the segment of ssDNA that complements that overhang provides a
known binding target with binding strength adjustable according to length of
overhang. RESULTS: Two bifunctional aptamers are examined using a nanopore
detector. They are chosen to provide sensitive, highly modulated, blockade
signals with their captured ends, while their un-captured regions are designed to
have binding moieties for complementary ssDNA targets. The bifunctional aptamers
are duplex DNA on their channel-captured portion, and single-stranded DNA on
their portion with binding ability. For short ssDNA, the binding is merely to the
complementary strand of DNA, which is what is studied here - for 5-base and 6
base overhangs. CONCLUSION: A preliminary statistical analysis using hidden
Markov models (HMMs) indicates a clear change in the blockade pattern upon
binding by the single captured aptamer. This is also consistent with the
hypothesis that significant conformational changes occur during the annealing
binding event. In further work the objective is to simply extend this ssDNA
portion to be a well-studied approximately 80 base ssDNA aptamer, joined to the
same bifunctional aptamer molecular platform.
PMID- 18047711
TI - Analysis of nanopore detector measurements using Machine-Learning methods, with
application to single-molecule kinetic analysis.
AB - BACKGROUND: A nanopore detector has a nanometer-scale trans-membrane channel
across which a potential difference is established, resulting in an ionic current
through the channel in the pA-nA range. A distinctive channel current blockade
signal is created as individually "captured" DNA molecules interact with the
channel and modulate the channel's ionic current. The nanopore detector is
sensitive enough that nearly identical DNA molecules can be classified with very
high accuracy using machine learning techniques such as Hidden Markov Models
(HMMs) and Support Vector Machines (SVMs). RESULTS: A non-standard implementation
of an HMM, emission inversion, is used for improved classification. Additional
features are considered for the feature vector employed by the SVM for
classification as well: The addition of a single feature representing spike
density is shown to notably improve classification results. Another, much larger,
feature set expansion was studied (2500 additional features instead of 1),
deriving from including all the HMM's transition probabilities. The expanded
features can introduce redundant, noisy information (as well as diagnostic
information) into the current feature set, and thus degrade classification
performance. A hybrid Adaptive Boosting approach was used for feature selection
to alleviate this problem. CONCLUSION: The methods shown here, for more informed
feature extraction, improve both classification and provide biologists and
chemists with tools for obtaining a better understanding of the kinetic
properties of molecules of interest.
PMID- 18047712
TI - Comparison of probabilistic Boolean network and dynamic Bayesian network
approaches for inferring gene regulatory networks.
AB - BACKGROUND: The regulation of gene expression is achieved through gene regulatory
networks (GRNs) in which collections of genes interact with one another and other
substances in a cell. In order to understand the underlying function of
organisms, it is necessary to study the behavior of genes in a gene regulatory
network context. Several computational approaches are available for modeling gene
regulatory networks with different datasets. In order to optimize modeling of
GRN, these approaches must be compared and evaluated in terms of accuracy and
efficiency. RESULTS: In this paper, two important computational approaches for
modeling gene regulatory networks, probabilistic Boolean network methods and
dynamic Bayesian network methods, are compared using a biological time-series
dataset from the Drosophila Interaction Database to construct a Drosophila gene
network. A subset of time points and gene samples from the whole dataset is used
to evaluate the performance of these two approaches. CONCLUSION: The comparison
indicates that both approaches had good performance in modeling the gene
regulatory networks. The accuracy in terms of recall and precision can be
improved if a smaller subset of genes is selected for inferring GRNs. The
accuracy of both approaches is dependent upon the number of selected genes and
time points of gene samples. In all tested cases, DBN identified more gene
interactions and gave better recall than PBN.
PMID- 18047713
TI - Duration learning for analysis of nanopore ionic current blockades.
AB - BACKGROUND: Ionic current blockade signal processing, for use in nanopore
detection, offers a promising new way to analyze single molecule properties, with
potential implications for DNA sequencing. The alpha-Hemolysin transmembrane
channel interacts with a translocating molecule in a nontrivial way, frequently
evidenced by a complex ionic flow blockade pattern. Typically, recorded current
blockade signals have several levels of blockade, with various durations, all
obeying a fixed statistical profile for a given molecule. Hidden Markov Model
(HMM) based duration learning experiments on artificial two-level Gaussian
blockade signals helped us to identify proper modeling framework. We then apply
our framework to the real multi-level DNA hairpin blockade signal. RESULTS: The
identified upper level blockade state is observed with durations that are
geometrically distributed (consistent with an a physical decay process for
remaining in any given state). We show that mixture of convolution chains of
geometrically distributed states is better for presenting multimodal long-tailed
duration phenomena. Based on learned HMM profiles we are able to classify 9 base
pair DNA hairpins with accuracy up to 99.5% on signals from same-day experiments.
CONCLUSION: We have demonstrated several implementations for de novo estimation
of duration distribution probability density function with HMM framework and
applied our model topology to the real data. The proposed design could be handy
in molecular analysis based on nanopore current blockade signal.
PMID- 18047714
TI - Analysis of circadian pattern reveals tissue-specific alternative transcription
in leptin signaling pathway.
AB - BACKGROUND: It has been previously reported that most mammalian genes display a
circadian oscillation in their baseline expression. Consequently, the phase and
amplitude of each component of a signal transduction cascade has downstream
consequences. RESULTS: Here, we report our analysis of alternative transcripts in
the leptin signaling pathway which is responsible for the systemic regulation of
macronutrient storage and energy balance. We focused on the circadian expression
pattern of a critical component of the leptin signaling system, suppressor of
cytokine signaling 3 (SOCS3). On an Affymetrix GeneChip 430A2 microarray, this
gene is represented by three probe sets targeting different regions within the 3'
end of the last exon. We demonstrate that in murine brown adipose tissue two
downstream 3' probe sets experience circadian baseline oscillation in counter
phase to the upstream probe set. Such differences in expression patterns are a
telltale sign of alternative splicing within the last exon of SOCS3. In contrast,
all three probe sets oscillated in a common phase in murine liver and white
adipose tissue. This suggests that the regulation of SOCS3 expression in brown
fat is tissue specific. Another component of the signaling pathway, Janus kinase
(JAK), is directly regulated by SOCS and has alternative transcript probe sets
oscillating in counter-phase in a white adipose tissue specific manner.
CONCLUSION: We hypothesize that differential oscillation of alternative
transcripts may provide a mechanism to maintain steady levels of expression in
spite of circadian baseline variation.
PMID- 18047715
TI - Computational analysis of biological functions and pathways collectively targeted
by co-expressed microRNAs in cancer.
AB - BACKGROUND: Multiple recent studies have found aberrant expression profiles of
microRNAome in human cancers. While several target genes have been experimentally
identified for some microRNAs in various tumors, the global pattern of cellular
functions and pathways affected by co-expressed microRNAs in cancer remains
elusive. The goal of this study was to develop a computational approach to global
analysis of the major biological processes and signaling pathways that are most
likely to be affected collectively by co-expressed microRNAs in cancer cells.
RESULTS: We report results of computational analysis of five datasets of
aberrantly expressed microRNAs in five human cancers published by the authors
(pancreatic cancer) and others (breast cancer, colon cancer, lung cancer and
lymphoma). Using the combinatorial target prediction algorithm miRgate and a two
step data reduction procedure we have determined Gene Ontology categories as well
as biological functions, disease categories, toxicological categories and
signaling pathways that are: targeted by multiple microRNAs; statistically
significantly enriched with target genes; and known to be affected in specific
cancers. CONCLUSION: Our global analysis of predicted miRNA targets suggests that
co-expressed miRNAs collectively provide systemic compensatory response to the
abnormal phenotypic changes in cancer cells by targeting a broad range of
functional categories and signaling pathways known to be affected in a particular
cancer. Such systems biology based approach provides new avenues for biological
interpretation of miRNA profiling data and generation of experimentally testable
hypotheses regarding collective regulatory functions of miRNA in cancer.
PMID- 18047716
TI - Automatic delineation of malignancy in histopathological head and neck slides.
AB - BACKGROUND: Histopathology, which is one of the most important routines of all
laboratory procedures used in pathology, is decisive for the diagnosis of cancer.
Experienced histopathologists review the histological slides acquired from biopsy
specimen in order to outline malignant areas. Recently, improvements in imaging
technologies in terms of histological image analysis led to the discovery of
virtual histological slides. In this technique, a computerized microscope scans a
glass slide and generates virtual slides at a resolution of 0.25 mum/pixel. As
the recognition of intrinsic cancer areas is time consuming and error prone, in
this study we develop a novel method to tackle automatic squamous cell carcinoma
of the head and neck detection problem in high-resolution, wholly-scanned
histopathological slides. RESULTS: A density-based clustering algorithm improved
for this study plays a key role in the determination of the corrupted cell
nuclei. Using the Support Vector Machines (SVMs) Classifier, experimental results
on seven head and neck slides show that the proposed algorithm performs well,
obtaining an average of 96% classification accuracy. CONCLUSION: Recent advances
in imaging technology enable us to investigate cancer tissue at cellular level.
In this study we focus on wholly-scanned histopathological slides of head and
neck tissues. In the context of computer-aided diagnosis, delineation of
malignant regions is achieved using a powerful classification algorithm, which
heavily depends on the features extracted by aid of a newly proposed cell nuclei
clustering technique. The preliminary experimental results demonstrate a high
accuracy of the proposed method.
PMID- 18047717
TI - SVM clustering.
AB - BACKGROUND: Support Vector Machines (SVMs) provide a powerful method for
classification (supervised learning). Use of SVMs for clustering (unsupervised
learning) is now being considered in a number of different ways. RESULTS: An SVM
based clustering algorithm is introduced that clusters data with no a priori
knowledge of input classes. The algorithm initializes by first running a binary
SVM classifier against a data set with each vector in the set randomly labelled,
this is repeated until an initial convergence occurs. Once this initialization
step is complete, the SVM confidence parameters for classification on each of the
training instances can be accessed. The lowest confidence data (e.g., the worst
of the mislabelled data) then has its' labels switched to the other class label.
The SVM is then re-run on the data set (with partly re-labelled data) and is
guaranteed to converge in this situation since it converged previously, and now
it has fewer data points to carry with mislabelling penalties. This approach
appears to limit exposure to the local minima traps that can occur with other
approaches. Thus, the algorithm then improves on its weakly convergent result by
SVM re-training after each re-labeling on the worst of the misclassified vectors
i.e., those feature vectors with confidence factor values beyond some threshold.
The repetition of the above process improves the accuracy, here a measure of
separability, until there are no misclassifications. Variations on this type of
clustering approach are shown. CONCLUSION: Non-parametric SVM-based clustering
methods may allow for much improved performance over parametric approaches,
particularly if they can be designed to inherit the strengths of their supervised
SVM counterparts.
PMID- 18047718
TI - A novel, fast, HMM-with-Duration implementation - for application with a new,
pattern recognition informed, nanopore detector.
AB - BACKGROUND: Hidden Markov Models (HMMs) provide an excellent means for structure
identification and feature extraction on stochastic sequential data. An HMM-with
Duration (HMMwD) is an HMM that can also exactly model the hidden-label length
(recurrence) distributions - while the regular HMM will impose a best-fit
geometric distribution in its modeling/representation. RESULTS: A Novel, Fast,
HMM-with-Duration (HMMwD) Implementation is presented, and experimental results
are shown that demonstrate its performance on two-state synthetic data designed
to model Nanopore Detector Data. The HMMwD experimental results are compared to
(i) the ideal model and to (ii) the conventional HMM. Its accuracy is clearly an
improvement over the standard HMM, and matches that of the ideal solution in many
cases where the standard HMM does not. Computationally, the new HMMwD has all the
speed advantages of the conventional (simpler) HMM implementation. In preliminary
work shown here, HMM feature extraction is then used to establish the first
pattern recognition-informed (PRI) sampling control of a Nanopore Detector Device
(on a "live" data-stream). CONCLUSION: The improved accuracy of the new HMMwD
implementation, at the same order of computational cost as the standard HMM, is
an important augmentation for applications in gene structure identification and
channel current analysis, especially PRI sampling control, for example, where
speed is essential. The PRI experiment was designed to inherit the high accuracy
of the well characterized and distinctive blockades of the DNA hairpin molecules
used as controls (or blockade "test-probes"). For this test set, the accuracy
inherited is 99.9%.
PMID- 18047719
TI - Systems biology approach for mapping the response of human urothelial cells to
infection by Enterococcus faecalis.
AB - BACKGROUND: To better understand the response of urinary epithelial (urothelial)
cells to Enterococcus faecalis, a uropathogen that exhibits resistance to
multiple antibiotics, a genome-wide scan of gene expression was obtained as a
time series from urothelial cells growing as a layered 3-dimensional culture
similar to normal urothelium. We herein describe a novel means of analysis that
is based on deconvolution of gene variability into technical and biological
components. RESULTS: Analysis of the expression of 21,521 genes from 30 minutes
to 10 hours post infection, showed 9553 genes were expressed 3 standard
deviations (SD) above the system zero-point noise in at least 1 time point. The
asymmetric distribution of relative variances of the expressed genes was
deconvoluted into technical variation (with a 6.5% relative SD) and biological
variation components (>3 SD above the mode technical variability). These 1409
hypervariable (HV) genes encapsulated the effect of infection on gene expression.
Pathway analysis of the HV genes revealed an orchestrated response to infection
in which early events included initiation of immune response, cytoskeletal
rearrangement and cell signaling followed at the end by apoptosis and shutting
down cell metabolism. The number of poorly annotated genes in the earliest time
points suggests heretofore unknown processes likely also are involved.
CONCLUSION: Enterococcus infection produced an orchestrated response by the host
cells involving several pathways and transcription factors that potentially drive
these pathways. The early time points potentially identify novel targets for
enhancing the host response. These approaches combine rigorous statistical
principles with a biological context and are readily applied by biologists.
PMID- 18047720
TI - Nanopore-based kinetics analysis of individual antibody-channel and antibody
antigen interactions.
AB - BACKGROUND: The UNO/RIC Nanopore Detector provides a new way to study the binding
and conformational changes of individual antibodies. Many critical questions
regarding antibody function are still unresolved, questions that can be
approached in a new way with the nanopore detector. RESULTS: We present evidence
that different forms of channel blockade can be associated with the same
antibody, we associate these different blockades with different orientations of
"capture" of an antibody in the detector's nanometer-scale channel. We directly
detect the presence of antibodies via reductions in channel current. Changes to
blockade patterns upon addition of antigen suggest indirect detection of
antibody/antigen binding. Similarly, DNA-hairpin anchored antibodies have been
studied, where the DNA linkage is to the carboxy-terminus at the base of the
antibody's Fc region, with significantly fewer types of (lengthy) capture
blockades than was observed for free (un-bound) IgG antibody. The introduction of
chaotropic agents and its effects on protein-protein interactions have also been
observed. CONCLUSION: Nanopore-based approaches may eventually provide a direct
analysis of the complex conformational "negotiations" that occur upon binding
between proteins.
PMID- 18047721
TI - A survey of DNA motif finding algorithms.
AB - BACKGROUND: Unraveling the mechanisms that regulate gene expression is a major
challenge in biology. An important task in this challenge is to identify
regulatory elements, especially the binding sites in deoxyribonucleic acid (DNA)
for transcription factors. These binding sites are short DNA segments that are
called motifs. Recent advances in genome sequence availability and in high
throughput gene expression analysis technologies have allowed for the development
of computational methods for motif finding. As a result, a large number of motif
finding algorithms have been implemented and applied to various motif models over
the past decade. This survey reviews the latest developments in DNA motif finding
algorithms. RESULTS: Earlier algorithms use promoter sequences of coregulated
genes from single genome and search for statistically overrepresented motifs.
Recent algorithms are designed to use phylogenetic footprinting or orthologous
sequences and also an integrated approach where promoter sequences of coregulated
genes and phylogenetic footprinting are used. All the algorithms studied have
been reported to correctly detect the motifs that have been previously detected
by laboratory experimental approaches, and some algorithms were able to find
novel motifs. However, most of these motif finding algorithms have been shown to
work successfully in yeast and other lower organisms, but perform significantly
worse in higher organisms. CONCLUSION: Despite considerable efforts to date, DNA
motif finding remains a complex challenge for biologists and computer scientists.
Researchers have taken many different approaches in developing motif discovery
tools and the progress made in this area of research is very encouraging.
Performance comparison of different motif finding tools and identification of the
best tools have proven to be a difficult task because tools are designed based on
algorithms and motif models that are diverse and complex and our incomplete
understanding of the biology of regulatory mechanism does not always provide
adequate evaluation of underlying algorithms over motif models.
PMID- 18047722
TI - Comparison of gene expression profiles altered by comfrey and riddelliine in rat
liver.
AB - BACKGROUND: Comfrey (Symphytum officinale) is a perennial plant and has been
consumed by humans as a vegetable, a tea and an herbal medicine for more than
2000 years. It, however, is hepatotoxic and carcinogenic in experimental animals
and hepatotoxic in humans. Pyrrolizidine alkaloids (PAs) exist in many plants and
many of them cause liver toxicity and/or cancer in humans and experimental
animals. In our previous study, we found that the mutagenicity of comfrey was
associated with the PAs contained in the plant. Therefore, we suggest that
carcinogenicity of comfrey result from those PAs. To confirm our hypothesis, we
compared the expression of genes and processes of biological functions that were
altered by comfrey (mixture of the plant with PAs) and riddelliine (a prototype
of carcinogenic PA) in rat liver for carcinogenesis in this study. RESULTS:
Groups of 6 Big Blue Fisher 344 rats were treated with riddelliine at 1 mg/kg
body weight by gavage five times a week for 12 weeks or fed a diet containing 8%
comfrey root for 12 weeks. Animals were sacrificed one day after the last
treatment and the livers were isolated for gene expression analysis. The gene
expressions were investigated using Applied Biosystems Rat Whole Genome Survey
Microarrays and the biological functions were analyzed with Ingenuity Analysis
Pathway software. Although there were large differences between the significant
genes and between the biological processes that were altered by comfrey and
riddelliine, there were a number of common genes and function processes that were
related to carcinogenesis. There was a strong correlation between the two
treatments for fold-change alterations in expression of drug metabolizing and
cancer-related genes. CONCLUSION: Our results suggest that the carcinogenesis
related gene expression patterns resulting from the treatments of comfrey and
riddelliine are very similar, and PAs contained in comfrey are the main active
components responsible for carcinogenicity of the plant.
PMID- 18047723
TI - Prediction of peptides observable by mass spectrometry applied at the
experimental set level.
AB - BACKGROUND: When proteins are subjected to proteolytic digestion and analyzed by
mass spectrometry using a method such as 2D LC MS/MS, only a portion of the
proteotypic peptides associated with each protein will be observed. The ability
to predict which peptides can and cannot potentially be observed for a particular
experimental dataset has several important applications in proteomics research
including calculation of peptide coverage in terms of potentially detectable
peptides, systems biology analysis of data sets, and protein quantification.
RESULTS: We have developed a methodology for constructing artificial neural
networks that can be used to predict which peptides are potentially observable
for a given set of experimental, instrumental, and analytical conditions for 2D
LC MS/MS (a.k.a Multidimensional Protein Identification Technology [MudPIT])
datasets. Neural network classifiers constructed using this procedure for two
MudPIT datasets exhibit 10-fold cross validation accuracy of about 80%. We show
that a classifier constructed for one dataset has poor predictive performance
with the other dataset, thus demonstrating the need for dataset specific
classifiers. Classification results with each dataset are used to compute
informative percent amino acid coverage statistics for each protein in terms of
the predicted detectable peptides in addition to the percent coverage of the
complete sequence. We also demonstrate the utility of predicted peptide
observability for systems analysis to help determine if proteins that were
expected but not observed generate sufficient peptides for detection. CONCLUSION:
Classifiers that accurately predict the likelihood of detecting proteotypic
peptides by mass spectrometry provide proteomics researchers with powerful new
approaches for data analysis. We demonstrate that the procedure we have developed
for building a classifier based on an individual experimental data set results in
classifiers with accuracy comparable to those reported in the literature based on
large training sets collected from multiple experiments. Our approach allows the
researcher to construct a classifier that is specific for the experimental,
instrument, and analytical conditions of a single experiment and amenable to
local, condition-specific, implementation. The resulting classifiers have
application in a number of areas such as determination of peptide coverage for
protein identification, pathway analysis, and protein quantification.
PMID- 18047724
TI - ProtQuant: a tool for the label-free quantification of MudPIT proteomics data.
AB - BACKGROUND: Effective and economical methods for quantitative analysis of high
throughput mass spectrometry data are essential to meet the goals of directly
identifying, characterizing, and quantifying proteins from a particular cell
state. Multidimensional Protein Identification Technology (MudPIT) is a common
approach used in protein identification. Two types of methods are used to detect
differential protein expression in MudPIT experiments: those involving stable
isotope labelling and the so-called label-free methods. Label-free methods are
based on the relationship between protein abundance and sampling statistics such
as peptide count, spectral count, probabilistic peptide identification scores,
and sum of peptide Sequest XCorr scores (SigmaXCorr). Although a number of label
free methods for protein quantification have been described in the literature,
there are few publicly available tools that implement these methods. We describe
ProtQuant, a Java-based tool for label-free protein quantification that uses the
previously published SigmaXCorr method for quantification and includes an
improved method for handling missing data. RESULTS: ProtQuant was designed for
ease of use and portability for the bench scientist. It implements the SigmaXCorr
method for label free protein quantification from MudPIT datasets. ProtQuant has
a graphical user interface, accepts multiple file formats, is not limited by the
size of the input files, and can process any number of replicates and any number
of treatments. In addition, ProtQuant implements a new method for dealing with
missing values for peptide scores used for quantification. The new algorithm,
called SigmaXCorr*, uses "below threshold" peptide scores to provide meaningful
non-zero values for missing data points. We demonstrate that SigmaXCorr* produces
an average reduction in false positive identifications of differential expression
of 25% compared to SigmaXCorr. CONCLUSION: ProtQuant is a tool for protein
quantification built for multi-platform use with an intuitive user interface.
ProtQuant efficiently and uniquely performs label-free quantification of protein
datasets produced with Sequest and provides the user with facilities for data
management and analysis. Importantly, ProtQuant is available as a self-installing
executable for the Windows environment used by many bench scientists.
PMID- 18047725
TI - Towards validating the hypothesis of phylogenetic profiling.
AB - BACKGROUND: As the number of fully sequenced genome increases, the need is
greater for bioinformatics to predict or annotate genes of a newly sequenced
genome. Ever since Eisenberg and his colleagues introduced phylogenetic profiling
for assigning or predicting protein functions using comparative genomic analysis,
the approach has been used in predicting function of some prokaryotic genomes
quite successfully. Very little work has been reported in functional prediction
of eukaryotes such as mouse and Homo sapiens species from phylogenetic profiles.
RESULTS: We have proposed a general methodology for validating the hypothesis
underlying phylogenetic profiling techniques, and have demonstrated it using
eukaryotic target genomes such as Homo sapiens and mouse. The gene ontology is
used as the gold standard for validating functional similarity among the genes in
each cluster. We compute the functional cohesiveness of each cluster and the
results appeared to be not encouraging towards finding functionally cohesive
phylogenetic profiles. This result complements one recent work on the poor
performance on functional linkage in some eukaryotic genome using phylogenetic
profiling techniques. If we introduce a broad interpretation for functionally
related genes as functional sub-clustering within a phylogenetic profile, then we
have a very strong support for the hypothesis as we have shown in the paper.
PMID- 18047726
TI - Metabonomics evaluations of age-related changes in the urinary compositions of
male Sprague Dawley rats and effects of data normalization methods on statistical
and quantitative analysis.
AB - BACKGROUND: Urine from male Sprague-Dawley rats 25, 40, and 80 days old was
analyzed by NMR and UPLC/MS. The effects of data normalization procedures on
principal component analysis (PCA) and quantitative analysis of NMR-based
metabonomics data were investigated. Additionally, the effects of age on the
metabolic profiles were examined by both NMR and UPLC/MS analyses. RESULTS: The
data normalization factor was shown to have a great impact on the statistical and
quantitative results indicating the need to carefully consider how to best
normalize the data within a particular study and when comparing different
studies. PCA applied to the data obtained from both NMR and UPLC/MS platforms
reveals similar age-related differences. NMR indicated many metabolites
associated with the Krebs cycle decrease while citrate and 2-oxoglutarate, also
associated with the Krebs cycle, increase in older rats. CONCLUSION: This study
compared four different normalization methods for the NMR-based metabonomics
spectra from an age-related study. It was shown that each method of normalization
has a great effect on both the statistical and quantitative analyses. Each
normalization method resulted in altered relative positions of significant PCA
loadings for each sample spectra but it did not alter which chemical shifts had
the highest loadings. The greater the normalization factor was related to age,
the greater the separation between age groups was observed in subsequent PCA
analyses. The normalization factor that showed the least age dependence was total
NMR intensity, which was consistent with UPLC/MS data. Normalization by total
intensity attempts to make corrections due to dietary and water intake of the
individual animal, which is especially useful in metabonomics evaluations of
urine. Additionally, metabonomics evaluations of age-related effects showed
decreased concentrations of many Krebs cycle intermediates along with increased
levels of oxidized antioxidants in urine of older rats, which is consistent with
current theories on aging and its association with diminishing mitochondrial
function and increasing levels of reactive oxygen species. Analysis of urine by
both NMR and UPLC/MS provides a comprehensive and complementary means of
examining metabolic events in aging rats.
PMID- 18047727
TI - Gene expression changes induced by the tumorigenic pyrrolizidine alkaloid
riddelliine in liver of Big Blue rats.
AB - BACKGROUND: Pyrrolizidine alkaloids (PAs) are probably the most common plant
constituents that poison livestock, wildlife, and humans worldwide. Riddelliine
is isolated from plants grown in the western United States and is a prototype of
genotoxic PAs. Riddelliine was used to investigate the genotoxic effects of PAs
via analysis of gene expression in the target tissue of rats in this study.
Previously we observed that the mutant frequency in the liver of rats gavaged
with riddelliine was 3-fold higher than that in the control group. Molecular
analysis of the mutants indicated that there was a statistically significant
difference between the mutational spectra from riddelliine-treated and control
rats. RESULTS: Riddelliine-induced gene expression profiles in livers of Big Blue
transgenic rats were determined. The female rats were gavaged with riddelliine at
a dose of 1 mg/kg body weight 5 days a week for 12 weeks. Rat whole genome
microarray was used to perform genome-wide gene expression studies. When a cutoff
value of a two-fold change and a P-value less than 0.01 were used as gene
selection criteria, 919 genes were identified as differentially expressed in
riddelliine-treated rats compared to the control animals. By analysis with the
Ingenuity Pathway Analysis Network, we found that these significantly changed
genes were mainly involved in cancer, cell death, tissue development, cellular
movement, tissue morphology, cell-to-cell signaling and interaction, and cellular
growth and proliferation. We further analyzed the genes involved in metabolism,
injury of endothelial cells, liver abnormalities, and cancer development in
detail. CONCLUSION: The alterations in gene expression were directly related to
the pathological outcomes reported previously. These results provided further
insight into the mechanisms involved in toxicity and carcinogenesis after
exposure to riddelliine, and permitted us to investigate the interaction of gene
products inside the signaling networks.
PMID- 18047728
TI - Structure and function predictions of the Msa protein in Staphylococcus aureus.
AB - BACKGROUND: Staphylococcus aureus is a human pathogen that causes a wide variety
of life-threatening infections using a large number of virulence factors. One of
the major global regulators used by S. aureus is the staphylococcal accessory
regulator (sarA). We have identified and characterized a new gene (modulator of
sarA: msa) that modulates the expression of sarA. Genetic and functional analysis
shows that msa has a global effect on gene expression in S. aureus. However, the
mechanism of Msa function is still unknown. Function predictions of Msa are
complicated by the fact that it does not have a homologous partner in any other
organism. This work aims at predicting the structure and function of the Msa
protein. RESULTS: Preliminary sequence analysis showed that Msa is a putative
membrane protein. It would therefore be very difficult to purify and crystallize
Msa in order to acquire structure information about this protein. We have used
several computational tools to predict the physico-chemical properties, secondary
structural features, topology, 3D tertiary structure, binding sites,
motifs/patterns/domains and cellular location. We have built a consensus that is
derived from analysis using different algorithms to predict several structural
features. We confirm that Msa is a putative membrane protein with three
transmembrane regions. We also predict that Msa has phosphorylation sites and
binding sites suggesting functions in signal transduction. CONCLUSION: Based on
our predictions we hypothesise that Msa is a novel signal transducer that might
be involved in the interaction of the S. aureus with its environment.
PMID- 18047729
TI - Statistical tools for transgene copy number estimation based on real-time PCR.
AB - BACKGROUND: As compared with traditional transgene copy number detection
technologies such as Southern blot analysis, real-time PCR provides a fast,
inexpensive and high-throughput alternative. However, the real-time PCR based
transgene copy number estimation tends to be ambiguous and subjective stemming
from the lack of proper statistical analysis and data quality control to render a
reliable estimation of copy number with a prediction value. Despite the recent
progresses in statistical analysis of real-time PCR, few publications have
integrated these advancements in real-time PCR based transgene copy number
determination. RESULTS: Three experimental designs and four data quality control
integrated statistical models are presented. For the first method, external
calibration curves are established for the transgene based on serially-diluted
templates. The Ct number from a control transgenic event and putative transgenic
event are compared to derive the transgene copy number or zygosity estimation.
Simple linear regression and two group T-test procedures were combined to model
the data from this design. For the second experimental design, standard curves
were generated for both an internal reference gene and the transgene, and the
copy number of transgene was compared with that of internal reference gene.
Multiple regression models and ANOVA models can be employed to analyze the data
and perform quality control for this approach. In the third experimental design,
transgene copy number is compared with reference gene without a standard curve,
but rather, is based directly on fluorescence data. Two different multiple
regression models were proposed to analyze the data based on two different
approaches of amplification efficiency integration. Our results highlight the
importance of proper statistical treatment and quality control integration in
real-time PCR-based transgene copy number determination. CONCLUSION: These
statistical methods allow the real-time PCR-based transgene copy number
estimation to be more reliable and precise with a proper statistical estimation.
Proper confidence intervals are necessary for unambiguous prediction of trangene
copy number. The four different statistical methods are compared for their
advantages and disadvantages. Moreover, the statistical methods can also be
applied for other real-time PCR-based quantification assays including
transfection efficiency analysis and pathogen quantification.
PMID- 18047730
TI - Cloning, analysis and functional annotation of expressed sequence tags from the
Earthworm Eisenia fetida.
AB - BACKGROUND: Eisenia fetida, commonly known as red wiggler or compost worm,
belongs to the Lumbricidae family of the Annelida phylum. Little is known about
its genome sequence although it has been extensively used as a test organism in
terrestrial ecotoxicology. In order to understand its gene expression response to
environmental contaminants, we cloned 4032 cDNAs or expressed sequence tags
(ESTs) from two E. fetida libraries enriched with genes responsive to ten
ordnance related compounds using suppressive subtractive hybridization-PCR.
RESULTS: A total of 3144 good quality ESTs (GenBank dbEST accession number
EH669363-EH672369 and EL515444-EL515580) were obtained from the raw clone
sequences after cleaning. Clustering analysis yielded 2231 unique sequences
including 448 contigs (from 1361 ESTs) and 1783 singletons. Comparative genomic
analysis showed that 743 or 33% of the unique sequences shared high similarity
with existing genes in the GenBank nr database. Provisional function annotation
assigned 830 Gene Ontology terms to 517 unique sequences based on their homology
with the annotated genomes of four model organisms Drosophila melanogaster, Mus
musculus, Saccharomyces cerevisiae, and Caenorhabditis elegans. Seven percent of
the unique sequences were further mapped to 99 Kyoto Encyclopedia of Genes and
Genomes pathways based on their matching Enzyme Commission numbers. All the
information is stored and retrievable at a highly performed, web-based and user
friendly relational database called EST model database or ESTMD version 2.
CONCLUSION: The ESTMD containing the sequence and annotation information of 4032
E. fetida ESTs is publicly accessible at http://mcbc.usm.edu/estmd/.
PMID- 18047731
TI - Robust clustering in high dimensional data using statistical depths.
AB - BACKGROUND: Mean-based clustering algorithms such as bisecting k-means generally
lack robustness. Although componentwise median is a more robust alternative, it
can be a poor center representative for high dimensional data. We need a new
algorithm that is robust and works well in high dimensional data sets e.g. gene
expression data. RESULTS: Here we propose a new robust divisive clustering
algorithm, the bisecting k-spatialMedian, based on the statistical spatial depth.
A new subcluster selection rule, Relative Average Depth, is also introduced. We
demonstrate that the proposed clustering algorithm outperforms the componentwise
median-based bisecting k-median algorithm for high dimension and low sample size
(HDLSS) data via applications of the algorithms on two real HDLSS gene expression
data sets. When further applied on noisy real data sets, the proposed algorithm
compares favorably in terms of robustness with the componentwise-median-based
bisecting k-median algorithm. CONCLUSION: Statistical data depths provide an
alternative way to find the "center" of multivariate data sets and are useful and
robust for clustering.
PMID- 18047732
TI - The alpha-hemolysin nanopore transduction detector - single-molecule binding
studies and immunological screening of antibodies and aptamers.
AB - BACKGROUND: Nanopore detection is based on observations of the ionic current
threading a single, highly stable, nanometer-scale channel. The dimensions are
such that small biomolecules and biopolymers (like DNA and peptides) can
translocate or be captured in the channel. The identities of translocating or
captured molecules can often be discerned, one from another, based on their
channel blockade "signatures". There is a self-limiting aspect to a translocation
based detection mechanism: as the channel fits tighter around the translocating
molecule the dynamic range of the ionic current signal is reduced. In this study,
a lengthy, highly structure, high dynamic-range, molecular capture is sought as a
key component of a transduction-based nanopore detection platform. RESULTS: A
specialized role, or device augmentation, involving bifunctional molecules has
been explored. The bifunctional molecule has one function to enter and blockade
the channel in an information-rich self-modulating manner, while the other
function is for binding (usually), located on a non-channel-captured portion of
the molecule. Part of the bifunctional molecule is, thus, external to the channel
and is free to bind or rigidly link to a larger molecule of interest. What
results is an event transduction detector: molecular events are directly
transduced into discernible changes in the stationary statistics of the
bifunctional molecule's channel blockade. Several results are presented of
nanopore-based event-transduction detection. CONCLUSION: It may be possible to
directly track the bound versus unbound state of a huge variety of molecules
using nanopore transduction detection.
PMID- 18047733
TI - Ubiquitin domain proteins in disease.
AB - The human genome encodes several ubiquitin-like (UBL) domain proteins (UDPs).
Members of this protein family are involved in a variety of cellular functions
and many are connected to the ubiquitin proteasome system, an essential pathway
for protein degradation in eukaryotic cells. Despite their structural similarity,
the UBL domains appear to have a range of different targets, resulting in a
considerable diversity with respect to UDP function. Here, we give a short
summary of the biochemical and physiological roles of the UDPs, which have been
linked to human diseases including neurodegeneration and cancer. Publication
history: Republished from Current BioData's Targeted Proteins database (TPdb;
http://www.targetedproteinsdb.com).
PMID- 18047734
TI - The Fanconi anemia pathway and ubiquitin.
AB - Fanconi anemia (FA) is a rare genetic disorder characterized by aplastic anemia,
cancer/leukemia susceptibility and cellular hypersensitivity to DNA crosslinking
agents, such as cisplatin. To date, 12 FA gene products have been identified,
which cooperate in a common DNA damage-activated signaling pathway regulating DNA
repair (the FA pathway). Eight FA proteins form a nuclear complex harboring E3
ubiquitin ligase activity (the FA core complex) that, in response to DNA damage,
mediates the monoubiquitylation of the FA protein FANCD2. Monoubiquitylated
FANCD2 colocalizes in nuclear foci with proteins involved in DNA repair,
including BRCA1, FANCD1/BRCA2, FANCN/PALB2 and RAD51. All these factors are
required for cellular resistance to DNA crosslinking agents. The inactivation of
the FA pathway has also been observed in a wide variety of human cancers and is
implicated in the sensitivity of cancer cells to DNA crosslinking agents. Drugs
that inhibit the FA pathway may be useful chemosensitizers in the treatment of
cancer. Publication history: Republished from Current BioData's Targeted Proteins
database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047735
TI - The role of the UPS in cystic fibrosis.
AB - CF is an inherited autosomal recessive disease whose lethality arises from
malfunction of CFTR, a single chloride (Cl-) ion channel protein. CF patients
harbor mutations in the CFTR gene that lead to misfolding of the resulting CFTR
protein, rendering it inactive and mislocalized. Hundreds of CF-related mutations
have been identified, many of which abrogate CFTR folding in the endoplasmic
reticulum (ER). More than 70% of patients harbor the DeltaF508 CFTR mutation that
causes misfolding of the CFTR proteins. Consequently, mutant CFTR is unable to
reach the apical plasma membrane of epithelial cells that line the lungs and gut,
and is instead targeted for degradation by the UPS. Proteins located in both the
cytoplasm and ER membrane are believed to identify misfolded CFTR for UPS
mediated degradation. The aberrantly folded CFTR protein then undergoes
polyubiquitylation, carried out by an E1-E2-E3 ubiquitin ligase system, leading
to degradation by the 26S proteasome. This ubiquitin-dependent loss of misfolded
CFTR protein can be inhibited by the application of 'corrector' drugs that aid
CFTR folding, shielding it from the UPS machinery. Corrector molecules elevate
cellular CFTR protein levels by protecting the protein from degradation and
aiding folding, promoting its maturation and localization to the apical plasma
membrane. Combinatory application of corrector drugs with activator molecules
that enhance CFTR Cl- ion channel activity offers significant potential for
treatment of CF patients. Publication history: Republished from Current BioData's
Targeted Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047736
TI - Role of the ubiquitin proteasome system in Alzheimer's disease.
AB - Though Alzheimer's disease (AD) is a syndrome with well-defined clinical and
neuropathological manifestations, an array of molecular defects underlies its
pathology. A role for the ubiquitin proteasome system (UPS) was suspected in the
pathogenesis of AD since the presence of ubiquitin immunoreactivity in AD-related
neuronal inclusions, such as neurofibrillary tangles, is seen in all AD cases.
Recent studies have indicated that components of the UPS could be linked to the
early phase of AD, which is marked by synaptic dysfunction, as well as to the
late stages of the disease, characterized by neurodegeneration. Insoluble protein
aggregates in the brain of AD patients could result from malfunction or overload
of the UPS, or from structural changes in the protein substrates, which prevent
their recognition and degradation by the UPS. Defective proteolysis could cause
the synaptic dysfunction observed early in AD since the UPS is known to play a
role in the normal functioning of synapses. In this review, we discuss recent
observations on possible links between the UPS and AD, and the potential for
utilizing UPS components as targets for treatment of this disease. Publication
history: Republished from Current BioData's Targeted Proteins database (TPdb;
http://www.targetedproteinsdb.com).
PMID- 18047737
TI - Role of the ubiquitin proteasome system in Parkinson's disease.
AB - Parkinson's disease (PD) is the most common neurodegenerative movement disorder.
Although a subject of intense research, the etiology of PD remains poorly
understood. Recently, several lines of evidence have implicated an intimate link
between aberrations in the ubiquitin proteasome system (UPS) and PD pathogenesis.
Derangements of the UPS, which normally functions as a type of protein
degradation machinery, lead to alterations in protein homeostasis that could
conceivably promote the toxic accumulation of proteins detrimental to neuronal
survival. Not surprisingly, various cellular and animal models of PD that are
based on direct disruption of UPS function reproduce the most prominent features
of PD. Although persuasive, new developments in the past few years have in fact
raised serious questions about the link between the UPS and PD. Here I review
current thoughts and controversies about their relationship and discuss whether
strategies aimed at mitigating UPS dysfunction could represent rational ways to
intervene in the disease. Publication history: Republished from Current BioData's
Targeted Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047738
TI - Patented small molecule inhibitors in the ubiquitin proteasome system.
AB - Deregulation of the ubiquitin proteasome system (UPS) has been implicated in the
pathogenesis of many human diseases, including cancer and neurodegenerative
disorders. The recent approval of the proteasome inhibitor Velcade(R)
(bortezomib) for the treatment of multiple myeloma and mantle cell lymphoma
establishes this system as a valid target for cancer treatment. We review here
new patented proteasome inhibitors and patented small molecule inhibitors
targeting more specific UPS components, such as E3 ubiquitin ligases and
deubiquitylating enzymes. Publication history: Republished from Current BioData's
Targeted Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047739
TI - The ubiquitin proteasome system in Huntington's disease and the spinocerebellar
ataxias.
AB - Huntington's disease and several of the spinocerebellar ataxias are caused by the
abnormal expansion of a CAG repeat within the coding region of the disease gene.
This results in the production of a mutant protein with an abnormally expanded
polyglutamine tract. Although these disorders have a clear monogenic cause, each
polyglutamine expansion mutation is likely to cause the dysfunction of many
pathways and processes within the cell. It has been proposed that the ubiquitin
proteasome system is impaired in polyglutamine expansion disorders and that this
contributes to pathology. However, this is controversial with some groups
demonstrating decreased proteasome activity in polyglutamine expansion disorders,
some showing no change in activity and others demonstrating an increase in
proteasome activity. It remains unknown whether the ubiquitin proteasome system
is a feasible therapeutic target in these disorders. Here we review the
conflicting results obtained from different assays performed in a variety of
different systems. Publication history: Republished from Current BioData's
Targeted Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047741
TI - Role of the ubiquitin proteasome system in renal cell carcinoma.
AB - Renal cell carcinoma (RCC) accounts for approximately 2.6% of all cancers in the
United States. While early stage disease is curable by surgery, the median
survival of metastatic disease is only 13 months. In the last decade, there has
been considerable progress in understanding the genetics of RCC. The VHL tumor
suppressor gene is inactivated in the majority of RCC cases. The VHL protein
(pVHL) acts as an E3 ligase that targets HIF-1, the hypoxia inducible
transcription factor, for degradation by the ubiquitin proteasome system (UPS).
In RCC cases with mutant pVHL, HIF-1 is stabilized and aberrantly expressed in
normoxia, leading to the activation of pro-survival genes such as vascular
endothelial growth factor (VEGF). This review will focus on the defect in the UPS
that underlies RCC and describe the development of novel therapies that target
the UPS. Publication history: Republished from Current BioData's Targeted
Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047742
TI - Ubiquitin-mediated signalling and Paget's disease of bone.
AB - Multiple steps in the RANK-NF-kappaB signalling pathway are regulated by
ubiquitylation. Mutations affecting different components of this pathway,
including the ubiquitin binding p62 signalling adapter protein, are found in
patients with Paget's disease of bone or related syndromes. Here, we review the
molecular defects and potential disease mechanisms in these conditions and
conclude that the mutations may confer a common increased sensitivity of
osteoclasts to cytokines, resulting in disordered NF-kappaB-dependent osteoclast
function. Modulation of the osteoclast RANK-NF-kappaB signalling axis may
represent a viable therapeutic strategy for Paget's disease and other conditions
where excessive bone resorption or remodelling is a feature. Publication history:
Republished from Current BioData's Targeted Proteins database (TPdb;
http://www.targetedproteinsdb.com).
PMID- 18047740
TI - Role of proteasomes in disease.
AB - A functional ubiquitin proteasome system is essential for all eukaryotic cells
and therefore any alteration to its components has potential pathological
consequences. Though the exact underlying mechanism is unclear, an age-related
decrease in proteasome activity weakens cellular capacity to remove oxidatively
modified proteins and favours the development of neurodegenerative and cardiac
diseases. Up-regulation of proteasome activity is characteristic of muscle
wasting conditions including sepsis, cachexia and uraemia, but may not be rate
limiting. Meanwhile, enhanced presence of immunoproteasomes in aging brain and
muscle tissue could reflect a persistent inflammatory defence and anti-stress
mechanism, whereas in cancer cells, their down-regulation reflects a means by
which to escape immune surveillance. Hence, induction of apoptosis by synthetic
proteasome inhibitors is a potential treatment strategy for cancer, whereas for
other diseases such as neurodegeneration, the use of proteasome-activating or
modulating compounds could be more effective. Publication history: Republished
from Current BioData's Targeted Proteins database (TPdb;
http://www.targetedproteinsdb.com).
PMID- 18047744
TI - Roles and potential therapeutic targets of the ubiquitin proteasome system in
muscle wasting.
AB - Muscle wasting, characterized by the loss of protein mass in myofibers, is in
most cases largely due to the activation of intracellular protein degradation by
the ubiquitin proteasome system (UPS). During the last decade, mechanisms
contributing to this activation have been unraveled and key mediators of this
process identified. Even though much remains to be understood, the available
information already suggests screens for new compounds inhibiting these
mechanisms and highlights the potential for pharmaceutical drugs able to treat
muscle wasting when it becomes deleterious. This review presents an overview of
the main pathways contributing to UPS activation in muscle and describes the
present state of efforts made to develop new strategies aimed at blocking or
slowing muscle wasting. Publication history: Republished from Current BioData's
Targeted Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047743
TI - HECT E3s and human disease.
AB - In a simplified view, members of the HECT E3 family have a modular structure
consisting of the C-terminal HECT domain, which is catalytically involved in the
attachment of ubiquitin to substrate proteins, and N-terminal extensions of
variable length and sequence that mediate the substrate specificity of the
respective HECT E3. Although the physiologically relevant substrates of most HECT
E3s have remained elusive, it is becoming increasingly clear that HECT E3s play
an important role in sporadic and hereditary human diseases including cancer,
cardiovascular (Liddle's syndrome) and neurological (Angelman syndrome)
disorders, and/or in disease-relevant processes including bone homeostasis,
immune response and retroviral budding. Thus, molecular approaches to target the
activity of distinct HECT E3s, regulators thereof, and/or of HECT E3 substrates
could prove valuable in the treatment of the respective diseases. Publication
history: Republished from Current BioData's Targeted Proteins database (TPdb;
http://www.targetedproteinsdb.com).
PMID- 18047745
TI - Role of the ubiquitin system and tumor viruses in AIDS-related cancer.
AB - Tumor viruses are linked to approximately 20% of human malignancies worldwide.
This review focuses on examples of human oncogenic viruses that manipulate the
ubiquitin system in a subset of viral malignancies; those associated with AIDS.
The viruses include Kaposi's sarcoma herpesvirus, Epstein-Barr virus and human
papilloma virus, which are causally linked to Kaposi's sarcoma, certain B-cell
lymphomas and cervical cancer, respectively. We discuss the molecular mechanisms
by which these viruses subvert the ubiquitin system and potential viral targets
for anti-cancer therapy from the perspective of this system. Publication history:
Republished from Current BioData's Targeted Proteins database (TPdb;
http://www.targetedproteinsdb.com).
PMID- 18047747
TI - Estimating disease prevalence using census data.
AB - We describe a method of working on publicly available data to estimate disease
prevalence in small geographic areas using Helicobacter pylori as a model
infection. Using data from the Third National Health and Nutrition Examination
Survey, risk parameters for H. pylori infection were obtained by logistic
regression and validated by predicting 737.5 infections in an independent cohort
with 736 observed infections. The prevalence of H. pylori infection in the San
Francisco Bay Area was estimated with the probabilities obtained from a
predictive logistic model, using risk parameters with individual-level 1990 U.S.
Census data as input. Predicted H. pylori prevalence was also compared to gastric
cancer incidence obtained from the Northern California Cancer Center and showed a
positive correlation with gastric cancer incidence (P<0.001, R2=0.87), and no
statistically significant association with other malignancies. By exclusively
using publicly available data, these methods may be applied to selected
conditions with strong demographic predictors.
PMID- 18047746
TI - Wrenches in the works: drug discovery targeting the SCF ubiquitin ligase and
APC/C complexes.
AB - Recently, the ubiquitin proteasome system (UPS) has matured as a drug discovery
arena, largely on the strength of the proven clinical activity of the proteasome
inhibitor Velcade in multiple myeloma. Ubiquitin ligases tag cellular proteins,
such as oncogenes and tumor suppressors, with ubiquitin. Once tagged, these
proteins are degraded by the proteasome. The specificity of this degradation
system for particular substrates lies with the E3 component of the ubiquitin
ligase system (ubiquitin is transferred from an E1 enzyme to an E2 enzyme and
finally, thanks to an E3 enzyme, directly to a specific substrate). The clinical
effectiveness of Velcade (as it theoretically should inhibit the output of all
ubiquitin ligases active in the cell simultaneously) suggests that modulating
specific ubiquitin ligases could result in an even better therapeutic ratio. At
present, the only ubiquitin ligase leads that have been reported inhibit the
degradation of p53 by Mdm2, but these have not yet been developed into clinical
therapeutics. In this review, we discuss the biological rationale, assays,
genomics, proteomics and three-dimensional structures pertaining to key targets
within the UPS (SCFSkp2 and APC/C) in order to assess their drug development
potential. Publication history: Republished from Current BioData's Targeted
Proteins database (TPdb; http://www.targetedproteinsdb.com).
PMID- 18047748
TI - Evaluation of the impact on human salmonellosis of control measures targeted to
Salmonella Enteritidis and Typhimurium in poultry breeding using time-series
analysis and intervention models in France.
AB - In France, salmonellosis is the main cause of foodborne bacterial infection with
serotypes Enteritis (SE) and Typhimurium (ST) accounting for 70% of all cases.
French authorities implemented a national control programme targeting SE and ST
in poultry and eggs from October 1998 onwards. A 33% decrease in salmonellosis
has been observed since implementation. We designed an evaluation of the impact
of this control programme on SE and ST human infections in France. Using monthly
Salmonella human isolate reports to the National Reference Centre we defined two
intervention series (SE and ST) and one control series comprising serotypes not
know to be associated with poultry or eggs. The series, from 1992 to 2003, were
analysed using autoregressive moving average models (ARMA). To test the
hypothesis of a reduction of SE and ST human cases >0 after the programme started
and to estimate its size, we introduced an intervention model to the ARMA
modelling. In contrast to the control series, we found an annual reduction of 555
(95% CI 148-964) SE and of 492 (95% CI 0-1092) ST human infections, representing
respectively a 21% and 18% decrease. For SE, the decrease occurred sharply after
implementation while for ST, it followed a progressive decrease that started
early in 1998. Our study, suggests a true relation between the Salmonella control
programme and the subsequent decrease observed for the two targeted serotypes.
For ST, however, the decrease prior to the intervention may also reflect control
measures implemented earlier by the cattle and milk industry.
PMID- 18047749
TI - An outbreak of epidemic keratoconjunctivitis in a regional ophthalmology clinic
in New South Wales.
AB - The objective of the study was to identify the extent and cause of an outbreak of
epidemic keratoconjunctivitis (EKC). The study design was active case finding and
a case-control study of clinic patients who developed symptoms of EKC between 31
December 2005 and 31 March 2006. The main outcome measures were clinical
procedures carried out and clinicians seen during clinic visit. Significantly
more cases than controls had tonometry with instillation of anaesthetic drops (OR
16.5, 95% CI 3.9-145.1, P<0.01), optical coherence tomography (OR 4.7, 95% CI 1.2
21.9, P=0.01), or instillation of dilating drops by an orthoptist (OR 2.3, 95% CI
1.1-4.7, P=0.01). Significantly more cases than controls were seen by one
orthoptist (OR 21.8, 95% CI 8.2-60.0, P<0.01). Transmission of EKC within the
clinic was probably due to contamination of either or both the anaesthetic drops
and the tonometer head in the room used by an orthoptist. A comprehensive suite
of strategies is required to prevent healthcare-associated EKC.
PMID- 18047750
TI - Lessons from 40 years' surveillance of influenza in England and Wales.
AB - The influenza virus continues to pose a significant threat to public health
throughout the world. Current avian influenza outbreaks in humans have heightened
the need for improved surveillance and planning. Despite recent advances in the
development of vaccines and antiviral drugs, seasonal epidemics of influenza
continue to contribute significantly to general practitioner workloads, emergency
hospital admissions, and deaths. In this paper we review data produced by the
Royal College of General Practitioners Weekly Returns Service, a sentinel general
practice surveillance network that has been in operation for over 40 years in
England and Wales. We show a gradually decreasing trend in the incidence of
respiratory illness associated with influenza virus infection (influenza-like
illness; ILI) over the 40 years and speculate that there are limits to how far an
existing virus can drift and yet produce substantial new epidemics. The burden of
disease caused by influenza presented to general practitioners varies
considerably by age in each winter. In the pandemic winter of 1969/70 persons of
working age were most severely affected; in the serious influenza epidemic of
1989/90 children were particularly affected; in the millennium winter (in which
the NHS was severely stretched) ILI was almost confined to adults, especially the
elderly. Serious confounders from infections due to respiratory syncytial virus
are discussed, especially in relation to assessing influenza vaccine
effectiveness. Increasing pressure on hospitals during epidemic periods are shown
and are attributed to changing patterns of health-care delivery.
PMID- 18047751
TI - The prevalence, distribution and severity of detectable pathological lesions in
badgers naturally infected with Mycobacterium bovis.
AB - The Randomized Badger Culling Trial (RBCT) began in 1998 to determine the impact
of badger culling in controlling bovine tuberculosis in cattle. A total of 1166
badgers (14% of total) proactively culled during the RBCT were found to be
tuberculous, offering a unique opportunity to study the pathology caused by
Mycobacterium bovis in a large sample of badgers. Of these, 39% of adults
(approximately 6% of all adults culled) had visible lesions (detectable at
necropsy) of bovine tuberculosis; cubs had a lower prevalence of infection (9%)
but a higher percentage of tuberculous cubs (55.5%) had visible lesions. Only
approximately 1% of adult badgers had extensive, severe pathology. Tuberculous
badgers with recorded bite wounds (approximately 5%) had a higher prevalence of
visible lesions and a different distribution of lesions, suggesting transmission
via bite wounds. However, the predominance of lesions in the respiratory tract
indicates that most transmission occurs by the respiratory route.
PMID- 18047752
TI - Multilevel analysis of risk factors for Salmonella shedding in Ontario finishing
pigs.
AB - The objectives of this study were to identify factors associated with Salmonella
status at the farm, pen, and pig level; explore the nature of variation in the
association between the pen-level Salmonella status and pen-level covariates, and
pig-level Salmonella status and pig- and pen-level covariates; and to identify
the relative importance of factors operating at geographical, farm, and pen level
for Salmonella shedding of pigs. For these purposes, samples from 799 pigs and
374 pens on 80 farms in Ontario in 2004 were collected and bacteriologically
tested in a cross-sectional study. Census division was the least variable level,
and farm the most variable level for shedding. Increased frequency of
disinfection and washing with cold water were positively associated with
Salmonella positivity, whereas liquid and mash feed and completely closed barns
were sparing factors. After farm, pen was the second most variable level for
shedding. However, no measured pen-level variables were associated with
Salmonella status of pigs or pens. The shedding of Salmonella at the pig level
tended to be associated with pig weight, and there was no random variation around
this association. Results of this study suggest that a herd test based on
bacteriological culture would probably have higher sensitivity if growing animals
of lower weight were sampled instead of market weight animals, and this might be
beneficial for Salmonella monitoring.
PMID- 18047753
TI - Transdermal nicotine modulates strategy-based attentional semantic processing in
non-smokers.
AB - Nicotine has been shown to improve various aspects of cognitive processing such
as attention and memory, however, its effects on lexical-semantic processing are
relatively uncharted. Recent investigations of mnemonic processing in minimally
deprived smokers suggest that nicotine might selectively modulate processes
concerned with associative memory. This study investigated the effects of
nicotine on lexical-semantic processing in non-smokers using a strategy-based
lexical-decision priming paradigm. Transdermal nicotine patches (7 mg/24 h) were
administered within a double-blind, placebo-controlled, cross-over design.
Participants were trained to expect target words to come from a specified
semantic category based on the prime word, although in some instances trained
expectations were not met. Participants were presented with the stimuli at either
a short or long stimulus onset asynchrony (SOA) to target automatic and
attentional processing, respectively (n=12 and 17 for the short and long SOAs,
respectively). Nicotine was found to selectively affect priming condition
reaction times at the long SOA, indicating a nicotinic modulation of attentional
mechanisms. Specifically, facilitation effects were dominant under placebo
compared to a dominance of inhibition effects under nicotine. These results
suggest that nicotine supports inhibitory attentional mechanisms in cognitively
demanding semantic processing paradigms.
PMID- 18047754
TI - Efficacy and safety of triiodothyronine supplementation in patients with major
depressive disorder treated with specific serotonin reuptake inhibitors.
AB - The thyroid hormone, triiodothyronine (T3), is used as a supplement to
antidepressant treatment of major depression, to accelerate and enhance response
and as an augmenter in patients who have not responded. While there is support
from controlled trials and meta-analyses for the use of T3 in conjunction with
tricyclic antidepressants, the evidence base for supplementation of specific
serotonin reuptake inhibitors (SSRIs) with T3 is more limited. We reviewed the
available literature on T3 supplementation of SSRIs including open-label studies
and randomized controlled trials (RCTs). Five RCTs were identified. Three were
enhancement studies in which T3 was administered concurrently with the
antidepressant from the start of treatment and two were augmentation studies in
which T3 was added to the antidepressant treatment of patients who had not
responded. Three open augmentation studies were identified. The RCTs were too
disparate in methodology to allow a meta-analysis to be performed. The
enhancement studies are inconclusive in that one showed strongly positive effects
of T3, one showed no effect and one showed a trend. The open augmentation studies
supported an effect of T3 in SSRI non-responsive patients with some support from
a large RCT; a smaller, underpowered RCT did not show efficacy. T3 was well
tolerated in most of the studies and adverse effects do not seem to be an
impediment to clinical use. Some of the studies identified clinical and thyroid
function correlates of response that require further investigation. Further
research is needed before it can be definitively established whether T3 is an
effective supplement to SSRIs in patients with MDD. The appropriate timing of T3
supplementation needs to be explored and also the dose and length of treatment.
PMID- 18047755
TI - 5-HT1A gene variants and psychiatric disorders: a review of current literature
and selection of SNPs for future studies.
AB - 5-HT1A receptors are key components of the serotonin system, acting both pre- and
post- synaptically in different brain areas. There is a growing amount of
evidence showing the importance of 5-HT1A in different psychiatric disorders,
from mood to anxiety disorders, moving through suicidal behaviour and psychotic
disorders. Findings in the literature are not consistent with any definite 5-HT1A
influence in psychiatric disorders. 5-HT1A gene variants have been reported to
play some role in mood disorders, anxiety disorders and psychotic disorders.
Again, the literature findings are not unequivocal. Concerning response to
treatment, the C(-1019)G variant seems to be of primary interest in
antidepressant response: C allele carriers generally show a better response to
treatment, especially in Caucasian samples. Together with the C(-1019)G (rs6295)
variant, the Ile28Val (rs1799921), Arg219Leu (rs1800044) and Gly22Ser (rs1799920)
variants have been investigated in possible associations with psychiatric
disorders, also with no definitive results. This lack of consistency can be also
due to an incomplete gene investigation. To make progress on this point, a list
of validated single nucleotide polymorphisms (SNPs) covering the whole gene is
proposed for further investigations.
PMID- 18047756
TI - Modulation by the dorsal, but not the ventral, hippocampus of the expression of
behavioural sensitization to amphetamine.
AB - Although the dorsal hippocampus (DH) and the ventral hippocampus (VH) densely
innervate the nucleus accumbens, which mediates the expression of behavioural
sensitization, the respective and specific contribution of DH and VH in the
expression of behavioural sensitization to amphetamine has not been investigated.
In the present study, we investigated how lidocaine infused in DH or VH modulated
behavioural locomotor sensitization induced by repeated administration of
systemic amphetamine. Rats, well habituated to their environmental conditions and
experimental protocol, were given repeated administration of systemic
amphetamine. Once behavioural sensitization was developed, rats were challenged
with amphetamine and infused with saline (controls) or lidocaine into DH or VH.
We found that reversible inhibition by lidocaine of DH, but not VH, blocks the
expression of behavioural sensitization to amphetamine. Control animals injected
with saline solution do express behavioural sensitization. Our results bring new
insights on the role of the hippocampus complex in the expression of behavioural
sensitization, indicating that, in individuals well habituated to the drug
associated context, DH but not VH would play a key role. The results provide
experimental evidence for clinical studies in human addicts that have
demonstrated that exposure to environmental stimuli associated with drug-taking
behaviour elicits craving and can promote relapse, and further suggest that in
drug abusers, once addiction has occurred, the contextual and spatial conditions
that are associated with drug consumption may play a critical role in the
maintenance of drug abuse.
PMID- 18047757
TI - Reduced prepulse inhibition is associated with increased hypnotizability.
AB - Hypnosis involves the manipulation of conscious attentional discrimination. The
prepulse inhibition (PPI) paradigm assesses primary unconscious information
processing. We investigated the correlation between hypnotizability and PPI of
the startle reflex. Forty-eight healthy subjects were evaluated with the Stanford
Hypnotic Susceptibility Scale, Form C (SHSS:C) and acoustic PPI. Subjects were
divided into low, medium, and high hypnotizable groups. The low-hypnotizable
group showed a significantly higher inhibition of the startle response, at lead
intervals 60 ms and 120 ms, than did the medium- and high-hypnotizable groups. We
conclude that hypnotizability and PPI may be negatively correlated. These
findings lend further support for the role of dopaminergic neurotransmission
mechanisms in the determination of hypnotizability levels.
PMID- 18047758
TI - Re-operation for secondary hyperparathyroidism.
AB - OBJECTIVE: In cases of re-operation for secondary hyperparathyroidism, to
evaluate the extent to which the location of recurrent hyperplasia was predicted
by (1) operative data from the first intervention, and (2) pre-operative imaging
(before the re-operation). METHODS: The files of 18 patients undergoing surgery
for recurrent secondary hyperparathyroidism were reviewed. The surgical findings
were compared both with the report of the initial operation and with the results
of pre-operative imaging (i.e. ultrasonography, Mibi scintigraphy or computed
tomography). RESULTS: The location of the recurrent hyperplasia corresponded with
the data for the primary intervention in about one-third of patients. There was a
partial correlation in one-third of patients, and no correlation at all in one
third. Pre-operative imaging enabled better prediction of the location of
recurrent disease. CONCLUSION: Surgeons should have both sources of information
at their disposal when planning a re-intervention for secondary
hyperparathyroidism. However, in our series, the predictive value of imaging was
superior to that of information deduced from the previous surgical record.
PMID- 18047759
TI - Case report of glomus jugulare tumour associated with a posterior fossa cyst.
AB - A subgroup of complex glomus jugulare tumours exists. This includes: multiple,
giant or neuropeptide-secreting lesions; those associated with other lesions,
such as dural arterio-venous malformation or an adrenal tumour; and tumours in
which there has been previous treatment with adverse outcome. To our knowledge,
we present the first case of a glomus jugulare tumour associated with a posterior
fossa cyst. This entity should be included in the subgroup of complex glomus
jugulare tumours.
PMID- 18047760
TI - Follow up after middle-ear ventilation tube insertion: what is needed and when?
AB - INTRODUCTION: There is a paucity of evidence to guide the post-operative follow
up of patients undergoing middle-ear ventilation tube insertion for the first
time. This study was conceived to identify current practice at our institution
(Ninewells Hospital, Dundee) and to inform subsequent change in our follow-up
procedure. METHODS: Two cycles of data collection and analysis were performed.
All paediatric patients undergoing ventilation tube insertion for the first time
were identified. Patients who had previously undergone ventilation tube insertion
or additional procedures such as adenoidectomy or tonsillectomy were excluded.
The first data collection period comprised all of the year 2000, and the second
18 months over 2003-2004. A minimum of 20 months' follow up was allowed for. Data
regarding clinical findings and audiometry were recorded at each follow-up
appointment. RESULTS: We identified a total of 50 patients meeting our criteria
for inclusion in the first cohort. There were a total of 156 appointments between
surgery and data collection (a mean of 3.12 per child). A total of 113 (72 per
cent) appointments lead to no medical intervention. The only statistically
significant difference between patients requiring further ventilation tube
insertion (n = 10) and those not requiring further treatment during the study
period (n = 40) was the average hearing threshold (p < 0.01). These findings
prompted a change in the post-operative regime; all patients undergoing
ventilation tube insertion were subsequently seen at three months for a pure tone
audiogram, and further review depended on clinical and audiometric findings.
Records for 84 children were identified and collected for the second cohort,
there were a total of 154 appointments (a mean of 1.83 per child). In only 18
appointments (12 per cent) were normal findings and hearing recorded and children
given a further review appointment. Sixteen of 29 (55 per cent) children with
abnormal clinical findings (otorrhoea, tube blockage or extrusion) required some
form of intervention (p < 0.05). Twenty-six had a mean hearing threshold worse
than 20 dB at first review. Nineteen (73 per cent) required further intervention
of some sort (p < 0.01). CONCLUSIONS: Our study demonstrated that the vast
majority of review appointments resulted in no clinical intervention. We
therefore question the need for regular follow up in this patient group. Twenty
per cent (10 of 50 and 18 of 84) of our patients required further ventilation
tube insertion within the study periods. This is consistent with rates reported
in the literature. Children with abnormal clinical findings or a mean hearing
threshold greater than 20 dB were significantly more likely to require further
intervention. We would recommend one post-operative review with audiometry, three
months after surgery. At this initial appointment, further review should be
offered to those children with poor hearing, early extrusion, blockage or
infection, as they are more likely to require further ventilation tube insertion.
This strategy is dependent on good links with community primary care providers
and easy access to secondary care for further management, should this be
required.
PMID- 18047762
TI - Physical and emotional disturbances in children with adenotonsillar hypertrophy.
AB - OBJECTIVE: Enlarged tonsils and adenoids (part of Waldeyer's ring) are
responsible for obstructive sleep disordered breathing. Obstructive sleep
disordered breathing episodes lead to hypoxaemia, hypercapnia and a state of
arousal, all of which affect normal development of the nervous system. In this
study, two hypotheses were tested: (1) obstructive sleep disordered breathing is
caused by adenotonsillar hypertrophy and is associated with hypoxia and brain
dysfunction; and (2) children with obstructive sleep disordered breathing more
commonly display emotional lability, depressive behaviour and anxiety. MATERIAL
AND METHODS: A total of 225 children were examined. The study group consisted of
121 children with adenotonsillar hypertrophy (87 aged six to nine years and 34
aged 10 to 13 years) and with obstructive sleep apnoeas and hypopnoeas confirmed
by polysomnography. Patients were compared with 104 children with no obstructive
sleep disordered breathing and no adenotonsillar hypertrophy (74 aged six to nine
years and 30 aged 10 to 13 years). The following tests were used to measure the
children's emotional disorders: the children's depression inventory; the state
trait anxiety inventory for children; and the emotional instability scale. The
average values and standard deviations were calculated for all results. Student's
t-test was used to compare differences in all groups of children. The minimum
level of p < 0.05 was set as statistically significant. RESULTS: Children with
adenotonsillar hypertrophy are more likely to experience poor brain development
and sleep problems. They also have emotional disturbances. In the sick and
healthy children aged six to nine years, mean results for the emotional
instability scale were statistically significantly different in the two groups,
being higher in children with adenotonsillar hypertrophy than in healthy
children. Mean values for the children's depression inventory test were higher in
children with adenotonsillar hypertrophy, but the differences were not
statistically significant. In the state-trait anxiety inventory for children
test, the mean T score was T = 1.760 and the level of significance was p = 0.08
for both groups. Since the standard level of significance was p < 0.05, the
differences in mean values for the state-trait anxiety inventory for children
test bordered on statistical significance. There were no differences between
tests results in the older children (10 to 13 years). CONCLUSIONS: Recent studies
have confirmed the negative emotional effect of adenotonsillar hypertrophy
induced obstructive sleep disordered breathing in children aged six to nine
years. The main problems are emotional lability, and anxiety and depressive
disturbances. Such emotional problems subside in older children (aged 10 to 13
years).
PMID- 18047761
TI - Induction chemotherapy with S-1 plus cisplatin in patients with locally advanced
squamous cell carcinoma of the head and neck.
AB - OBJECTIVE: This study was performed to assess the efficacy and safety profile of
combination treatment with S-1 and cisplatin in patients with locally advanced
squamous cell carcinoma of the head and neck. DESIGN: Eligibility criteria
comprised: histologically confirmed squamous cell carcinoma of the head and neck;
stage three or four disease with no evidence of distant metastasis; evaluable
lesions; adequate organ function; age 20-80 years; and a performance status of
two or less. Cisplatin was infused over one hour on day one (75 mg/m2) and S-1
was administered orally for 14 consecutive days (days two to 15). The dosages of
S-1 were calculated according to the patients' body surface area: 50 mg twice a
day (body surface area 1.5 m2). Each course was repeated every three weeks. After
two courses, tumour response was evaluated by computed tomography and
laryngoscopy. If a response was evident (either complete or partial), the patient
received one more course of chemotherapy, before undergoing radical treatment
such as radiotherapy or surgery. RESULTS: All 30 patients were assessable for
toxicity, and 29 patients for treatment response. The overall response was 89.7
per cent (complete response: nine; partial response: 17). The two-year estimated
overall survival rate was 79.2 per cent. Adverse reactions occurred 128 times
during 81 courses in the 30 cases. The most common grade three to four adverse
event was neutropenia, which occurred in eight patients. Cases of non
haematological grade three or four toxicity included nausea and vomiting in four
patients, stomatitis in two and diarrhoea in one. CONCLUSION: S-1 plus cisplatin
combination chemotherapy is effective against locally advanced squamous cell
carcinoma of the head and neck, with only mild toxicity.
PMID- 18047763
TI - Post-tonsillectomy dysgeusia with weight loss: possible involvement of soft
palate.
AB - OBJECTIVE: To demonstrate the importance of detailed, multidisciplinary
examination of patients with post-tonsillectomy taste distortions, and to show
that post-tonsillectomy dysgeusia may originate in the caudal part of the soft
palate. CASE REPORT: We describe a 29-year-old man who suffered from severe post
tonsillectomy dysgeusia and phantogeusia with secondary weight loss and
depression-like symptomatology. The patient had normal electrogustometric
thresholds and sensitivity to touch on the posterior tongue. In contrast,
elevated taste threshold and reduced sensitivity to touch was found on the caudal
part of the soft palate (the palatoglossal arches). More marked elevation of
electrogustometric threshold and insensitivity to touch on the right
palatoglossal arch correlated with post-operative haemorrhage from the right
tonsillar fossa. Psychiatric examination excluded major depression, eating
disorders and drug abuse. CONCLUSIONS: Dysgeusia constitutes a rare but
significant complication of tonsillectomy. Damage to the lingual branch of the
glossopharyngeal nerve innervating the posterior tongue is thought to be a major
cause of this complication. However, damage to the tonsillar branches of the
glossopharyngeal nerve and the soft palate should also be considered as a cause
of post-tonsillectomy dysgeusia. Further studies are needed to assess whether
post-operative haemorrhage could indicate heightened risk of dysgeusia.
PMID- 18047764
TI - Anticonvulsants for the treatment of behavioral and psychological symptoms of
dementia: a literature review.
AB - OBJECTIVE: To review and summarize the currently available data on the use of
anticonvulsant mood stabilizers (carbamazepine, valproic acid, gabapentin,
lamotrigine, topiramate) in the treatment of behavioral and psychological
symptoms of dementia (BPSD); to determine whether these medications can be
recommended for routine clinical use. METHODS: Literature search in five
databases (PubMed, MEDLINE, EMBASE, PsychINFO and COCHRANE collaboration) and
analysis of the randomized controlled double-blinded clinical trials found
therein. RESULTS: A total of seven RCTs were identified (two for carbamazepine
and five for valproate). One study showed statistically significant improvement
of BPSD in the medication group in comparison to the placebo group; five studies
showed no significant differences; one study showed statistically significant
worsening of the symptoms in the medication group vs. placebo. The majority of
the studies reported significantly more frequent adverse effects in the
medication group. CONCLUSION: Although clearly beneficial in some patients,
anticonvulsant mood stabilizers cannot be recommended for routine use in the
treatment of BPSD at the present time.
PMID- 18047765
TI - The relationship between elderly suicide rates and mental health funding, service
provision and national policy: a cross-national study.
AB - BACKGROUND: Elderly suicide rates may be influenced by mental health funding,
service provision and national policy. METHODS: A cross-national study examining
the relationship between elderly suicide rates and (i) the presence of national
policy on mental health, (ii) funding for mental health, and (iii) measures of
mental health service provision was undertaken by utilizing data from the World
Health Organization website. RESULTS: The main findings are: (i) there is no
relationship between suicide rates in both sexes in both elderly age-bands and
different measures of mental health policy, except they were increased in
countries with a substance abuse policy; and (ii) suicide rates in both sexes in
both elderly age-bands were higher in countries with greater provision of mental
health services, including the number of psychiatric beds, psychiatrists,
psychiatric nurses, and the availability of training in mental health for primary
care professionals. CONCLUSIONS: Cross-national ecological studies using national
level aggregate data are not helpful in establishing a causal relationship (and
the direction of this relationship) between elderly suicide rates and mental
health funding, service provision and national policies. The impact of
introducing national policies on mental health, increasing funding for mental
health services and increasing mental health service provision on elderly suicide
rates requires further examination in longitudinal within-country studies.
PMID- 18047767
TI - Predicting young adult social functioning from developmental trajectories of
externalizing behaviour.
AB - BACKGROUND: The long-term consequences of child and adolescent externalizing
problems often involve a wide spectrum of social maladaptation in adult life. The
purpose of this study was to describe the predictive link of child and adolescent
externalizing developmental trajectories to social functioning in adulthood.
METHOD: Social functioning was predicted from developmental trajectories of
parent-reported aggression, opposition, property violations and status violations
that were defined in a longitudinal multiple birth cohort study of 2,076 males
and females aged 4-18 years. Social functioning was assessed using self-reports
by young adults aged 18-30 years. Linear and logistic regression analyses were
used to describe the extent to which developmental trajectories are prospectively
related to social functioning. RESULTS: Children with high-level trajectories of
opposition and status violations reported more impaired social functioning as
young adults than children with high-level trajectories of aggression and
property violations. Young adults who showed onset of problems in adolescence
reported overall less impaired social functioning than individuals with high
level externalizing problems starting in childhood. Overall, males reported more
impaired social functioning in adulthood than females. However, females with
persistent high-level externalizing behaviour reported more impairment in
relationships than males with persistent high-level externalizing behaviour.
CONCLUSION: The long-term consequences of high levels of opposition and status
violations in childhood to serious social problems during adulthood are much
stronger than for individuals who show only high levels of aggressive antisocial
behaviours.
PMID- 18047766
TI - Co-morbid major depression and generalized anxiety disorders in the National
Comorbidity Survey follow-up.
AB - BACKGROUND: Although generalized anxiety disorder (GAD) and major depressive
episode (MDE) are known to be highly co-morbid, little prospective research has
examined whether these two disorders predict the subsequent first onset or
persistence of the other or the extent to which other predictors explain the time
lagged associations between GAD and MDE. METHOD: Data were analyzed from the
nationally representative two-wave panel sample of 5001 respondents who
participated in the 1990-1992 National Comorbidity Survey (NCS) and the 2001-2003
NCS follow-up survey. Both surveys assessed GAD and MDE. The baseline NCS also
assessed three sets of risk factors that are considered here: childhood
adversities, parental history of mental-substance disorders, and respondent
personality. RESULTS: Baseline MDE significantly predicted subsequent GAD onset
but not persistence. Baseline GAD significantly predicted subsequent MDE onset
and persistence. The associations of each disorder with the subsequent onset of
the other attenuated with time since onset of the temporally primary disorder,
but remained significant for over a decade after this onset. The risk factors
predicted onset more than persistence. Meaningful variation was found in the
strength and consistency of associations between risk factors and the two
disorders. Controls for risk factors did not substantially reduce the net cross
lagged associations of the disorders with each other. CONCLUSIONS: The existence
of differences in risk factors for GAD and MDE argues against the view that the
two disorders are merely different manifestations of a single underlying
internalizing syndrome or that GAD is merely a prodrome, residual, or severity
marker of MDE.
PMID- 18047768
TI - Detecting common mental disorders in primary care in India: a comparison of five
screening questionnaires.
AB - BACKGROUND: Screening of patients for common mental disorders (CMDs) is needed in
primary-care management programmes. This study aimed to compare the screening
properties of five widely used questionnaires. METHOD: Adult attenders in five
primary-care settings in India were recruited through systematic sampling. Four
questionnaires were administered, in pairs, in random order to participants: the
General Health Questionnaire (GHQ, 12 items); the Primary Health Questionnaire
(PHQ, nine items); the Kessler Psychological Distress Scale (K10, 10 items), and
from which we could extract the score of the shorter 6-item K6; and the Self
Reporting Questionnaire (SRQ, 20 items). All participants were interviewed with a
structured lay diagnostic interview, the Revised Clinical Interview Schedule (CIS
R). RESULTS: Complete data were available for 598 participants (participation
rate 99.3%). All five questionnaires showed moderate to high discriminating
ability; the GHQ and SRQ showed the best results. All five showed moderate to
high degrees of correlation with one another, the poorest being between the two
shortest questionnaires, K6 and PHQ. All five had relatively good internal
consistency. However, the positive predictive value (PPV) of the questionnaires
compared with the diagnostic interview ranged from 51% to 77% at the optimal cut
off scores. CONCLUSIONS: There is little difference in the ability of these
questionnaires to identify cases accurately, but none showed high PPVs without a
considerable compromise on sensitivity. Hence, the choice of an optimum cut-off
score that yields the best balance between sensitivity and PPV may need to be
tailored to individual settings, with a higher cut-off being recommended in
resource-limited primary-care settings.
PMID- 18047769
TI - A momentary assessment study of the reputed emotional phenotype associated with
borderline personality disorder.
AB - BACKGROUND: Stress is postulated to play an essential role in the expression of
core borderline symptoms. However, the phenomenology of stress reactivity in
borderline personality disorder remains unclear. The current study investigated
the phenomenology of stress sensitivity in borderline personality disorder in the
flow of daily life and compared this with stress sensitivity in patients
suffering from psychotic disorders, a group so far known to report the largest
reactivity to stress. METHOD: A total of 44 borderline patients, 42 patients with
psychotic disorder and 49 healthy controls were studied with the Experience
Sampling Method (a structured diary technique assessing current context and mood
in daily life) to assess: (1) appraised subjective stress related to daily events
and activities; and (2) emotional reactivity conceptualized as changes in
positive and negative affect. RESULTS: Multilevel regression analysis revealed
that subjects with borderline personality disorder experienced significantly more
emotional reactivity to daily life stress compared with both patients with
psychosis and healthy controls, as evidenced by a larger increase in negative
affect and a larger decrease in positive affect following stress. CONCLUSION:
These results are the first to ecologically validate the incorporation of stress
reactive symptoms in the diagnosis of borderline personality disorder. Borderline
patients continually react stronger than patients with psychosis and healthy
controls to small disturbances that continually happen in the natural flow of
everyday life. Altered emotional stress reactivity may define borderline
personality disorder.
PMID- 18047770
TI - Mortality in offspring of mothers with psychotic disorder.
AB - BACKGROUND: Previous studies suggest that offspring of mothers with psychotic
disorders have an almost two-fold higher mortality risk from birth until early
adulthood. We investigated predictors of mortality from late adolescence until
middle age in offspring of mothers with psychotic disorders. METHOD: The Helsinki
High-Risk Study follows up offspring (n=337) of women treated for schizophrenia
spectrum disorders in mental hospitals in Helsinki before 1975. Factors related
to mortality up to 2005 among offspring of these mothers was investigated with a
survival model. Hazard rate ratios (HRR) were calculated using sex, diagnosis of
psychotic disorder, childhood socio-economic status, maternal diagnosis, and
maternal suicide attempts and aggressive symptoms as explanatory variables. The
effect of family was investigated by including a frailty term in the model. We
also compared mortality between the high-risk group and the Finnish general
population. RESULTS: Within the high-risk group, females had lower all-cause
mortality (HRR 0.43, p=0.05) and mortality from unnatural causes (HRR 0.24,
p=0.03) than males. Having themselves been diagnosed with a psychotic disorder
was associated with higher mortality from unnatural causes (HRR 4.76, p=0.01),
while maternal suicide attempts were associated with higher suicide mortality
(HRR 8.64, p=0.03). Mortality in the high-risk group was over two-fold higher
(HRR 2.44, p<0.0001) than in the general population, and remained significantly
higher when high-risk offspring who later developed psychotic disorders were
excluded from the study sample (HRR 2.30, p<0.0001). CONCLUSIONS: Offspring of
mothers with psychotic disorder are at increased risk of several adverse
outcomes, including premature death.
PMID- 18047771
TI - Experiential features used by patients with schizophrenia to differentiate
'voices' from ordinary verbal thought.
AB - BACKGROUND: Determining how patients distinguish auditory verbal hallucinations
(AVHs) from their everyday thoughts may shed light on neurocognitive processes
leading to these symptoms. METHOD: Fifty patients reporting active AVHs
('voices') with a diagnosis of schizophrenia or schizo-affective disorder were
surveyed using a structured questionnaire. Data were collected to determine: (a)
the degree to which patients distinguished voices from their own thoughts; (b)
the degree to which their thoughts had verbal form; and (c) the experiential
basis for identifying experiences as voices versus their own verbal thoughts. Six
characteristics of acoustic/verbal images were considered: (1) non-self speaking
voice, (2) loudness, (3) clarity, (4) verbal content, (5) repetition of verbal
content, and (6) sense of control. RESULTS: Four subjects were eliminated from
the analysis because they reported absent verbal thought or a total inability to
differentiate their own verbal thoughts from voices. For the remaining 46
patients, verbal content and sense of control were rated as most salient in
distinguishing voices from everyday thoughts. With regard to sensory/perceptual
features, identification of speaking voice as non-self was more important in
differentiating voices from thought than either loudness or clarity of sound
images. CONCLUSIONS: Most patients with schizophrenia and persistent AVHs clearly
distinguish these experiences from their everyday thoughts. An adequate
mechanistic model of AVHs should account for distinctive content, recognizable
non-self speaking voices, and diminished sense of control relative to ordinary
thought. Loudness and clarity of sound images appear to be of secondary
importance in demarcating these hallucination experiences.
PMID- 18047772
TI - The mental health of clean-up workers 18 years after the Chernobyl accident.
AB - BACKGROUND: The psychological aftermath of the Chernobyl accident is regarded as
the largest public health problem unleashed by the accident to date. Yet the
mental health of the clean-up workers, who faced the greatest radiation exposure
and threat to life, has not been systematically evaluated. This study describes
the long-term psychological effects of Chernobyl in a sample of clean-up workers
in Ukraine. METHOD: The cohorts were 295 male clean-up workers sent to Chernobyl
between 1986 and 1990 interviewed 18 years after the accident (71% participation
rate) and 397 geographically matched controls interviewed as part of the Ukraine
World Mental Health (WMS) Survey 16 years after the accident. The World Health
Organization (WHO) Composite International Diagnostic Interview (CIDI) was
administered. We examined group differences in common psychiatric disorders,
suicide ideation and severe headaches, differential effects of disorder on days
lost from work, and in the clean-up workers, the relationship of exposure
severity to disorder and current trauma and somatic symptoms. Analyses were
adjusted for age in 1986 and mental health prior to the accident. RESULTS:
Relatively more clean-up workers than controls experienced depression (18.0% v.
13.1%) and suicide ideation (9.2% v. 4.1%) after the accident. In the year
preceding interview, the rates of depression (14.9% v. 7.1%), post-traumatic
stress disorder (PTSD) (4.1% v. 1.0%) and headaches (69.2% v. 12.4%) were
elevated. Affected workers lost more work days than affected controls. Exposure
level was associated with current somatic and PTSD symptom severity. CONCLUSIONS:
Long-term mental health consequences of Chernobyl were observed in clean-up
workers.
PMID- 18047774
TI - Characterization of intracellular Ca2+ transient by the hybrid logistic function
in aequorin-injected rabbit and mouse papillary muscles.
AB - Myocardial intracellular calcium (Ca2+) transients (CaTs) regulate tension
generation and relaxation. Isometric tension curves are often analyzed using
exponential equations; however, we previously demonstrated that hybrid logistic
(HL) functions, which describe the difference between two S-shaped logistic
functions, provide more accurate representations. In the present study, we
investigated the potential application of HL functions for analyzing CaTs
directly. CaTs were measured using the calcium-sensitive bioluminescent protein,
aequorin, in 7 isolated rabbit right ventricular and 15 isolated mouse left
ventricular papillary muscles. CaT data were fit by the least-squares method
using HL and polynomial exponential (PE) function equations. The mean correlation
coefficient (r) values of HL and PE fits were 0.9934 vs. 0.9523 in rabbit and
0.9980 vs. 0.9407 in mouse, respectively. The Z transformation of r value and the
adjusted coefficient of determination (r squares) were higher, and the residual
mean squares and Akaike information criterion values, which estimate goodness of
fit between functions with different numbers of parameters, were lower for the HL
curves than for the PE curves in both rabbit and mouse. There were significant
correlations between the calculated values from the best-fit HL function curve
and the primary CaT data. Thus the HL function curves more accurately described
the amplitudes and time courses of CaTs in both rabbit and mouse papillary
muscles. We speculate that the first logistic component curve reflects the
concentration and time course of Ca2+ inflow into the cytoplasmic space, and that
the second logistic component curve reflects the concentrations and time courses
of Ca2+ removal from the cytoplasmic space as well as Ca2+ binding to troponin.
This approach might provide a more robust model for studying CaTs and cardiac
cycle regulation.
PMID- 18047775
TI - Decreasing the incidence and impact of infections in neutropenic patients:
evidence from meta-analyses of randomized controlled trials.
AB - BACKGROUND: [corrected] Neutropenia is a common complication of intensive
chemotherapy in patients with solid organ or hematologic malignancies that is
associated with a high risk for life-threatening infections. Many interventions
have been employed in order to limit the incidence of these infections or to
treat them when the prophylactic measures fail. SCOPE: The commonest
characteristic of the randomized controlled trials (RCTs) conducted on this issue
was the small sample size. In addition, if RCTs studying the prophylactic
interventions were excluded, the aim of most of the rest of relevant RCTs was to
prove the equal effectiveness of the tested interventions in terms of treatment
success. We searched PubMed and Cochrane database to identify meta-analyses of
RCTs in the field of febrile neutropenia. RESULTS: The most prominent findings of
these meta-analyses were the promising effect, although based on open label RCTs,
of antimicrobial prophylaxis with fluoroquinolones on the mortality of all
neutropenic patients and the beneficial effect of antifungal prophylaxis on
mortality of neutropenic patients with allogeneic hematopoetic stem cell
transplantation. Another noteworthy finding was the higher mortality associated
with empiric cefepime treatment when compared with other beta-lactams. In other
cases, the findings of the published meta-analyses either confirmed or
consolidated the results of individual RCTs. CONCLUSION: Meta-analyses are very
useful for obtaining a better overview and to provide some general qualitative
and quantitative conclusions, but are not always a substitute for appropriately
powered, well-designed RCTs. In addition, the reported findings should be
interpreted with caution taking into account the limitations of various
methodological aspects of meta-analysis in general, as well as the limitations of
the individual meta-analyses in this field.
PMID- 18047776
TI - Ibandronate and the risk of non-vertebral and clinical fractures in women with
postmenopausal osteoporosis: results of a meta-analysis of phase III studies.
AB - OBJECTIVE: The marketed doses of ibandronate, 150 mg once-monthly oral and 3 mg
quarterly intravenous (IV) injection, produce greater increases in lumbar spine
bone mineral density than treatment with the 2.5 mg oral daily dose. This meta
analysis assessed whether these doses also reduce fracture risk relative to
placebo. STUDY DESIGN AND METHODS: Individual patient data from the intent-to
treat populations of the BONE, IV fracture prevention, MOBILE, and DIVA studies
were grouped into three dose levels based on annual cumulative exposure (ACE),
defined as the annual dose (mg) x bioavailability (0.6%, oral; 100%, IV) or
placebo. Six key non-vertebral fractures (NVFs) (clavicle, humerus, wrist,
pelvis, hip, and leg), all NVFs, and all clinical fractures were examined.
RESULTS: This meta-analysis included 8710 patients. Cox proportional-hazards
models estimated the adjusted relative risk (RR) for fracture with ibandronate
versus placebo, and time to fracture was compared using log-rank tests. The high
dose group (ACE > or = 10.8 mg) showed significant reductions in the adjusted RR
of key NVFs (34.4%, p = 0.032), all NVFs (29.9%, p = 0.041), and clinical
fractures (28.8%, p = 0.010) relative to placebo. The high-dose group also had
significantly longer time to fracture versus placebo for key NVFs (p = 0.031),
all NVFs (p = 0.025), and clinical fractures (p = 0.002). Study limitations
included: not all studies were placebo-controlled; a limited number of baseline
characteristics were available for multivariate analyses. CONCLUSION: Ibandronate
at dose levels of ACE > or = 10.8 mg, which includes the marketed 150 mg once
monthly oral and 3 mg quarterly IV injection regimens, may provide significant
non-vertebral and clinical fracture efficacy.
PMID- 18047777
TI - Cloning and characterization of a PI-like MADS-box gene in Phalaenopsis orchid.
AB - The highly evolved flowers of orchids have colorful sepals and fused columns that
offer an opportunity to discover new genes involved in floral development in
monocotyledon species. In this investigation, we cloned and characterized the
homologous PISTALLATA-like (PI-like) gene PhPI15 (Phalaenopsis PI STILLATA # 15),
from the Phalaenopsis hybrid cultivar. The protein sequence encoded by PhPI15
contains a typical PI-motif. Its sequence also formed a subclade with other
monocot PI-type genes in phylogenetic analysis. Southern analysis showed that
PhPI15 was present in the Phalaenopsis orchid genome as a single copy.
Furthermore, it was expressed in all the whorls of the Phalaenopsis flower, while
no expression was detected in vegetative organs. The flowers of transgenic
tobacco plants ectopically expressing PhPI15 showed male-sterile phenotypes.
Thus, as a Class-B MADS-box gene, PhPI15 specifies floral organ identity in
orchids.
PMID- 18047778
TI - Identification of proteins responsible for the development of adriamycin
resistance in human gastric cancer cells using comparative proteomics analysis.
AB - Resistance to anticancer drugs is a major obstacle in the effective treatment of
tumors. To understand the mechanisms responsible for multidrug resistance (MDR),
a proteomic approach was used to identify proteins that were expressed in
different levels by the adriamycinresistant human gastric cancer cell line,
SGC7901/ADR, and its parental cell line, SGC7901. Two-dimensional gel
electrophoresis (2-DE) and image analysis was used to determine which protein
spots were expressed in different levels by the two cell lines. These spots were
then partially identified using ESI-Q-TOF mass spectrometry, and the differential
expressional levels of the partially identified proteins were then determined by
western blot analysis and real-time RT-PCR. Additionally, the association of
Nucleophosmin (NPM1), a protein that was highly expressed by SGC7901/ADR, with
MDR was analyzed using siRNA. As a result of this study, well-resolved,
reproducible 2-DE patterns of SGC7901/ADR and SGC7901 were established, and 16
proteins that may play a role in the development of thermoresistance were
identified. Additionally, suppression of NPM1 expression was found to enhance
adriamycin chemosensitivity in SGC7901/ADR. These results provide a fundamental
basis for the elucidation of the molecular mechanism of MDR, which may assist in
the treatment of gastric cancer.
PMID- 18047779
TI - Molecular cloning and functional analysis of the gene encoding 3-hydroxy-3
methylglutaryl coenzyme A reductase from hazel (Corylus avellana L. Gasaway).
AB - The enzyme 3-hydroxy-3-methylglutaryl-CoA reductase (HMGR; EC1.1.1.34) catalyzes
the first committed step of isoprenoids biosynthesis in MVA pathway. Here we
report for the first time the cloning and characterization of a full-length cDNA
encoding HMGR (designated as CgHMGR, GenBank accession number EF206343) from
hazel (Corylus avellana L. Gasaway), a taxol-producing plant species. The full
length cDNA of CgHMGR was 2064 bp containing a 1704-bp ORF encoding 567 amino
acids. Bioinformatic analyses revealed that the deduced CgHMGR had extensive
homology with other plant HMGRs and contained two transmembrane domains and a
catalytic domain. The predicted 3-D model of CgHMGR had a typical spatial
structure of HMGRs. Southern blot analysis indicated that CgHMGR belonged to a
small gene family. Expression analysis revealed that CgHMGR expressed high in
roots, and low in leaves and stems, and the expression of CgHMGR could be up
regulated by methyl jasmonate (MeJA). The functional color assay in Escherichia
coli showed that CgHMGR could accelerate the biosynthesis of beta-carotene,
indicating that CgHMGR encoded a functional protein. The cloning,
characterization and functional analysis of CgHMGR gene will enable us to further
understand the role of CgHMGR involved in taxol biosynthetic pathway in C.
avellana at molecular level.
PMID- 18047780
TI - Characterization of uridine-diphosphate dependent flavonoid glucosyltransferase
from Oryza sativa.
AB - We cloned a uridine-diphosphate dependent glycosyltransferase RUGT-10 from Oryza
sativa. The recombinant enzyme was expressed by glutathione-S transferase gene
fusion system in Escherichia coli. RUGT10 showed different regioselectivity
depending on the structures of substrates (e.g. flavanone, flavonol, and
flavone). Apparently, flavanone such as naringenin and eriodictyol gave one 7-O
glucoside while flavone and flavonol gave more than two products with
preferential glucosylation position of hydroxyl group at C-3 position.
PMID- 18047781
TI - Cloning and characterization of a single chain antibody to glucose oxidase from a
murine hybridoma.
AB - Glucose oxidase (GOD) is an oxidoreductase catalyzing the reaction of glucose and
oxygen to peroxide and gluconolacton (EC 1.1.3.4.). GOD is a widely used enzyme
in biotechnology. Therefore the production of monoclonal antibodies and antibody
fragments to GOD are of interest in bioanalytics and even tumor therapy. We
describe here the generation of a panel of monoclonal antibodies to native and
heat inactivated GOD. One of the hybridomas, E13BC8, was used for cloning of a
single chain antibody (scFv). This scFv was expressed in Escherichia coli XL1
blue with the help of the vector system pOPE101. The scFv was isolated from the
periplasmic fraction and detected by western blotting. It reacts specifically
with soluble active GOD but does not recognize denatured GOD adsorbed to the
solid phase. The same binding properties were also found for the monoclonal
antibody E13BC8.
PMID- 18047782
TI - Antimicrobial activity of mupirocin, daptomycin, linezolid,
quinupristin/dalfopristin and tigecycline against vancomycin-resistant
enterococci (VRE) from clinical isolates in Korea (1998 and 2005).
AB - It is a hot clinical issue whether newly approved antimicrobial agents such as
daptomycin, linezolid, quinupristin/dalfopristin (synercid) and tigecycline are
active enough to be used for infections caused by vancomycin resistant bacteria.
We performed susceptibility tests for mupirocin, which is in widespread clinical
use in Korea, and four new antimicrobials, daptomycin, linezolid,
quinupristin/dalfopristin and tigecycline, against vancomycin-resistant
Enterococcus faecalis and Enterococcus faecium isolated from Korean patients in
1998 and 2005 to evaluate and compare the in vitro activity of these
antimicrobials. Among these agents, quinupristin/dalfopristin, which is rarely
used in hospitals in Korea, showed relatively high resistance to several
vancomycin-resistant enterococci (VRE) isolated in 2005. Likewise, daptomycin,
linezolid and tigecycline have not yet been in clinical use in Korea. However,
our results showed that most of the 2005 VRE isolates were already resistant to
linezolid and daptomycin (highest minimum inhibitory concentration (MIC) value
>100 microg/ml). Compared with the other four antimicrobial agents tested in this
study, tigecycline generally showed the greatest activity against VRE. However,
four strains of 2005 isolates exhibited resistance against tigecycline (MIC >12.5
microg/ml). Almost all VRE were resistant to mupirocin, whereas all E. faecium
isolated in 1998 were inhibited at concentrations between 0.8 to approximately
1.6 microg/ml. In conclusion, resistances to these new antimicrobial agents were
exhibited in most of VRE strains even though these new antibiotics have been
rarely used in Korean hospitals.
PMID- 18047783
TI - Lipase inactive mutant of PLC-gamma1 regulates NGF-induced neurite outgrowth via
enzymatic activity and regulation of cell cycle regulatory proteins.
AB - Src homology (SH) domains of phospholipase C-gamma1 (PLC-gamma1) impair NGF
mediated PC12 cells differentiation. However, whether the enzymatic activity is
also implicated in this process remains elusive. Here, we report that the
enzymatic activity of phospholipase C-gamma1 (PLC-gamma1) is at least partially
involved to the blockage of neuronal differentiation via an abrogation of MAPK
activation, as well as sustained Akt activation. By contrast, Overexpression of
WT-PLC-gamma1 exhibited sustained NGF-induced MAPK activation, and triggered
transient Akt activation resulting in profound inhibition of neurite outgrowth.
However, lipase-inactive mutant (LIM) PLC-gamma1 cells fail to suppress neurite
outgrowth, although it contains intact SH domains, specifically enhancing the
expression of cyclin D1 and p21 proteins, which regulate the function of
retinoblastoma Rb protein. These observations show that the lipase inactive
mutant of PLC-gamma1 does not alter NGF-induced neuronal differentiation via
enzymatic inability and the odulation of cell cycle regulatory proteins
independent on SH3 domain.
PMID- 18047784
TI - PD184352 releases the regular hypoxic reversible DNA replication arrest in T24
cells.
AB - The oxygen dependent regulation of DNA replication is an essential property of
proliferating mammalian cells. In human T24 bladder cancer cells, several hours
of hypoxia leads to reversible DNA replication arrest and re-entry of oxygen
induces a burst of replication initiation. This short communication provides
strong evidence that PD184352 initiates DNA replication in living hypoxic cells
without elevating the oxygen level. PD184352 releases the regular hypoxic
replicon arrest, however, at a low intensity compared to the effect of
reoxygenation. Moreover, PD184352 shows no effect on normoxically incubated as
well as reoxygenated T24 cells.
PMID- 18047785
TI - RGS protein specificity towards Gq- and Gi/o-mediated ERK 1/2 and Akt activation,
in vitro.
AB - Extracellular Regulated Kinases (ERK) and Protein Kinase B (Akt) are
intermediaries in relaying extracellular growth signals to intracellular targets.
Each pathway can become activated upon stimulation of G protein-coupled receptors
mediated by G(q) and G(i/o) proteins subjected to regulation by RGS proteins. The
goal of the study was to delineate the specificity in which cardiac RGS proteins
modulate G(q)and G(i/o)-induced ERK and Akt phosphorylation. To isolate G(q)- and
G(i/o)-mediated effects, we exclusively expressed muscarinic M(2) or M(3)
receptors in COS-7 cells. Western blot analyses demonstrated increase of
phosphorylation of ERK 1.7-/3.3-fold and Akt 2.4-/6-fold in M(2)-/M(3)-
expressing cells through carbachol stimulation. In co-expressions, M(3)/G(q)
induced activation of Akt was exclusively blunted through RGS3s/RGS3, whereas
activation of ERK was inhibited additionally through RGS2/RGS5. M(2)/G(i/o)
induced Akt activation was inhibited by all RGS proteins tested. RGS2 had no
effect on M(2)/G(i/o)-induced ERK activation. The high degree of specificity in
RGS proteins-depending modulation of G(q)- and G(i/o)-mediated ERK and Akt
activation in the muscarinic network cannot merely be attributed exclusively to
RGS protein selectivity towards G(q) or G(i/o) proteins. Counter-regulatory
mechanisms and inter-signaling cross-talk may alter the sensitivity of GPCR
induced ERK and Akt activation to RGS protein regulation.
PMID- 18047786
TI - Biosynthesis of isoprenoids: characterization of a functionally active
recombinant 2-C-methyl-D-erythritol 4-phosphate cytidyltransferase (IspD) from
Mycobacterium tuberculosis H37Rv.
AB - Tuberculosis, caused by Mycobacterium tuberculosis, continues to be one of the
leading infectious diseases to humans. It is urgent to discover novel drug
targets for the development of antitubercular agents. The 2-C-methyl-D-erythritol
4-phosphate (MEP) pathway for isoprenoid biosynthesis has been considered as an
attractive target for the discovery of novel antibiotics for its essentiality in
bacteria and absence in mammals. MEP cytidyltransferase (IspD), the third-step
enzyme of the pathway, catalyzes MEP and CTP to form 4-diphosphocytidyl-2-C
methylerythritol (CDP-ME) and PPi. In the work, ispD gene from M. tuberculosis
H37Rv (MtIspD) was cloned and expressed. With N-terminal fusion of a histidine
tagged sequence, MtIspD could be purified to homogeneity by one-step nickel
affinity chromatography. MtIspD exists as a homodimer with an apparent molecular
mass of 52 kDa. Enzyme property analysis revealed that MtIspD has high
specificity for pyrimidine bases and narrow divalent cation requirements, with
maximal activity found in the presence of CTP and Mg(2+). The turnover number of
MtIspD is 3.4 s(-1). The Km for MEP and CTP are 43 and 92 muM, respectively.
Furthermore, MtIspD shows thermal instable above 50 degrees C. Circular dichroism
spectra revealed that the alteration of tertiary conformation is closely related
with sharp loss of enzyme activity at higher temperature. This study is expected
to help better understand the features of IspD and provide useful information for
the development of novel antibiotics to treat M. tuberculosis.
PMID- 18047787
TI - Differential regulation of the promoter activity of the mouse UCP2 and UCP3 genes
by MyoD and myogenin.
AB - UCP2 and UCP3 are members of the uncoupling protein family, which may play roles
in energy homeostasis. In order to determine the regulation of the predominant
expression of UCP3 in skeletal muscle, the effects of differentiation and
myogenic regulatory factors on the promoter activities of the mouse UCP2 and UCP3
genes were studied. Reporter plasmids, containing approximately 3 kb of the 5'
upstream region of the mouse UCP2 and UCP3 genes, were transfected into C2C12
myoblasts, which were then induced to differentiate. Differentiation positively
induced the reporter expression about 20-fold via the UCP3 promoter, but by only
2-fold via the UCP2 promoter. C2C12 myoblasts were cotransfected with expression
vectors for myogenin and/or MyoD as well as reporter constructs. The simultaneous
expression of myogenin and MyoD caused an additional 20-fold increase in the
reporter expression via the UCP3 promoter, but only a weak effect via the UCP2
promoter. In L6 myoblasts, only MyoD activated the UCP3 promoter, but in 3T3-L1
cells neither factor activated the UCP3 promoter, indicating that additional
cofactors are required, which are present only in C2C12 myoblasts. The expression
of UCP2 and UCP3 is differentially regulated during muscle differentiation due to
the different responsiveness of their promoter regions to myogenin and MyoD.
PMID- 18047788
TI - Protective effect of polysaccharide fractions from Radix A. sinensis against tert
butylhydroperoxide induced oxidative injury in murine peritoneal macrophages.
AB - Three Angelica sinensis polysaccharide fractions (APFs), named APF1, APF2 and
APF3, were isolated and purified from Radix A. sinensis and their antioxidant
activities were evaluated in isolated mouse peritoneal macrophages by
pretreatment with APFs before exposure to 0.2 mM tertbutylhydroperoxide (t-BHP).
The results showed that pretreatment of the macrophages with APFs as low as 10
microg/ml could significantly enhance t-BHP-decreased cell survival,
intracellular glutathione (GSH) content and superoxide dismutase (SOD) activity,
and also inhibited t-BHP-increased lactate dehydrogenase (LDH) leakage and
malondialdehyde (MDA) formation (p < 0.05), and APF3 was the most active
fraction, followed by APF2 and APF1 in decreasing order. Furthermore, we found
for the first time that the bound-protein in APF3 was associated closely with the
protective effects and the polysaccharide inhibited the excess NO release from t
BHP-activated macrophages to protect host cells.
PMID- 18047789
TI - Detection of antistaphylococcal and toxic compounds by biological assay systems
developed with a reporter Staphylococcus aureus strain harboring a heat inducible
promoter - lacZ transcriptional fusion.
AB - Previously it was reported that promoter of groES-groEL operon of Staphylococcus
aureus is induced by various cell-wall active antibiotics. In order to exploit
the above promoter for identifying novel antistaphylococcal drugs, we have cloned
the promoter containing region (P(g)) of groES-groEL operon of S. aureus Newman
and found that the above promoter is induced by sublethal concentrations of many
antibiotics including cell-wall active antibiotics. A reporter S. aureus RN4220
strain (designated SAU006) was constructed by inserting the P(g)-lacZ
transcriptional fusion into its chromosome. Agarose-based assay developed with
SAU006 shows that P(g) in single-copy is also induced distinctly by different
classes of antibiotics. Data indicate that ciprofloxacin, rifampicin, ampicillin,
and cephalothin are strong inducers, whereas, tetracycline, streptomycin and
vancomycin induce the above promoter weakly. Sublethal concentrations of
ciprofloxacin and ampicilin even have induced P(g) efficiently in microtiter
plate grown SAU006. Additional studies show for the first time that above
promoter is also induced weakly by arsenate salt and hydrogen peroxide. Taken
together, we suggest that our simple and sensitive assay systems with SAU006
could be utilized for screening and detecting not only novel antistaphylococcal
compounds but also different toxic chemicals.
PMID- 18047790
TI - Induction of megakaryocytic differentiation in chronic myelogenous leukemia cell
K562 by 3-hydrogenkwadaphnin.
AB - 3-Hydrogenkwadaphnin (3-HK) is a daphnane-type diterpene ester isolated from
Dendrostellera lessertii (Thymelaeaceae) with high differentiation and apoptotic
potency in leukemic cells without any measurable adverse effects on normal cells
(Moosavi et al., 2005b). In this study, we report that 3-HK (12 nM) has the
ability to cease proliferation, induce differentiation and apoptosis in chronic
myelogenous leukemia (CML) K562 cell line. The treated cells lost erythroid
properties and differentiated along the megakaryocytic lineage based on the
morphological features apparent after Wright-Giemsa staining, DNA content
analysis and the expression of cell surface marker glycoprotein IIb as analyzed
by flow cytometry. Moreover, using Hoechst 33258 and Annexin V double staining
indicated the occurrence of apoptosis among the treated cells. On the other hand,
restoration of the depleted GTP pool size by exogenous addition of guanosine (50
microM) reduced the effect of the drug regarding the extent of differentiation
while no further enhancement of 3-HK effect was obtained by addition of exogenous
hypoxanthine (100 microM). These interesting results necessitate further
investigation regarding the mechanism of action of this unique anti-leukemic
agent.
PMID- 18047791
TI - Characterization of a stress-responsive ankyrin repeat-containing zinc finger
protein of Capsicum annuum (CaKR1).
AB - We isolated many genes induced from pepper cDNA microarray data following their
infection with the soybean pustule pathogen Xanthomonas axonopodis pv. glycines
8ra. A full-length cDNA clone of the Capsicum annuum ankyrin-repeat domain
C(3)H(1) zinc finger protein (CaKR1) was identified in a chili pepper using the
expressed sequence tag (EST) database. The deduced amino acid sequence of CaKR1
showed a significant sequence similarity (46%) to the ankyrin-repeat protein in
very diverse family of proteins of Arabidopsis. The gene was induced in response
to various biotic and abiotic stresses in the pepper leaves, as well as by an
incompatible pathogen, such as salicylic acid (SA) and ethephon. CaKR1 expression
was highest in the root and flower, and its expression was induced by treatment
with agents such as NaCl and methyl viologen, as well as by cold stresses. These
results showed that CaKR1 fusion with soluble, modified green fluorescent protein
(smGFP) was localized to the cytosol in Arabidopsis protoplasts, suggesting that
CaKR1 might be involved in responses to both biotic and abiotic stresses in
pepper plants.
PMID- 18047792
TI - Isolation and characterization of two Korean mistletoe lectins.
AB - Two isolectins (KML-IIU and the KML-IIL) were individually isolated from the
previously reported Korean mistletoe lectin, KML-C, by using an immunoaffinity
column. Molecular weights of the KML-IIU and the KML-IIL were 64 kDa and 60 kDa
respectively. Both of the lectins were composed of heterogeneous A and B subunits
linked with a disulfide bond, and showed the same carbohydrate-binding
specificities for Gal and GalNAc. However, they are different not only in
biophysical properties (glycosylation and amino acid compositions) but also
bioactivities (cell killing and cytokine induction). The KML-IIL showed 17-145
times stronger in cytotoxicities to various human and mouse cancer cell lines
than the KML-IIU. The KML-IIL also induced TNF-alpha secretion from mouse
peritoneal macrophages 4.5 times better than the KML-IIU. The results
demonstrated isolectins in Korean mistletoe were varied in bioactivities and the
KML-IIL may be developed as an anti-cancer agent.
PMID- 18047793
TI - The important anti-apoptotic role and its regulation mechanism of PTTG1 in UV
induced apoptosis.
AB - Pituitary tumor transforming gene (PTTG1) is widely detected in many tumors.
Increasing evidence reveals that PTTG1 is associated with cell proliferation,
cellular transformation and apoptosis. However, the functions of PTTG1,
especially its role in DNA damage-induced apoptosis, remain largely unclear. In
this report, we used UV irradiation to induce apoptosis in HeLa cells to examine
the role of PTTG1 in UV-induced apoptosis by RNAi-mediated knockdown and
overexpression of PTTG1. RNAi-mediated knockdown of PTTG1 expression increased
and overexpression of PTTG1 decreased the UV-induced apoptosis. Furthermore, UV
irradiation decreased PTTG1 mRNA and protein expression. These effects were found
to be mediated by JNK pathway. Therefore, PTTG1 had an important anti-apoptotic
role in UV-induced apoptosis and this role was mediated by JNK pathway. These
results may provide important information for understanding the exact role and
the regulation mechanism of PTTG1 in UV-induced apoptosis.
PMID- 18047794
TI - SEPT12 interacts with SEPT6 and this interaction alters the filament structure of
SEPT6 in Hela cells.
AB - Septins are a family of conserved cytoskeletal GTPase forming heteropolymeric
filamentous structure in interphase cells, however, the mechanism of assembly are
largely unknown. Here we described the characterization of SEPT12, sharing
closest homology to SEPT3 and SEPT9. It was revealed that subcellular
localization of SEPT12 varied at interphase and mitotic phase. While SEPT12
formed filamentous structures at interphase, it was localized to the central
spindle and to midbody during anaphase and cytokinesis, respectively. In
addition, we found that SEPT12 can interact with SEPT6 in vitro and in vivo, and
this interaction was independent of the coiled coil domain of SEPT6. Further, co
expression of SEPT12 altered the filamentous structure of SEPT6 in Hela cells.
Therefore, our result showed that the interaction between different septins may
affect the septin filament structure.
PMID- 18047795
TI - Induction of growth hormone release by glycyrrhizae radix on rat.
AB - Induction of growth hormone (GH) by Glycyrrhizae Radix (GR), one of the most
popular herbal medicine, and its major ingredients were studied in rat pituitary
cells in vitro and in vivo assay. The MeOH extract and the n-hexane (HX) fraction
of GR induced rat GH (rGH) release up to 1.89 times (0.34 +/- 0.04 nM) and 4.59
times (0.83 +/- 0.03 nM), compared to the basal level (p < 0.05). Among many
ingredients isolated and purified from GR both glycyrrhetinic acid and
glycyrrhizin induced significantly rGH release compared to the control (p <
0.05). After an intravenous injection of rat growth hormone releasing hormone
(rGHRH) (10 microg/kg) as positive control, in SD rats, Tmax of plasma rGH level
was 10 min, C(max) was 3.84 +/- 0.01 nM (n = 3), and enhanced plasma rGH level
returned to the baseline in 90 min. Both AUC(0-90) (area under the curve) of
plasma rGH level after HX fraction and that after rGHRH administration were
increased significantly from the basal level, respectively (p < 0.01). In
conclusions, HX fraction is the most active fraction of MeOH extract of GR in rGH
induction.
PMID- 18047797
TI - Overexpression and purification of PreS region of hepatitis B virus antigenic
surface protein adr subtype in Escherichia coli.
AB - PreS domain of Hepatitis B virus (HBV) surface antigen is a good candidate for an
effective vaccine as it activates both B and T cells besides binding to
hepatocytes. This report deals with overexpression and purification of adr
subtype of surface antigen that is more prevalent in Pakistan. PreS region,
comprising 119 aa preS1 region plus a 55 aa preS2 region plus 11 aa from the N
terminal S region, was inserted in pET21a+ vector, cloned in E. coli DH5alpha
cells and expressed in E. coli BL21 codon+ cells. The conditions for over
expression were optimized using different concentrations of IPTG (0.01-5 mM), and
incubating the cells at different temperatures (23-41 degrees C) for different
durations (0-6 h). The cells were grown under the given optimized conditions (0.5
mM IPTG concentration at 37 degrees C for 4 h), lysed by sonication and the
protein was purified by ion exchange chromatography. On the average, 24.5 mg of
recombinant protein was purified per liter of culture. The purified protein was
later lyophilized and stored at -80 degrees.
PMID- 18047798
TI - Cell cycle regulation and induction of apoptosis by beta-carotene in U937 and HL
60 leukemia cells.
AB - In this communication, we report the efficacy of beta-carotene towards
differentiation and apoptosis of leukemia cells. Dose (20 microM) and time
dependence (12 h) tests of beta- carotene showed a higher magnitude of decrease
(significance p < 0.05) in cell numbers and cell viability in HL-60 cells than
U937 cells but not normal cell like Peripheral blood mononuclear cell (PBMC).
Microscopical observation of beta-carotene treated cells showed a distinct
pattern of morphological abnormalities with inclusion of apoptotic bodies in both
leukemia cell lines. When cells were treated with 20 microM of beta-carotene,
total genomic DNA showed a fragmentation pattern and this pattern was clear in HL
60 than U937 cells. Both the cell lines, on treatment with beta- carotene, showed
a clear shift in G(1) phase of the cell cycle. In addition the study also
revealed anti-oxidant properties of beta-carotene since there was reduction in
relative fluorescent when treated than the control at lower concentration.
Collectively this study shows the dual phenomenon of apoptosis and
differentiation of leukemia cells on treatment with beta-carotene.
PMID- 18047799
TI - Induction of growth hormone release by dioscin from Dioscorea batatas DECNE.
AB - In this study, dioscin was isolated from Dioscoreae Rhizoma (DR), which is the
rhizome of Dioscorea batatas D(ECNE). that inhabits broad areas of Korea and
Japan. To determine whether dioscin induced growth hormone (GH) release, we
evaluated its induction effects on GH release both in vitro and in vivo. The 70%
methanol extract of DR, and its n-hexane and n-BuOH fractions, induced rat GH
(rGH) release in rat pituitary cells 10-fold, 8-fold, and 5- fold higher than the
control (0.36 +/- 0.02 nM), respectively (p < 0.05 each). The dioscin-induced rGH
release of the cells was concentration-dependent and its ED(50) was 1.14 x 10(-5)
M. Within 90 minutes after intravenous administration of 10 microg/kg (p < 0.05
at t(max)), dioscin caused the greatest increase in rGH concentration (C(max)) in
the rat plasma (34.16 +/- 14.10 ng/ml) (n = 4), which was twice as high as the
control group (12.88 +/- 3.29 ng/ml) (n = 27).
PMID- 18047796
TI - Differentially expressed genes under cold acclimation in Physcomitrella patens.
AB - Cold acclimation improves freezing tolerance in plants. In higher plants, many
advances have been made toward identifying the signaling and regulatory pathways
that direct the low-temperature stress response; however, similar insights have
not yet been gained for simple nonvascular plants, such as bryophytes. To
elucidate the pathways that regulate cold acclimation in bryophytes, we used two
PCR-based differential screening techniques, cDNA amplified fragment length
polymorphism (cDNA-AFLP) and suppression subtractive hybridization (SSH), to
isolate 510 ESTs that are differentially expressed during cold acclimation in
Physcomitrella patens. We used realtime RT-PCR to further analyze expression of
29 of these transcripts during cold acclimation. Our results show that cold
acclimation in the bryophyte Physcomitrella patens is not only largely similar to
higher plants but also displays distinct differences, suggests significant
alteration during the evolution of land plants.
PMID- 18047800
TI - Construction and expression of mutant cDNAs responsible for genetic polymorphism
in aldehyde oxidase in Donryu strain rats.
AB - We demonstrated the genetic polymorphism of aldehyde oxidase (AO) in Donryu
strain rats: the ultrarapid metabolizer (UM) with nucleotide mutation of (377G,
2604C) coding for amino acid substitution of (110Gly, 852Val), extensive
metabolizer (EM) with (377G/A, 2604C/T) coding for (110Gly/Ser, 852Val/Ala), and
poor metabolizer (PM) with (377A, 2604T) coding for (110Ser, 852Ala),
respectively. The results suggested that 377G > A and/or 2604C > T should be
responsible for the genetic polymorphism. In this study, we constructed an E.
coli expression system of four types of AO cDNA including Mut-1 with (377G,
2604T) and Mut-2 with (377A, 2604C) as well as naturally existing nucleotide
sequences of UM and PM in order to clarify which one is responsible for the
polymorphism. Mut-1 and Mut-2 showed almost the same high and low activity as
that of the UM and PM groups, respectively. Thus, the expression study of mutant
AO cDNA directly revealed that the nucleotide substitution of 377G > A, but not
that of 2604C > T, will play a critical role in the genetic polymorphism of AO in
Donryu strain rats. The reason amino acid substitution will cause genetic
polymorphism in AO activity was discussed.
PMID- 18047801
TI - Evidence of tandem repeat and extra thiol-groups resulted in the polymeric
formation of bovine haptoglobin: a unique structure of Hp 2-2 phenotype.
AB - Human plasma Hp is classified as 1-1, 2-1, and 2-2. They are inherited from two
alleles Hp 1 and Hp 2, but there is only Hp 1 in almost all the animal species.
Hp 2-2 molecule is extremely large and heterogeneous associated with the
development of inflammatory-related diseases. In this study, we expressed entire
bovine Hp in E. coli as a alphabeta linear form. Interestingly, the antibodies
prepared against this form could recognize the subunit of native Hp. In stead of
a complicated column method, the antibody was able to isolate bovine Hp via
immunoaffinity and gel-filtration columns. The isolated Hp is polymeric
containing two major molecular forms (660 and 730 kDa). Their size and hemoglobin
binding complex are significantly larger than that of human Hp 2-2. The amino
acid sequence deducted from the nucleotide sequence is similar to human Hp 2
containing a tandem repeat over the alpha chain. Thus, the Hp 2 allele is not
unique in human. We also found that there is one additional -SH group (Cys-97) in
bovine alpha chain with a total of 8 -SH groups, which may be responsible for the
overall polymeric structure that is markedly different from human Hp 2-2. The
significance of the finding and its relationship to structural evolution are also
discussed.
PMID- 18047802
TI - Anti-oxidative effect of a protein from Cajanus indicus L against acetaminophen
induced hepato-nephro toxicity.
AB - Overdoses of acetaminophen cause hepato-renal oxidative stress. The present study
was undertaken to investigate the protective effect of a 43 kDa protein isolated
from the herb Cajanus indicus, against acetaminophen-induced hepatic and renal
toxicity. Male albino mice were treated with the protein for 4 days
(intraperitoneally, 2 mg/kg body wt) prior or post to oral administration of
acetaminophen (300 mg/kg body wt) for 2 days. Levels of different marker enzymes
(namely, glutamate pyruvate transaminase and alkaline phosphatase), creatinine
and blood urea nitrogen were measured in the experimental sera. Intracellular
reactive oxygen species production and total antioxidant activity were also
determined from acetaminophen and protein treated hepatocytes. Indices of
different antioxidant enzymes (namely, superoxide dismutase, catalase,
glutathione-S-transferase) as well as lipid peroxidation end-products and
glutathione were determined in both liver and kidney homogenates. In addition,
Cytochrome P450 activity was also measured from liver microsomes. Finally,
histopathological studies were performed from liver sections of control,
acetaminophen-treated and protein pre- and post-treated (along with
acetaminophen) mice. Administration of acetaminophen increased all the serum
markers and creatinine levels in mice sera along with the enhancement of hepatic
and renal lipid peroxidation. Besides, application of acetaminophen to
hepatocytes increased reactive oxygen species production and reduced the total
antioxidant activity of the treated hepatocytes. It also reduced the levels of
antioxidant enzymes and cellular reserves of glutathione in liver and kidney. In
addition, acetaminophen enhanced the cytochrome P450 activity of liver
microsomes. Treatment with the protein significantly reversed these changes to
almost normal. Apart from these, histopathological changes also revealed the
protective nature of the protein against acetaminophen induced necrotic damage of
the liver tissues. Results suggest that the protein protects hepatic and renal
tissues against oxidative damages and could be used as an effective protector
against acetaminophen induced hepato-nephrotoxicity.
PMID- 18047803
TI - Characterization of peptide deformylase2 from B. cereus.
AB - Peptide deformylase (PDF) is a metalloenzyme that removes the N-terminal formyl
groups from newly synthesized proteins. It is essential for bacterial survival,
and is therefore-considered as a potential target for antimicrobial chemotherapy.
However, some bacteria including medically relevant pathogens possess two or more
def-like genes. Here we have examined two PDFs from Bacillus cereus. The two
share only 32% sequence identity and the crystal structures show overall
similarity with PDF2 having a longer C-terminus. However, there are differences
at the two active sites, and these differences appear to contribute to the
activity difference seen between the two. BcPDF2 is found as a dimer in the
crystal form with two additional actinonin bound at that interface.
PMID- 18047804
TI - Proteomic analysis of O-GlcNAc modifications derived from streptozotocin and
glucosamine induced beta-cell apoptosis.
AB - The post-translational modifications of Ser and Thr residues by O-linked beta-N
acetylglucosamine (O-GlcNAc), i.e., O-GlcNAcylation, is considered a key means of
regulating signaling, in a manner analogous to protein phosphorylation.
Furthermore, it has been suggested that the increased flux of glucose through the
hexosamine biosynthetic pathway (HBP) stimulates O-GlcNAcylation, and that this
may be responsible for many of the manifestations of type 2 diabetes mellitus. To
determine whether excessive O-GlcNAcylation of target proteins results in
pancreatic beta cell dysfunction, we increased nucleocytoplasmic protein O
GlcNAcylation levels in beta cells by exposing them to streptozotocin and/or
glucosamine. Streptozotocin and glucosamine co-treatment increased OGlcNAcylated
proteomic patterns as assessed by immunoblotting, and these increases in nuclear
and cytoplasmic protein O-GlcNAcylations were accompanied by impaired insulin
secretion and enhanced apoptosis in pancreatic beta cells. This observed beta
cell dysfunction prompted us to examine Akt and Bcl-2 family member proteins to
determine which proteins are O-GlcNAcylated under conditions of high HBP
throughput, and how these proteins are associated with beta cell apoptosis.
Eventually, we identified ten new O-GlcNAcylated proteins that were expressed
during beta cell apoptosis, and analyzed the functional implications of these
proteins in relation to pancreatic beta cell dysfunction.
PMID- 18047805
TI - Disulfiram suppresses invasive ability of osteosarcoma cells via the inhibition
of MMP-2 and MMP-9 expression.
AB - Cancer cells, characterized by local invasion and distant metastasis, are very
much dependent on the extracellular matrix. The expression of matrix
metalloproteinases (MMPs) has been implicated in the invasion and metastasis of
cancer cells. In this study, we reported the effects of disulfiram, a clinically
used anti-alcoholism drug, on tumor invasion suppression, as well as its effects
on the activity of MMP-2 and MMP-9 in human osteosarcoma cells (U2OS). Disulfiram
has been used for alcohol aversion therapy. However, recent reports have shown
that disulfiram may have potential in the treatment of human cancers. Herewith,
we showed that the anti-tumor effects of disulfiram, in an invasion assay using
U2OS cells and that disulfiram has a type IV collagenase inhibitory activity that
inhibits expression of genes and proteins responsible for both cell and non-cell
mediated invasion on pathways. In conclusion, disulfiram inhibited expression of
MMP-2 and MMP-9 and it regulated the invasion of human osteosarcoma cells. These
observations raise the possibility of disulfiram being used clinical for the
inhibition of cancer invasion.
PMID- 18047806
TI - Inhibitory properties of nerve-specific human glutamate dehydrogenase isozyme by
chloroquine.
AB - Human glutamate dehydrogenase exists in hGDH1 (housekeeping isozyme) and in hGDH2
(nerve-specific isozyme), which differ markedly in their allosteric regulation.
In the nervous system, GDH is enriched in astrocytes and is important for
recycling glutamate, a major excitatory neurotransmitter during
neurotransmission. Chloroquine has been known to be a potent inhibitor of house
keeping GDH1 in permeabilized liver and kidney-cortex of rabbit. However, the
effects of chloroquine on nerve-specific GDH2 have not been reported yet. In the
present study, we have investigated the effects of chloroquine on hGDH2 at
various conditions and showed that chloroquine could inhibit the activity of
hGDH2 at dose-dependent manner. Studies of the chloroquine inhibition on enzyme
activity revealed that hGDH2 was relatively less sensitive to chloroquine
inhibition than house-keeping hGDH1. Incubation of hGDH2 was uncompetitive with
respect of NADH and non-competitive with respect of 2-oxoglutarate. The
inhibitory effect of chloroquine on hGDH2 was abolished, although in part, by the
presence of ADP and L-leucine, whereas GTP did not change the sensitivity to
chloroquine inhibition. Our results show a possibility that chloroquine may be
used in regulating GDH activity and subsequently glutamate concentration in the
central nervous system.
PMID- 18047807
TI - AtHAP3b plays a crucial role in the regulation of flowering time in Arabidopsis
during osmotic stress.
AB - The HAP complex has been found in many eukaryotic organisms. HAP recognizes the
CCAAT box present in the promoters of 30% of all eukaryotic genes. The HAP
complex consists of three subunits--HAP2, HAP3 and HAP5. In this paper, we report
the biological function of the AtHAP3b gene that encodes one of the HAP3 subunits
in Arabidopsis. Compared with wild-type plants, hap3b-1 and hap3b-2 mutants
exhibited a delayed flowering time under long-day photoperiod conditions.
Moreover, the transcription levels of FT were substantially lower in the mutants
than in the wild-type plants. These results imply that AtHAP3b may function in
the control of flowering time by regulating the expression of FT in Arabidopsis.
In a subsequent study, AtHAP3b was found to be induced by osmotic stress. Under
osmotic stress conditions, the hap3b-1 and hap3b-2 mutants flowered considerably
later than the wild-type plants. These results suggest that the AtHAP3b gene
plays more important roles in the control of lowering under osmotic stress in
Arabidopsis.
PMID- 18047808
TI - Cell selectivity of an antimicrobial peptide melittin diastereomer with D-amino
acid in the leucine zipper sequence.
AB - Melittin (ME), a linear 26-residue non-cell-selective antimicrobial peptide,
displays strong lytic activity against bacterial and human red blood cells. To
design ME analogue with improved cell selectivity, we synthesized a melittin
diastereomer (ME-D) with D-amino acid in the leucine zipper sequence (Leu-6, Lue
13 and Ile-20). Compared to ME, ME-D exhibited the same or 2-fold higher
antibacterial activity but 8-fold less hemolytic activity. Circular dichroism
analysis revealed that ME-D has much less alpha-helical content in alpha-helical
content in the presence of zwitterionic EYPC/cholesterol (10 : 1, w/w) liposomes
compared to negatively charged EYPE/EYPG (7 : 3, w/w) liposomes. The blue shift
of the fluorescence emission maximum of ME-D in zwitterionic EYPC/ cholesterol
(10 : 1, w/w) liposomes was much smaller than in negatively charged EYPE/EYPG (7
: 3, w/w) liposomes. These results suggested that the improvement in therapeutic
index/cell selectivity of ME-D is correlated with its less permeability to
zwitterionic membranes.
PMID- 18047809
TI - Ectopic expression of mitochondria endonuclease Pnu1p from Schizosaccharomyces
pombe induces cell death of the yeast.
AB - Endonuclease G (EndoG) is a mitochondrial non-specific nuclease that is highly
conserved among the eukaryotes. Although the precise role of EndoG in
mitochondria is not yet known, the enzyme is released from the mitochondria and
digests nuclear DNA during apoptosis in mammalian cells. Schizosaccharomyces
pombe has an EndoG homolog Pnu1p (previously named SpNuc1) that is produced as a
precursor protein with a mitochondrial targeting sequence. During the sorting
into mitochondria the signal sequence is cleaved to yield the functionally active
endonuclease. From the analogy to EndoG, active extramitochondrial Pnu1p may
trigger cell killing by degrading nuclear DNA. Here, we tested this possibility
by expressing a truncated Pnu1p lacking the signal sequence in the
extramitochondrial region of pnu1-deleted cells. The truncated Pnu1p was
localized in the cytosol and nuclei of yeast cells. And ectopic expression of
active Pnu1p led to cell death with fragmentation of nuclear DNA. This suggests
that the Pnu1p is possibly involved in a certain type of yeast cell death via DNA
fragmentation. Although expression of human Bak in S. pombe was lethal, Pnu1p
nuclease is not necessary for hBak-induced cell death.
PMID- 18047810
TI - Is Helicobacter pylori responsible for autoimmune diseases? That is the question.
PMID- 18047811
TI - Hypersensitivity to Vespula and Polistes: can we tell the primary sensitization
from the clinical history?
AB - OBJECTIVE: To study the relationship between the primary sensitization to wasp
venoms and the geographical and seasonal circumstances of the anaphylaxis-induced
sting. METHODS: We performed a retrospective review of 115 patients (age 10-80)
who suffered a systemic reaction to a wasp sting. Season and type of locality
(urban or rural) at the moment of the sting were recorded. Serum specific IgE
levels to venoms from Vespula and Polistes were measured, and a primary
sensitization was determined to whichever genus of wasp for which the highest
class of specific IgE was observed. The primary sensitization in relation to the
type of locality and the season was assessed using the chi-square test. RESULTS:
Most reactions occurred in urban areas (67.8 %), and in the summer season (63.4
%). Most patients were sensitized to Vespula venom (94.8 %). Primary
sensitization was to Vespula in 56.5 %, to Polistes in 10.4 %, and undetermined
in 33 %. The distribution of geographical areas did not show significant
differences in relation to primary sensitization (p > 0.05). Most patients with
primary sensitization to Vespula suffered the anaphylaxis-induced sting after the
spring season, with a statistically significant result (p < 0.05). CONCLUSION: In
our population, the probability of Vespula sting is higher than Polistes sting
when the reaction occurs after spring. This finding can help us to identify the
responsible vespid when the diagnostic tests do not provide an accurate result.
PMID- 18047812
TI - Risk factors of developing asthma in children with recurrent wheezing in the
first three years of life.
AB - INTRODUCTION: recurrent wheezing is a common problem during the first years of
life, but it is still difficult to identify which of these children may develop
asthma in the future. OBJECTIVES: To study risk factors of developing asthma in a
group of patients with frequent wheezing during the first three years of life.
MATERIAL AND METHODS: A prospective study was performed of a group of 60
patients, aged below three, referred to our Hospital for recurrent wheezing. Age,
sex, parental and personal history of atopy, clinical features, laboratory tests,
evolution and response to treatment were analyzed. RESULTS: 60 patients were
enrolled in study. Most of children were boys and have had the first episode of
wheezing after the 6 months of life. 63 % had personal history of atopy and 55 %
parental history of allergy. The group of atopic children had more wheezing
exacerbations and worse evolution than the group of non atopic. They also had
more treatment necessities. CONCLUSIONS: The identification of young children at
high risk of developing asthma could permit an early intervention before
irreversible changes in the airway appeared.
PMID- 18047814
TI - Pregnancy outcomes in women using inhaled fluticasone during pregnancy: a case
series.
AB - BACKGROUND: The aim of the study was to report the maternal and fetal outcomes of
women with respiratory illnesses who were treated with inhaled fluticasone during
pregnancy. MATERIAL AND METHODS: We identified 12 cases treated with inhaled
fluticasone during pregnancy out of women who received obstetric and teratogen
risk evaluation at the Korean Motherisk Program. A detailed medical and obstetric
history was obtained and cases were followed-up until either spontaneous or
voluntary pregnancy termination or delivery occurred. RESULTS: None of the
participants had any obstetric complication. However, in addition to fluticasone,
most of the 12 cases were simultaneously exposed to a variety of medications.
There were 3 abortions (one spontaneous and 2 requested by the patients arguing
personal reasons). Live born babies without any evidence of major congenital
malformations included 8 singleton babies and 2 twins. Of them, 3 babies were
born prematurely. CONCLUSIONS: Our results are in agreement with previous large
studies where no increased rate of adverse outcomes was reported with the use of
inhaled corticosteroids during pregnancy.
PMID- 18047813
TI - Serum leptin levels and lipid profiles in patients with allergic rhinitis and
mild asthma.
AB - BACKGROUND: Despite improved understanding of the pathophysiology of allergic
rhinitis and asthma, the effect of serum leptin level is still controversial.
Only a few studies have been performed to investigate the serum leptin levels in
allergic rhinitis and asthma, and contradictory results have been observed.
OBJECTIVE: We aimed to investigate the association between leptin, lipid profiles
and allergic rhinitis and mild asthma, and to determine whether inhaled and/or
intranasal steroids affect the leptin levels. PATIENTS AND METHODS: We studied 43
patients with allergic rhinitis (10 of with mild asthma) (mean age 29.81, range
18-45 yr) and 32 volunteers as a control group (mean age 30.53, range 20-45 yr).
RESULTS: Serum leptin levels in patients were 8.49 +/- 10.76 microg/ml, and did
not differ from volunteers 5.42 +/- 6.63 microg/ml. (p > 0.05). We found a direct
link between increased body mass index (BMI) and serum leptin levels (p = 0.008).
No association was seen between leptin and triglyceride, HDL-cholesterol, VLDL
cholesterol, eosinophil, total IgE (p > 0.05); except for total cholesterol and
LDL-cholesterol (p < 0.05). Although, no correlation between allergic rhinitis
and mild asthma and serum level of leptin was shown, these parameters and age
correlations were stronger in female than in male (p = 0.39 for male and p =
0.011 for female), and also found direct link between increased BMI and sex and
patients group (p = 0.008 for male and p = 0.0001 for female). We also determined
that there was no effect of inhaled and/or intranasal steroids statistically on
serum leptin levels. CONCLUSION: Our data demonstrate that the serum levels of
leptin and lipid profiles on allergic rhinitis and mild asthma were not different
than those in controls.
PMID- 18047815
TI - Correlation of environmental mite levels and the symptoms of allergic rhinitis
regarding the efficacy of preventive education.
AB - Allergic rhinitis is still a commonly investigated disease all over the world.
Allergens are usually in the nature of glycoprotein or protein which interact
with antibodies resulting in the formation of specific Ig E in the body 1. It is
presumed that some environmental factors play an important role in their clinics.
In allergic rhinitis, symptoms usually occur after the interaction of nasal
mucosa with allergens. Allergens may be indoors, outdoors or in both
environments. House-dust contains most of the indoor allergens. Mites are the
most egregious allergen in house dust. D. pteronyssinus is commonly seen in
European countries, D. farinae is mostly seen in North America. House-dust mites
play an important role in allergic sensitization of individuals in Turkey.
Perennial allergic rhinitis is a common chronic disorder that results most
frequently from sensitivity to house-dust mites. National and international
guidelines for the management of allergic rhinitis recommend that house and dust
mite avoidance measures be considered for all patients with house-dust mite
provoked rhinitis. Symptoms of allergic rhinitis are related to the environmental
mite level in which patients live. The aim of this study is to show the
relationship between mite levels and symptoms of allergic rhinitis diagnosed
patients and the change of mite levels in the environment after appropriate
education.
PMID- 18047816
TI - Prevalence of symptoms of asthma, rhinitis, and atopic eczema among adolescents
living in urban and rural areas in different regions of Brazil.
AB - BACKGROUND: In Brazil, studies evaluating the prevalence of asthma and allergic
diseases among children living in rural area compared to urban area are rare.
Some authors identified as risk factors for higher prevalence of current wheezing
to have a family history of asthma, to have contact with pets, and being student
in an urban school. The International Study of Asthma and Allergies in Childhood
(ISAAC) phase 3 has shown higher prevalence of wheezing, nasal symptoms and
cutaneous rash in the last 12 months in centers from Northern (N) and
Northeastern (NE) regions of Brazil. OBJECTIVE: To evaluate if adolescents with
similar genetic background, living in a rural area are protected against the
development of symptoms of asthma, rhinitis, and atopic eczema when compared to
those living in an urban area in the same region of the country. SUBJECTS AND
METHOD: Adolescents (13-14 year-old) living in Caruaru (Pernambuco) and in Santa
Maria (Rio Grande do Sul) were enrolled in this study (2002-2003). The
adolescents filled in the ISAAC written questionnaire (WQ), previously translated
and validated to the Brazilian culture (30-32). Data obtained were transcribed
manually into a database (Epi-Info) supplied by ISAAC's coordinators and were
statistically analyzed by the SSPS-12 software. RESULTS: The prevalence of asthma
related symptoms were higher among those adolescents living in the urban centers
in comparison to the rural ones. These differences were significant for wheezy
ever, wheezy in the last 12 months, asthma ever, and wheezy with exercise in
Caruaru and for wheezy ever and asthma ever, in Santa Maria. Comparing urban
areas, the prevalence of asthma related symptoms in Caruaru was higher than in
Santa Maria, except for wheezy ever and wheezy with exercise. The opposite was
observed comparing rural areas: the prevalence of asthma (except for nocturnal
cough) was higher in Santa Maria despite lesser severity of symptoms in this
city.
PMID- 18047817
TI - Interactions between genes and the environment. Epigenetics in allergy.
AB - Epigenetics is defined as those inheritable changes occurring in gene expression,
without actual modification in the genic DNA sequence. Epigenetic factors are
chemically stable, potentially reversible, and can be modulated or induced by
environmental factors. In the case of allergic disease, epigenetics could explain
not only the discordances observed between monozygous twins but also phenomena
such as incomplete penetrance, variable expression, gender and progenitor
effects, and sporadic cases. In this sense, the hypothesis of hygiene is of great
relevance in that it integrates genetic and epidemiological data in the context
of environmental exposures. Among the different epigenetic factors, mention must
be made of DNA methylation, covalent histone modifications, and other mechanisms
that include different protein complexes and RNA-mediated modifications. The
regulatory effect of these phenomena upon immune response has important
implications for allergic diseases. At present, different lines of
pharmacological research are being conducted, based on the modulation of
epigenetic factors, modifying expression of the genes that encode for proteins
implicated in allergic processes. Among such modulators, mention can be made of
antisense oligonucleotides, ribozymes and interference RNA. The applications of
epigenetics to the diagnosis and treatment of allergic disorders offer a very
promising future of this specialty.
PMID- 18047818
TI - Immunotherapy with Alternaria alternata: present and future.
AB - The prevalence of fungal allergies is greater than previously believed;
consequently, such processes have been underestimated as potential causes of
respiratory tract disease. Most patients sensitized to fungi exhibit perennial
symptoms, though their intensity increases in the summer and autumn months. Skin
reactions to the antigens of Alternaria alternata are associated with a high risk
of allergic respiratory conditions in the presence of spores of this fungus
fundamentally in children and young adults- with a special form of presentation
as life-threatening asthma. Very few controlled studies have examined the
efficacy and safety of fungal extract immunotherapy -the main problem being the
lack of standardized extracts for the diagnosis and treatment of such patients.
In the year 2005 a tolerance study was made in children in relation to a depot
extract containing the predominant antigen of Alternaria, with two different
regimens (short and cluster). Tolerance was found to be good, with a 0.95 %
incidence of local reactions and a 0.95 % incidence of grade 2 systemic
reactions. Few studies involving sublingual immunotherapy have been conducted to
date.
PMID- 18047819
TI - Efficient bibliographic searches on allergology using PubMed.
AB - INTRODUCTION: PubMed is the most important of the non-specialized databases on
biomedical literature. International and quickly updated is elaborated by the
American Government and contains only information about papers published in
scientific journal/s. Although it can be used as an unique Data Base, as a matter
of fact is the addition of several subgroups (among them MEDLINE) that can be
searched simultaneously. OBJECTIVES: To present the main characteristics of
PubMed, as well as the most important procedures of search, for obtaining
efficient results in searches on allergology. CHARACTERISTICS AND SEARCH
PROCEDURES: PubMed is elaborated by the American Administration, that condition
the character of the registered papers, 90 % of them are written in English in
American (50 %) or British (20 %) Journals. Because of this, the information for
certain specialties or countries must be obtained from other sources. This paper
shows how PubMed allows to search in natural language due to the Automatic Term
Mapping that links terms from the natural language with the descriptors producing
searches with a higher sensitivity although with a low specificity. Nevertheless
the MeSH (Medical Subject Headings) thesaurus allows to translate those terms
from the natural language to the equivalent descriptor, as well as to make
queries in the PubMed's documental language with a high specificity but with
lower sensitivity than the natural language. The use of union (OR), intersection
(AND) and exclusion (NOT) operators, as well as tags, such as delimiters of the
search fields, allows to increase the specificity of the results. Similar results
may be obtained with the use of Limits. Searches done using Clinical Queries are
very interesting due to their direct clinical application and because allow to
find systematic reviews, metaanalysis or clinically oriented papers (treatment,
diagnostic, etiology, prognosis or clinical prediction guides) on the area of
interest. Other procedures such as the Index, History of searches, and the
widening of the selection using Related Articles and the storing of separate
results in the Clipboard to be kept by the user, are presented in this paper.
PMID- 18047820
TI - Fragrances as a cause of food allergy.
AB - A 34-year-old woman referred episodes of gastrointestinal disorders and sometimes
angioedema of the lips which appeared within 2 hours from the ingestion of packed
food like biscuits, cereals or fruit juice. A patch test with the standard
European series and with food preservatives was positive for fragrances mix. The
avoidance of packed food labelled as containing flavours among the ingredients
caused the disappearance of the above reported symptoms.
PMID- 18047821
TI - Not all ACE inhibitor related angioedema is always evident: a case which is
misdiagnosed as panic attack and speech disorder.
AB - Angiotensin-converting enzyme (ACE) inhibitors are the most common medications
responsible for angioedema. Angioedema is a potentially life threatening
conditions especially in geriatric age patients that they have take a several
medications include ACE inhibitors and non steroidal anti inflammatory drugs. We
present a case an ACE inhibitor induced angioedema that confused many clinical
events.
PMID- 18047822
TI - Codeine challenge in chronic urticaria patients.
PMID- 18047823
TI - Site-directed circular dichroism of proteins: 1Lb bands of Trp resolve position
specific features in tear lipocalin.
AB - The absorption spectra of N-acetyl-L-tryptophanamide in various solvents were
resolved into the sums of the (1)L(a) and (1)L(b) components. The relative
intensities of the 0-0 transitions of the (1)L(b) bands correlate linearly with
the solvent polarity values (E(T)(N)). A novel strategy that uses a set of the
experimental (1)L(b) bands was employed to resolve the near-UV circular dichroism
(CD) spectra of tryptophanyl residues. Resolved spectral parameters from the
single-tryptophan mutants of tear lipocalin (TL), F99W and Y87W, corroborate the
fluorescence and structural data of TL. Analysis of the (1)L(b) bands of the Trp
CD spectra in proteins is a valuable tool to obtain the local features. The
dimethyl sulfoxide (DMSO)-like (1)L(b) band of Trp CD spectra may be used as a
"fingerprint" to identify the tryptophanyl side chains in situations where the
benzene rings of Trp have van der Waals interactions with the side chains of its
nearest neighbor. In addition, the signs and intensities of the components hold
information about the side chain conformations and dynamics in proteins. Combined
with Trp mutagenesis, this method, which we call site-directed circular
dichroism, is broadly applicable to various proteins to obtain the position
specific data.
PMID- 18047824
TI - Initial synthesis and characterization of an immobilized heat shock protein 90
column for online determination of binding affinities.
AB - Heat shock protein 90 alpha (Hsp90alpha) was immobilized on aminopropyl silica
via the N terminus to create the Hsp90alpha(NT) column or via the C terminus to
create the Hsp90alpha(CT) column. Binding to the exposed C terminus on the
Hsp90alpha(NT) column was characterized using frontal chromatography and the C
terminus ligands coumermycin A(1) (CA1) and novobiocin (NOVO). The calculated
K(d) values were 220+/-110 nM (CA1) and 100+/-20 nM (NOVO). Nonlinear
chromatography was used to determine the association and dissociation rate
constants associated with the NOVO-Hsp90alpha complex: 22.2+/-8.8 microM(-1) s(
1) and 2.7+/-0.6s(-1), respectively. Binding to the exposed N terminus on the
Hsp90alpha(CT) column was characterized using frontal chromatography. The K(d)
values of the N-terminus ligands geldanamycin (GM, 90+/-50 nM), 17-allylamino-17
demethoxygeldanamycin (17-AAG, 210+/-50 nM), and radicicol (RAD, 20+/-9 nM) were
consistent with previously reported values. The effect of the immobilization on
ATPase activity was investigated through the determination of IC(50) values for
inhibition of ATPase activity on the Hsp90alpha(CT) column. The IC(50) for GM was
2.80+/-0.18 microM, and the relative IC(50) values were 17-AAG>GM>RAD, in
agreement with previously reported values and indicating that immobilization had
not affected ATPase activity or sensitivity to inhibition.
PMID- 18047825
TI - Staurosporine-based binding assay for testing the affinity of compounds to
protein kinases.
AB - Staurosporine is a broad-spectrum inhibitor of both tyrosine and serine/threonine
protein kinases. Excitation of staurosporine and its analogues at 296 nm results
in major emission bands centered at 378 and 396 nm. The intensity of the emission
bands is enhanced on binding to the adenosine triphosphate (ATP) site of many
protein kinases. This property was used to develop a competitive displacement
assay for evaluating the binding affinity of small molecules to protein kinases.
The assay was validated in both cuvette and plate formats for several
phosphorylated and non-phosphorylated protein kinases. The throughput of the
assay is high enough to be used in drug discovery for screening as well as lead
optimization.
PMID- 18047826
TI - Quantitative effects of allosteric ligands and mutations on conformational
equilibria in Salmonella typhimurium tryptophan synthase.
AB - Allosteric communications are important in coordination of the reactions in the
tryptophan (Trp) synthase alpha2beta2 multienzyme complex. We have measured the
conformational equilibria of l-Ser and l-Trp complexes, using absorption and
fluorescence spectrophotometry with hydrostatic pressure equilibrium
perturbation. The effects of monovalent cations, disodium alpha-glycerophosphate
(Na2GP), indoleacetylglycine (IAG), and benzimidazole (BZI), as well as of
betaE109D and betaD305A mutations, on K(eq) for the conformational equilibria
were determined. The l-Ser external aldimine-aminoacrylate equilibrium
(K(eq)=[external aldimine]/[aminoacrylate]) has the largest value with Na+
(0.12), followed by K+ (0.04), Li+ (7.6 x 10(-4)), Rb+ (4.3 x 10(-4)), NH4+ (2.3
x 10(-4)), no cation (2.0 x 10(-4)) and Cs+ (1.6x10(-5)). alpha-Site ligands,
Na(2)GP and IAG, have modest 3- to 40-fold effects on K(eq) in the direction of
aminoacrylate, but BZI in the presence of Na+ gives a low value of K(eq)
comparable to that obtained with Cs(+). There is no additivity of free energy for
Na2GP and BZI, suggesting a common pathway for allosteric communications for both
ligands. The values of DeltaV(o) range from -126 mL/mol for the Na+ complex to
204 mL/mol for the Na+ complex with BZI. The betaD305A mutation changes the K(eq)
by a factor of at least 10(5) (26.7kJ/mol) and nearly abolishes allosteric
communications. There are also dramatic decreases in the magnitude of both
DeltaV(o) and DeltaS for the l-Ser external aldimine-aminoacrylate equilibrium
for betaD305A Trp synthase, consistent with a large decrease in solvation
accompanying the conformational change in betaD305A Trp synthase relative to wild
type Trp synthase. The betaE109D mutation has more modest but significant effects
on K(eq), which differ with the ligand, ranging from 40-fold for GP to 2200-fold
for BZI, even though betaGlu-109 is not directly involved in allosteric
communications. The effect of GP on the external aldimine-quinonoid intermediate
equilibrium of the Trp synthase-l-Trp complex is similar to that of GP on the Trp
synthase-l-Ser external aldimine-aminoacrylate equilibrium. These results have
allowed a quantitative comparison of the allosteric effects of ligand and
mutations in Trp synthase. These allosteric effects are finely tuned to control
the synthesis of l-Trp without resulting in substrate or product inhibition.
PMID- 18047827
TI - Control of cell respiration by nitric oxide in Ataxia Telangiectasia
lymphoblastoid cells.
AB - Ataxia Telangiectasia (AT) patients are particularly sensitive to oxidative
nitrosative stress. Nitric oxide (NO) controls mitochondrial respiration via the
reversible inhibition of complex IV. The mitochondrial response to NO of AT
lymphoblastoid cells was investigated. Cells isolated from three patients and
three intrafamilial healthy controls were selected showing within each group a
normal diploid karyotype and homogeneous telomere length. Different complex IV NO
inhibition patterns were induced by varying the electron flux through the
respiratory chain, using exogenous cell membrane permeable electron donors. Under
conditions of high electron flux the mitochondrial NO inhibition of respiration
was greater in AT than in control cells (P< or =0.05). This property appears
peculiar to AT, and correlates well to the higher concentration of cytochrome c
detected in the AT cells. This finding is discussed on the basis of the proposed
mechanism of reaction of NO with complex IV. It is suggested that the peculiar
response of AT mitochondria to NO stress may be relevant to the mitochondrial
metabolism of AT patients.
PMID- 18047828
TI - A structural investigation of complex I and I+III2 supercomplex from Zea mays at
11-13 A resolution: assignment of the carbonic anhydrase domain and evidence for
structural heterogeneity within complex I.
AB - The projection structures of complex I and the I+III2 supercomplex from the C4
plant Zea mays were determined by electron microscopy and single particle image
analysis to a resolution of up to 11 A. Maize complex I has a typical L-shape.
Additionally, it has a large hydrophilic extra-domain attached to the centre of
the membrane arm on its matrix-exposed side, which previously was described for
Arabidopsis and which was reported to include carbonic anhydrase subunits. A
comparison with the X-ray structure of homotrimeric gamma-carbonic anhydrase from
the archaebacterium Methanosarcina thermophila indicates that this domain is also
composed of a trimer. Mass spectrometry analyses allowed to identify two
different carbonic anhydrase isoforms, suggesting that the gamma-carbonic
anhydrase domain of maize complex I most likely is a heterotrimer. Statistical
analysis indicates that the maize complex I structure is heterogeneous: a less
abundant "type II" particle has a 15 A shorter membrane arm and an additional
small protrusion on the intermembrane-side of the membrane arm if compared to the
more abundant "type I" particle. The I+III2 supercomplex was found to be a rigid
structure which did not break down into subcomplexes at the interface between the
hydrophilic and the hydrophobic arms of complex I. The complex I moiety of the
supercomplex appears to be only of "type I". This would mean that the "type II"
particles are not involved in the supercomplex formation and, hence, could have a
different physiological role.
PMID- 18047829
TI - A trimeric building block model for Cry toxins in vitro ion channel formation.
AB - The crystal (Cry) insecticidal toxins, or delta-endotoxins, are lethal to a wide
variety of insect larvae, and are therefore very important in insect control.
Toxicity has been explained by formation of transmembrane oligomeric pores or ion
channels and, more recently, by the ability of the monomeric toxin to subvert
cellular signaling pathways. The structure, topology, and precise role of the
putative pore in toxicity are not known. However, in vitro biophysical studies
suggest that helices alpha4 and alpha5 in domain I insert into the lipid bilayer
as an alpha-helical hairpin. Mutagenesis studies have assigned an important role
to alpha5 in maintaining oligomerization, and to alpha4 in channel formation. To
detect the possible homo-oligomerizing tendencies of these two helices, we have
used the evolutionary conservation data contained in sixteen Cry homologs in
order to filter non-native interactions found during a global conformational
search. No conserved homo-oligomer was found for alpha4, but a right handed
trimeric alpha5 model was present in the simulations of all Cry sequences. We
propose a model for Cry toxin oligomerization based on sequence analysis and
available mutagenesis data.
PMID- 18047830
TI - High definition profiling of autoantibodies to glutamic acid decarboxylases
GAD65/GAD67 in stiff-person syndrome.
AB - Highly reliable biomarkers for the diagnosis of neurological diseases are not
widely available. Here we evaluated a luciferase immunoprecipitation technology
(LIPS) for the diagnosis of a CNS autoimmune disorder, stiff-person syndrome
(SPS). Analysis by LIPS of 40 sera samples from SPS and control subjects for anti
GAD65 antibodies revealed dramatic titer differences allowing diagnosis of SPS
with 100% sensitivity and 100% specificity. Anti-GAD65 antibody titers of SPS
were segregated from controls with values greater than 23 standard deviations
above the control subject mean. By analyzing patient antibody responses directly
to GAD65 sub-fragments, the central region containing the decarboxylase catalytic
domain was highly immunoreactive with all of the SPS sera, while the N- and C
terminal regions showed lower antibody titers and only reacted with subsets of
SPS sera. Additional profiling revealed that some SPS patients showed
autoantibodies against GAD67 and tyrosine hydroxylase, but no significant
immunoreactivity was detected with cysteine sulfinic acid decarboxylase or GABA
transaminase. This study validates LIPS as a robust method to interrogate
autoantibodies for the diagnosis of SPS and potentially other neurological
diseases.
PMID- 18047831
TI - Which skeletal myoblasts and how to be transplanted for cardiac repair?
AB - Clinical efficacy of skeletal myoblast (skMb) transplantation is controversial
whether this treatment produces beneficial outcome in patients with dilated
cardiomyopathy (DCM). Based on immunological tolerance between wild-type and DCM
hamsters with the deletion of delta-sarcoglycan (SG) gene, skMb engraftment in TO
2 myocardium (3x10(5) cells in approximately 100mg heart) was verified by the
donor-specific expression of delta-SG transgene constitutively produced
throughout myogenesis. At 5 weeks after the transplantation, the cell rates
expressing fast-myosin heavy chain (MHC) exceeded slow-MHC in delta-SG(+) cells.
Fifteen weeks after (corresponding to approximately 12 years in humans), fast
MHC(+) cells nullified, but the delta-SG(+) and slow MHC(+) cell number remained
unaltered. These skMbs fused with host cardiomyocytes via connexin-43 and
intercalated disc, modestly improving the hemodynamics without arrhythmia, when
engrafted skMbs were sparsely disseminated in autopsied myocardium. These results
provide us evidence that disseminating delivery of slow-MHC(+) myoblasts is
promising for repairing DCM heart using histocompatible skeletal myoblasts in
future.
PMID- 18047832
TI - Skeletal muscle-derived progenitors capable of differentiating into
cardiomyocytes proliferate through myostatin-independent TGF-beta family
signaling.
AB - The existence of skeletal muscle-derived stem cells (MDSCs) has been suggested in
mammals; however, the signaling pathways controlling MDSC proliferation remain
largely unknown. Here we report the isolation of myosphere-derived progenitor
cells (MDPCs) that can give rise to beating cardiomyocytes from adult skeletal
muscle. We identified that follistatin, an antagonist of TGF-beta family members,
was predominantly expressed in MDPCs, whereas myostatin was mainly expressed in
myogenic cells and mature skeletal muscle. Although follistatin enhanced the
replicative growth of MDPCs through Smad2/3 inactivation and cell cycle
progression, disruption of myostatin did not increase the MDPC proliferation. By
contrast, inhibition of activin A (ActA) or growth differentiation factor 11
(GDF11) signaling dramatically increased MDPC proliferation via down-regulation
of p21 and increases in the levels of cdk2/4 and cyclin D1. Thus, follistatin may
be an effective progenitor-enhancing agent neutralizing ActA and GDF11 signaling
to regulate the growth of MDPCs in skeletal muscle.
PMID- 18047833
TI - Bone marrow long label-retaining cells reside in the sinusoidal hypoxic niche.
AB - In response to changing signals, quiescent hematopoietic stem cells (HSCs) can be
induced to an activated cycling state and provide multi-lineage hematopoietic
cells to the whole body via blood vessels. However, the precise localization of
quiescent HSCs in bone marrow microenvironment is not fully characterized. Here,
we performed whole-mount immunostaining of bone marrow and found that BrdU label
retaining cells (LRCs) definitively reside in the sinusoidal hypoxic zone distant
from the "vascular niche". Although LRCs expressed very low level of a well-known
HSC marker, c-kit in normal circumstances, myeloablation by 5-FU treatment caused
LRCs to abundantly express c-kit and proliferate actively. These results
demonstrate that bone marrow LRCs reside in the sinusoidal hypoxic niche, and
function as a regenerative cell pool of HSCs.
PMID- 18047834
TI - CISD1 codifies a mitochondrial protein upregulated by the CFTR channel.
AB - Cystic fibrosis (CF) is an autosomic recessive disease caused by mutations in the
CFTR chloride channel, which indirectly affect the expression of a net of genes.
Here we describe a new CFTR-dependent gene, CISD1, encoding for the first member
of a family of proteins possessing a CDGSH signature. CISD1 mRNA is down
regulated in cystic fibrosis cells, and restored in the same cells ectopically
expressing wt-CFTR (CFDE and CFDE/6RepCFTR; IB3-1 and S9 cells). Inhibition of
CFTR chloride transport activity by using glibenclamide (50muM, 24h) or CFTR(inh)
172 (5muM, 24h), resulted in the down-regulation of CISD1 mRNA, and CFTR
stimulation with cAMP/isoproterenol/IBMX upregulated its expression. As predicted
by PSORT II, a CISD1-GFP chimera was found to be located into mitochondria,
suggesting a possible role in the function/regulation of mitochondrial activity,
in agreement with earlier observations of a possible mitochondrial failure in
cystic fibrosis.
PMID- 18047835
TI - The effects of cyclin-dependent kinase inhibitors on adipogenic differentiation
of human mesenchymal stem cells.
AB - The molecular mechanisms that couple growth arrest and cell differentiation were
examined during adipogenesis. Here, to understand the cyclin-dependent kinase
inhibitor (CKI) genes involved in the progression of adipogenic differentiation,
we examined changes in the protein and mRNA expression levels of CKI genes in
vitro. During the onset of growth arrest associated with adipogenic
differentiation, two independent families of CKI genes, p27Kip1 and p18INK4c,
were significantly increased. The expressions of p27Kip1 and p18INK4c, regulated
at the level of protein and mRNA accumulation, were directly coupled to
adipogenic differentiation. This finding was supported by the inhibition of
adipogenic differentiation caused by short interfering RNA (siRNA). In this
study, we investigated the regulatory effects of transforming growth factor beta
1 (TGFbeta-1) on CKI genes involved in adipogenic differentiation of bone marrow
derived human mesenchymal stem cells (hMSCs). Only the up-regulation of p18INK4c
during adipogenic differentiation, and not that of the p27Kip1 gene was prevented
by treatment with TGFbeta-1, one of the factors that inhibit adipogenesis in
vitro. This finding indicates a close correlation between adipogenic
differentiation and p18INK4c induction in hMSCs. Thus, these data demonstrate a
role for the differentiation-dependent cascade expression of cyclin-dependent
kinase inhibitors in regulating adipogenic differentiation, thereby providing a
molecular mechanism that couples growth arrest and differentiation.
PMID- 18047836
TI - Severity dependent increases in circulating cardiac troponin I and MMP-9
concentrations after experimental acute pulmonary thromboembolism.
AB - BACKGROUND: Making the diagnosis of acute pulmonary thromboembolism (APT) and
assessing its severity is very challenging. While cardiac troponin I (cTnI)
concentrations are promising in risk stratification, no previous study has
examined whether there is a linear relation between cTnI concentrations and the
severity of APT. Moreover, matrix metalloproteinases (MMPs) are involved in the
pathophysiology of APT. However, it is unknown whether the increases in MMP
concentrations after APT reflect the severity of this condition. We examined
whether the circulating concentrations of these biomarkers increase in proportion
to the severity of experimental APT induced in anesthetized dogs. METHODS: APT
was induced with autologous blood clots (saline, 1, 3, or 5 ml/kg) injected into
the right atrium. Hemodynamic evaluations were carried out for 120 min. Gelatin
zymography of MMP-2 and MMP-9 from plasma samples were performed and serum cTnI
concentrations were determined at baseline and 120 min after APT. RESULTS: While
no significant increases in pro-MMP-2 concentrations were found after APT, pro
MMP-9 concentrations increased by 80% only after 5 ml/kg of clot embolization.
Serum cTnI and plasma pro-MMP-9 concentrations correlated positively with
pulmonary vascular resistance (P=0.007 and rs=0.833 for troponin I, and P=0.034
and rs=0.684 for pro-MMP-9) and with pulmonary artery pressure (P=0.005 and
rs=0.610 for troponin I, and P=0.022 and rs=0.720 for pro-MMP-9). CONCLUSIONS:
Circulating cTnI and pro-MMP-9 increase in proportion to the severity of APT,
although the increases in plasma pro-MMP-9 are less clear with less severe APT.
These findings may be relevant for clinical APT.
PMID- 18047837
TI - Use of 2 years of patient data to estimate intra-laboratory total imprecision of
HbA(1c) measured by multiple HPLC analyzers.
AB - BACKGROUND: Analytic imprecision is used to assess the acceptability of HbA(1c)
methods performed on a single analyzer. When multiple analyzers are used
interchangeably in a laboratory, the analytic imprecision is usually increased
and can obscure the detection of a genuine HbA(1c) trend or result in an
artefactual patient trend. We have estimated the imprecision of HbA(1c) testing
of patient specimens by three HbA(1c) analyzers independent of reference sample
analysis. METHODS: Over 2 years, approximately 150,000 HbA(1c) measurements were
obtained from any one of three different Bio-Rad VARIANT II HPLC analyzers
operated in a large reference laboratory. We tabulated the HbA(1c) measurements
of paired intra-patient blood samples drawn within 30 days of each other. We
calculated the standard deviations of duplicates (SDD) of the intra-patient
HbA(1c) pairs grouped by the following time intervals: 0-3 days, 4-6 days, 7-9
days, 28-30 days. The SDDs were then regressed against time with extrapolation to
zero time representing the random analytic error. RESULTS: At a mean HbA(1c) of
7.16%, the total analytic imprecision (coefficient of variation [CV]) is 3.6%.
CONCLUSIONS: This variation is remarkably low, given that the HbA(1c)
measurements were obtained over a 2-year period on any one of three analyzers and
the long-term within-analyzer CV was usually 2.3-3.1% as assessed by reference
control analysis. This approach could be extended to all HbA(1c) analyzers since
unlike reference control statistics, the patient-derived random error should
allow easy comparison of analytic imprecision among different analytical systems.
PMID- 18047838
TI - Epac signaling pathway involves STEF, a guanine nucleotide exchange factor for
Rac, to regulate APP processing.
AB - The amyloid precursor protein (APP) is a key protein involved in the development
of Alzheimer's disease. We previously identified a signal transduction secretory
pathway in which the small G protein Rac sets downstream of the cAMP/Epac/Rap1
signalling cascade regulating the alpha cleavage of APP [Maillet, M. et al.
(2003) Crosstalk between Rap and Rac regulates secretion of sAPP alpha. Nat. Cell
Biol. 5, 633-639]. We now report that Rap1 can physically and specifically
associate with the guanine nucleotide exchange factor (GEF) STEF through its TSS
region. A deleted TSS domain of STEF cells fails to activate Rac1 and
dramatically decreases secretion of the non-amyloidogenic soluble form of APP
(sAPP alpha) induced by the cAMP-binding protein Epac. Altogether, our data show
that upon Epac activation, Rap1 recruits STEF through its TSS region and
activates Rac1, which mediates APP processing.
PMID- 18047839
TI - On the origin of synonymous codon usage divergence between thermophilic and
mesophilic prokaryotes.
AB - Synonymous codon usage analysis between thermophilic and mesophilic prokaryotes
has gained wide attention in recent years. Although it is known that thermophilic
and mesophilic prokaryotes use different subset of synonymous codons, no reason
for this difference is known so far. In the present communication, by analyzing a
large number of thermophilic and mesophilic prokaryotes, we provide evidence that
bias in the selection of synonymous codons between thermophilic and mesophilic
prokaryotes is related to differential folding pattern of mRNA secondary
structures. Moreover, we observe that error-minimizing property has significant
influence in differentiating the synonymous codon usage between thermophilic and
mesophilic prokaryotes. Biological implications of these results are discussed.
PMID- 18047840
TI - Glutaredoxins and thioredoxins in plants.
AB - During the 70s and 80s two plant thioredoxin systems were identified. The
chloroplastic system is composed of a ferredoxin-dependent thioredoxin, with two
thioredoxin types (m and f) regulating the activity of enzymes implicated in
photosynthetic carbon assimilation. In the cytosol of heterotrophic tissues, an
NADP dependent thioredoxin reductase and a thioredoxin (h) were identified. The
first plant glutaredoxin was only identified later, in 1994. Our view of plant
thioredoxins and glutaredoxins was profoundly modified by the sequencing programs
which revealed an unexpected number of genes encoding not only the previously
identified disulfide reductases, but also numerous new types. At the same time it
became clear that plant genomes encode chloroplastic, cytosolic and mitochondrial
peroxiredoxins, suggesting a major role for redoxins in anti-oxidant defense.
Efficient proteomics approaches were developed allowing the characterization of
numerous thioredoxin target proteins. They are implicated in different aspects of
plant life including development and adaptation to environmental changes and
stresses. The most important challenge for the next years will probably be to
identify in planta which redoxin reduces which target, a question which remains
unsolved due to the low specificities of redoxins in vitro and the numerous
redundancies which in most cases mask the phenotype of redoxin mutants.
PMID- 18047842
TI - Macrophage apolipoprotein-E knockdown modulates caspase-3 activation without
altering sensitivity to apoptosis.
AB - Apolipoprotein-E (apoE) expression may be associated with apoptosis resistance.
Since macrophages constitutively synthesize apoE we speculated that this may
contribute to apoptosis resistance. Using siRNA, human monocyte derived
macrophage (hMDM) apoE mRNA and protein was reduced by 97% and 61%, respectively.
ApoE knockdown increased staurosporine-induced caspase-3 activation by 78%
without altering cell survival or apoptosis as assessed by TUNEL analysis and
morphological changes. This result was confirmed using murine bone marrow derived
macrophages (mBMDM) from apoE null and wild type mice. In these experiments,
staurosporine-induced caspase-3 activation was increased by 49% in apoE null
compared to wild type mBMDM and this was not associated with differences in TUNEL
signal, annexin-V binding or DNA fragmentation. ApoE is also important for
cholesterol transport and macrophage cholesterol can regulate apoptosis.
Knockdown of hMDM apoE inhibited basal cholesterol efflux by 20% without altering
apolipoprotein-AI mediated cholesterol efflux over 24 h. Similarly, in apoE null
mBMDM a non significant trend for a 16% reduction in basal cholesterol efflux was
observed as compared to wild type mBMDM. In conclusion, apoE expression modulates
capase-3 activity, but this has no significant impact on sensitivity to apoptosis
and only a moderate impact on basal cholesterol efflux.
PMID- 18047841
TI - The Galalpha1,3Galbeta1,4GlcNAc-R (alpha-Gal) epitope: a carbohydrate of unique
evolution and clinical relevance.
AB - In 1985, we reported that a naturally occurring human antibody (anti-Gal),
produced as the most abundant antibody (1% of immunoglobulins) throughout the
life of all individuals, recognizes a carbohydrate epitope Galalpha1-3Galbeta1
4GlcNAc-R (the alpha-gal epitope). Since that time, an extensive literature has
developed on discoveries related to the alpha-gal epitope and the anti-Gal
antibody, including the barrier they form in xenotransplantation and their
reciprocity in mammalian evolution. This review covers these topics and new
avenues of clinical importance related to this unique antigen/antibody system
(alpha-gal epitope/anti-Gal) in improving the efficacy of viral vaccines and in
immunotherapy against cancer.
PMID- 18047843
TI - Interactions of nitrosylhemoglobin and carboxyhemoglobin with erythrocyte.
AB - Nitrosylhemoglobin (HbFe(II)NO) has been detected in vivo, and its role in NO
transport and preservation has been discussed. To gain insight into the potential
role of HbFe(II)NO, we performed in vitro experiments to determine the effect of
oxygenated red blood cells (RBCs) on the dissociation of cell-free HbFe(II)NO,
using carboxyhemoglobin (HbFe(II)CO) as a comparison. Results show that the
apparent half-life of the cell-free HbFe(II)CO was reduced significantly in the
presence of RBCs at 1% hematocrit. In contrast, RBC did not change the apparent
half-life of extracellular HbFe(II)NO, but caused a shift in the HbFe(II)NO
dissociation product from methemoglobin (metHbFe(III)) to oxyhemoglobin
(HbFe(II)O(2)). Extracellular hemoglobin was able to extract CO from HbFe(II)CO
containing RBC, but not NO from HbFe(II)NO-containing RBC. Although these results
appear to suggest some unusual interactions between HbFe(II)NO and RBC, the data
are explainable by simple HbFe(II)NO dissociation and hemoglobin oxidation with
known rate constants. A kinetic model consisting of these reactions shows that
(i) deoxyhemoglobin is an intermediate in the reaction of HbFe(II)NO oxidation to
metHbFe(III), (ii) the rate-limiting step of HbFe(II)NO decay is the dissociation
of NO from HbFe(II)NO, (iii) the magnitude of NO diffusion rate constant into RBC
is estimated to be approximately 10(4)M(-1)s(-1), consistent with previous
results determined from a competition assay, and (iv) no additional chemical
reactions are required to explain these data.
PMID- 18047844
TI - Clostridium scindens baiCD and baiH genes encode stereo-specific 7alpha/7beta
hydroxy-3-oxo-delta4-cholenoic acid oxidoreductases.
AB - Secondary bile acids, formed by intestinal bacteria, are suggested to play a
significant role in cancers of the gastrointestinal tract in humans. Bile acid
7alpha/beta-dehydroxylation is carried out by a few species of intestinal
clostridia which harbor a multi-gene bile acid inducible (bai) operon. Several
genes encoding enzymes in this pathway have been cloned and characterized.
However, no gene product(s) has yet been assigned to the production of 3-oxo
Delta4-cholenoic acid intermediates of cholic acid (CA), chenodeoxycholic acid
(CDCA) or ursodeoxycholic acid (UDCA). We previously reported that the baiH gene
encodes an NADH:flavin oxidoreductase (NADH:FOR); however, the role of this
protein in bile acid 7-dehydroxylation is unclear. Homology searches and
secondary structural alignments suggest this protein to be similar to
flavoproteins which reduce alpha/beta-unsaturated carbonyl compounds. The baiH
gene product was expressed in Escherichia coli, purified and discovered to be a
stereo-specific NAD(H)-dependent 7beta-hydroxy-3-oxo-Delta4-cholenoic acid
oxidoreductase. Additionally, high sequence similarity between the baiH and baiCD
gene products suggests the baiCD gene may encode a 3-oxo-Delta4-cholenoic acid
oxidoreductase specific for CDCA and CA. We tested this hypothesis using cell
extracts prepared from E. coli overexpressing the baiCD gene and discovered that
it encodes a stereo-specific NAD(H)-dependent 7alpha-hydroxy-3-oxo-Delta4
cholenoic acid oxidoreductase.
PMID- 18047846
TI - Regulatory considerations in the development of high-density lipoprotein
therapies.
AB - The development of high-density lipoprotein (HDL) therapies has experienced a
recent setback with the notable termination of clinical development of
torcetrapib, a cholesteryl ester transfer protein inhibitor. Potentially because
of off-target actions of torcetrapib, surrogate biomarkers intended to elucidate
the impact of the drug on atherosclerosis provided an uninterpretable picture of
actual effects. This experience has regulatory implications for HDL-raising
therapeutics. Future programs will likely be required to provide preapproval hard
evidence of effects on the natural history of disease.
PMID- 18047847
TI - Liver X receptor and farnesoid X receptor as therapeutic targets.
AB - Despite the success of existing therapies, new therapies targeted toward
dyslipidemia are still needed. Liver X receptor (LXR) and farnesoid X receptor
(FXR) represent 2 very different attractive targets for new therapeutic
development. LXR is a nuclear receptor that primarily acts to rid cells and the
body of excess cholesterol. LXR agonists have been shown to reduce
atherosclerosis in animals and are therefore of great interest as a therapeutic
approach. Despite some increases in hepatic fat and low-density lipoprotein (LDL)
cholesterol in preclinical models, LXR remains an important new target. FXR is a
nuclear receptor that primarily acts to protect hepatocytes against the effects
of elevated bile acids. FXR agonists also have triglyceride-lowering properties
and could be useful in treating certain types of dyslipidemia. FXR modulators or
antagonists could potentially lower LDL cholesterol levels and even modulate high
density lipoprotein metabolism. FXR is a complicated but fascinating target for
the development of new therapeutic approaches.
PMID- 18047848
TI - Lipids, lipoproteins, and peroxisome proliferator activated receptor-delta.
AB - Peroxisome proliferator activated receptors (PPARs) are nuclear receptors
activated by small, lipophilic compounds. Typically resident on nuclear DNA, full
activation requires heterodimer formation with retinoid X receptor and ligand
binding, leading to modulation in the expression of hundreds of genes. Of the 3
described forms, (PPAR-alpha, PPAR-gamma, and PPAR-delta), PPAR-delta has been
the least investigated. Preclinical in vitro data show that activation of PPAR
delta, like PPAR-alpha, results in enhancement of fatty acid oxidation, leading
to increased energy production in the form of adenosine triphosphate and of
energy uncoupling. Microarray data in preclinical models suggest substantial PPAR
delta expression in skeletal muscle. Exercise, which induces upregulation of PPAR
delta in muscle tissue, leads to an increased requirement for an external or
serum derived triacylglycerol energy source. This suggests that upregulation of
skeletal muscle PPAR-delta would influence lipoprotein composition, this being
the major source of combustible substrate. In the first human study using a PPAR
delta agonist, experimental data obtained with GW 501516 (a highly specific PPAR
delta agonist) suggested that upregulated enzymes critical to fatty acid
oxidation in human cells enhanced fatty acid and beta-oxidation in skeletal
muscle.
PMID- 18047849
TI - Increasing high-density lipoprotein cholesterol, inhibition of cholesteryl ester
transfer protein, and heart disease risk reduction.
AB - Our purpose is to review recent research in the area of high-density lipoprotein
(HDL) cholesterol raising and coronary artery disease (CAD) risk reduction. It is
known that a decreased HDL cholesterol level is an important CAD risk factor and
that raising HDL cholesterol has been associated with CAD risk reduction. A
relative new strategy for raising HDL cholesterol, inhibition of cholesteryl
ester transfer protein (CETP), is markedly effective. CETP inhibitors prevent the
transfer of cholesteryl ester from HDL to triglyceride-rich lipoproteins in
exchange for triglyceride. One inhibitor, torcetrapib, binds to CETP on HDL,
markedly increases HDL cholesteryl ester, has no effect on fecal cholesterol
excretion, but can raise blood pressure. A large clinical trial in patients with
CAD who were taking atorvastatin was recently stopped prematurely because of
excess mortality in those receiving torcetrapib versus placebo, and 2 other
trials reported no benefit of torcetrapib on coronary atherosclerosis or carotid
intima-media thickness as compared with subjects on atorvastatin alone. The
adverse effects of torcetrapib may be compound specific, and because the crystal
structure of CETP is now known, it should be possible to develop more optimal
CETP inhibitors that do not form a nonproductive complex with CETP on the HDL
particle, as has been reported for torcetrapib. Another alternative for
increasing HDL levels is to develop more effective and better tolerated niacin
preparations.
PMID- 18047850
TI - Targeting the anti-inflammatory effects of high-density lipoprotein.
AB - The effects of systemic inflammation can impair the anti-inflammatory functions
of high-density lipoprotein (HDL) particles. In patients with atherosclerosis
and/or inflammatory conditions, HDLs can be modified such that they paradoxically
increase the recruitment and activation of macrophages, upregulate the expression
of endothelial cell adhesion molecules, and participate in the oxidation of low
density lipoproteins (LDLs). Statins, apolipoprotein A-I mimetic peptides, and
therapeutic lifestyle changes appear to mitigate these proinflammatory features
of HDLs. In the future, characterizing and targeting functional aspects of HDLs
may prove to offer therapeutic advantages over current treatment strategies.
PMID- 18047851
TI - High-density lipoprotein metabolism: potential therapeutic targets.
AB - It is well recognized that the lowering of low-density lipoprotein (LDL)
cholesterol can substantially reduce coronary artery disease (CAD)-related
morbidity and mortality. The prevention and management of CAD has chiefly focused
on 1 component of the lipid profile: the reduction of LDL cholesterol. Yet, the
majority of patients in both the primary and secondary prevention settings
continue to experience significant residual risk for acute cardiovascular events
even when their LDL cholesterol is lowered aggressively with combinations of
lifestyle modification and pharmacologic intervention. As a result, there is
increased focus on targeting and treating low serum levels of high-density
lipoprotein (HDL) cholesterol in an effort to further reduce risk for
cardiovascular events, including myocardial infarction, unstable angina, ischemic
stroke, and death. Epidemiologically high serum levels of HDL cholesterol are
associated with reduced risk for the development of atherosclerotic disease. HDL
particles are believed to be antiatherogenic secondary to their capacity to drive
reverse cholesterol transport and antagonize pathways of inflammation,
thrombosis, and oxidation. HDL cholesterol can be quite challenging to raise in
many individuals because of the large number of polymorphisms in the genes,
enzymes, cell surface receptors, and apoproteins that regulate the serum
concentrations, functionality, and patterns of metabolism of HDL particles This
article reviews HDL metabolism and established as well as emerging therapeutic
approaches to raising serum concentrations of this fascinating and complex
lipoprotein.
PMID- 18047852
TI - Novel peroxisome proliferator activated receptor-alpha agonists.
AB - Peroxisome proliferator activated receptors (PPARs) regulate expression of key
genes controlling lipid and glucose metabolism through their role as ligand
activated transcription factors. As PPARs play major roles in the regulation of
lipid metabolism, glucose homeostasis, and inflammatory processes, they are ideal
targets for therapeutic management strategies for cardiovascular disease. This
article discusses the role of PPARs in the treatment of cardiometabolic
abnormalities involved in cardiovascular risk.
PMID- 18047853
TI - Refocusing on use of cholesteryl ester transfer protein inhibitors.
AB - Cholesteryl ester transfer protein (CETP) plays an important role in cholesterol
metabolism because it is responsible for the transfer of cholesteryl esters from
high-density lipoprotein (HDL) to very-low-density lipoproteins and low-density
lipoproteins (LDLs). The development of CETP inhibitors represented a novel
approach for raising HDL cholesterol and reducing LDL cholesterol levels to alter
atherogenesis. However, results from recent clinical trials question the
therapeutic use of at least 1 CETP inhibitor, torcetrapib (Pfizer Inc, New York,
NY), which was demonstrated to increase blood pressure and have no effect on
decreasing the progression of coronary atherosclerosis. This article discusses
the use of CETP inhibitors and their effects on lipoprotein metabolism and
whether they can ultimately lead to reductions in cardiovascular events.
PMID- 18047854
TI - Nicotinic acid (niacin) receptor agonists: will they be useful therapeutic
agents?
AB - Nicotinic acid (niacin) favorably affects very-low-density lipoprotein (VLDL),
low-density lipoprotein (LDL), and lipoprotein (a) (LP[a]) and increases high
density lipoprotein (HDL). Emerging data indicates vascular anti-inflammatory
properties to additionally account for niacin's proven effects in cardiovascular
disease. Recent evidence indicates that niacin acts on GPR109A and GPR109B (HM74A
and HM74, respectively), receptors expressed in adipocytes and immune cells. In
adipocytes, GPR109A activation reduces triglyceride (TG) lipolysis, resulting in
decreased free fatty acid (FFA) mobilization to the liver. In humans, this
mechanism has yet to be confirmed because the plasma FFA decrease is transient
and is followed by a rebound increase in FFA levels. New evidence indicates
niacin directly inhibits diacylglycerol acyltransferase 2 (DGAT2) isolated from
human hepatocytes, resulting in accelerated hepatic apolipoprotein (apo)B
degradation and decreased apoB secretion, thus explaining reductions in VLDL and
LDL. This raises important questions as to whether stimulation of GPR109A in
adipocytes or inhibition of DGAT2 in liver by niacin best explain the reduction
in VLDL and LDL in dyslipidemic patients. Kinetic and in vitro studies indicate
that niacin retards the hepatic catabolism of apoA-I but not liver scavenger
receptor B1-mediated cholesterol esters, suggesting that niacin inhibits hepatic
holoparticle HDL removal. Indeed, recent preliminary evidence suggests that
niacin decreases surface expression of hepatic beta-chain of adenosine
triphosphate synthase, which has been implicated in apoA-I/HDL holoparticle
catabolism. GPR109A-mediated production of prostaglandin D2 in macrophages and
Langerhan cells causes skin capillary vasodilation and explains, in part,
niacin's effect on flushing. Development of niacin receptor agonists would,
theoretically, result in adipocyte TG accumulation (and clinical adiposity) and
increased flushing. This raises questions about niacin receptor agonists as
therapeutic agents. Several niacin receptor agonists have been developed and
patented, but their clinical effects have not been described. Future research is
needed to determine whether niacin receptor agonists will demonstrate all the
beneficial properties of nicotinic acid on atherosclerosis and without
significant adverse effects.
PMID- 18047855
TI - High-density lipoprotein mimetics: focus on synthetic high-density lipoprotein.
AB - Epidemiologic studies show an inverse relation between high-density lipoprotein
(HDL) cholesterol levels and coronary artery disease, and proof-of-concept
experimental studies suggest that HDL and its apolipoproteins, specifically
apolipoprotein (apo) A-I , have atheroprotective effects. Atheroprotective
effects of HDL are attributed to its ability to remove macrophage cholesterol by
stimulating reverse cholesterol transport as well as anti-inflammatory and
antioxidant effects. Several different strategies are currently being pursued to
exploit the vascular-protective effects of HDL. One such approach involves direct
administration of synthetic reconstituted HDL made from linking phospholipid
carriers with recombinant mutant apoA-I or plasma-derived wild-type apoA-I.
PMID- 18047856
TI - [Headaches, facial pain, cervical pain and ear aches].
PMID- 18047857
TI - [Pain as a symptom in otolaryngology conditions].
AB - Pain is very frequent in otolaryngology disease: headaches, facial pain,
earaches, and neck pain. The search for nasal pathology with maxillary sinus,
sphenoidal, or ethmoidal involvement is part of the workup for headache. Facial
pain should first suggest symptomatic neuralgia through involvement of the
cranial nerves - trigeminal, glossopharyngeal, superior laryngeal - even if
asymptomatic neuralgia are the most frequent. Earaches should be investigated
through a search for involvement of the ear at the pinna, the external acoustic
conduit, and the tympanic membrane. If the ear examination is normal, pain
irradiating from the masticatory apparatus, the parotid, or the oropharynx is
undertaken, with a systematic search for a tumoral cause.
PMID- 18047858
TI - [Pain asssessment methods in otolaryngology].
AB - Evaluation of pain in otolaryngology diseases is indispensable in treating
patients. Parallel to the etiological diagnosis of otolaryngology disease, a
clinical diagnosis of the pain must be made to determine the weighting of the
three causes of pain involved in any acute or chronic condition: the component of
nociceptive, neurogenic, and psychofunctional pain. This paper will discuss how
pain can be quantified and qualified, and how to identify the status of the
neurological system of perception and control of the pain process.
PMID- 18047859
TI - [Nociception and chronic oral and cervicofacial pain].
AB - This short review focuses on the main properties of the nervous system
responsible for pain originating from oral, nasal and pharyngeal cavities. First,
the major roles of the somatic sensations coming from the orofacial and
pharyngeal areas are emphasized. Then, the description of the respective
peripheral fields of the different cranial nerves involved in these somatic
sensations is followed by the description of the primary afferent neurons and the
central nuclei and pathways. The review emphasizes that pain does not result from
a simple transmission of nervous impulses that would follow a fixed "hardwire"
pathway but, on the contrary, results from an ever-changing balance between
excitatory and inhibitory influences. These descending influences and other
mechanisms may lead acute pain to become chronic through plastic changes of the
nervous system and possible neuropathic changes. Finally, certain psychosocial
factors that may facilitate chronic pain arousal are discussed.
PMID- 18047860
TI - [Prevention and relief of pain induced by otolaryngology procedures].
AB - There are many types of pain induced in otolaryngology, stemming from medical
acts, sinus drainage, packing of the nasal fossae, or complex bandaging after
cancer surgery. In patients with impaired communication abilities resulting from
impaired speech, greater attention must be paid to induced pain, sometimes
requiring the use of behavioral evaluation scales. Preventing this pain means
organizing medical acts and painful treatments by attempting to group them and
informing the patient that the caregiver is listening to him and relieving his
pain. Non-drug treatments should always be attempted, are based on a trusting
relationship with the patient, a comfortable position, diverting his attention,
and using bandaging that is less painful when removed. However, use of drug
treatments often remains indispensable. The strong morphinics are used most often
despite a pharmacokinetic profile that is poorly adapted and the undesirable side
effects in case of repeated administration. Local anesthetics should be used
whenever possible. Dissemination of nursing protocols for the use of MEOPA
provides good pain relief providing that there are trained personnel in
sufficient numbers. The intensity of pain induced by certain medical acts
requires use of brief general anesthesia, which can often be done in the
ambulatory situation and is preferable to deep sedation outside of the safety of
the operating room.
PMID- 18047861
TI - [Prevention and relief of pain induced by otolaryngological and cervicofacial
surgery].
AB - Pain after otolaryngological and cervicofacial surgery varies greatly because of
the wide variety of procedures. Preventing this pain stems from the
administration of paracetamol, nonsteroid anti-inflammatory drugs, nefopam, and
systematic recourse to morphine when remifentanil is used. Postoperatively, the
most painful surgical procedures are an indication for multimodal anesthesia and
patient-controlled morphinic analgesic after titration in the postoperative
postanesthesia care unit. Applying antalgic protocols, also including
locoregional anesthesia, depending on the type of procedure and the patient, can
improve the quality of care.
PMID- 18047862
TI - [Pain related to head and neck cancers during disease progression].
AB - Head and neck cancers can be revealed by pain symptoms caused by an excess of
nociception. Other pain sometimes occurs during the cancer's progression such as
neuropathic or mixed pain. These should incite the clinician to be watchful so as
not to miss recurrence of the cancer. Treatment is complex, requiring management
by a multidisciplinary team.
PMID- 18047863
TI - [Otolaryngological cancer pain at the after-effects stage].
AB - The standards of pharyngolaryngeal tumor treatment have changed over the years in
an attempt to prevent laryngeal mutilation (partial surgery, endoscopic surgery,
sequential radiotherapy, and chemotherapy). Pain induced by these treatments is
frequent and varies from one treatment to another. Chemoradiotherapy induces less
pain but often more severe pain, since 20% of these situations are not controlled
by strong opioids. Pain from mucositis, although nonspecific to otolaryngic
cancer, is more frequent and more severe, and prevention and treatment remain
poorly defined. Pain from postradiotherapeutic necrosis (mandibula, laryngeal
cartilage, etc.) is less frequent, delayed, and extremely severe. Cervical and
shoulder pain is present in more than one-third of patients and stems from a
number of mechanisms (myofascial and articulatory). It is secondary to
radiotherapy or surgery (XIth cranial nerve lesions during curage or flap
reconstruction). Finally, pain coexists with disturbances of basic functions
(speech, swallowing, etc.) and the disability generated by treatments. Management
of pain, suffering, and the psychosocial impact is essential.
PMID- 18047864
TI - [Headaches and migraines].
AB - A 2004 update of the International Headache Society classification refined the
diagnostic criteria for headaches, the most frequent of which remain tension
headaches and migraines. Diagnosis remains essentially clinical, while
complementary neuroimaging tests are done on an on-request basis for newly
appearing headaches or for changes in migraine episodes. Given the topographies
of migraines and tension headaches, when their characteristics are atypical, the
otolaryngological hypothesis is often suggested. If in doubt, a test treatment
with triptans can be attempted.
PMID- 18047865
TI - [Cluster headache and other trigeminal-autonomic headaches].
AB - Cluster headache is a primary headache with a male predominance that presents in
two forms: episodic and chronic, occurring at 45-to 60-day intervals with one to
three headaches a day lasting 45 min to 2 h. An attack starts by a violent
unilateral retro-ocular pain with sympathetic signs such as tearing and
rhinorrhea. Diagnosis is made by questioning and therefore requires no
complementary tests. Treatment for the attack consists of injectable sumatriptan
or oxygen therapy, with long-term treatment with verapamil, lithium salts, or
Topiramate; in certain cases in which the number of attacks is greater than two,
injections of corticosteroids at the emergence of the Arnold nerve can be used,
or in cases of attacks resistant to all treatments, hypothalamus stimulation
surgery can be useful.
PMID- 18047866
TI - [Primary and secondary stomatodynia: a difficult diagnosis].
AB - Primary stomatodynia involves mainly women after menopause presenting
somatization, depression and anxiety at values higher than control subjects. This
chronic pain is often an intense burning pain beginning in the tongue the entire
oral cavity, increasing in intensity through the day with a high level of
emotional after effects. These patients often describe two associated symptoms:
mouth dryness sensation and altered taste. The causes remain uncertain. The
participation of female sexual hormones and neuropathic factors has been
suggested possibly through a sensory neuropathy of small fibers of the oral
mucosa. Normal clinical examinations and non clinical tests differentiate primary
from secondary stomatodynia. The management consists in using low doses of
topical clonazepam without swallowing or systemic clonazepam. The association of
this drug with tricyclic antidepressants has given variable results. A cognitive
behavioural management has been successfully attempted.
PMID- 18047867
TI - [Pain and functional problems with the temporomandibular joint].
AB - Pain and functional problems with the temporomandibular joint present a variety
of clinical forms. Contrary to what has been described for many years, they do
not correspond to a syndrome. Questioning the patient and clinical examination
are most often sufficient to specify the type of dysfunction of the manducatory
apparatus. Treatment, which will not be detailed in this article, is oriented
toward reversible treatments such as occlusal appliances, rehabilitation, or
maxillofacial physical therapy.
PMID- 18047868
TI - [Pain associated with craniofacial and cervical herpes zoster].
AB - Ophthalmological and cervical involvement of herpes zoster virus ranks second and
third, respectively, in terms of localization frequency. Involvement of the
cranial nerves is a particular sign of complications, notably ocular
complications, possibly compromising the visual or facial prognosis through
involvement of the VIIth nerve, which is responsible for facial paralysis. These
types of involvement should be rapidly diagnosed and treated so as to limit these
complications. The pain associated with herpes zoster remains frequent and
difficult to treat, even if today the criteria for defining postzoster pain is
increasingly refined. Antalgic and antiviral treatment should be initiated early,
from the very first signs, to attempt to reduce the incidence of this postzoster
pain. The risk factors, associated with the development of postzoster pain are
age over 50 years, the severity of the skin rash and the intensity of the acute
pain, and the existence of a prodromic pain phase before onset. The European
Federation of Neurological Societies has recently published guidelines on the
pharmacological treatments for postzoster pain. Nerve block treatments remain at
a limited evidence level. Patients with postzoster pain should be managed by
teams specializing in pain management as soon as conventional treatments fail.
PMID- 18047869
TI - Comparison of the effectiveness of amitriptyline and gabapentin on chronic
neuropathic pain in persons with spinal cord injury.
AB - OBJECTIVE: To test the hypotheses that both amitriptyline and gabapentin are more
effective in relieving neuropathic pain than an active placebo, diphenhydramine.
DESIGN: Randomized, controlled, double blind, triple crossover 8-week trial.
SETTING: Veterans Affairs medical center. PARTICIPANTS: Community dwelling adults
with spinal cord injury (N=38) were recruited by telephone, letters, and flyers.
INTERVENTION: Eight-week trial each of amitriptyline, gabapentin, and
diphenhydramine. MAIN OUTCOME MEASURES: Pain intensity measured with a 10-cm
visual analog scale (VAS) and an 11-point (0-10) numeric rating scale (NRS) and
depressive symptomatology measured with the Center for Epidemiologic Studies
Depression Scale-Short Form (CESD-SF). RESULTS: Baseline VAS scores for
participants with low (< 10) CESD-SF scores was 4.61 and for those with high
scores (> or = 10) it was 7.41. At week 8, in participants with high baseline
CESD-SF scores, amitriptyline (mean, 4.21) was more effective than
diphenhydramine (mean, 6.67; P=.035), and there was a nonsignificant trend
suggesting that amitriptyline may be more effective than gabapentin (mean, 6.68;
P=.061). Gabapentin was no more effective than diphenhydramine (P=.97). There was
no significant difference among the medications for those with lower CESD-SF
scores. Results could not be attributed to dropout rates, order or dose of
medications, amount of medication taken for breakthrough pain, or side effects.
CONCLUSIONS: Amitriptyline is more efficacious in relieving neuropathic pain than
diphenhydramine at or below the level of spinal cord injury in people who have
considerable depressive symptomatology.
PMID- 18047870
TI - Treatment efficacy of social communication skills training after traumatic brain
injury: a randomized treatment and deferred treatment controlled trial.
AB - OBJECTIVE: To evaluate the efficacy of a replicable group treatment program to
improve social communication skills after traumatic brain injury (TBI). DESIGN:
Randomized treatment and deferred treatment controlled trial, with follow-up at
3, 6, and 9 months post-treatment. SETTING: Community. PARTICIPANTS: Volunteer
sample of 52 people with TBI who were at least 1 year postinjury, who received
rehabilitation, and who had identified social communication deficits.
INTERVENTION: Twelve weekly group sessions (1.5 h each) to improve social
communication. MAIN OUTCOME MEASURES: The Profile of Functional Impairment in
Communication (PFIC), Social Communication Skills Questionnaire-Adapted (SCSQ-A),
Goal Attainment Scale (GAS), Craig Handicap Assessment and Reporting Technique
Short Form social integration and occupation subscales, Community Integration
Questionnaire social integration and productivity subscales, and Satisfaction
With Life Scale (SWLS). RESULTS: Independent samples t test analysis showed
significant treatment effect compared with no treatment on 7 of 10 of the PFIC
subscales (P range, .024 to <.001) and the SCSQ-A (P=.005) after the first 12
weeks of the study. After 12 weeks of treatment for all participants, repeated
measures analysis showed significant improvements from baseline on 9 of 10 PFIC
subscales (P range, .01-.001), SCSQ-A (P < or = .001), GAS (P < or = .001), and
SWLS (P = .011). At 6-month follow-up, scores were significantly better than
baseline on 6 of 10 PFIC scales (P range, .01-.001), the SCSQ-A (P < or = .001),
GAS (P < or = .001), and SWLS (P < or = .001). CONCLUSIONS: TBI subjects who
received social communication skills training had improved communication skills
that were maintained on follow-up. Overall life satisfaction for participants was
improved.
PMID- 18047871
TI - The effect of a series of repetitive transcranial magnetic stimulations of the
motor cortex on central pain after spinal cord injury.
AB - OBJECTIVE: To study the analgesic effect of repetitive transcranial magnetic
stimulation (rTMS) of the motor cortex on central pain in patients with chronic
spinal cord injury (SCI). DESIGN: Double-blind randomized controlled trial. Mean
follow-up period was 4.5 weeks. SETTING: General hospital. PARTICIPANTS: Twelve
paraplegic patients due to thoracic SCI suffering chronic central pain (11
completed the study) who were randomly selected from a list of eligible patients.
INTERVENTION: Real or sham 10 daily motor rTMS treatments (500 trains at 5 Hz for
10 s; total of 500 pulses at intensity of 115% of motor threshold) using figure
of-8 coil over the vertex. MAIN OUTCOME MEASURES: Chronic pain intensity (visual
analog scale [VAS], McGill Pain Questionnaire [MPQ]), pain threshold, and level
of depression (Beck Depression Inventory). RESULTS: Both real and sham TMS
induced a similar, significant reduction in VAS scores (P<.001) immediately after
each of the 10 treatment sessions and in VAS and MPQ scores after the end of the
treatment series. However, only real rTMS conferred a significant increase in
heat-pain threshold (4 degrees C, P<.05) by the end of the series. Most
important, the reduction in MPQ scores in the real rTMS group continued during
the follow-up period. Depression scores were equally reduced in both groups but
similar to pain relief, depression continued to improve at follow-up in the real
rTMS group. CONCLUSIONS: Whereas the pain alleviation induced by a single rTMS
treatment is probably due to placebo, patients with SCI may benefit from a series
of rTMS treatments.
PMID- 18047872
TI - Efficacy of cognitive-behavioral therapy for insomnia associated with traumatic
brain injury: a single-case experimental design.
AB - OBJECTIVE: To test the efficacy of a cognitive-behavioral therapy (CBT) for
insomnia in persons having sustained traumatic brain injury (TBI). DESIGN: Single
case design with multiple baselines across participants. SETTING: Outpatient
rehabilitation center. PARTICIPANTS: Eleven subjects having sustained mild to
severe TBI who developed insomnia after the injury. INTERVENTION: Eight-week CBT
for insomnia including stimulus control, sleep restriction, cognitive
restructuring, sleep hygiene education, and fatigue management. MAIN OUTCOME
MEASURES: Total wake time, sleep efficiency, and diagnostic criteria. RESULTS:
Visual analyses, corroborated by intervention time series analyses and t tests,
revealed clinically and statistically significant reductions in total wake time
and sleep efficiency for 8 (73%) of 11 participants. An average reduction of
53.9% in total wake time was observed across participants from pre- to post
treatment. Progress was in general well maintained at the 1-month and 3-month
follow-ups. The average sleep efficiency augmented significantly from
pretreatment (77.2%) to post-treatment (87.9%), and also by the 3-month follow-up
(90.9%). Improvements in sleep were accompanied by a reduction in symptoms of
general and physical fatigue. CONCLUSIONS: The results of this study show that
psychologic interventions for insomnia are a promising therapeutic avenue for TBI
survivors.
PMID- 18047873
TI - The immediate effect of attentional, auditory, and a combined cue strategy on
gait during single and dual tasks in Parkinson's disease.
AB - OBJECTIVE: To compare the effect of rhythmic auditory and attentional cues, and a
combination of both cues on gait, in people with Parkinson's disease (PD) during
single and dual tasks. DESIGN: A repeated-measures study requiring participants
to perform single and dual-motor tasks under different cueing conditions.
SETTING: Human movement analysis laboratory. PARTICIPANTS: Fifteen participants
with idiopathic PD and a comparison group of 12 healthy participants.
INTERVENTIONS: Three cueing strategies were compared: a rhythmic auditory cue
(walking in time to a metronome beat), an attentional strategy (asked to focus on
taking big step), and a combination cue (asked to walk in time to a metronome
beat while taking big steps). MAIN OUTCOME MEASURES: Walking speed, step
amplitude, and step frequency. RESULTS: Walking speed of PD participants improved
significantly compared with noncued walking in the single- and dual-task
condition with the attentional (P<.001, P=.037) and combination cue strategies
(P=.013, P=.028). Step amplitude also increased significantly with the
attentional and combination cue strategies in single- (P<.001, P<.001) and dual
task (P<.001, P<.001) conditions. Step frequency was reduced significantly with
the attentional strategy (P=.042) in the single and dual tasks (P<.001) and
combination cue strategy (P=.009) in the dual task. The rhythmic auditory cue
alone did not alter significantly any parameter of gait in the single or dual
tasks. CONCLUSIONS: The attentional strategy and the combination of a rhythmic
auditory cue with an attentional strategy were equally effective, and improved
walking speed and step amplitude significantly during both single and dual tasks.
The combination cue, however, may still be a useful alternative in situations of
increased attentional demand, or where problems exist with executive function.
PMID- 18047874
TI - Clinical analysis of risk factors for falls in home-living stroke patients using
functional evaluation tools.
AB - OBJECTIVES: To identify risk factors associated with falls in home-living stroke
patients and to predict falls using patient information and functional evaluation
tools. DESIGN: Cohort study. SETTING: Community. PARTICIPANTS: We recruited 101
home-living stroke patients who had hemiparesis and could walk independently with
or without supporting devices. Disease duration ranged from 1 to 22 years (mean,
6.1 y). INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: The score of each
item of the Stroke Impairment Assessment Set (SIAS), and the FIM instrument, sex,
age, duration of disease, stroke type, affected side of the body, frequency of
rehabilitation, use of sedatives, and Mini-Mental State Examination score were
evaluated and the occurrence of falls was observed prospectively for 12 months.
RESULTS: Forty-five (44.6%) participants fell, 20 of whom fell repeatedly. A
logistic model for predicting falls was refined until it included 4 predictors:
memory score on the FIM, range of motion of the lower extremities on the SIAS,
duration of disease, and affected side. The predictive value of the logistic
model was 86.7%. CONCLUSIONS: Evaluation tools were useful for predicting falls
and devising preventive strategies in the high-risk group of home-living stroke
patients.
PMID- 18047875
TI - Comparison of soleus H-reflex modulation after incomplete spinal cord injury in 2
walking environments: treadmill with body weight support and overground.
AB - OBJECTIVE: To investigate a walking environment effect on soleus H-reflex
modulation during walking in persons with motor incomplete spinal cord injury
(SCI) and noninjured controls. DESIGN: Pretest and posttest repeated-measures
quasi-experimental controlled design. SETTING: Locomotor training laboratory.
PARTICIPANTS: Eight adults with incomplete SCI and 8 noninjured age- and speed
matched controls. INTERVENTION: Walking overground with a customary assistive
device and brace at a self-selected, comfortable walking speed was compared with
walking on treadmill with 40% body weight support (BWS) and manual trainers for
leg and trunk movement guidance. MAIN OUTCOME MEASURE: Mean soleus H-reflex
amplitude (H/M ratio) was recorded during midstance and midswing phases of
walking. RESULTS: The H/M ratio was 33% smaller in stance phase (P=.078) and 56%
smaller in the swing phase (P=.008) of walking on the treadmill with BWS and
manual assistance compared with overground in the incomplete SCI group. The H/M
ratio in the incomplete SCI group was significantly greater compared with
noninjured controls in the stance and swing phases of overground walking (P=.001,
P=.007, respectively). Soleus H-reflex modulation in the 2 walking environments
did not differ significantly in the noninjured population. CONCLUSIONS: Training
walking on a treadmill with BWS and manual assistance to approximate the
kinematics and spatiotemporal pattern of walking may be a more optimal
environment to aid in normalizing reflex modulation after incomplete SCI when
compared with conventional gait training overground.
PMID- 18047876
TI - Development and validation of the balance outcome measure for elder
rehabilitation.
AB - OBJECTIVE: To develop and investigate the internal consistency, criterion-related
validation, and minimum clinically significant difference of a new standing
balance outcome measure for Elder Rehabilitation. DESIGN: Three phases: (1) cross
sectional survey with expert panel, (2) multicenter prospective cohort randomly
divided into development and validation datasets, and (3) prospective cohort
(single site). SETTING: Geriatric and rehabilitation units across 2 states in
Australia. PARTICIPANTS: A total of 1769 admissions across 17 geriatric
assessment and rehabilitation units. INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: The Balance Outcome Measure for Elder Rehabilitation (BOOMER) consisted
of the step test, Timed Up & Go test, Functional Reach Test, and static standing
with feet together and eyes closed test. Criterion-related validity was
established through comparison to the Modified Elderly Mobility Scale (MEMS) and
the FIM motor score. RESULTS: Items of the BOOMER were already used at a majority
of rehabilitation facilities surveyed. The BOOMER showed high levels of internal
consistency (Cronbach alpha>.87) and had good correlation with the FIM motor and
the MEMS (rho>.72). The minimum clinically significant change in the BOOMER was 3
points over a 17-point scale range. CONCLUSIONS: The BOOMER is a clinically
applicable measure of standing balance among older rehabilitation patients with
evidence of content and construct validity.
PMID- 18047877
TI - Effect of 2-speed geared manual wheelchair propulsion on shoulder pain and
function.
AB - OBJECTIVE: To investigate the impact of a manual 2-gear drive wheelchair wheel
(MAGICWheels) on shoulder pain and function in manual wheelchair users. DESIGN: A
single-group, repeated-measures pre- and postdesign with baseline and retention.
SETTING: General community. PARTICIPANTS: Full-time manual wheelchair users
(N=17) currently experiencing shoulder pain (mean age, 46+/-14 y; wheelchair use,
15+/-10 y). INTERVENTION: Five-month trial using a 2-gear wheelchair wheel. MAIN
OUTCOMES MEASURES: The Wheelchair Users Shoulder Pain Index (WUSPI), Wheelchair
Users Functional Assessment (WUFA), and timed hill climb test with rating of
perceived exertion (RPE). RESULTS: There was significant reduction in shoulder
pain after the intervention at week 2 (P=.004) through week 16 (P=.015). The
difference was not found at week 20; however, 1 participant reported an increase
in pain from unrelated factors during week 20. Change from baseline was
calculated without this subject's data; there was a significant reduction in
shoulder pain (P=.003). There was no difference in WUFA after using the 2-gear
wheel (P=.06). Hill climb time was longer when using the 2-gear wheel (P=.01),
but no difference in the RPE (P=.13) resulted. Shoulder pain during the 4-week
retention phase showed a trend toward increasing, as indicated by increased WUSPI
scores. There was not a significant percentage increase, however, in comparison
with the final week of using the MAGICWheels (P<.05). CONCLUSIONS: There were
pain reductions 2 weeks after using the MAGICWheels, indicating a rapid response
to the intervention. These findings indicate the potential for shoulder pain
reduction with the use of a manual drive wheel during mobility, even in highly
functional manual wheelchair users.
PMID- 18047878
TI - Psychosocial subgroups in persons with spinal cord injuries and chronic pain.
AB - OBJECTIVES: To define adaptational subgroups in people with chronic pain and
spinal cord injury (SCI), and to compare these subgroups with respect to
demographic factors, level of injury, functional independence, pain disability,
depressed mood, social support, and life satisfaction. DESIGN: Interviews.
SETTING: Veterans Affairs medical center and The Miami Project to Cure Paralysis.
PARTICIPANTS: Persons with SCI and chronic pain (N=190). INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURE: The Multidimensional Pain Inventory, SCI
version. RESULTS: Cluster analysis revealed 3 subgroups: (1) dysfunctional (34.6%
of all participants), characterized by higher pain severity, life interference,
and affective distress scores, and lower levels of life control and activities
scores; (2) interpersonally supported (33.0% of participants), characterized by
moderately high pain severity, and higher life control, support from significant
others, distracting responses, solicitous responses, and activities scores; and
(3) adaptive copers (32.4% of participants), characterized by lower pain
severity, life interference, affective distress, support from significant others,
distracting responses, solicitous responses, activities and higher life control
scores. Compared with the dysfunctional subgroup, the interpersonally supported
subgroup reported significantly greater social support and life satisfaction and
less pain disability and emotional distress, despite moderately high pain
severity. CONCLUSION: Three subgroups, independent of sex, pain duration, and
functional status, were identified. Although severe pain significantly decreases
life satisfaction after SCI, its impact is moderated by perceived social support.
PMID- 18047879
TI - Perceived environmental barriers to recreational, community, and school
participation for children and youth with physical disabilities.
AB - OBJECTIVE: To comprehensively describe parent perceptions of environmental
barriers to recreational, community, and school participation for children with
physical disabilities. DESIGN: Secondary analysis of cross-sectional data
gathered in the first wave of a longitudinal study of the child, family, and
environmental factors affecting the recreational and leisure participation of
school-age children with physical disabilities. SETTING: General community.
PARTICIPANTS: Parent-child pairs (N=427). Child participants included 229 boys
and 198 girls with physical disabilities in 3 age cohorts (6-8, 9-11, 12-14 y).
INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURE: Craig Hospital Inventory of
Environmental Factors. RESULTS: Barriers to participation were encountered in
school and work environments (1.54+/-1.88), physical and built environments
(1.36+/-1.35), within institutional and government policies (1.24+/-1.71),
services and assistance (1.02+/-1.2), and attitudes and social support (.87+/
1.17). Age, socioeconomic status, level of physical functioning, and behavioral
difficulties were related to the impact of barriers reported in certain areas. No
significant differences by the sex of the children or rural versus urban
community were found. CONCLUSIONS: Parents report environmental barriers in
several areas, providing valuable information about the environmental factors
that support or hinder participation while showing the complexity of these
issues. Future research is required to further identify potential avenues for
intervention.
PMID- 18047880
TI - Relationship between quality of life and self-efficacy in persons with spinal
cord injuries.
AB - OBJECTIVE: To study the interaction between quality of life in people with spinal
cord injury (SCI) and expectations of daily living (self-efficacy) and pain.
DESIGN: Cross-sectional study with multiple independent measures. SETTING: Home
survey. PARTICIPANTS: Included 106 persons with SCI of 12 months or more in
duration who were living in the community and had enrolled from past admission
lists in a rehabilitation unit. INTERVENTION: Participants received no treatments
as part of the study but were asked to complete 2 questionnaires by postal survey
in their postrehabilitation stage. MAIN OUTCOME MEASURES: The Medical Outcomes
Study 36-Item Short-Form Health Survey (SF-36) and the Moorong Self-Efficacy
Scale. RESULTS: Persons with SCI were found to have lowered quality of life (QOL)
compared with the Australian general population. Low self-efficacy and pain
intensity were found to reduce QOL across all SF-36 domains even further. Factors
such as completeness of lesion, sex, age at time of injury, and time since injury
were not associated with reduced QOL. Tetraplegia was associated with lower QOL
in physical functioning and greater limitation due to bodily pain. A combination
of low self-efficacy and pain intensity was associated with an increased
reduction in QOL compared with reductions seen for these factors by themselves.
CONCLUSIONS: Rehabilitation strategies may need to concentrate on improving QOL
by targeting factors like low self-efficacy.
PMID- 18047881
TI - Measures of physical functioning predict self-reported performance in self-care,
mobility, and domestic life in ambulatory persons with multiple sclerosis.
AB - OBJECTIVE: To determine the associations between clinically measured physical
functioning variables and self-reported performance in mobility, self-care, and
domestic life in ambulatory persons with multiple sclerosis (MS), using the
International Classification of Functioning, Disability and Health (ICF) as a
framework. DESIGN: Survey study. SETTING: Community setting in Finland.
PARTICIPANTS: A population-based sample of 120 ambulatory persons with MS (30
men, 90 women) with mean age 45.0+/-10.8 years (range, 20-71 y), mean disease
duration from symptom onset 12.3+/-8.8 years (range, 1-39 y), and mean Expanded
Disability Status Scale 2.8+/-2.0 (range, 0-6.5). INTERVENTIONS: Not applicable.
MAIN OUTCOME MEASURES: The primary dependent variables were self-reported
performance in self-care, mobility, and domestic life from the Functional Status
Questionnaire. The physical functioning variables were drawn from the ICF
activities (n=9) and body functions (n=14) categories. Age- and sex-adjusted odds
ratios from multinomial logistic regression were estimated for the physical
functioning variables associated with activities of daily living (ADL)
performance. RESULTS: Overall, of this cohort 31% reported difficulties or
dependence in self-care, 52% in mobility, and 68% in domestic life. The most
significant predictors of perceived difficulties or dependence in ADL performance
were: (1) lower scores in the Box and Block Test; (2) lower Berg Balance Scale
scores; (3) greater velocity moment when standing with eyes open; (4) slower ten
meter walk test times and shorter stride length at normal speed; and (5) shorter
distance in the six-minute walk test. CONCLUSIONS: Perceived difficulties and
dependence were most prominent in domestic life. In particular, measures of
activities predicted difficulties in ADL performance. Monitoring of physical
functioning should be extended to those independent MS persons reporting
difficulties in ADL performance.
PMID- 18047882
TI - Identification and quantification of myofascial taut bands with magnetic
resonance elastography.
AB - OBJECTIVE: To explore the feasibility of using a new magnetic resonance imaging
(MRI) technique--magnetic resonance elastography (MRE)--to identify and
quantitate the nature of myofascial taut bands. DESIGN: This investigation
consisted of 3 steps. The first involved proof of concept on gel phantoms, the
second involved numeric modeling, and the third involved a pilot trial on 2
subjects. Imaging was performed with a 1.5 T MRI machine. Shear waves were
produced with a custom-developed acoustically driven pneumatic transducer with
gradient-echo image collection gated to the transducer's motion. Shear wave
propagation were imaged by MRE. SETTING: An MRI research laboratory.
PARTICIPANTS: Two women, one with a 3-year history of myofascial pain and the
other serving as the control. INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: MRE images, finite element analysis calculations, and tissue and
phantom stiffness determinations. RESULTS: Results of the phantom measurements,
finite element calculations, and study patients were all consistent with the
concept that taut bands are detectable and quantifiable with MRE imaging. The
findings in the subjects suggest that the stiffness of the taut bands (9.0+/-0.9
KPa) in patients with myofascial pain may be 50% greater than that of the
surrounding muscle tissue. CONCLUSIONS: Our findings suggest that MRE can
quantitate asymmetries in muscle tone that could previously only be identified
subjectively by examination.
PMID- 18047883
TI - Assessing subclinical tactual deficits in the hand function of diabetic blind
persons at risk for peripheral neuropathy.
AB - OBJECTIVE: To assess subclinical impairments in tactual hand function produced by
diabetes mellitus in late-blind adults with diabetic retinopathy. DESIGN: The
survey compares diabetic blind with nondiabetic blind and blindfolded sighted
controls in terms of their performance on a battery of tests that assess tactual
hand function. SETTING: Subjects were evaluated at their rehabilitation program
center in Madrid. PARTICIPANTS: Nine (referred) diabetic blind subjects affected
by diabetic retinopathy versus 10 (referred) nondiabetic blind subjects versus 10
blindfolded sighted volunteers, all right-handed and matched for age. Subjects
were referred by the training professionals of the rehabilitation program center
and asked to volunteer. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES:
Cutaneous force and spatial resolution thresholds, haptic psychophysical
functions for perceived roughness, weight, and size, and both accuracy and
response times for haptic classification of 3-dimensional common objects.
Measures of joint mobility, muscular strength, and motor dexterity were also
included. RESULTS: The diabetic blind performed significantly poorer than the
controls in terms of force sensitivity (distal and proximal finger pads, and
palm), spatial resolution (distal finger pad only), motor dexterity, perceived
roughness, and finally, haptic object classification response times for texture
diagnostic objects. CONCLUSIONS: Subclinical disturbances in the tactual hand
function of the diabetic blind subjects were only documented in perceptual and
motor tasks for which cutaneous, as opposed to kinesthetic, information was
particularly relevant.
PMID- 18047884
TI - The effect of clinically relevant pressure duration on sacral skin blood flow and
temperature in patients after acute spinal cord injury.
AB - OBJECTIVE: To test the effect of clinically relevant duration of pressure loading
(2 h) on sacral skin blood flow (SBF) and skin temperature in subjects with
spinal cord injury (SCI) within 24 to 96 hours after injury compared with
subjects with acute orthopedic trauma and healthy subjects. DESIGN: Three-group,
repeated-measures, inception cohort. SETTING: Three acute care hospitals in
southern Thailand. PARTICIPANTS: Convenience sample of 20 subjects with acute SCI
within 24 to 96 hours after injury. Age- and sex-matched subjects with acute
orthopedic trauma (n=35) and healthy subjects (n=47) served as comparison groups.
INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Sacral SBF and skin
temperature were measured simultaneously by using a laser Doppler sensor and
thermocouple sensor, respectively, with subjects lying in the lateral (baseline,
no pressure, 30 min), supine (pressure loading, 2 h), and lateral position
(recovery, no pressure, 90 min). RESULTS: Baseline skin temperature was higher in
subjects with acute SCI (P<.05) compared with subjects with orthopedic trauma and
healthy subjects. A relative decrease in sacral SBF occurred in subjects with
acute SCI (P<.01) over 2 hours of pressure loading compared with subjects with
orthopedic trauma and healthy subjects. During the same time course, subjects
with acute SCI had a smaller increase in sacral skin temperature compared with
subjects with orthopedic trauma and healthy subjects (P<.001). During recovery,
the time to the initial sacral SBF-reactive hyperemia response was shorter in
subjects with acute SCI compared with subjects with orthopedic trauma (P<.001)
and healthy subjects (P=.003). Additionally, the initial positive slope of the
SBF reactive hyperemia response was higher in subjects with acute SCI than
subjects with orthopedic trauma (P=.005) and healthy (P=.004) subjects.
CONCLUSIONS: Collectively, a negative change in SBF during pressure loading plus
a shorter time to increase and greater slope for SBF after pressure release
reveal microvascular dysfunction in acute SCI subjects. The clinical relevance of
the protocol suggests that turning interval guidelines may require reevaluation
for patients with acute SCI.
PMID- 18047885
TI - Oxygen saturation and heart rate during feeding in breast-fed infants at 1 week
and 2 months of age.
AB - OBJECTIVE: To gather normative data about cardiopulmonary changes during feeding
in breast-fed infants at 1 week and 2 months of age. DESIGN: Prospective cohort
study. SETTING: General community. PARTICIPANTS: Twenty-two term-born, breast-fed
infants. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Oxygen saturation
(SpO2), the percentage of oxygenized hemoglobin, and heart rate were monitored
and recorded every 30 seconds for 5 minutes before oral feeding, during the first
10 minutes of feeding, and the first 10 minutes immediately after feeding. The
observations were made at 1 week and 2 months of age. RESULTS: Mean SpO2 levels
were significantly higher in 2-month-old infants (mean, 97.57) than in 1-week-old
infants (mean, 96.35) (P=.001). SpO2 was not affected by any of the 3 trials
(before, during, and after feeding). Heart rate was significantly affected by
trial. Mean heart rate during feeding (mean, 152.45) was significantly higher
than heart rate prefeeding (mean, 146.51) (P<.001), and heart rate postfeeding
(mean, 147.12) (P=.002). Heart rate was not affected by age. CONCLUSIONS: Little
is known about the association between feeding and cardiopulmonary status in term
born, breast-fed infants. This longitudinal study contributes to our knowledge
about feeding-related cardiopulmonary changes in term-born, breast-fed infants.
PMID- 18047886
TI - Test-retest and inter- and intrareliability of the quality of the upper-extremity
skills test in preschool-age children with cerebral palsy.
AB - OBJECTIVE: To investigate the test-retest, inter-, and intraobserver reliability
of the Quality of Upper Extremity Skills Test (QUEST) in young children with
cerebral palsy (CP). DESIGN: For test-retest reliability, a test-retest design
was used; for the intra- and interobserver reliability, the videotaped test was
scored on 2 occasions by 1 observer and by various observers. SETTING: Groups of
preschool-age children in 2 general rehabilitation centers. PARTICIPANTS: Twenty
one children with CP (12 boys, 9 girls) aged 2 to 4.5 years (mean, 39 mo).
INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURE: Spearman correlation
coefficient. RESULTS: The data indicated that test-retest reliability was strong
(rho range, .85-.94). Intraobserver agreement (rho range, .63-.95) and agreement
between various observers (rho range, .72-.90) were moderate to strong.
CONCLUSIONS: Test-retest and inter- and intraobserver reliability of the QUEST in
preschool-age children with CP is good.
PMID- 18047887
TI - Subacromial corticosteroid injection for poststroke shoulder pain: a
retrospective chart review.
AB - OBJECTIVE: To assess the effectiveness of subacromial corticosteroid injections
for the treatment of poststroke shoulder pain. DESIGN: Retrospective chart
review. SETTING: Ambulatory setting, university-affiliated hospital.
PARTICIPANTS: People (N=60) with stroke-associated hemiparesis and pain in the
involved shoulder. INTERVENTIONS: Electronic medical records of stroke survivors
with shoulder pain in the hemiparetic limb treated with a subacromial
corticosteroid injection by a practitioner between January 1, 2005, and June 30,
2007, were reviewed. Patients who had a documented preinjection pain score on the
day of injection by using a 0 to 10 numeric rating scale and a postinjection pain
score within 16 weeks of injection were included. MAIN OUTCOME MEASURES: Pre- and
postinjection scores were compared by using the paired t test. Treatment success
was defined as a minimum of 2-point absolute or 30% relative pain reduction.
Linear and logistic regression analyses were used to identify predictors of pain
reduction and treatment success, respectively. RESULTS: Patients experienced an
absolute pain reduction of 2.6+/-3.7 (95% confidence interval, 1.7-3.6; P<.001)
and a relative pain reduction of 32.9%+/-53.6%. Fifty-three percent experienced
the minimum 2-point absolute pain reduction, whereas 45% experienced the minimum
30% relative pain reduction. Linear regression analyses identified the
preinjection pain score as a predictor of absolute pain reduction and concomitant
outpatient occupational or physical therapy as a predictor of both absolute and
relative pain reduction. No other factors were predictive of pain reduction or
treatment success. CONCLUSIONS: Subacromial corticosteroid injection is
associated with a significant reduction in poststroke shoulder pain. However,
controlled trials are needed to show a cause-and-effect relationship and rule out
spontaneous recovery, placebo effect, and other confounds.
PMID- 18047888
TI - Prognostic models for physical capacity at discharge and 1 year postdischarge
from rehabilitation in persons with spinal cord injury.
AB - OBJECTIVE: To develop prognostic models for physical capacity at discharge and 1
year after discharge from inpatient rehabilitation in persons with spinal cord
injury (SCI). DESIGN: Inception cohort; data collected at start of rehabilitation
(n=104), at discharge (n=81), and 1 year later (n=74). SETTING: Eight Dutch
rehabilitation centers. PARTICIPANTS: Patients with SCI at initial
rehabilitation. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Physical
capacity determined by endurance capacity (peak oxygen uptake [VO2peak, in L/min]
and power output [POpeak, in watts]) during a maximal exercise test, arm muscle
strength, and respiratory function. Multiple regression models, either with or
without prior outcome, evaluated subject, lifestyle, and lesion-related
predictors. RESULTS: Only start VO2peak contributed to the prediction of
discharge VO2peak (R2=.51). Discharge VO2peak contributed to its prediction 1
year later (R2=.75). Start POpeak, sex, age, and level of lesion contributed to
discharge POpeak (R2=.73). Discharge POpeak, hours of employment before injury,
and level of lesion contributed to POpeak 1 year later (R2=.81). Models without
prior outcome explained less variance. Education, employment, body mass index,
not smoking, and conservative stabilization of the spine positively contributed
to endurance capacity. Muscle strength was well predicted (R2 range, .68-.84).
Without prior outcome, respiratory function was poorly predicted. CONCLUSIONS:
Because prior outcome contributed to an accurate prediction, the early assessment
of physical capacity is important in establishing prognoses. Although their
accuracy warrants caution in their application, models could complement clinical
expertise when informing patients about expected physical outcome and identifying
those at risk of low physical capacity.
PMID- 18047889
TI - Education in pulmonary rehabilitation: the patient's perspective.
AB - OBJECTIVES: To ascertain from patients' perspectives what should be included in
the educational component of pulmonary rehabilitation and how it should be
delivered, and to compare those perspectives with the views of health
professionals. DESIGN: Qualitative research method using focus groups of patients
and health professionals. SETTING: A regional respiratory center and outpatient
clinic. PARTICIPANTS: Purposive samples of 32 patients with chronic obstructive
pulmonary disease (COPD) (forced expiratory volume in 1 second, 18%-67%
predicted) divided into 6 focus groups; 8 health professionals knowledgeable
about COPD and pulmonary rehabilitation who attended a multidisciplinary focus
group meeting. INTERVENTIONS: Participants attended focus group meetings (2-3 h)
guided by a series of questions and topics; results were posted to the
participants for their verification. MAIN OUTCOME MEASURE: The educational
content of a pulmonary rehabilitation program. RESULTS: Deficits in patients'
knowledge, understanding, and management of their disease were identified. Six
key educational topics resulted: disease education, management of breathlessness,
management of an exacerbation, medication, psychosocial support, and welfare and
benefits systems. Patients and health professionals preferred group information
sessions provided by knowledgeable people speaking layman's language, with oral
presentations being supplemented by written information. CONCLUSIONS: Gaining a
greater understanding of patients' educational needs permits health professionals
who design pulmonary rehabilitation programs to include these requirements in a
format that is acceptable to patients. The key topics, content, and format for
delivery of the educational component for pulmonary rehabilitation were
identified. Future research should focus on the development of an educational
package and assessment of its efficacy, which would facilitate equitable patient
access to education in pulmonary rehabilitation.
PMID- 18047890
TI - Criterion validity of the StepWatch Activity Monitor as a measure of walking
activity in patients after stroke.
AB - OBJECTIVES: To test the validity of the StepWatch Activity Monitor (SAM) in
subjects with stroke against 2 criterion standards, 3-dimensional gait analysis
(3-DGA) and footswitches in a variety of indoor and outdoor walking conditions,
including different speeds and different terrains, and to test the accuracy of
the SAM when worn on the paretic limb. DESIGN: Criterion standard validation
study. SETTING: Gait laboratory and outside course. PARTICIPANTS: Twenty-five
participants with physical disability after stroke. INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURES: The total step count measured simultaneously
by SAM and either 3-DGA or footswitches for both paretic and nonparetic limbs.
RESULTS: The total step count measured by the SAM and 3-DGA was highly correlated
(nonparetic limb, r=.959; paretic limb, r=.896). The 95% limits of agreement
(LOA) (derived from Bland-Altman analysis) between the SAM and 3-DGA were within
+/-10 steps for SAMs worn on either the nonparetic or paretic limb. The total
step count measured simultaneously by the SAM and footswitches was also highly
correlated for each limb (nonparetic, r=.999; paretic, r=.963). The 95% LOA
between the SAM and footswitches were +/-9 steps on the nonparetic limb but
higher at +/-57 steps on the paretic limb. Further analysis showed that the
measurement differences occurred during the outdoor component of the combined
walk. The 95% LOA between footswitches on both limbs were not more than +/-9
steps for walking, suggesting that the error was accounted for by the SAM on the
paretic limb, which both over- and underread the total step count in the outdoor
walking conditions. CONCLUSIONS: Criterion validity of the SAM to measure steps
in both clinical and natural environments has been established when used on the
nonparetic limb. However, more errors are apparent when the SAM is worn on the
paretic limb while walking over a variety of outdoor terrains. Validation is
recommended before use in patients with neurologic conditions affecting bilateral
legs because there may be more error, particularly in outdoor activities.
PMID- 18047891
TI - The importance of an exercise testing protocol for detecting changes of peak
oxygen uptake in cardiac rehabilitation.
AB - OBJECTIVE: To assess which exercise testing protocol is most sensitive for
assessing peak oxygen uptake (VO2peak) changes as a result of cardiac
rehabilitation. DESIGN: Prospective randomized clinical trial. SETTING:
Outpatient cardiac rehabilitation center. PARTICIPANTS: Forty-two cardiac
patients (33 men; mean age, 65.4+/-8.4 y). INTERVENTION: All patients were
included into a 7-week cardiac rehabilitation program and randomized in 2
subgroups. One group of patients was evaluated by a 1-minute stage (n=21) and
another group by a 3-minute stage (n=21) exercise testing protocol. MAIN OUTCOME
MEASURES: In both groups, maximal cardiopulmonary exercise tests on a bicycle
with analysis of VO2peak, expiratory volume (VE), respiratory exchange ratio,
heart rate, cycling resistance (W), and test duration were performed at the start
and end of the program. RESULTS: Subgroups were closely matched, and no changes
in beta-blocker treatment occurred during this study. As result of the
rehabilitation program, total test duration and Wpeak improved significantly in
both groups (P<.05). Nonetheless, VO2peak and VEpeak increased significantly in
the 1-minute stage duration group (P<.05) but not in the 3-minute stage duration
group (P>.05). In addition, the change of VO2peak, VEpeak, and total test
duration was significantly greater in the 1-minute stage duration group compared
with the 3-minute stage duration group (P<.05). CONCLUSIONS: For detecting
changes of VO2peak as result of cardiac rehabilitation, a 1-minute stage exercise
testing protocol is more sensitive when compared with a 3-minute stage exercise
testing protocol.
PMID- 18047892
TI - Mechanical alterations of rabbit Achilles' tendon after immobilization correlate
with bone mineral density but not with magnetic resonance or ultrasound imaging.
AB - OBJECTIVE: To assess the usefulness of magnetic resonance imaging (MRI),
ultrasound (US) imaging, or bone mineral density (BMD) in predicting the
mechanical properties of immobilized rabbit Achilles' tendons. DESIGN:
Experimental study. SETTING: Basic university laboratory. ANIMALS: Twenty-eight
rabbits. INTERVENTIONS: Twelve rabbits had 1 hindlimb casted for 4 weeks and 10
rabbits were casted for 8 weeks. Contralateral legs and 12 normal hindlimbs
served as controls. MAIN OUTCOME MEASURES: Achilles' tendon dimensions on MRI and
US, T1- and T2-signal intensities on MRI, classification of abnormalities on MRI
and US; BMD of the calcaneus with dual-energy x-ray absorptiometry. Biomechanic
measures consisted of peak load, stiffness, and stress. Imaging variables were
correlated with biomechanic alterations. RESULTS: Immobilized Achilles' tendons
were weaker and showed decreased mechanical stress compared with their
contralateral legs and controls (all P<.05). MRI and US revealed larger Achilles'
tendons after immobilization. However, neither increased MRI nor US signal
abnormality was found. BMD was lower in immobilized calcanei and larger in
contralateral legs than controls. Only BMD correlated with both the decreased
peak load (R2=.42, P<.05) and stress (R2=.54, P<.05) of immobilized Achilles'
tendon. CONCLUSIONS: This study established weakened mechanical properties of
immobilized Achilles' tendons. BMD of the calcaneus, but not MRI and US, was
predictive of the mechanical alterations in immobilized Achilles' tendons. BMD
may be a useful biomarker to monitor disease and recovery in Achilles' tendons.
PMID- 18047893
TI - Arm crank ergometry and shoulder pain in persons with spinal cord injury.
AB - OBJECTIVE: To determine whether a primary fitness program utilizing arm crank
ergometry would cause increased shoulder pain in persons with spinal cord injury
(SCI). DESIGN: Cohort study. SETTING: Clinical research center. PARTICIPANTS:
People (N=23) with chronic SCI (>1 y) who were participating in a weight loss
study to compare the effectiveness of diet only (1000 kcal/d for 12 wk) versus
diet with arm crank ergometry (1000 kcal/d and arm crank ergometry 3 times a week
for 12 wk). INTERVENTION: Arm crank ergometry. MAIN OUTCOME MEASURE: Changes in
shoulder pain intensity using the Wheelchair User's Shoulder Pain Index (WUSPI).
RESULTS: After adjusting for baseline scores, there was no significant difference
between the 2 groups on postintervention WUSPI scores (F(1,20)=.85, P=.37,
partial eta2=.04). The strength of the relationship between group assignment
(diet only vs diet and arm crank ergometry) and final WUSPI score was weak, as
assessed by a partial eta2, with group assignment accounting for 4% of the
variance on the WUSPI. The adjusted means were lower in the diet and arm crank
ergometry group (mean, 7.84) than in the diet only group (mean, 12.22); however,
these differences did not appear to be clinically significant. CONCLUSIONS: A
primary fitness program using arm crank ergometry does not increase shoulder pain
in people with SCI who use wheelchairs. Further investigation with a larger group
and what constitutes clinically significant changes on the WUSPI is warranted to
confirm our results.
PMID- 18047894
TI - The S1 "Scotty dog": report of a technique for S1 transforaminal epidural steroid
injection.
AB - OBJECTIVE: To report a technique for needle placement by using the bony landmark
of the "Scotty dog" on an oblique view for epidural injection of corticosteroid
into the S1 foramina. DESIGN: Brief report on a technique for S1 transforaminal
epidural steroid injection. SETTING: Academic multispecialty spine center.
PARTICIPANTS: Patients with L5 and S1 foraminal and paracentral disk herniation
with concurrent L5-S1 radicular pain. INTERVENTION: Fluoroscopically guided,
contrast-enhanced L5 and S1 transforaminal epidural steroid injections (ESIs).
MAIN OUTCOME MEASURES: Not applicable. RESULTS: The L5-S1 foramina can be
visualized with 1 oblique (and usually caudally tilted) fluoroscopic view. An S1
Scotty dog can be visualized as an anatomic landmark for the guidance of the
needle tip into the S1 foramen. While performing simultaneous L5 and S1
transforaminal ESIs, 1 view can be used to guide both needles into the foramen.
Thus, the procedure can be completed in less time and potentially with less
radiation exposure than if different views for each foramen were to be used.
CONCLUSIONS: Classic description of the S1 spinal nerve block uses an
anteroposterior approach to the foramen. Looking for an S1 Scotty dog facilitates
predictable visualization of the foramen, medial needle placement with epidural
flow of contrast, and simultaneous visualization for needle placement to the L5
foramen.
PMID- 18047895
TI - Expert opinion and controversies in musculoskeletal and sports medicine: core
stabilization as a treatment for low back pain.
AB - Although there are a wide variety of therapeutic exercises that have been
proposed as treatments for low back pain (LBP), the last 20 years have seen the
development of a substantial focus on the use of exercises that are intended to
address intersegmental stability in the lumbar spine. These exercise programs are
varyingly referred to as lumbar stabilization, segmental stabilization, or core
stabilization, among other terms, and are aimed at improving the neuromuscular
control, strength, and endurance of a number of muscles in the trunk and pelvic
floor that are believed to play important roles in the dynamic stability of the
spine. Although it is difficult to quantify, there appears to have been a
tremendous degree of penetration of these treatment concepts into the therapeutic
arena, the medical literature, and the lay press. Despite this, there are few
prospective studies on patients with LBP, and there is even more limited
discussion of the concepts of patient selection, dose-response, and long-term
outcome associated with these approaches. There also is a significant lack of
uniformity regarding the meaning of "core stabilization" and what therapeutic
exercises may be most effective.
PMID- 18047896
TI - Perspectives on the state-of-the-science in rehabilitation medicine and its
implications for Medicare postacute care policies.
AB - Better measurement of the case-mix complexity of patients receiving
rehabilitation services is critical to understanding variations in the outcomes
achieved by patients treated in different postacute care (PAC) settings. The
Medicare program recognized this issue and is undertaking a major initiative to
develop a new patient-assessment instrument that would standardize case-mix
measurement in inpatient rehabilitation facilities, long-term care hospitals,
skilled nursing facilities, and home health agencies. The new instrument, called
the Continuity Assessment Record and Evaluation Tool, builds on the scientific
advances in measurement to develop standard measures of medical acuity,
functional status, cognitive impairment, and social support related to resource
need, outcomes, and continuity of care for use in all PAC settings.
PMID- 18047897
TI - Does passive cycling induce changes in peripheral blood flow in persons with
spinal cord injury?
PMID- 18047899
TI - Misconceptions in measuring change.
PMID- 18047902
TI - Safety of cervical transforaminal steroid injections.
PMID- 18047904
TI - What is "sleep-disordered breathing" for patients with neuromuscular weakness?
PMID- 18047905
TI - Axial spinal unloading for adolescent idiopathic scoliosis.
PMID- 18047907
TI - Effects of alcohol consumption and other lifestyle behaviors on blood pressure
for the middle-aged and elderly in the Guangxi Hei Yi Zhuang and Han populations.
AB - Han is the largest group and Zhuang is the largest minority among the 56 ethnic
groups in China. Geographically and linguistically, Zhuang can be classified into
43 ethnic subgroups, in which Hei Yi Zhuang is proved to be the most conservative
subgroup. Little is known about the relationship between alcohol consumption and
blood pressure levels in this population. Therefore, the present study was
undertaken to compare the effects of alcohol consumption and other lifestyle
behaviors on blood pressure levels for the middle-aged and elderly in the Guangxi
Hei Yi Zhuang and Han populations. A total of 657 subjects of Hei Yi Zhuang aged
40 and older were surveyed by a stratified randomized cluster sampling.
Information on demography, diet, and other lifestyle factors was collected by
standard questionnaires. Anthropometric parameters and serum lipid levels were
also obtained in all subjects. The results were compared with those in 520
participants of Han Chinese from the same region. The levels of systolic blood
pressure and pulse pressure in Hei Yi Zhuang were higher than those in Han (P <
.01-.001). Hypertension was positively correlated with sex (male), age, physical
activity, alcohol consumption, serum triglyceride levels, and total energy, total
fat, and salt intakes, and negatively associated with educational level in Hei Yi
Zhuang (P < .05-.001), whereas positively correlated with sex (male), age,
physical activity, alcohol consumption, body mass index, waist circumference,
serum total cholesterol levels, and total energy, total fat, and salt intakes,
and negatively associated with educational level in Han (P < .05-.001). The
difference in blood pressure levels between the two ethnic groups might result
from different dietary habit, lifestyle, sodium intake, educational level,
physical activity, and even genetic factors.
PMID- 18047908
TI - Growth hormone response to the GABA-B agonist baclofen in 3-week abstinent
alcoholics.
AB - Gamma-aminobutyric acid (GABA) dysfunction is a known feature of alcoholism. We
investigated GABA-B receptor activity in 3-week abstinent alcoholics using the
growth hormone (GH) response to baclofen, a GABA-B receptor agonist. The study
aimed to investigate the relationship between GABA-B receptor activity and
alcohol withdrawal. GH response to baclofen was measured in alcohol-dependent
males without depression (n = 22) who were on day 21 of alcohol abstinence and in
healthy control male subjects (n = 23). After 20mg baclofen was given orally to
the subjects, blood samples for GH assay were obtained every 30 min for the
subsequent 150 min. The patients were divided into two subgroups (continuing
withdrawal and recovered withdrawal subgroups) according to their withdrawal
symptom severity scores on day 21 of alcohol cessation. Baclofen administration
significantly altered GH secretion in the controls, but not in the patients. When
GH response to baclofen was assessed as DeltaGH, it was lower in the patients
with continuing withdrawal symptoms than in the controls and in the recovered
withdrawal group. Impaired GH response to baclofen in all patients mainly
pertained to the patients whose withdrawal symptoms partly continued. Our results
suggest that reduced GABA-B receptor activity might be associated with longer
term alcohol withdrawal symptoms in alcoholic patients.
PMID- 18047909
TI - Short-term selection for acute ethanol tolerance and sensitization from an F2
population derived from the high and low alcohol-sensitive selectively bred rat
lines.
AB - Previous studies have identified quantitative trait loci (QTL) in the inbred high
and low alcohol-sensitive rat (IHAS1 and ILAS1) strains. The original development
of the strains involved selection for ethanol sensitivity based on duration of
the loss of the righting reflex (LORR) after a standard dose of ethanol. This
paper confirms some of these QTL using a short-term selection procedure based on
the difference between the blood ethanol level at LORR and regain of the righting
response. An F(2) population of rats was developed by a reciprocal cross of IHAS1
and ILAS1 rats. Selection for five generations was carried out using delta-blood
ethanol concentration (dBEC) as the selection trait, where dBEC=BECLR (BEC at
loss of righting reflex)-BECRR (BEC at regain of righting reflex). The lines were
labeled tolerant (TOL) or sensitive (SENS). Approximately one-third of the
offspring for each generation in each line were genotyped using DNA markers that
had been previously found to be linked to QTL on chromosomes 1, 2, 5, 12, and 13.
By the fifth generation of selection, the lines showed a very large difference in
dBEC, BECRR, and duration of LORR; BECLR showed little segregation during the
selection, and latency to lose the righting reflex showed none. IHAS allele
frequency increased in the SENS line for markers on chromosomes 1, 5, 12, and 13
while ILAS allele frequency increased in the TOL line. These results were in good
agreement with the two previous QTL studies. On chromosome 2, the selection
resulted in an accumulation of ILAS alleles in both lines. This study provides
independent confirmation of the location of QTL on chromosomes 1, 5, 12, and 13
for ethanol sensitivity. It also suggests that genetic differences in duration of
LORR are mediated primarily by the dBEC phenotype.
PMID- 18047910
TI - Prenatal ethanol exposure alters core body temperature and corticosterone rhythms
in adult male rats.
AB - Ethanol's effects on the developing brain include alterations in morphology and
biochemistry of the hypothalamus. To examine the potential functional
consequences of ethanol's interference with hypothalamic differentiation, we
studied the long-term effects of prenatal ethanol exposure on basal circadian
rhythms of core body temperature (CBT) and heart rate (HR). We also examined the
late afternoon surge in corticosterone (CORT). Core body temperature and HR
rhythms were studied in separate groups of animals at 4, 8, and 20 months of age.
The normal late afternoon rise in plasma CORT was examined in freely moving male
rats at 6 months of age via an indwelling right atrial cannula. Results showed
that the CBT circadian rhythm exhibited an earlier rise after the nadir of the
rhythm in fetal alcohol-exposed (FAE) males at all ages compared to controls. At
8 months of age, the amplitude of the CBT circadian rhythm in FAE males was
significantly reduced to the level observed in controls at 20 months. No
significant effects of prenatal ethanol exposure were observed on basal HR rhythm
at any age. The diurnal rise in CORT secretion was blunted and prolonged in 6
month-old FAE males compared to controls. Both control groups exhibited a robust
surge in CORT secretion around the onset of the dark phase of the light cycle,
which peaked at 7:30 p.m. Whereas FAE males exhibited a linear rise beginning in
mid afternoon, which peaked at 9:30 p.m. These results indicate that exposure to
ethanol during the period of hypothalamic development can alter the long-term
regulation of circadian rhythms in specific physiological systems.
PMID- 18047911
TI - Ethnic differences in the association of birth weight and blood pressure: the
Georgia cardiovascular twin study.
AB - BACKGROUND: African Americans (AAs) not only have higher blood-pressure levels,
but also an increased risk of low weight at birth, compared with European
Americans (EAs). In light of fetal programming theories, it has been suggested
that ethnic differences in blood pressure originate in utero. However, most
previous studies in biethnic samples have not found a significant inverse
association between birth weight and blood pressure in AAs. METHODS: In 562 EA
and 465 AA adolescent twins of the Georgia Cardiovascular Twin Study, we
investigated the potential ethnic difference in the association of blood pressure
and birth weight, with the ability to control for potential confounding by
familial factors. RESULTS: Blood-pressure levels were significantly higher in AAs
compared to EAs, independent of birth weight (P < .01). After adjustment for
parental factors and body mass index, the difference in systolic blood pressure
per kg birth weight was -1.1 mm Hg (95% confidence interval, -2.7 to 0.48, P =
.17) in EAs, and -2.5 mm Hg (95% confidence interval, -4.7 to -0.40, P = .02) in
AAs. A significant ethnic interaction was revealed in paired analysis, where the
inverse association remained in AAs, but not in EAs. Associations with diastolic
blood pressure were generally weaker and nonsignificant. CONCLUSIONS: We showed
that low birth weight was associated with an elevated systolic blood pressure in
AAs, independent of familial factors. The results also suggest that the
association between birth weight and blood pressure may be more pronounced in AAs
in adolescence.
PMID- 18047912
TI - Occupational, commuting, and leisure-time physical activity in relation to
cardiovascular mortality among finnish subjects with hypertension.
AB - BACKGROUND: The association between different types of physical activity and
cardiovascular risk among hypertensive subjects is not fully understood. The
purpose of this study was to determine the association of occupational,
commuting, and leisure-time physical activity on cardiovascular mortality among
hypertensive patients. METHODS: Study cohorts included 26,643 hypertensive
Finnish men and women who were aged 25 to 64 years and free of coronary heart
disease, stroke, and Type 1 diabetes. RESULTS: During a mean follow-up of 19.9
years (range, 6.6 to 31.7 years), 3743 subjects died because of cardiovascular
disease. The multivariate-adjusted (age, study year, education, alcohol
consumption, smoking, body mass index, systolic blood pressure, total
cholesterol, use of antihypertensive drugs, and diabetes at baseline or during
follow-up, and the other two kinds of physical activity) hazard ratios of
cardiovascular mortality associated with low, moderate, and high occupational
physical activity were 1.00, 0.84, and 0.86 (P for trend = .006), respectively,
for hypertensive men, and 1.00, 0.85, and 0.84 (P for trend = .014),
respectively, for hypertensive women. The multivariate-adjusted hazard ratios of
cardiovascular mortality associated with low, moderate, and high leisure-time
physical activity were 1.00, 0.84, and 0.73 (P for trend < .001), respectively,
for hypertensive men, and 1.00, 0.78, and 0.76 (P for trend < .001),
respectively, for hypertensive women. Active commuting to and from work was
significantly associated with reduced cardiovascular mortality in hypertensive
women. CONCLUSIONS: Moderate or high levels of occupational or leisure-time
physical activity reduce cardiovascular mortality among both men and women with
hypertension. Walking or cycling to and from work daily reduces cardiovascular
mortality among hypertensive women.
PMID- 18047913
TI - Beta-adrenergic receptor genes are associated with arterial stiffness in black
and white adults: the Bogalusa Heart Study.
AB - BACKGROUND: Sympathetic nervous activity, which is regulated by the beta
adrenergic receptor (beta-AR), is an important determinant of the arterial wall
stiffening process. This study examines the genetic influence of beta-AR gene
polymorphisms (beta(1)-AR Arg389Gly, beta(2)-AR Arg16Gly, and beta(3)-AR
Trp64Arg) on arterial stiffness in black and white young adults. METHODS: The
study cohort included 366 black and 891 white adults, aged 19 to 44 years,
enrolled in the Bogalusa Heart Study. Aorta-femoral pulse-wave velocity (af-PWV)
was measured by echo-Doppler in a subsample (n = 614). RESULTS: Pulse pressure
and heart rate were significantly associated with af-PWV in both races, but not
with the three polymorphisms. The af-PWV values differed significantly among the
beta(1)-AR Arg389Gly genotype groups in whites (P = .007) and in the total sample
(P = .005), with those who were homozygous for Gly389 showing higher values than
those who were homozygous for Arg389, after adjusting for cardiovascular risk
factors. The beta(3)-AR Arg64 allele was associated with higher af-PWV values in
blacks (P = .022) and in the total sample (P = .015). The beta(2)-AR Arg16 allele
was associated with af-PWV only in blacks (P = .020). In multivariate regression
analysis for the total sample, age, pulse pressure, heart rate, beta(1)-AR
Arg389Gly, beta(3)-AR trp64Gly, and smoking status were, in descending order,
associated with af-PWV. Furthermore, af-PWV values significantly increased with
the increasing number of beta(1)-AR Gly389, beta(2)-AR Arg16, and beta(3)-AR
Arg64 alleles (P for trend = .0003). CONCLUSIONS: These results indicate that the
beta-AR gene polymorphisms influence arterial stiffness in black and white young
adults in an additive manner.
PMID- 18047914
TI - Prevalence and persistence of masked hypertension in treated hypertensive
patients.
AB - BACKGROUND: Masked hypertension (MH) is defined as a normal blood pressure in the
physician's office and an elevated blood pressure when measured out-of-office.
The cause of MH may be termed the masked hypertension effect (MHE), and is not
restricted to blood-pressure (BP) values around the thresholds for normal BP. We
investigated the prevalence and persistence of MH and MHE in patients who were
being treated for high BP and who had been followed for a period of 1 year.
METHODS: One hundred and sixty-one treated hypertensive patients underwent office
blood-pressure measurements (OBPMs) at seven visits and self-performed blood
pressure measurements (SBPMs) for 1 week before each visit over a period of 1
year. All measurements were performed with the same type of automatic device. At
each visit, MH was determined according to the European Society of Hypertension
definition (OBPM, <140/90 mm Hg; SBPM, >/=135 mm Hg or 85 mm Hg). In addition, we
determined prevalences of MHE at 5/3 mm Hg (SBPM exceeds OBPM by 5 mm Hg systolic
and 3 mm Hg diastolic), and MHE at 10/6 mm Hg (SBPM exceeds OBPM by 10 mm Hg
systolic and 6 mm Hg diastolic), respectively. RESULTS: During the entire study,
50% of the patients had MH, and 40% had MHE at 5/3 mm Hg at least once. At four
sequential OBPM visits, 2% consistently had MH, and 3% had MHE at 5/3 mm Hg or
MHE at 10/6 mm Hg. The prevalence of MH increased with lower OBPM levels but
remained rather constant for MHE at 5/3 mm Hg and MHE at 10/6 mm Hg. The
persistence of MH and the MHE over time in individual patients was low.
CONCLUSIONS: We conclude that MH and MHE at 5/3 mm Hg and MHE at 10/6 mm Hg
commonly occur in treated patients, but are not persistent phenomena and probably
result from an accidentally low OBPM value on one particular occasion.
PMID- 18047915
TI - The Odyssey of Masked Hypertension in the HOMERUS Trial.
PMID- 18047916
TI - Changes in self-monitored pulse pressure correlate with improvements in B-type
natriuretic Peptide and urinary albumin in treated hypertensive patients.
AB - BACKGROUND: Pulse pressure (PP) is an independent marker of cardiovascular risk,
even in treated hypertensive subjects, but is often little changed by
antihypertensive treatment. We assessed the hypothesis that changes in PP during
antihypertensive therapy correlate with changes in surrogate markers of target
organ damage. METHODS: We studied 540 treated hypertensive subjects whose home
systolic blood pressure (SBP) was >/=135 mm Hg. They were followed for 6 months
after allocation to either a control group or an added treatment group
(doxazosin, 1 to 4 mg plus beta-blocker when needed). The changes in PP and
various blood pressure (BP) measures, including mean BP (MP), SBP, and diastolic
BP (DBP) during follow-up, were related to changes in plasma B-type natriuretic
peptide (BNP) and the urine albumin-creatinine ratio (UAR). RESULTS: Although
self-measured MP was significantly lowered in the added treatment group, PP was
not changed overall, although some patients showed a decrease, and others showed
an increase. In multivariable analyses, changes in both clinic and home PP were
positively associated with changes in log BNP, such that increases in clinic and
home PP were paralleled by corresponding increases in BNP. However, no such
corresponding relationships were observed when home PP decreased. The change in
home PP, but not clinic PP, was positively and linearly associated with the
change in UAR. CONCLUSIONS: Changes in PP during antihypertensive treatment are
important because PP may increase in some patients, in whom there are adverse
changes in surrogate markers of target-organ damage. These changes of PP are best
evaluated by home monitoring.
PMID- 18047917
TI - Mild hyperuricemia and subclinical renal damage in untreated primary
hypertension.
AB - BACKGROUND: Subclinical renal damage and hyperuricemia are not uncommon in
patients with primary hypertension. Whether mild hyperuricemia reflects a
subclinical impairment of renal function or contributes to its development is
currently debated. We investigated the relationship between serum uric-acid
levels and the occurrence of early signs of kidney damage. METHODS: Four hundred
eighteen patients with primary hypertension were studied. Albuminuria was
measured as the albumin-to-creatinine ratio, and creatinine clearance was
estimated by the formula of Cockcroft and Gault. Interlobar resistive index and
renal abnormalities, ie, the renal volume-to-resistive index ratio, were
evaluated by renal Doppler and ultrasound. RESULTS: Uric acid was directly
related to resistive index (P = .007) in women and to albuminuria (P = .04) in
men, and was inversely related to the renal volume-to-resistive index ratio in
both men (P = .005) and women (P = .02). Patients with uric-acid levels above the
median showed a higher prevalence of microalbuminuria (14% v 7%, P = .012) and of
renal abnormalities (41% v 33%, P = .007). Moreover, when creatinine clearance
was taken as a covariate, patients with increased uric-acid levels showed higher
albuminuria and resistive indices, and a lower renal volume-to-resistive index
ratio. Even after adjustment for several risk factors, each standard deviation
increase in serum uric acid entailed a 69% higher risk of microalbuminuria, and a
39% greater risk of ultrasound detectable renal abnormalities. CONCLUSIONS: Mild
hyperuricemia is associated with early signs of renal damage, ie,
microalbuminuria and ultrasound-detectable abnormalities, regardless of the
glomerular filtration rate in primary hypertension.
PMID- 18047918
TI - Determinants of reduction of coronary flow reserve in patients with type 2
diabetes mellitus or arterial hypertension without angiographically determined
epicardial coronary stenosis.
AB - BACKGROUND: Coronary flow reserve (CFR) may be reduced even in the absence of
coronary artery disease. We investigated the determinants of CFR impairment in
Type 2 diabetes mellitus (DM2) and in arterial hypertension (HTN) without
epicardial coronary artery stenosis. METHODS: Twenty-eight patients with DM2 and
27 with HTN, both with normal coronary angiography, and 18 healthy controls
underwent transthoracic echocardiography, including Doppler recording of the
distal left anterior descending artery, at rest as well as after high-dose
dipyridamole. Coronary flow reserve was calculated as the hyperemic to resting
coronary diastolic peak velocities ratio. RESULTS: The three groups were
comparable for sex, age, and heart rate. Systolic and mean blood pressures were
higher in DM2 and HTN patients than in control subjects. Diabetic and
hypertensive patients had a higher left-ventricular mass index (LVMi) and
relative wall thickness, impaired diastolic indexes, and lower CFR compared with
control subjects (P = .02 and P = .03, respectively) because of lower hyperemic
coronary velocity (P = .005 and P = .004, respectively). After a multilinear
regression analysis (using age, sex, HTN status, DM2 status, smoking, total
cholesterol/HDL-cholesterol ratio, and LVMi as potential determinants), the LVMi
increase was the main predictor of the reduction of CFR, adjusted for mean BP (P
< .0001), in the pooled population, with a minor contribution of age (P = .03),
HTN status (P = .02), and DM2 status (P = .03). CONCLUSIONS: In Type 2 DM and HTN
without epicardial coronary stenosis, an impairment of CFR is demonstrable. This
is partly explained by an increased left-ventricular mass, able to condition the
hyperemic stimulation of myocardial blood flow.
PMID- 18047919
TI - Prognostic relevance of metabolic syndrome in hypertensive patients at low-to
medium risk.
AB - BACKGROUND: The prognostic impact of metabolic syndrome (MetS) in the
hypertensive population at low-medium risk is unknown. In this study, we
evaluated the prognostic relevance of MetS in hypertensive patients at low-medium
risk. METHODS: The occurrence of nonfatal and fatal cardiac and cerebrovascular
events was evaluated in 802 patients with mild to moderate essential hypertension
at low-medium risk according to the 2003 World Health Organization/International
Society of Hypertension statement on the management of hypertension. Among these
patients, 218 (27.2%) had MetS according to a modified National Cholesterol
Education Program Adult Treatment Panel III (NCEP ATP III) definition (body mass
index in place of waist circumference). RESULTS: During follow-up (6.9 +/- 3.1
years; range, 0.5 to 13.1 years, mean +/- SD), 58 first cardiovascular events
occurred. The event rates per 100 patient-years in patients without and with MetS
were 0.87 and 1.51, respectively. Event-free survival was significantly different
between groups (P = .03). After adjustment for several covariates, Cox regression
analysis showed that cardiovascular risk was significantly higher in patients
with than in patients without MetS (relative risk, 2.64; 95% confidence interval,
1.52 to 4.58; P = .001). Other independent predictors of outcome were age,
smoking habit, 24-h systolic BP, and LDL cholesterol. CONCLUSIONS: Hypertensive
patients at low-medium risk with MetS are at higher cardiovascular risk than
those without MetS. Metabolic syndrome may be a useful tool for clinicians to
identify subjects who are at increased risk when traditional assessment may
indicate low-medium risk.
PMID- 18047920
TI - Uncoupled endothelial nitric oxide synthase and oxidative stress in a rat model
of pregnancy-induced hypertension.
AB - BACKGROUND: Preeclampsia is a human pregnancy-associated syndrome associated with
hypertension, proteinuria, and endothelial dysfunction. We tested whether
increased reactive oxygen species (superoxide and peroxynitrite) production and
decreased bioavailability of the endothelial nitric oxide (NO) synthase (eNOS)
cofactor tetrahydrobiopterin (BH4) contributes to maternal endothelial
dysfunction in rats with pregnancy-induced hypertension and several
characteristics of preeclampsia. METHODS: Nonpregnant (DS) and pregnant (PDS)
rats were treated with deoxycorticosterone acetate and 0.9% saline for
approximately 3 weeks and nonpregnant (Con) and pregnant (P) rats received tap
water. Blood pressure, urinary protein levels, mesenteric vascular reactivity,
aortic protein expression, and aortic reactive oxygen species levels were
compared between the four groups. RESULTS: The PDS rats had significantly
decreased mesenteric endothelium-dependent relaxation responses and aortic NO
production compared to Con, DS, and P rats despite increased aortic eNOS
expression. Aortic superoxide and peroxynitrite levels were increased in PDS rats
compared with Con, DS, and P rats. Scavenging of reactive oxygen species or
increasing tetrahydrobiopterin levels normalized mesenteric endothelium-dependent
relaxation responses, aortic NO production, and aortic superoxide and
peroxynitrite levels in PDS rats. CONCLUSIONS: These data suggest that increased
superoxide production by NADPH oxidase, peroxynitrite degradation of BH4, and
uncoupled eNOS contribute to endothelial dysfunction in a rat model of pregnancy
induced hypertension.
PMID- 18047921
TI - Effect of telmisartan on forearm postischemic hyperemia and serum asymmetric
dimethylarginine levels.
AB - BACKGROUND: Although telmisartan may be more beneficial for glucose metabolism
than other angiotensin II receptor blockers (ARBs), it has not been determined
whether telmisartan exerts more favorable effects on biological and functional
parameters related to endothelial function than other ARBs. METHODS: A study with
a crossover design was conducted in 40 hypertensive patients (61 +/- 10 years
old, mean +/- SD) who had previously been treated with ARBs other than
telmisartan or valsartan (ie, ARBs were switched to either telmisartan 40 mg/day
or valsartan 80 mg/day, administered alternately for 12 weeks each). Blood
examinations were conducted, and the mean reactive hyperemia ratio (mRHR) was
measured by plethysmography for each treatment regimen. RESULTS: There were no
significant differences in either blood pressure or plasma levels of monocyte
chemoattractant protein-1, C-reactive protein, 3-nitrotyrosine, or vascular cell
adhesion molecule-1 between the two treatment regimens. The mRHR (2.7 +/- 1.0 v
2.4 +/- 1.0, mean +/- SD) was larger (P < .05), and the plasma levels of
asymmetric dimethylarginine (ADMA) (0.45 +/- 0.08 v 0.50 +/- 0.17 micromol/L,
mean +/- SD) and the homeostasis model assessment index of insulin resistance
(HOMA-IR) (2.3 +/- 1.6 v 2.8 +/- 2.1, mean +/- SD) were lower (P < .05) in
telmisartan-treated patients than in valsartan treated patients. The percent
change in ADMA, but not in HOMA-IR, correlated significantly with that in the
mRHR (beta = -0.33, t value = -2.00, P = .04). CONCLUSIONS: At doses producing
equivalent hypotensive effects, telmisartan apparently had a more favorable
effect on functional parameters related to endothelial function than did
valsartan. The reduction in plasma ADMA levels may contribute to this more
favorable effect of telmisartan.
PMID- 18047922
TI - Change in forearm reactive hyperemia after telmisartan: an endothelial effect?
PMID- 18047923
TI - Self-measurement and self-titration in hypertension: a pilot telemedicine study.
AB - BACKGROUND: Because of poor patient compliance and clinical inertia, hypertension
control rates remain poor. Home blood-pressure measurements (HBPM) improve
compliance of patients and achievement of blood pressure (BP) targets. However,
few studies have evaluated self-BP management by patients. METHODS: In a
multicenter, prospective, single-group, open-label pilot study of 111 patients
whose hypertension was uncontrolled despite monotherapy, we studied satisfaction
with, and feasibility of, HBPM and self-titration of antihypertensive treatment
using telemedicine for compliance, efficacy, and safety. After education
(protocol, action plan, and use of the HBPM device), patients performed a
sequence of HBPM every 2 weeks for 8 weeks. Following a stepwise approach,
treatment was increased by the patient at weeks 4 and 6 if average HBPM values
exceeded predefined limits. For each titration, the patient informed the Core
Center by telemedicine, but BP values were transferred automatically. RESULTS:
Overall, 80% of patients were satisfied (58%) or very satisfied (23%) with the
program (95% confidence interval, 73% to 87%). Regarding compliance, 78% of
patients fully complied with self-measurement, and just over 71% titrated their
treatment adequately. Physicians were satisfied (52%) or very satisfied (22%)
with the program. Between the first and final visits (at week 8), office
systolic/diastolic BP (mean +/- SD) decreased significantly from 151 +/- 9/91 +/-
6 to 143 +/- 13/84 +/- 11 mmHg. During the trial, HBPM (mean +/- SD) decreased
significantly from 149 +/- 13/86 +/- 12 to 138 +/- 16/81 +/- 10 mmHg. No
significant safety issues were reported. CONCLUSIONS: This innovative approach to
the management of hypertension, combining self-measurement and self-titration, is
feasible, well-accepted by both patients and physicians, and safe.
PMID- 18047924
TI - Prevention of hypertension, cardiovascular damage and endothelial dysfunction
with green tea extracts.
AB - BACKGROUND: We investigated the effect of green tea extract (GTE) in arterial
hypertension with high oxidative stress. Angiotensin (Ang) II induces endothelial
dysfunction (ED) that is crucial for the development of atherosclerosis and
hypertension. METHODS: Male Sprague-Dawley rats, 13 weeks old, randomly assigned
to drinking water with or without GTE (6 mg/mL) received a vehicle, a high (700
microg/kg/d) or a low (350 microg/kg/d) Ang II dose for 13 days, by osmotic mini
pumps. Blood pressure (BP) was measured with telemetry. After sacrifice, left
ventricular (LV) mass index, small mesenteric artery media-to-lumen ratio, and
concentration-response curves of phenylephrine-precontracted arteries to
acetylcholine were evaluated. The effect of the superoxide dismutase (SOD-1)
analog tempol on artery responses to acetylcholine was assessed. Oxidative stress
was measured by plasma hydroperoxides and nitrotyrosine levels. The mRNA of heme
oxygenase 1 (HO-1), NADPH oxidase endothelial p22(phox) subunit, and SOD-1 was
also measured in the aorta. RESULTS: Compared with vehicle high Ang II increased
BP, LV mass index, media-to-lumen ratio, and hydroperoxide radicals. The GTE
blunted these increases, prevented the increase in HO-1, p22(phox), and SOD-1
mRNA in aorta caused by Ang II, and reduced them below baseline levels. Low Ang
II dose increased BP values and plasma hydroperoxides only during the first week.
Both Ang II doses shifted rightward the curves to acetylcholine; this was
prevented in vivo by GTE and abolished in vitro by tempol. CONCLUSIONS: The GTE
prevented hypertension and target organ damage induced by a high Ang II dose,
likely by prevention or scavenging of superoxide anion generation.
PMID- 18047925
TI - Aldosterone breakthrough during angiotensin II receptor blockade in hypertensive
patients with diabetes mellitus.
AB - BACKGROUND: Aldosterone is an important pathogenetic factor, independent of the
renin-angiotensin system in cardiovascular and renal disease. Aldosterone
breakthrough during angiotensin-converting enzyme (ACE) inhibitor therapy was
reported in hypertension, diabetes mellitus, and chronic renal disease. It is
unclear whether the angiotensin II receptor blocker (ARB) causes aldosterone
breakthrough in patients with hypertension and diabetes mellitus, and whether
aldosterone breakthrough contributes to renal injury in these patients. METHODS:
We prospectively studied 95 hypertensive patients with diabetes mellitus.
Patients were treated with candesartan (8 mg/day, n = 47) or valsartan (80
mg/day, n = 48) for 15 months. Blood pressure (BP), urinary albumin excretion
(UAE), biochemical markers, plasma aldosterone concentration (PAC), and plasma
renin activity (PRA) were measured before and at 3, 6, 12, and 15 months of
treatment. Nine patients who exhibited aldosterone breakthrough after treatment
with ARB were placed on spironolactone (25 mg/day) for 3 months, and BP, UAE, and
biochemical markers were measured after treatment. RESULTS: Although the overall
PAC was significantly decreased (P < .05) in each group, it eventually increased
in 21 (candesartan, 11 patients; valsartan, 10 patients) of 95 patients (22%;
aldosterone breakthrough). Blood pressure, PRA, and biomedical markers did not
differ between the two groups during treatment. Although UAE was significantly
decreased in patients with or without aldosterone breakthrough at 6 months, it
was increased again at 15 months of treatment in patients with aldosterone
breakthrough. Treatment with spironolactone markedly reduced UAE in these
patients. CONCLUSIONS: Aldosterone breakthrough was seen to be equal in
hypertensive patients with diabetes mellitus treated with candesartan or
valsartan. Aldosterone blockade therapy may be effective in preventing renal
injury in hypertensive patients with aldosterone breakthrough.
PMID- 18047926
TI - Laboratory diagnosis of primary aldosteronism, and drospirenone-ethinylestradiol
therapy.
AB - BACKGROUND: Primary aldosteronism is recognized as the most frequent cause of
secondary hypertension. Screening for primary aldosteronism by determination of
the aldosterone-to-renin ratio (ARR) is much more frequently performed in current
practice. However, most antihypertensive medications interfere with ARR
determination, and although verapamil and alpha-adrenergic blockers are
considered sufficiently neutral, the specific drugs which should be discontinued
before ARR screening are a matter of debate. Our objective was to evaluate the
possible interference of a new progestin with antimineralocorticoid activity
(drospirenone) on the determination of ARR and the diagnosis of primary
aldosteronism. METHODS AND RESULTS: We describe an instance of a false-positive
laboratory diagnosis of primary aldosteronism (by both screening and confirmatory
test) in a normotensive 34-year-old healthy woman taking Yasmin (drospirenone +
ethinylestradiol) (Shering S.p.A., Milan, Italy). Subsequent ARR values during
Yasmin therapy changed during the menstrual cycle (days 7, 14, 21, and 28 were
tested), reaching values above the screening ARR threshold that led to a
suspicion of primary aldosteronism just before menses. In contrast, during a drug
free menstrual cycle, the ARR remained constantly below the screening ARR
threshold. CONCLUSIONS: We report for the first time that drospirenone may
interfere with laboratory screening and confirmatory testing for the diagnosis of
primary aldosteronism. As a consequence, this drug should be withdrawn in
hypertensive women investigated for secondary hypertension. Although drospirenone
was demonstrated to possess antihypertensive properties when taken as
postmenopausal hormonal replacement therapy, its use for contraceptive purposes
needs to be more carefully investigated.
PMID- 18047927
TI - Activated cells in urine and monocyte chemotactic peptide-1 (MCP-1)--sensitive
rejection markers in renal graft recipients.
AB - Chemokines induced during an acute immune alloresponse cause cellular
infiltration of the allograft. These chemokines and cells are excreted with
urine. The aim of the study was to assess the diagnostic utility of urinary
excretion of monocyte chemotactic peptide-1 and certain cells involved in
infiltration i.e. CD3+, CD64+ and HLA-DR+ cells. The study entailed 35 patients
with acute renal rejection and 65 with a stable graft function. Urinary sediments
were prepared by means of cytospin and stained with anti-CD3, anti-CD64, anti-HLA
DR labeled monoclonal antibodies. Urinary expression of MCP-1 was assayed by
ELISA. In the patients with acute rejection MCP-1 level was ten-fold higher than
in the patients with a stable graft function (6.1+/-3.4 vs 0.6+/-0.4 ng/mg
creatinine). The number of CD3+ cells was over 5 times higher than in the non
rejection patients (13.4+/-4.6 vs 2.5+/-2.2). The number of HLA-DR+ cells was 6
times higher in the acute rejection patients (15.7+/-5.9 vs 2.5+/-2.7). The
number of CD64+ cells was significantly increased in the patients during an acute
rejection episode (p<0.0001). CD3+, HLA-DR+ and CD64+ cell counts strongly
correlated with urine excretion of MCP-1. The counts of CD3+ and HLA-DR+ cells
correlated with Banff score. The assessment of MCP-1 as well as CD3+, CD64+ and
HLA-DR+ cells can provide a useful non-invasive device for the diagnosis of acute
rejection. A sole assay of HLA-DR+ cell excretion provides enough specificity and
sensitivity for the routine monitoring of patients after kidney transplantation,
saving costs and time.
PMID- 18047928
TI - Steady state dendritic cells with forced IDO expression induce skin allograft
tolerance by upregulation of regulatory T cells.
AB - Despite recent extensive studies, the molecular mechanism through which DCs
induce allograft tolerance largely remains poorly understood. In the current
study, we presented strong evidence supporting a role for IDO in DC-mediated
allograft tolerance. Pre-treatment of recipient mice with IDO-transduced donor
specific BMDCs induced skin allograft tolerance in an antigen-dependent manner.
Our data suggest that IDO-expressing DCs may regulate a delicate balance of
cytokines that favors the differentiation of naive CD4+ T cells into Tregs
instead of CD4+ effector T cells. In addition, BMDCs with forced IDO expression
also have higher capability to expand natural Tregs. In consistent with the
observation of augmented Tregs detected in the recipient mice, the capacity for
splenic T cell alloresponse was significantly reduced in recipient mice pre
treated with IDO-transduced BMDCs. Furthermore, the expression of inflammatory
cytokines such as IL-2, IFNgamma, IL-6, IL-17A and IL-23p19, in splenic T cells
of these recipient mice, was significantly lower as compared to that of recipient
mice pre-treated with either GFP-transduced BMDCs or untransduced BMDCs.
PMID- 18047929
TI - Heat preconditioning ameliorates hepatocyte viability after cold preservation and
rewarming, and modulates its immunoactivity.
AB - BACKGROUND: Heat preconditioning significantly preserved liver graft function
after cold preservation in animal experimental model. The elevation of heat shock
protein 70 (HSP70) was claimed to play a critical role in protecting grafts
against cold preservation-induced hepatocyte apoptosis. However, little is known
about whether HSP70 also plays an immunomodulatory role in cold preserved cells.
This study aimed at investigating the relationship between HSP70 protein and the
immunoactivity in response to lipopolysaccharide (LPS) stimulation. METHODS AND
RESULTS: A normal rat hepatocyte cell line was preserved with University of
Wisconsin (UW) solution, Ringer's lactate solution (RL), and phosphate-buffered
saline (PBS) at 4 degrees C. No significant morphological alteration was noted in
UW-preserved cells after 24 h through phase-contrast microscopic observation and
fluorescent viability stain. Western blotting showed a two-fold increase in the
ratio of HSP70/Bax proteins in cells after 24 h of UW preservation. Heat
preconditioning significantly enhanced the recovery of lactate dehydrogenase
(LDH) activity in both RL- and UW-preserved cells that were stored for a period
of 12 h or less. Moreover, heat preconditioning promoted HSP70 and NF-kappaB p50
nuclear translocation and suppressed the LPS-induced nuclear p50 accumulation in
cells before UW preservation. Immunofluorescent stain revealed that the LPS
induced p50 protein redistribution to nuclear membrane might contribute to NF
kappaB activation, while heat preconditioning and UW cold preservation completely
abrogated the p50 intranuclear redistribution. Thus NF-kappaB p50 might be
responsible for the endotoxin tolerance induction. CONCLUSIONS: These findings
strongly suggest that heat preconditioning not only preserves hepatocyte
viability after cold preservation and rewarming, but also ameliorates its
immunoactivity.
PMID- 18047930
TI - CMV increases tubular apoptosis through the TNF-alpha-TNF-R1 pathway in a rat
model of chronic renal allograft rejection.
AB - INTRODUCTION: Destruction of transplanted kidneys through chronic allograft
nephropathy [CAN], also known as chronic rejection, is the greatest obstacle in
successful kidney transplantation. Causes behind CAN are many, from pre
transplant causes to infections. Viral infections, especially CMV, are a risk
factor for chronic rejection. We have previously developed a rat kidney
transplant model, in which CMV enhances the development of chronic rejection
under triple drug treatment. In this model we have now further studied the routes
of apoptosis in virus induced early CAN vs. the routes of apoptosis in a later
developing non-infectious CAN. MATERIALS AND METHODS: Renal transplantations were
performed in a strain combination of DA/BN under immunosuppression. One group of
animals was infected with RCMV and the other was left uninfected. The grafts were
harvested on days 3-40 after transplantation. Apoptotic cells were visualised by
in situ terminal transferase mediated dUTP nick end labelling [TUNEL] from
paraffin embedded, formalin fixed kidney grafts. Cytokines were labelled
imunohistochemically from frozen sections, among them tumour necrosis factor
alpha [TNF-alpha] and its receptor-protein 1 [TNF-R1] as well as CD 95 [FAS],
caspase 3 and CD14. The results were semi-quantitatively scored from 0 to 3+ over
various tissues structures separately. RESULTS: In the CMV infected grafts, we
could demonstrate a more intense TUNEL reaction in tubular epithelium [2.0+/-1.0
vs. 0.8+/-0.5 at day 14, P<0.05] as well as an earlier increase in the expression
TNF-alpha in the vascular endothelium [2.0+1.0 vs. 0.0+0.0 at days 3-5, P<0.05]
than in the non-infected group. There was also an earlier increase in the tubular
TNF-R1 expression [2.2+0.8 vs. 1.0+0.0 at days 5-7, P<0.05]. There was no
difference in the expression of CD14, caspase 3 or FAS between the groups.
CONCLUSIONS: CMV enhanced development of CAN was associated with tubular
apoptosis and concomitant increase of TNF-alpha-TNF-R1, rather than the FAS-FAS
ligand activation.
PMID- 18047931
TI - Altered glycosylation of Tamm-Horsfall glycoprotein derived from renal allograft
recipients leads to changes in its biological function.
AB - BACKGROUND: Human urinary Tamm-Horsfall glycoprotein (THP) is a pleotropic
protein that binds different cytokines and stimulates various immunocompetent
cells. It is unclear whether these important functions of THP are altered in
renal transplant patients. METHODS: We purified THPs from normal individuals (N
THP) and renal transplant patients receiving potent immunosuppressants (R-THP).
The carbohydrate (CHO) compositions of THPs were probed by lectin-blotting and
lectin-binding ELISA. The functions of THP were assessed by immune cell
stimulation as well as C1q, IL-1beta, IL-8 and TNF-alpha-binding assays. The
roles of CHO moieties in THPs were analyzed using CHO-degrading enzyme digestion.
RESULTS: Compared to that of N-THP, the binding capacity of R-THP to Maackia
amurensis, Galanthus nivalis and Datura stamonium decreased, indicating that R
THP contained lesser amount of Siaalpha(2,3)Gal/GalNAc, mannose residues, and
beta(1,4)GlcNAc, but not GlcNAc/branched mannose. The binding capacity of R-THP
to complement C1q and tumor necrosis factor (TNF)-alpha was also decreased. The
stimulating effect of R-THP on mononuclear cell (MNC) proliferation and
polymorphonuclear neutrophil (PMN) phagocytosis was less potent than that of N
THP. We found that the defective MNC-stimulation by R-THP was due to impaired NF
kappaB p52 nuclear translocation. The cell-stimulating effects of N- and R-THP
could be abolished by digesting them with CHO-degrading enzymes, beta
galactosidase and neuraminidase. Interestingly, a potent apoptosis-inducing
effect of R-THP on MNC and PMN was noted. CONCLUSIONS: R-THP is not only modified
in glycosylation but bears an apoptosis-inducing capacity on MNC and PMN, leading
to an impaired immune function in renal transplant patients.
PMID- 18047932
TI - CT60 A/G marker of the 3'-UTR of the CTLA4 gene and liver transplant.
AB - The CTLA4 molecule is a homolog for CD28, and both molecules and their common
ligands (CD80 and CD86) constitute the B7/CD28-CTLA4 co-stimulatory pathway for T
cells activation. The CTLA4-ligand interaction has an inhibitory effect on T cell
activation and might contribute to peripheral tolerance. A recently described
CT60 A/G polymorphism affects the production of soluble CTLA4 and is strongly
associated with immune-mediated diseases and with allogenic stem cell
transplantation outcome. Thus, we examined this marker on liver transplant
outcome by a PCR-RFLP method. The CT60 G allele was significantly associated with
acute rejection (Pc=0.038; OR=1.49; AR vs. NAR). Patients who lacked this allele
had the lowest risk of acute rejection development. Allograft survival data did
not show statistical differences between genotypes. In conclusion, CT60 A/G
dimorphism within the 3'-UTR of CTLA4 gene, which encodes for reduced sCTLA4
production, influence acute rejection development in liver transplantation.
PMID- 18047933
TI - FOXP3 mRNA expression analysis in the peripheral blood and allograft of heart
transplant patients.
AB - Previously, we demonstrated in heart transplant patients that FOXP3, a gene
required for the development and function of regulatory T cells, was highly
expressed in the graft during an acute cellular rejection. In this study, we
analyzed whether the FOXP3 gene expression in the peripheral blood also reflects
anti-donor immune responses, and therefore may provide clues for non-invasive
detection of non-responsiveness or acute rejection. We examined the FOXP3
expression patterns of peripheral blood mononuclear cells (PBMC; n=69) of 19
heart transplant patients during quiescence and rejection in comparison with
those of endomyocardial biopsies (EMB; n=75) of 24 heart transplant patients.
While the FOXP3 mRNA levels were abundantly expressed in rejecting EMB (ISHLT
rejection grade>1R) compared with EMB without histological evidence of myocardial
damage (ISHLT rejection grade 0R-1R; p=0.003), no association with rejection or
non-responsiveness was found for the FOXP3 mRNA levels in the peripheral blood.
Thus, in contrast to intragraft FOXP3 gene expression, the peripheral FOXP3 mRNA
levels lack correlation with anti-donor immune responses in the graft, and,
consequently, FOXP3 does not appear to be a potential candidate gene for non
invasive diagnosis of non-responsiveness or rejection.
PMID- 18047934
TI - Diagnosis of renal allograft subclinical rejection by urine protein fingerprint
analysis.
AB - AIMS: This study aimed to find new biomarkers and establish urine protein
fingerprint model for diagnosis of renal allograft subclinical rejection (SCR).
METHODS: A total of 73 urine samples were analyzed by surface-enhanced laser
desorption/ionization time-of-flight mass spectrometry (SELDI-TOF-MS) combined
with bioinformatics tools. RESULTS: Firstly, 22 urine samples from recipients of
stable graft function proved by protocol biopsies and 27 from subclinical
rejection gruop were analyzed by SELDI-TOF-MS and Zhejiang University Cancer
Institute-ProteinChip Data Analysis System (ZUCI-PDAS). The diagnostic pattern
comprised of 4 biomarkers could differentiate SCR group from stable group with
sensitivity of 81.5% and specificity of 81.8%. The remaining 14 samples from
stable group and 10 samples from SCR were analyzed on the second day as an
independent test set. The independent tests yielded a specificity of 71.4% and
sensitivity of 90%. CONCLUSIONS: Urine protein fingerprint analysis by SELDI-TOF
MS combined with bioinformatics can help to discover new biomarkers and provide a
non-invasive tool to diagnosis of SCR.
PMID- 18047935
TI - Soluble CD30 levels as a diagnostic marker for bronchiolitis obliterans syndrome
following human lung transplantation.
AB - The long term survival of human lung allograft is hampered by the occurrence of
chronic rejection, Bronchiolitis Obliterans Syndrome (BOS). This end-stage
disease is normally diagnosed clinically by using the pulmonary function tests.
This results in delay of BOS diagnosis and consequently prevents early
intervention. It is generally accepted that alloimmunity plays an important role
in chronic rejection of the allograft. In this study we analyzed serial serum
samples from BOS+ and BOS- patients for sCD30 levels to determine the role of
sCD30 to predict the onset of BOS. In contrast to BOS negative patients and
normal subjects, 6 out of 9 BOS+ patients (p<0.05) studied had an increase in the
sCD30 levels. Significantly, the rise was noted 7.57+/-2.63 months before the
clinical diagnosis was evident. Therefore, we propose that the rise in serum
sCD30 levels can be used as a marker for the detection of patients who are at
risk of development of BOS.
PMID- 18047936
TI - Increased vascular endothelial growth factor mRNA in endomyocardial biopsies from
allografts demonstrating severe acute rejection: a longitudinal study.
AB - Investigation into the contribution of the immune system and inflammatory cascade
to acute rejection (AR) and cardiac allograft vasculopathy (CAV) has implicated
vascular endothelial growth factor (VEGF). The endomyocardial biopsy (EB) has
proved invaluable in the diagnosis of AR, and in providing information concerning
the biological processes occurring following transplantation. The association
between VEGF and AR and the development of CAV was examined in endomyocardial
biopsies (EBs) from a cohort of 76 heart transplant recipients. VEGF mRNA levels
were quantified through real time RT-PCR in 712 EBs, obtained at routine
intervals during post-operative monitoring. VEGF and leukocyte and endothelial
markers were assessed in a subset of biopsies through immunohistochemistry. The
results of generalised linear modelling, adjusting for covariates, revealed VEGF
mRNA expression was 19% greater during severe AR as compared to no rejection
(p=0.007). Immunohistochemical results supported these findings. Mean VEGF mRNA
levels were not significant predictors for the development of CAV (p=0.554).
However the risk of cardiac related death increased 9-fold for a 1 unit increase
in mean VEGF expression (p=0.006). Similarly, a single unit increase in mean AR
severity equated to a 10-fold increase in the risk of cardiac related death
(p<0.005). Our data suggest that increased VEGF expression is strongly associated
with severe AR and cardiac related death.
PMID- 18047937
TI - Chemokine redundancy in BOS pathogenesis. A possible role also for the CC
chemokines: MIP3-beta, MIP3-alpha, MDC and their specific receptors.
AB - Bronchiolitis obliterans syndrome (BOS) is one of the most important factors
limiting the long-term survival of lung transplant recipients (LTR), however its
pathogenesis still remains unclear. We hypothesized that an increased production
of certain specific proinflammatory mediators in the first post-transplant year
would predispose to BOS. We retrospectively evaluated temporal kinetics of some
CC chemokines that have not yet been evaluated, including CCL3/MIP1-alpha,
CCL4/MIP1-beta, CCL17/TARC, CCL19/MIP3-beta, CCL20/MIP3-alpha, CCL22/MDC and
CCL26/eotaxin, in broncho-alveolar lavage fluid (BAL-f) in the first post
transplant year in a cohort of 8 LTR before the development of BOS (pre-BOS LTR)
and 8 LTR with long-term stable clinical conditions (stable LTR). Chemokine
levels were assayed by means of a multiplex sandwich ELISA. Furthermore, for
those ligands which resulted significantly predictive of BOS onset, we analyzed
the expression of specific receptors (CCR) on BAL cells. The proportion of CCR
expressing BAL cells was assessed by flow cytometry. We demonstrated that MIP3
beta/CCL19, MIP3-alpha/CCL20, MDC/CCL22 levels at 6 months post-transplant
significantly predicted BOS onset. In addition, the temporal behavior of these
factors resulted significantly different in pre-BOS patients as compared to
stable LTR. Finally the expression of CCR was documented on BAL lymphocytes and
macrophages, and, in some cases, their expression was found to vary between the
two groups. Within the complexity of the chemokine network, these three CCL
factors could play an additive role in the pathogenesis of the inflammatory
process leading to bronchiolar fibro-obliteration.
PMID- 18047938
TI - Comparison of single bolus ATG and Basiliximab as induction therapy in
presensitized renal allograft recipients receiving tacrolimus-based
immunosuppressive regimen.
AB - Presensitized renal allograft recipients require special management to improve
their outcome, and there is no consensus on the optimal immunosuppressive
strategy. We retrospectively analyzed clinical data of 82 patients, who were PRA
positive pre-transplant (above 10%) and received single bolus ATG and basiliximab
as induction therapy, and assessed safety and efficacy of two kinds of induction
therapies. Patients of ATG group (n=40) received single bolus ATG (Fresenius, 9
mg/kg preoperatively) and those of basiliximab group (n=42) were given two doses
of basiliximab (Simulect, Novartis, 20 mg) on days 0 and 4 post-transplant. All
patients received standard triple immunosuppressive therapy with tacrolimus (FK
506), mycophenolate mofetil (MMF), and steroids. The follow-up time was 12
months. There was no hyperacute rejection in two groups, and delayed graft
function occurred in two patients of ATG group and three of basiliximab group.
After 12-month follow-up, more acute rejection (AR) episodes were observed in
basiliximab group than ATG group (35.7% vs. 15%, P=0.032). Although highly
significant differences were observed between ATG group and basiliximab group
with respect to the incidence of thrombocytopenia (P=0.001), single bolus ATG was
well tolerated. Incidences of other adverse events and infection episodes did not
differ between two groups (P>0.05). One-year patient and graft survival was 95%,
92.5% and 95.2%, 88.1% in ATG and basiliximab group respectively (P>0.05). Both
single bolus ATG and basiliximab induction therapy achieved similar one-year
graft/patient survival. However, single bolus ATG yielded much lower AR rate than
basiliximab without increase in infection episodes and severe adverse events.
PMID- 18047939
TI - HLA-Cw allele frequencies in northern and southern Italy.
AB - The evidence for HLA-Cw antigens' involvement in the modulation of the immune
response in bone marrow transplantation, NK alloreactivity and the susceptibility
and follow-up for different diseases has been growing in the recent years, but
very few data on HLA-Cw distribution in healthy Italian subjects are available to
date. This report presents an updated description of HLA-Cw frequencies in Italy,
comparing data from the northern (Lombardia) and southern (Campania and Puglia)
parts of the country. A total of 1101 healthy subjects of Italian origin were
genotyped, and the results showed that HLA-Cw*04, Cw*07, Cw*12, and, in
particular, Cw*0401, Cw*0701, Cw*1203, were the most frequent alleles found in
all three regions analysed. Nevertheless, statistically significant differences
were observed in Cw*07 distribution, which was more frequent in the southern than
in the northern part of Italy (28.8% vs 22.4%; p=0.001; OR: 1.4; 95%CI: 1.14
1.73), and in Cw*12 distribution, which was more frequent in the north than the
south (17.0% vs 12.4%; p=0.007, OR: 1.4; 95%CI: 1.10-1.91). These results, which
give an improved pattern of distribution of HLA-Cw alleles in the Italian
population, would be useful in bone marrow transplantation and anthropological
studies. Moreover, due to the important role of HLA-Cw antigens in modulation of
the immune response and NK alloreactivity, these data would be of interest in
studies on susceptibility, follow-up and/or protection against different
diseases.
PMID- 18047940
TI - Electronic media, violence, and adolescents: an emerging public health problem.
AB - Adolescents' access to and use of new media technology (e.g., cell phone,
personal data assistant, computer for Internet access) are on the rise, and this
explosion of technology brings with it potential benefits and risks. Attention is
growing about the risk of adolescents to become victims of aggression perpetrated
by peers with new technology. In September 2006, the Centers for Disease Control
and Prevention convened a panel of experts in technology and youth aggression to
examine this specific risk. This special issue of the Journal of Adolescent
Health presents the data and recommendations for future directions discussed at
the meeting. The articles in the Journal support the argument that electronic
aggression is an emerging public health problem in need of additional prevalence
and etiological research to support the development and evaluation of effective
prevention programs.
PMID- 18047941
TI - Prevalence and predictors of internet bullying.
AB - PURPOSE: With the Internet quickly becoming a new arena for social interaction,
it has also become a growing venue for bullying among youth. The purpose of the
present study was to contrast the prevalence of Internet bullying with physical
and verbal bullying among elementary, middle, and high school boys and girls, and
to examine whether key predictors of physical and verbal bullying also predicted
Internet bullying. METHODS: As part of an ongoing, statewide bullying prevention
initiative in Colorado, 3,339 youth in Grades 5, 8, and 11 completed
questionnaires in 78 school sites during the fall of 2005, and another 2,293
youth in that original sample participated in a follow-up survey in 65 school
sites in the spring of 2006. Questionnaires included measures of bullying
perpetration and victimization, normative beliefs about bullying, perceptions of
peer social support, and perceptions of school climate. RESULTS: The highest
prevalence rates were found for verbal, followed by physical, and then by
Internet bullying. Physical and Internet bullying peaked in middle school and
declined in high school. Verbal bullying peaked in middle school and remained
relatively high during high school. Males were more likely to report physical
bullying than females, but no gender differences were found for Internet and
verbal bullying. All three types of bullying were significantly related to
normative beliefs approving of bullying, negative school climate, and negative
peer support. CONCLUSIONS: Preventive interventions that target school bullying
by changing norms about bullying and school context may also impact Internet
bullying, given the shared predictors.
PMID- 18047942
TI - Electronic bullying among middle school students.
AB - PURPOSE: Electronic communications technologies are affording children and
adolescents new means of bullying one another. Referred to as electronic
bullying, cyberbullying, or online social cruelty, this phenomenon includes
bullying through e-mail, instant messaging, in a chat room, on a website, or
through digital messages or images sent to a cell phone. The present study
examined the prevalence of electronic bullying among middle school students.
METHODS: A total of 3,767 middle school students in grades 6, 7, and 8 who attend
six elementary and middle schools in the southeastern and northwestern United
States completed a questionnaire, consisting of the Olweus Bully/Victim
Questionnaire and 23 questions developed for this study that examined
participants' experiences with electronic bullying, as both victims and
perpetrators. RESULTS: Of the students, 11% that they had been electronically
bullied at least once in the last couple of months (victims only); 7% indicated
that they were bully/victims; and 4% had electronically bullied someone else at
least once in the previous couple of months (bullies only). The most common
methods for electronic bullying (as reported by both victims and perpetrators)
involved the use of instant messaging, chat rooms, and e-mail. Importantly, close
to half of the electronic bully victims reported not knowing the perpetrator's
identity. CONCLUSIONS: Electronic bullying represents a problem of significant
magnitude. As children's use of electronic communications technologies is
unlikely to wane in coming years, continued attention to electronic bullying is
critical. Implications of these findings for youth, parents, and educators are
discussed.
PMID- 18047943
TI - The co-occurrence of Internet harassment and unwanted sexual solicitation
victimization and perpetration: associations with psychosocial indicators.
AB - PURPOSE: Previous research in offline environments suggests that there may be an
overlap in bullying and sexual harassment perpetration and victimization; however
to what extent this may be true for perpetration and victimization of Internet
harassment and unwanted sexual solicitation is unknown. METHODS: The Growing Up
with Media survey is a national cross-sectional online survey of 1,588 youth, 10
15 years old, who have used the Internet at least once in the last 6 months.
Cluster analysis was conducted with four scales: Internet harassment
perpetration, Internet harassment victimization, unwanted sexual solicitation
perpetration, and unwanted sexual solicitation victimization. RESULTS: A four
cluster solution was identified: youth with little to no involvement (n = 1326;
81.7%); perpetrator-victims of Internet harassment (n = 205; 14.3%); victims of
both Internet harassment and unwanted sexual solicitation (n = 45; 3.1%); and
perpetrator-victims of Internet harassment and unwanted sexual solicitation (n =
12; .9%). Involvement in Internet harassment and unwanted sexual solicitation was
associated with concurrent reports of psychosocial problems including substance
use; involvement in offline victimization and perpetration of relational,
physical, and sexual aggression; delinquent peers; a propensity to respond to
stimuli with anger; poor emotional bond with caregivers; and poor caregiver
monitoring as compared with youth with little to no involvement. This was
especially true for perpetrator-victims of Internet harassment and unwanted
sexual solicitation. Findings were replicated using a frequency-based definition
of involvement, suggesting that cluster analysis is useful in identifying
subgroups of youth and can be used to guide frequency-based definitions, which
are easier to implement across study samples. CONCLUSIONS: The majority of youth
are not frequently involved in Internet harassment or unwanted sexual
solicitation either as victims or as perpetrators. Among those who are, however,
psychosocial problems are apparent. Perpetrator-victims of Internet harassment
and unwanted sexual solicitation have emerged as a particularly important group
for adolescent health professionals to be aware of, identify, and treat or refer
into services immediately.
PMID- 18047944
TI - Examining the overlap in internet harassment and school bullying: implications
for school intervention.
AB - PURPOSE: As more and more youth utilize the Internet, concern about Internet
harassment and its consequences for adolescents is growing. This paper examines
the potential overlap in online and school harassment, as well as the concurrence
of Internet harassment and school behavior problems. METHODS: The Growing Up with
Media survey is a national cross-sectional online survey of 1588 youth between
the ages of 10 and 15 years old. Our main measures were Internet harassment
(i.e., rude or nasty comments, spreading of rumors, threatening or aggressive
comments) and school functioning (i.e., academic performance; skipping school;
detentions and suspensions; and carrying a weapon to school in the last 30 days).
RESULTS: Although some overlap existed, 64% of youth who were harassed online did
not report also being bullied at school. Nonetheless, youth harassed online were
significantly more likely to also report two or more detentions or suspensions,
and skipping school in the previous year. Especially concerning, youth who
reported being targeted by Internet harassment were eight times more likely than
all other youth to concurrently report carrying a weapon to school in the past 30
days (odds ratio = 8.0, p = .002). CONCLUSIONS: Although the data do not support
the assumption that many youth who are harassed online are bullied by the same
(or even different) peers at school, findings support the need for professionals
working with children and adolescents, especially those working in the schools,
to be aware of the possible linkages between school behavior and online
harassment for some youth.
PMID- 18047945
TI - Does online harassment constitute bullying? An exploration of online harassment
by known peers and online-only contacts.
AB - PURPOSE: To shed light on the nature of online harassment and the extent to which
it may be bullying by examining differences in the characteristics of harassed
youth, online harassment incidents, and distressing online harassment based on
the identity of online harassers (known peer vs. online-only contact). METHODS: A
telephone survey of a nationally representative sample of 1500 youth Internet
users, ages 10 to 17, conducted between March and June 2005. RESULTS: Nine
percent (n = 129) of youth were harassed online in the past year, 43% (n = 56) by
known peers and 57% (n = 73) by people they met online and did not know in person
(online-only contacts). Most online harassment incidents did not appear to meet
the standard definition of bullying used in school-based research and requiring
aggression, repetition, and power imbalance. Only 25% of incidents by known peers
and 21% by online-only contacts involved both repeated incidents and either
distress to targets or adult intervention. CONCLUSIONS: In many cases, the
concept of "bullying" or "cyber-bullying" may be inappropriate for online
interpersonal offenses. We suggest using "online harassment," with disclaimers
that it does not constitute bullying unless it is part of or related to offline
bullying. This would include incidents perpetrated by peers that occur entirely
online, but arise from school-related events or relationships and have school
related consequences for targets. The Internet provides opportunities for the
extension of conventional school bullying to new venues. Those who study
conventional school bullying should include online forms of the behavior in
research, prevention, and intervention paradigms.
PMID- 18047946
TI - Students' perspectives on cyber bullying.
AB - The aim of this study was to gain a better understanding of the impact of cyber
bullying on students and the possible need for prevention messages targeting
students, educators, and parents. A total of 148 middle and high school students
were interviewed during focus groups held at two middle and two high schools in a
public school district. The focus groups were approximately 45 minutes in length.
Students were divided by gender and asked a series of scripted questions by a
same-gender student assistance counselor. We found that students' comments during
the focus groups suggest that students-particularly females-view cyber bullying
as a problem, but one rarely discussed at school, and that students do not see
the school district personnel as helpful resources when dealing with cyber
bullying. Students are currently experiencing the majority of cyber bullying
instances outside of the school day; however there is some impact at school.
Students were able to suggest some basic strategies for dealing with cyber
bullying, but were less likely to be aware of strategies to request the removal
of objectionable websites, as well as how to respond as a helpful bystander when
witnessing cruel online behavior. We conclude that school districts should
address cyber bullying through a combination of policies and information that are
shared with students and parents. Schools should include cyber bullying as part
of their bullying prevention strategies and include classroom lessons that
address reporting and bystander behavior.
PMID- 18047947
TI - The impact of electronic media violence: scientific theory and research.
AB - Since the early 1960s, research evidence has been accumulating that suggests that
exposure to violence in television, movies, video games, cell phones, and on the
Internet increases the risk of violent behavior on the viewer's part, just as
growing up in an environment filled with real violence increases the risk of them
behaving violently. In the current review this research evidence is critically
assessed and the psychological theory that explains why exposure to violence has
detrimental effects for both the short and long-term is elaborated. Finally the
size of the "media violence effect" is compared with some other well-known
threats to society to estimate how important a threat it should be considered.
PMID- 18047948
TI - Education policy implications from the Expert Panel on Electronic Media and Youth
Violence.
AB - The research from the Expert Panel on Electronic Media and Youth Violence makes a
compelling case for why educators and education policymakers should care about
the effects of media on youth behavior, and the growing phenomenon of Internet
bullying and harassment. The ability of the U.S. education system to respond is
limited not only by competing instructional priorities but also by the governance
structure of the education system itself. The federal role is limited to a
proportionally small amount of funding for states and schools, to raising public
awareness, and to providing research and data. States can set priorities, make
requirements, and direct funding. Districts and schools ultimately have the most
control over prevention program selection and setting social and behavioral
norms. Key implications of the panel's research for educators and education
policymakers include: Internet bullying is correlated with school behavior
problems; Internet bullying behavior may peak in middle school; Internet bullying
shares common predictors with verbal and, to some extent, physical bullying;
Media literacy programs may mitigate the negative effects of electronic media on
youth. Specific recommendations based on these conclusions are discussed, and
research priorities for the prevention and education fields are identified.
PMID- 18047950
TI - Surf and turf wars online--growing implications of Internet gang violence.
AB - To examine use of the Internet by gangs and its affect on youth, i-SAFE Inc.
collected information from more than 100,000 students and 137 gang associates
from an urban population. Although individuals who associate with a gang have
distinguishable discrepancies in the amount of time spent online, they have
similar online behavior as compared with those who are not involved with gangs.
Additional research is necessary to develop a substantive link between gangs
online and the school and community culture.
PMID- 18047949
TI - The authority and responsibility of school officials in responding to
cyberbullying.
AB - Online social aggression, or cyberbullying, involves speech. Many incidents
involve off-campus online speech that either creates or has the potential to
create disruption at school or that may interfere with the targeted student's
ability to participate in educational activities and programs. Addressing these
situations requires an assessment of the extent of authority and responsibility
of school officials to respond. "Authority" refers to the legally justified right
to impose formal discipline. Because cyberbullying involves online speech, the
question of legal authority necessarily involves addressing the balance between
the student right of free speech and student safety and security.
"Responsibility" refers to the legal obligation under negligence theory and civil
rights laws to exercise reasonable precautions to protect students from online
social aggression and to intervene in response to reports of actual incidents.
PMID- 18047951
TI - Vaccine therapy for prostate cancer.
AB - Immunotherapy with vaccines represents a novel, targeted nontoxic modality for
the therapy of prostate cancer. Systemic immune responses to candidate prostate
cancer antigens have been induced in a tumor that has been conventionally viewed
as refractory to immunotherapy. Autologous dendritic cell vaccines expressing
prostate-specific antigens have shown promising clinical outcomes in a randomized
trial in metastatic androgen independent prostate cancer. Other vaccine
approaches include granulocyte-macrophage colony-stimulating factor modified
tumor cell vaccines and poxvirus vaccines. A combination of vaccines with
chemotherapy, radiotherapy, and other biologic agents is also being evaluated.
Efforts to optimize vaccine approaches and select ideal patient populations need
to continue because there is no evidence supporting a single superior approach.
PMID- 18047952
TI - Evaluation of the prostate peripheral zone/capsule in patients undergoing radical
cystoprostatectomy: defining risk with prostate capsule sparing cystectomy.
AB - OBJECTIVES: Prostate capsule sparing cystectomy has been performed in conjunction
with orthotopic diversion to preserve sexual function and improve urinary
control. Because concerns remain regarding incomplete surgical resection, we
evaluated the risk of urothelial and prostate cancer in a series of patients
undergoing radical cystoprostatectomy. METHODS: A total of 35 men undergoing
radical cystoprostatectomy (August 2003-August 2005) had separate submission of
the prostate peripheral zone/capsule from the prostate adenoma and bladder after
surgery. These specimens were evaluated for bladder and prostate cancer grade,
stage, and largest diameter of prostate cancer. Patient records were reviewed for
demographic and medical information. Clinical variables were compared between
patients with and without carcinoma involving the prostate using standard
statistical software. RESULTS: Of patients, 57% had cancer involving the prostate
at radical cystoprostatectomy. There were 9 patients (26%) who had urothelial
carcinoma involving the prostate; only prostatic urethral biopsy identified these
patients before radical cystoprostatectomy. Prostate adenocarcinoma was evident
in 16 of 35 (47%) patients, with a majority involving the prostate peripheral
zone/capsule (43%). There were 4 patients (11%) who had clinically significant
prostate cancer (Gleason sum >6 or tumor volume >0.5 cm(3)). Patients with
prostate cancer were significantly older than patients without prostate cancer (P
= 0.01). CONCLUSIONS: No clinical variable can confidently predict patients with
prostate cancer involving the prostate. Because a majority of patients undergoing
radical cystoprostatectomy have cancer involving their prostate, preoperative
evaluation with prostatic urethral and prostate biopsy may be useful to guide
patient selection for prostate capsule sparing cystectomy.
PMID- 18047953
TI - Is a cystogram necessary after robot-assisted radical prostatectomy?
AB - PURPOSE: Robot-assisted radical prostatectomy has become an acceptable option for
the treatment of clinically localized prostate cancer. The role of cystography in
robot-assisted radical prostatectomy was evaluated prospectively. METHODS AND
MATERIALS: A total of 80 consecutive patients who underwent robot-assisted
radical prostatectomy with an intraperitoneal approach were evaluated. There were
40 patients (group 1/surgeon A) who received a routine postoperative cystogram
before Foley catheter removal. An additional 40 patients (group 2/surgeon B) had
their catheters removed without radiographic imaging. Patient demographics,
intraoperative data, postoperative data, and complications were recorded
prospectively. RESULTS: The 2 groups were similar in age, Gleason score, and
history of previous urethral/bladder neck surgery. Univariate analysis showed no
statistical difference among case duration, estimated blood loss, need for
bladder neck reconstruction, presence of visible anastomotic leak, or use of
pelvic drains. Anastomosis time was the only variable that reached statistical
significance. Mean catheter duration (11 days) was similar between the 2 groups.
There were 3 patients from group 1 who had an anastomotic leak identified on a
cystogram. In group 2, 1 patient had a persistent mild leak based on a cystogram
obtained for urinary symptoms. No patient in either group had urinary retention,
urinary tract infection, renal failure, or bladder neck contracture develop. The
degree of postoperative urinary incontinence was similar between groups.
CONCLUSION: Foley catheter removal on postoperative days 8-10 after robot
assisted radical prostatectomy without routine cystography appears safe.
PMID- 18047954
TI - Association of cyclin D1 and E1 expression with disease progression and
biomarkers in patients with nonmuscle-invasive urothelial cell carcinoma of the
bladder.
AB - PURPOSE: To determine the association of cyclin D1 and E1 expression with bladder
cancer presence, clinical and molecular characteristics, and disease progression
in patients with nonmuscle-invasive urothelial cell carcinoma of the bladder.
METHODS: Immunohistochemical staining for cyclin D1, cyclin E1, p53, p21, p27,
pRB, KI-67, and survivin was performed on a tissue microarray containing
specimens from 9 normal controls and 74 patients with Ta, Tis, and/or T1
urothelial cell carcinoma of the bladder. Cyclin D1 and E1 immunoreactivity were
considered low when samples showed less than 10% and 30% nuclear reactivity,
respectively. RESULTS: Normal bladder urothelium from all 9 control patients
showed uniformly intense expression of cyclin D1 and cyclin E1. Cyclin D1 and E1
expression were low in 23 of 74 (31.1%) and 27 of 74 (36.5%) specimens. Kaplan
Meier analyses showed that low expression of cyclin E1 was significantly
associated with an increased probability of tumor recurrence and progression in
univariate, but not multivariate analysis. Cyclin D1 immunoreactivity was not
associated with any pathologic characteristics or clinical outcomes. Low cyclin
E1 expression was significantly associated with altered expression of p53, pRB,
KI-67, and survivin. CONCLUSIONS: Tissue expression of cyclin D1 or E1 seems not
to add independent prognostic value to standard features in patients with
nonmuscle -invasive urothelial cell carcinoma of the bladder.
PMID- 18047955
TI - Optimizing chemotherapy for transitional cell carcinoma by application of bcl-2
and bcl-xL antisense oligodeoxynucleotides.
AB - OBJECTIVE: Therapy failure after intravesical and systemic chemotherapy for
transitional cell carcinoma (TCC) is still high. Antiapoptotic proteins such as
Bcl-2 and Bcl-xL have been reported to promote chemoresistance in TCC. Targeting
bcl-2 and bcl-xL messenger ribonucleic acid with antisense oligodeoxynucleotides
(AS-ODNs) may enhance the cytotoxic effects of chemotherapeutic agents.
Therefore, we investigated the effects of bcl-2 and bcl-xL AS-ODNs in combined
treatment with conventional and new chemotherapeutic agents to evaluate the
cytotoxic effects in comparison to monotreatment. METHODS AND MATERIALS: Western
blot analysis or immunohistochemistry verified Bcl-2 and Bcl-xL expression in a
panel of human TCC cell lines that had been monotreated with cisplatin,
gemcitabine, mitomycin C, and paclitaxel. In addition, bcl-2 or bcl-xL AS-ODNs
were applied in combination with each chemotherapeutic agent. Cell viability was
determined using a standard MTT assay and Neubauer hemocytometry. RESULTS: All
cell lines responded to chemotherapeutic monotreatment in a dose-dependent
manner. Maximum cell death rates after monotreatment were 47.4% (cisplatin),
39.0% (gemcitabine), 83.4% (mitomycin C), and 54.8% (paclitaxel). After combined
treatment with chemotherapy and bcl-2 or bcl-xL AS-ODNs, cell death rates were
significantly higher (e.g., 30.3% vs. 87.2% in HT 1197 cells for monotreatment
vs. the combination of paclitaxel and bcl-xL AS-ODNs). Three-way analysis of
variance revealed that combined treatment had a significant effect on all cell
lines. CONCLUSIONS: Our study confirms that the addition of bcl-2 and bcl-xL AS
ODNs enhances the cytotoxic potential of chemotherapeutic agents in TCC cell
lines as a result of combined effects. Further trials in ex vivo and in vivo
models have to be performed to promote clinical application in patients.
PMID- 18047958
TI - Debate: Open radical prostatectomy vs. laparoscopic vs. robotic.
AB - Surgical removal of clinically localized prostate cancer remains the most
definitive treatment for the disease. The emergence of laparoscopic and robotic
radical prostatectomy (RP) as alternatives to open RP has generated considerable
discussion about the real and relative merits of each approach. Such was the
topic of a debate that took place during the 2006 Society of Urologic Oncology
meeting. The participants were Dr. William Catalona, Northwestern University,
advocating for open RP, Dr. Betrand Guillonneau, Memorial Sloan Kettering Cancer
Center advocating for laparoscopic RP, and Dr. Mani Menon, Henry Ford Hospital,
advocating for robotic RP. The debate was moderated by Dr. Joel Nelson,
University of Pittsburgh. This paper summarizes that debate.
PMID- 18047956
TI - Doxazosin-induced clusterin expression and apoptosis in prostate cancer cells.
AB - The purpose of this study was to correlate temporal expression of clusterin and
apoptosis in androgen-independent human prostate cancer cells (PC-3) treated with
25 microM doxazosin. DNA fragmentation, reverse transcriptase polymerase chain
reaction, and terminal transferase-mediated biotinylated 16-desoxy-uridene
triphosphate nick-end labeling (TUNEL) assays were used to assess degree of
apoptosis and temporal and spatial expression of clusterin mRNA and protein. DNA
fragmentation was significant at 48 hours. Clusterin mRNA expression was 3-fold
higher than control at 9 hours and was maintained over 48 hours. The TUNEL assay
showed increasing percentage of apoptotic cells and presence of clusterin after
doxazosin treatment. During doxazosin-induced apoptosis in PC3 cells, clusterin
appeared to initially accumulate in the cytoplasm and protect against apoptosis;
later, after its transport to the nucleus, clusterin was no longer able to
suppress apoptosis.
PMID- 18047959
TI - Open radical retropubic prostatectomy.
AB - For more than two decades, open radical prostatectomy has been considered the
gold standard for the surgical management of prostate cancer. More recently,
however, laparoscopic and now robotic approaches to radical prostatectomy have
become increasingly popular. It is unclear whether these techniques are
associated with any material advantage with regard to short-term convalescence.
In addition, the high positive surgical margin rates reported with robotic
prostatectomy are concerning, particularly early in the learning curve.
Additional experience with these methods and long-term follow-up data are
necessary to determine whether the cancer control and functional outcomes meet
the standards of open radical prostatectomy.
PMID- 18047960
TI - Laparoscopic radical prostatectomy: contemporary comparison with open surgery.
AB - INTRODUCTION: The optimal outcome of radical prostatectomy is to cure cancer with
the least impact on quality of life. The aim of this paper is to review the
existent literature and attempt to compare the results of the retropubic (RRP)
with the laparoscopic (LRP) approach. METHODOLOGY: Extensive Medline literature
search for terms "radical retropubic prostatectomy" and "laparoscopic radical
prostatectomy" from 1980 to 2006 to compare cancer control, functional outcomes
and morbidity for both groups. Only full length English language articles
including 100 or more patients were considered. RESULTS: The 5-year biochemical
recurrence rates range from 70-92% for the RRP vs. 82-91% for the LRP. The global
positive surgical margin rates are 12-20% for the RRP and 17-30% for the LRP. The
continence rate for the RRP varies from 70-93%; the LRP varies from 82-95% for 12
months. Considering potency 12 months after surgery, the rates are 17-75% for the
RRP vs. 52-78% for the LRP. The blood loss for the RRP ranges from 818 to 1,500
ml and 220 to 1,100 for the LRP. CONCLUSIONS: The concurrent literature lacks
randomized trials comparing the different surgical techniques. No definitive
conclusions can be drawn.
PMID- 18047961
TI - Active surveillance for favorable risk prostate cancer: rationale, risks, and
results.
AB - Active surveillance for favorable risk prostate cancer has become increasingly
popular in populations where prostate cancer screening is widespread, due to
evidence that prostate cancer screening results in the detection of disease that
is not clinically significant in many patients (i.e., untreated, would not pose a
threat to health). This approach is supported by data demonstrating that patients
who fall into the category of clinically insignificant disease can be identified
with reasonable accuracy, and that patients who are initially classified as low
risk who reclassify over time as higher risk and are then treated more
aggressively are in most cases still cured. An active surveillance approach means
(1) identifying patients who have a low likelihood of disease progression during
their lifetime, based on clinical and pathologic features of the disease and
patient age and comorbidity; (2) monitoring closely over time, (3) establishing
reasonable criteria for intervention, which will both identify more aggressive
disease in a timely fashion, and not result in excessive treatment, and (4)
meeting the communication challenge to reduce the psychological burden of living
with untreated cancer. The results of active surveillance, the criteria for
patient selection, and the appropriate triggers for intervention are reviewed.
PMID- 18047962
TI - Low risk prostate cancer in men under age 65: the case for definitive treatment.
AB - The management of low risk prostate cancer, defined as Gleason's sum 4)-alpha-l-gulopyranuronic acid was calculated using
density functional theory; good agreement was obtained between its corresponding
calculated vibrations and the experimental bands assigned to homopolyguluronic
acid blocks.
PMID- 18048013
TI - Glutathione production is regulated via distinct pathways in stressed and non
stressed cortical neurons.
AB - Peroxynitrite-mediated damage has been linked to numerous neurological and
neurodegenerative diseases, including stroke, Alzheimer's and Parkinson's
Diseases, amyotrophic lateral sclerosis and multiple sclerosis. Studies on the
toxic effects of peroxynitrite in neurons have focused primarily on adverse
effects resulting from the nitration of cellular proteins as the principal mode
of toxicity while the consequences of the modulation of kinase pathways by
peroxynitrite have received relatively less attention. Our results show that
treatment of primary rat neurons with the peroxynitrite donor, SIN-1, leads to
decreases in glutathione (GSH) levels and cell viability via a novel
extracellular-signal-related kinase (ERK)/c-Myc phosphorylation pathway and a
reduction in the nuclear expression of NF-E2-related factor-2 (Nrf2) that down
regulate the expression of glutamate cysteine ligase, the rate limiting enzyme
for GSH synthesis. The flavonoid fisetin protects against the SIN-1-mediated
alterations in ERK/c-Myc phosphorylation, nuclear Nrf2 levels, glutamate cysteine
ligase levels, GSH concentration and cell viability. We also show that inhibition
of mitogen-activated protein kinase kinase or Raf kinase can increase GSH levels
in unstressed primary rat neurons through the same ERK/c-Myc phosphorylation
pathway. Together, these results demonstrate that distinct signaling pathways
modulate GSH metabolism in unstressed and stressed cortical neurons.
PMID- 18048015
TI - WAXS and 13C NMR study of Gluconoacetobacter xylinus cellulose in composites with
tamarind xyloglucan.
AB - - Model composites, produced using cellulose from stationary cultures of the
bacterium Gluconoacetobacter xylinus and tamarind xyloglucan, were examined by
wide-angle X-ray scattering (WAXS) and CP/MAS solid-state (13)C NMR spectroscopy.
The dominant crystallite allomorph of cellulose produced in culture media with or
without xyloglucan was cellulose I(alpha) (triclinic). The presence of xyloglucan
in the culture medium reduced the cross-section dimensions of the cellulose
crystallites, but did not affect the crystallite allomorph. However, when the
composites were refluxed in buffer, the proportion of cellulose I(beta) allomorph
increased relative to that of cellulose I(alpha). In contrast, cellulose I(alpha)
remained the dominant form when cellulose, produced in the absence of xyloglucan,
was then heated in the buffer. Hence the presence of xyloglucan has a profound
effect on the formation of the cellulose crystallites by G. xylinus.
PMID- 18048016
TI - Preparation of glycoconjugates by dialkyl squarate chemistry revisited.
AB - The methyl 6-hydroxyhexanoyl glycoside of lactose was treated with each of 1,2
diaminoethane or hydrazine hydrate, and the corresponding amino amide 4 and acyl
hydrazide 13, were treated with each of squaric acid dimethyl, diethyl, dibutyl,
and didecyl esters. The monoesters were conjugated to bovine serum albumin (BSA)
at different concentrations of hapten using 0.05 and 0.5M pH 9 borate buffer.
Maximum loading was achieved faster, and the conjugation efficiency was higher,
when the conjugation was conducted at higher concentrations of both hapten and
buffer. Conjugations involving haptens 14-17 prepared from hydrazide 13 were
generally slower and less efficient than those with compounds 5-8, which were
made from amino amide 4. Maintaining pH 9 during conjugation was found to be the
most important factor in ensuring that the conjugation was a fast, highly
efficient, and reproducible process. When the pH of the conjugation mixture fell
during the reaction, resulting in decreased reaction rate or even termination of
the conjugation process, the normal course of the conjugation process could be
restored by addition of buffer salts. Hydrolysis studies with monoesters formed
from amino amide 4 under conjugation conditions showed that decyl ester 8 was the
most stable and that the methyl compound 5 was the one most readily hydrolyzed.
The stability of monoesters prepared from hydrazide 13 was similar and comparable
to the decyl ester prepared from 4. No definite advantage was found for the use
of any of the four dialkyl squarate reagents (methyl-, ethyl-, butyl-, and decyl
) for conversion of carbohydrate derivatives to species amenable for conjugation.
Nevertheless, dimethyl squarate seemed to be the most convenient reagent because
it is a crystalline, easy to handle, and commercially available material with
very good reactivity.
PMID- 18048017
TI - Effect of pH on the association behavior in aqueous solutions of pig gastric
mucin.
AB - In this study, dynamic light scattering (DLS), turbidity, and rheo-small angle
light scattering (rheo-SALS) methods have been utilized to examine the impact of
pH (1 < or = pH < or = 7) on aqueous solutions of noncommercial purified pig
gastric mucin. The asymmetric flow field-flow fractionation (AFFFF) measurements
established that the mucin sample has a high molecular weight and is
polydisperse. DLS measurements on dilute solutions of mucin disclosed large
interchain aggregates at pH 2, where the polymer has a low charge density or is
uncharged. At lower or higher values of pH, mucin is charged and the tendency of
forming interpolymer complexes is affected. In the semidilute concentration
regime, pronounced junction zones ('lumps' of polymer) are evolved and a
heterogeneous connected network is formed at pH 2, whereas the association
structures are disintegrated (smaller 'lumps') at lower or higher pH values due
to electrostatic repulsive interactions, and a more homogeneous network is
evolved. The DLS and viscosity results at pH 1 indicate the development of a
fragmented network, composed of contracted chains that are decorated by some
positive charges. The effect of shear flow on the structure of semidilute
solutions of mucin was investigated with the aid of rheo-SALS methods. The
scattered intensity revealed a strong upturn at low values of the wave vector (q)
for mucin solutions at pH 2 and pH 4, which suggests the evolution of large
association domains. At these pH values, a flow-induced anisotropy in the 2D SALS
patterns in the form of elliptical shapes was observed at high shear rates.
PMID- 18048018
TI - The effect of sodium chloride on molecular mobility in amorphous sucrose detected
by phosphorescence from the triplet probe erythrosin B.
AB - Phosphorescence from the triplet probe erythrosin B provides spectroscopic
characteristics such as emission energy and lifetime that are specifically
sensitive to molecular mobility of the local environment. This study used
phosphorescence of erythrosin B to investigate how variation in NaCl content
modulated the mobility of the amorphous sucrose matrix over the temperature range
from 5 to 100 degrees C. Addition of NaCl increased the emission energy and the
energy difference with excitation at the absorption maximum and the red edge, and
increased the lifetime by reducing the non-radiative decay rate in the glass as
well as in the undercooled liquid in a concentration dependent manner, indicating
that NaCl decreased the matrix molecular mobility. Emission energy and lifetime
increased with increasing NaCl content up to a maximum at NaCl/sucrose mole ratio
of approximately 0.5; above 0.5 mole ratio, the effect of NaCl was less
significant and appeared to be opposed by increasing plasticization by residual
water. Changes in the width of the distribution of the emission energy and
lifetime and variation in the lifetime with excitation and emission wavelength
indicated that NaCl increased the spectral heterogeneity and thus increased the
extent of dynamic site heterogeneity. These results are consistent with a
physical model in which sodium and chloride ions interact with sucrose OH by ion
dipole interactions, forming clusters of less mobile molecules within the matrix.
PMID- 18048019
TI - Exploring specificity of glycosyltransferases: synthesis of new sugar nucleotide
related molecules as putative donor substrates.
AB - We investigated the specificity of glycosyltransferases toward donor substrates
in two complementary directions. First we prepared simple N-acetyl-alpha-D
glucosamine 1-diphosphates: methyl-(2-acetamido-2-deoxy-alpha-D-glucopyranosyl)
diphosphate, benzyl-(2-acetamido-2-deoxy-alpha-D-glucopyranosyl)-diphosphate, 4
phenylbutyl-(2-acetamido-2-deoxy-alpha-D-glucopyranosyl)-diphosphate, by the
coupling of the corresponding activated alkyl phosphates with N-acetyl-alpha-D
glucosamine 1-phosphate. These diphosphates as well as 2-acetamido-2-deoxy-alpha
D-glucopyranose 1-diphosphate, tested as donors of N-acetylglucosamine in a
reaction catalyzed by Neisseria meningitidis N-acetylglucosaminyltransferase
(LgtA), proved to be devoid of activity. Evaluated as inhibitors, only 2
acetamido-2-deoxy-alpha-D-glucopyranose 1-diphosphate showed some inhibitory
activity with an IC50 value of 7 mM. In the second approach, we prepared sugar
nucleotide mimics having the diphosphate bridge replaced by the
oxycarbonylaminosulfonyl linker. The surrogate of GDP-Fuc was synthesized as a
9:1 alpha/beta anomeric mixture, in 40% yield, starting from chlorosulfonyl
isocyanate, perbenzylated l-fucopyranose, and a guanosine derivative, protected
on the exocyclic amine and secondary hydroxyl functions of ribose. Then two
deprotection steps, hydrogenolysis and enzymatic hydrolysis catalyzed by
penicillin G amidase afforded the target molecule to be tested as fucose donor
with recombinant human alpha-(1-->3/4)-fucosyltransferase (FucT-III). Tested as a
4:1 alpha/beta anomeric mixture, both in the absence and in the presence of
cationic cofactors, this new guanosine fucose conjugate proved to be ineffective.
Its inhibitory activity toward FucT-III evaluated through a competition
fluorescence assay was very poor (IC50 value of 20 mM). The surrogate of UDP
GlcNAc that was already known as its protected acetylated derivative, tested as N
acetylglucosamine donor with LgtA in the presence of Mn(2+) turned out not to be
active either.
PMID- 18048020
TI - Down modulation of human TLR3 function by a monoclonal antibody.
AB - Toll-like receptors are a family of pattern-recognition receptors that contribute
to the innate immune response. Toll-like receptor 3 (TLR3) signals in response to
foreign, endogenous and synthetic ligands including viral dsRNA, bacterial RNA,
mitochondrial RNA, endogenous necrotic cell mRNA and the synthetic dsRNA analog,
poly(I:C). We have generated a monoclonal antibody (mAb CNTO2424) that recognizes
the extracellular domain (ECD) of human TLR3 in a conformation-dependent manner.
CNTO2424 down-regulates poly(I:C)-induced production of IL-6, IL-8, MCP-1,
RANTES, and IP-10 in human lung epithelial cells. In addition, mAb CNTO2424 was
able to interfere with the known TLR3-dependent signaling pathways, namely NF
kappaB, IRF-3/ISRE, and p38 MAPK. The generation of this neutralizing anti-TLR3
mAb provides a unique tool to better understand TLR3 signaling and potential
cross-talk between TLR3 and other molecules.
PMID- 18048021
TI - Increased expression and activation of IL-12-induced Stat4 signaling in the
mucosa of ulcerative colitis patients.
AB - Inflammatory bowel diseases (IBD), including Crohn's disease (CD) and ulcerative
colitis (UC), are chronic inflammatory diseases with unsolved pathogenesis.
Imbalanced Th1/Th2 may play a role in the sustained inflammation of IBD. In
China, CD is rare but the incidence of UC has been rising steadily in the last
two decades. We investigated the expression of IL-12 (p40) and IFN-gamma, and the
activational state of Stat4 signaling in mucosal tissues at the site of disease
from 30 active UC patients in comparison with 30 healthy controls. RT-PCR
analyses revealed increased mRNA expression of IL-12 (p40) but not IFN-gamma in
UC patients. Western blot analyses discovered, for the first time, increased
levels of constitutive Stat4 in the cytoplasm and phosphorylated Stat4 in the
nucleus of mucosal cells from UC patients. We conclude that a heightened, perhaps
persistent, activational state of IL-12/Stat4, and/or IL-23/Stat4 signaling may
be present in active Chinese UC patients, and possibly involved in chronic
inflammation in UC.
PMID- 18048022
TI - National Academy of Clinical Biochemistry Laboratory Medicine Practice
Guidelines: clinical utilization of cardiac biomarker testing in heart failure.
PMID- 18048023
TI - Drosophila ESC-like can substitute for ESC and becomes required for Polycomb
silencing if ESC is absent.
AB - The Drosophila esc-like gene (escl) encodes a protein very similar to ESC. Like
ESC, ESCL binds directly to the E(Z) histone methyltransferase via its WD region.
In contrast to ESC, which is present at highest levels during embryogenesis and
low levels thereafter, ESCL is continuously present throughout development and in
adults. ESC/E(Z) complexes are present at high levels mainly during embryogenesis
but ESCL/E(Z) complexes are found throughout development. While depletion of
either ESCL or ESC by RNAi in S2 and Kc cells has little effect on E(Z)-mediated
methylation of histone H3 lysine 27 (H3K27), simultaneous depletion of ESCL and
ESC results in loss of di- and trimethyl-H3K27, indicating that either ESC or
ESCL is necessary and sufficient for di- and trimethylation of H3K27 in vivo.
While E(Z) complexes in S2 cells contain predominantly ESC, in ESC-depleted S2
cells, ESCL levels rise dramatically and ESCL replaces ESC in E(Z) complexes. A
mutation in escl that produces very little protein is viable and exhibits no
phenotypes but strongly enhances esc mutant phenotypes, suggesting they have
similar functions. esc escl double homozygotes die at the end of the larval
period, indicating that the well-known "maternal rescue" of esc homozygotes
requires ESCL. Furthermore, maternal and zygotic over-expression of escl fully
rescues the lethality of esc null mutant embryos that contain no ESC protein,
indicating that ESCL can substitute fully for ESC in vivo. These data thus
indicate that ESC and ESCL play similar if not identical functions in E(Z)
complexes in vivo. Despite this, when esc is expressed normally, escl appears to
be entirely dispensable, at least for development into morphologically normal
fertile adults. Furthermore, the larval lethality of esc escl double mutants,
together with the lack of phenotypes in the escl mutant, further suggests that in
wild-type (esc(+)) animals it is the post-embryonic expression of esc, not escl,
that is important for development of normal adults. Thus escl appears to function
in a backup capacity during development that becomes important only when normal
esc expression is compromised.
PMID- 18048024
TI - Maintenance of genomic methylation patterns during preimplantation development
requires the somatic form of DNA methyltransferase 1.
AB - DNA methylation at cytosine residues in CpG dinucleotides is a component of
epigenetic marks crucial to mammalian development. In preimplantation stage
embryos, a large part of genomic DNA is extensively demethylated, whereas the
methylation patterns are faithfully maintained in certain regions. To date, no
enzymes responsible for the maintenance of DNA methylation during preimplantation
development have been identified except for the oocyte form of DNA (cytosine-5)
methyltransferase 1 (Dnmt1o) at the 8-cell stage. Herein, we demonstrate that the
somatic form of Dnmt1 (Dnmt1s) is present in association with chromatin in MII
stage oocytes as well as in the nucleus throughout preimplantation development.
At the early one-cell stage, Dnmt1s is asymmetrically localized in the maternal
pronuclei. Thereafter, Dnmt1s is recruited to the paternal genome during
pronuclear maturation. During the first two cell cycles after fertilization,
Dnmt1s is exported from the nucleus in the G2 phase in a CRM1/exportin-dependent
manner. Antibody microinjection and small interfering RNA-mediated knock-down
decreases methylated CpG dinucleotides in repetitive intracisternal A-type
particle (IAP) sequences and the imprinted gene H19. These results indicate that
Dnmt1s is responsible for the maintenance methylation of particular genomic
regions whose methylation patterns must be faithfully maintained during
preimplantation development.
PMID- 18048026
TI - Opioid receptors are involved in the sedative and antinociceptive effects of
hesperidin as well as in its potentiation with benzodiazepines.
AB - Previous reports from our laboratory described the sedative activity of
hesperidin (hesperetin-7-rhamnoglucoside). This property is greatly increased
when the glycoside is injected jointly with diazepam and this interaction has
been shown to be synergistic. In the present work the generality of the
synergistic phenomenon is proved, since potentiation also occurs with several
other benzodiazepines, namely alprazolam, bromazepam, midazolam and
flunitrazepam. In order to advance in the study of the mechanism of action of
hesperidin, the possible participation of several brain receptors, which are
implicated in the control of numerous behavioral and physiological functions, was
explored by investigating the effects of a variety of their antagonists on
hesperidin actions. The results showed that the 5-HT2 receptor and the alpha1
adrenoceptor seem unlikely to be involved in the behavioral effects of
hesperidin. Naltrexone, a nonselective antagonist of opioid receptors, totally
blocked hesperidin effects on locomotion, and partially antagonized hesperidin
induced decreased exploration in the hole board test. Nor-binaltorphimine, a
selective kappa opioid receptor antagonist, was able to partially block
hesperidin effects on locomotor activity. Furthermore, hesperidin-induced
antinociception was partially blocked by naltrexone, and potentiated by co
administration with alprazolam. Hence, the participation of the opioid system in
the sedative, antinociceptive and potentianting effects of hesperidin with
benzodiazepines in mice is highly probable. Our results suggest a possible
beneficial use of the association of hesperidin with benzodiazepines, not only to
improve human sedative therapy, but also in the management of pain.
PMID- 18048025
TI - AMP-activated protein kinase is involved in hormone-induced mouse oocyte meiotic
maturation in vitro.
AB - We have previously shown that AMP-activated protein kinase (AMPK) can induce the
resumption of meiosis in mouse oocytes maintained in meiotic arrest in vitro. The
present study was carried out to determine whether AMPK activation is involved in
hormone-induced maturation. Follicle-stimulating hormone (FSH) and the EGF-like
peptide, amphiregulin (AR), are potent inducers of maturation in cumulus cell
enclosed oocytes (CEO). Within 3 h of FSH treatment, phospho-acetyl CoA
carboxylase (ACC) levels were increased in germinal vesicle (GV)-stage oocytes
when compared to non-stimulated controls and remained elevated throughout 9 h of
culture, indicating AMPK activation. A similar response to AR was observed after
6 h of culture. Using anti-PT172 antibody (binds only to activated AMPK), Western
analysis demonstrated active AMPK in both FSH- or AR-treated GV-stage oocytes
within 6 h. The AMPK inhibitors, compound C and adenine 9-beta-d
arabinofuranoside (araA), blocked FSH- or AR-induced meiotic resumption and ACC
phosphorylation, further supporting a causal role for AMPK in hormone-induced
meiotic resumption. Immunocytochemistry using anti-PT172-AMPK antibody showed an
increased diffuse cytoplasmic staining and more intense punctate staining in the
germinal vesicles of oocytes following treatment with the AMPK activator 5
aminoimidazole-4-carboxamide-1-beta-d-ribofuranoside (AICAR) or with FSH or AR,
and this staining was eliminated by compound C or a blocking peptide for the anti
PT172 antibody. Staining of oocytes from hCG-stimulated mice with the anti-PT172
antibody also showed pronounced label in the germinal vesicles within 1-2 h.
Furthermore, in oocytes from all groups, active AMPK was always observed in
association with the condensed chromosomes of maturing oocytes. Taken together,
these results support a role for AMPK in FSH and AR-induced maturation in vitro
and hCG-induced maturation in vivo.
PMID- 18048027
TI - Effects of dual endothelin receptor blockade on sympathetic activation and
arrhythmogenesis during acute myocardial infarction in rats.
AB - The effects of dual (ETA and ETB) endothelin receptor blockade on ventricular
arrhythmogenesis during acute myocardial infarction are not well defined. We
randomly allocated Wistar rats to bosentan (100 mg/kg daily, n=24), a dual
endothelin receptor antagonist, or vehicle (n=23). After 7 days of treatment,
myocardial infarction was induced by permanent coronary ligation. Ventricular
tachyarrhythmias were evaluated for 24 h following ligation, using a miniature
telemetry electrocardiogram recorder. Action potential duration was measured from
monophasic epicardial recordings and sympathetic activation was assessed by heart
rate variability and catecholamine serum level measurements. Compared to controls
(1012+/-185 s), bosentan (59+/-24 s) markedly decreased (P<0.00001) the total
duration of ventricular tachyarrhythmias during the delayed (1-24 h) phase post
ligation, with a modest effect during the early (0-1 h) phase (132+/-38 s, versus
43+/-18 s, respectively, P=0.053). Treatment did not affect infarct size or total
mortality. Action potential duration at 90% repolarization prolonged in controls
(from 93.1+/-4.7 ms to 117.6+/-6.9 ms), displaying increased temporal dispersion
(from 4.14+/-0.45 ms to 10.42+/-2.51 ms, both P<0.001), but was preserved in
treated animals. Bosentan decreased norepinephrine, but increased epinephrine
levels 24 h post-ligation. Low frequency spectra of heart rate variability, an
index of net sympathetic tone, were lower in bosentan-treated rats. Dual
endothelin-1 receptor blockade decreases ventricular tachyarrhythmias during
myocardial infarction without reperfusion, by preventing repolarization
inhomogeneity. Diverse treatment effects on sympathetic activation may ameliorate
the antiarrhythmic action.
PMID- 18048028
TI - Effect of GCP-02, a PPARalpha/gamma dual activator, on glucose and lipid
metabolism in insulin-resistant mice.
AB - This paper reports on the effect of GCP-02, a dual activator of the peroxisome
proliferator-activated receptors alpha/gamma (PPARalpha/gamma), on glucose and
lipid metabolism in insulin-resistant obese mice induced by monosodium glutamate.
The mice were divided into four groups on the basis of treatment: control group,
rosiglitazone (positive control) (7 micromol/kg), and low- and high-dosage GCP-02
(7 micromol/kg and 3.5 micromol/kg, respectively). Drugs were given orally once a
day for 19 days, and mice underwent testing for insulin tolerance, oral glucose
tolerance and gluconeogenesis, and plasma cholesterol, triglyceride and free
fatty acid levels. Mice were sacrificed, and body length and weight were
measured; intraperitoneal adipose, heart and liver weighed; and plasma alanine
aminotransferase (ALT) level and aspartate aminotransferase (AST) activity
measured. Liver, soleus muscle and myocardium were assayed for glycogen,
triglyceride and free fatty acid content and myocardia tested for superoxide
dismutase (SOD) activity and malonaldehyde content. RT-PCR revealed expression of
insulin receptor substrate 1 and 2 (IRS1, IRS2) and related genes in liver. GCP
02 had a more powerful effect than rosiglitazone on improving insulin
sensitivity, ameliorating glucose tolerance, suppressing L-alanine-induced
gluconeogenesis, and decreasing plasma levels of cholesterol, triglyceride and
free fatty acid. It reduced body weight in control mice, significantly lowered
hepatic content of glycogen, triglyceride and free fatty acid and myocardial
content of triglyceride, and increased myocardial SOD activity. IRS2 mRNA was
down-regulated in control mice but up-regulated by GCP-02. Thus, GCP-02 is a
potential candidate for the prevention and therapy of diseases associated with
insulin resistance such as type 2 diabetes mellitus and cardiovascular disease.
PMID- 18048029
TI - Chronic morphine treatment decreases the Cav1.3 subunit of the L-type calcium
channel.
AB - Voltage-gated L- and N-type calcium channels (VOCs) are implicated in the
activity of morphine, but their contribution to the expression of opioid
tolerance remains uncertain. L- and N-type VOCs are heteropentamers of alpha(1),
alpha(2)delta, beta, and gamma subunits. The alpha(1) subunit forms both the ion
pore and the binding site for ligands. The Ca(v)1.2 and Ca(v)1.3 are the neuronal
dihydropyridine (DHP)-sensitive L-type channel subunit types. The Ca(v)2.2
subunit is found in omega conotoxin GVIA-sensitive N-type calcium channels.
Ca(v)1.2 VOC gating properties are phosphorylation-dependent with many kinases
implicated. We hypothesized that changes in channel subunit structure or
phosphorylation state, induced by chronic opioid exposure, may in part explain
changes in calcium regulation observed both in vivo and in vitro. Antibodies,
specific for the Ca(v)1.2, Ca(v)1.3, and Ca(v)2.2 subunits of VOCs were employed
with Western immunoassays to access whether chronic morphine treatment had an
effect on receptor protein levels. The L-type channel Ca(v)1.3 protein, but not
the Ca(v)1.2 protein or phosphorylation state, significantly decreased upon
chronic morphine treatment. The Ca(v)2.2 subunit protein of the N-type channel of
VOCs remained unchanged. The Ca(v)1.3 subunit modification may represent one of
many potential adaptive changes in tolerance to morphine-induced changes in
intracellular calcium.
PMID- 18048031
TI - Effects of sex, age, and season on plasma steroids in free-ranging Texas horned
lizards (Phrynosoma cornutum).
AB - The Texas horned lizard (Phrynosoma cornutum) is protected in several states due
to its apparently declining numbers; information on its physiology is therefore
of interest from both comparative endocrine and applied perspectives. We
collected blood samples from free-ranging P. cornutum in Oklahoma from April to
September 2005, spanning their complete active period. We determined plasma
concentrations of the steroids, progesterone (P), testosterone (T), and
corticosterone (CORT) by radioimmunoassay following chromatographic separation
and 17beta-estradiol (E2) by direct radioimmunoassay. T concentrations in
breeding males were significantly higher than in non-breeding males. P showed no
significant seasonal variation within either sex. CORT was significantly higher
during the egg-laying season compared to breeding and non-breeding seasons for
adult females and it was marginally higher in breeding than in non-breeding males
(P=0.055). CORT concentrations also significantly increased with handling in non
breeding males and egg-laying females. Perhaps most surprisingly, there were no
significant sex differences in plasma concentrations of P and E2. Furthermore,
with respect to seasonal differences, plasma E2 concentrations were significantly
higher in breeding females than in egg-laying or non-breeding females, and they
were significantly higher in breeding than in non-breeding males. During the non
breeding season, yearling males exhibited higher E2 concentrations than adult
males; no other differences between the steroid concentrations of yearlings and
adults were detected. In comparison to other vertebrates, the seasonal steroid
profile of P. cornutum exhibited both expected and unexpected patterns, and our
results illustrate the value of collecting such baseline data as a springboard
for appropriate questions for future research.
PMID- 18048030
TI - Benidipine, an anti-hypertensive drug, inhibits reactive oxygen species
production in polymorphonuclear leukocytes and oxidative stress in salt-loaded
stroke-prone spontaneously hypertensive rats.
AB - Oxidative stress is associated with exacerbation of renal injuries in
hypertension. In clinical studies benidipine hydrochloride (benidipine), a
dihydropyridine calcium channel blocker with antioxidant activity, reduced
oxidative stress. However, the mechanism of suppression of oxidative stress
remains to be fully characterized. Reactive oxygen species production by
polymorphonuclear leukocyte plays important pathological roles in hypertension.
Therefore, we examined the effects of benidipine both on reactive oxygen species
production of human polymorphonuclear leukocytes and oxidative stress of an
animal model. Human peripheral polymorphonuclear leukocytes or polymorphonuclear
leukocyte-like differentiated HL-60 cells were used to examine effects of
benidipine (0.1-30 microM) on formyl-Met-Leu-Phe-induced reactive oxygen species
production, calcium mobilization, NADPH oxidase activation and phosphorylation of
protein kinase C substrates. High-salt (8% NaCl) loaded stroke-prone
spontaneously hypertensive rats were treated with or without benidipine (1, 3, 10
mg/kg/day) for 2 weeks, and thiobarbituric acid reactive substances, a plasma
oxidative stress marker, and renal expression of oxidative stress-induced genes
were measured. Benidipine concentration-dependently suppressed formyl-Met-Leu-Phe
induced reactive oxygen species production in polymorphonuclear leukocytes more
potently than other calcium channel blockers such as amlodipine, azelnidipine,
nitrendipine and nifedipine. Benidipine partially inhibited all of intracellular
Ca(2+) elevation, protein kinase C activation and NADPH oxidase activation. Salt
loading in stroke-prone spontaneously hypertensive rats augmented plasma
thiobarbituric acid reactive substances levels; renal dysfunction; and renal
expression of transforming growth factor-beta, collagen I and collagen III mRNAs;
which were attenuated by benidipine treatment. These results indicate that
benidipine prevents the polymorphonuclear leukocyte-derived reactive oxygen
species production, which is due at least in part to its antioxidant action and
inhibition of Ca(2+)/protein kinase C/NADPH oxidase signaling. The attenuation of
reactive oxygen species production might contribute to the drug's reduction of
oxidative stress and renal injuries in hypertension.
PMID- 18048032
TI - Surgical wound management.
PMID- 18048033
TI - Necrotising fasciitis of upper and lower limb: a systematic review.
AB - Necrotising fasciitis is a rapidly progressive, life threatening soft tissue
infection. In a significant proportion of patients, the extremities are involved
as a result of trauma, needle puncture or extravasation of drugs, often leading
to limb loss and devastating disability. In this systematic review of necrotising
fasciitis of the upper and lower extremities, we report on the clinical
characteristics, the predisposing factors, the associated diseases, the
pathogenic bacteria, the surgical treatment and the final outcome in terms of
limb loss and mortality. Data for a total of 451 patients were analysed for each
parameter of interest. A percentage of 22.3% of the reviewed patients underwent
amputation or disarticulation of a limb following failure of multiple
debridements to control infection and the mortality rate was estimated as high as
21.9%.
PMID- 18048034
TI - Role of biological modifiers regulating the immune response after trauma.
AB - Trauma induces a profound immunological dysfunction. This is characterised by an
early state of hyperinflammation, followed by a phase of immunosuppression with
increased susceptibility to infection and multiple organ failure. Therapeutic
strategies directed at restoring immune homeostasis after traumatic injuries have
largely failed in translation from "bench to bedside". The present review
illustrates the role of biological modifiers of the posttraumatic immune response
by portraying different modalities of therapeutic immune modulation. The emphasis
is placed on anti-inflammatory (steroids) and immune-stimulatory (interferon)
pharmacological strategies and modified resuscitative strategies, as well as more
unconventional immunomodulatory approaches, such as immunonutrition.
PMID- 18048035
TI - Immunological reactions secondary to blood transfusion.
AB - Multiply injured patients are at risk of developing the systemic inflammatory
response syndrome, which may involve multiple organ failure, infections/sepsis,
longer hospital stay and mortality. These systemic complications have been
associated with immunological changes in which several components of the immune
system have been implicated. Recent studies have shown that blood transfusions
may increase these changes. The immunomodulating effects of packed red blood
cells have been identified and attributed to contaminating leukocytes and
inflammatory mediators in the supernatant liquid. This review will outline
immunological consequences of allogeneic blood transfusion, with a special focus
on trauma cases.
PMID- 18048036
TI - Modulation of immune response by head injury.
AB - Despite the fact that traumatic brain injury (TBI) is a silently growing
epidemic, we are yet to understand its multifaceted pathogenesis, where various
cellular pathways are initiated in response to both the primary mechanical insult
and secondary physiologically mediated injury. Although the brain has
traditionally been considered an immunologically privileged site, evidence to the
contrary exists in studies of central nervous system (CNS) pathology, in
particular TBI. Transmigration of leukocytes following blood brain barrier (BBB)
disruption results in activation of resident cells of the CNS, such as microglia
and astrocytes, to possess immunological function. Both infiltrating peripheral
immune cells and activated resident cells subsequently engage in the intrathecal
production of cytokines, important indicators of the presence of
neuroinflammation. Cytokines can either promote this neurotoxicity, by
encouraging excitotoxicity and propagating the inflammatory response, or
attenuate the damage through neuroprotective and neurotrophic mechanisms,
including the induction of cell growth factors. Certain cytokines perform both
functions, for example, interleukin-6 (IL-6). This review article discusses the
notion that the inflammatory response to TBI is no longer a peripherally mediated
phenomenon, and that the CNS significantly influences the immunological sequence
of events in the aftermath of injury.
PMID- 18048038
TI - Assessment of the clinical course with inflammatory parameters.
AB - Inflammatory changes after trauma depend on the severity and the distribution of
the injury and can be modified by the medical treatment. They precede the
development of organ dysfunction and may be used for monitoring purposes. Among
these, pro-inflammatory cytokines appear to be the most reliable parameters.
PMID- 18048037
TI - Trauma and immune response--effect of gender differences.
AB - A major consequence of traumatic injury is immunosuppression. Findings from
previous studies suggest that the depression of immune functions is severe in
young males, ovariectomised and aged females. In contrast, the immune functions
in proestrus females following trauma-haemorrhage are maintained. Studies have
also shown that the survival rate in proestrus females following trauma
haemorrhage and the induction of subsequent sepsis is significantly higher than
in age-matched males and ovariectomised females. Furthermore, administration of
female sex hormone 17beta-oestradiol in males and ovariectomised females after
trauma-haemorrhage prevents the suppression of immune response. Thus, these
findings suggest that sex hormones play a significant role in shaping the host
response following trauma. This article reviews studies delineating the mechanism
by which sex hormones regulate immune cell functions in the experimental model of
trauma-haemorrhage. The findings from the studies reviewed in this article
suggest that sex steroids can be synthesised by the immune cell. The findings
further indicate that T cell and macrophages express receptors for androgen and
oestrogen. Since these cells are also the cells that produce cytokines, local
synthesis of active steroids in these cells may become the significant factor in
modulating their cytokine production.
PMID- 18048039
TI - Immunoparalysis after multiple trauma.
AB - The immunological sequelae following multiple trauma constitute an ongoing
challenge in critical care management. The overall immune response to multiple
trauma is a multilevel complex interdependently involving neurohormonal, cellular
and haemodynamic factors. Immunoparalysis is characterised by a reduced capacity
to present antigens via downregulated HLA-DR and an unbalanced monocyte-T cell
interaction. Trauma-induced death of functionally conducive immune cells in the
early recovery phase is significant in the emergence of posttraumatic multiple
organ dysfunction or failure. Novel findings may contribute to more appropriate
immunomonitoring and improved treatment. We must consider the preservation and
support of immune function as the ultimate therapeutic goal, which may override
the current strategy of simply antagonising excessive pro- or anti-inflammatory
immune responses of the severely injured person. This review focuses on the
injury-induced conduct of key immune effector cells and associated effects
promoting immunoparalysis after multiple trauma.
PMID- 18048040
TI - Systemic inflammation after trauma.
AB - Trauma is still one of the main reasons for death among the population worldwide.
Mortality occurring early after injury is due to "first hits", including severe
organ injury, hypoxia, hypovolaemia or head trauma. Massive injury leads to
activation of the immune system and the early inflammatory immune response after
trauma has been defined as systemic inflammatory response syndrome (SIRS).
"Second hits" such as infections, ischaemia/reperfusion or operations can further
augment the pro-inflammatory immune response and have been correlated with the
high morbidity and mortality in the latter times after trauma. SIRS can lead to
tissue destruction in organs not originally affected by the initial trauma with
subsequent development of multi-organ dysfunction (MOD). The initial pro
inflammatory response is followed by an anti-inflammatory response and can result
in immune suppression with high risk of infection and sepsis. Trauma causes
activation of nearly all components of the immune system. It activates the
neuroendocrine system and local tissue destruction and accumulation of toxic
byproducts of metabolic respiration leads to release of mediators. Extensive
tissue injury may result in spillover of these mediators into the peripheral
bloodstream to further maintain and augment the pro-inflammatory response.
Hormones like ACTH, corticosteroids and catecholamines as well as cytokines,
chemokines and alarmins play important roles in the initiation and persistence of
the pro-inflammatory response after severe injury. The purpose of this review is
therefore to describe the immunological events after trauma and to introduce
important mediators and pathways of the inflammatory immune response.
PMID- 18048041
TI - Complete unilateral absence of fallopian tube.
PMID- 18048042
TI - Cervical LEEP margin status and post-LEEP Pap smear follow-up.
PMID- 18048043
TI - Placental stem cell markers in pre-eclampsia.
AB - OBJECTIVE: To investigate the placental CD34, CD44, and leukemia inhibitory
factor (LIF) levels in normotensive and pre-eclamptic women. METHOD: The study
population consisted of 21 women with pre-eclampsia. Twenty normotensive pregnant
women served as controls and were matched to pre-eclamptic patients by
gestational age at delivery. Decidual samples obtained from the central part of
the placenta were stored at -70 degrees C until analysis. CD44 and LIF were
quantified in homogenates by enzyme-linked immunosorbent assay (ELISA), while
CD34 was quantified by flow cytometry. RESULTS: The pre-eclamptic and
normotensive groups were well matched. There were no significant differences in
age, parity, weight, and gestational age at birth between the groups (P>0.05).
The mean placental levels of CD34 (6.55+/-2.48 vs 3.16+/-1.23), CD44 (385.24+/
178.85 vs 157.75+/-31.73, and LIF (140+/-51.11 vs 96.25+/-31.62) were
significantly higher in pre-eclamptic compared with normotensive women,
respectively (P<0.05). CONCLUSION: Higher levels of CD34, CD44, and LIF were
found in the placentas of pre-eclamptic compared with normotensive women.
PMID- 18048044
TI - The silencing of cysteine proteases in Fasciola hepatica newly excysted juveniles
using RNA interference reduces gut penetration.
AB - Probing protein function in parasitic flatworms is hampered by the difficulties
associated with the development of transgenic approaches. Although RNA
interference (RNAi) in schistosomes shows much promise, it has not been reported
in other trematodes. Here, we show the successful silencing of the cysteine
proteases cathepsin B and L in the infective stage of Fasciola hepatica newly
excysted juveniles (NEJs). Silencing resulted in marked reductions in target
transcript levels and significant diminution in the encoded proteins in the gut.
RNAi of either enzyme in NEJs induced transient, abnormal locomotory phenotypes,
and significantly reduced penetration of the rat intestinal wall.
PMID- 18048045
TI - Quantification of fenitrothion and its main metabolites in poplar leaves by
isotope dilution gas chromatography-mass spectrometry coupled with solid-phase
microextraction.
AB - A sensitive and specific method for determining fenitrothion and its main
metabolites, 3-methyl-4-nitrophenol and fenitrooxon, in poplar leaves using
deuterated isotopes as the internal standard is described. The analytes and the
labeled isotopes were extracted from leaves by solid-phase microextraction and
subsequently analysed by gas chromatography coupled with mass spectrometry. The
method had a chromatographic run time of 17.0 min and good linearity over the
range 0.01-10 mg kg(-1). The detection limits ranged between 2.5 and 0.6 microg
kg(-1). The isotopic dilution technique allowed improving significantly the
repetitivity even using different fibers with the same coating (RSD<5.1%). The
method was applied successfully to study the persistence of fenitrothion in
forestal matrices in a poplar forest after cannon spray application of the
insecticide.
PMID- 18048046
TI - Liquid chromatographic determination of enrofloxacin in nasal secretions and
plasma of healthy pigs using restricted access material for on-line sample clean
up.
AB - A new fully automated method was developed for the quantitative analysis of an
antibacterial drug, enrofloxacin (ENRO), in both nasal secretions and plasma
samples of healthy pigs. The method is based on the use of a pre-column packed
with restricted access material (RAM), namely RP-18 ADS (alkyl diol silica), for
on-line sample clean-up coupled to a liquid chromatographic (LC) column
containing octadecyl silica. The only off-line sample preparation was the 50-fold
dilution of nasal secretions and plasma samples in the washing liquid composed of
25 mM phosphate buffer of pH 7.4. A 10 microl diluted sample volume was injected
directly onto the pre-column and washed for 7 min. By rotation of a switching
valve, the analyte of interest was eluted in the back-flush mode with the LC
mobile phase which consisted in a mixture of 25 mM phosphate buffer of pH 3.0 and
acetonitrile according to a segmented gradient elution. By a new rotation of the
switching valve, the pre-column and the analytical column were equilibrated for 3
min with the initial mobile phases. The flow-rate was 0.8 ml min(-1) for the
washing liquid and 1.5 ml min(-1) for the LC mobile phase. ENRO was detected by
fluorescence at excitation and emission wavelengths of 278 and 445 nm,
respectively. Finally, the developed method was validated using an original
strategy based on total measurement error and accuracy profiles as a decision
tool. The limits of quantitation of ENRO in plasma and in nasal secretions were
30.5 and 91.6 ng/ml, respectively. The validated method was then applied
successfully to the determination of ENRO in healthy pigs treated by
intramuscular injection at different doses (2.5, 10 and 30 mg/kg bodyweight) for
a pilot study. This method could be also used for the simultaneous analysis of
ENRO and its main metabolite, ciprofloxacin (CIPRO).
PMID- 18048048
TI - Prediction of protein retention times in gradient hydrophobic interaction
chromatographic systems.
AB - A two-step methodology has been developed for the prediction of protein retention
time in linear-gradient HIC systems. Isocratic retention parameters were
determined from ln(k')-salt concentration plots for a number of commercially
available proteins with a range of properties. Quantitative structure property
relationship (QSPR) models based on a support vector machine (SVM) approach were
generated for predicting isocratic retention parameters for proteins not included
in the model generation. The predicted parameters were then used to calculate
protein gradient retention times and the results indicate that this approach is
well suited for predicting experimental gradient retention data. The approach
presented in this paper may have implications for HIC methods development at both
the bench and process scales.
PMID- 18048047
TI - High-performance liquid chromatography enantioseparation of proton pump
inhibitors using the immobilized amylose-based Chiralpak IA chiral stationary
phase in normal-phase, polar organic and reversed-phase conditions.
AB - The chiral resolving ability of the amylose-based Chiralpak IA chiral stationary
phase towards omeprazole and other proton pump inhibitors under reversed-phase
conditions was investigated. Organic modifier-buffer demonstrated to be a valid
alternative elution mode with respect to conventional polar organic and normal
phases. No evidence of deterioration of performance of the enantioselective
column after several multimodal cycles of elution was observed. Mobile phase
composition was systematically changed in order to modulate the enantiomer
elution order of set of compounds studied. A very simple method based on on-line
detection of optical rotational sign during enantioselective HPLC was developed
to assign the absolute configuration and enantiomeric elution order.
PMID- 18048049
TI - Co-electrospun SiO2 hollow nanostructured fibers with hierarchical walls.
AB - SiO(2) hollow nanostructured fibers with hierarchical walls have been fabricated
by the sol-gel combined two-capillary spinneret co-electrospinning technique
using triblock copolymer as the porous directing agent. The as-prepared SiO(2)
hollow nanostructured fibers were as long as 10 cm with the outer diameter of 400
600 nm and shell thickness of 50-200 nm, and their walls contained the random
mesopores with the size of 6.6 nm and the micropores with size of 0.6 nm based on
the N(2) absorption-desorption isotherm.
PMID- 18048050
TI - Water evaporation rates across hydrophobic acid monolayers at equilibrium
spreading pressure.
AB - The effect of alkanoic acid [CH(3)(CH(2))(n-2)COOH; HCn] and perfluoroalkanoic
acid [CF(3)(CF(2))(n-2)COOH; FCn] monolayers on the water evaporation rate was
investigated by thermogravimetry tracing the decrease in amount of water with
time. The evaporation rate from the surface covered by a monolayer was measured
as a function of temperature and hydrophobic chain length of the acids, where the
monolayer was under an equilibrium spreading pressure. From thermal behavior of
the crystallized acids, their solid states are C-type in crystalline state over
the temperature range from 298.2 to 323.2 K. The dry air was flowed through a
furnace tube of a thermogravimetry apparatus at the flow rate of 80 mL min(-1),
where the evaporation rate becomes almost constant irrespective of the flow rate.
The temperature dependence of the evaporation rate was analyzed kinetically to
evaluate the activation energy and thermodynamics values for the activated
complex, which demonstrated that these values were almost the same for both
alkanoic acids and perfluoroalkanoic acids, although the effect of
perfluoroalkanoic acids on the evaporation rate was smaller than that of
corresponding hydrogenated fatty acids. The difference in the evaporation rate
between FCn and HCn was examined by atomic force microscopy (AFM), Brewster angle
microscopy (BAM), surface potential (DeltaV) at equilibrium spreading pressure,
and Langmuir curve (pi-A isotherm), and their results were consistent and
supported the difference.
PMID- 18048051
TI - Histopathological features of ocular leishmaniosis in the dog.
AB - Canine leishmaniosis (CL) can present with multiple clinical signs and ocular
disease is reported to occur in almost 25% of affected dogs. The purpose of the
present study was to characterize the nature of inflammation within the eyes of
dogs with leishmaniosis and to determine whether parasites were present in these
lesions. Eyes from 60 dogs with confirmed leishmaniosis that died or were
humanely destroyed over a 4 year period were included in the study. Sections of
formalin-fixed globes were stained with haematoxylin and eosin (HE) and subjected
to immunohistochemistry using a Leishmania-specific antibody. Clinically evident
ocular signs were present in 15 of 60 dogs (13 bilaterally and 2 unilaterally).
Thirty-five of 60 dogs received some form of anti-protozoal treatment. In 36 of
120 eyes (30%) a granulomatous inflammatory infiltrate was found and in 32 of 120
eyes (26.6%) the parasite was identified immunohistochemically within the globe.
Ocular tissues affected, in order of frequency, were conjunctiva and limbus,
ciliary body, iris, cornea, sclera and iridocorneal angle, choroid and the optic
nerve sheath. Different microscopical patterns were defined in each of these
structures. Leishmania organisms and associated inflammation can be found in
different ocular tissues, accounting for some of the ocular clinical signs
described for this disease.
PMID- 18048052
TI - Extraction and identification of electroimmunoprecipitated proteins from agarose
gels.
AB - A method for the identification of protein antigens captured in
electroimmunoprecipitates was developed. Different antigen-antibody precipitates
were generated by agarose gel immunoelectrophoresis. The immunoprecipitates were
excised and various methods for extracting and dissociating the precipitates were
systematically studied by analyzing for protein components of the extracts using
peptide mass fingerprinting after sodium dodecyl sulfate-polyacrylamide gel
electrophoresis. The optimal recovery of antigen was obtained by 24-h extraction
at 37 degrees C using a minimal volume of 0.06 M Tris-HCl, 10% SDS (pH 7). This
simple and robust method is useful for the characterization of antibody
specificity. It can also be used to identify antigens generating unknown
precipitates in crossed immunoelectrophoresis with polyspecific antisera,
including human IgG-antigen complexes electroimmunoprecipitated by secondary
antibodies. Thus, the method may prove useful as an additional technique in
biomarker discovery.
PMID- 18048053
TI - Infection by the microsporidium Vairimorpha necatrix (Microspora: Microsporidia)
elevates juvenile hormone titres in larvae of the tomato moth, Lacanobia oleracea
(Lepidoptera: Noctuidae).
AB - The effects of infection by a microsporidium, Vairimorpha necatrix (Kramer), on
the endogenous levels of juvenile hormones in tomato moth (Lacanobia oleracea L.)
larvae were investigated. Levels of juvenile hormone II (JH II) were 10-fold
greater in the infected larvae on day two of the sixth stadium but no significant
difference was observed on day seven. Juvenile hormone I (JH I) was also detected
in day two and day seven sixth instar infected larvae but was not detected in non
infected larvae. The duration of the fifth and sixth stadia was significantly
longer for infected larvae when compared with non-infected larvae. No evidence
was found to suggest that supernumerary moults are a feature of infection by V.
necatrix in L. oleracea larvae. Experiments were performed to determine whether
the elevation in JH levels, which probably prevents pupation, is an adaptive
mechanism of the microsporidium for extending the growth phase of the host,
thereby allowing increased spore production. A proportion of infected larvae were
collected on days 9 and 24 of the sixth stadium and spore extracts prepared from
each larva. These days represent the average duration of the sixth stadium
required for uninfected larvae to reach pupation, and the average number of days
that V. necatrix-infected larvae survive in the sixth stadium before dying from
infection. The mean spore yields from infected larvae 24 days into the sixth
stadium were significantly higher than the spore yields obtained from day nine
sixth instar larvae. The hypothesis that V. necatrix manipulates host
endocrinology (i.e. prolong the host larval state to maximise spore yield) is
discussed in context with the results obtained.
PMID- 18048054
TI - Surface antigens of Xenorhabdus nematophila (F. Enterobacteriaceae) and Bacillus
subtilis (F. Bacillaceae) react with antibacterial factors of Malacosoma disstria
(C. Insecta: O. Lepidoptera) hemolymph.
AB - Previous research established different interactions of the insect pathogen,
Xenorhabdus nematophila and nonpathogen, Bacillus subtilis, with antimicrobial
hemocytes and humoral factors of larval Malacosoma disstria [Giannoulis, P.,
Brooks, C.L., Dunphy, G.B., Mandato, C.A., Niven, D.F., Zakarian, R.J., 2007.
Interaction of the bacteria Xenorhabdus nematophila (Enterobacteriaceae) and
Bacillus subtilis (Bacillaceae) with the hemocytes of larval Malacosoma disstria
(Insecta: Lepidoptera: Lasicocampidae). J. Invertebr. Pathol. 94, 20-30]. The
antimicrobial systems were inhibited by X. nematophila and stimulated by B.
subtilis. The bacterial surface antigens participating in these reactions were
unknown. Thus, herein the effects of lipopolysaccharide (endotoxin) from X.
nematophila and lipoteichoic acid from B. subtilis on the larval M. disstria
immune factors, the hemocytes and phenoloxidase, were determined. Endotoxin
elevated the level of damaged hemocytes limiting the removal of X. nematophila
from the hemolymph and enhancing the rapid release of bacteria trapped by
nodulation. Similar effects were observed with the lipid A moiety of the
endotoxin. The effects of lipopolysaccharide and lipid A on the hemocyte
activities were abrogated by polymyxin B (an antibiotic that binds to lipid A)
confirming lipopolysaccharide as the hemocytotoxin by virtue of the lipid A
moiety. Lipoteichoic acid elicited nodulation and enhanced phenoloxidase
activation and/or activity. Although lipoidal endotoxin and lipid A inhibited
phenoloxidase activation they enhanced the activity of the enzyme. Apolipophorin
III precluded the effects of lipopolysaccharide, lipid A, and lipoteichoic acid
on the hemocytes and prophenoloxidase until the antigens exceeded a critical
threshold.
PMID- 18048055
TI - Calmodulin kinase II inhibition disrupts cardiomyopathic effects of enhanced
green fluorescent protein.
AB - Transgenic expression of enhanced green fluorescent protein (eGFP) in myocardium
can result in cardiac dysfunction and cardiomyopathy, presumably through toxic
effects that disrupt normal cellular signaling. The multifunctional Ca(2+)- and
calmodulin-dependent protein kinase II (CaMKII) is widely expressed in myocardium
and CaMKII activity is increased in human and animal models of cardiomyopathy, so
we hypothesized that increased CaMKII activity is important for cardiomyopathy
due to transgenic expression of eGFP. Here we report that cardiomyocyte-delimited
eGFP over-expression causes increased CaMKII activity that predicts left
ventricular dilation and dysfunction. On the other hand, transgenic co-expression
of a CaMKII inhibitory peptide with eGFP prevents eGFP-mediated left ventricular
dilation and dysfunction. These findings suggest that increased CaMKII activity
is a critical pathological signal in transgenic cardiomyopathy due to eGFP over
expression.
PMID- 18048056
TI - NMR structure of the Escherichia coli type 1 pilus subunit FimF and its
interactions with other pilus subunits.
AB - Type 1 pili from uropathogenic Escherichia coli strains mediate bacterial
attachment to target receptors on the host tissue. They are composed of up to
3000 copies of the subunit FimA, which form the stiff, helical pilus rod, and the
subunits FimF, FimG, and FimH, which form the linear tip fibrillum. All subunits
in the pilus interact via donor strand complementation, in which the incomplete
immunoglobulin-like fold of each subunit is complemented by insertion of an N
terminal extension from the following subunit. We determined the NMR structure of
a monomeric, self-complemented variant of FimF, FimF(F), which has a second FimF
donor strand segment fused to its C-terminus that enables intramolecular
complementation of the FimF fold. NMR studies on bimolecular complexes between
FimF(F) and donor strand-depleted variants of FimF and FimG revealed that the
relative orientations of neighboring domains in the tip fibrillum cover a wide
range. The data provide strong support for the intrinsic flexibility of the tip
fibrillum. They lend further support to the hypothesis that this flexibility
would significantly increase the probability that the adhesin at the distal end
of the fibrillum successfully targets host cell receptors.
PMID- 18048057
TI - Characterization and further stabilization of designed ankyrin repeat proteins by
combining molecular dynamics simulations and experiments.
AB - Multiple molecular dynamics simulations with explicit solvent at room temperature
and at 400 K were carried out to characterize designed ankyrin repeat (AR)
proteins with full-consensus repeats. Using proteins with one to five repeats,
the stability of the native structure was found to increase with the number of
repeats. The C-terminal capping repeat, originating from the natural guanine
adenine-binding protein, was observed to denature first in almost all high
temperature simulations. Notably, a stable intermediate is found in experimental
equilibrium unfolding studies of one of the simulated consensus proteins. On the
basis of simulation results, this intermediate is interpreted to represent a
conformation with a denatured C-terminal repeat. To validate this interpretation,
constructs without C-terminal capping repeat were prepared and did not show this
intermediate in equilibrium unfolding experiments. Conversely, the capping
repeats were found to be essential for efficient folding in the cell and for
avoiding aggregation, presumably because of their highly charged surface. To
design a capping repeat conferring similar solubility properties yet even higher
stability, eight point mutations adapting the C-cap to the consensus AR and
adding a three-residue extension at the C-terminus were predicted in silico and
validated experimentally. The in vitro full-consensus proteins were also compared
with a previously published designed AR protein, E3_5, whose internal repeats
show 80% identity in primary sequence. A detailed analysis of the simulations
suggests that networks of salt bridges between beta-hairpins, as well as
additional interrepeat hydrogen bonds, contribute to the extraordinary stability
of the full consensus.
PMID- 18048058
TI - The three-dimensional structure of genomic RNA in bacteriophage MS2: implications
for assembly.
AB - Using cryo-electron microscopy, single particle image processing and three
dimensional reconstruction with icosahedral averaging, we have determined the
three-dimensional solution structure of bacteriophage MS2 capsids reassembled
from recombinant protein in the presence of short oligonucleotides. We have also
significantly extended the resolution of the previously reported structure of the
wild-type MS2 virion. The structures of recombinant MS2 capsids reveal clear
density for bound RNA beneath the coat protein binding sites on the inner surface
of the T=3 MS2 capsid, and show that a short extension of the minimal assembly
initiation sequence that promotes an increase in the efficiency of assembly,
interacts with the protein capsid forming a network of bound RNA. The structure
of the wild-type MS2 virion at approximately 9 A resolution reveals icosahedrally
ordered density encompassing approximately 90% of the single-stranded RNA genome.
The genome in the wild-type virion is arranged as two concentric shells of
density, connected along the 5-fold symmetry axes of the particle. This novel RNA
fold provides new constraints for models of viral assembly.
PMID- 18048059
TI - A pilot study to evaluate the effects of Cerebrolysin on cognition and qEEG in
vascular dementia: cognitive improvement correlates with qEEG acceleration.
AB - The effects of the neurotrophic compound Cerebrolysin (Cere) on cognitive
performance, evaluated with the ADAS-cog, and on qEEG activity were investigated
in forty one patients with mild to moderate severe probable vascular dementia
(VaD) according to NINDS-AIREN criteria, included in a placebo-controlled pilot
study. Patients received i.v. infusions of Cere (10 or 30 ml) or placebo (normal
saline) 5 days/week for 4 weeks. Mean score of change from baseline in the ADAS
cog and percent change from baseline in slow to fast EEG power ratio (PR) scores
were the two primary endpoints. Correlations between cognition and qEEG were also
evaluated for both baseline scores and for scores of change from baseline in ADAS
cog and in qEEG parameters, including EEG power ratio (PR) as an index of EEG
slowing. Baseline ADAS-cog scores showed significant positive correlations with
delta power, theta power and PR scores, and correlated negatively with alpha
activity. These correlations indicating that an increased EEG slowing is
associated with a worst cognitive performance in VaD patients. Cere treatment
improved cognitive performance significantly at the 10 ml dose and reduced EEG
slowing with both 10 and 30 ml dosages. A significant positive correlation
between PR and ADAS-cog scores of change from baseline was observed in Cere
treated patients. According to results of this pilot study, it is concluded that
Cere improves cognitive performance and reduces EEG slowing in patients with VaD,
and that there is a positive relationship between changes in cognition and qEEG
activity induced by Cere. The conduction of further regular clinical trials is
required to confirm the potential utility of Cere in the treatment of VaD
suggested by the present results.
PMID- 18048060
TI - Insulin modulates norepinephrine-mediated melatonin synthesis in cultured rat
pineal gland.
AB - The mammalian pineal gland synthesizes melatonin in a circadian manner, peaking
during the dark phase. This synthesis is primarily regulated by sympathetic
innervations via noradrenergic fibers, but is also modulated by many peptidergic
and hormonal systems. A growing number of studies reveal a complex role for
melatonin in influencing various physiological processes, including modulation of
insulin secretion and action. In contrast, a role for insulin as a modulator of
melatonin synthesis has not been investigated previously. The aim of the current
study was to determine whether insulin modulates norepinephrine (NE)-mediated
melatonin synthesis. The results demonstrate that insulin (10(- 8)M) potentiated
norepinephrine-mediated melatonin synthesis and tryptophan hydroxylase (TPOH)
activity in ex vivo incubated pineal glands. When ex vivo incubated pineal glands
were synchronized (12h NE-stimulation, followed by 12h incubation in the absence
of NE), insulin potentiated NE-mediated melatonin synthesis and arylalkylamine-N
acetyltransferase (AANAT) activity. Insulin did not affect the activity of
hydroxyindole-O-methyltranferase (HIOMT), nor the gene expression of tpoh, aanat,
or hiomt, under any of the conditions investigated. We conclude that insulin
potentiates NE-mediated melatonin synthesis in cultured rat pineal gland,
potentially through post-transcriptional events.
PMID- 18048061
TI - Angiotensin II mimics the hypoxic effect on regulating trophoblast proliferation
and differentiation in human placental explant cultures.
AB - Regulation of cytotrophoblast differentiation toward extravillous trophoblasts
(EVTs) is critical for establishing successful pregnancy. Previous studies have
focused primarily on the factors promoting the differentiation, while inhibitory
regulators except hypoxia have been less documented. In this study, to test our
hypothesis that angiotensin II (Ang II) would inhibit EVT differentiation, we
investigated the effects of Ang II on trophoblast outgrowth and the expression of
molecules associated with the proliferation and invasion of trophoblasts using
human first trimester villous explant cultures. Ang II increased EVT outgrowth
and the number of cells in cell columns. Moreover, Ang II-treated explants
exhibited increased Ki67 and integrin alpha5 immunoreactivity in EVTs as well as
matrix metalloproteinase-2 activity in the conditioned media, and decreased
alpha1 integrin immunoreactivity, which are compatible with the features of the
proliferative phenotype EVTs. These effects of Ang II were similar to those of
hypoxia (3% O(2)). Ang II stimulated the expression of hypoxia inducible factor
1alpha at both mRNA and protein levels, and also enhanced the expression of
plasminogen activator inhibitor-1 (PAI-1). Data presented herein suggest a
possible role for Ang II in impairing trophoblast differentiation toward an
invasive phenotype, which might be associated with shallow invasion in
preeclamptic placentas.
PMID- 18048062
TI - In vitro and in vivo neuroprotective effect and mechanisms of glabridin, a major
active isoflavan from Glycyrrhiza glabra (licorice).
AB - Stroke is a life-threatening disease characterized by rapidly developing clinical
signs of focal or global disturbance of cerebral function due to cerebral
ischemia. A number of flavonoids have been shown to attenuate the cerebral
injuries in stroked animal models. Glabridin, a major flavonoid of Glycyrrhiza
glabra (licorice), possesses multiple pharmacological activities. This study
aimed to investigate whether glabridin modulated the cerebral injuries induced by
middle cerebral artery occlusion (MCAO) in rats and staurosporine-induced damage
in cultured rat cortical neurons and the possible mechanisms involved. Our study
showed that glabridin at 25mg/kg by intraperitoneal injection, but not at 5mg/kg,
significantly decreased the focal infarct volume, cerebral histological damage
and apoptosis in MCAO rats compared to sham-operated rats. Glabridin
significantly attenuated the level of brain malonyldialdehyde (MDA) in MCAO rats,
while it elevated the level of two endogenous antioxidants in the brain, i.e.
superoxide dismutase (SOD) and reduced glutathione (GSH). Co-treatment with
glabridin significantly inhibited the staurosporine-induced cytotoxicity and
apoptosis of cultured rat cortical neurons in a concentration-dependent manner.
Consistently, glabridin significantly reduced the DNA laddering caused by
staurosporine in a concentration-dependent manner. Glabridin also suppressed the
elevated Bax protein and caspase-3 proenzyme and decreased bcl-2 induced by
staurosporine in cultured rat cortical neurons, facilitating cell survival.
Glabridin also inhibited superoxide production in cultured cortical neurons
exposed to staurosporine. These findings indicated that glabridin had a
neuroprotective effect via modulation of multiple pathways associated with
apoptosis. Further studies are warranted to further investigate the biochemical
mechanisms for the protective effect of glabridin on neurons and the evidence for
clinical use of licorice in the management of cerebral ischemia.
PMID- 18048063
TI - Phytoremediation of shallow organically enriched marine sediments using benthic
microalgae.
AB - We examined whether replantation of benthic microalgae (BMA) can remediate
shallow organically enriched sediment. Nitzschia sp., the dominant species in the
tested area (Hiroshima Bay, Japan), was isolated and mass cultured, then
replanted in the same area. Changes in the condition of the sediment were
monitored for five months. During the study period, we observed an increase in
redox potential (ORP) and a decrease in acid-volatile sulfide (AVS) in the
experimental area, indicating that the sediment condition changed from reduced to
oxic. Organic matter in the sediment, represented by chemical oxygen demand
(COD), ignition loss (IL) and organic nitrogen (ON) decreased significantly,
while inorganic nutrients (ammonia and phosphate) increased in the interstitial
water. These changes imply that oxygen produced by the replanted BMA may have
enhanced aerobic bacterial activity, accelerating the decomposition of organic
matter. Thus, replantation of BMA shows potential as a novel and promising
"phytoremediation" method for organically enriched sediment.
PMID- 18048064
TI - The importance of the intensity and frequency of perturbations on the germination
delay.
AB - An iterative model is developed to evaluate the reproductive strategies of plants
in environments with different frequencies and intensities of disturbance. Two
extreme reproductive strategies are compared: the "homocarpic" strategy, in which
all the seeds germinate the following spring, without dormancy, and the
"heterocarpic" strategy, whereby, each year, half of the existing seeds
germinate. It is observed that this sort of heterocarpy is beneficial in
environments with strong perturbations, in which a high percentage of the
population dies. However, the frequency of such perturbations does not cause
changes in the advantage of one strategy over the other. The results suggest that
the intensity of the disturbance is much more important than the frequency.
PMID- 18048065
TI - Synchronized dynamics and non-equilibrium steady states in a stochastic yeast
cell-cycle network.
AB - Applying the mathematical circulation theory of Markov chains, we investigate the
synchronized stochastic dynamics of a discrete network model of yeast cell-cycle
regulation where stochasticity has been kept rather than being averaged out. By
comparing the network dynamics of the stochastic model with its corresponding
deterministic network counterpart, we show that the synchronized dynamics can be
soundly characterized by a dominant circulation in the stochastic model, which is
the natural generalization of the deterministic limit cycle in the deterministic
system. Moreover, the period of the main peak in the power spectrum, which is in
common use to characterize the synchronized dynamics, perfectly corresponds to
the number of states in the main cycle with dominant circulation. Such a large
separation in the magnitude of the circulations, between a dominant, main cycle
and the rest, gives rise to the stochastic synchronization phenomenon.
PMID- 18048066
TI - Hypoxanthine uptake and release by equilibrative nucleoside transporter 2 (ENT2)
of rat microvascular endothelial cells.
AB - The cardioprotective actions of adenosine are terminated by its uptake into
endothelial cells with subsequent metabolism through hypoxanthine to uric acid.
This process involves xanthine oxidase-mediated generation of reactive oxygen
species (ROS), which have been implicated in the vascular dysfunction observed in
ischemia-reperfusion injury. The equilibrative nucleoside transporter, ENT2,
mediates the transfer of hypoxanthine into cells. We hypothesize that ENT2 also
mediates the cellular release of hypoxanthine, which would limit the amount of
intracellular hypoxanthine available for xanthine oxidase-mediated ROS
production. Rat microvascular endothelial cells (MVECs) were isolated from
skeletal muscle by lectin-affinity purification. The transport of
[(3)H]hypoxanthine was assessed using an oil-stop method, and hypoxanthine
metabolites were identified by thin-layer chromatography. MVECs accumulated
hypoxanthine with a K(m) of 300 microM and a V(max) of 2.8 pmol microl(-1) s(-1).
ATP-depleted cells loaded with [(3)H]hypoxanthine released the radiolabel with
kinetics similar to that obtained for [(3)H]hypoxanthine influx. The uptake and
release of [(3)H]hypoxanthine were both blocked by ENT2 inhibitors with similar
order of potency. Thus, ENT2 mediates both the influx and efflux of hypoxanthine.
Inhibition of ENT2 in MVECs might be expected to increase the amount of
intracellular hypoxanthine available for metabolism by xanthine oxidase and
enhance the intracellular production of ROS.
PMID- 18048068
TI - The differential regulation of BDNF and TrkB levels in juvenile rats after four
days of escitalopram and desipramine treatment.
AB - Major depressive disorder is a major health problem in adults and is now
recognized as a substantial problem in children as well. Tricyclic
antidepressants, including desipramine (DMI), are no better than placebo in
treating childhood and adolescent depression, but are effective in treating adult
depression. Several studies have suggested that normal BDNF (brain-derived
neurotrophic factor) signaling is necessary for antidepressant drug action.
Antidepressant drugs induce several plastic changes in the rodent brain which may
be associated with changes in BDNF levels and/or with BDNF function. In the
present study we report parallel measurements of BDNF mRNA and protein in the
frontal cortex and hippocampus after four days of twice daily treatments with
escitalopram, a selective serotonin reuptake inhibitor, and desipramine, a
tricyclic antidepressant. Post-natal day 13, 21, 28 and adult rats were used in
this study. TrkB (the primary receptor for BDNF) mRNA levels were also examined
under the same treatment conditions. BDNF mRNA and protein levels, as well as
TrkB mRNA levels, were increased significantly in post-natal day 13 pups after
escitalopram treatment as compared to control, but desipramine failed to increase
either BDNF or TrkB. The failure of desipramine to increase BDNF and TrkB levels
in juvenile rats is consistent with the lack of efficacy of desipramine in
children and adolescents. The serotonergic nervous system matures earlier than
the noradrenergic system, which may explain why escitalopram, but not
desipramine, increases BDNF and TrkB levels.
PMID- 18048067
TI - Human postmortem brain-derived cerebrovascular smooth muscle cells express all
genes of the classical complement pathway: a potential mechanism for vascular
damage in cerebral amyloid angiopathy and Alzheimer's disease.
AB - Deposition of amyloid around blood vessels, known as cerebral amyloid angiopathy
(CAA), is a major pathological feature found in the majority of Alzheimer's
disease (AD) cases, and activated complement fragments have been detected on CAA
deposits in AD brains. In this study, we demonstrate for the first time that
human cerebrovascular smooth muscle cells (HCSMC) isolated from cortical vessels
derived from postmortem brains can express mRNAs for complement genes C1qB, C1r,
C1s, C2, C3, C4, C5, C6, C7, C8 and C9, the components of the classical
complement pathway. Secretion of the corresponding complement proteins for these
genes was also demonstrated, except for C1q and C5. Of particular significance
was the observation that treatment of HCSMC with aggregated amyloid beta (Abeta)
1-42 increased expression of complement C3 mRNA and increased release of C3
protein. Abeta treatment of HCSMC also increased expression of C6 mRNA.
Interferon-gamma induced expression and release of complement C1r, C1s, C2 and
C4. As HCSMC are closely associated with Abeta deposits in vessels in the brain,
their production of complement proteins could amplify the proinflammatory effects
of amyloid in the perivascular environment, further compromising brain vascular
integrity.
PMID- 18048069
TI - Alkaloids and saponins from blue cohosh.
AB - Blue cohosh, Caulophyllum thalictroides (L.) Michx. (Berberidaceae), is used
primarily to cure menstrual disturbances and to ease childbirth. Alkaloids and
saponins are considered to be responsible for its pharmacological activity. A
detailed phytochemical investigation of blue cohosh resulted in the isolation of
15 compounds belonging to the alkaloids and the triterpene saponins. The
structures of two alkaloids, caulophyllumines A (1) and B (2) and a saponin,
cauloside H (3) both previously unknown were determined by spectroscopic
techniques, including by 1- and 2-D NMR as well as by chemical analysis.
PMID- 18048070
TI - An in-host model of acute infection: measles as a case study.
AB - The epidemiology of acute infections is strongly influenced by the immune status
of individuals. In-host models can provide quantitative predictions of immune
status and can thus offer valuable insights into the factors that influence
transmission between individuals and the effectiveness of vaccination protocols
with respect to individual immunity. Here we develop an in-host model of measles
infection. The model explicitly considers the effects of immune system memory and
CD8 T-cells, which are key to measles clearance. The model is used to determine
the effects of waning immunity through vaccination and infection, the effects of
booster exposures or vaccines on the level of immunity, and the immune system
characteristics that result in measles transmission (R(0)>1) even if an
individual has no apparent clinical symptoms. We find that the level of immune
system CD8 T-cells at the time of exposure to measles determines whether an
individual will experience a measles infection or simply a boost in immunity. We
also find that the infected cell dynamics are a good indicator of measles
transmission and the degree of symptoms that will be experienced. Our results
indicate that the degree of immunity in adults is independent of the source of
exposure in early childhood, be it vaccine or natural infection.
PMID- 18048071
TI - Ligand-dependent interactions of the Ah receptor with coactivators in a mammalian
two-hybrid assay.
AB - 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) is a high affinity ligand for the aryl
hydrocarbon receptor (AhR). In this study, we investigated structure-dependent
differences in activation of the AhR by a series of halogenated aromatic
hydrocarbons. TCDD, 1,2,3,7,8-pentachlorodibenzo-p-dioxin (PeCDD), 2,3,7,8
tetrachlorodibenzofuran (TCDF), 2,3,4,7,8-pentachlorodibenzofuran (PeCDF), and
3,3',4,4',5-pentachlorobiphenyl (PCB126) induced CYP1A1-dependent activities in
HEK293 human embryonic kidney, Panc1 pancreatic cancer, and Hepa1c1c7 mouse
hepatoma cell lines. There was a structure-dependent difference in the efficacy
of TCDF and PCB126 in HEK293 and Panc1 cells since induced CYP1A1 mRNA levels
were lower than observed for the other congeners. A mammalian two-hybrid assay in
cells transfected with GAL4-coactivator and AhR-VP16 chimeras was used to
investigate structure-dependent interactions of these chimeras in Panc1, HEK293,
and Hepa1c1c7 cells. The reporter construct pGAL4-luc contains five tandem GAL4
response elements linked to the luciferase gene and the GAL4-coactivator chimeras
express several coactivators including steroid receptor coactivator 1 (SRC-1),
SRC-2 and SRC-3, the mediator coactivator TRAP220, coactivator associated
arginine methyl transferase 1 (CARM-1), and peroxisome proliferator-activated
receptor gamma coactivator 1 (PGC-1). Results of the mammalian two-hybrid studies
clearly demonstrate that activation of pGAL4-luc in cells transfected with VP-AhR
and GAL4-coactivator chimeras is dependent on the structure of the HAH congener,
cell context, and coactivator, suggesting that the prototypical HAH congeners
used in this study exhibit selective AhR modulator activity.
PMID- 18048072
TI - Inhibition of the adenine nucleotide translocator by N-acetyl perfluorooctane
sulfonamides in vitro.
AB - N-alkyl perfluorooctane sulfonamides have been widely used as surfactants on
fabrics and papers, fire retardants, and anti-corrosion agents, among many other
commercial applications. The global distribution and environmental persistence of
these compounds has generated considerable interest regarding potential toxic
effects. We have previously reported that perfluorooctanesulfonamidoacetate
(FOSAA) and N-ethylperfluorooctanesulfonamidoacetate (N-EtFOSAA) induce the
mitochondrial permeability transition (MPT) in vitro. In this study we tested the
hypothesis that FOSAA and N-EtFOSAA interact with the adenine nucleotide
translocator (ANT) resulting in a functional inhibition of the translocator and
induction of the MPT. Respiration and membrane potential of freshly isolated
liver mitochondria from Sprague-Dawley rats were measured using an oxygen
electrode and a tetraphenylphosphonium-selective (TPP(+)) electrode,
respectively. Mitochondrial swelling was measured spectrophotometrically. The ANT
ligands bongkregkic acid (BKA) and carboxyatractyloside (cATR) inhibited
uncoupling of mitochondrial respiration caused by 10 microM N-EtFOSAA, 40 microM
FOSAA, and the positive control 8 microM oleic acid. ADP-stimulated respiration
and depolarization of mitochondrial membrane potential were inhibited by cATR,
FOSAA, N-EtFOSAA, and oleic acid, but not by FCCP. BKA inhibited calcium
dependent mitochondrial swelling induced by FOSAA, N-EtFOSAA, and oleic acid.
Seventy-five micromolar ADP also inhibited swelling induced by the test
compounds, but cATR induced swelling was not inhibited by ADP. Results of this
investigation indicate that N-acetyl perfluorooctane sulfonamides interact
directly with the ANT to inhibit ADP translocation and induce the MPT, one or
both of which may account for the metabolic dysfunction observed in vivo.
PMID- 18048073
TI - Central role of mitochondria and p53 in PUVA-induced apoptosis in human
keratinocytes cell line NCTC-2544.
AB - Despite strong evidence concerning the high efficiency of PUVA therapy (psoralen
plus UVA light), its mechanism of action has not yet been fully elucidated. In
this study, we have evaluated in a cell line of human keratinocytes (NCTC-2544)
the effects of two linear psoralen derivatives, 8-methoxypsoralen (8-MOP) and 5
methoxypsoralen (5-MOP), that are widely used in PUVA therapy and two angular
derivatives, Angelicin (ANG) and 4,6,4'-trymetyl angelicin (TMA). All derivatives
photoinduce cellular death, TMA being the most active compound. The cell cycle
analysis showed that the four derivatives induce, 24 h after irradiation, a cell
cycle arrest in G1 phase later followed by massive apoptosis. The G1 arrest is
correlated to an increase in the expression of p21(Waf1/Cip1), a protein
associated with the cell cycle block and apoptosis. Furthermore, treatment of
NCTC-2544 resulted in p53 activation by 5-MOP, 8-MOP, and ANG but not TMA and its
phosphorylation at serine-15. The levels of p21(Waf1/Cip1) paralleled p53 protein
staining pattern suggesting that p53 activation correlated with p21(Waf1/Cip1)
induction. Simultaneous to p53 activation, psoralens induced mitochondrial
depolarization, cytochrome c release, mitochondrial production of reactive oxygen
species, as well as caspase-3 and -9 activation. Thus these results strongly
indicate the necessity of p53 activation and the induction of the apoptotic
machinery downstream of mitochondria.
PMID- 18048074
TI - Enhanced cell surface expression, immunogenicity and genetic stability resulting
from a spontaneous truncation of HIV Env expressed by a recombinant MVA.
AB - During propagation of modified vaccinia virus Ankara (MVA) encoding HIV 89.6 Env,
a few viral foci stained very prominently. Virus cloned from such foci replicated
to higher titers than the parent and displayed enhanced genetic stability on
passage. Sequence analysis showed a single nucleotide deletion in the 89.6 env
gene of the mutant that caused a frame shift and truncation of 115 amino acids
from the cytoplasmic domain. The truncated Env was more highly expressed on the
cell surface, induced higher antibody responses than the full-length Env, reacted
with HIV neutralizing monoclonal antibodies and mediated CD4/co-receptor
dependent fusion. Intramuscular (i.m.), intradermal (i.d.) needleless, and
intrarectal (i.r.) catheter inoculations gave comparable serum IgG responses.
However, intraoral (i.o.) needleless injector route gave the highest IgA in lung
washings and i.r. gave the highest IgA and IgG responses in fecal extracts.
Induction of CTL responses in the spleens of individual mice as assayed by
intracellular cytokine staining was similar with both the full-length and
truncated Env constructs. Induction of acute and memory CTL in the spleens of
mice immunized with the truncated Env construct by i.d., i.o., and i.r. routes
was comparable and higher than by the i.m. route, but only the i.r. route induced
CTL in the gut-associated lymphoid tissue. Thus, truncation of Env enhanced
genetic stability as well as serum and mucosal antibody responses, suggesting the
desirability of a similar modification in MVA-based candidate HIV vaccines.
PMID- 18048075
TI - Conversion of secondary pulp/paper sludge powder to liquid oil products for
energy recovery by direct liquefaction in hot-compressed water.
AB - The present work demonstrated that secondary pulp/paper sludge powder, with a
higher heating value of 18.3MJ/kg on a dry basis, could be effectively converted
into liquid oil products by direct liquefaction in hot-compressed water with and
without catalyst. Treatments of secondary pulp/paper sludge in water at 250-380
degrees C for 15-120min in the presence of N(2) atmosphere resulted in yields of
water-soluble oils at 20-45wt% and yields of heavy oils at 15-25wt%, with higher
heating values of 10-15 and >35MJ/kg, respectively. The higher caloric values for
the heavy oil products were accounted for by their compositions of long-chain
carboxylic acids, heterocyclic nitrogen compounds and phenolic compounds and
derivatives as evidenced by the gas chromatograph (GC)/MS measurements. The
liquefaction product yields were significantly influenced by the liquefaction
temperature, the residence time, the initial biomass concentration, catalysts and
the liquefaction atmosphere (inert or reducing). Within the temperature range
(250-380 degrees C) tested, the lowest temperature produced the highest yield of
total oils (at 60wt%), while the greatest yield of heavy oil (at about 24wt%) was
obtained at 350 degrees C. If the temperature was fixed at 280 degrees C, a
greater yield of heavy oil (reaching as high as 25wt% for 120min) was obtained as
the length of reaction time increased. Similarly, a higher initial biomass
concentration produced a greater yield of heavy oil but a reduced yield of water
soluble oil. The presence of 0.1M K(2)CO(3) dramatically enhanced organic
conversion, but suppressed the formation of both heavy oil and water-soluble oil.
The use of the two alkaline earth metal catalysts, i.e., Ca(OH)(2) and Ba(OH)(2),
did not alter organic conversion, but it catalyzed the formation of water-soluble
oil and produced higher yields of total oil products. It was also demonstrated
that the reducing atmosphere (i.e., H(2)) in the liquefaction process promoted
the heavy oil formation while suppressing the water-soluble oil formation. With
the presence of 0.1M Ca(OH)(2) and 2MPa H(2), liquefaction of the sludge powder
in water at 280 degrees C for 60min produced a higher yield of heavy oil (26wt%),
almost two times as high as that in N(2) (13.6wt%), resulting in a greater net
energy efficiency. It was thus suggested that direct liquefaction of secondary
pulp/paper sludge in hot-compressed water with Ca(OH)(2) catalyst and in the
presence of H(2) could be an effective approach to recovering energy from the
waste for production of liquid oil products.
PMID- 18048076
TI - Comparative study of ozonation and synthetic goethite-catalyzed ozonation of
individual NOM fractions isolated and fractionated from a filtered river water.
AB - This study comparatively investigated ozonation and synthetic goethite-catalyzed
ozonation of individual natural organic matter (NOM) fractions in terms of ozone
consumption, dissolved organic carbon (DOC) and UV-absorbance reduction,
molecular weight (MW) distribution, and formation of low-MW oxidation by
products. Hydrophobic acid and neutral (HOA and HON) and hydrophilic acid and
base (HIA and HIB) were four major NOM fractions isolated from a filtered river
water; so ozonation and catalytic ozonation were carried out on these fractions.
Results indicate that in comparison to ozonation alone, catalytic ozonation can
enhance ozone consumption, UV(254) and DOC reduction, fragmentation of fraction
components with MW>3000Da, and formation of oxalic acid for these fractions under
normal reaction conditions commonly adopted in water treatment plants. In
addition, catalytic ozonation can enhance aldehydes formation and increase the
percentage of easy biodegradable organic carbon compared with ozonation alone for
HIA and HIB, but exert much less effect on these items for HOA and HON.
PMID- 18048077
TI - Effects of chlorine on organophosphorus pesticides adsorbed on activated carbon:
desorption and oxon formation.
AB - We investigated effects of chlorination on four organophosphorus pesticides
(diazinon, isoxathion, malathion, and tolclofos-methyl) adsorbed on powdered
activated carbon (PAC). Following adsorption of each pesticide on 10mg/L of PAC
in water, chlorine was added. After 30min of chlorination, the corresponding
oxons were detected in the water, but the parent compounds were not detected.
Molar ratios of the oxon concentration in solution after 30min of chlorine
addition to the initial pesticide concentration before the adsorption process
were 4.1% and 7.9% for diazinon, 3.9% and 5.8% for isoxathion, 1.2% and 1.7% for
malathion, and 1.4% and 1.4% for tolchlofos-methyl, in the case of 2 and 5mg/L of
chlorine addition. The results suggested that the oxons were desorbed from the
PAC by chlorination. The concentrations of the desorbed oxons gradually decreased
with time, apparently owing to their readsorption by the PAC. Results from
additional experiments suggest the following sequence of events: (i) adsorbed
pesticides are oxidized by chlorine on the surface of the PAC and transformed
into corresponding oxons; (ii) the oxons are released from the PAC; (iii) the
released oxons are gradually readsorbed by the PAC, decreasing their
concentrations in the water phase.
PMID- 18048078
TI - Modeling simultaneous autotrophic and heterotrophic growth in aerobic granules.
AB - A mathematical model has been developed to describe the simultaneous autotrophic
and heterotrophic growth in granule-based sequencing batch reactors (SBRs).
Experimental results of a laboratory-scale granule-based SBR are used to
calibrate and validate the model. The model is able to simulate the reactor
performance and gain insight in autotrophic and heterotrophic growth in the
granules. With the established model, the fractions of active biomass (autotrophs
and heterotrophs) and inert biomass are predicted to be 55.6% and 44.4% of the
total mixed liquid volatile suspended solid, respectively, at a solids retention
time (SRT) of 20 days. Biomass content increases with increasing SRT, but active
biomass ratio decreases. Autotrophs have no significant effect on the total
biomass content, although they play an important role in nitrogen removal.
Simulation results also demonstrate the key role of the influent substrate and
NH(4)(+)-N in governing the composition of heterotrophic and autotrophic biomass
in a granule-based SBR. The autotrophs are mainly located on the outer layer of
granules, whereas the heterotrophs are present in the center of granules, or on
the outer layer of granules.
PMID- 18048079
TI - Occurrence and fate of endocrine disrupters in Greek sewage treatment plants.
AB - The occurrence of five endocrine-disrupting compounds (EDCs), namely 4-n
nonylphenol (4-n-NP), nonylphenol monoethoxylate (NP1EO), nonylphenol
diethoxylate (NP2EO), triclosan (TCS) and bisphenol A (BPA), was assessed in the
raw, treated wastewater and sewage sludge of eight sewage treatment plants (STPs)
in Greece. The analytes were extracted by solid-phase extraction (dissolved
phase) or sonication (solid phase). Qualitative and quantitative analyses were
performed by gas chromatography-mass spectrometry (GC-MS). The average
concentrations in the raw and treated wastewater ranged from 0.23 (4-n-NP) to
5.76microgL(-1) (NP1EO) and from 0.15 (BPA) to 1.84microgL(-1) (NP2EO),
respectively. A great part of the detected EDCs was sorbed on suspended solids.
In sewage sludge, the average concentrations ranged between 0.17 (4-n-NP) and
12.3microgg(-1)dw (NP1EO). Analysis of daily mass flows in STP of Athens showed
that, with the exception of 4-n-NP, all other EDCs were significantly removed
(>85%) during wastewater treatment. Regarding the fate of these compounds, a
significant part ranging from 45% (for TCS) to more than 70% (for NP1EO, NP2EO
and BPA) was transformed by abiotic or biotic mechanisms, while the rest was
accumulated in sewage sludge or disposed to the environment via the effluents.
Calculation of risk quotients showed the existence of possible threat due to the
presence of certain EDCs in treated wastewater and sludge.
PMID- 18048080
TI - The differences in phenolic content in rivers exposed and non-exposed to
anthropogenic contamination.
AB - The purpose of the work was to determine the differences in a kind, number and
concentrations of phenol, chlorophenols, chlorocatechols chlorinated
methoxyphenols (chloroguaiacols, chlorosyringols) and 3,4,5-trichloroveratrole in
the drainage of the Dzierzazna river, the flow non-exposed to anthropogenic
contamination and in the Ner river, the flow exposed to anthropogenic pollution.
The samples of water were collected in the Dzierzazna river in the Swoboda
locality, the inflow of the Dzierzazna river - the Ciosenka river and, also, in
the spring situated in Ciosny Sady locality. Water of the Ner river was collected
in points near Lodz, Konstantynow, Poddebice and Dabie towns. The compounds were
condensed (adsorbed) and eluted with methylene chloride on octadecyl C18 layer in
a Baker Separex system. The obtained eluent was separated using the method of gas
chromatography and analysed using mass spectrometry technique. In samples
collected from the drainage of the Dzierzazna river phenol, chlorophenols,
guaiacol, trichloroguaiacol, tetrachloroguaiacol, trichlorosyringol and 3,4,5
trichloroveratole were determined. As no anthropogenic sources are situated
within the drainage of the Dzierzazna river, we may suppose that most of the
determined compounds are mainly of natural origin. No or trace concentrations of
chlorinated methoxyphenols were noted in the water of the Ner river, but a higher
number, and concentrations of chlorophenols and additionally chlorocatechols were
determined in this flow. It is also apparent that changes in a number and
concentrations of phenols in the water of the Ner river did not prove a seasonal
character, which was typical of the Dzierzazna drainage waters.
PMID- 18048081
TI - Dental trait expression at the enamel-dentine junction of lower molars in extant
and fossil hominoids.
AB - Discrete dental traits are used as proxies for biological relatedness among
modern human populations and for alpha taxonomy and phylogeny reconstruction
within the hominin clade. We present a comparison of the expression of lower
molar dental traits (cusp 6, cusp 7, trigonid crest pattern, and protostylid) at
the enamel-dentine junction (EDJ) in a variety of extant and fossil hominoid
taxa, in order to assess the contribution of the EDJ to the morphology of these
traits at the outer enamel surface (OES). Molars (n=44) were imaged
nondestructively using high-resolution microCT, and three-dimensional surface
models of the EDJ and OES were created to compare trait expression at each
surface. Our results indicate that these dental traits originate at the EDJ, and
that the EDJ is primarily responsible for their degree of expression at the OES.
Importantly, variable trait morphology at the EDJ (often not easily recognizable
at the OES) indicates that different developmental processes can produce traits
that appear similar at the enamel surface, suggesting caution in intra- and
intertaxonomic comparisons. The results also highlight the importance of the EDJ
for understanding the morphological development of discrete traits, and for
establishing graded scales of variation to compare trait frequency among groups
for the purpose of taxonomic and/or phylogenetic analysis. Finally, this study
demonstrates that imaging the EDJ of both worn and unworn fossil hominin teeth
provides a novel source of information about tooth development and variation in
crown morphology.
PMID- 18048082
TI - Assessing ageing of individual T lymphocytes: mission impossible?
AB - Effector T lymphocytes are the progeny of a limited number of antigen-specific
precursor cells and it has been estimated that clonotypic human T cells may
expand million fold on their way reaching high cell numbers that are sufficient
for immune protection. Moreover, memory T cell responses are characterized by
repetitive expansion of antigen-specific T cell clonotypes, and limitations in
the proliferative capacity could lead to immune senescence. Because telomeres
progressively shorten as a function of cell division, telomere length is a
powerful indicator of the replicative in vivo history of human T lymphocytes. In
this review, we summarize observations made over the last decade on telomere
length dynamics of well-defined T cell populations derived from healthy donors
and patients with infectious disease or cancer. We focus on T cell
differentiation, T cell ageing, and natural and vaccine induced immune responses.
We also discuss the scientific evidence for in vivo replicative senescence of
antigen-specific T cells, and evaluate the available methods for measuring
telomere lengths and telomerase activity, and their potential and limitations to
increase our understanding of T cell physiology.
PMID- 18048083
TI - An innovative land use regression model incorporating meteorology for exposure
analysis.
AB - The advent of spatial analysis and geographic information systems (GIS) has led
to studies of chronic exposure and health effects based on the rationale that
intra-urban variations in ambient air pollution concentrations are as great as
inter-urban differences. Such studies typically rely on local spatial covariates
(e.g., traffic, land use type) derived from circular areas (buffers) to predict
concentrations/exposures at receptor sites, as a means of averaging the annual
net effect of meteorological influences (i.e., wind speed, wind direction and
insolation). This is the approach taken in the now popular land use regression
(LUR) method. However spatial studies of chronic exposures and temporal studies
of acute exposures have not been adequately integrated. This paper presents an
innovative LUR method implemented in a GIS environment that reflects both
temporal and spatial variability and considers the role of meteorology. The new
source area LUR integrates wind speed, wind direction and cloud cover/insolation
to estimate hourly nitric oxide (NO) and nitrogen dioxide (NO(2)) concentrations
from land use types (i.e., road network, commercial land use) and these
concentrations are then used as covariates to regress against NO and NO(2)
measurements at various receptor sites across the Vancouver region and compared
directly with estimates from a regular LUR. The results show that, when
variability in seasonal concentration measurements is present, the source area
LUR or SA-LUR model is a better option for concentration estimation.
PMID- 18048085
TI - Blood borne tissue factor revisited.
PMID- 18048084
TI - Effect of climate on the trophic structure of temperate forested streams. a
comparison of Mediterranean and Atlantic streams.
AB - Climate affects many aspects of stream ecosystems, although the presence of
riparian forests can buffer differences between streams in different climatic
settings. In an attempt to measure the importance of climate, we compared the
seasonal patterns of hydrology, input and storage of allochthonous organic
matter, and the trophic structure (abundance of algae and macroinvertebrates) in
two temperate forested streams, one Mediterranean, the other Atlantic. Hydrology
played a leading role in shaping the trophic structure of both streams. Frequency
and timing of floods and droughts determined benthic detritus storage. Inputs and
retention of allochthonous organic matter were higher in the Atlantic stream,
whereas chlorophyll concentration was lower because of stronger light limitation.
Instead, light availability and scour of particulate organic matter during late
winter favoured higher chlorophyll concentration in the Mediterranean stream. As
a result, in the Mediterranean stream grazers were more prevalent and consumers
showed a higher dependence on autotrophic materials. On the other hand, the
Atlantic stream depended on allochthonous materials throughout the whole study
period. The overall trophic structure showed much stronger seasonality in the
Mediterranean than in the Atlantic stream, this being the most distinctive
difference between these two types of temperate streams. The different patterns
observed in the two streams are an indication that climatic differences should be
incorporated in proper measurements of ecosystem health.
PMID- 18048086
TI - Differential associations between lipid-lowering drugs, statins and fibrates, and
venous thromboembolism: role of drug induced homocysteinemia?
AB - BACKGROUND: Previous studies reported that statin use was associated with a
decreased risk of venous thromboembolism (VTE), whereas no association was found
between fibrate use and VTE. This report aims to test the hypothesis that part of
these contrasting associations is related to total homocysteine level (tHcy).
MATERIALS AND METHODS: This report from a case-control study included 677 cases
hospitalised with confirmed VTE and no major acquired risk factor of VTE and
their 677 controls. Statin and fibrate exposure was defined as a current use of
drugs at admission. Fasting serum tHcy was measured in all patients. RESULTS: The
estimated odds ratio for VTE related to statin use was 0.53 (CI 95% 0.37-0.78),
whereas it was 1.88 (CI 95% 1.29-2.74) for fibrate use. No difference was found
for tHcy levels between patients who were current users of statin compared to non
users (17.7 micromol/L+/-7.3 in users vs 18.4 micromol/L+/-8.4 in non users,
p=0.50). In contrast, fibrate users had a significant higher mean level of tHcy
than non users (23.2 micromol/L+/-8.7 in users vs 18.4 micromol/L+/-8.4 in non
users, p<0.0001). Nevertheless, adjustment on tHcy level did not alter
significance and strength of the association between fibrates and VTE (1.66, CI
95% 1.07-2.59). CONCLUSIONS: Statin use was associated with a significant
decreased risk of VTE, whereas fibrate use was associated with a significant
increased risk of VTE. This last association was independent of tHcy levels.
PMID- 18048087
TI - Relationship between small-for-gestational age births and maternal thrombophilic
mutations.
AB - Small gestational age (SGA) is one of the major causes of fetal mortality and
morbidity. Altered maternal homeostasis as a result of point mutations in the
coagulation cascade has been reported as an important risk factor for this
adverse pregnancy outcome. This study aims to investigate the relationship
between mother's thrombophilic mutations and SGA deliveries in our population.
The study group was consisted of sixty-six women who gave birth to one or more
SGA babies. 104 women who gave birth to appropriate-for-gestational age (AGA)
babies were sampled for the control group. Restriction fragment size analysis
were performed by visualizing digested PCR products for Factor V Leiden (G1691A),
Factor V Cambridge (A1090G), Factor V A1299G, prothrombin G20210A, methylene
tetrahydropholate reductase C677T, A1298C and T1317C mutations. The results of
this study indicate that maternal C677T (p=0.01) and A1298C (p<0.01) mutations in
MTHFR gene may be suggested as risk factors for SGA outcome in our population.
Therefore, maternal screening of these two mutations in the first trimester of
pregnancy could help in the assessment of patients.
PMID- 18048088
TI - Early signaling of inflammation in acute ischemic stroke: clinical and
rheological implications.
AB - INTRODUCTION: Several studies have highlighted the role of interleukin-6 (IL-6)
as an early signal of the inflammatory response following acute ischemic stroke.
This study examines the potential advantage of employing high-sensitivity (hs)-IL
6 as a possible biomarker at the early stages of acute stroke for identifying an
acute phase response and its potential rheological and clinical implications.
METHODS: Venous blood was obtained from 186 stroke patients within 24 h of
hospital admission and 3-5 days thereafter in order to characterize an
inflammatory and hemorheological profile (including erythrocyte aggregation).
Neurological state was assessed by the National Institutes of Health Stroke Scale
(NIHSS) and the modified Rankin scale (mRs). RESULTS: While most biomarkers
displayed elevated concentrations with time, serum concentrations of hs-IL-6
declined 3-5 days following acute stroke. Initially elevated levels of hs-IL-6 at
presentation further correlated with unfavorable clinical outcomes (by NIHSS and
mRs) at both time points. Analysis of variance in the different quartiles
identified an hs-IL-6 gradient-dependent correlation at both time points, such
that the higher the initial hs-IL-6 concentration, the higher the elevation in
inflammatory biomarkers and the poorer the neurological state at both time points
(p<0.001 for NIHSS and p=0.001 for mRs, for trend across quartiles). CONCLUSIONS:
This study demonstrates the potential of employing hs-IL-6 as an early stage
biomarker for the prognosis of acute ischemic stroke. Such an advance would
provide the means to identify at an early stage the patients who would require
closer clinical surveillance and/or administration of therapeutic interventions.
PMID- 18048089
TI - The urokinase-type plasminogen activator/its soluble receptor system is
independently related to carotid atherosclerosis and associated with CC
chemokines in uraemic patients.
AB - INTRODUCTION: The urokinase-type plasminogen activator (uPA)/its soluble receptor
(suPAR) and CC-chemokines are two systems contributing in the atherosclerosis. We
try to establish whether these two systems were associated among themselves, and
the significance of this potential association in relation to carotid
atherosclerosis in uraemic patients. MATERIALS AND METHODS: We determined uPA,
suPAR, CC-chemokines: monocyte chemoattractant protein-1 (CCL2), macrophage
inflammatory proteins (CCL3, CCL4), regulated upon activation, normal T cell
expressed and secreted (CCL5) and the intima-media thickness (IMT) values in
uraemic patients on peritoneal dialysis (CAPD), haemodialysis (HD) and healthy
controls. RESULTS: The values of the uPA/suPAR system, CCL2, CCL4 and IMT in the
patients significantly exceeded those in controls, whereas CCL5 were lower in the
patients than in the controls. CCL3 concentrations were similar in all
investigated groups. CCL2, CCL4 and CCL5 were positively associated with
uPA/suPAR system. CCL2 and CCL4 were associated with IMT. Multivariate analysis
showed that uPA, suPAR and age were the strong independent variables linked to
IMT values. CONCLUSIONS: The carotid atherosclerosis is independently related to
uPA/its soluble receptor system in dialysis patients. In addition, the strong
positive associations existed between uPA/suPAR system and CC-chemokines
suggesting that these two systems could cooperate and influence of
atherosclerosis in these patients.
PMID- 18048090
TI - Hemostatic variation during perioperative period of orthotopic liver
transplantation without venovenous bypass.
AB - OBJECTIVES: To measure the variations of different parameters in the hemostatic
system and to analyze their roles in the development of hemostatic disorder in
patients with orthotopic liver transplantation (OLT) procedures routinely
performed without venovenous bypass. METHODS: The blood coagulation and
fibrinolysis parameters were analyzed in 20 patients who underwent liver
transplantation. Blood samples were drawn from the radial artery at serial time
points during perioperative period. Prothrombin time (PT), activated partial
thromboplastin time (aPTT), thrombin time (TT), fibrinogen, vWF, antithrombin III
(AT-III), protein C (PC), tissue factor pathway inhibitor (TFPI), plasminogen
(PLG), alpha2-antiplasmin (alpha2-AP), and D-dimer were analyzed. RESULTS:
Hemoglobin, platelet count, and fibrinogen were significantly decreased during
anhepatic and reperfusion phases compared with preoperative values (P<0.01). VWF
activity only showed significant increase during the reperfusion 60 min compared
with preoperative value (P<0.05). PT, INR, aPTT, and TT were prolonged
progressively, and they reached the maximum values at the beginning reperfusion
15 min compared with preoperative values (P<0.01). The AT-III, PC, TFPI, PLG, and
alpha2-AP levels were decreased significantly and D-dimer level was elevated
significantly throughout the intraoperative period. In the postoperative period,
these parameters progressively returned to preoperative levels. CONCLUSIONS: In
the entire process of OLT operation, coagulation defects, hyperfibrinolysis and
platelet numbers decrease could develop hemostatic disorder. The data obtained in
this study might contribute to a better understanding of the pathophysiology and
assessment of bleeding risk in the OLT.
PMID- 18048091
TI - Neither ovariectomy nor progestin treatment prevents endometrial neoplasia in
pten+/- mice.
AB - OBJECTIVE: Hormonal therapy for type I (endometrioid) endometrial carcinoma is
employed as both a conservative treatment option and for advanced or recurrent
disease, but outcome is often poor. Our objective was to test whether
ovariectomy, or ovariectomy followed by progestin treatment prevents the
development of endometrial lesions in the pten+/- mouse model of endometrial
cancer. METHODS: pten+/- mice underwent ovariectomy or sham surgery at 6 or 12
weeks of age. Groups of mice were sacrificed at 24, 30 or 40 weeks. Different
cohorts of pten+/- mice were ovariectomized at 6 or 12 weeks of age, followed by
medroxyprogesterone acetate (MPA) treatment at low or high-dose (25 or 200 mg
total dose, respectively) over 21 days, beginning at 30 weeks of age. Uteri from
all mice were examined by routine histology and immunohistochemistry. RESULTS:
Without MPA treatment, 16 of 18 ovariectomized animals developed endometrial
neoplasms (atypical hyperplasia or adenocarcinoma), as did all 9 sham surgery
mice. Immunophenotypes for all tumors were consistent with activation of the
phosphoinositidyl-3-kinase (PI3K) pathway, showing staining for phosphorylated
PKB/Akt, phosphorylated S6 ribosomal protein and phosphorylated GSK3alpha/beta.
All 10 mice treated with either low or high-dose MPA developed endometrial
tumors, again with persistent activation of the PI3K signaling pathway.
CONCLUSIONS: Development of endometrial neoplasms and constitutive activation of
the PI3K pathway in pten+/- mice is not affected by hormonal ablation or by
progestin treatment. Loss of PTEN expression is common during human endometrial
cancer development, and this may render lesions resistant to the effects of
hormonal manipulation leading to treatment failure.
PMID- 18048092
TI - A synthetic retinoid, TAC-101 (4-[3,5-bis (trimethylsilyl) benzamido] benzoic
acid), plus cisplatin: potential new therapy for ovarian clear cell
adenocarcinoma.
AB - OBJECTIVE: A novel retinoid, TAC-101 (4-[3,5-bis (trimethylsilyl) benzamido]
benzoic acid), induces apoptosis of ovarian clear cell adenocarcinoma. The
antitumor effect of TAC-101 alone or combined with cisplatin was tested using
human ovarian carcinoma. METHODS: Induction of genes related to apoptosis by TAC
101 or cisplatin was assessed by DNA microarray analysis. TAC-101 (8 mg/kg/day
orally for 21 days), cisplatin (7 mg/kg intravenously on day 1), or a combination
of both drugs at the same dosages was administered to nude mice implanted
subcutaneously with RMG-I or RMG-II clear cell adenocarcinoma cells. The
antitumor effect was evaluated by calculating the treated/control tumor volume
ratio at 21 days after implantation. The histoculture drug response assay was
also performed using fresh surgical specimens of human ovarian cancer to
determine the 50% inhibitory concentration (IC50). RESULTS: Different apoptosis
related genes were induced by TAC-101 and cisplatin. Compared with control mice,
the volume of both RMG-I and RMG-II tumors was significantly reduced (p<0.05) by
either drug. The IC50 values of cisplatin and TAC-101 showed a significant
correlation (p<0.01). CONCLUSION: These in vitro findings suggest that a
combination of TAC-101 and cisplatin may be a potential new treatment for ovarian
clear cell adenocarcinoma.
PMID- 18048093
TI - Role of PACAP in the physiology and pathology of the sympathoadrenal system.
AB - Sympathetic neurons and chromaffin cells derive from common sympathoadrenal
precursors which arise from the neural crest. Cells from this lineage migrate to
their final destination and differentiate by acquiring a catecholaminergic
phenotype in response to different environmental factors. It has been shown that
the neuropeptide pituitary adenylate cyclase-activating polypeptide (PACAP) and
its PAC1 receptor are expressed at early stages of sympathetic development, and
participate to the control of neuroblast proliferation and differentiation. PACAP
also acts as a neurotransmitter to stimulate catecholamine and neuropeptide
biosynthesis and release from sympathetic neurons and chromaffin cells, during
development and in adulthood. In addition, PACAP and its receptors have been
described in neuroblastoma and pheochromocytoma, and the neuropeptide regulates
the differentiation and activity of sympathoadrenal-derived tumoral cell lines,
suggestive of an important role in the pathophysiology of the sympathoadrenal
lineage. Transcriptome studies uncovered genes and pathways of known and unknown
roles that underlie the effects of PACAP in the sympathoadrenal system.
PMID- 18048094
TI - Repeated neonatal separation results in different neurochemical and behavioral
changes in adult male and female Mongolian gerbils.
AB - We assessed whether daily separation of Mongolian gerbils (Meriones unguiculatus)
from mothers and siblings during postnatal days 4-20 would produce behavioral and
neurochemical changes in adulthood that parallel some features of depression in
humans. Neonatal separation altered the behavior of adult females in the open
field test but not in the tail suspension test, and did not affect behavior of
males. Separated males, but not females, showed a significant decrease in
hippocampal brain derived neurotrophic factor (BDNF) relative to controls.
Western blot and optical densitometry measurements in the hippocampus did not
reveal significant group differences in synaptophysin levels in either sex, but
there was a tendency toward decreased levels of synaptophysin in the entire
hippocampus as well as the CA1 hippocampal subregion of separated males. Repeated
separation of neonates from mothers and siblings led to subtle behavioral and
neurochemical changes during adulthood that were expressed differently in male
and female gerbils.
PMID- 18048095
TI - Structural perturbation of alphaB-crystallin by zinc and temperature related to
its chaperone-like activity.
AB - alphaB-crystallin is a small heat shock protein that shows chaperone-like
activity, as it protects the aggregation of denatured proteins. In this work, the
possible relationships between structural characteristics and the biological
activity of alphaB-crystallin were investigated on the native protein and on the
protein undergoing the separate effects of metal ligation and temperature. The
chaperone-like activity of alphaB-crystallin increased in the presence of zinc
and when temperature was increased. By using fluorescent probes to monitor
hydrophobic surfaces on alphaB-crystallin, it was found that exposed hydrophobic
patches on the protein surface increased significantly both in the presence of
zinc and when the temperature was raised from 25 to 37 degrees C. The zinc
induced increased exposure of lipophilic residues is in agreement with
theoretical calculations performed on 3D-models of monomeric alphaB-crystallin,
and may be significant to its increased biological activity.
PMID- 18048097
TI - Choriogenin mRNA as a sensitive molecular biomarker for estrogenic chemicals in
developing brackish medaka (Oryzias melastigma).
AB - Teleost choriogenins, precursors of the inner layer subunits of the egg envelope,
are regarded as sensitive biomarkers for estrogenic pollutants. In this study,
two full-length cDNAs, omChgH and omChgL, which encode the choriogenin H and L
forms, respectively, were isolated from a brackish medaka, Oryzias melastigma.
17beta-Estradiol (E2; 10 microg/L)-dependent expression of omChgH and omChgL was
observed starting at embryonic stage 34 and restricted exclusively to the liver.
In hatchlings, E2 induction of omChgH was stronger than that of omChgL. Static
exposure of adult fish to E2 (0, 1, 10, 100, and 500 ng/L), 17alpha
ethinylestradiol (EE2; 0, 1, 10, 100 and 500 ng/L), 4-nonylphenol (NP; 0, 1, 10,
100, and 200 microg/L), and bisphenol A (BPA; 0, 1, 10, 100, and 200 microg/L) in
artificial seawater for 7 days resulted in dose-dependent induction of both genes
in the liver. In the male livers, the sensitivity of omChgH to these estrogenic
compounds was higher than that of omChgL; the lowest-observed-effect
concentrations (LOECs) of E2, EE2, NP, and BPA on omChgH were 10 ng/L, 10 ng/L,
100 microg/L and 100 microg/L, respectively, and on omChgL were 100 ng/L, 100
ng/L, 100 microg/L, and 200 microg/L, respectively. All these suggest that omChgH
can be used as a highly sensitive biomarker for monitoring estrogenic chemicals
in the marine environment.
PMID- 18048098
TI - Quantifying variability in phase portraits: application to gait ontogeny.
AB - We describe new Fourier- and shape-based methods for quantifying variation in
phase-portraits, and re-analyze previously-published ontogenetic and adult data
[Clark, J. E., & Phillips, S. J. (1993). A longitudinal study of intralimb
coordination in the first year of independent walking: A dynamical systems
approach. Child Development, 64, 1143-1157]. Results show considerable variation
between individuals and through development, but after 6 months of walking some
gait patterns stabilize.
PMID- 18048096
TI - Controlled release from multilayer silk biomaterial coatings to modulate vascular
cell responses.
AB - A multilayered silk fibroin protein coating system was employed as a drug carrier
and delivery system to evaluate vascular cell responses to heparin, paclitaxel,
and clopidogrel. The results demonstrated that the silk coating system was an
effective system for drug-eluting coatings, such as for stent applications, based
on its useful micromechanical properties and biological outcomes. Cell attachment
and viability studies with human aortic endothelial cells (HAECs) and human
coronary artery smooth muscle cells (HCASMCs) on the drug-incorporated silk
coatings demonstrated that paclitaxel and clopidogrel inhibited smooth muscle
cell (SMC) proliferation and retarded endothelial cell proliferation. Heparin
loaded silk multilayers promoted HAEC proliferation while inhibiting HCASMC
proliferation, desired outcomes for the prevention of restenosis. The
preservation of the phenotype of endothelial cells on silk and heparin-loaded
silk coatings was confirmed with the presence of endothelial markers CD-31, CD
146, vWF and VE-Cadherin using immunocytochemistry assays. A preliminary in-vivo
study in a porcine aorta showed integrity of the silk coatings after implantation
and the reduction of platelet adhesion on the heparin-loaded silk coatings.
PMID- 18048099
TI - Continuity of father-rated temperament from infancy to middle childhood.
AB - Continuity of father-rated temperament in Finnish children (n=115) aged from 6
months (the IBQ) to 5.5 years (the CBQ) was explored within the theoretical
framework developed by Rothbart. Father-rated activity level, smiling and
laughter and distress to limitations showed significant differential homotypic
and heterotypic continuity, while soothability, duration of orienting and fear
showed significant differential heterotypic continuity. On the level of latent
superconstructs, father-rated positive and negative infant affectivity accounted
for 5.1, 22.7 and 10.0% of the variance in childhood extraversion, effortful
control and negative affectivity, respectively. Inter-parental comparisons (n=109
family units) revealed that father-rated continuity was similar to mother-rated
continuity. These findings give empirical credence to Rothbart's theory and to
father-rated temperamental continuity from infancy onwards.
PMID- 18048100
TI - Infants in group care: their interactions with professional caregivers and
parents across the second year of life.
AB - Seventy children were observed during structured play with their primary
professional caregivers and three peers in the child care center and with their
primary caregiving parents at home at 15 and 23 months of age. The same
structured play tasks were used in the two settings and the quality of the
children's interactions with caregivers and parents was rated using the same 7
point scales. As expected, the quality of caregiver-child interactions
significantly increased between 15 and 23 months. At 15 months, the quality of
caregiver-child interactions was significantly lower than the quality of parent
child interactions, particularly with regard to caregiver supportive presence and
respect for the child's autonomy. At 23 months, however, the quality of caregiver
child interactions was no longer lower and in some respects even higher than the
quality of parent-child interactions. At both ages, the children expressed more
negativity towards their parents than towards their professional caregivers.
PMID- 18048101
TI - Ameliorating effects of compounds derived from Salvia miltiorrhiza root extract
on microcirculatory disturbance and target organ injury by ischemia and
reperfusion.
AB - Ischemia and reperfusion (I/R) exerts multiple insults in microcirculation,
frequently accompanied by endothelial cell injury, enhanced adhesion of
leukocytes, macromolecular efflux, production of oxygen free radicals, and mast
cell degranulation. Since the microcirculatory disturbance results in injury of
organ involved, protection of organ after I/R is of great importance in clinic.
Salvia miltiorrhiza root has long been used in Asian countries for clinical
treatment of various microcirculatory disturbance-related diseases. This herbal
drug contains many active water-soluble compounds, including protocatechuic
aldehyde (PAl), 3,4-dihydroxyphenyl lactic acid (DLA) and salvianolic acid B
(SalB). These compounds, as well as water-soluble fraction of S. miltiorrhiza
root extract (SMRE), have an ability to scavenge peroxides and are able to
inhibit the expression of adhesion molecules in vascular endothelium and
leukocytes. Moreover, lipophilic compounds of SMRE also prevent the development
of vascular damage; NADPH oxidase and platelet aggregation are inhibited by
tanshinone IIA and tanshinone IIB, respectively, and the mast cell degranulation
is blunted by cryptotanshinone and 15,16-dihydrotanshinone I. Thus, the water
soluble and lipophilic compounds of SMRE appear to improve the I/R-induced
vascular damage multifactorially and synergically. This review will summarize the
ameliorating effect of compounds derived from SMRE on microcirculatory
disturbance and target organ injury after I/R and will provide a new perspective
on remedy with multiple drugs.
PMID- 18048102
TI - [Editorial].
PMID- 18048103
TI - [Consciousness and emotion].
AB - This article focuses on the processes that lead to awareness of our own emotions,
which deserve particular attention in contemporary models of emotional
consciousness. The subjective component of emotion, or emotional experience, was
for a long time the most neglected aspect in the study of emotions although it
already constituted the initial point of discussion in the famous William James
still asked question : What is an emotion? More than a century later,
contemporary theories debate about this heritage. We examine the successive
historic contributions to the question of the determinants of our own emotional
experience: from James-Lange bodily changes to cognitive appraisal theories, also
relating the major role that the fundamental emotions theory attributed to facial
expressions. Twenty years after the debate about primacy of cognition or emotion,
both physiological-somatic and cognitive components are integrated in
contemporary approaches to emotions. However, their respective degree of
implication varies according to the different levels of emotional consciousness
which are modelized. It is on the last level that present models focus, level
that leads to consciousness of our emotional experience, benefiting from the
contributions of cognitive neurosciences. Models differ according to the role
devoted to neuronal substrates in determining emotional experience, but they
converge on the specification of a last level of consciousness, which is the only
one that allows the subject to be conscious of emotion as it is experienced
(feeling) and that what he is experiencing is an emotion. Then, different models
of emotional consciousness account for different varieties of emotion experience
and also for various cases of << unconscious >> emotions, that is occurrence of
emotion with a lack of awareness.
PMID- 18048104
TI - [A scientific model of consciousness: implications for neuropsychiatic diseases].
AB - Consciousness is an essential property of human cognition. According to the
"Global neuronal workspace" hypothesis designed by Dehaene et al., consciousness
results from amplification and synchronisation of distant processors.
Frontoparietal loops play a crucial role in this large scale synchronisation. At
any given time, many modular cerebral networks are active in parallel and process
information unconsciously. An information becomes conscious, however, if the
neural population that represents it is mobilized by top-down attentional
amplification into a brain-scale state of coherent activity. This long-distance
connectivity makes the information available to a variety of processes including
perceptual categorization, long-term memorization, evaluation, and intentional
action. Behavioral as well as neuroimaging studies using masked subliminal
perception support this theoretical view. Among neuropsychiatric disorders, many
neuroscientific studies have been devoted to schizophrenia. Some of them conclude
on a global brain disconnectivity rather than on specific and localised
perturbations. Hence conscious integration may be the core deficit in cognitive
disabilities observed in schizophrenia. As shown in recent results, threshold for
access to consciousness in schizophrenic patients compared with controls is
elevated whereas unconscious processes, such as the ones involved in subliminal
priming remain effective. We conclude on the potential use of the "global
neuronal workspace" model in other neuropsychiatric diseases such as Alzheimer's
disease or multiple sclerosis.
PMID- 18048105
TI - [Cerebral imaging and physiopathology of Alzheimer's disease].
AB - Alzheimer's disease (AD) is characterised by macroscopic cerebral damages which
can be studied in vivo with neuroimaging techniques, even at the earliest stage.
Studies were conducted in patients with amnestic Mild Cognitive Impairment (MCI)
who best represent incipient AD. Right temporo-parietal hypometabolism, assessed
by resting-state (18)FDG-PET, distinguishes patients who further develop AD from
those who remain stable. From the pre-dementia stage of MCI, atrophy of the
hippocampal region detected with structural MRI contrasts with functional
alteration of the posterior cingulate gyrus measured with (18)FDG-PET and SPECT.
Results from resting-state fMRI confirm this pattern of functional abnormalities
and highlight changes in the hippocampal region functional connectivity,
decreased with the posterior cingulate region, and increased with some frontal
areas. Altogether with a structural connectivity impairment highlighted by DTI,
those results support the hypothesis of a dysconnexion between the hippocampal
and the posterior cingulate regions. Finally, activation fMRI data support the
hypothesis of a functional compensation involving not only the frontal cortex but
also, at the pre-dementia stage, the hippocampal region. Thus, this synthesis
focuses on the hypotheses of dysconnexion and functional compensation, suggested
to explain the discrepancies between the structural and functional alteration
patterns, as well as on relevant results from resting-state fMRI, DTI and
activation fMRI studies. Furthermore, this synthesis emphasizes the relevance of
neuroimaging for the early detection of AD.
PMID- 18048106
TI - [Deficits in inhibitory processes in normal aging and patients with Alzheimer's
disease: a review].
AB - Empirical data suggest that inhibitory processing is impaired in normal aging. A
decrease in inhibitory processing may also play an important role in the
cognitive changes occurring in the early stages of Alzheimer's disease. The
comparison of inhibitory deficits in Alzheimer's disease and normal aging
emphasizes the need to discriminate quantitative changes in inhibitory
functioning from qualitative changes which may be specifically related to the
disease process. Inhibitory deficits in normal old adults and patients with
Alzheimer's disease, suggest different levels of implication of the inhibitory
processes. In the cognitive literature, the construct of inhibition, frequently
used, is however difficult to define as it refers to many different phenomena.
The question of whether or not inhibitory processes are to be considered as a
single unit is challenging, and many authors suppose the existence of several
distinct inhibitory mechanisms. A taxonomy of inhibition, based on proposals from
Nigg and Soltzfus et al., is proposed to facilitate studies of inhibitory
dysfunction. Such approach allows a clarification between inhibition deficits
specific to normal aging and to Alzheimer's disease.
PMID- 18048107
TI - [Conversion disorder in the elderly].
AB - The dismemberment of the concept of neurosis, particularly of hysteria, in the
current classifications (DSM-III and following, ICD-10) has led the authors to
consider the concept of somatisation and the various situations included in it.
Somatic disorders as the manifestation of a mental disorder, often of a
depressive or anxious nature, bring back to the forefront both hysteria and its
semiological forms under the global term "somatoform disorders", and in parallel
with new pathologies, somatic symptoms as specific behaviors associated with the
representation of a morbid condition from the point of view of an inhabitual
physical perception. The expression of the psychic apparatus into the body, and
its various clinical manifestations, has no direct specificity in the elderly.
However, it is both frequent, and frequently misunderstood, thus requiring
particular vigilance from the physician when confronted with physical complaints
among elderly patients in whom the link between the body and the psychic
apparatus takes on a particular role with the approach of death and the
bereavement process that it requires. A structural approach, and search for event
driven factors and psychodynamic elements should be the key points of the
clinician's approach.
PMID- 18048108
TI - [Elderly distress: cognitive schemas identification].
AB - International classifications of psychiatric disorders appear to be insufficient
to account for the psychological distress associated with aging. Identification
of cognitive schemas can be very useful for the diagnostic and therapeutic levels
in aged subjects. The aim of this study was to explore the facets of cognitive
distress in these subjects, that is how can be integrated specific diagrams
related to psychological changes related to aging with more general schemas
identified with young adults, and whose interest was confirmed in old subjects.
An inventory of sixty thoughts representative of maladaptive schemas was proposed
to 160 subjects aged from 65 to 102 years and to a control group of 38 subjects
aged from 50 to 64 years. The factorial analysis results showed that 9 distinct
schemas accounted for 60% of the explained variance: six general (fear of losing
control, dependence, vulnerability, abandonment, incompetence, self-sacrifice)
and three specific to aging (disengagement, refusal of assistance, loss of
individuality). A minority of schemas presented marked links with depression or
anxiety without never merging with their assessment. In the same way, autonomy
and institutionalization were significantly linked to some schemas, in particular
those specific to aging. The interest to develop an standardized inventory from
this set of schemas is discussed.
PMID- 18048110
TI - [The beginnings of robotic surgery--from the roots up to the da Vinci
telemanipulator system].
AB - The history of the robotic surgery is only 22 years old. The article gives a
short overview regarding the history of robotics, the surgical robots, the da
Vinci telemanipulator system and some further commercial and experimental
surgical robotic surgical simulation is also emphasized. Robotic surgery has its
own place within the following concepts: 1. computer assisted surgery (CAS), 2.
computer integrated surgery (CIS), 3. surgical automation, 4. surgical system
integration and 5. artificial intelligence (AI). At the end of the paper there
are some important sources of informations regarding robotic surgery.
PMID- 18048109
TI - [Executive functioning and normal aging: a study of arithmetic word-problem
solving].
AB - Recent studies suggest that executive functions are among the first cognitive
functions to decline with normal aging. METHODS: We studied the effect of age on
executive functions using a set of 13 arithmetic word problems including 9
problems of increasing complexity which were solvable in 2, 3, 4 operations, and
4 aberrant problems. Three groups participated in the study: 18 young, 18 adult
and 18 elderly participants. RESULTS: The results showed that elderly
participants were more impaired to resolve complex arithmetic word problems,
without deficit in their ability to eliminate aberrant problems. CONCLUSION: The
current elderly sample has a dissociated pattern of performance similar to that
of some patients with frontal lobe lesions. In these patients, relations were
found between the inability to generate correct algorithms of resolution for
arithmetic word problems of increasing complexity and lesions in the dorsolateral
prefrontal cortex, and between the impaired inhibition of unsolvable word
problems and lesions in the orbitofrontal regions. We suggest that cognitive
aging could be better interpreted in terms of changes in some frontal systems
rather than in all-encompassing frontal deterioration.
PMID- 18048111
TI - [The maternal and fetal outcome of 122 triplet pregnancies].
AB - INTRODUCTION: The wide use of infertility drugs and assisted reproduction has
resulted in 4- to 5-fold increase in the incidence of triplet pregnancies, which
carry an extremely high risk of maternal complications and adverse perinatal
outcome. In Hungary, reduction of multifetal pregnancies is available for all
pregnant women with multifetal gestation since 1998. The goal of the procedure is
to ensure better outcome for surviving fetuses. Counseling of pregnant patients
should include the maternal and fetal risks of triplet gestation without
multifetal pregnancy reduction. AIM: To assess the risk of maternal
complications, stillbirth, perinatal and neonatal mortality rates, and risk of
neonatal morbidity in non-reduced triplets in a large case series, representing
the Hungarian triplet population. METHODS: The study population consisted of
triplets delivered between July 1st, 1990 and June 30th, 2006, at the 1st
Department of Obstetrics and Gynecology. All three fetuses had to be alive on the
18th-week ultrasound scan to be eligible. RESULTS: Out of the 122 cases, 8 (6.6%)
ended in midtrimester miscarriage, 114 (93.4%) ended in delivery. There were no
maternal deaths. The most common antepartum maternal complications were pregnancy
induced hypertension (16.7%), gestational diabetes mellitus (18.4%),
thrombocytopenia (20.2%), anemia (16.7%) and intrahepatic cholestasis (9.7%).
Preterm labor requiring tocolysis occurred in 57.9%, preterm premature rupture of
membranes in 32.5%. Prophylactic cerclage was performed in 15.8% of cases, and
69.3% of patients received steroid prophylaxis. The mean gestational age at
delivery was 32.3 +/- 3.2 weeks. The rates of very early (<28 weeks) and early
(<32 weeks) preterm deliveries were 8.8% and 42.1%, respectively. The mean 5
minute Apgar score was 9.2 +/- 0.8, and the mean birth weight at delivery was
1664 +/- 506 g. 38.0% of infants were very low birth weight (<1500 g).
Stillbirth, crude perinatal mortality and corrected perinatal mortality rates
were 23.4 per thousand, 64.3 per thousand and 27.4 per thousand, respectively.
11.7 per thousand of infants had some major congenital anomaly. 54.4% of infants
required ventilation or oxygen therapy or both. The most common neonatal
complication were respiratory distress (17.1%), transitory tachypnea (5.2%),
sepsis or pneumonia (25.5%), intraventricular hemorrhage (4.3%) and jaundice
(11.4%). CONCLUSIONS: Both the maternal and neonatal risks should be considered
when patients with triplets are counseled before the decision to continue the
triplet gestation or to choose multifetal pregnancy reduction is made.
PMID- 18048112
TI - [Clinical utilization of combined rosiglitazone and glimepiride in the treatment
of type 2 diabetes mellitus].
AB - The cardiovascular mortality rate increases worldwide. The most important factor
of the lethal outcome is the type 2 diabetes mellitus. For the treatment of this
disease there are several preparations, thus the drugs increasing insulin
secretion (insulin secretagogues), the compounds enhancing insulin effect
(insulin sensitisers) and glucose absorption inhibitors. These can be applied
both in monotherapy and in combination mode. Among the drugs approved the
combination of glimepiride and rosiglitazone have got a great importance in
routine use. Glimepiride stimulates the beta-cell secretion and leads to
reduction of blood glucose values, rosiglitazone stimulates peroxisome
proliferator-activated receptor-gamma and improves insulin resistance, at the
vascular and metabolically active cells. The combination of glimepiride and
rosiglitazone is generally well tolerated and their use of a fixed combination
may lead to improved adherence of the patients to their therapy.
PMID- 18048113
TI - [Evaluation of second-line chemotherapies most often used in recurrent epithelial
ovarian cancer].
AB - INTRODUCTION: Second-line chemotherapies result in a progression-free interval of
2,3-42 months. AIM: The authors evaluated the efficacy of second-line paclitaxel
carboplatin, topotecan, and cisplatin-epirubicin-etoposide combinations. METHODS:
Paclitaxel-carboplatin (175 mg/m2 and AUC 5) in 3-week intervals and topotecan
(1.5 mg/m2/d1-3) in 3-week intervals was given to 13 and 16 patients. The triple
combination of cisplatin-epirubicin-etoposide was applied in 48 patients in 4
week courses, with doses of 33 mg/m2/d1-3, 60 mg/m2/d1, and 100 mg/m2/d1-3.,
respectively. The progression-free interval was calculated by the product limit
method of Kaplan-Meier. RESULTS: A significantly better progression-free interval
was observed in treatments with paclitaxel-carboplatin and cisplatin-epirubicin
etoposide compared to the topotecan chemotherapy (5 and 5.5 months vs. 4 months,
respectively, p = 0.0324 and p = 0.0087). A better progression-free interval was
found in the platinum-sensitive tumors compared to the platinum-resistant ones by
the above mentioned combinations (6 and 3.5 months, 7 and 4 months, and 6.5 and
3.5 months, respectively). CONCLUSION: The lower priced cisplatin-epirubicin
etoposide combination resulted in a slightly better efficacy compared to the 2
other treatments both in platinum-sensitive and platinum-resistant tumors.
PMID- 18048114
TI - [Effective treatment of a hormonally inactive carcinoid tumour with somatostatin
analogues: application of serum chromogranin-A for clinical follow-up].
AB - INTRODUCTION: Hormonally inactive carcinoids represent a significant proportion
of all carcinoids tumours. Classical biochemical and hormonal parameters are not
suitable for the diagnosis and follow-up of these tumours. However, the tumour
marker chromogranin A that is characteristic for several neuroendocrine tumours
and secreted by the majority of these tumours as well, may offer a better means
of diagnosis and follow-up. Somatostatin receptors are expressed by hormonally
inactive carcinoids and the presence of these receptors has important diagnostic
and therapeutic consequences. CASE REPORT: The authors present the history of a
patient with a hormonally inactive bronchial carcinoid tumour. After surgical
removal of the bronchial carcinoid, liver metastases developed which were found
to be somatostatin receptor positive. Somatostatin analogue treatment was
introduced, followed by Yttrium-isotope labelled somatostatin analogue therapy.
Serum chromogranin A was elevated before somatostatin treatment, and gradually
decreased in parallel with therapy. The size of liver metastases remained
unchanged during treatment. CONCLUSIONS: Chromogranin A can be efficiently
applied for the clinical follow-up of hormonally inactive carcinoid tumours.
Somatostatin analogues may be effective for preventing tumour progression not
only in hormone-secreting but also in hormonally inactive carcinoid tumours.
PMID- 18048117
TI - [Interview with Dr. Sandor Eckhardt].
PMID- 18048118
TI - An optimal DNA segmentation based on the MDL principle.
AB - The biological world is highly stochastic and inhomogeneous in its behaviour.
There are regions in DNA with a high concentration of G or C bases; stretches of
sequences with an abundance of CG dinucleotide (CpG islands); coding regions with
strong periodicity-of-three pattern, and so forth. Transitions between these
regions of DNA, known also as change points, carry important biological
information. Computational methods used to identify these homogeneous regions are
called segmentations. Viewing a DNA sequence as a non-stationary process, we
apply recent novel techniques of universal source coding to discover stationary
(possibly recurrent) segments. In particular, the Stein-Ziv lemma is adopted to
find an asymptotically optimal discriminant function that determines whether two
DNA segments are generated by the same source assuring exponentially small false
positives. Next, we use the Minimum Description Length (MDL) principle to select
parameters that lead to a linear-time segmentation algorithm. We apply our
algorithm to human chromosome 9 and chromosome 20 to discover coding and
noncoding regions, starting positions of genes, as well as the beginning of CpG
islands.
PMID- 18048119
TI - Dynamic visual data mining: biological sequence analysis and annotation using
SeqVISTA.
AB - In the post-genomic era, the volume of public sequence databases is increasing
exponentially and visualisation-centric techniques have become more and more
important in biological sequence analysis and annotation. In this paper, we
present a methodology called dynamic visual data mining (DVDM), which combines
biological object modelling, interactive display, and data analysis tools into
one integrative platform. Using Java Development Kit v1.4, an object-oriented
software named SeqVISTA has been developed based on DVDM. To illustrate the
application of SeqVISTA, the following examples are shown: regular expression
pattern matching; comparative analysis of alternative exon splicing patterns;
Fourier analyses; exon prediction (MZEF and GENSCAN). Overall, we argue that DVDM
is an important technique for biologists to unveil the information hidden behind
the large genomic and proteomic databases, and SeqVISTA provides a versatile tool
that integrates multiple computational algorithms for meeting biologists' data
mining needs.
PMID- 18048120
TI - How noisy and replicable are DNA microarry data?
AB - This paper analyses variability in highly replicated measurements of DNA
microarray data conducted on nylon filters and Affymetrix GeneChips with
different cDNA targets, filters, and imaging technology. Replicability is
assessed quantitatively using correlation analysis as a global measure and
differential expression analysis and ANOVA at the level of individual genes.
PMID- 18048121
TI - A three-stage framework for gene expression data analysis by L1-norm support
vector regression.
AB - The identification of discriminative genes for categorical phenotypes in
microarray gene expression data analysis has been extensively studied, especially
for disease diagnosis. In recent biological experiments, continuous phenotypes
have also been dealt with. For example, the extent of programmed cell death
(apoptosis) can be measured by the level of caspase 3 enzyme. Thus, an effective
gene selection method for continuous phenotypes is desirable. In this paper, we
describe a three-stage framework for gene expression data analysis based on L1
norm support vector regression (L1-SVR). The first stage ranks genes by recursive
multiple feature elimination based on L1-SVR. In the second stage, the minimal
genes are determined by a kernel regression, which yields the lowest ten-fold
cross-validation error. In the last stage, the final non-linear regression model
is built with the minimal genes and optimal parameters found by leave-one-out
cross-validation. The experimental results show a significant improvement over
the current state-of-the-art approach, i.e., the two-stage process, which
consists of the gene selection based on L1-SVR and the third stage of the
proposed method.
PMID- 18048122
TI - BioStar models of clinical and genomic data for biomedical data warehouse design.
AB - Biomedical research is now generating large amounts of data, ranging from
clinical test results to microarray gene expression profiles. The scale and
complexity of these datasets give rise to substantial challenges in data
management and analysis. It is highly desirable that data warehousing and online
analytical processing technologies can be applied to biomedical data integration
and mining. The major difficulty probably lies in the task of capturing and
modelling diverse biological objects and their complex relationships. This paper
describes multidimensional data modelling for biomedical data warehouse design.
Since the conventional models such as star schema appear to be insufficient for
modelling clinical and genomic data, we develop a new model called BioStar
schema. The new model can capture the rich semantics of biomedical data and
provide greater extensibility for the fast evolution of biological research
methodologies.
PMID- 18048123
TI - Mining and analysing scale-free protein-protein interaction network.
AB - Protein-protein interaction network is essential to understand the fundamental
processes that govern cell biology. In this paper, we integrate information
extraction and data mining techniques to extract and mine the scale-free protein
protein interaction network from biomedical literature. The experiments on around
1,600 chromatin proteins indicate that our system is very promising for mining
and analysing protein-protein interaction network.
PMID- 18048124
TI - Signal processing for functional analysis of protein mutants.
AB - We present a new technique to predict the functional behaviour of protein mutants
using their primary sequence. The amino acid sequences of proteins are used to
form biochemical signals. Signal processing techniques are used to extract
functionally meaningful measures from these signals. These computational measures
are then used to distinguish functional classes of mutant proteins.
PMID- 18048125
TI - An overview of protein-folding techniques: issues and perspectives.
AB - The importance of protein folding has been recognised for many years. Almost a
half century ago, Linus Pauling discovered two quite simple, regular arrangements
of amino acids--the alpha-helix and the beta-sheet that are found in almost every
protein. In the early 1960s, Christian Anfinsen showed that the proteins actually
"tie" themselves: If proteins become unfolded, they fold back into proper shape
of their own accord; no shaper or folder is needed. The nature of the unfolded
state plays a great role in understanding proteins. Alzheimer's disease, cystic
fibrosis, mad cow disease, and many cancers are inherited emphysema. Recent
discoveries show that all these apparently unrelated diseases result from protein
folding gone wrong. Theoretical and computational studies have recently achieved
noticeable success in reproducing various features of the folding mechanism of
several small to medium-sized fast-folding proteins. This survey presents the
state-of-the-art in protein structure prediction methods from a computer
scientist perspective.
PMID- 18048126
TI - Highly scalable algorithms for robust string barcoding.
AB - String barcoding is a recently introduced technique for genomic based
identification of microorganisms. In this paper, we describe the engineering of
highly scalable algorithms for robust string barcoding. Our methods enable
distinguisher selection based on whole genomic sequences of hundreds of
microorganisms of up to bacterial size, on a well equipped workstation.
Experimental results on both randomly generated and NCBI genomic data show that
whole-genome based selection results in a number of distinguishers nearly
matching the information theoretic lower bounds for the problem.
PMID- 18048127
TI - Identifying d positive clones in the presence of inhibitors.
AB - Farach et al. introduced the inhibitor model in pooling design, where existence
of a single inhibitor clone in a pool dictates its outcome to be negative
regardless of the existence of positive clones in the pool. Various sequential or
multiround pooling designs have been given to identify all the positive clones
under the inhibitor model. Recently, Hwang and Liu gave a (one round) pooling
design for the inhibitor model which is error tolerant. More specifically,
suppose the set of n clones to be screened contains up to d positive clones, up
to r inhibitors and the pooling experiments can generate up to e errors, they
show that a (d + r + 2e)-disjunct matrix does the job. In this paper, we give a
pooling design for the case that among n clones exactly d are positive. We reduce
the requirement of (d + r + 2e)-disjunctness to (d + r + e)-disjunctness, which
would mean the saving of many pools. We also show how our design can be used to
identify all positive clones when their number is, at most, d.
PMID- 18048128
TI - Logistic support vector machines and their application to gene expression data.
AB - One important feature of the gene expression data is that the number of genes m
far exceeds the number of samples n. When applied to analyse the gene expression
data, standard statistical methods do not work well when n < m. Development of
new methodologies or modification of existing methodologies is needed for the
analysis of microarray data. Support vector machine (SVM) has been applied in
gene expression data classification. In traditional SVM classification, a
classifier is usually built by a small subset of samples called support vectors.
This may cause a loss of available information since the number of samples in a
gene expression dataset is usually very small. In this paper, we introduce a
logistic support vector machine (LSVM) algorithm for the classification task. In
LSVM, all the samples are used as support vectors and parameters are estimated
via the maximum a posteriori (MAP) estimation procedure. The proposed algorithm
also has the advantage of providing an estimate of the underlying probability.
This algorithm was applied to five different gene expression datasets.
Computational results show that compared with popular classification methods such
as traditional SVM, our algorithm usually leads to an improvement in
classification accuracy.
PMID- 18048129
TI - Effective statistical features for coding and non-coding DNA sequence
classification for yeast, C. elegans and human.
AB - This study performs a quantitative evaluation of the different coding features in
terms of their information content for the classification of coding and non
coding regions for three species. Our study indicated that coding features that
are effective for yeast or C. elegans are generally not very effective for human,
which has a short average exon length. By performing a correlation analysis, we
identified a subset of human coding features with high discriminative power, but
complementary in their information content. For this subset, a classification
accuracy of up to 90% was obtained using a simple kNN classifier.
PMID- 18048130
TI - Recombination and phylogeny: effects and detection.
AB - The role of phylogeny in guiding comparative studies is rapidly growing in the
post genomic era. Most phylogeny reconstruction methods though, assume a single
tree underlying a given alignment of sequences. However, when events such as
recombination occur, different regions in the alignment may have different
underlying trees. In this paper, we demonstrate via simulations, the effect of
recombination on the accuracy of phylogeny reconstruction methods. Our results,
coupled with the significance of recombination as an evolutionary mechanism, make
it imperative to devise efficient and accurate methods for detecting
recombination in sequence datasets. Hence, we introduce a simple, yet effective,
method for detecting recombination in a given alignment, based on incongruence
among phylogenetic trees in different regions of the alignment. We have studied
the performance of our method on synthetic and biological datasets, and obtained
good results.
PMID- 18048131
TI - A districted neural network for start codon prediction.
AB - Following the theory on regional and national voting, we constructed a regional
voting version NN from Pedersen-Nielsen's NN for start codon prediction in
nucleotide sequences. Experiments on the TIS data of vertebrate and Arabidopsis
thaliana sequences showed improved performances (Matthews correlation
coefficients) of 7% and 14% over the original NN.
PMID- 18048132
TI - Family trio phasing and missing data recovery.
AB - Although there exist many phasing methods for unrelated adults or pedigrees,
phasing and missing data recovery for data representing family trios is lagging
behind. This paper is an attempt to fill this gap by considering the following
problem. Given a set of genotypes partitioned into family trios, find for each
trio a quartet of parent/offspring haplotypes explaining each trio without
recombinations and recovering the SNP values missed in given genotype data. Our
contributions include: formulating the pure-parsimony trio phasing without
recombinations and the trio missing data recovery problems; proposing new greedy
and integer linear programming based solution methods; extensive experimental
validation of proposed methods showing advantage over the previously known
methods.
PMID- 18048133
TI - Simultaneous alignment and structure prediction of three RNA sequences.
AB - Comparative RNA sequence analyses have contributed remarkably accurate
predictions. The recent determination of the 30S and 50S ribosomal subunits
bringing more supporting evidence. Several inference tools are combining free
energy minimisation and comparative analysis to improve the quality of secondary
structure predictions. This paper investigates the following hypotheses: the use
of three input sequences improves the average accuracy compared to predictions
based on two input sequences; the worse prediction (minimum accuracy) for any
sequence should be more accurate when three input sequences are used rather than
two; finally, the consensus structure of three sequences is probably less
representative of the individual sequences. The average coverage should be less.
PMID- 18048134
TI - Linear reduction method for predictive and informative tag SNP selection.
AB - Constructing a complete human haplotype map is helpful when associating complex
diseases with their related SNPs. Unfortunately, the number of SNPs is very large
and it is costly to sequence many individuals. Therefore, it is desirable to
reduce the number of SNPs that should be sequenced to a small number of
informative representatives called tag SNPs. In this paper, we propose a new
linear algebra-based method for selecting and using tag SNPs. We measure the
quality of our tag SNP selection algorithm by comparing actual SNPs with SNPs
predicted from selected linearly independent tag SNPs. Our experiments show that
for sufficiently long haplotypes, knowing only 0.4% of all SNPs the proposed
linear reduction method predicts an unknown haplotype with the error rate below
2% based on 10% of the population.
PMID- 18048135
TI - Ridge regression based hybrid genetic algorithms for multi-locus quantitative
trait mapping.
AB - Genetic algorithms (GAs) are increasingly used in large and complex optimisation
problems. Here we use GAs to optimise fitness functions related to ridge
regression, which is a classical statistical procedure for dealing with a large
number of features in a multivariable, linear regression setting. The algorithm
avoids overfitting, gracefully handles collinearity and leads to easily
interpretable results. We use the method to model the relationship between a
quantitative trait and genetic markers in a mouse cross involving 69 F2 mice. The
approach will be useful in the context of many genomic data sets where the number
of features far exceeds the number of observations and where features can be
highly correlated.
PMID- 18048136
TI - A software system for gene sequence database construction based on fast
approximate string matching.
AB - We propose a web-based software system for sequence acquisition and database
construction. An example application of this system is to construct a ribosomal
RNA gene (rDNA) sequence database to facilitate the study of microbial
communities. A fast and accurate approximate string matching algorithm is
implemented to fetch rDNA sequences sandwiched by two given primers from GenBank.
A homology search algorithm based on Basic-Local-Alignment-Search-Tool (BLAST) is
then used to extract rDNA sequences that do not contain the primers. This two
step process leads to an rDNA sequence database for a specific taxonomic group.
We consider the distance between the occurrences of the two given primers,
mismatches and degeneracy when performing string matching. In the homology
search, a chaining algorithm is combined with BLAST to obtain global alignments
based on local alignments. This system can be used in many biological
applications.
PMID- 18048137
TI - A bottom-up clustering algorithm to detect ncRNA molecules with a common
secondary structure.
AB - Recently, there has been much interest in exploring the universe of non-protein
coding RNA molecules that operate in the cell. We suggested an approach using a
simple two-dimensional representation of RNA molecules that can identify common
structural features of RNA molecules. Here, we address a common situation in
which there is a large and diverse population of candidate molecules, and the
task is to identify a small subset (or subsets) of RNA molecules that share a
common structure. With certain constraints, our algorithm enumerates all possible
sets of RNA molecules that have a common structure by first grouping together all
molecules that have a single common structural feature and, using an iterative
approach, search for subsets that share additional structural motifs. In a
computational experiment, we were able to detect members of three small classes
of RNA molecules, each containing several dozen members that were mixed in a
population of 2778 non-coding sequences common to two trypanosome species.
PMID- 18048138
TI - Corpus based learning of stochastic, context-free grammars combined with Hidden
Markov Models for tRNA modelling.
AB - In this paper, a new method for modelling tRNA secondary structures is presented.
This method is based on the combination of stochastic context-free grammars
(SCFG) and Hidden Markov Models (HMM). HMM are used to capture the local
relations in the loops of the molecule (nonstructured regions) and SCFG are used
to capture the long term relations between nucleotides of the arms (structured
regions). Given annotated public databases, the HMM and SCFG models are learned
by means of automatic inductive learning methods. Two SCFG learning methods have
been explored. Both of them take advantage of the structural information
associated with the training sequences: one of them is based on a stochastic
version of the Sakakibara algorithm and the other one is based on a Corpus based
algorithm. A final model is then obtained by merging of the HMM of the
nonstructured regions and the SCFG of the structured regions. Finally, the
performed experiments on the tRNA sequence corpus and the non-tRNA sequence
corpus give significant results. Comparative experiments with another published
method are also presented.
PMID- 18048139
TI - Improved protein fold assignment using support vector machines.
AB - Because of the relatively large gap of knowledge between number of protein
sequences and protein structures, the ability to construct a computational model
predicting structure from sequence information has become an important area of
research. The knowledge of a protein's structure is crucial in understanding its
biological role. In this work, we present a support vector machine based method
for recognising a protein's fold from sequence information alone, where this
sequence has less similarity with sequences of known structures. We have focused
on improving multi-class classification, parameter tuning, descriptor design, and
feature selection. The current implementation demonstrates better prediction
accuracy than previous similar approaches, and has similar performance when
compared with straightforward threading.
PMID- 18048140
TI - Cellular function prediction and biological pathway discovery in Arabidopsis
thaliana using microarray data.
AB - Determination of protein function and biological pathway is one of the most
challenging problems in the post-genomic era. To address this challenge, we have
developed a new integrated probabilistic method for cellular function prediction
using microarray gene expression profiles, in conjunction with predicted protein
protein interactions and annotations of known proteins. Our approach is based on
a novel assessment for the relationship between correlation of two genes'
expression profiles and their functional relationship in terms of the Gene
Ontology (GO) hierarchy. We applied the method for function prediction of
hypothetical genes in Arabidopsis. We have also extended our method using
Dijkstra's algorithm to identify the components and topology of signaling pathway
of phosphatidic acid as a second messenger in Arabidopsis.
PMID- 18048141
TI - Fast chromosome karyotyping by auction algorithm.
AB - We consider the problem of automated classification of human chromosomes or
karyotyping and study discrete optimisation algorithms to solve the problem as
one of joint maximum likelihood classification. We demonstrate that the auction
algorithm offers a simpler and more efficient solution for chromosome karyotyping
than the previously known transportation algorithm, while still guaranteeing
global optimality. This improvement in algorithm efficiency is made possible by
first casting chromosome karyotyping into a problem of optimal assignment and
then exploiting the sparsity of the assignment problem due to the inherent
properties of chromosome data. Furthermore, the auction algorithm also works when
the chromosome data in a cell are incomplete due to the exclusion of overlapped
or severely bent chromosomes, as often encountered in routine quality data.
PMID- 18048142
TI - Optimal group testing algorithms with interval queries and their application to
splice site detection.
AB - Given an ordered set of n items and an unknown subset P of up to p positive
elements, we want to identify P by asking the least number of queries 'does Q
intersect P?' where Q must consist of consecutive elements. This Interval Group
Testing problem arises in the context of splice site detection in genes. We study
algorithms that operate in a few stages where queries chosen depending on
previous answers, are performed in parallel. We obtain tight bounds for two-stage
strategies. Finally, we get results for any number of stages and positives.
PMID- 18048143
TI - Biomolecular autonomous solution of the Hamiltonian path problem via hairpin
formation.
AB - The Hamiltonian path problem is one of the famous hard combinatorial problems. We
provide the first molecular-scale autonomous solution of the decision Hamiltonian
path problem. It is based on the formation of secondary structures of DNA
molecules.
PMID- 18048144
TI - Temporal gene expression classification with regularised neural network.
AB - This paper proposes regularised neural networks for characterisation of the
multiple heterogeneous temporal dynamic patterns of gene expressions.
Regularisation is developed to deal with noisy, high dimensional time course data
and overfitting problems. We test the proposed model with a popular gene
expression data. The model's performance is compared to other classification
techniques, such as Nearest Neighbour, Support Vector Machine, and Self Organised
Map. Results show that the proposed model can effectively capture the dynamic
feature of gene expression temporal patterns despite the high noise levels, the
highly correlated attributes, the overwhelming interactions, and other complex
features typically present in microarray data.
PMID- 18048145
TI - Protein-protein interaction and group testing in bipartite graphs.
AB - The interactions between bait proteins and prey proteins are often critical in
many biological processes, such as the formation of macromolecular complexes and
the transduction of signals in biological pathways. Thus, identifying all protein
protein interactions is an important task in those processes, which can be
formulated as a group testing problem in bipartite graphs. In this paper, we take
the advantages of the characteristics of bipartite graphs and present two
nonadaptive algorithms for this problem. Furthermore, we illustrate a
generalisation of our solution in a more general case.
PMID- 18048146
TI - Protein secondary structure prediction by combining hidden Markov models and
sliding window scores.
AB - Instead of conformation states of single residues, refined conformation states of
quintuplets are proposed to reflect conformation correlation. Simple hidden
Markov models combined with sliding window scores are used to predict the
secondary structure of a protein from its amino acid sequence. Since the length
of protein conformation segments varies within a narrow range, we can ignore the
duration effect of the length distribution. The window scores for residues are a
window version of the Chou-Fasman propensities estimated under an approximation
of conditional independency. Different window widths are examined, and the
optimal width is found to be 17. A high accuracy of about 70% is achieved.
PMID- 18048147
TI - Reconstructing phylogenetic trees of prokaryote genomes by randomly sampling
oligopeptides.
AB - In this paper, we propose a method for reconstructing phylogenetic trees of a
given set of prokaryote organisms by randomly sampling relatively small
oligopeptides of a fixed length from their complete proteomes. For each of the
organisms, a vector of frequencies of the sampled oligopeptides is generated and
used as a building block in reconstructing phylogenetic trees. By this procedure,
multiple phylogenetic trees are created independently, and a consensus tree of
those trees is created. We have applied our method to a set of 109 organisms,
including 16 Archaea, 87 Bacteria, and 6 Eukarya, using around 10% of all the
3,200,000 oligopeptides of length 5 in a reconstruction of a single phylogenetic
tree. Our consensus tree agrees with the tree of Bergey's Manual in most of the
basic taxa. In addition, they have almost the same quality as the trees of the
same organisms reconstructed using all the 20K
oligopeptides of length K = 5 and 6 given by Qi et al. Thus we can conclude that,
the frequencies of a relatively small number of oligopeptides of length 5, even
if those oligopeptides are determined in a random method, has phylogenetic
information almost equivalent to the frequencies of all the oligopeptides of
length 5 or 6.
PMID- 18048148
TI - Wavelet transformation and cluster ensemble for gene expression analysis.
AB - This paper introduces a wavelet transformation and a cluster ensemble framework
using graph theory for clustering gene expression data sets. The experiment
results indicate that wavelet transformation and cluster ensemble approaches
together yield better clustering results than the single best clustering
algorithm on both synthetic and yeast gene expression data sets.
PMID- 18048149
TI - Experimental analysis of a new algorithm for partial haplotype completion.
AB - This paper deals with the computational problem of inferring complete information
on haplotypes from haplotypes with missing data. This problem is one of the main
issues in haplotyping, as the current DNA sequencing technology often produces
haplotypes with missing bases and therefore the complete information on
haplotypes has to be inferred through computational methods. In this paper, we
propose a new algorithmic approach to the problem that assumes both the
Coalescent and the Minimum Entropy models and we provide an experimental analysis
relating it to the previously investigated approaches. In particular, the
reconstruction of a perfect phylogeny from haplotypes with missing data is
addressed.
PMID- 18048150
TI - Predicting altered pathways using extendable scaffolds.
AB - Many diseases, especially solid tumors, involve the disruption or deregulation of
cellular processes. Most current work using gene expression and other high
throughput data, simply list a set of differentially expressed genes. We propose
a new method, PAPES (predicting altered pathways using extendable scaffolds), to
computationally reverse-engineer models of biological systems. We use sets of
genes that occur in a known biological pathway to construct component process
models. We then compose these models to build larger scale networks that capture
interactions among pathways. We show that we can learn process modifications in
two coupled metabolic pathways in prostate cancer cells.
PMID- 18048151
TI - Jumpstarting phylogenetic analysis.
AB - Phylogenetic analysis is a central tool in studies of comparative genomics. When
a new region of DNA is isolated and sequenced, researchers are often forced to
throw away months of computation on an existing phylogeny of homologous sequences
in order to incorporate this new sequence. The previously constructed trees are
often discarded, and the researcher begins the search again from scratch. The
jumpstarting algorithm uses trees from the prior search as a starting point for a
new phylogenetic search. This technique drastically decreases search time for
large data sets. This kind of analysis is necessary as researchers analyse tree
of life size data sets.
PMID- 18048152
TI - Discriminating TATA box from putative TATA boxes in plant genome.
AB - The TATA box has been used successfully to identify a transcription start site
(TSS) and thereby a promoter. Unfortunately, there are many substrings which fit
the profile of a TATA box and such substrings are called putative TATA boxes. We
have applied linear and non linear classifiers for discriminating TATA box from
putative TATA boxes and have compared their performances. We have also
investigated the influence of the length of the pair of sequences flanking a
putative TATA box on the prediction accuracy. The techniques we have presented in
this paper are general enough to be applicable to other domains or to other
genomes.
PMID- 18048153
TI - A rich analytical environment for flow cytometry experimental results.
AB - Existing analysis tools for flow cytometry data offer specialised but limited
functionality. This work presents advantages of combining the cytometer's data
with sample-specific information. Data is loaded into a relational database,
where the analyst can query based on sample characteristics such as species,
gender, diet type or sample stain type.
PMID- 18048155
TI - A novel approach to medical image compression.
AB - As medical/biological imaging facilities move towards complete film-less imaging,
compression plays a key role. Although lossy compression techniques yield high
compression rates, the medical community has been reluctant to adopt these
methods, largely for legal reasons, and has instead relied on lossless
compression techniques that yield low compression rates. The true goal is to
maximise compression while maintaining clinical relevance and balancing legal
risk. This paper proposes a novel model-based compression technique that makes
use of clinically relevant regions as defined by radiologists. Lossless
compression is used in these clinically relevant regions, and lossy compression
is used everywhere else.
PMID- 18048154
TI - Computational modelling and simulation of the immune system.
AB - We have developed a software system called SIMISYS that models and simulates
aspects of the human immune system based on the computational framework of
cellular automata. We model tens of thousands of cells as exemplars of the
significant players in the functioning of the immune system, and simulate normal
and simple disease situations by interpreting interactions among the cells.
SIMISYS 0.3, the current version, models and simulates the innate and adaptive
components of the immune system. The specific players we model are the
macrophages, dendritic cells, neutrophils, natural killer cells, B cells, T
helper cells, complement proteins and pathogenic bacteria.
PMID- 18048156
TI - Protein sub-cellular localisation prediction by analysis of short-range residue
correlations.
AB - Sub-cellular localisation performs an important role in genome analysis. This
paper describes a new residue-couple model using a support vector machine to
predict the sub-cellular localisation of proteins. This new approach provides
better predictions than the existing methods. The total prediction accuracies on
Reinhardt and Hubbard's dataset reach 92.0% for prokaryotic protein sequences and
86.9% for eukaryotic protein sequences with fivefold cross validation. For a new
dataset with 8304 proteins located in eight sub-cellular locations, the total
accuracy achieves 88.9%. Meanwhile, the model shows robust against N-terminal
errors in the sequences.
PMID- 18048157
TI - Homology search with binary and trinary scoring matrices.
AB - Protein homology search can be accelerated with the use of bit-parallel
algorithms in conjunction with constraints on the values contained in the scoring
matrices. Trinary scoring matrices (containing only the values -1, 0, and 1)
allow for significant acceleration without significant reduction in the receiver
operating characteristic (ROC) score of a Smith-Waterman search. Binary scoring
matrices (containing the values 0 and 1) result in some reduction in ROC score,
but result in even more acceleration. Binary scoring matrices and five-bit
saturating scores can be used for fast prefilters to the Smith-Waterman
algorithm.
PMID- 18048158
TI - RNA string kernels for RNAi off-target evaluation.
AB - RNA interference (RNAi) is a posttranscriptional gene silencing mechanism used to
study gene functions, knock down viral genes, and treat diseases therapeutically.
However, an 'off-target effect' deteriorates its specificity and applicability.
Complete off-target effects can only be characterised by examining each gene in a
genome, which is too expensive to conduct experimentally and motivates a
computational study. To simulate the sequence matching between an siRNA and its
target mRNA allowing for mismatches, G-U wobbles and bulges, we propose string
kernels and develop their efficient implementations for off-target detection. We
evaluate RNAi specificities in Schizosaccharomyces pombe, Caenorhabdithis
elegans, and human genomes.
PMID- 18048159
TI - Statistical evaluation of NMR backbone resonance assignment.
AB - This paper proposes a novel statistical evaluation model for automated protein
NMR sequential resonance assignment. It can be bound to any assignment program
and provides confidences for the whole output assignment and each individual
mapping. A simulation study on a set of four proteins shows that the statistical
evaluation results are informative.
PMID- 18048160
TI - Magnitude and spatial orientation of the hydrophobic moments of multi-domain
proteins.
AB - The distributions of residue hydrophobicity for individual domains as well as for
the aggregates of domains on a single chain have been found to exhibit well
defined second-order hydrophobic moment profiles. This indicates that most of the
domains do fold into a stable entity with a core composed predominantly of
hydrophobic residues as well as a prevalence of hydrophobic residues at the
interface between domains. A simple scoring function based upon the relative
hydrophobic moment dipole orientations shows that 80% of the dipoles of adjacent
domains point to each other, highlighting hydrophobic residue prevalence at the
domain interfaces.
PMID- 18048162
TI - Multiple testing in large-scale contingency tables: inferring patterns of pair
wise amino acid association in beta-sheets.
AB - This study examines the feasibility of using multiple testing procedures for an
inference of independence of categories in each cell in contingency tables. In
the simulation study, we compare the performance of various multiple testing
procedures in a contingency table setup and demonstrate the relationship among
the proportion of true null hypothesis, type I error, power, and false discovery
rate. Finally, we apply the proposed methodology to identify the patterns of pair
wise associations of amino acids involved in beta-sheet bridges in proteins. We
identify a number of amino acid pairs that exhibit either strong or weak
association.
PMID- 18048161
TI - Handling missing DNA microarray data by kriging estimators.
AB - Microarray gene expression data provide life science researchers with much more
sensitive and detailed information about gene expression patterns than
conventional methodologies for the purpose of facilitating gene recognition
efforts. However, due to insufficient image resolution and noise generated during
microarray experiments, gene expression matrices are frequently represented with
missing elements. Methods for estimating missing microarray data are therefore
needed to allow further analysis. In this paper, we present two kriging
estimators for estimating missing values in DNA microarrays. These approaches can
be useful for downstream analysis of microarray-based gene expression data.
PMID- 18048163
TI - Phylogenetic analysis using complete signature information of whole genomes and
clustered Neighbour-Joining method.
AB - A new method called Complete Composition Vector (CCV), which is a collection of
Composition Vectors (CV), is described to infer evolutionary relationships
between species using their complete genomic sequences. Such a method bypasses
the complexity of performing multiple sequence alignments and avoids the
ambiguity of choosing individual genes for species tree construction. It is
expected to effectively retain the rich evolutionary information contained in the
whole genomic sequence. The method was applied to infer the evolutionary
footprints for several datasets that have been previously studied. The final
phylogenies were built by an improved clustered Neighbour-Joining method. The
generated phylogenetic trees are highly consistent with taxonomy hierarchy and
previous studies, with some biologically interesting disagreements.
PMID- 18048164
TI - Identification of hair cycle-associated genes from time-course gene expression
profile using fractal analysis.
AB - Microarray technology permits one to monitor thousands of processes going on
inside the cell. This tool has been used to study gene expression profiles
associated with the hair-growth cycle. We provide a novel method called the
fractal analysis method to identify hair-growth cycle associated genes from time
course gene expression profiles. Fractal analysis is a much better method than
the computational method used by Lin et al. (2004). The fractal dimension
obtained by fractal analysis process also indicates the irregularity in hair
growth pattern. The computational method used by Lin et al. (2004) was unable to
make any inference about the hair-growth pattern.
PMID- 18048165
TI - A grid-based pseudo-cache solution for MISD biomedical problems with high
confidentiality and efficiency.
AB - The complexity of most biomedical/bioinformatics problems requires efficient
solutions using collaborative/parallel computing. One promising solution is to
implement Grid computing, as an emerging new field called BioGrid. However, one
of the most stringent requirements in such a Grid-based solution is data privacy.
This paper presents a novel solution to provide the Confidentiality when using
the Grid to efficiently solve MISD biomedical problems. It is called the Grid
Based Pseudo-Cache (GBPC) solution. It is proved to have equal or better
performance than traditional MIMD solution. Via case studies our theories are
validated in practice, and the data dependence is also addressed.
PMID- 18048166
TI - Finding functional promoter motifs by computational methods: a word of caution.
AB - The standard practice in the analysis of promoters is to select promoter regions
of convenient length. This may lead to false results when searching for
Transcription Factor Binding Sites (TFBSs), since the sequences may contain
coding segments. In such cases, motif detection may single out motifs from the
coding regions. The mapping of TFBSs to promoters may result in a misleading
picture of 'promoter' content. We illustrate these issues using the example of
histones H2A and H2B and show how such analysis could be misleading if care is
not exercised to eliminate coding regions from the presumed promoter sequences.
PMID- 18048167
TI - Memory efficient alignment between RNA sequences and stochastic grammar models of
pseudoknots.
AB - Stochastic Context-Free Grammars (SCFG) has been shown to be effective in
modelling RNA secondary structure for searches. Our previous work (Cai et al.,
2003) in Stochastic Parallel Communicating Grammar Systems (SPCGS) has extended
SCFG to model RNA pseudoknots. However, the alignment algorithm requires O(n4)
memory for a sequence of length n. In this paper, we develop a memory efficient
algorithm for sequence-structure alignments including pseudoknots. This new
algorithm reduces the memory space requirement from O(n4) to O(n2) without
increasing the computation time. Our experiments have shown that this novel
approach can achieve excellent performance on searching for RNA pseudoknots.
PMID- 18048168
TI - Probabilistic models for biological sequences: selection and Maximum Likelihood
estimation.
AB - Probabilistic models for biological sequences (DNA and proteins) are frequently
used in bioinformatics. We describe statistical tests designed to detect the
order of dependency among elements of the sequence and to select the most
appropriate probabilistic model for an experimental biological sequence. For a
model of given type, the independence model, the first-order Markov chain and the
hidden Markov model (HMM), we derive the uniform lower bound for the rate of
decay for the errors of the maximum likelihood (ML) estimates of the model
parameters and, subsequently, the uniform confidence intervals for the
parameters.
PMID- 18048169
TI - GE-Miner: integration of cluster ensemble and text mining for comprehensive gene
expression analysis.
AB - Generating high quality gene clusters and identifying the underlying biological
mechanism of the gene clusters are the important goals of clustering gene
expression analysis. Based on this consideration, we design and develop a unified
system Gene Expression Miner (GE-Miner) by integrating cluster ensemble, text
clustering and multidocument summarisation and provide an environment for
comprehensive gene expression data analysis. Experimental results demonstrate
that our systems can obtain high quality clusters and provide concise and
informative textual summary for the gene clusters.
PMID- 18048170
TI - An algorithmic approach for static and dynamic gesture recognition utilising
mechanical and biomechanical characteristics.
AB - We propose a novel approach for recognising static and dynamic hand gestures by
analysing the raw data streams generated by the sensors attached to the human
hands. We utilise the concept of 'range of motion' in the movement of fingers and
exploit this characteristic to analyse the acquired data for recognising hand
signs. Our approach for hand gesture recognition addresses two major problems:
user-dependency and device-dependency. Furthermore, we show that our approach
neither requires calibration nor involves training. We apply our approach for
recognising American Sign Language (ASL) signs and show that more than 75%
accuracy in sign recognition can be achieved.
PMID- 18048171
TI - Efficient evaluation of radial queries using the target tree.
AB - We propose a novel indexing structure, called the target tree, which is designed
to answer a new type of spatial query, called the radial query. A radial query
finds all objects in the spatial data set that intersect with line segments
emanating from a single target point. Many biomedical applications use radial
queries, including neurosurgical planning. A target tree uses a regular
hierarchical decomposition of space using wedge shapes that emanate from the
target point. We compare the target tree with the R*-tree and quadtree, and show
that the target tree is significantly faster than these methods.
PMID- 18048172
TI - On mining micro-array data by Order-Preserving Submatrix.
AB - We study the problem of pattern-based subspace clustering which is clustering by
pattern similarity finds objects that exhibit a coherent pattern of rises and
falls in subspaces. Applications of pattern-based subspace clustering include DNA
micro-array data analysis. Our goal is to devise pattern-based clustering methods
that are capable of: discovering useful patterns of various shapes, and
discovering all significant patterns. Our approach is to extend the idea of Order
Preserving Submatrix (OPSM). We devise a novel algorithm for mining OPSM, show
that OPSM can be generalised to cover most existing pattern-based clustering
models and propose a number of extensions to the original OPSM model.
PMID- 18048173
TI - Finding molecular complexes through multiple layer clustering of protein
interaction networks.
AB - Clustering protein-protein interaction networks (PINs) helps to identify
complexes that guide the cell machinery. Clustering algorithms often create a
flat clustering, without considering the layered structure of PINs. We propose
the MULIC clustering algorithm that produces layered clusters. We applied MULIC
to five PINs. Clusters correlate with known MIPS protein complexes. For example,
a cluster of 79 proteins overlaps with a known complex of 88 proteins. Proteins
in top cluster layers tend to be more representative of complexes than proteins
in bottom layers. Lab work on finding unknown complexes or determining drug
effects can be guided by top layer proteins.
PMID- 18048174
TI - Efficient composite pattern finding from monad patterns.
AB - Automatically identifying frequent composite patterns in DNA sequences is an
important task in bioinformatics, especially when all the basic elements (or
monad patterns) of a composite pattern are weak. In this paper, we compare one
straightforward approach to assemble the monad patterns into composite patterns
to two other rather complex approaches. Both our theoretical analysis and
empirical results show that this overlooked straightforward method can be several
orders of magnitude faster. Furthermore, different from the previous
understandings, the empirical results show that the runtime superiority among the
three approaches is closely related to the insignificance of the monad patterns.
PMID- 18048175
TI - Computer simulation of intracardiac potential with whole-heart model.
AB - Computer simulation of intracardiac potentials was performed based on a whole
heart model, emphasising applications to Electrophysiology Study (EPS) and
catheter ablation. A numerical method was proposed based on a volume conductor
model with multiple interfaces and piecewise homogeneity. A model of a normal
heart and a model of Wolff-Parkinson-White (WPW) Syndrome with a right free-wall
Accessory Pathway (AP) were employed to simulate intracardiac electrograms. The
study was validated by comparing the simulated electrograms with those in
catheter-based EPS and ablations. Applying whole-heart modelling to the EPS and
ablation is regarded as a new direction of electrocardiologic forward problem.
PMID- 18048176
TI - A parallel implementation of 2-D/3-D image registration for computer-assisted
surgery.
AB - Image registration is a technique usually used for aligning two different images
taken at different times and/or from different viewing points. A key challenge
for medical image registration is to minimise computation time with a small
alignment error in order to realise computer-assisted surgery. In this paper, we
present the design and implementation of a parallel two-dimensional/three
dimensional (2-D/3-D) image registration method for computer-assisted surgery.
Our method exploits data parallelism and speculative parallelism, aiming at
making computation time short enough to carry out registration tasks during
surgery. Our experiments show that exploiting both parallelisms reduces
computation time on a cluster of 64 PCs from a few tens of minutes to less than a
few tens of seconds, a clinically compatible time.
PMID- 18048177
TI - Rotational and translational alignment errors in 3D reconstruction of virus
structures at high resolution.
AB - The 3D reconstruction of virus structures at high resolution using CryoTEM data
requires a very accurate rotational and translational alignment of individual
views obtained experimentally. We discuss the geometrical foundations and the
computational problems raised by rotational and translational alignment. We also
outline the basic ideas for CTF correction.
PMID- 18048178
TI - Concurrent numerical simulation of flow and blood clotting using the lattice
Boltzmann technique.
AB - In this paper, we describe a novel approach for a concurrent numerical simulation
of the unsteady flow within an idealised stenosed artery and a simplified blood
clotting process based on a residence time model. The applied numerical scheme is
the lattice Boltzmann technique, which proved to be highly efficient particularly
for transient flows and complex or varying geometries.
PMID- 18048179
TI - MESSM: a framework for protein fold recognition using neural networks and support
vector machines.
AB - A new framework (called MESSM) for protein fold recognition with three key
features is proposed in this paper. Being tested on three benchmark problems, the
results show that the MESSM has a comparable performance on fold recognition to
those more computational intensive, energy potential based fold recognition
models. The MESSM leads to a better performance on alignment accuracy. The MESSM
presents a new way to develop an efficient tool for protein fold recognition.
PMID- 18048180
TI - High-speed multiple sequence alignment on a reconfigurable platform.
AB - Progressive alignment is a widely used approach to compute multiple sequence
alignments (MSAs). However, aligning several hundred sequences by popular
progressive alignment tools requires hours on sequential computers. Due to the
rapid growth of sequence databases biologists have to compute MSAs in a far
shorter time. In this paper we present a new approach to MSA on reconfigurable
hardware platforms to gain high performance at low cost. We have constructed a
linear systolic array to perform pairwise sequence distance computations using
dynamic programming. This results in an implementation with significant runtime
savings on a standard FPGA.
PMID- 18048181
TI - PRec-I-DCM3: a parallel framework for fast and accurate large-scale phylogeny
reconstruction.
AB - Accurate reconstruction of phylogenetic trees often involves solving hard
optimisation problems, particularly the Maximum Parsimony (MP) and Maximum
Likelihood (ML) problems. Various heuristics yield good results for these
problems within reasonable time only on small datasets. This is a major
impediment for large-scale phylogeny reconstruction. Roshan et al. introduced Rec
I-DCM3, an efficient and accurate meta-method for solving the MP problem on large
datasets of up to 14,000 taxa. We improve the performance of Rec-I-DCM3 via
parallelisation. The experiments demonstrate that our parallel method, PRec-I
DCM3, achieves significant improvements, both in speed and accuracy, over its
sequential counterpart.
PMID- 18048182
TI - Numerical solutions of a master equation for protein folding kinetics.
AB - The numerical solution of a master equation involves the calculation of
eigenpairs for the corresponding transition matrix. In this paper, we
computationally study the folding rate for a kinetics problem of protein folding
by solving a large-scale eigenvalue problem. Three numerical methods, the
implicitly restarted Arnoldi, the Jacobi-Davidson, and the QR methods are applied
to solve the corresponding large-scale eigenvalue problem of the transition
matrix of the master equation. Comparison among three methods is performed in
terms of the computational efficiency. It is found that the QR method demands
tremendous computing resource when the length of sequence L > 10 due to the
extremely large size of matrix and CPU time limitation. The Jacobi-Davidson
method may encounter convergence issues, for some testing cases with L > 9. Among
the three solution methods the implicitly restarted Arnoldi method is suitable
for solving the problem. Numerical examples with various residues are
investigated.
PMID- 18048183
TI - Optimised fine and coarse parallelism for sequence homology search.
AB - New biological experimental techniques are continuing to generate large amounts
of data using DNA, RNA, human genome and protein sequences. The quantity and
quality of data from these experiments makes analyses of their results very time
consuming, expensive and impractical. Searching on DNA and protein databases
using sequence comparison algorithms has become one of the most powerful
techniques to better understand the functionality of particular DNA, RNA, genome,
or protein sequence. This paper presents a technique to effectively combine fine
and coarse grain parallelism using general-purpose processors for sequence
homology database searches. The results show that the classic Smith-Waterman
sequence alignment algorithm achieves super linear performance with proper
scheduling and multi-level parallel computing at no additional cost.
PMID- 18048184
TI - Supervised classification of protein structures based on convex hull
representation.
AB - One of the central problems in functional genomics is to establish the
classification schemes of protein structures. In this paper the relationship of
protein structures is uncovered within the framework of supervised learning.
Specifically, the novel patterns based on convex hull representation are firstly
extracted from a protein structure, then the classification system is constructed
and machine learning methods such as neural networks, Hidden Markov Models (HMM)
and Support Vector Machines (SVMs) are applied. The CATH scheme is highlighted in
the classification experiments. The results indicate that the proposed supervised
classification scheme is effective and efficient.
PMID- 18048185
TI - Decoding algorithms in pooling designs with inhibitors and error-tolerance.
AB - Pooling designs are used in DNA library screening to efficiently distinguish
positive from negative clones, which is fundamental for studying gene functions
and many other biological applications. One challenge is to design decoding
algorithms for determining whether a clone is positive based on the test outcomes
and a binary matrix representing the pools. This is more difficult in practice
due to errors in biological experiments. More challenging still is a third
category of clones called 'inhibitors' whose effect is to neutralise positives.
We present a novel decoding algorithm identifying all positive clones in the
presence of inhibitors and experimental errors.
PMID- 18048186
TI - Structural Risk Minimisation based gene expression profiling analysis.
AB - For microarray based cancer classification, feature selection is a common method
for improving classifier generalisation. Most wrapper methods use cross
validation methods to evaluate feature sets. For small sample problems like
microarray, however, cross validation methods may overfit the data. In this
paper, we propose a Structural Risk Minimisation (SRM) based method for gene
selection in cancer classification. SRM principle allows for reducing the
probable bound on generalisation error and thus avoids overfitting problems. The
experimental results show that the proposed method produces significantly better
performance than general wrapper methods that use cross validations.
PMID- 18048187
TI - Modelling gene functional linkages using yeast microarray data.
AB - Understanding how genes are functionally related requires efficient algorithms to
model networks from expression data. We report a heuristic search algorithm
called Two-Level Simulated Annealing (TLSA) that is more likely to find the
global optimal network structure compared to conventional simulated annealing and
other searching schemes. We have applied this method to search for a global
optimised network structure from a synthetic data set and an expression data set
of S. cerevisiae mutants. We have achieved better precision and recall compared
to other searching algorithms and are able to map relationships more accurately
among functionally-linked genes.
PMID- 18048188
TI - Analysing the performance of personal computers based on Intel microprocessors
for sequence aligning bioinformatics applications.
AB - Aligning specific sequences against a very large number of other sequences is a
central aspect of bioinformatics. With the widespread availability of personal
computers in biology laboratories, sequence alignment is now often performed
locally. This makes it necessary to analyse the performance of personal computers
for sequence aligning bioinformatics benchmarks. In this paper, we analyse the
performance of a personal computer for the popular BLAST and FASTA sequence
alignment suites. Results indicate that these benchmarks have a large number of
recurring operations and use memory operations extensively. It seems that the
performance can be improved with a bigger L1-cache.
PMID- 18048189
TI - A novel stationary wavelet denoising algorithm for array-based DNA Copy Number
data.
AB - High-throughput microarrays have recently been developed to detect DNA Copy
Number (DCN) aberrations. The resulting DCN data is often very noisy. Previous
denoising methods proposed for DCN data assumed uniform spacing between adjacent
probes, which is not true and can potentially result in erroneous output. To
address this issue, we developed a novel stationary wavelet denoising scheme for
DCN data. Empirical results on synthetic data showed that our method outperformed
the best previous method by 4.612.7% in terms of the root mean squared error.
Experiments on a real data set also confirmed the applicability of our method.
PMID- 18048190
TI - Prediction of the disulphide bridges in proteins using SVM.
AB - Disulphide bonds link distant portions of protein chains and provide strong
structural constraints in the form of long-range interactions. Prediction and
knowledge of disulphide bond connectivity is important in reducing the search
space of protein conformation. In this research, we present an effective way to
predict disulphide bridges by Support Vector Machine (SVM). The SVM encoding was
based on experimental results on the binding motifs of protein disulphide
isomerases. The physical-chemical characteristics of the flanking sequences and
the linear distance between the concerned cysteine pairs were also included in
the encoding. An overall pair wise accuracy of 92% was obtained for the SP39
dataset.
PMID- 18048191
TI - Is the protein folding an aim-oriented process? Human haemoglobin as example.
AB - The model for protein folding (in silico) simulation is presented. Three steps
have been implemented: early stage folding based on the backbone conformation;
hydrophobic collapse based on the fuzzy-oil-drop model; aim-oriented structure
modification by the function-related ligand. The model has been verified taking
alpha and beta haemoglobin chains as examples to fold them in two different
conditions: with and without haem being present in the folding environment. The
presence of haem and its participation in the folding simulation led to the
structure more similar to the crystal one. It suggests that the haem presence
directs the folding process towards the function-related structure.
PMID- 18048192
TI - An ontology-based framework for bioinformatics workflows.
AB - The proliferation of bioinformatics activities brings new challenges - how to
understand and organise these resources, how to exchange and reuse successful
experimental procedures, and to provide interoperability among data and tools.
This paper describes an effort toward these directions. It is based on combining
research on ontology management, AI and scientific workflows to design, reuse and
annotate bioinformatics experiments. The resulting framework supports automatic
or interactive composition of tasks based on AI planning techniques and takes
advantage of ontologies to support the specification and annotation of
bioinformatics workflows. We validate our proposal with a prototype running on
real data.
PMID- 18048193
TI - A case study of integrating protein interaction data using semantic web
technology.
AB - We describe a new ontology-driven semantic data integration approach for post
genome biology studies. Here, a view-based global schema can be automatically
generated by merging RDF schemas from local databases. The semantic inconsistency
of the merged schema is resolved by the creation of 'RDF ontology maps'. Data
querying capability is accomplished with a virtual data repository, in which a
D2RQ-based 'relational-to-RDF' map is developed to link schema to the relational
database backend. With sample RDQL queries, we demonstrate that our approach
significantly simplifies the retrieval of human protein interaction data from
different databases containing hundreds of thousands of records.
PMID- 18048194
TI - The (my)Grid ontology: bioinformatics service discovery.
AB - (my)Grid supports in silico experiments in the life sciences, enabling the design
and enactment of workflows as well as providing components to assist service
discovery, data and metadata management. The (my)Grid ontology is one component
in a larger semantic discovery framework for the identification of the highly
distributed and heterogeneous bioinformatics services in the public domain. From
an initial model of formal OWL-DL semantics throughout, we now adopt a spectrum
of expressivity and reasoning for different tasks in service annotation and
discovery. Here, we discuss the development and use of the (my)Grid ontology and
our experiences in semantic service discovery.
PMID- 18048195
TI - A database ontology for signal transduction pathways.
AB - Ontologies are one of the key technologies for data integration and meta
databases, by connecting databases at a semantical level. Still, the database has
to be connected to the ontology and vice versa. To achieve this, we propose a two
step process. First, we automatically generate an ontology directly from the
database schema. Next, we annotate this with concepts from a domain specific
ontology. We demonstrate this for signal transduction pathways, which describe
how cells can react to external stimuli. In this paper we present our mapping of
database schemas to ontologies and describe how these ontologies can be enriched
by semantical information.
PMID- 18048196
TI - A pragmatic approach to mapping the open biomedical ontologies.
AB - There has been a large increase in the number of ontologies that have been
introduced by the biomedical community in recent years. To maximise their
potential, there is an urgent need for a mechanism to build interoperability
between ontologies developed by different groups. While identifying and linking
related concepts is of obvious importance, it is also essential to analyse how
ontologies as a whole overlap and can be clustered. This paper explores
overlapping relationships in the Open Biomedical Ontologies (OBO) and provides an
interoperability framework called InterOBO for sharing biomedical knowledge
across OBO communities.
PMID- 18048197
TI - Modelling concepts and database implementation techniques for complex biological
data.
AB - Biological data such as protein structure and function, DNA sequences, and
metabolic pathways require conceptual modelling characteristics that are not
available in the widely used Entity-Relationship (ER) model and its variants,
such as the Enhanced-Entity Relationship (EER) model. In particular, three
constructs that occur frequently in bioinformatics data are ordered
relationships, functional processes, and 3-dimensional (3D) structures. In this
paper, we suggest a solution to this problem, requiring only minimal changes to
the EER model by introducing specialised formal relationships for ordering,
processes and molecular spatial structure. We show how these new concepts can be
implemented in relational databases.
PMID- 18048198
TI - Enhanced semantic access to the protein engineering literature using ontologies
populated by text mining.
AB - The biomedical literature is growing at an ever-increasing rate, which pronounces
the need to support scientists with advanced, automated means of accessing
knowledge. We investigate a novel approach employing description logics (DL)
based queries made to formal ontologies that have been created using the results
of text mining full-text research papers. In this paradigm, an OWL-DL ontology
becomes populated with instances detected through natural language processing
(NLP). The generated ontology can be queried by biologists using DL reasoners or
integrated into bioinformatics workflows for further automated analyses. We
demonstrate the feasibility of this approach with a system targeting the protein
mutation literature.
PMID- 18048199
TI - Biomedical ontology improves biomedical literature clustering performance: a
comparison study.
AB - Document clustering has been used for better document retrieval and text mining.
In this paper, we investigate if a biomedical ontology improves biomedical
literature clustering performance in terms of the effectiveness and the
scalability. For this investigation, we perform a comprehensive comparison study
of various document clustering approaches such as hierarchical clustering
methods, Bisecting K-means, K-means and Suffix Tree Clustering (STC). According
to our experiment results, a biomedical ontology significantly enhances
clustering quality on biomedical documents. In addition, our results show that
decent document clustering approaches, such as Bisecting K-means, K-means and
STC, gains some benefit from the ontology while hierarchical algorithms showing
the poorest clustering quality do not reap the benefit of the biomedical
ontology.
PMID- 18048200
TI - Electronic home healthcare: concepts and challenges.
AB - Telemedicine in homecare--also known as telehomecare (THC) or electronic home
healthcare--uses telecommunication and videoconferencing technologies to enable a
healthcare provider at the clinical site to communicate with patients in their
home. Such an interaction is called a "virtual visit". Numerous applications are
utilising commercially available monitoring devices and the internet to enable
home-based disease management and monitoring. Prior to the implementation of
telehomecare applications, one needs to address issues of accessible design,
privacy and confidentiality of medical data, and reimbursement. The evaluation of
such applications should focus on their impact on clinical processes, outcomes,
cost of and access to care, patients' and providers' acceptance. This paper
provides an overview of the concepts and challenges of electronic home
healthcare.
PMID- 18048201
TI - Business evolution or revolution? Mail-order pharmacies in Germany.
AB - It is an interesting detail of the present reform of national public health
service in Germany that mail-order and internet pharmacies will be founded in
future as new (e-commerce) business models. Main points of conceivable business
systems can be characterised as well as critical success factors. No potential
participant can ever have all the necessary resources and competences to
implement a competitive business system without external support. This is why
cooperation seems to be a useful tool for competence management. The Resource
based View will serve as theoretical background for analysis. The required and
available competences of conceivable players can be identified. Features of
resources can be described that recommend special types of cooperation with the
intention of establishing and operate a business system. Especially German and
international pharmaceutical wholesalers can be identified as conceivable
"parents" of mail-order pharmacies in the future.
PMID- 18048202
TI - E-health and assurance: curing hospital websites.
AB - Hospital websites are becoming an industry standard as patients (consumers) and
health professionals use web resources for information, research, and
communication. Industry predictions focused on future e-hospitals that would
integrate all stakeholders in a seamless network allowing data to be shared. This
paper investigates web assurance strategies implemented by hospitals in the
design of their websites. The protection of critical infrastructure and
information is crucial to the success of the web assurance strategy. The study
reviewed a sample of 100 premier hospital websites. Results show little progress
in the goal of making the website a fully functional unit of the hospital.
Current sites are limited in scope and have become more selective in their
provision of information in light of new HIPAA regulations.
PMID- 18048203
TI - Barriers to e-health business processes.
AB - This paper builds from recent case study research in commercial organisations to
develop the hypothesis that many of the barriers to e-health processes are
similar to those encountered by commercial businesses. The paper reports findings
from a case study within the pathology department of a UK National Health Service
(NHS) Trust. The NHS has a risk-averse culture where many individuals practice
defensive behaviour and there are deeply embedded working practices. The paper
suggests that if the NHS is to seize the opportunities offered by substantial new
investments in e-health systems that utilise internet-based ICTs, greater effort
needs to be made to understand and address the socio-cultural factors affecting
the UK healthcare system.
PMID- 18048204
TI - A wireless trust model for healthcare.
AB - In today's context of escalating costs, managed care, regulations such as the
Health Insurance Portability and Accountability Act (HIPAA) and a technology
savvy patient, the healthcare industry can no longer be complacent regarding
embracing technologies to enable better, more effective and efficient practice
management. In such an environment, many healthcare organisations are turning to
m-commerce or wireless solutions. These solutions, in particular the mobile
electronic patient record, have many advantages over their wired counterparts,
including significant cost advantages, higher levels of physician acceptance,
more functionalities as well as enabling easy accessibility to healthcare in
remote geographic regions, however, they also bring with them challenges of their
own. One such major challenge is security. To date, few models exist that help
establish an appropriate framework, in the context of wireless in healthcare, in
which to understand and evaluate all the security issues let alone facilitate the
development of systematic and robust solutions. Our paper addresses this need by
outlining an appropriate mobile trust model for such a scenario in healthcare
organisations.
PMID- 18048205
TI - Using internet GIS technology for early warning, response and controlling the
quality of the public health sector.
AB - Recent EU and Greek Government legislation highlights the need for the
modernisation of the public health management system and the improvement of the
overall health of EU citizens. In addition, the effusion of epidemics even in
developed countries makes the need for the enhancement of public health services
imperative. In order to best confront the above-described challenges, the
National Technical University of Athens, in cooperation with the Greek Ministry
of Health and Welfare and the European Commission (EC), designed and developed an
integrated public health information network, named GEPIMI (Integrated
Geographical System for EPIdemiological and other Medical Information), in the
framework of a three-year pilot project. This pilot project, funded by Greek
Ministry of Health and Welfare and the EC supported the Programme INTERREG II to
establish an advanced and integrated web-based information system that can
process and move information in real time, allowing public health authorities to
monitor events at hundreds or thousands of public health facilities at once. The
system is established among hospitals, primary healthcare authorities and health
agents in Greece, Bulgaria, Albania, Fyrom, and Turkey. The project aims at
demonstrating the best practices, prospects, applications and high potential of
Telematics Healthcare Networks in Europe, with a view to promoting cooperation
and interconnection between European communities in the field of Telematics
Healthcare Applications. The GEPIMI System, implemented via an innovative web
based system, constitutes a replication of a highly effective mechanism. It
incorporates state-of-the-art technologies such as Geographic Information Systems
(G.I.S.), web based databases, GPS, and Smart Card Technology and supports a
variety of health-related web applications including early warning and response
of epidemics, remote management of medical records, seamless healthcare coverage,
comprehensive statistical analysis of data, decision-making procedures, inter
communication between international scientific fora and other.
PMID- 18048206
TI - Evolving medical informatics: from diagnosis to prognosis.
AB - The application of computers to the medical field is not new; however, the
leisurely rate of integration has left the medical field in the "dark ages" of
technology. A brief overview of how computers and technology have been used in
the medical field is necessary to understand medical computer science. The recent
advances of the internet infrastructure and personal computing, combined with the
ubiquitous nature of their application, have allowed everyday people to
incorporate technology into their daily routine. Only a few have ventured into
the ill-defined world of medical computer science, some with great success,
others with hopeful failures. The purpose of this paper is to sustain the recent
advances in medical computer science and to provide support for shifting the
focus of medical technology from diagnosis towards patient prognosis.
PMID- 18048207
TI - Electronic transmission of prescriptions: towards realising the dream.
AB - The UK National Health Service (NHS) is about to commence upon major
computerisation of its processes as part of a government plan of modernisation.
One of these is the Electronic Transmission of Prescription (ETP). To achieve
success it is important to know what benefits are expected from the new system
and what barriers to adoption the systems will face. This paper reviews
substantial ETP published material, and identifies 17 issues that need to be
addressed. These issues are categorised under four major headings of
stakeholders, cost, technology, and current process and practice, and are then
further classified as positive or negative influences on the project's success.
Many of these influences will be common to most of the computerisation projects
to be undertaken by the NHS, and therefore this paper has wider applicability
than ETP.
PMID- 18048208
TI - Economic evaluation of telemedicine for a remotely located population: the case
of two Greek islands.
AB - A 12-month trial with telemedicine was conducted at two remote health centres
located on Greek Islands, namely Lemnos and Skyros, where combat units of the
Greek Airforce are situated. The units' medical services are supported by the
251st Central Hospital of the Greek Airforce in Athens, via a PC-based ISDN
videoconferencing link, connected at 384 Kbps. Thirty-eight patients were
recruited for the trial. A cost minimisation analysis was undertaken, for
comparing the costs of telemedicine to those of hospital referrals, where
patients from remote areas travelled to the hospital for consultation. The cost,
per patient, for remote consultation was calculated to be 203.5 euro compared to
270 euro for referrals. These results proved to be relatively robust after being
tested with a sensitivity analysis. The benefits and cost savings would be
greater in the case of islands or other such remote communities, where patients
have to travel long distances either for hospitalisation or for specialist
consultations. However, it should be noted that the cost of telemedicine depends
on the number of patients served in the isolated area.
PMID- 18048209
TI - Mapping e-health strategies: thinking outside the traditional healthcare box.
AB - E-health has evolved and emerged in many forms; for instance, prescription
refills, appointment scheduling, online billing, online medical records, and
patient provider communications. Among other marketable e-health strategic
applications, the use of e-health information has proliferated and has been
presented in the form of content-only health gateways, physician directories,
physician-only sites, and online pharmacies. The adoption of the web as an e
health medium has caused both traditional and e-healthcare providers to rethink
and experiment with innovative ways of providing healthcare services. The e
providers who can effectively market themselves on the web will have a distinct
advantage. At this time, a lot of education appears to be needed in this field in
order to ensure that key players in this arena are contributing to the growth and
success of e-health. In this paper, we present a general framework for mapping e
health strategies based on e-health business structures and their value
proposition. Such e-health systems may be designed to meet the needs of e
stakeholders and for gaining competitive advantages. We believe that by opening
up this line of discussion, it will provide future-orientated healthcare
executives and entrepreneurs with useful insights into feasible e-health
strategic solutions and their commercial potentials.
PMID- 18048210
TI - Establishing strategic alliance among hospitals through SAIS: a case study in
Taiwan.
AB - Due to a reformed healthcare insurance system and a gradually decreasing public
affairs' budget by the government year by year, Central Taiwan Office (CTO), the
Department of Health (DOH) in Taiwan, initiated a strategic alliance project of
the hospitals subordinated to the DOH in November, 2001. This project was a five
year plan with an attempt to expand and develop three more strategic alliances
covering the northern, southern and eastern regions of Taiwan respectively.
Through a cooperative system, such an alliance allows the following: resource
sharing, technique collaboration, marketing affiliations and so on. In order to
decrease operation management costs and improve the quality of service at
hospitals, the strategic alliance practice is supported by IS. We call this
alignment the IS-enabled strategic alliance. All the IS-enabled functions are
supported by the Strategic Alliance Information System (SAIS). In this article,
the SAIS developed by the CTO of the DOH is introduced.
PMID- 18048211
TI - An examination of selected drug availability at online pharmacies.
AB - According to the literature, prescription cost and convenience are generally
regarded as the most important reasons for buying online. This study examines the
availability of selected prescription drugs at some of the online pharmacies in
the USA. Specifically, the drugs examined are among the ten most often prescribed
by physicians.
PMID- 18048212
TI - E-health versus KM-based health: a dilemma in researchers' minds.
AB - Over the past several years, there have been intensive discussions about the
importance of Knowledge Management (KM) within our society. As we are moving into
an era of "knowledge capitalism", the management of knowledge is promoted as an
important and necessary factor for organisational survival and maintenance of
competitive strength. During the last 15 years, KM has changed from one
generation to the next through constant improvements and new perspectives. Many
researchers have presented methodologies, frameworks, technologies and have
discussed various KM theoretical and practical issues in several sectors,
including healthcare. E-health and KM-based health are still in an early state of
evolution, and it is only recently that researchers decided to intensify their
efforts in these fields. In this context, this paper aims to review the current
status quo, analyse key issues to which researchers should pay attention and
contribute to researchers' dilemma solving about where future research should be
focused.
PMID- 18048213
TI - A framework for assessing e-health preparedness.
AB - Whilst healthcare is the biggest service industry on the globe, it has yet to
realise the full potential of the e-business revolution in the form of e-health.
This is due to many reasons including the fact that the healthcare industry is
faced with many complex challenges in trying to deliver cost-effective, high
value, accessible healthcare and has traditionally been slow to embrace new
business techniques and technologies. Given that e-health, to a great extent, is
a macro level concern that has far reaching micro level implications, this paper
firstly develops a framework to assess a country's preparedness with respect to
embracing e-health (the application of e-commerce to healthcare) and from this an
e-health preparedness grid to facilitate the assessment of any e-health
initiative. Taken together, the integrative framework and preparedness grid
provide useful and necessary tools to enable successful e-health initiatives to
ensue by helping country and/or an organisation within a country to identify and
thus address areas that require further attention in order for it to undertake a
successful e-health initiative.
PMID- 18048214
TI - Setting up a Wireless Local Area Network (WLAN) for a healthcare system.
AB - WLAN can help the medical professionals to improve their working efficiency and
reduce medical errors. In this paper, the important issues of deploying WLAN in
hospitals are discussed. It gives a comprehensive overview of how to set up the
mobility, Quality of Service (QoS) and security of the WLAN for a healthcare
system. IEEE 802.11e standard and the Health Insurance Portability and
Accountability ACT (HIPAA) regulations are discussed and some suggestions are
given to meet the specific requirements of a healthcare environment.
PMID- 18048215
TI - Getting from A to C: lifecycle lessons for e-health deployment.
AB - The 35-year history of continuous development of hospital information systems and
the current efforts to develop an electronic health record in outpatient settings
suggest a lifecycle that must take place before electronic technology is adopted
in the highly decentralised US healthcare system. This six-stage lifecycle,
called the ABCs of change, involves Acceptance of the need for change, Alignment
of the actors to fulfil that need, Breadboard development of the desired,
integrated system, a Blueprint for the system to be commercialised, Configuration
methods for adapting the system to individual provider and patient needs, and
Capital sources for the desired change. This article shows how that six-stage
model is relevant to understanding prior development attempts and how current
efforts to bring electronic health records to small, primary-care practices
follow it. We conclude that it is a useful model for insight and for future
planning.
PMID- 18048216
TI - How organisational politics explain IS implementation outcomes in hospitals.
AB - In the healthcare sector, the use of computer-based information systems (IS)
offers a lot of new challenges. However, despite the compelling potential of the
new technology, implementing IS in practice often turns out to be a pitfall.
Concretely, the introduction of information systems, especially in hospitals,
does not often come on time and on budget, nor does it always accomplish the
initial organisational goals. We postulate that implementation failures occur to
a great extent because organisations do not adequately manage the politics of
information; especially healthcare organisations as they are par excellence
political in nature. In our study, we elaborate in depth on the concept of
organisational politics to understand the outcomes of IS implementation projects
in hospitals. We propose a conceptual model that guides the empirical study of
political processes in and around IS projects. Overall, our study seems to
suggest that outcomes can be explained by the fact that stakeholders situated in
a particular arena do tend to accept the standing power structures, even if these
structures are built on bases that do not hold for the particular project
environment.
PMID- 18048217
TI - Improve processes on healthcare: current issues and future trends.
AB - Information Technology (IT) is a critical resource for improving today's business
competitiveness. However, many healthcare providers do not proactively manage or
improve the efficiency and effectiveness of their services with IT. Survival in a
competitive business environment demands continuous improvements in quality and
service, while rigorously maintaining core values. Electronic commerce continues
its development, gaining ground as the preferred means of business transactions.
Embracing e-healthcare and treating IT as a strategic tool to improve patient
safety and the quality of care enables healthcare professionals to benefit from
technology formerly used only for management purposes. Numerous improvement
initiatives, introduced by both the federal government and the private sector,
seek to better the status quo in IT. This paper examines the current IT climate
using an enhanced "Built to Last" model, and comments on future IT strategies
within the healthcare industry.
PMID- 18048218
TI - E-health in the new millennium: a research and practice agenda.
AB - Advances in telecommunications, automated processes, web technologies and
wireless computing are already forcing dramatic changes in a variety of sectors,
ranging from business and industry to education and health. Yet, the electronic
business space, in a broader sense, is still in a relatively early state of
evolution, and it is only recently that policy makers have started looking at the
potential of applying the tools and techniques of e-commerce to the tasks of
other sectors. The use of the internet as a source of health information and
connectivity between healthcare providers and consumers has increased interest in
e-health. E-health offers the rich potential of supplementing traditional
delivery of services and channels of communication in ways that extend the
healthcare organisation's ability to meet the needs of its patients. To date,
some e-health applications have improved the quality of healthcare, and later
they will lead to substantial cost savings. However, e-health is not simply a
technology but a complex technological and relational process. In this sense,
practitioners and researchers who want to successfully exploit e-health need to
pay attention to various pending issues that have to be addressed. The aim of
this paper is to propose a novel taxonomy for e-health research in the new
millennium by instantaneously presenting the current status with some major
themes of e-health research.
PMID- 18048219
TI - E-procurement and automatic identification: enhancing supply chain management in
the healthcare industry.
AB - The concepts of automated e-procurement, or electronic B2B (business-to-business)
trade, are grounded in the strategic leveraging of both tangible/intangible
assets for successful implementation and execution of electronic trade, resulting
in significant financial benefits for firms. Some of the major reasons for this
growth include significant process savings from automation, compliance, and
purchasing advantage; and reduced costs that organisations can experience by
conducting transactions electronically. Although these are the basic benefits
associated with generic e-commerce strategies, a majority of these B2B
transactions have focused on the purchase of indirect materials (especially
office products and travel services). In fact, more than 93% of medical supplies
on hospital shelves appear to have universal product numbers on them--at least
while still in their boxes and there is a great potential for huge savings in e
procurement in the healthcare field. However, other types of supply chain-related
purchases, including maintenance, repair, and operating and replacement parts,
and direct material purchases, are becoming more important operational management
considerations. In addition, several other key considerations are: existing
procurement strategy, the vendor, technology, suppliers, and total costs of
ownership. Companies need to analyse their current procurement strategy before
developing an e-procurement plan.
PMID- 18048220
TI - Conception of a cost accounting model for doctors' offices.
AB - Physicians are required, due to economical, financial, competitive, demographical
and market-induced framework conditions, to pay increasing attention to the
entrepreneurial administration of their offices. Because of restructuring
policies throughout the public health system--on the grounds of increasing
financing problems--more and better transparency of costs will be indispensable
in all fields of medical activities in the future. The more cost-conscious public
health insurance institutions or other public health funds will need professional
cost accounting systems, which will provide, for minimum maintenance expense,
standardised basis cost information as a device for decision. The conception of
cost accounting for doctors' offices presented in this paper shows an integrated
cost accounting approach based on activity and marginal costing philosophy. The
conception presented provides a suitable basis for the development of standard
software for cost accounting systems for doctors' offices.
PMID- 18048221
TI - Innovation technology and application issues in Italian e-healthcare.
AB - This work commences with a theoretical discussion about the relationship between
innovation technology and application issues in Italian public and private
healthcare. It argues that three contexts are important, namely, the "task",
"normative" and "organisational" environments. The second part of the paper
reports some findings from research, quantitative and qualitative approach, in
progress. This describes the attitudes of Italian staff working in the public and
private healthcare to the issue of innovation technology in healthcare.
PMID- 18048222
TI - M-healthcare for patient self-management: a case for diabetics.
AB - Disease management facilitated through information technology could mean a step
forward towards a more effective and efficient care of patients afflicted with
chronic illnesses. Focusing on diabetes, the paper explores the value proposition
of wireless technology in enhancing patient self-management and quality of life.
Diabetics' non-compliance with prescribed treatment plans mandates the adoption
of advanced tools that can support patients through automated functions (e.g.,
notification reminders for taking medication), decision-support (e.g., analysis
of self-test data and feedback), and anytime/anywhere communication and
intervention capability (e.g., emergency consultation by healthcare
practitioner). A cost-benefit analysis for the mobile self-management of
diabetics suggests time and financial savings for both patients and the
healthcare providers.
PMID- 18048223
TI - Toward a patient-centric medical information model: issues and challenges for US
adoption.
AB - As the USA moves, incrementally, toward evidence-based medicine, there is growing
awareness of the importance of innovation in information management. Mandates for
change include improved use of resources, accelerated diffusion of knowledge and
an advanced consumer role. Key among these requirements is the need for a
fundamentally different patient information recording system. Within the
challenges identified in the most recent national health information technology
initiative, we propose a model for an electronic, patient-centric medical
information infrastructure, highlighting a transportable, scalable and integrated
resource. We identify resources available for technology transfer, promoting
consumers as integral parts of the collaborative medical decision-making process.
PMID- 18048224
TI - Experiences in case mix implementation: a case study.
AB - The health sector, being information-intensive, has tremendous potential for
harnessing the power of information systems (IS). However, ironically, it is also
one of the late-comers to the information-revolution. Only in the last two
decades have UK hospitals started to become heavy investors in IS, led by
Government initiatives to provide overarching information infrastructure and to
make public sector organisations more accountable. One of these was the Resource
Management Initiative, accompanied by the Case Mix IS - an organisation-wide
system to provide clinical and management audit. Case Mix experiences in UK
hospitals have typically been poorly documented, and those that have, paint a
grim picture. This paper focuses on a detailed qualitative case study of a UK
hospital that had relative success in implementation. As such, it provides a
number of lessons learnt for other hospitals embarking on similar projects.
PMID- 18048225
TI - Understanding physician acceptance of mobile technology: insights from two
telephone interviews in Finland.
AB - This paper sets out to investigate physicians' perceptions and usage regarding a
new mobile medical information system in a pilot trial in the Finnish healthcare
sector. Two sets of data were gathered in June and October 2003 by telephone
interviews. The physicians interviewed had positive perceptions of the mobile
system, and started to use it frequently in their work. They showed few negative
experiences of using a Nokia Communicator. They favoured using mobile technology
as a way to improve spreading medical knowledge. The main contents of the system,
the Evidence-Based Medical Guidelines and the Pharmaca Fennica (the
pharmacopoeia), were crucial for using the system. Some differences in
physicians' behaviour across time were also found. Implications for system
improvement are discussed.
PMID- 18048226
TI - E-delivery of personalised healthcare information to intermediaries for suicide
prevention.
AB - A novel use of store-forward telemedicine is described in which healthcare
information is provided via internet-based information and communication
technologies (ICTs) to an intermediary (a third-party observer) rather than
directly to the patient to deliver suicide prevention e-healthcare. To be
effective, information must be tailored specifically for each type of
intermediary to enable improved decision making in interacting with the patient.
The healthcare system filters data and delivers personalised healthcare
information enabled by Internet-based ICTs for a specific type of user. An
application to mental health is presented.
PMID- 18048227
TI - E-recruitment of patients for clinical trials.
AB - Patient recruitment has long been a critical bottleneck in the clinical trials
process. Current methods of media-driven recruitment efforts often fail to
identify adequate patient numbers in a timely manner and cannot scale to meet the
growing needs of pharmaceutical companies. The internet, on the other hand, is
providing the opportunity to enhance patient recruitment. E-recruiting is proving
to be a useful tool in recruiting study participants. It leverages the power of
the internet to enrol qualified candidates for clinical trials in a more timely
and efficient manner than traditional advertising. E-recruitment is a mass
communication medium through which its ability to narrowcast can identify highly
defined patient populations. The screening technology made available by health
technology companies is a much smarter way to find qualified patients for
clinical trials. In the end, web-based recruiting will prove to be a more
efficient and cost-effective means of obtaining clinical study participants than
traditional advertising methods.
PMID- 18048228
TI - A modelling and simulation framework for compound medical applications in
regional healthcare networks.
AB - Regional healthcare information networks have already started to grow across
Europe, in order to cover local healthcare provision needs, especially in
isolated regions, where there is often no availability of central general
hospitals. The paper discusses a modelling and simulation framework for the
design of regional healthcare information networks running compound medical and
QoS-sensitive applications. The proposed framework decomposes the compound
medical applications into combinations of elementary traffic profiles, assesses
appropriate values to the traffic parameters of the assigned models and defines
suitable simulation scenarios. The simulation results are analysed and finally
lead to reliable bandwidth estimations of the links of the healthcare information
network under design. The proposed framework has been thoroughly validated
through its application for the design of a healthcare network in the islands of
the North Aegean Sea, running actual compound medical applications in the context
of a national research project.
PMID- 18048229
TI - E-healthcare: strategies to consider.
AB - Healthcare decision makers need access to better-integrated patient information
systems to facilitate organisational healthcare strategy, to improve patient
satisfaction and to enhance patient outcomes. The impact of technology must be
managed by healthcare organisations. This paper discusses strategies and explores
operational issues needed to create a successful healthcare information system.
PMID- 18048230
TI - A fl exible framework for managing temporal clinical trial data.
AB - Clinical trials are processes that produce large volumes of complex data, with
inherent temporal requirements, since the state of patients evolves during the
trials, and the data acquisition phase itself needs to be monitored.
Additionally, since the requirements for all clinical trials have a significant
common portion, it is desirable to capture these common requirements in a
generalised framework, which will be instantiated for each specific trial by
supplementing the trial-specific requirements. In this paper, we present an
integral approach to clinical trial management, using a temporal object-oriented
methodology to capture and model the requirements, a temporal OODBMS for data
storage and a generalised template application, through which trial-specific
applications may be generated.
PMID- 18048231
TI - The use of smart tokens to permit the secure, remote access of electronic health
records.
AB - A model is described whereby Electronic Health Records (EHRs) stored on a remote
central server can be accessed from doctor's surgeries in regional Australia. The
centrepiece of the model is a smart token on which reside unique identifiers,
which facilitate secure, remote, transportable access by consulting physicians of
patient clinical data, at the discretion of the patient.
PMID- 18048232
TI - Revolution or evolution? An analysis of E-health innovation and impact using a
hypercube model.
AB - This study utilises a hypercube innovation model to analyse the changes in both
healthcare informatics and medical related delivery models based on the
innovations from Tele-healthcare, electronic healthcare (E-healthcare), to mobile
healthcare (M-healthcare). Further, the critical impacts of these E-health
innovations on the stakeholders: healthcare customers, hospitals, healthcare
complementary providers and healthcare regulators are identified. Thereafter, the
critical capabilities for adopting each innovation are discussed.
PMID- 18048233
TI - A two-dimensional assessment of selected medical websites.
AB - Two major dimensions are commonly used for assessing the breadth and depth of the
information content in medical websites. The first dimension deals with quality
characteristics consisting of authorship, attribution, confidentiality, currency,
disclosure, legitimacy and purpose. Functional variables such as access to
medical libraries, reference books, health organisations, information sites,
guidelines and reviews, clinical trial sites, drug questions, locating an expert
and alternative medicine make up the second dimension. The trend is toward the
use of both dimensions for evaluating medical websites. This study examines the
breadth and depth of the medical information in the websites of the top 51
medical schools in the USA. The results of this study indicate that there are
certain quality-standard measures that are present in all medical websites.
However, there are also clear distinctions in quality as well as functional
attributes that separate the higher-ranked medical schools from the lower ones.
Medical professionals, computer system developers, and end-users of medical
information will find the results of this study useful.
PMID- 18048234
TI - Electronic healthcare: issues and challenges.
AB - The shift in the nation's attention, resources and interest toward internet
related healthcare activities, often called 'e-health', is dramatic and a most
significant development in the healthcare environment. E-health, while exciting
and promising, also presents new challenges, particularly in regard to acceptable
standards, choice of technologies, overcoming traditional jurisdictional
boundaries, up-front investment and privacy and confidentiality. This paper
presents e-health architecture and various issues and challenges that need to be
resolved before e-health becomes commonplace.
PMID- 18048235
TI - Investigating enterprise application integration benefits and barriers in
healthcare organisations: an exploratory case study.
AB - Over the years, healthcare organisations have focused on the latest technological
innovations to overcome their organisational problems. There was rarely a single
approach for implementing Information Systems (IS), as healthcare organisations
have developed their applications without a common enterprise architectural
planning. Nowadays, dozens, if not hundreds of different types of open and
proprietary systems exist in healthcare organisations. This growing complexity of
healthcare IS has driven IS managers to seek applicable solutions for integrating
their systems. As a result, different integration efforts have continuously been
made to overcome integration problems. Recently technological developments have
emerged in the area of integration technology such as enterprise application
integration (EAI) and web services. This paper seeks to expand the knowledge on
EAI, and focuses on understanding the EAI benefits and barriers in healthcare
organisations.
PMID- 18048236
TI - Towards evaluating health information portals: a Tasmanian E-health case study.
AB - This paper investigates the provision of health information for rural residents
through a web portal. Approaches to evaluate health portals are applied to a case
study, where health information is made available through a portal accessed by
rural Tasmanians. The portal was found to be a quality website, from an
evaluation of its nature, success and quality.
PMID- 18048237
TI - A decision support model of demand forecast for national immunisation vaccines.
AB - This paper presents a demand forecast and optimal allocation model to support the
decision making of national vaccine purchase. This research attempts to use ARIMA
and Neural Network models respectively to forecast two individual values of 'The
yearly total number of inoculations' and 'The annual birthrate' for the next
year, and then choose the reasonable and better results generated from one of
these two models to conduct a further forecast of 'The yearly total demand volume
in doses of vaccines'. According to the experimental results, this research
indeed may provide a feasible decision model for yearly vaccine procurement for
Taiwan's government immunisation authority and establishes an optimal demand
forecast model for a specific vaccine like DTP vaccine.
PMID- 18048238
TI - Telemedicine: developing a framework to classify technology strategies.
AB - The healthcare industry has recently been bombarded with new innovations in
information technology (IT), one of the most promising of which is telemedicine.
In this paper, a framework is developed, which classifies various types of
telemedicine. Through the framework and examples, hopefully a better
understanding of the various types is provided.
PMID- 18048239
TI - A HL7 transformer application for vaccination data report.
AB - In this paper, we design a data transformer to transform Comma Separated Value
(CSV) format into Health Level Seven (HL7) messages without losing syntax and
semantics. The algorithm of the data transformer is similar to a generic parser
for solving scheme-level mapping problems. It can be extended to transform non
uniform formats for exchanges among different hospital information systems. To
show the flexibility and scalability of the algorithm, we use it for the
transformation of reported data in the Vaccination Reporting System (VRS).
Through the data transformer, different formats of vaccination data can be
reported directly to the Taiwan's Center for Disease Control.
PMID- 18048240
TI - Business pluralism of electronic prescriptions: state of development in Europe
and the USA.
AB - In this paper, we analyse the current state of the development of electronic
prescriptions in Europe and the USA. These two places have different approaches
to the healthcare sector, since in the former one national social insurance
usually provides treatment for all of the people (most often only with friction
from total costs), but in the latter one the healthcare sector is under free
market forces. As our analysis shows in this paper, electronic prescriptions in
both of the places have developed in recent years quite favourably, but this
development has not produced consistent results, whether electronic prescriptions
should be provided by for-profit companies or should they be under strict control
of governmental authorities. We base this finding in two empirical observations:
(1) in Europe saving potential from electronic prescriptions is estimated to be
high, and contains many abstract national economy accounts and (2) leading US
companies (providing electronic prescription services) have went been able to
increase their revenues significantly, but still their profitability is
questionable. We argue that the situation of electronic prescriptions is similar
with airline or credit card industry. Both of these are vital for international
and local economies, but the business models have developed well after the
initial idea.
PMID- 18048241
TI - Patient monitoring using infrastructure-oriented wireless LANs.
AB - There is considerable interest in using wireless and mobile technologies in
patient monitoring in diverse environments including hospitals and nursing homes.
However, there has not been much work in determining the requirements of patient
monitoring and satisfying these requirements using infrastructure-oriented
wireless networks. In this paper, we derive several requirements of patient
monitoring and show how infrastructure-oriented wireless LANs, such as versions
of IEEE 802.11, can be used to support patient monitoring in diverse
environments.
PMID- 18048242
TI - Creating knowledge-driven healthcare processes with the Intelligence Continuum.
AB - Medical science has made revolutionary changes in the past few decades.
Contemporaneously, however, healthcare has made incremental changes at best. One
area within healthcare that best exemplifies this is the operating room (OR). The
growing discrepancy between the revolutionary changes in medicine and the minimal
changes in healthcare processes leads to inefficient and ineffective healthcare
deliver and one if not the significant contributor to the exponentially
increasing costs plaguing healthcare globally. Significant quantities of data and
information permeate the healthcare industry, yet the healthcare industry has not
maximised this data resource by fully embracing key business management processes
or techniques (such as Knowledge Management (KM), data mining, Business
Intelligence (BI) or Business Analytics (BA)) to capitalise on realising the full
value of this data/information resource to reengineer processes. The Intelligence
Continuum (IC), a Mobius strip of sophisticated tools, techniques and process
provides a systematic mechanism for healthcare organisations to facilitate
superior clinical practice and administrative management. In this paper, the case
example of the orthopaedic OR is used to illustrate the power of the IC in
effecting more efficient and effective healthcare processes to ensue and thereby
enabling healthcare to make evolutionary changes.
PMID- 18048243
TI - Securing electronic health records with broadcast encryption schemes.
AB - Information security is a concern in integrated electronic health record systems
(EHRs). This paper discusses the development of a mathematical model to secure
the access of EHRs. In this paper, we incorporate the notion of a broadcast
encryption scheme for securing EHRs. We present a novel solution to allow a
secure access to the EHRs whilst minimising the number of the encrypted
ciphertexts. In a nutshell, our proposed solution enjoys shorter ciphertexts
compared to having multiple ciphertexts encrypted for several different
participants. Our proposed solution is applicable in practice to solve an
existing open problem in the effort of securing EHRs.
PMID- 18048244
TI - An examination of the financial feasibility of Electronic Medical Records (EMRs):
a case study of tangible and intangible benefits.
AB - Electronic Medical Records (EMRs) and associated systems have existed in various
forms for almost ten years, yet they are still considered in their infancy
sporting low adoption rates in US hospitals and physician practices. One of the
key barriers to the adoption of these systems has been the concerns of healthcare
providers that the system will not provide sufficient Return On Investment (ROI).
This study examines the success of one medium-sized physician practice with the
selection, implementation and ROI of an EMR. This study demonstrates that an EMR
can provide both tangible (monetary) and intangible (clinical/quality of care)
returns for the healthcare provider.
PMID- 18048245
TI - Healthcare systems engineering: an interdisciplinary approach to achieving
continuous improvement.
AB - This paper argues that a systems approach can significantly enhance healthcare
improvement efforts in patient safety, service quality and healthcare cost
containment. The application of systems thinking to healthcare improvement
encompasses three key principles: the systems perspective of healthcare
processes, structured problem solving and the closed loop of continuous system
improvement. These are encapsulated in a conceptual framework of continuous
system improvement, which includes a reference architecture model and an analysis
and design process model. Combined into a closed-loop, this framework allows
users to understand and appropriately apply relevant functions, issues and
analytical techniques. Practical applications of the framework are presented.
PMID- 18048246
TI - Trial work: the way to successful information system projects in healthcare.
AB - The lack of user knowledge about a new healthcare information system leads to
project problems. A project's success is usually measured by end user and
management satisfaction. The training of healthcare personnel about how to use a
new system is not enough. Trial work is the essential and sometimes missing link
between personnel knowledge and the successful use of an information system
introduced in healthcare. Trial work should be carefully planned, organised and
monitored. For best results, the trial use of the new information system has to
be defined by management as a must for healthcare employees.
PMID- 18048247
TI - Remote patient monitoring and information system.
AB - This paper presents a remote healthcare patient monitoring system, called the
Virtual Eye (VI), that utilises the World Wide Web infrastructure to monitor,
collect, analyse and record patients' health status. The data is stored in the
hospital database and can be accessed from anywhere through the internet. When a
patient is in an alarming situation, the VI sends SMS messages to the mobile of
the designated health personnel via the public GSM network. The novelty of the
system lies in its security and its ability to use both the internet and the GSM
network as communication media. Doctors can access the database server to compare
the patient's current status with his/her medical history. It can be used by one
physician to monitor a group of patients simultaneously, or by a group of
physicians who all monitor the same patient. It allows plug-and-play of
multivendor off-the-shelf hardware devices, thus avoiding proprietary standards.
PMID- 18048248
TI - The specific role of ICT: different perspectives between traditional healthcare
service and e-healthcare service.
AB - The focus of the paper is on information technology and sustainable management in
the healthcare service. Particularly, the challenge is: traditional healthcare
vs. e-healthcare. A possible answer is that several dimensions are the same, but
there are specific attributes underlying many differences enhancing new meaning
to governance, government, knowledge and service. At this level, the managerial
dimensions take into account six different elements: technical, relational,
organisational, knowing, economical and communication. The e-healthcare service
is a complex organisation, comparable to a network made of a series of crossing
links, each one conforming to a different side of the hospital life. Its
functional system produces modifications in the medical know-how and the managing
profession.
PMID- 18048249
TI - Analysis of semantic search within the domains of uncertainty: using Keyword
Effectiveness Indexing as an evaluation tool.
AB - Medical and health-related searches pose a special case of risk when using the
web as an information resource. Uninsured consumers, lacking access to a trained
provider, will often rely on information from the internet for self-diagnosis and
treatment. In areas where treatments are uncertain or controversial, most
consumers lack the knowledge to make an informed decision. This exploratory
technology assessment examines the use of Keyword Effectiveness Indexing (KEI)
analysis as a potential tool for profiling information search and keyword
retrieval patterns. Results demonstrate that the KEI methodology can be useful in
identifying e-health search patterns, but is limited by semantic or text-based
web environments.
PMID- 18048250
TI - Knowledge creation in the healthcare sector: a legitimation strategy.
AB - There are two purposes of this study: 1. to examine the legitimacy-gaining model
(the relationship between legitimation strategies and legitimacy) in the context
of the hospital industry; 2. to explore the influence of the legitimacy-gaining
model on the process through which knowledge is created within the hospital
industry. This paper proposes a legitimacy-gaining model as the determinant of
hospitals' knowledge-creation activities. We further suggest managerial and
theoretical implications at the end of this paper.
PMID- 18048251
TI - Technology assessment of resources for the emerging US e-health infrastructure: a
proposed interoperability model.
AB - Recent mandates in the USA related to the creation of a National Health
Information Infrastructure (NHII) highlight the need for seamless interconnection
of healthcare providers. As a necessary precondition, however, an interoperable
infrastructure is needed to help clinicians get access to critical healthcare
information upon which their clinical and/or treatment decisions can be based.
Relatively little has been done to identify or assess commercially available
technologies that might work together to meet the required interoperability
requirements. In this exploratory technology assessment we examine three core
technologies that could serve as a foundation for secure NHII interoperability:
Source-Independent Access Control (SIAC), vault process technology and database
independent multimedia capability.
PMID- 18048252
TI - The influence of user interface usability on rural consumers' trust of e-health
services.
AB - A critical challenge facing e-health care providers today is developing a web
presence that not only compels the consumer, but also inspires trust. This
research is the first empirical study that systematically investigates the
influence User Interface (UI) usability factors have on establishing trust
between e-health consumers and e-health service providers in the context of
exchanging personal relationship-based information. The findings of this research
suggest that UI usability factors are essential elements in conveying the
trustworthiness of a web-based system and do affect consumers' perception of
trust in personal relationship-based information exchanges.
PMID- 18048253
TI - Healthcare and technology: the doctrine of networkcentric healthcare.
AB - Worldwide costs and the disparity between healthcare in the Western world and the
developing countries increase exponentially. Increased involvement of ICT allows,
in similarity to the military, a transition from platformcentric to more cohesive
and collaborative networkcentric operations. In the information-intensive
environment of healthcare, the networkcentric approach allows free and rapid
sharing of information and effective knowledge building required for the
development of coherent objectives and their rapid attainment. We suggest that
the application of the proposed networkcentric doctrine is arguably the best
chance of changing the way healthcare is accessed, provided and managed both
nationally and across the globe.
PMID- 18048254
TI - Impacts of physicians' usage of a mobile information system.
AB - Healthcare professionals are increasingly using handheld devices in their
practice. The applications for the handhelds are numerous and their usage
contexts and environments vary. But the impact these mobile systems have on
physicians' work has been somewhat unclear. This paper sets out to explain the
impacts of a mobile information system by presenting findings from an interview
study conducted on users of a medical information system running on a Nokia 9210
Communicator. The impact on the work routines of the users was rather limited,
despite the generally positive attitude towards the system. The actual usage
patterns and the settings in which the system is used vary, and along with these
the perceived impacts of the system on the work habits and the routines of the
users.
PMID- 18048255
TI - From buzzword to business strategy: the case for information and decision support
systems in e-healthcare.
AB - Although electronic healthcare can boast of a remarkable origin in modern-day e
commerce in the form of Electronic Data Interchange (EDI), its mission-critical
nature in information-based strategising is yet to be realised. Restricting the
scope of e-healthcare management to product advertisements and website management
reflects an unfortunate trend of underutilisation of the scope of electronic
decision support systems in pricing and other business strategies. This paper
aims to illustrate how this trend can be corrected by transforming e-healthcare
into a full-fledged business strategy for strategic positioning and corporate
profitability. This argument is illustrated with the aid of a business example
related to transfer pricing.
PMID- 18048256
TI - Substitute or support? Examining the role of consumer-centric e-discussion within
domains of uncertainty.
AB - While users of web-based information often report their reliance on such
information for medical decision making, it has yet to be determined if this is
universally true across all types of information or specialty domains. Some have
argued that the web may be improperly used as 'substituted clinical judgement',
rather than serving as a support tool for patients and their doctors. Further,
little attention has been paid to the selective development of methodologies for
consumer-centred discussions, or to selective grouping and analysis of debated
'domains of uncertainty' in healthcare. Our objective in this study is to
introduce a more refined qualitative model for discussion group or chatroom
evaluation than has traditionally been used, and illustrate the application of
grounded theory as an inductive framework, using assessment of e-discussion
within an area of ongoing medical uncertainty, narcolepsy. Using this approach we
find that while consumers often debate and discuss topics traditionally reserved
for the doctor-patient relationship, they routinely encourage provider advice and
dialogue within such discussions, even after experiencing unsatisfactory outcomes
in such settings.
PMID- 18048257
TI - Critical factors for assessing service quality of online pharmacies: a research
framework.
AB - There is a rapid growth of research on the online pharmacy and applications of
the internet to pharmaceutical services. Increased data access to the general
public has given rise to a class of sophisticated pharmaceutical consumers. With
experienced and sophisticated consumers, rendering quality service is a key for
online pharmacies. This paper identifies several key dimensions of service
quality with a research framework for guiding online pharmacy systems'
development and evaluation.
PMID- 18048258
TI - Networkcentric healthcare: applying the tools, techniques and strategies of
knowledge management to create superior healthcare operations.
AB - The proliferation of Information Computer and Communication Technologies (IC2T)
throughout the business environment has led to exponentially increasing amounts
of data and information. Although these technologies were implemented to enhance
and facilitate superior decision-making, the reality is information overload.
Knowledge Management (KM) is a recent management technique designed to make sense
of this information chaos. Critical to knowledge management is the application of
IC2T. This paper discusses how effective and efficient healthcare operations can
ensue through the adoption of a networkcentric healthcare perspective that is
grounded in process-oriented knowledge generation and enabled through World
Healthcare Information Grid (WHIG).
PMID- 18048259
TI - The next generation of mobile medication management solutions.
AB - In this paper, we describe the development of an internet-based system and a
novel mobile home based device for the management of medication. We extend these
concepts through the descriptions of an enhanced service with the use of mobile
phone technology and home based digital TV services.
PMID- 18048260
TI - A wireless ECG monitoring system for pervasive healthcare.
AB - This paper presents an architectural framework of a system utilising mobile
technologies to enable continuous, wireless, electrocardiogram (ECG) monitoring
of cardiac patients. The proposed system has the potential to improve patients'
quality of life by allowing them to move around freely while undergoing
continuous heart monitoring and to reduce healthcare costs associated with
prolonged hospitalisation, treatment and monitoring.
PMID- 18048261
TI - Providing secure mAccess to medical information.
AB - This paper provides the description of a pilot system, HERMES, which allows
secure mobile access in geographically distributed medical databases. The HERMES
system builds an environment where mobile medical personnel perform secure
registration and acquisition of medical information. The system can be used as an
overall medical communication system on which diverse medical applications could
inter-operate and securely exchange data.
PMID- 18048262
TI - Mobility in hospital work: towards a pervasive computing hospital environment.
AB - Handheld computers are increasingly being used by hospital workers. With the
integration of wireless networks into hospital information systems, handheld
computers can provide the basis for a pervasive computing hospital environment;
to develop this designers need empirical information to understand how hospital
workers interact with information while moving around. To characterise the
medical phenomena we report the results of a workplace study conducted in a
hospital. We found that individuals spend about half of their time at their base
location, where most of their interactions occur. On average, our informants
spent 23% of their time performing information management tasks, followed by
coordination (17.08%), clinical case assessment (15.35%) and direct patient care
(12.6%). We discuss how our results offer insights for the design of pervasive
computing technology, and directions for further research and development in this
field such as transferring information between heterogeneous devices and
integration of the physical and digital domains.
PMID- 18048263
TI - The use of mobile devices for information sharing in a technology-supported model
of care in A&E.
AB - Using a case study as an example, this paper illustrates the current model of
care in Accident and Emergency (A & E); in particular, the 'cells' in which
data/information is stored and how explicit and accessible it is (or is not) to
healthcare professionals. It is a model of care which may be summed up as static
information/dynamic clinicians. This paper then describes how mobile devices may
be used to track patients through an A&E department. From there, a model of care
is proposed that has at its core the notion of dynamic information/static
clinicians which takes into account the potential and likelihood of such mobile
technology being used to support healthcare professionals in the future. It is
argued, however, that such 'disruptive technologies' are merely tools at our
disposal and that it is human activity which must be foremost when considering
how we might work differently ('better') in treating and/or dealing with
patients.
PMID- 18048264
TI - An intelligent pre-hospital patient care system.
AB - iRevive is a sensor-supported, pre-hospital patient care system for the capture
and transmittal of electronic patient data from the field to hospitals. It is
being developed by 10Blade and Boston MedFlight. iRevive takes advantage of
emerging technologies to offer a robust, flexible, and extensible IT
infrastructure for patient data collection.
PMID- 18048265
TI - Human and organisational aspects of remote patient monitoring in residential care
homes.
AB - Demographic changes in the population, with a growing proportion of elderly
people, make the efficient and effective provision of healthcare for this age
group an increasingly important issue. We examine the organisational and human
aspects of introducing a Remote Patient Monitoring (RPM) system that uses
wireless and broadband networks into three residential care homes in the UK.
Stakeholders were identified, and semi-structured one-to-one interviews were
carried out in order to identify issues deemed most important to each group. The
work is novel, as it requires examination of the issues of communication between
healthcare workers in several primary and secondary care organisations. The key
finding was the need to identify the changes in working practice and
interpersonal communication. A key factor in particular was the change in
relationships: staff in the remote centre needing to learn to seek support when
reporting and requesting assistance for a problem; and for the staff at the
health centres to respond appropriately.
PMID- 18048266
TI - A multimedia PDA/PC speech and language therapy tool for patients with aphasia.
AB - Aphasia is a speech disorder usually caused by stroke or head injury and may
involve a variety of communication difficulties. As 30% of stroke sufferers have
a persisting speech and language disorder and therapy resources are low, there is
clear scope for the development of technology to support patients between therapy
sessions. This paper reports on an empirical study which evaluated SoundHelper, a
multimedia application to demonstrate how to pronounce target speech sounds. Two
prototypes, involving either video or animation, were developed and evaluated
with 20 Speech and Language Therapists. Participants responded positively to
both, with the video being preferred because of the perceived extra information
provided. The potential for the use on portable devices, since internet access is
limited in hospitals, is explored in the light of opinions of Augmented and
Alternative Communication (AAC) device users in the UK nd Europe who have
expressed a strong desire for more use of internet services.
PMID- 18048267
TI - Framework and prototype for a secure XML-based electronic health records system.
AB - Security of personal medical information has always been a challenge for the
advancement of Electronic Health Records (EHRs) initiatives. eXtensible Markup
Language (XML), is rapidly becoming the key standard for data representation and
transportation. The widespread use of XML and the prospect of its use in the
Electronic Health (e-health) domain highlights the need for flexible access
control models for XML data and documents. This paper presents a declarative
access control model for XML data repositories that utilises an expressive XML
role control model. The operational semantics of this model are illustrated by
Xplorer, a user interface generation engine which supports search-browse-navigate
activities on XML repositories.
PMID- 18048268
TI - Improving patient safety with RFID and mobile technology.
AB - Medical errors have become a leading cause of death, killing more people each
year than AIDS or aeroplane crashes. These medical errors can be classified into
five categories: poor decision making, poor communication, inadequate patient
monitoring, patient misidentification, inability to respond rapidly and poor
patient tracking. Employing innovative information technologies in correcting
these deficiencies and meeting the Joint Commission on Accreditation of
Healthcare Organistion (JCAHO) patient safety goals is the current trend in
enhancing patient safety.
PMID- 18048269
TI - Obstacles to the adoption of radio frequency identification technology in the
emergency rooms of hospitals.
AB - The Emergency Room (ER) receives patients in critical conditions. The operation
of many emergency service chains is hampered because the required medical
equipment is not always conveniently available and patient vital signs are
manually monitored, but not automatically tracked on a real-time basis. This has
resulted in medical errors, increased stress levels of medical teams, and poor
utilisation of staff and equipment. Our research investigates factors that
contribute to the adoption of Radio Frequency Identification (RFID) technologies
by the medical team in ERs. We propose a theoretical framework to address this
issue based upon the Unified Theory of Acceptance and Use of Technology (UTAUT)
theory.
PMID- 18048270
TI - High-end clinical domain information systems for effective healthcare delivery.
AB - The Electronic Health Record (EHR) provides doctors with a quick, reliable,
secure, real-time and user-friendly source of all relevant patient data. The
latest information system technologies, such as Clinical Data Warehouses (CDW),
Clinical Decision-Support (CDS) systems and data-mining techniques (Online
Analytical Processing (OLAP) and Online Transactional Processing (OLTP)), are
used to maintain and utilise patient data intelligently, based on the users'
requirements. Moreover, clinical trial reports for new drug approvals are now
being submitted electronically for faster and easier processing. Also,
information systems are used in educating patients about the latest developments
in medical science through the internet and specially configured kiosks in
hospitals and clinics.
PMID- 18048271
TI - Influential factors on physicians' behaviour towards the medical web messaging
board: a qualitative exploration.
AB - In the past, researches showed that patients expected to communicate with
physicians through the internet, but physicians presented conservative attitudes.
In this research, researchers interview 20 physicians who have communicated with
patients through the medical web messaging board. The results reveal some factors
that influence physicians' behaviour towards adopting it: in the personal aspect,
physicians' slow typing skills in Chinese and patients' insufficient medical
knowledge are factors. In the medical aspect, diseases changing with time, and
the complexity of the medical knowledge are factors. In technological aspects,
lack of instant response device and physical examination are factors. Thus,
physicians avoid diagnosing through the online board. Physicians use the online
board to educate and comfort patients.
PMID- 18048272
TI - Hospital information management system: an evolutionary knowledge management
perspective.
AB - The evolving paradigm shift resulting from IT, social and technological changes
has created a need for developing an innovative knowledge-based healthcare
system, which can effectively meet global healthcare system demands and also
cater to future trends. The Hospital Information Management System (HIMS) is
developed with this sole aim in mind, which helps in processing and management of
hospital information not only inside the boundary, but also beyond the hospital
boundary, e.g., telemedicine or e-healthcare. The purpose of this paper is to
present such kind of functional HIMS, which can efficiently satisfy the current
and future system requirements by using Knowledge Management (KM) and data
management systems. The HIMS is developed in a KM context, wherein users can
share and use the knowledge more effectively. The proposed system is fully
compatible with future technical, social, managerial and economical requirements.
PMID- 18048273
TI - Critical success factors for achieving superior m-health success.
AB - Recent healthcare trends clearly show significant investment by healthcare
institutions into various types of wired and wireless technologies to facilitate
and support superior healthcare delivery. This trend has been spurred by the
shift in the concept and growing importance of the role of health information and
the influence of fields such as bio-informatics, biomedical and genetic
engineering. The demand is currently for integrated healthcare information
systems; however for such initiatives to be successful it is necessary to adopt a
macro model and appropriate methodology with respect to wireless initiatives. The
key contribution of this paper is the presentation of one such integrative model
for mobile health (m-health) known as the Wi-INET Business Model, along with a
detailed Adaptive Mapping to Realisation (AMR) methodology. The AMR methodology
details how the Wi-INET Business Model can be implemented. Further validation on
the concepts detailed in the Wi-INET Business Model and the AMR methodology is
offered via a short vignette on a toolkit based on a leading UK-based healthcare
information technology solution.
PMID- 18048274
TI - Securing electronic health records with novel mobile encryption schemes.
AB - Mobile devices have penetrated the healthcare sector due to their increased
functionality, low cost, high reliability and easy-to-use nature. However, in
healthcare applications the privacy and security of the transmitted information
must be preserved. Therefore applications require a concrete security framework
based on long-term security keys, such as the security key that can be found in a
mobile Subscriber Identity Module (SIM). The wireless nature of communication
links in mobile networks presents a major challenge in this respect. This paper
presents a novel protocol that will send the information securely while including
the access privileges to the authorized recipient.
PMID- 18048275
TI - The Internet and healthcare in Taiwan: value-added applications on the medical
network in the National Health Insurance smart card system.
AB - The introduction of smart card technology has ushered in a new era of electronic
medical information systems. Taiwan's Bureau of National Health Insurance (BNHI)
implemented the National Health Insurance (NHI) smart card project in 2004. The
purpose of the project was to replace all paper cards with one smart card. The
NHI medical network now provides three kinds of services. In this paper, we
illustrate the status of the NHI smart card system in Taiwan and propose three
kinds of value-added applications for the medical network, which are electronic
exchange of medical information, retrieval of personal medical records and
medical e-learning for future development of health information systems.
PMID- 18048276
TI - An Eclipse-based development approach to health information technology.
AB - The rapid proliferation of applications--electronic health record and clinical
decision support systems among them--cannot keep up with the growing needs of
healthcare delivery organisations. The absence of uniform standards and
interoperability has hindered the successful deployment and acceptance of these
applications. Eclipse and other open source applications have the potential to
fill some of these gaps. The benefits include acceptance of open standards,
enabling interoperability and scalability, prevention of vendor lock-in and lower
costs. In this paper, we describe an Eclipse-based open source electronic health
record application, a prototype. We contrast the Eclipse approach to other
development approaches. Implementation is feasible and provides customization,
although there are challenges to overcome. We envision organisations adopting
open source development tools as alternatives to vendor-driven, proprietary
systems.
PMID- 18048277
TI - Evaluation of investment for enterprise application integration technology in
healthcare organisations: a cost-benefit approach.
AB - Recent developments in the field of Information Technology (IT), such as
integration technologies have promised to bring improvements in the quality of
services; however, costs of these technologies are relatively high. Consequently,
investment justification for these technologies is one of the many challenges
that are faced by managers in healthcare organisations. IT investments in
healthcare organisations are usually justified using traditional investment
appraisal techniques such as Return on Investment (ROI) analysis, internal rate
of return, net present value and payback approach. The techniques are
specifically used to measure the financial impact of the investment; therefore,
the techniques are limited to accommodate human and organisational benefits
associated with the adoption of integration technologies. In exploring this
phenomenon, the paper focuses on benefits and costs of Enterprise Application
Integration (EAI) adoption in healthcare organisations. This may be useful for
the managers in preparing investment budget proposals.
PMID- 18048278
TI - Principles and effects of electronic communication systems between healthcare
providers and managed-care organisations.
AB - The present paper studies the issue of electronic communication among healthcare
providers, patients and Managed-Care Organisations (MCOs) and how this
communication could be exploited in order to increase the quality of health
services. Specifically, we review the existing electronic communication systems
in health and how they are used by the stakeholders and the medical staff. After
reviewing the barriers to using online communication in clinical practice, we
emphasise the challenges and the advantages of embodying such systems in daily
healthcare services.
PMID- 18048279
TI - An intelligent agent approach to improving the coordination efficiency in the
donor kidney distribution process.
AB - Kidney transplantation is an effective treatment for an end-stage renal disease.
Donor kidneys need to be matched with appropriate patients, distributed and
transplanted very quickly (less than 40 hr) in order to achieve optimal medical
results. In this paper we analyse the bottlenecks in the current donor kidney
distribution process and investigate how agent technology can be applied to
improve this process. We propose a distributed multi-agent system operating in a
mobile communication environment to assist transplant coordinators in
coordinating with multiparties in this time-critical distribution process. A
prototype system has been developed to demonstrate the feasibility of such a
system.
PMID- 18048280
TI - Harmless nectar source or deadly trap: Nepenthes pitchers are activated by rain,
condensation and nectar.
AB - The leaves of Nepenthes pitcher plants are specialized pitfall traps which
capture and digest arthropod prey. In many species, insects become trapped by
'aquaplaning' on the wet pitcher rim (peristome). Here we investigate the
ecological implications of this capture mechanism in Nepenthes rafflesiana var.
typica. We combine meteorological data and continuous field measurements of
peristome wetness using electrical conductance with experimental assessments of
the pitchers' capture efficiency. Our results demonstrate that pitchers can be
highly effective traps with capture rates as high as 80% but completely
ineffective at other times. These dramatic changes are due to the wetting
condition of the peristome. Variation of peristome wetness and capture efficiency
was perfectly synchronous, and caused by rain, condensation and nectar secreted
from peristome nectaries. The presence of nectar on the peristome increased
surface wetness mainly indirectly by its hygroscopic properties. Experiments
confirmed that pitchers with removed peristome nectaries remained generally drier
and captured prey less efficiently than untreated controls. This role of nectar
in prey capture represents a novel function of plant nectar. We propose that the
intermittent and unpredictable activation of Nepenthes pitcher traps facilitates
ant recruitment and constitutes a strategy to maximize prey capture.
PMID- 18048281
TI - Crowding, sex ratio and horn evolution in a South African beetle community.
AB - Sexually selected ornaments and weapons are exceptionally variable, even between
closely related species. It has long been recognized that some of this diversity
can be explained by differences in mating systems between species, but there
remains substantial variation between species with similar mating systems. We
investigated the roles of sex ratio (measured as operational sex ratio, OSR) and
population density (measured as mean male crowding, a measure indicating the
average number of conspecific males that an individual male animal will
encounter) in determining horn presence in a community of South African dung
beetles. Analysis of data from 14 species using a generalized least-squares model
incorporating phylogenetic influences found that both OSR and mean crowding were
significant predictors of horn presence, with hornless species tending to show
female-biased sex ratios and high levels of crowding. The influence of mean
crowding on horn diversity between species probably reflects the difficulty of
guarding and monopolizing females when many competitors are present, meaning that
males who adopt 'scramble' tactics tend to be favoured.
PMID- 18048282
TI - Cheating honeybee workers produce royal offspring.
AB - The Cape bee (Apis mellifera capensis) is unique among honeybees in that workers
can lay eggs that instead of developing into males develop into females via
thelytokous parthenogenesis. We show that this ability allows workers to compete
directly with the queen over the production of new queens. Genetic analyses using
microsatellites revealed that 23 out of 39 new queens produced by seven colonies
were offspring of workers and not the resident queen. Of these, eight were laid
by resident workers, but the majority were offspring of parasitic workers from
other colonies. The parasites were derived from several clonal lineages that
entered the colonies and successfully targeted queen cells for parasitism. Hence,
these parasitic workers had the potential to become genetically reincarnated as
queens. Of the daughter queens laid by the resident queen, three were produced
asexually, suggesting that queens can 'choose' to produce daughter queens
clonally and thus have the potential for genetic immortality.
PMID- 18048283
TI - Pattern and timing of diversification in Yucca (Agavaceae): specialized
pollination does not escalate rates of diversification.
AB - The yucca-yucca moth interaction is one of the most well-known and remarkable
obligate pollination mutualisms, and is an important study system for
understanding coevolution. Previous research suggests that specialist pollinators
can promote rapid diversification in plants, and theoretical work has predicted
that obligate pollination mutualism promotes cospeciation between plants and
their pollinators, resulting in contemporaneous, parallel diversification.
However, a lack of information about the age of Yucca has impeded efforts to test
these hypotheses. We used analyses of 4322 AFLP markers and cpDNA sequence data
representing six non-protein-coding regions (trnT-trnL, trnL, trnL intron, trnL
trnF, rps16 and clpP intron 2) from all 34 species to recover a consensus
organismal phylogeny, and used penalized likelihood to estimate divergence times
and speciation rates in Yucca. The results indicate that the pollination
mutualism did not accelerate diversification, as Yucca diversity (34 species) is
not significantly greater than that of its non-moth-pollinated sister group,
Agave sensu latissimus (240 species). The new phylogenetic estimates also
corroborate the suggestion that the plant-moth pollination mutualism has at least
two origins within the Agavaceae. Finally, age estimates show significant discord
between the age of Yucca (ca 6-10Myr) and the current best estimates for the age
of their pollinators (32-40Myr).
PMID- 18048284
TI - Experimental alteration of litter sex ratios in a mammal.
AB - Adaptive theory predicts that mothers would be advantaged by adjusting the sex
ratio of their offspring in relation to their offspring's future reproductive
success. Studies investigating sex ratio variation in mammals, including humans,
have obtained notoriously inconsistent results, except when maternal condition is
measured around conception. Several mechanisms for sex ratio adjustment have been
proposed. Here, we test the hypothesis that glucose concentrations around
conception influence sex ratios. The change in glucose levels resulted in a
change in sex ratios, with more daughters being born to females with
experimentally lowered glucose, and with the change in glucose levels being more
predictive than the glucose levels per se. We provide evidence for a mechanism,
which, in tandem with other mechanisms, could explain observed sex ratio
variation in mammals.
PMID- 18048285
TI - Filling the gap between identified neuroblasts and neurons in crustaceans adds
new support for Tetraconata.
AB - The complex spatio-temporal patterns of development and anatomy of nervous
systems play a key role in our understanding of arthropod evolution. However, the
degree of resolution of neural processes is not always detailed enough to claim
homology between arthropod groups. One example is neural precursors and their
progeny in crustaceans and insects. Pioneer neurons of crustaceans and insects
show some similarities that indicate homology. In contrast, the differentiation
of insect and crustacean neuroblasts (NBs) shows profound differences and their
homology is controversial. For Drosophila and grasshoppers, the complete lineage
of several NBs up to formation of pioneer neurons is known. Apart from data on
median NBs no comparable results exist for Crustacea. Accordingly, it is not
clear where the crustacean pioneer neurons come from and whether there are NBs
lateral to the midline homologous to those of insects. To fill this gap,
individual NBs in the ventral neuroectoderm of the crustacean Orchestia cavimana
were labelled in vivo with a fluorescent dye. A partial neuroblast map was
established and for the first time lineages from individual NBs to identified
pioneer neurons were established in a crustacean. Our data strongly suggest
homology of NBs and their lineages, providing further evidence for a close insect
crustacean relationship.
PMID- 18048286
TI - Climate change and the effects of temperature extremes on Australian flying
foxes.
AB - Little is known about the effects of temperature extremes on natural systems.
This is of increasing concern now that climate models predict dramatic increases
in the intensity, duration and frequency of such extremes. Here we examine the
effects of temperature extremes on behaviour and demography of vulnerable wild
flying-foxes (Pteropus spp.). On 12 January 2002 in New South Wales, Australia,
temperatures exceeding 42 degrees C killed over 3500 individuals in nine mixed
species colonies. In one colony, we recorded a predictable sequence of
thermoregulatory behaviours (wing-fanning, shade-seeking, panting and saliva
spreading, respectively) and witnessed how 5-6% of bats died from hyperthermia.
Mortality was greater among the tropical black flying-fox, Pteropus alecto (10
13%) than the temperate grey-headed flying-fox, Pteropus poliocephalus (less than
1%), and young and adult females were more affected than adult males (young, 23
49%; females, 10-15%; males, less than 3%). Since 1994, over 30000 flying-foxes
(including at least 24500 P. poliocephalus) were killed during 19 similar events.
Although P. alecto was relatively less affected, it is currently expanding its
range into the more variable temperature envelope of P. poliocephalus, which
increases the likelihood of die-offs occurring in this species. Temperature
extremes are important additional threats to Australian flying-foxes and the
ecosystem services they provide, and we recommend close monitoring of colonies
where temperatures exceeding 42.0 degrees C are predicted. The effects of
temperature extremes on flying-foxes highlight the complex implications of
climate change for behaviour, demography and species survival.
PMID- 18048287
TI - Persistence of the emerging pathogen Batrachochytrium dendrobatidis outside the
amphibian host greatly increases the probability of host extinction.
AB - Pathogens do not normally drive their hosts to extinction; however,
Batrachochytrium dendrobatidis, which causes amphibian chytridiomycosis, has been
able to do so. Theory predicts that extinction can be caused by long-lived or
saprobic free-living stages. The hypothesis that such a stage occurs in B.
dendrobatidis is supported by the recent discovery of an apparently encysted form
of the pathogen. To investigate the effect of a free-living stage of B.
dendrobatidis on host population dynamics, a mathematical model was developed to
describe the introduction of chytridiomycosis into a breeding population of Bufo
bufo, parametrized from laboratory infection and transmission experiments. The
model predicted that the longer that B. dendrobatidis was able to persist in
water, either due to an increased zoospore lifespan or saprobic reproduction, the
more likely it was that it could cause local B. bufo extinction (defined as
decrease below a threshold level). Establishment of endemic B. dendrobatidis
infection in B. bufo, with severe host population depression, was also possible,
in agreement with field observations. Although this model is able to predict
clear trends, more precise predictions will only be possible when the life
history of B. dendrobatidis, including free-living stages of the life cycle, is
better understood.
PMID- 18048288
TI - Individual variation and the endocrine regulation of behaviour and physiology in
birds: a cellular/molecular perspective.
AB - Investigations of the cellular and molecular mechanisms of physiology and
behaviour have generally avoided attempts to explain individual differences. The
goal has rather been to discover general processes. However, understanding the
causes of individual variation in many phenomena of interest to avian eco
physiologists will require a consideration of such mechanisms. For example, in
birds, changes in plasma concentrations of steroid hormones are important in the
activation of social behaviours related to reproduction and aggression. Attempts
to explain individual variation in these behaviours as a function of variation in
plasma hormone concentrations have generally failed. Cellular variables related
to the effectiveness of steroid hormone have been useful in some cases. Steroid
hormone target sensitivity can be affected by variables such as metabolizing
enzyme activity, hormone receptor expression as well as receptor cofactor
expression. At present, no general theory has emerged that might provide a clear
guidance when trying to explain individual variability in birds or in any other
group of vertebrates. One strategy is to learn from studies of large units of
intraspecific variation such as population or sex differences to provide ideas
about variables that might be important in explaining individual variation. This
approach along with the use of newly developed molecular genetic tools represents
a promising avenue for avian eco-physiologists to pursue.
PMID- 18048289
TI - Variation in maternal effects and embryonic development rates among passerine
species.
AB - Embryonic development rates are reflected by the length of incubation period in
birds, and these vary substantially among species within and among geographical
regions. The incubation periods are consistently shorter in North America
(Arizona study site) than in tropical (Venezuela) and subtropical (Argentina)
South America based on the study of 83 passerine species in 17 clades. Parents,
mothers in particular, may influence incubation periods and resulting offspring
quality through proximate pathways, while variation in maternal strategies among
species can result from selection by adult and offspring mortality. Parents of
long-lived species, as is common in the tropics and subtropics, may be under
selection to minimize costs to themselves during incubation. Indeed, time spent
incubating is often lower in the tropical and subtropical species than the
related north temperate species, causing cooler average egg temperatures in the
southern regions. Decreased egg temperatures result in longer incubation periods
and reflect a cost imposed on offspring by parents because energy cost to the
embryo and risk of offspring predation are both increased. Mothers may adjust egg
size and constituents as a means to partially offset such costs. For example,
reduced androgen concentrations in egg yolks may slow development rates, but may
enhance offspring quality through physiological trade-offs that may be
particularly beneficial in longer-lived species, as in the tropics and
subtropics. We provide initial data to show that yolks of tropical birds contain
substantially lower concentrations of growth-promoting androgens than north
temperate relatives. Thus, maternal (and parental) effects on embryonic
development rates may include contrasting and complementary proximate influences
on offspring quality and deserve further field study among species.
PMID- 18048290
TI - Neuroendocrine control of life histories: what do we need to know to understand
the evolution of phenotypic plasticity?
AB - Almost all life histories are phenotypically plastic: that is, life-history
traits such as timing of breeding, family size or the investment in individual
offspring vary with some aspect of the environment, such as temperature or food
availability. One approach to understanding this phenotypic plasticity from an
evolutionary point of view is to extend the optimality approach to the range of
environments experienced by the organism. This approach attempts to understand
the value of particular traits in terms of the selection pressures that act on
them either directly or owing to trade-offs due to resource allocation and other
factors such as predation risk. Because these selection pressures will between
environments, the predicted optimal phenotype will too. The relationship
expressing the optimal phenotype for different environments is the optimal
reaction norm and describes the optimal phenotypic plasticity. However, this view
of phenotypic plasticity ignores the fact that the reaction norm must be
underlain by some sort of control system: cues about the environment must be
collected by sense organs, integrated into a decision about the appropriate life
history, and a message sent to the relevant organs to implement that decision. In
multicellular animals, this control mechanism is the neuroendocrine system. The
central question that this paper addresses is whether the control system affects
the reaction norm that evolves. This might happen in two different ways: first,
the control system will create constraints on the evolution of reaction norms if
it cannot be configured to produce the optimal reaction norm and second, the
control system will create additional selection pressures on reaction norms if
the neuroendocrine system is costly. If either of these happens, a full
understanding of the way in which selection shapes reaction norms must include
details of the neuroendocrine control system. This paper presents the conceptual
framework needed to explain what is meant by a constraint or cost being created
by the neuroendocrine system and discusses the extent to which this occurs and
some possible examples. The purpose of doing this is to encourage
endocrinologists to take a fresh look at neuroendocrine mechanisms and help
identify the properties of the system and situations in which these generate
constraints and costs that impinge on the evolution of phenotypic plasticity.
PMID- 18048291
TI - Hormone-mediated maternal effects in birds: mechanisms matter but what do we know
of them?
AB - Over the past decade, birds have proven to be excellent models to study hormone
mediated maternal effects in an evolutionary framework. Almost all these studies
focus on the function of maternal steroid hormones for offspring development, but
lack of knowledge about the underlying mechanisms hampers further progress. We
discuss several hypotheses concerning these mechanisms, point out their relevance
for ecological and evolutionary interpretations, and review the relevant data. We
first examine whether maternal hormones can accumulate in the egg independently
of changes in hormone concentrations in the maternal circulation. This is
important for Darwinian selection and female physiological trade-offs, and
possible mechanisms for hormone accumulation in the egg, which may differ among
hormones, are reviewed. Although independent regulation of plasma and yolk
concentrations of hormones is conceivable, the data are as yet inconclusive for
ovarian hormones. Next, we discuss embryonic utilization of maternal steroids,
since enzyme and receptor systems in the embryo may have coevolved with maternal
effect mechanisms in the mother. We consider dose-response relationships and
action pathways of androgens and argue that these considerations may help to
explain the apparent lack of interference of maternal steroids with sexual
differentiation. Finally, we discuss mechanisms underlying the pleiotropic
actions of maternal steroids, since linked effects may influence the coevolution
of parent and offspring traits, owing to their role in the mediation of
physiological trade-offs. Possible mechanisms here are interactions with other
hormonal systems in the embryo. We urge endocrinologists to embark on suggested
mechanistic studies and behavioural ecologists to adjust their interpretations to
accommodate the current knowledge of mechanisms.
PMID- 18048292
TI - Review. Meiotic drive and sex determination: molecular and cytological mechanisms
of sex ratio adjustment in birds.
AB - Differences in relative fitness of male and female offspring across ecological
and social environments should favour the evolution of sex-determining mechanisms
that enable adjustment of brood sex ratio to the context of breeding. Despite the
expectation that genetic sex determination should not produce consistent bias in
primary sex ratios, extensive and adaptive modifications of offspring sex ratio
in relation to social and physiological conditions during reproduction are often
documented. Such discordance emphasizes the need for empirical investigation of
the proximate mechanisms for modifying primary sex ratios, and suggests
epigenetic effects on sex-determining mechanisms as the most likely candidates.
Birds, in particular, are thought to have an unusually direct opportunity to
modify offspring sex ratio because avian females are heterogametic and because
the sex-determining division in avian meiosis occurs prior to ovulation and
fertilization. However, despite evidence of strong epigenetic effects on sex
determination in pre-ovulatory avian oocytes, the mechanisms behind such effects
remain elusive. Our review of molecular and cytological mechanisms of avian
meiosis uncovers a multitude of potential targets for selection on biased
segregation of sex chromosomes, which may reflect the diversity of mechanisms and
levels on which such selection operates in birds. Our findings indicate that
pronounced differences between sex chromosomes in size, shape, size of protein
bodies, alignment at the meiotic plate, microtubule attachment and epigenetic
markings should commonly produce biased segregation of sex chromosomes as the
default state, with secondary evolution of compensatory mechanisms necessary to
maintain unbiased meiosis. We suggest that it is the epigenetic effects that
modify such compensatory mechanisms that enable context-dependent and precise
adjustment of primary sex ratio in birds. Furthermore, we highlight the features
of avian meiosis that can be influenced by maternal hormones in response to
environmental stimuli and may account for the precise and adaptive patterns of
offspring sex ratio adjustment observed in some species.
PMID- 18048293
TI - Review. Do hormonal control systems produce evolutionary inertia?
AB - Hormonal control systems are complex in design and well integrated. Concern has
been raised that these systems might act as evolutionary constraints when animals
are subject to anthropogenic environmental change. Three systems are examined in
vertebrates, especially birds, that are important for assessing this possibility:
(i) the hypothalamic-pituitary-gonadal (HPG) axis, (ii) the activational effects
of sex steroids on mating effort behaviour, and (iii) sexual differentiation.
Consideration of how these systems actually work that takes adequate account of
the brain's role and mechanisms suggests that the first two are unlikely to be
impediments to evolution. The neural and molecular networks that regulate the HPG
provide both phenotypic and evolutionary flexibility, and rapid evolutionary
responses to selection have been documented in several species. The
neuroendocrine and molecular cascades for behaviour provide many avenues for
evolutionary change without requiring a change in peripheral hormone levels.
Sexual differentiation has some potential to be a source of evolutionary inertia
in birds and could contribute to the lack of diversity in certain reproductive
(including life history) traits. It is unclear, however, whether that lack of
diversity would impede adaptation to rapid environmental change given the role of
behavioural flexibility in avian reproduction.
PMID- 18048294
TI - Control of the annual cycle in birds: endocrine constraints and plasticity in
response to ecological variability.
AB - This paper reviews information from ecological and physiological studies to
assess how extrinsic factors can modulate intrinsic physiological processes. The
annual cycle of birds is made up of a sequence of life-history stages: breeding,
moult and migration. Each stage has evolved to occur at the optimum time and to
last for the whole duration of time available. Some species have predictable
breeding seasons, others are more flexible and some breed opportunistically in
response to unpredictable food availability. Photoperiod is the principal
environmental cue used to time each stage, allowing birds to adapt their
physiology in advance of predictable environmental changes. Physiological
(neuroendocrine and endocrine) plasticity allows non-photoperiodic cues to
modulate timing to enable individuals to cope with, and benefit from, short-term
environmental variability. Although the timing and duration of the period of full
gonadal maturation is principally controlled by photoperiod, non-photoperiodic
cues, such as temperature, rainfall or food availability, could potentially
modulate the exact time of breeding either by fine-tuning the time of egg-laying
within the period of full gonadal maturity or, more fundamentally, by modulating
gonadal maturation and/or regression. The timing of gonadal regression affects
the time of the start of moult, which in turn may affect the duration of the
moult. There are many areas of uncertainty. Future integrated studies are
required to assess the scope for flexibility in life-history strategies as this
will have a critical bearing on whether birds can adapt sufficiently rapidly to
anthropogenic environmental changes, in particular climate change.
PMID- 18048295
TI - Individual variation in endocrine systems: moving beyond the 'tyranny of the
Golden Mean'.
AB - Twenty years ago, Albert Bennett published a paper in the influential book New
directions in ecological physiology arguing that individual variation was an
'underutilized resource'. In this paper, I review our state of knowledge of the
magnitude, mechanisms and functional significance of phenotypic variation,
plasticity and flexibility in endocrine systems, and argue for a renewed focus on
inter-individual variability. This will provide challenges to conventional wisdom
in endocrinology itself, e.g. re-evaluation of relatively simple, but unresolved
questions such as structure-function relationships among hormones, binding
globulins and receptors, and the functional significance of absolute versus
relative hormone titres. However, there are also abundant opportunities for
endocrinologists to contribute solid mechanistic understanding to key questions
in evolutionary biology, e.g. how endocrine regulation is involved in evolution
of complex suites of traits, or how hormone pleiotropy regulates trade-offs among
life-history traits. This will require endocrinologists to embrace the raw
material of adaptation (heritable, individual variation and phenotypic
plasticity) and to take advantage of conceptual approaches widely used in
evolutionary biology (selection studies, reaction norms, concepts of evolutionary
design) as well as a more explicit focus on the endocrine basis of life-history
traits that are of primary interest to evolutionary biologists (cf. behavioural
endocrinology).
PMID- 18048296
TI - Hormone-mediated suites as adaptations and evolutionary constraints.
AB - Hormones mediate the expression of suites of correlated traits and hence may act
both to facilitate and constrain adaptive evolution. Selection on one trait
within a hormone-mediated suite may, for example, lead to a change in the
strength of the hormone signal, causing either beneficial or detrimental changes
in correlated traits. Theory and empirical methods for studying correlated trait
evolution have been developed by the field of evolutionary quantitative genetics,
and here we suggest that their application to the study of hormone-mediated
suites may prove fruitful. We present hypotheses for how selection shapes the
evolution of hormone-mediated suites and argue that correlational selection,
which arises when traits interact in their effects on fitness, may act to alter
or conserve the composition of hormone-mediated suites. Next, we advocate using
quantitative genetic methods to assess natural covariation among hormone-mediated
traits and to measure the strength of natural selection acting on them. Finally,
we present illustrative examples from our own work on the evolution of
testosterone-mediated suites in male and female dark-eyed juncos. We conclude
that future work on hormone-mediated suites, if motivated by quantitative genetic
theory, may provide important insights into their dual roles as adaptations and
evolutionary constraints.
PMID- 18048298
TI - Spatially explicit fire-climate history of the boreal forest-tundra (Eastern
Canada) over the last 2000 years.
AB - Across the boreal forest, fire is the main disturbance factor and driver of
ecosystem changes. In this study, we reconstructed a long-term, spatially
explicit fire history of a forest-tundra region in northeastern Canada. We
hypothesized that current occupation of similar topographic and edaphic sites by
tundra and forest was the consequence of cumulative regression with time of
forest cover due to compounding fire and climate disturbances. All fires were
mapped and dated per 100 year intervals over the last 2,000 years using several
fire dating techniques. Past fire occurrences and post-fire regeneration at the
northern forest limit indicate 70% reduction of forest cover since 1800 yr BP and
nearly complete cessation of forest regeneration since 900 yr BP. Regression of
forest cover was particularly important between 1500s-1700s and possibly since
900 yr BP. Although fire frequency was very low over the last 100 years, each
fire event was followed by drastic removal of spruce cover. Contrary to
widespread belief of northward boreal forest expansion due to recent warming,
lack of post-fire recovery during the last centuries, in comparison with active
tree regeneration more than 1,000 years ago, indicates that the current climate
does not favour such expansion.
PMID- 18048297
TI - Sources of individual variation in plasma testosterone levels.
AB - The steroid hormone testosterone (T) plays a central role in the regulation of
breeding in males, because many physiological, morphological and behavioural
traits related to reproduction are T dependent. Moreover, in many seasonally
breeding vertebrates, male plasma T levels typically show a pronounced peak
during the breeding season. While such population-level patterns are fairly well
worked out, the sources and the implications of the large variability in
individual T levels within the seasonal cycle remain surprisingly little
understood. Understanding the potential sources of individual variation in T
levels is important for behavioural and evolutionary ecologists, for at least two
reasons. First, in 'honest signalling' theory, T is hypothesized to play a
critical role as the assumed factor that enforces honesty of the expression of
sexually selected quality indicators. Second, T is often considered a key
mediator of central life-history trade-offs, such as investment in survival
versus reproduction or in mating versus parental care. Here, we discuss the
patterns of within- and between-individual variation in male plasma T levels in
free-living populations of birds. We argue that it is unclear whether this
variability mainly reflects differences in underlying individual quality
(intrinsic factors such as genetic or maternal effects) or in the environment
(extrinsic factors including time of day, individual territorial status and past
experience). Research in avian behavioural endocrinology has mainly focused on
the effects of extrinsic factors, while other sources of variance are often
ignored. We suggest that studies that use an integrative approach and investigate
the relative importance of all potential sources of variation are essential for
the interpretation of data on individual plasma T levels.
PMID- 18048299
TI - Trends in recent temperature and radial tree growth spanning 2000 years across
northwest Eurasia.
AB - This paper describes variability in trends of annual tree growth at several
locations in the high latitudes of Eurasia, providing a wide regional comparison
over a 2000-year period. The study focuses on the nature of local and widespread
tree-growth responses to recent warming seen in instrumental observations,
available in northern regions for periods ranging from decades to a century.
Instrumental temperature data demonstrate differences in seasonal scale of
Eurasian warming and the complexity and spatial diversity of tree-growing-season
trends in recent decades. A set of long tree-ring chronologies provides empirical
evidence of association between inter-annual tree growth and local, primarily
summer, temperature variability at each location. These data show no evidence of
a recent breakdown in this association as has been found at other high-latitude
Northern Hemisphere locations. Using Kendall's concordance, we quantify the time
dependent relationship between growth trends of the long chronologies as a group.
This provides strong evidence that the extent of recent widespread warming across
northwest Eurasia, with respect to 100- to 200-year trends, is unprecedented in
the last 2000 years. An equivalent analysis of simulated temperatures using the
HadCM3 model fails to show a similar increase in concordance expected as a
consequence of anthropogenic forcing.
PMID- 18048300
TI - Introduction. Integration of ecology and endocrinology in avian reproduction: a
new synthesis.
AB - Birds are some of the most familiar organisms of global ecosystems. Changes in
the visibility and abundance of birds are therefore excellent indicators of
population and physiological responses to habitat changes and are a major focus
for public concern about detrimental environmental changes. In order to
understand how birds respond to these challenges, it is essential to determine
how the environment affects reproduction under natural conditions. The continuum
from environmental variables (cues) to reproductive life-history traits depends
upon a cascade of neural and physiological processes that determine the extent
and rate at which birds will be able to adapt to changes in their environment.
For a full understanding of this ability to adapt, ecologists and
endocrinologists need to collaborate and build a common framework. The objective
of this theme issue is to bring together a series of papers addressing how
evolutionary ecologists and endocrinologists can collaborate directly using avian
reproduction as a model system. First, we address the need to integrate ecology
and endocrinology and what benefits to biological knowledge will be gained. The
papers collected in this issue represent a new synthesis of ecology and
endocrinology as discussed in three E-BIRD workshops. The three main foci are
trade-offs and constraints, maternal effects and individual variation. Authors
within each group present ecological and endocrinological aspects of their topics
and many go on to outline testable hypotheses. Finally, we discuss where the
major problems remain and how this issue points out where these need
collaborative efforts of ecologists and endocrinologists. Specific challenges are
raised to future researchers to break through intellectual barriers and explore
new frontiers. This framework of topics will ultimately apply to all taxa because
the principles involved are universal and hopefully will have direct application
to programmes integrating organisms and genes throughout biological sciences.
PMID- 18048302
TI - An artificial neural networks approach in managing healthcare.
AB - Hospitals as learning organisations have evolved through complex phases of
service failures and continuous service improvement to meet the business needs of
a varied continuum of care customers. This paper explores the use of Artificial
Neural Network (ANN) in the development of a decision support system to manage
healthcare non-clinical services. The information (postal questionnaires and
repertory grid interviews) used to develop the input to the National Healthcare
Service Facilities Risk Exposure System (NHSFRES) was articulated from 60
experienced healthcare operators. The system provides a reasonable early warning
signal to the healthcare managers, and can be used by decision makers to evaluate
the severity of risks on healthcare non clinical business operations. The
advantage of using NHSFRES is that healthcare managers can provide their own risk
assessment values (point score system) based on their own healthcare management
business knowledge/judgement and corporate objectives.
PMID- 18048303
TI - A subset polynomial neural networks approach for breast cancer diagnosis.
AB - Breast cancer is a very common and serious cancer for women that is diagnosed in
one of every eight Australian women before the age of 85. The conventional method
of breast cancer diagnosis is mammography. However, mammography has been reported
to have poor diagnostic capability. In this paper we have used subset polynomial
neural network techniques in conjunction with fine needle aspiration cytology to
undertake this difficult task of predicting breast cancer. The successful
findings indicate that adoption of NNs is likely to lead to increased survival of
women with breast cancer, improved electronic healthcare, and enhanced quality of
life.
PMID- 18048301
TI - Early growth conditions, phenotypic development and environmental change.
AB - Phenotypic development is the result of a complex interplay involving the
organism's own genetic make-up and the environment it experiences during
development. The latter encompasses not just the current environment, but also
indirect, and sometimes lagged, components that result from environmental effects
on its parents that are transmitted to their developing offspring in various ways
and at various stages. These environmental effects can simply constrain
development, for example, where poor maternal condition gives rise to poorly
provisioned, low-quality offspring. However, it is also possible that
environmental circumstances during development shape the offspring phenotype in
such a way as to better prepare it for the environmental conditions it is most
likely to encounter during its life. Studying the extent to which direct and
indirect developmental responses to environmental effects are adaptive requires
clear elucidation of hypotheses and careful experimental manipulations. In this
paper, I outline how the different paradigms applied in this field relate to each
other, the main predictions that they produce and the kinds of experimental data
needed to distinguish among competing hypotheses. I focus on birds in particular,
but the theories discussed are not taxon specific. Environmental influences on
phenotypic development are likely to be mediated, in part at least, by endocrine
systems. I examine evidence from mechanistic and functional avian studies and
highlight the general areas where we lack key information.
PMID- 18048304
TI - Design of wearable cardiac telemedicine system.
AB - Cardiovascular disease is the world's leading killer disease, accounting for 16.7
million deaths annually. About 22 million people all over the world run the risk
of sudden heart failure. However, deaths from cardiovascular diseases have
decreased substantially over the past two decades, largely as a result of
advances in acute care and cardiac surgery. These developments have resulted in a
growing population of patients who have survived myocardial infarction. These
patients need to be continuously monitored so that the initiation of treatment
can be taken up within the crucial golden hour. The available conventional
methods of monitoring restrict the mobility of these patients within a hospital
or room. The primary aim of this paper is to design a Wearable Cardiac
Telemedicine System that can help the mobility of patients, so as to regain their
independence and return to an active social or work schedule, thereby improving
their psychological well-being. The whole system has been implemented and tested.
The results obtained are encouraging.
PMID- 18048305
TI - Using actor network theory to understand network centric healthcare operations.
AB - The adoption and diffusion of e-health and the application of ICT in healthcare
is being heralded as the panacea with both European and US governments making e
health a priority on their agendas. In this context, a model of networkcentric
healthcare operations has been proffered as the best way to maximise the benefits
of ICT use in healthcare. We suggest that, before we can move forward and realise
such a state, it is vital to examine the critical issues, likely barriers and
facilitators and, most importantly, the critical success factors. To do this
however, we need an appropriate cognitive lens through which we can capture all
the complexities of healthcare dynamics. In this paper we suggest why Actor
Network Theory (ANT) should be this lens.
PMID- 18048306
TI - Chronic disease management and the development of virtual communities.
AB - The current volume and expected increases in the number of patients with chronic
diseases are concerned significant and substantial. Patients with chronic
diseases have a great need to personally manage their health-related behaviour,
such as food consumption, and its impact on their health indicators, like blood
pressure, body weight, blood sugar, cholesterol, to name a few. Current
healthcare systems are unable to meet the needs of patients with chronic diseases
for management, due to the need for acute care. An analysis of the needs was
performed and recommendations for virtual communities were made to help patients
with chronic diseases monitor and manage their health. Virtual communities have
the potential to meet the need to assist with monitoring activities, education,
community membership, and the sale of products and services. However, they also
face risks inherent to accepting and storing any form of personal health
information, and of remaining in compliance with the Health Insurance Portability
and Accessibility Act of 2001.
PMID- 18048307
TI - Customer relationship management in the contract pharmaceutical industry: an
exploratory study for measuring success.
AB - Managing customer relationships is a very important issue in business-to-business
markets. This research investigates the growing number of available resources
defining Customer Relationship Management (CRM) efforts, and how they are being
applied within the Contract Pharmaceutical Manufacturing industry. Exploratory
study results using face-to-face and telephone questionnaires based on four
criteria for rating a company's CRM efforts are presented. Data was collected
from large Contract Pharmaceutical Manufacturing companies in the US market. The
results and conclusions are discussed relating how the Contract Pharmaceutical
Manufacturing industry is implementing CRM including some potential steps to take
when considering a CRM initiative.
PMID- 18048308
TI - The major barriers and facilitators for the adoption and implementation of
knowledge management in healthcare operations.
AB - The importance of Knowledge Management (KM) to organisations in today's
competitive environment is being recognised as paramount and significant. This is
particularly evident for healthcare both globally and in the USA. The US
healthcare system is facing numerous challenges in trying to deliver cost
effective, high quality treatments and is turning to KM techniques and
technologies for solutions in an attempt to achieve this goal. We examine this by
outlining the primary barriers encountered in the adoption and implementation of
specific KM technologies in healthcare settings and then examine these in the
context of an orthopaedic case vignette. In doing so, we show the benefit of KM
tools and techniques for enhancing healthcare delivery.
PMID- 18048309
TI - How workflow management systems enable the achievement of value driven healthcare
delivery.
AB - Healthcare institutions globally are currently having major problems accessing
and maintaining the large amounts of data that are continuously being generated.
Examination of the clinical procedures relating to patient management reveals
that many of these activities are repetitive. Workflow Management Systems (WFMS)
can automate these repeated activities. Moreover, the introduction of WFMS would
enable healthcare institutions to face this challenge of transforming large
amounts of medical data into contextually relevant clinical information and
knowledge. In order to emphasise the dynamic connection between healthcare,
workflow and internet technologies, the intelligence continuum is introduced.
PMID- 18048310
TI - Proteomic data mining using predicted peptide chromatographic retention times.
AB - Correct identification of proteins from peptide fragments is important for
proteomic analyses. Peptides are initially separated by Reversed-Phase High
Performance Liquid Chromatography (RP-HPLC) before Mass Spectrometry (MS)
identification. At the present time, peptide fragment retention (separation) time
is not used as a useful scoring filter for identification of the peptide
fragments and their parent proteins. In the present paper, we present a new web
based tool for the prediction of peptide fragment retention times and its use in
compiling a database of approximately 133,000 peptide fragments computationally
obtained by digestion with trypsin of 4,265 E. coli - K12 proteins. The retention
calculation is based on the described formulae and the fragments/protein
identification was carried out using a simple search-scoring algorithm.
PMID- 18048311
TI - On predicting secondary structure transition.
AB - A function of a protein is dependent on its structure; therefore, predicting a
protein structure from an amino acid sequence is an active area of research. To
improve the accuracy of validation of structures, we are studying the
predictability of secondary structure transitions using the following machine
learning algorithms: naive Bayes, C4.5 decision tree, and random forest. The
annotated data sets from PDB that have agreement with DSSP and STRIDE are used
for training and testing. We have demonstrated that predicting structure
transition with high degree of certainty is possible and we were able to get as
high as 97.5% of prediction accuracy.
PMID- 18048312
TI - Molecular coevolution of the vertebrate cytochrome c(1) and Rieske iron sulphur
protein in the cytochrome bc(1) complex.
AB - Cytochrome c(1) (cyt-c(1)) and the Rieske Iron Sulphur Protein (ISP) are subunits
of the cytochrome bc(1) complex located in the mitochondria functioning both as a
proton pump and an electron transporter. Vertebrate model organism phylogenies
were used in conjunction with existing 3D protein structures to evaluate the
biochemical evolution of cyt-c(1) and ISP in terms of selection on amino acid
properties. We found selection acting on the exterior surfaces of both proteins
and specifically the core region of cyt-c(1). There is evidence supporting
coevolution of these proteins relative to alpha helical tendencies,
compressibility and equilibrium constant.
PMID- 18048313
TI - Pharmacogenomics: analysing SNPs in the CYP2D6 gene using amino acid properties.
AB - The CYP2D6 gene is responsible for metabolising a large portion of the commonly
prescribed drugs. Because of its importance, various approaches have been taken
to analyse CYP2D6 and Single Nucleotide Polymorphisms (SNPs) throughout its
sequence. This study introduces a novel method to analyse the effects of SNPs on
encoded protein complexes by focusing on the biochemical properties of each non
synonymous substitution using the program TreeSAAP. Our results show four SNPs in
CYP2D6 that exhibit radical changes in amino acid properties which may cause a
lack of functionality in the CYP2D6 gene and contribute to a person's inability
to metabolise specific drugs.
PMID- 18048314
TI - Inferring protein-protein interaction networks from protein complex data.
AB - Present day approaches for the determination of protein-protein interaction
networks are usually based on two hybrid experimental measurements. Here we
consider a computational method that uses another type of experimental data:
instead of direct information about protein-protein interactions, we consider
data in the form of protein complexes. We propose a method for using these
complexes to provide predictions of protein-protein interactions. When applied to
a dataset obtained from a cat melanoma cell line we find that we are able to
predict when a protein pair belongs to a complex with approximately 96% accuracy.
Further, we are able to extrapolate the experimentally identified interaction
pairs to the entire cat proteome.
PMID- 18048315
TI - Phylogenies scores for exhaustive searches and parsimony scores searches.
AB - Fundamental to Multiple Sequence Alignment (MSA) algorithms is modelling
insertions and deletions (gaps). The most prevalent model is to use Gap Open
Penalties (GOP) and Gap Extension Penalties (GEP). While GOP and GEP are well
understood conceptually, their effects on MSA and consequently on phylogeny
scores are not as well understood. We use exhaustive phylogeny searching to
explore the effects of varying the GOP and GEP for three nuclear ribosomal data
sets. Particular attention is given to optimal maximum likelihood and parsimony
phylogeny scores for various alignments of a range of GOP and GEP and their
respective distribution of phylogeny scores.
PMID- 18048316
TI - Evolutionary selective pressure on three mitochondrial SNPs is consistent with
their influence on metabolic efficiency in Pima Indians.
AB - We investigated whether the effect of evolutionary selection on three recent
Single Nucleotide Polymorphisms (SNPs) in the mitochondrial sub-haplogroups of
Pima Indians is consistent with their effects on metabolic efficiency. The
mitochondrial SNPs impact metabolic rate and respiratory quotient, and may be
adaptations to caloric restriction in a desert habitat. Using TreeSAAP software,
we examined evolutionary selection in 107 mammalian species at these SNPs,
characterising the biochemical shifts produced by the amino acid substitutions.
Our results suggest that two SNPs were affected by selection during mammalian
evolution in a manner consistent with their effects on metabolic efficiency in
Pima Indians.
PMID- 18048317
TI - A study of the repetitive structure and distribution of short motifs in human
genomic sequences.
AB - Over the last several years the search for functional genomic elements by
exploiting motif over-representation became increasingly popular. However, about
half of the human genome is repetitive, and that is also the case with most
higher eukaryotes. In this study we have shown that in addition to these known
repeats, human sequences feature many short over-represented motifs, and that
their frequency varies only slightly between random repeat-masked sequences and
regions located immediately upstream of the known genes. Most of our study has
been performed on the ENCODE sequences, which comprise about 1% of the human
genome.
PMID- 18048318
TI - Conservative adjustment of permutation p-values when the number of permutations
is limited.
AB - In this study, we show through the theory of order statistics that a considerable
proportion of p-values will be under-evaluated by the permutation procedure. To
solve this problem, we propose to conservatively adjust permutation p-values. The
adjustment requires no parametric assumption on the distribution of test
statistics. The solution can be expressed by a normalised incomplete beta
function. The related normal distribution approximation is also discussed.
Simulations are conducted to illustrate the proposed method and two microarray
gene expression data sets are considered for applications.
PMID- 18048319
TI - Savanna chimpanzees dig for food.
PMID- 18048321
TI - Mechanoenzymes under superstall and large assisting loads reveal structural
features.
AB - Single-molecule experiments on the motor protein kinesin have observed runs of
backsteps and thus a negative, that is, reverse mean velocity, V, under
superstall loads, F; but, counterintuitively, beyond stall, V(F) displays a
shallow minimum and then decreases in magnitude. Conversely, under assisting
loads V(F) rises to a maximum before decreasing monotonically. By contrast, while
the velocity of myosin V also saturates under assisting loads, the motor moves
backward increasingly rapidly under superstall loads. For both kinesin and myosin
V this behavior is implied remarkably well by simple two-state kinetic models
when extrapolated to large loads. To understand the origins of such results in
general mechanoenzymes, biochemical kinetic descriptions are discussed on the
basis of a free-energy landscape picture. It transpires that the large-load
performance is determined by the geometrical placement of the intermediate
mechanochemical states of the enzymatic cycles relative to the associated
transition states. Explicit criteria are presented for N-state sequential
kinetics, including side-reaction chains, etc., and for parallel-pathway models.
Physical colocalization of biochemically distinct states generally implies large
load velocity saturation.
PMID- 18048320
TI - Mononeme: a new secretory organelle in Plasmodium falciparum merozoites
identified by localization of rhomboid-1 protease.
AB - Compartmentalization of proteins into subcellular organelles in eukaryotic cells
is a fundamental mechanism of regulating complex cellular functions. Many
proteins of Plasmodium falciparum merozoites involved in invasion are
compartmentalized into apical organelles. We have identified a new merozoite
organelle that contains P. falciparum rhomboid-1 (PfROM1), a protease that
cleaves the transmembrane regions of proteins involved in invasion. By
immunoconfocal microscopy, PfROM1 was localized to a single, thread-like
structure on one side of the merozoites that appears to be in close proximity to
the subpellicular microtubules. PfROM1 was not found associated with micronemes,
rhoptries, or dense granules, the three identified secretory organelles of
invasion. Release of merozoites from schizonts resulted in the movement of PfROM1
from the lateral asymmetric localization to the merozoite apical pole and the
posterior pole. We have named this single thread-like organelle in merozoites,
the mononeme.
PMID- 18048322
TI - A forest-based approach to identifying gene and gene gene interactions.
AB - Multiple genes, gene-by-gene interactions, and gene-by-environment interactions
are believed to underlie most complex diseases. However, such interactions are
difficult to identify. Although there have been recent successes in identifying
genetic variants for complex diseases, it still remains difficult to identify
gene-gene and gene-environment interactions. To overcome this difficulty, we
propose a forest-based approach and a concept of variable importance. The
proposed approach is demonstrated by simulation study for its validity and
illustrated by a real data analysis for its use. Analyses of both real data and
simulated data based on published genetic models show the effectiveness of our
approach. For example, our analysis of a published data set on age-related
macular degeneration (AMD) not only confirmed a known genetic variant (P value =
2E-6) for AMD, but also revealed an unreported haplotype surrounding single
nucleotide polymorphism (SNP) rs10272438 on chromosome 7 that was significantly
associated with AMD (P value = 0.0024). These significance levels are obtained
after the consideration for a large number of SNPs. Thus, the importance of this
work is twofold: it proposes a powerful and flexible method to identify high-risk
haplotypes and their interactions and reveals a potentially protective variant
for AMD.
PMID- 18048323
TI - A helix-breaking mutation in TRPML3 leads to constitutive activity underlying
deafness in the varitint-waddler mouse.
AB - Homozygote varitint-waddler (Va) mice, expressing a mutant isoform (A419P) of
TRPML3 (mucolipin 3), are profoundly deaf and display vestibular and pigmentation
deficiencies, sterility, and perinatal lethality. Here we show that the varitint
waddler isoform of TRPML3 carrying an A419P mutation represents a constitutively
active cation channel that can also be identified in native varitint-waddler hair
cells as a distinct inwardly rectifying current. We hypothesize that the
constitutive activation of TRPML3 occurs as a result of a helix-breaking proline
substitution in transmembrane-spanning domain 5 (TM5). A proline substitution
scan demonstrated that the inner third of TRPML3's TM5 is highly susceptible to
proline-based kinks. Proline substitutions in TM5 of other TRP channels revealed
that TRPML1, TRPML2, TRPV5, and TRPV6 display a similar susceptibility at
comparable positions, whereas other TRP channels were not affected. We conclude
that the molecular basis for deafness in the varitint-waddler mouse is the result
of hair cell death caused by constitutive TRPML3 activity. To our knowledge, our
study provides the first direct mechanistic link of a mutation in a TRP ion
channel with mammalian hearing loss.
PMID- 18048324
TI - Acute-phase protein alpha-1-acid glycoprotein mediates neutrophil migration
failure in sepsis by a nitric oxide-dependent mechanism.
AB - The reduction of circulating neutrophil migration to infection sites is
associated with a poor outcome of severe sepsis. alpha-1-Acid glycoprotein (AGP)
was isolated from the sera of severely septic patients by HPLC and acrylamide gel
electrophoresis and identified by mass spectrometry. Both the isolated protein
and commercial AGP inhibited carrageenin-induced neutrophil migration into the
rat peritoneal cavity when administered i.v. at a dose of 4.0 microg per rat (95
pmol per rat). Analysis by intravital microscopy demonstrated that both proteins
inhibited the rolling and adhesion of leukocytes in the mesenteric
microcirculation. The inhibitory activity was blocked by 50 mg/kg aminoguanidine,
s.c., and was not demonstrable in inducible nitric oxide synthase (iNOS) knockout
mice. Incubation of AGP with neutrophils from healthy subjects induced the
production of NO and inhibited the neutrophil chemotaxis by an iNOS/NO/cyclic
guanosine 3,5-monophosphate-dependent pathway. In addition, AGP induced the l
selectin shedding by neutrophils. The administration of AGP to rats with mild
cecal ligation puncture sepsis inhibited neutrophil migration and reduced 7-day
survival from approximately 80% to 20%. These data demonstrate that AGP, an acute
phase protein, inhibits neutrophil migration by an NO-dependent process and
suggest that AGP also participates in human sepsis.
PMID- 18048325
TI - Vitamin B1 biosynthesis in plants requires the essential iron sulfur cluster
protein, THIC.
AB - Vitamin B1 (thiamin) is an essential compound in all organisms acting as a
cofactor in key metabolic reactions and has furthermore been implicated in
responses to DNA damage and pathogen attack in plants. Despite the fact that it
was discovered almost a century ago and deficiency is a widespread health
problem, much remains to be deciphered about its biosynthesis. The vitamin is
composed of a thiazole and pyrimidine heterocycle, which can be synthesized by
prokaryotes, fungi, and plants. Plants are the major source of the vitamin in the
human diet, yet little is known about the biosynthesis of the compound therein.
In particular, it has never been verified whether the pyrimidine heterocycle is
derived from purine biosynthesis through the action of the THIC protein as in
bacteria, rather than vitamin B6 and histidine as demonstrated for fungi. Here,
we identify a homolog of THIC in Arabidopsis and demonstrate its essentiality not
only for vitamin B1 biosynthesis, but also plant viability. This step takes place
in the chloroplast and appears to be regulated at several levels, including
through the presence of a riboswitch in the 3'-untranslated region of THIC.
Strong evidence is provided for the involvement of an iron-sulfur cluster in the
remarkable chemical rearrangement reaction catalyzed by the THIC protein for
which there is no chemical precedent. The results suggest that vitamin B1
biosynthesis in plants is in fact more similar to prokaryotic counterparts and
that the THIC protein is likely to be the key regulatory protein in the pathway.
PMID- 18048326
TI - Identification of retinoic acid as an inhibitor of transcription factor Nrf2
through activation of retinoic acid receptor alpha.
AB - Isothiocyanates and phenolic antioxidants can prevent cancer through activation
of Nrf2 (NF-E2 p45-related factor 2), a transcription factor that controls
expression of cytoprotective genes through the antioxidant response element (ARE)
enhancer. Using a human mammary MCF7-derived AREc32 reporter cell line, we now
report that all-trans retinoic acid (ATRA), and other retinoic acid receptor
alpha (RARalpha) agonists, markedly reduces the ability of Nrf2 to mediate
induction of ARE-driven genes by cancer chemopreventive agents including the
metabolite of butylated hydroxyanisole, tert-butylhydroquinone (tBHQ). The basal
and tBHQ-inducible expression of aldo-keto reductase (AKR) AKR1C1 and AKR1C2
genes, which are regulated by Nrf2, was also repressed by ATRA in AREc32 cells.
Antagonists of RARalpha augmented induction of ARE-driven gene expression by
tBHQ, as did knockdown of RARalpha by using RNAi. The expression of the ARE-gene
battery was increased in the small intestine of mice fed on a vitamin A-deficient
diet, and this increase was repressed by administration of ATRA. By contrast, in
the small intestine of Nrf2 null mice, the expression of ARE-driven genes was not
affected by vitamin A status. In MCF7 cells, ATRA did not block the nuclear
accumulation of Nrf2 but reduced the binding of Nrf2 to the ARE enhancer as a
consequence of forming a complex with RARalpha. These data suggest that cross
talk between Nrf2 and RARalpha could markedly influence the sensitivity of cells
to electrophiles and oxidative stressors and, as a consequence, to
carcinogenesis.
PMID- 18048327
TI - Axial ligand tuning of a nonheme iron(IV)-oxo unit for hydrogen atom abstraction.
AB - The reactivities of mononuclear nonheme iron(IV)-oxo complexes bearing different
axial ligands, [Fe(IV)(O)(TMC)(X)](n+) [where TMC is 1,4,8,11-tetramethyl
1,4,8,11-tetraazacyclotetradecane and X is NCCH(3) (1-NCCH(3)), CF(3)COO(-) (1
OOCCF(3)), or N(3)(-) (1-N(3))], and [Fe(IV)(O)(TMCS)](+) (1'-SR) (where TMCS is
1-mercaptoethyl-4,8,11-trimethyl-1,4,8,11-tetraazacyclotetradecane), have been
investigated with respect to oxo-transfer to PPh(3) and hydrogen atom abstraction
from phenol O H and alkylaromatic C H bonds. These reactivities were
significantly affected by the identity of the axial ligands, but the reactivity
trends differed markedly. In the oxidation of PPh(3), the reactivity order of 1
NCCH(3) > 1-OOCCF(3) > 1-N(3) > 1'-SR was observed, reflecting a decrease in the
electrophilicity of iron(IV)-oxo unit upon replacement of CH(3)CN with an anionic
axial ligand. Surprisingly, the reactivity order was inverted in the oxidation of
alkylaromatic C H and phenol O H bonds, i.e., 1'-SR > 1-N(3) > 1-OOCCF(3) > 1
NCCH(3). Furthermore, a good correlation was observed between the reactivities of
iron(IV)-oxo species in H atom abstraction reactions and their reduction
potentials, E(p,c), with the most reactive 1'-SR complex exhibiting the lowest
potential. In other words, the more electron-donating the axial ligand is, the
more reactive the iron(IV)-oxo species becomes in H atom abstraction. Quantum
mechanical calculations show that a two-state reactivity model applies to this
series of complexes, in which a triplet ground state and a nearby quintet excited
state both contribute to the reactivity of the complexes. The inverted reactivity
order in H atom abstraction can be rationalized by a decreased triplet-quintet
gap with the more electron-donating axial ligand, which increases the
contribution of the much more reactive quintet state and enhances the overall
reactivity.
PMID- 18048328
TI - Delayed leaf senescence induces extreme drought tolerance in a flowering plant.
AB - Drought, the most prominent threat to agricultural production worldwide,
accelerates leaf senescence, leading to a decrease in canopy size, loss in
photosynthesis and reduced yields. On the basis of the assumption that senescence
is a type of cell death program that could be inappropriately activated during
drought, we hypothesized that it may be possible to enhance drought tolerance by
delaying drought-induced leaf senescence. We generated transgenic plants
expressing an isopentenyltransferase gene driven by a stress- and maturation
induced promoter. Remarkably, the suppression of drought-induced leaf senescence
resulted in outstanding drought tolerance as shown by, among other responses,
vigorous growth after a long drought period that killed the control plants. The
transgenic plants maintained high water contents and retained photosynthetic
activity (albeit at a reduced level) during the drought. Moreover, the transgenic
plants displayed minimal yield loss when watered with only 30% of the amount of
water used under control conditions. The production of drought-tolerant crops
able to grow under restricted water regimes without diminution of yield would
minimize drought-related losses and ensure food production in water-limited
lands.
PMID- 18048329
TI - ID genes mediate tumor reinitiation during breast cancer lung metastasis.
AB - The establishment of distant metastases depends on the capacity of small numbers
of cancer cells to regenerate a tumor after entering a target tissue. The
mechanisms that confer this capacity remain to be defined. Here we identify a
role for the transcriptional inhibitors of differentiation Id1 and Id3 as
selective mediators of lung metastatic colonization in the triple negative [TN,
i.e., lacking expression of estrogen receptor and progesterone receptor, and
lacking Her2 (human epidermal growth factor receptor 2) amplification] subgroup
of human breast cancer. Although broad expression of Id1 has recently been
documented in tumors of the rare metaplastic subtype, here we report that rare
Id1-expressing cells are also present in the more common TN subset of human
breast tumors but not in other subtypes. We also provide evidence that Id1
expression is enriched in clinically obtained hormone receptor negative lung
metastases. Functional studies demonstrate that Id1 and its closely related
family member Id3 are required for tumor initiating functions, both in the
context of primary tumor formation and during metastatic colonization of the lung
microenvironment. In vivo characterization of lung metastatic progression reveals
that Id1 and Id3 facilitate sustained proliferation during the early stages of
metastatic colonization, subsequent to extravasation into the lung parenchyma.
These results shed light on the proliferative mechanisms that initiate metastatic
colonization, and they implicate Id1 and Id3 as mediators of this malignant
function in the TN subgroup of breast cancers.
PMID- 18048330
TI - Analysis of 81 genes from 64 plastid genomes resolves relationships in
angiosperms and identifies genome-scale evolutionary patterns.
AB - Angiosperms are the largest and most successful clade of land plants with
>250,000 species distributed in nearly every terrestrial habitat. Many
phylogenetic studies have been based on DNA sequences of one to several genes,
but, despite decades of intensive efforts, relationships among early diverging
lineages and several of the major clades remain either incompletely resolved or
weakly supported. We performed phylogenetic analyses of 81 plastid genes in 64
sequenced genomes, including 13 new genomes, to estimate relationships among the
major angiosperm clades, and the resulting trees are used to examine the
evolution of gene and intron content. Phylogenetic trees from multiple methods,
including model-based approaches, provide strong support for the position of
Amborella as the earliest diverging lineage of flowering plants, followed by
Nymphaeales and Austrobaileyales. The plastid genome trees also provide strong
support for a sister relationship between eudicots and monocots, and this group
is sister to a clade that includes Chloranthales and magnoliids. Resolution of
relationships among the major clades of angiosperms provides the necessary
framework for addressing numerous evolutionary questions regarding the rapid
diversification of angiosperms. Gene and intron content are highly conserved
among the early diverging angiosperms and basal eudicots, but 62 independent gene
and intron losses are limited to the more derived monocot and eudicot clades.
Moreover, a lineage-specific correlation was detected between rates of nucleotide
substitutions, indels, and genomic rearrangements.
PMID- 18048331
TI - Clinical implications of the loss of vasoactive nitric oxide during red blood
cell storage.
PMID- 18048332
TI - Parallel genomic evolution and metabolic interdependence in an ancient symbiosis.
AB - Obligate symbioses with nutrient-provisioning bacteria have originated often
during animal evolution and have been key to the ecological diversification of
many invertebrate groups. To date, genome sequences of insect nutritional
symbionts have been restricted to a related cluster within Gammaproteobacteria
and have revealed distinctive features, including extreme reduction, rapid
evolution, and biased nucleotide composition. Using recently developed sequencing
technologies, we show that Sulcia muelleri, a member of the Bacteroidetes,
underwent similar genomic changes during coevolution with its sap-feeding insect
host (sharpshooters) and the coresident symbiont Baumannia cicadellinicola
(Gammaproteobacteria). At 245 kilobases, Sulcia's genome is approximately one
tenth of the smallest known Bacteroidetes genome and among the smallest for any
cellular organism. Analysis of the coding capacities of Sulcia and Baumannia
reveals striking complementarity in metabolic capabilities.
PMID- 18048333
TI - The all-trans-retinal dimer series of lipofuscin pigments in retinal pigment
epithelial cells in a recessive Stargardt disease model.
AB - The bis-retinoid pigments that accumulate in retinal pigment epithelial cells as
lipofuscin are associated with inherited and age-related retinal disease. In
addition to A2E and related cis isomers, we previously showed that condensation
of two molecules of all-trans-retinal leads to the formation of a protonated
Schiff base conjugate, all-trans-retinal dimer-phosphatidylethanolamine. Here we
report the characterization of the related pigments, all-trans-retinal dimer
ethanolamine and unconjugated all-trans-retinal dimer, in human and mouse retinal
pigment epithelium. In eyecups of Abcr(-/-) mice, a model of recessive Stargardt
macular degeneration, all-trans-retinal dimer-phosphatidylethanolamine was
increased relative to wild type and was more abundant than A2E. Total pigment of
the all-trans-retinal dimer series (sum of all-trans-retinal dimer
phosphatidylethanolamine, all-trans-retinal dimer-ethanolamine, and all-trans
retinal dimer) increased with age in Abcr(-/-) mice and was modulated by amino
acid variants in Rpe65. In in vitro assays, enzyme-mediated hydrolysis of all
trans-retinal dimer-phosphatidylethanolamine generated all-trans-retinal dimer
ethanolamine, and protonation/deprotonation of the Schiff base nitrogen of all
trans-retinal dimer-ethanolamine was pH-dependent. Unconjugated all-trans-retinal
dimer was a more efficient generator of singlet oxygen than A2E, and the all
trans-retinal dimer series was more reactive with singlet oxygen than was A2E. By
analyzing chromatographic properties and UV-visible spectra together with mass
spectrometry, mono- and bis-oxygenated all-trans-retinal dimer photoproducts were
detected in Abcr(-/-) mice. The latter findings are significant to an
understanding of the adverse effects of retinal pigment epithelial cell
lipofuscin.
PMID- 18048334
TI - Using plastid genome-scale data to resolve enigmatic relationships among basal
angiosperms.
AB - Although great progress has been made in clarifying deep-level angiosperm
relationships, several early nodes in the angiosperm branch of the Tree of Life
have proved difficult to resolve. Perhaps the last great question remaining in
basal angiosperm phylogeny involves the branching order among the five major
clades of mesangiosperms (Ceratophyllum, Chloranthaceae, eudicots, magnoliids,
and monocots). Previous analyses have found no consistent support for
relationships among these clades. In an effort to resolve these relationships, we
performed phylogenetic analyses of 61 plastid genes ( approximately 42,000 bp)
for 45 taxa, including members of all major basal angiosperm lineages. We also
report the complete plastid genome sequence of Ceratophyllum demersum. Parsimony
analyses of combined and partitioned data sets varied in the placement of several
taxa, particularly Ceratophyllum, whereas maximum-likelihood (ML) trees were more
topologically stable. Total evidence ML analyses recovered a clade of
Chloranthaceae + magnoliids as sister to a well supported clade of monocots +
(Ceratophyllum + eudicots). ML bootstrap and Bayesian support values for these
relationships were generally high, although approximately unbiased topology tests
could not reject several alternative topologies. The extremely short branches
separating these five lineages imply a rapid diversification estimated to have
occurred between 143.8 +/- 4.8 and 140.3 +/- 4.8 Mya.
PMID- 18048335
TI - Human papillomaviruses activate caspases upon epithelial differentiation to
induce viral genome amplification.
AB - The life cycle of human papillomaviruses (HPVs) is linked to epithelial
differentiation, with late viral events restricted to the uppermost stratified
layers. Our studies indicated that HPV activates capases-3, -7, and -9 upon
differentiation, whereas minimal activation was observed in differentiating
normal keratinocytes. Activation occurred in the absence of significant levels of
apoptosis, suggesting a potential role for caspases in the viral life cycle. In
support of this, the addition of caspase inhibitors significantly impaired
differentiation-dependent viral genome amplification. A conserved caspase
cleavage motif was identified in the replication protein E1 ((46)DxxD(49)) that
was targeted in vitro by both recombinant caspase-3 and caspase-7. Mutation of
this site inhibited amplification of viral genomes, indicating that caspase
cleavage is necessary for the productive viral life cycle. Our study demonstrates
that HPV activates caspases upon differentiation to facilitate productive viral
replication and represents a way by which HPV controls viral gene function in
differentiating cells.
PMID- 18048336
TI - Redundant and unique roles of retinol dehydrogenases in the mouse retina.
AB - Highly abundant short-chain alcohol dehydrogenases (RDHs) in the retina were
assumed to be involved in the recycling of 11-cis-retinal chromophore in the
visual cycle. Mutations in human RDH genes are associated with Fundus
albipunctatus, a mild form of night blindness (RDH5) and an autosomal recessive,
childhood-onset severe retinal dystrophy (RDH12). Rdh12 knockout mice were found
to be susceptible to light-induced photoreceptor apoptosis, whereas Rdh5 and Rdh8
knockout mice displayed only delayed dark adaptation. However, each knockout
mouse eventually regenerated normal levels of visual pigments, suggesting that
RDHs compensate for each other in the visual cycle. Here, we established RDH
double knockout (Rdh8(-/-)Rdh12(-/-)) and triple knockout (Rdh5(-/-)Rdh8(-/
)Rdh12(-/-)) mice generated on various genetic backgrounds including a rod alpha
transducin knockout to test cone function. RDH activity was severely reduced in
Rdh8(-/-)Rdh12(-/-) retina extracts, whereas Rdh8(-/-) RDH activity was
intermediate and Rdh12(-/-) RDH activity was reduced only slightly. Surprisingly,
all multiple knockout mice produced sufficient amounts of the chromophore to
regenerate rhodopsin and cone pigments in vivo. Three-month-old Rdh8(-/-)Rdh12(-/
) mice characteristically displayed a slowly progressing rod-cone dystrophy
accompanied by accumulation of N-retinylidene-N-retinylethanolamine (A2E), a
toxic substance known to contribute to retinal degeneration. A2E accumulation and
retinal degeneration were prevented by application of retinylamine, a potent
retinoid cycle inhibitor. The results suggest that RDH8 and RDH12 are dispensable
in support of the visual cycle but appear to be key components in clearance of
free all-trans-retinal, thereby preventing A2E accumulation and photoreceptor
cell death.
PMID- 18048337
TI - Phloem loading in Verbascum phoeniceum L. depends on the synthesis of raffinose
family oligosaccharides.
AB - Phloem loading is the initial step in photoassimilate export and the one that
creates the driving force for mass flow. It has been proposed that loading occurs
symplastically in species that translocate carbohydrate primarily as raffinose
family oligosaccharides (RFOs). In these plants, dense fields of plasmodesmata
connect bundle sheath cells to specialized companion cells (intermediary cells)
in the minor veins. According to the polymer trap model, advanced as a mechanism
of symplastic loading, sucrose from the mesophyll diffuses into intermediary
cells and is converted there to RFOs. This process keeps the sucrose
concentration low and, because of the larger size of the RFOs, prevents back
diffusion. To test this model, the RFO pathway was down-regulated in Verbascum
phoeniceum L. by suppressing the synthesis of galactinol synthase (GAS), which
catalyzes the first committed step in RFO production. Two GAS genes (VpGAS1 and
VpGAS2) were cloned and shown to be expressed in intermediary cells. Simultaneous
RNAi suppression of both genes resulted in pronounced inhibition of RFO
synthesis. Phloem transport was negatively affected, as evidenced by the
accumulation of carbohydrate in the lamina and the reduced capacity of leaves to
export sugars during a prolonged dark period. In plants with severe down
regulation, additional symptoms of reduced export were obvious, including
impaired growth, leaf chlorosis, and necrosis and curling of leaf margins.
PMID- 18048338
TI - Mitochondrial origin-binding protein UMSBP mediates DNA replication and
segregation in trypanosomes.
AB - Kinetoplast DNA (kDNA) is the remarkable mitochondrial genome of trypanosomatids.
Its major components are several thousands of topologically linked DNA
minicircles, whose replication origins are bound by the universal minicircle
sequence-binding protein (UMSBP). The cellular function of UMSBP has been studied
in Trypanosoma brucei by using RNAi analysis. Silencing of the trypanosomal UMSBP
genes resulted in remarkable effects on the trypanosome cell cycle. It
significantly inhibited the initiation of minicircle replication, blocked nuclear
DNA division, and impaired the segregation of the kDNA network and the flagellar
basal body, resulting in growth arrest. These observations, revealing the
function of UMSBP in kDNA replication initiation and segregation as well as in
mitochondrial and nuclear division, imply a potential role for UMSBP in linking
kDNA replication and segregation to the nuclear S-phase control during the
trypanosome cell cycle.
PMID- 18048339
TI - Redirection of cutaneous sensation from the hand to the chest skin of human
amputees with targeted reinnervation.
AB - Amputees cannot feel what they touch with their artificial hands, which severely
limits usefulness of those hands. We have developed a technique that transfers
remaining arm nerves to residual chest muscles after an amputation. This
technique allows some sensory nerves from the amputated limb to reinnervate
overlying chest skin. When this reinnervated skin is touched, the amputees
perceive that they are being touched on their missing limb. We found that touch
thresholds of the reinnervated chest skin fall within near-normal ranges,
indicating the regeneration of large-fiber afferents. The perceptual identity of
the limb and chest was maintained separately even though they shared a common
skin surface. A cutaneous expression of proprioception also occurred in one
reinnervated individual. Experiments with peltier temperature probes and surface
electrical stimulation of the reinnervated skin indicate the regeneration of
small diameter temperature and pain afferents. The perception of an amputated
limb arising from stimulation of reinnervated chest skin may allow useful sensory
feedback from prosthetic devices and provides insight into the mechanisms of
neural plasticity and peripheral regeneration in humans.
PMID- 18048340
TI - Dynamic interplay between nitration and phosphorylation of tubulin cofactor B in
the control of microtubule dynamics.
AB - Tubulin cofactor B (TCoB) plays an important role in microtubule dynamics by
facilitating the dimerization of alpha- and beta-tubulin. Recent evidence
suggests that p21-activated kinase 1 (Pak1), a major signaling nodule in
eukaryotic cells, phosphorylates TCoB on Ser-65 and Ser-128 and plays an
essential role in microtubule regrowth. However, to date, no upstream signaling
molecules have been identified to antagonize the functions of TCoB, which might
help in maintaining the equilibrium of microtubules. Here, we discovered that
TCoB is efficiently nitrated, mainly on Tyr-64 and Tyr-98, and nitrated-TCoB
attenuates the synthesis of new microtubules. In addition, we found that
nitration of TCoB antagonizes signaling-dependent phosphorylation of TCoB,
whereas optimal nitration of TCoB requires the presence of functional Pak1
phosphorylation sites, thus providing a feedback mechanism to regulate
phosphorylation-dependent MT regrowth. Together these findings identified TCoB as
the third cytoskeleton protein to be nitrated and suggest a previously
undescribed mechanism, whereby growth factor signaling may coordinately integrate
nitric oxide signaling in the regulation of microtubule dynamics.
PMID- 18048341
TI - Construction of effective free energy landscape from single-molecule time series.
AB - A scheme for extracting an effective free energy landscape from single-molecule
time series is presented. This procedure uniquely identifies a non-Gaussian
distribution of the observable associated with each local equilibrium state
(LES). Both the number of LESs and the shape of the non-Gaussian distributions
depend on the time scale of observation. By assessing how often the system visits
and resides in a chosen LES and escapes from one LES to another (with checking
whether the local detailed balance is satisfied), our scheme naturally leads to
an effective free energy landscape whose topography depends on in which time
scale the system experiences the underlying landscape. For example, two
metastable states are unified as one if the time scale of observation is longer
than the escape time scale for which the system can visit mutually these two
states. As an illustrative example, we present the application of extracting the
effective free energy landscapes from time series of the end-to-end distance of a
three-color, 46-bead model protein. It indicates that the time scales to attain
the local equilibrium tend to be longer in the unfolded state than those in the
compact collapsed state.
PMID- 18048342
TI - Regulation of spine morphology and spine density by NMDA receptor signaling in
vivo.
AB - Dendritic spines are the major sites of excitatory synaptic transmission in the
CNS, and their size and density influence the functioning of neuronal circuits.
Here we report that NMDA receptor signaling plays a critical role in regulating
spine size and density in the developing cortex. Genetic deletion of the NR1
subunit of the NMDA receptor in the cortex leads to a decrease in spine density
and an increase in spine head size in cortical layer 2/3 pyramidal neurons. This
process is accompanied by an increase in the presynaptic axon bouton volume and
the postsynaptic density area, as well as an increase in the miniature excitatory
postsynaptic current amplitude and frequency. These observations indicate that
NMDA receptors regulate synapse structure and function in the developing cortex.
PMID- 18048343
TI - Global climate change, war, and population decline in recent human history.
AB - Although scientists have warned of possible social perils resulting from climate
change, the impacts of long-term climate change on social unrest and population
collapse have not been quantitatively investigated. In this study, high
resolution paleo-climatic data have been used to explore at a macroscale the
effects of climate change on the outbreak of war and population decline in the
preindustrial era. We show that long-term fluctuations of war frequency and
population changes followed the cycles of temperature change. Further analyses
show that cooling impeded agricultural production, which brought about a series
of serious social problems, including price inflation, then successively war
outbreak, famine, and population decline successively. The findings suggest that
worldwide and synchronistic war-peace, population, and price cycles in recent
centuries have been driven mainly by long-term climate change. The findings also
imply that social mechanisms that might mitigate the impact of climate change
were not significantly effective during the study period. Climate change may thus
have played a more important role and imposed a wider ranging effect on human
civilization than has so far been suggested. Findings of this research may lend
an additional dimension to the classic concepts of Malthusianism and Darwinism.
PMID- 18048344
TI - JARID1B is a histone H3 lysine 4 demethylase up-regulated in prostate cancer.
AB - Histone methylation is a dynamic process that participates in a diverse array of
cellular processes and has been found to associate with cancer. Recently, several
histone demethylases have been identified that catalyze the removal of
methylation from histone H3 lysine residues. Through bioinformatic and
biochemical analysis, we identified JARID1B as a H3K4 demethylase. Overexpression
of JARID1B resulted in loss of tri-, di-, and monomethyl H3K4 but did not affect
other histone lysine methylations. In vitro biochemical experiments demonstrated
that JARID1B directly catalyzes the demethylation. The enzymatic activity
requires the JmjC domain and uses Fe(II) and alpha-ketoglutarate as cofactors.
Furthermore, we found that JARID1B is up-regulated in prostate cancer tissues,
compared with benign prostate samples. We also demonstrated that JARID1B
associates with androgen receptor and regulates its transcriptional activity.
Thus, we identified JARID1B as a demethylase capable of removing three methyl
groups from histone H3 lysine 4 and up-regulated in prostate cancer.
PMID- 18048345
TI - Gravitational dynamos and the low-frequency geomagnetic secular variation.
AB - Self-sustaining numerical dynamos are used to infer the sources of low-frequency
secular variation of the geomagnetic field. Gravitational dynamo models powered
by compositional convection in an electrically conducting, rotating fluid shell
exhibit several regimes of magnetic field behavior with an increasing Rayleigh
number of the convection, including nearly steady dipoles, chaotic nonreversing
dipoles, and chaotic reversing dipoles. The time average dipole strength and
dipolarity of the magnetic field decrease, whereas the dipole variability,
average dipole tilt angle, and frequency of polarity reversals increase with
Rayleigh number. Chaotic gravitational dynamos have large-amplitude dipole
secular variation with maximum power at frequencies corresponding to a few cycles
per million years on Earth. Their external magnetic field structure, dipole
statistics, low-frequency power spectra, and polarity reversal frequency are
comparable to the geomagnetic field. The magnetic variability is driven by the
Lorentz force and is characterized by an inverse correlation between dynamo
magnetic and kinetic energy fluctuations. A constant energy dissipation theory
accounts for this inverse energy correlation, which is shown to produce
conditions favorable for dipole drift, polarity reversals, and excursions.
PMID- 18048346
TI - NIX is required for programmed mitochondrial clearance during reticulocyte
maturation.
AB - The regulated clearance of mitochondria is a well recognized but poorly
understood aspect of cellular homeostasis, and defects in this process have been
linked to aging, degenerative diseases, and cancer. Mitochondria are recycled
through an autophagy-related process, and reticulocytes, which completely
eliminate their mitochondria during maturation, provide a physiological model to
study this phenomenon. Here, we show that mitochondrial clearance in
reticulocytes requires the BCL2-related protein NIX (BNIP3L). Mitochondrial
clearance does not require BAX, BAK, BCL-X(L), BIM, or PUMA, indicating that NIX
does not function through established proapoptotic pathways. Similarly, NIX is
not required for the induction of autophagy during terminal erythroid
differentiation. NIX is required for the selective elimination of mitochondria,
however, because mitochondrial clearance, in the absence of NIX, is arrested at
the stage of mitochondrial incorporation into autophagosomes and autophagosome
maturation. These results yield insight into the mechanism of mitochondrial
clearance in higher eukaryotes. Furthermore, they show a BAX- and BAK-independent
role for a BCL2-related protein in development.
PMID- 18048347
TI - Ancient jades map 3,000 years of prehistoric exchange in Southeast Asia.
AB - We have used electron probe microanalysis to examine Southeast Asian nephrite
(jade) artifacts, many archeologically excavated, dating from 3000 B.C. through
the first millennium A.D. The research has revealed the existence of one of the
most extensive sea-based trade networks of a single geological material in the
prehistoric world. Green nephrite from a source in eastern Taiwan was used to
make two very specific forms of ear pendant that were distributed, between 500
B.C. and 500 A.D., through the Philippines, East Malaysia, southern Vietnam, and
peninsular Thailand, forming a 3,000-km-diameter halo around the southern and
eastern coastlines of the South China Sea. Other Taiwan nephrite artifacts,
especially beads and bracelets, were distributed earlier during Neolithic times
throughout Taiwan and from Taiwan into the Philippines.
PMID- 18048348
TI - The spectrin cytoskeleton influences the surface expression and activation of
human transient receptor potential channel 4 channels.
AB - Despite over a decade of research, only recently have the mechanisms governing
transient receptor potential channel (TRPC) channel function begun to emerge,
with an essential role for accessory proteins in this process. We previously
identified a tyrosine phosphorylation event as critical in the plasma membrane
translocation and activation of hTRPC4 channels following epidermal growth factor
(EGF) receptor activation. To further characterize the signaling events
underlying this process, a yeast-two hybrid screen was performed on the C
terminus of hTRPC4. The intracellular C-terminal region from proline 686 to
leucine 977 was used to screen a human brain cDNA library. Two members of the
spectrin family, alphaII- and betaV-spectrin, were identified as binding
partners. The interaction of hTRPC4 with alphaII-spectrin and betaV-spectrin was
confirmed by glutathione S-transferase pulldown and co-immunoprecipitation
experiments. Deletion analysis identified amino acids 730-758 of hTRPC4 as
critical for the interaction with this region located within a coiled-coil
domain, juxtaposing the Ca(2+)/calmodulin- and IP(3)R-binding region (CIRB
domain). This region is deleted in the proposed deltahTRPC4 splice variant form,
which failed to undergo both EGF-induced membrane insertion and activation,
providing a genetic mechanism for regulating channel activity. We also
demonstrate that the exocytotic insertion and activation of hTRPC4 following EGF
application is accompanied by dissociation from alphaII-spectrin. Furthermore,
depletion of alphaII-spectrin by small interference RNA reduces the basal surface
expression of alphahTRPC4 and prevents the enhanced membrane insertion in
response to EGF application. Importantly, depletion of alphaII-spectrin did not
affect the expression of the delta variant. Taken together, these results
demonstrate that a direct interaction between hTRPC4 and the spectrin
cytoskeleton is involved in the regulation of hTRPC4 surface expression and
activation.
PMID- 18048349
TI - Roles of functional and structural domains of hepatocyte growth factor activator
inhibitor type 1 in the inhibition of matriptase.
AB - Hepatocyte growth factor activator inhibitor type 1 (HAI-1) is a membrane-bound,
Kunitz-type serine protease inhibitor. HAI-1 inhibits serine proteases that have
potent pro-hepatocyte growth factor-converting activity, such as the membrane
type serine protease, matriptase. HAI-1 comprises an N-terminal domain, followed
by an internal domain, first protease inhibitory domain (Kunitz domain I), low
density lipoprotein receptor A module (LDLRA) domain, and a second Kunitz domain
(Kunitz domain II) in the extracellular region. Our aim was to assess the roles
of these domains in the inhibition of matriptase. Soluble forms of recombinant
rat HAI-1 mutants made up with various combinations of domains were produced, and
their inhibitory activities toward the hydrolysis of a chromogenic substrate were
analyzed using a soluble recombinant rat matriptase. Kunitz domain I exhibited
inhibitory activity against matriptase, but Kunitz domain II did not. The N
terminal domain and Kunitz domain II decreased the association rate between
Kunitz domain I and matriptase, whereas the internal domain increased this rate.
The LDLRA domain suppressed the dissociation of the Kunitz domain I-matriptase
complex. Surprisingly, an HAI-1 mutant lacking the N-terminal domain and Kunitz
domain II showed an inhibitor constant of 1.6 pm, and the inhibitory activity was
400 times higher in this HAI-1 mutant than in the mutant with all domains. These
findings, together with the known occurrence of an HAI-1 species lacking the N
terminal domain and Kunitz domain II in vivo, suggest that the domain structure
of HAI-1 is organized in a way that allows HAI-1 to flexibly control matriptase
activity.
PMID- 18048350
TI - A short motif in Kir6.1 consisting of four phosphorylation repeats underlies the
vascular KATP channel inhibition by protein kinase C.
AB - Vascular ATP-sensitive K(+) channels are inhibited by multiple vasoconstricting
hormones via the protein kinase C (PKC) pathway. However, the molecular
substrates for PKC phosphorylation remain unknown. To identify the PKC sites,
Kir6.1/SUR2B and Kir6.2/SUR2B were expressed in HEK293 cells. Following channel
activation by pinacidil, the catalytic fragment of PKC inhibited the Kir6.1/SUR2B
currents but not the Kir6.2/SUR2B currents. Phorbol 12-myristate 13-acetate (a
PKC activator) had similar effects. Using Kir6.1-Kir6.2 chimeras, two critical
protein domains for the PKC-dependent channel inhibition were identified. The
proximal N terminus of Kir6.1 was necessary for channel inhibition. Because there
was no PKC phosphorylation site in the N-terminal region, our results suggest its
potential involvement in channel gating. The distal C terminus of Kir6.1 was
crucial where there are several consensus PKC sites. Mutation of Ser-354, Ser
379, Ser-385, Ser-391, or Ser-397 to nonphosphorylatable alanine reduced PKC
inhibition moderately but significantly. Combined mutations of these residues had
greater effects. The channel inhibition was almost completely abolished when 5 of
them were jointly mutated. In vitro phosphorylation assay showed that 4 of the
serine residues were necessary for the PKC-dependent (32)P incorporation into the
distal C-terminal peptides. Thus, a motif containing four phosphorylation repeats
is identified in the Kir6.1 subunit underlying the PKC-dependent inhibition of
the Kir6.1/SUR2B channel. The presence of the phosphorylation motif in Kir6.1,
but not in its close relative Kir6.2, suggests that the vascular K(ATP) channel
may have undergone evolutionary optimization, allowing it to be regulated by a
variety of vasoconstricting hormones and neurotransmitters.
PMID- 18048351
TI - On the role of the first transmembrane domain in cation permeability and flux of
the ATP-gated P2X2 receptor.
AB - P2X receptors are a family of seven ligand-gated ion channels (P2X1-P2X7) that
open in the presence of ATP. We used alanine-scanning mutagenesis and patch clamp
photometry to study the role of the first transmembrane domain of the rat P2X2
receptor in cation permeability and flux. Three alanine-substituted mutants did
not respond to ATP, and 19 of the 22 functional receptors resembled the wild-type
receptor with regard to the fraction of the total ATP-gated current carried by
calcium or the permeability of calcium relative to cesium. The remaining three
mutants showed modest changes in calcium dynamics. Two of these occurred at sites
(Gly30 and Phe44) that are unlikely to interact with permeating cations in a
meaningful way. The third was a conserved tyrosine (Tyr43) that may form an inter
pore binding site for calcium. The data suggest that, with the possible exception
of Tyr43, the first transmembrane domain contributes little to the permeation
properties of the P2X2 receptor.
PMID- 18048352
TI - Gene expression signatures of cAMP/protein kinase A (PKA)-promoted, mitochondrial
dependent apoptosis. Comparative analysis of wild-type and cAMP-deathless S49
lymphoma cells.
AB - The second messenger cAMP acts via protein kinase A (PKA) to induce apoptosis by
mechanisms that are poorly understood. Here, we assessed a role for mitochondria
and analyzed gene expression in cAMP/PKA-promoted apoptosis by comparing wild
type (WT) S49 lymphoma cells and the S49 variant, D(-) (cAMP-deathless), which
lacks cAMP-promoted apoptosis but has wild-type levels of PKA activity and cAMP
promoted G(1) growth arrest. Treatment of WT, but not D(-), S49 cells with 8-CPT
cAMP (8-(4-chlorophenylthio)-adenosine-3':5'-cyclic monophosphate) for 24 h
induced loss of mitochondrial membrane potential, mitochondrial release of
cytochrome c and SMAC, and increase in caspase-3 activity. Gene expression
analysis (using Affymetrix 430 2.0 arrays) revealed that WT and D(-) cells
incubated with 8-CPT-cAMP have similar, but non-identical, extents of cAMP
regulated gene expression at 2 h (approximately 800 transcripts) and 6 h
(approximately 1000 transcripts) (|Fold| > 2, p < 0.06); by contrast, at 24 h,
approximately 2500 and approximately 1100 transcripts were changed in WT and D(-)
cells, respectively. Using an approach that combined regression analysis,
clustering, and functional annotation to identify transcripts that showed
differential expression between WT and D(-) cells, we found differences in cAMP
mediated regulation of mRNAs involved in transcriptional repression, apoptosis,
the cell cycle, RNA splicing, Golgi, and lysosomes. The two cell lines differed
in cAMP-response element-binding protein (CREB) phosphorylation and expression of
the transcriptional inhibitor ICER (inducible cAMP early repressor) and in cAMP
regulated expression of genes in the inhibitor of apoptosis (IAP) and Bcl
families. The findings indicate that cAMP/PKA-promoted apoptosis of lymphoid
cells occurs via mitochondrial-mediated events and imply that such apoptosis
involves gene networks in multiple biochemical pathways.
PMID- 18048353
TI - A necessary and sufficient determinant for protein-selective glycosylation in
vivo.
AB - A limited number of glycoproteins including luteinizing hormone and carbonic
anhydrase-VI (CA6) bear N-linked oligosaccharides that are modified with beta1,4
linked N-acetylgalactosamine (GalNAc). The selective addition of GalNAc to these
glycoproteins requires that the beta1,4-N-acetylgalactosaminyltransferase
(betaGT) recognize both the oligosaccharide acceptor and a peptide recognition
determinant on the substrate glycoprotein. We report here that two recently
cloned betaGTs, betaGT3 and betaGT4, that are able to transfer GalNAc to GlcNAc
in beta1,4-linkage display the necessary glycoprotein specificity in vivo. Both
betaGTs transfer GalNAc to N-linked oligosaccharides on the luteinizing hormone
alpha subunit and CA6 but not to those on transferrin (Trf). A single peptide
recognition determinant encoded in the carboxyl-terminal 19-amino acid sequence
of bovine CA6 mediates transfer of GalNAc to each of its two N-linked
oligosaccharides. The addition of this 19-amino acid sequence to the carboxyl
terminus of Trf confers full acceptor activity onto Trf for both betaGT3 and
betaGT4 in vivo. The complete 19-amino acid sequence is required for optimal
GalNAc addition in vivo, indicating that the peptide sequence is both necessary
and sufficient for recognition by betaGT3 and betaGT4.
PMID- 18048354
TI - Structure of the mature ectodomain of the human receptor-type protein-tyrosine
phosphatase IA-2.
AB - IA-2 (insulinoma-associated protein 2) is a protein-tyrosine phosphatase receptor
located in secretory granules of neuroendocrine cells. Initially, it attracted
attention due to its involvement in the autoimmune response associated to
diabetes. Later it was found that upon exocytosis, the cytoplasmic domain of IA-2
is cleaved and relocated to the nucleus, where it enhances the transcription of
the insulin gene. A concerted functioning of the whole receptor is to be
expected. However, very little is known about the structure and function of the
transmembrane and extracellular domains of IA-2. To address this issue, we solved
the x-ray structure of the mature ectodomain of IA-2 (meIA-2) to 1.30A
resolution. The fold of meIA-2 is related to the SEA (sea urchin sperm protein,
enterokinase, agrin)) domains of mucins, suggesting its participation in adhesive
contacts to the extracellular matrix and providing clues on how this kind of
molecule may associate and form homo- and heterodimers. Moreover, we discovered
that meIA-2 is self-proteolyzed in vitro by reactive oxygen species, suggesting
the possibility of a new shedding mechanism that might be significant in normal
function or pathological processes. Knowledge of meIA-2 structure should
facilitate the search of its possible ligands and molecular interactions.
PMID- 18048355
TI - PKD1, PKD2, and their substrate Kidins220 regulate neurotensin secretion in the
BON human endocrine cell line.
AB - Neurotensin (NT) is a gut peptide that plays an important role in
gastrointestinal secretion, motility, and growth as well as the proliferation of
NT receptor-positive cancers. Protein kinase D (PKD) family members (PKD1, 2, and
3) have been identified as important regulators of secretory transport at the
trans-Golgi network. Previously, we showed that PKD1 contributes to stimulated NT
secretion; however, the mechanisms are not entirely clear. Here, we show that
Kidins220, which is a substrate of PKD proteins in neuroendocrine cells, is
localized in the ends of the processes of BON cells, similar to the expression
pattern of NT vesicles, and translocates to the membrane and large vesicle-like
structures formed in response to phorbol 12-myristate 13-acetate treatment. The
short hairpin RNA targeting Kidins220 inhibits NT secretion in parental BON cells
or BON cells stably expressing the gastrin-releasing peptide receptor treated
with either phorbol 12-myristate 13-acetate or bombesin, respectively.
Furthermore, we demonstrate that endogenous PKD1, PKD2, and Kidins220 co-exist
with NT-containing vesicles. Overexpression of the kinase-dead PKD1 abrogates
Kidins220 expression and NT vesicle formation. Our data establish a physiological
link between the PKD/Kidins220 pathway and NT-containing vesicles and suggest the
role of this pathway in the regulation of hormone secretion. Because NT is an
important gut hormone that affects secretion, inflammation, and both normal and
tumor cell growth, our findings identify a novel signaling pathway that may be
amenable to drug targeting for clinical applications.
PMID- 18048356
TI - The TbMTr1 spliced leader RNA cap 1 2'-O-ribose methyltransferase from
Trypanosoma brucei acts with substrate specificity.
AB - In metazoa cap 1 (m(7)GpppNmp-RNA) is linked to higher levels of translation;
however, the enzyme responsible remains unidentified. We have validated the first
eukaryotic encoded cap 1 2'-O-ribose methyltransferase, TbMTr1, a member of a
conserved family that modifies the first transcribed nucleotide of spliced leader
and U1 small nuclear RNAs in the kinetoplastid protozoan Trypanosoma brucei. In
addition to cap 0 (m(7)GpppNp-RNA), mRNA in these parasites has ribose
methylations on the first four nucleotides with base methylations on the first
and fourth (m(7)Gpppm(6,6)AmpAmpCmpm(3)Ump-SL RNA) conveyed via trans-splicing of
a universal spliced leader. The function of this cap 4 is unclear. Spliced leader
is the majority RNA polymerase II transcript; the RNA polymerase III-transcribed
U1 small nuclear RNA has the same first four nucleotides as spliced leader, but
it receives an m(2,2,7)G cap with hypermethylation at position one only
(m(2,2,7)Gpppm(6,6)AmpApCpUp-U1 snRNA). Here we examine the biochemical
properties of recombinant TbMTr1. Active over a pH range of 6.0 to 9.5, TbMTr1 is
sensitive to Mg(2+). Positions Lys(95)-Asp(204)-Lys(259)-Glu(285) constitute the
conserved catalytic core. A guanosine cap on RNA independent of its N(7)
methylation status is required for substrate recognition, but an m(2,2,7G)-cap is
not recognized. TbMTr1 favors the spliced leader 5' sequence, as reflected by a
preference for A at position 1 and modulation of activity for substrates with
base changes at positions 2 and 3. With similarities to human cap 1
methyltransferase activity, TbMTr1 is an excellent model for higher eukaryotic
cap 1 methyltransferases and the consequences of cap 1 modification.
PMID- 18048357
TI - The alpha1a-adrenergic receptor occupies membrane rafts with its G protein
effectors but internalizes via clathrin-coated pits.
AB - The alpha(1a)-adrenergic receptor (alpha(1a)AR) occupies intracellular and plasma
membranes in both native and heterologous expression systems. Based on multiple
independent lines of evidence, we demonstrate the alpha(1a)AR at the cell surface
occupies membrane rafts but exits from rafts following stimulation. In non
detergent raft preparations, basal alpha(1a)AR is present in low density membrane
rafts and colocalizes with its G protein effectors on density gradients. Raft
disruption by cholesterol depletion with methyl-beta-cyclodextrin eliminates
these light rafts. To confirm the presence of the alpha(1a)AR in plasma membrane
rafts, fluorescence resonance energy transfer measurements were used to
demonstrate colocalization of surface receptor and the raft marker, cholera toxin
B. This colocalization was largely lost following alpha(1a)AR stimulation with
phenylephrine. Similarly, receptor stimulation causes exit of the alpha(1a)AR
from light rafts within 3-10 min in contrast to the G proteins, which largely
remain in light rafts. Importantly, this delayed exit of the alpha(1a)AR suggests
acute receptor signaling and desensitization occur entirely within rafts.
Interestingly, both confocal analysis and measurement of surface alpha(1a)AR
levels indicate modest receptor internalization during the 10 min following
stimulation, suggesting most of the receptor has entered non-raft plasma
membrane. Nevertheless, activation does increase the rate of receptor
internalization as does disruption of rafts with methyl-beta-cyclodextrin,
suggesting raft exit enables internalization. Confocal analysis of surface
labeled hemagglutinin-alpha(1a)AR reveals that basal and stimulated receptor
occupies clathrin pits in fixed cells consistent with previous indirect evidence.
The evidence presented here strongly suggests the alpha(1a)AR is a lipid raft
protein under basal conditions and implies agonist-mediated signaling occurs from
rafts.
PMID- 18048358
TI - Extracellular signal-regulated kinase regulation of tumor necrosis factor-alpha
mRNA nucleocytoplasmic transport requires TAP-NxT1 binding and the AU-rich
element.
AB - Tumor necrosis factor-alpha (TNF-alpha) production is regulated by
transcriptional and posttranscriptional mechanisms. Lipopolysaccharide activates
the NFkappaB pathway increasing TNF-alpha transcription. Lipopolysaccharide also
activates the mitogen-activated protein kinase pathways, resulting in
stabilization and enhanced translation of the TNF-alpha message. In addition,
nuclear export of the TNF-alpha mRNA is a posttranscriptionally regulated process
involving the Tpl2-ERK pathway and requiring the presence of the TNF-alpha AU
rich element (ARE). We demonstrate that nuclear export of the TNF-alpha message
requires not only the TNF-alpha ARE but also the interaction of the proteins TAP
and NxT1, both of which are involved in nucleocytoplasmic transport of mRNA.
Through the use of dominant negative ERK1 and ERK2, we establish that control of
TNF-alpha mRNA nuclear export operates specifically through ERK1. Finally, we
examined the role of two established TNF-alpha ARE-binding proteins, HuR and
tristetraprolin, that shuttle between the nucleus and cytoplasm. These data
demonstrate that neither tristetraprolin nor HuR is required for TNF-alpha mRNA
export. It is unclear at this time if ARE-binding protein(s) directly interact
with the TAP-NxT1 complex, if each complex is independently targeted by ERK1, or
if only one complex is targeted.
PMID- 18048359
TI - Regulatory effects of mammalian target of rapamycin-mediated signals in the
generation of arsenic trioxide responses.
AB - Arsenic trioxide (As(2)O(3)) is a potent inducer of apoptosis of leukemic cells
in vitro and in vivo, but the mechanisms that mediate such effects are not well
understood. We provide evidence that the Akt kinase is phosphorylated/activated
during treatment of leukemia cells with As(2)O(3), to regulate downstream
engagement of mammalian target of rapamycin (mTOR) and its effectors. Using cells
with targeted disruption of both the Akt1 and Akt2 genes, we found that induction
of arsenic trioxide-dependent apoptosis is strongly enhanced in the absence of
these kinases, suggesting that Akt1/Akt2 are activated in a negative feedback
regulatory manner, to control generation of As(2)O(3) responses. Consistent with
this, As(2)O(3)-dependent pro-apoptotic effects are enhanced in double knock-out
cells for both isoforms of the p70 S6 kinase (S6k1/S6k2), a downstream effector
of Akt and mTOR. On the other hand, As(2)O(3)-dependent induction of apoptosis is
diminished in cells with targeted disruption of TSC2, a negative upstream
effector of mTOR. In studies using primary hematopoietic progenitors from
patients with acute myeloid leukemia, we found that pharmacological inhibition of
mTOR enhances the suppressive effects of arsenic trioxide on leukemic progenitor
colony formation. Moreover, short interfering RNA-mediated inhibition of
expression of the negative downstream effector, translational repressor 4E-BP1,
partially reverses the effects of As(2)O(3). Altogether, these data provide
evidence for a key regulatory role of the Akt/mTOR pathway in the generation of
the effects of As(2)O(3), and suggest that targeting this signaling cascade may
provide a novel therapeutic approach to enhance the anti-leukemic properties of
As(2)O(3).
PMID- 18048361
TI - Structural basis for the lower affinity of the insulin-like growth factors for
the insulin receptor.
AB - Insulin and the insulin-like growth factors (IGFs) bind with high affinity to
their cognate receptor and with lower affinity to the noncognate receptor. The
major structural difference between insulin and the IGFs is that the IGFs are
single chain polypeptides containing A-, B-, C-, and D-domains, whereas the
insulin molecule contains separate A- and B-chains. The C-domain of IGF-I is
critical for high affinity binding to the insulin-like growth factor I receptor,
and lack of a C-domain largely explains the low affinity of insulin for the
insulin-like growth factor I receptor. It is less clear why the IGFs have lower
affinity for the insulin receptor. In this study, 24 insulin analogues and four
IGF analogues were expressed and analyzed to explore the role of amino acid
differences in the A- and B-domains between insulin and the IGFs in binding
affinity for the insulin receptor. Using the information obtained from single
substituted analogues, four multiple substituted analogues were produced. A
"quadruple insulin" analogue ([Phe(A8), Ser(A10), Thr(B5), Gln(B16)]Ins) showed
affinity as IGF-I for the insulin receptor, and a "sextuple insulin" analogue
([Phe(A8), Ser(A10), Thr(A18), Thr(B5), Thr(B14), Gln(B16)]Ins) showed an
affinity close to that of IGF-II for the insulin receptor, whereas a "quadruple
IGF-I" analogue ([His(4), Tyr(15), Thr(49), Ile(51)]IGF-I) and a "sextuple IGF
II" analogue ([His(7), Ala(16), Tyr(18), Thr(48), Ile(50), Asn(58)]IGF-II) showed
affinities similar to that of insulin for the insulin receptor. The mitogenic
potency of these analogues correlated well with the binding properties. Thus, a
small number of A- and B-domain substitutions that map to the IGF surface
equivalent to the classical binding surface of insulin weaken two hotspots that
bind to the insulin receptor site 1.
PMID- 18048360
TI - Thrombin induces tumor invasion through the induction and association of matrix
metalloproteinase-9 and beta1-integrin on the cell surface.
AB - The procoagulatory serine protease, thrombin, is known to induce invasion and
metastasis in various cancers, but the mechanisms by which it promotes
tumorigenesis are poorly understood. Because the 92-kDa gelatinase (MMP-9) is a
known mediator of tumor cell invasion, we sought to determine whether and how
thrombin regulates MMP-9. The thrombin receptor, PAR-1, and MMP-9 are expressed
in osteosarcomas, as determined by immunohistochemistry. Stimulation of U2-OS
osteosarcoma cells with thrombin and a thrombin receptor-activating peptide
induced pro-MMP-9 secretion as well as cell surface-associated pro-MMP-9
expression and proteolytic activity. This was paralleled by an increase in MMP-9
mRNA and MMP-9 promoter activity. Thrombin-induced invasion of U2-OS cells
through Matrigel was mediated by the phosphatidylinositol 3-kinase signaling
pathway and could be inhibited with an MMP-9 antibody. The stimulation of MMP-9
by thrombin was paralleled by an increase in beta1-integrin mRNA and beta1
integrin expression on the cell surface, which was also mediated by
phosphatidylinositol 3-kinase and was required for invasion. Thrombin activation
induced and co-localized both beta1-integrin and pro-MMP-9 on the cell membrane,
as evidenced by co-immunoprecipitation, confocal microscopy, and a protein
binding assay. The thrombin-mediated association of these two proteins, as well
as thrombin-mediated invasion of U2-OS cells, could be blocked with a cyclic
peptide and with an antibody preventing binding of the MMP-9 hemopexin domain to
beta1-integrin. These results suggest that thrombin induces expression and
association of beta1-integrin with MMP-9 and that the cell surface localization
of the protease by the integrin promotes tumor cell invasion.
PMID- 18048363
TI - Evidence that sprouty 2 is necessary for sarcoma formation by H-Ras oncogene
transformed human fibroblasts.
AB - Sprouty 2 (Spry2) acts as an inhibitor of receptor tyrosine kinase signaling in
various cellular contexts. Interestingly, Spry2 also prevents the c-Cbl-induced
degradation of epidermal growth factor receptor (EGFR). We compared human
fibroblasts malignantly transformed by overexpression of H-Ras(V12) oncogene to
their nontransformed parental cells and found that the malignant cells express a
high level of Spry2. These cells also exhibited an increase in the level of EGFR
compared with their precursor cells. We found that intact EGFR was required if H
Ras-transformed cells were to grow in the absence of exogenous growth factors or
form large colonies in agarose. When we decreased expression of Spry2, using a
Spry2-specific shRNA, the H-Ras(V12)-transformed fibroblasts could no longer form
large colonies in agarose, grow in reduced levels of serum, or form tumors in
athymic mice. The level of active H-Ras in these cells remained unaltered. A
similar, but less pronounced, effect in tumor formation was observed when Spry2
was down-regulated in human patient-derived fibrosarcoma cell lines. In H-Ras
transformed cells Spry2 sustained the level and the downstream signaling activity
of EGFR. In the parental, non-H-Ras-transformed fibroblasts, expression of Spry2
resulted in the inhibition of H-Ras and ERK activation, suggesting that the
positive effect of Spry2 in tumor formation is specific to H-Ras transformation.
Co-immunoprecipitation studies with H-Ras-transformed cells revealed that Spry2
and H-Ras interact and that H-Ras interacts with Spry2-binding partners, c-Cbl
and CIN85, in a Spry2-dependent manner. These data show that Spry2 plays a
critical role in the ability of H-Ras-transformed cells to form tumors in athymic
mice.
PMID- 18048362
TI - Signaling by the cysteinyl-leukotriene receptor 2. Involvement in chemokine gene
transcription.
AB - Cysteinyl-leukotrienes are involved in inflammation and act on at least two G
protein-coupled receptors, CysLT1 and CysLT2. However, the role of the CysLT2
receptor as well as its signaling remain poorly understood. Here we show that
leukotriene (LT)C(4) induced the production of the chemokine interleukin (IL)-8
in endothelial cells. To further study the signaling cascade involved, HEK293
cells were stably transfected with CysLT2 and used to study the transcriptional
regulation of the IL-8 promoter. Stimulation of the cells with increasing
concentrations of LTC(4) resulted in a time- and concentration-dependent
induction of IL-8 transcription and protein synthesis. Use of IL-8 promoter
mutants with substitutions in their NF-kappaB, AP-1, or NF-IL-6 binding elements
revealed an almost total requirement for NF-kappaB and AP-1 elements, and a
lesser requirement for the NF-IL-6 element. Overexpression of dominant-negative
IkappaBalpha prevented the IL-8 transactivation induced by LTC(4). LTC(4)
stimulation induced NF-kappaB and AP-1 DNA binding, which involved the formation
of a p50/p65 and a c-JUN.c-FOS complex, respectively. Transfection of the cells
with a dominant negative (dn) form of PKCepsilon prevented p65 phosphorylation,
whereas dnPKCdelta prevented AP-1 binding. Moreover, dnPKCdelta, dnPKCepsilon,
and dnPKCzeta prevented LTC(4)-induced IL-8 transcription in response to LTC(4).
Our data show for the first time that LTC(4) can act via the CysLT2 receptor to
transcriptionally activate chemokine production through induction of NF-kappaB
and AP-1 transcription factors. These findings suggest the potential implication
of CysLT2 in the inflammatory response through the modulation of chemokine gene
transcription.
PMID- 18048364
TI - Endoplasmic reticulum retention and rescue by heteromeric assembly regulate human
ERG 1a/1b surface channel composition.
AB - Defects in the trafficking of subunits encoded by the human ether-a-go-go-related
gene (hERG1) can lead to catastrophic arrhythmias and sudden cardiac death due to
a reduction in I(Kr)-mediated repolarization. Native I(Kr) channels are composed
of two alpha subunits, hERG 1a and 1b. In heterologous expression systems, hERG
1b subunits efficiently produce current only in heteromeric combination with hERG
1a. We used Western blot analysis and electrophysiological recordings in HEK-293
cells and Xenopus oocytes to monitor hERG 1b maturation in the secretory pathway
and to determine the factors regulating surface expression of hERG 1b subunits.
We found that 1b subunits expressed alone were largely retained in the
endoplasmic reticulum (ER), thus accounting for the poor functional expression of
homomeric 1b currents. Association with hERG 1a facilitated 1b ER export and
surface expression. We show that hERG 1b subunits fail to mature because of an
"RXR" ER retention signal specific to the 1b N terminus of the human sequence and
not conserved in other species. Mutating the RXR facilitated maturation and
functional expression of homomeric hERG 1b channels in a charge-dependent manner.
Co-expression of the 1b RXR mutants with hERG 1a did not further enhance 1b
maturation, suggesting that hERG 1a promotes 1b trafficking by overcoming the RXR
mediated retention. Thus, selective trafficking mechanisms regulate subunit
composition of surface hERG channels.
PMID- 18048365
TI - B-cell receptor activation induces BIC/miR-155 expression through a conserved AP
1 element.
AB - microRNA-155 is an oncogenic microRNA that has been shown to be critical for B
cell maturation and immunoglobulin production in response to antigen. In line
with its function in B-cell activation, miR-155, and its primary transcript, B
cell integration cluster (BIC), is induced by B-cell receptor (BCR) cross
linking. Using pharmacological inhibitors in the human B-cell line, Ramos, we
show that activation of BIC and miR-155 expression by BCR signaling occurs
through the extracellular signaling-regulated kinase (ERK) and c-Jun N-terminal
kinase (JNK) pathways but not the p38 pathway. BCR activation results in the
induction of c-Fos, FosB, and JunB, and expression of these are suppressed by ERK
and JNK inhibitors. Reporter analysis established a key role for a conserved AP-1
site approximately 40 bp upstream from the site of initiation but not an upstream
NF-kappaB site or a putative c-Ets located at the site of initiation. Lastly,
chromatin immunoprecipitation analysis demonstrated the recruitment of FosB and
JunB to the miR-155 promoter following BCR activation. These results identify key
determinants of BCR-mediated signaling that lead to the induction of BIC/miR-155.
PMID- 18048366
TI - Modulation of yeast Sln1 kinase activity by the CCW12 cell wall protein.
AB - The yeast Sln1p sensor kinase is best known as an osmosensor involved in the
regulation of the hyperosmolarity glycerol mitogen-activated protein kinase
cascade. Down-regulation of Sln1 kinase activity occurs under hypertonic
conditions and leads to phosphorylation of the Hog1p mitogen-activated protein
kinase and increased osmotic stress-response gene expression. Conditions leading
to kinase up-regulation include osmotic imbalance caused by glycerol retention in
the glycerol channel mutant, fps1 (Tao, W., Deschenes, R. J., and Fassler, J. S.
(1999) J. Biol. Chem. 274, 360-367). The hypothesis that Sln1p kinase activity is
responsive to turgor was first suggested by the increased Sln1p kinase activity
in mutants lacking Fps1p in which glycerol accumulation leads to water uptake.
Also consistent with the turgor hypothesis is the observation that reduced turgor
caused by treatment of cells with nystatin, a drug that increases membrane
permeability and causes cell shrinkage, reduced Sln1p kinase activity (Tao, W.,
Deschenes, R. J., and Fassler, J. S. (1999) J. Biol. Chem. 274, 360-367; Reiser,
V., Raitt, D. C., and Saito, H. (2003) J. Cell Biol. 161, 1035-1040). The turgor
hypothesis is revisited here in the context of the identification and
characterization of the cell wall gene, CCW12, as a determinant of Sln1p
activity. Results of this analysis suggest that the activity of the plasma
membrane localized Sln1p is affected by the presence or absence of specific outer
cell wall proteins and that this effect is independent of turgor.
PMID- 18048367
TI - Sequences in intron 51 of the von Willebrand factor gene target promoter
activation to a subset of lung endothelial cells in transgenic mice.
AB - In vivo analyses of the VWF promoter previously demonstrated that a fragment
spanning sequences -487 to +247 targets promoter activation to brain vascular
endothelial cells, whereas a longer fragment including 2182 bp of the 5'-flanking
sequences, the first exon, and the first intron activated expression in
endothelial cells of the heart and muscles as well as the brain of transgenic
mice. These results suggested that additional VWF gene sequences were required
for expression in other vascular endothelial cells in vivo. We have now
identified a region within intron 51 of the VWF gene that is DNase I
hypersensitive (HSS) specifically in non-endothelial cells and interacts with
endothelial and non-endothelial specific complexes that contain YY1. We
demonstrate that beta-actin is associated with YY1 specifically in the nucleus of
non-endothelial cells and is a component of the nuclear protein complexes that
interact with the DNase I-hypersensitive region. In vitro transfection analyses
demonstrated that HSS sequences containing this YY1-binding site do not
significantly affect VWF promoter activity. However, in vivo analyses
demonstrated that addition of these sequences to the VWF promoter (-487 to +247)
results in promoter activation in lung and brain vascular endothelial cells.
These results demonstrate that the HSS sequences in intron 51 of the VWF gene
contain cis-acting elements that are necessary for the VWF gene transcription in
a subset of lung endothelial cells in vivo.
PMID- 18048368
TI - Effects and interactions in an environmentally relevant mixture of
pharmaceuticals.
AB - With the goal of assessing the environmental risk of pharmaceuticals, we have
previously observed that a mixture of 13 different drugs at environmentally
relevant concentrations had adverse consequences on human and zebra fish cells in
vitro. Here we aimed to identify both main and interaction effects within the
same environmentally relevant mixture of pharmaceuticals. We studied in vitro
cytotoxicity in Escherichia coli, human embryonic HEK293, and estrogen-responsive
OVCAR3 tumor cells using fractional-factorial experimental design. Our approach
identified a subset of compounds of primary environmental concern, namely
atenolol, bezafibrate, ciprofloxacin, and lincomycin, that had statistically
significant effects on prokaryotic and eukaryotic cells at environmentally
relevant exposure levels (ng/l). Drugs could interact and behave as
chemosensitizers, with joint effects representing a statistically significant
element of mixture toxicity. Effects and interactions were concentration
dependent, confirming the difficulty of dose extrapolation in mixture toxicity
data. This study suggests that a thorough investigation of mixture effects can
direct environmental concerns toward a handful of pharmaceuticals, which may
represent an actual risk at environmental concentrations. We indicate that risk
identification may strongly depend on the use of environmentally relevant
exposure scenarios. Antagonistic-synergistic interactions and dose dependency of
effects may hamper the modeling and prediction of mixture toxicity with
pharmaceuticals. Hazard identification for micropollutants depends heavily on
appropriate study designs, and we indicate the use of in vitro cytotoxicity
threshold and statistical design of experiments (DOEs) as a valid approach.
PMID- 18048369
TI - Modeling reading vocabulary learning in deaf children in bilingual education
programs.
AB - The acquisition of reading vocabulary is one of the major challenges for deaf
children in bilingual education programs. Deaf children have to acquire a written
lexicon that can effectively be used in reading. In this paper, we present a
developmental model that describes reading vocabulary acquisition of deaf
children in bilingual education programs. The model is inspired by Jiang's model
of vocabulary development in a second language (N. Jiang, 2000, 2004a) and the
hierarchical model of lexical representation and processing in bilinguals (J. F.
Kroll & E. Stewart, 1988). We argue that lexical development in the written
language often fossilizes and that many words deaf readers acquire will not reach
the final stage of lexical development. We argue that this feature is consistent
with many findings reported in the literature. Finally, we discuss the
pedagogical implications of the model.
PMID- 18048370
TI - Experience-induced changes in sugar taste discrimination.
AB - An apparent plasticity in glucose sensitivity was first noted while studying
human taste variants, but the experimental design did not rule out regression to
the mean. Since then, a human taste induction hypothesis that sensitivity for a
taste stimulus increases with repeated exposure to it has been supported first by
experience-induced changes in taste identification of monosodium glutamate and,
subsequently, in sensory detection of glutaraldehyde, as well as in
psychophysical and functional magnetic resonance imaging responses to novel taste
stimuli. Yet, whether such plasticity occurs for the highly familiar taste of
sugar remained unconfirmed. Therefore, we tested the taste induction hypothesis
for sugar using a counterbalanced design, consisting of 3 pretreatment and 2
treatment conditions. The effects over time also were followed with an additional
group of participants. The results showed that 1) experience with fructose
significantly increases sensitivity for the taste of a sugar, glucose; 2) there
are no significant differences in the sugar sensitivity between groups of
randomly assigned participants before treatments; 3) a single session of 5 brief
tastings of glucose has an effect on glucose sensitivity when tested 11 or 12
days later; and 4) without continued treatment, the increased sensitivity
reverses within 33 or 34 days.
PMID- 18048371
TI - Mechanistic mathematical model for in vivo aroma release during eating of
semiliquid foods.
AB - The paper describes a mechanistic mathematical model for aroma release in the
oropharynx to the nasal cavity during food consumption. The model is based on the
physiology of the swallowing process and is validated with atmospheric pressure
chemical ionization coupled with mass spectrometry measurements of aroma
concentration in the nasal cavity of subjects eating flavored yogurt. The study
is conducted on 3 aroma compounds representative for strawberry flavor (ethyl
acetate, ethyl butanoate, and ethyl hexanoate) and 3 panelists. The model
provides reasonably accurate time predictions of the relative aroma concentration
in the nasal cavity and is able to simulate successive swallowing events as well
as imperfect velopharyngeal closure. The most influent parameters are found to be
the amount of the residual product in the pharynx and its contact area with the
air flux, the volume of the nasal cavity, the equilibrium air/product partition
coefficient of the volatile compound, the breath airflow rate, as well as the
mass transfer coefficient of the aroma compound in the product, and the amount of
product in the mouth. This work constitutes a first step toward computer-aided
product formulation by allowing calculation of retronasal aroma intensity as a
function of transfer and volatility properties of aroma compounds in food
matrices and anatomophysiological characteristics of consumers.
PMID- 18048372
TI - Regulation of Rubisco activase and its interaction with Rubisco.
AB - The large, alpha-isoform of Rubisco activase confers redox regulation of the
ATP/ADP response of the ATP hydrolysis and Rubisco activation activities of the
multimeric activase holoenzyme complex. The alpha-isoform has a C-terminal
extension that contains the redox-sensitive cysteine residues and is
characterized by a high content of acidic residues. Cross-linking and site
directed mutagenesis studies of the C-terminal extension that have provided new
insights into the mechanism of redox regulation are reviewed. Also reviewed are
new details about the interaction between activase and Rubisco and the likely
mechanism of 'activation' that resulted from mutagenesis in a 'Sensor 2' domain
of activase that AAA(+) proteins often use for substrate recognition. Two
activase residues in this domain were identified that are involved in Rubisco
recognition. The results directly complement earlier studies that identified
critical residues for activase recognition in the large subunit of Rubisco.
PMID- 18048373
TI - Role of ethylene in the protection of tomato plants against soil-borne fungal
pathogens conferred by an endophytic Fusarium solani strain.
AB - An endophytic fungal isolate (Fs-K), identified as a Fusarium solani strain, was
obtained from root tissues of tomato plants grown on a compost which suppressed
soil and foliar pathogens. Strain Fs-K was able to colonize root tissues and
subsequently protect plants against the root pathogen Fusarium oxysporum f.sp.
radicis-lycopersici (FORL), and elicit induced systemic resistance against the
tomato foliar pathogen Septoria lycopersici. Interestingly, attenuated expression
of certain pathogenesis-related genes, i.e. PR5 and PR7, was detected in tomato
roots inoculated with strain Fs-K compared with non-inoculated plants. The
expression pattern of PR genes was either not affected or aberrant in leaves. A
genetic approach, using mutant tomato plant lines, was used to determine the role
of ethylene and jasmonic acid in the plant's response to infection by the soil
borne pathogen F. oxysporum f.sp. radicis-lycopersici (FORL), in the presence or
absence of isolate Fs-K. Mutant tomato lines Never ripe (Nr) and epinastic
(epi1), both impaired in ethylene-mediated plant responses, inoculated with FORL
are not protected by isolate Fs-K, indicating that the ethylene signalling
pathway is required for the mode of action used by the endophyte to confer
resistance. On the contrary, def1 mutants, affected in jasmonate biosynthesis,
show reduced susceptibility to FORL, in the presence Fs-K, which suggests that
jasmonic acid is not essential for the mediation of biocontrol activity of
isolate Fs-K.
PMID- 18048374
TI - Quantitative trait loci for flowering time and morphological traits in multiple
populations of Brassica rapa.
AB - Wide variation for morphological traits exists in Brassica rapa and the genetic
basis of this morphological variation is largely unknown. Here is a report on
quantitative trait loci (QTL) analysis of flowering time, seed and pod traits,
growth-related traits, leaf morphology, and turnip formation in B. rapa using
multiple populations. The populations resulted from crosses between the following
accessions: Rapid cycling, Chinese cabbage, Yellow sarson, Pak choi, and a
Japanese vegetable turnip variety. A total of 27 QTL affecting 20 morphological
traits were detected, including eight QTL for flowering time, six for seed
traits, three for growth-related traits and 10 for leaf traits. One major QTL was
found for turnip formation. Principal component analysis and co-localization of
QTL indicated that some loci controlling leaf and seed-related traits and those
for flowering time and turnip formation might be the same. The major flowering
time QTL detected in all populations on linkage group R02 co-localized with
BrFLC2. One major QTL, controlling turnip formation, was also mapped at this
locus. The genes that may underly this QTL and comparative analyses between the
four populations and with Arabidopsis thaliana are discussed.
PMID- 18048375
TI - Blood pressure and risk of renal cell carcinoma in the European prospective
investigation into cancer and nutrition.
AB - Elevated blood pressure has been implicated as a risk factor for renal cell
carcinoma (RCC), but prospective studies were confined to men and did not
consider the effect of antihypertensive medication. The authors examined the
relation among blood pressure, antihypertensive medication, and RCC in the
European Prospective Investigation into Cancer and Nutrition (EPIC). Blood
pressure was measured in 296,638 women and men, recruited in eight European
countries during 1992-1998, 254,935 of whom provided information on
antihypertensive medication. During a mean follow-up of 6.2 years, 250 cases of
RCC were identified. Blood pressure was independently associated with risk of
RCC. The relative risks for the highest versus the lowest category of systolic
(>/=160 mmHg vs. <120 mmHg) and diastolic (>/=100 mmHg vs. <80 mmHg) blood
pressures were 2.48 (95% confidence interval: 1.53, 4.02) and 2.34 (95%
confidence interval: 1.54, 3.55). Risk estimates did not significantly differ
according to sex or use of antihypertensive medication. Individuals taking
antihypertensive drugs were not at a significantly increased risk unless blood
pressure was poorly controlled. These results support the hypothesis that
hypertension, rather than its medications, increases the risk of RCC in both
sexes, while effective blood pressure control may lower the risk.
PMID- 18048376
TI - Familial patterns of preterm delivery: maternal and fetal contributions.
AB - Women who deliver preterm (<37 completed weeks' gestation) are at high risk for
recurrence. This has prompted exploration of candidate genes (both maternal and
fetal) associated with preterm delivery. Epidemiologists can use recurrence
patterns of preterm delivery across generations to assess the relative
contributions of maternal and fetal genes. The authors used data from the Medical
Birth Registry of Norway (1967-2004) to identify 191,282 mothers and 127,830
fathers who subsequently had at least one singleton offspring. The authors
stratified parents according to whether or not they had been born preterm and
calculated the risk of preterm delivery among their firstborn. Mothers born
preterm had a relative risk for preterm delivery of 1.54 (95% confidence interval
(CI): 1.42, 1.67). This association was weaker for fathers born preterm (relative
risk (RR) = 1.12, 95% CI: 1.01, 1.25). Among early preterm births (<35 weeks),
the effect became stronger for mothers (RR = 1.85, 95% CI: 1.52, 2.27) and weaker
for fathers (RR = 1.06, 95% CI: 0.77, 1.44). These data suggest that paternal
genes have little, if any, effect on preterm delivery risk. This argues against
major contributions of fetal genes inherited from either parent. The increased
risk of preterm delivery among mothers born preterm is consistent with heritable
maternal phenotypes that confer a propensity to deliver preterm.
PMID- 18048377
TI - Dietary patterns and risk of incident gastric adenocarcinoma.
AB - Few studies have assessed the relation between dietary patterns and gastric
adenocarcinoma risk, yet this approach has advantages over single-nutrient
analysis, including the ability to reflect eating patterns in populations and
ease of implementing dietary recommendations. The authors evaluated associations
between dietary patterns, a food index score, and incident gastric cancer risk in
a Canadian study of 1,169 cases and 2,332 controls (1995-1997). Dietary patterns
were assessed via factor analysis applied to a food frequency questionnaire. A
food index score was derived based on risk factors for gastric cancer. A
multivariable-adjusted prudent dietary pattern was associated with decreased risk
of gastric cancer in women (odds ratio (OR) = 0.58, 95% confidence interval (CI):
0.37, 0.92); a Western dietary pattern was associated with increased risk in
women (OR = 1.86, 95% CI: 1.20, 2.89) and men (OR = 1.44, 95% CI: 1.03, 2.02).
The food index score was associated with decreased risk among women (OR = 0.40,
95% CI: 0.27, 0.59) and men (OR = 0.63, 95% CI: 0.45, 0.88). Dietary patterns
especially characterized by Western features (soft drinks, processed meats,
refined grains, and sugars) were associated with increased risk of gastric
adenocarcinoma, whereas dietary patterns characterized by increased consumption
of fruits, vegetables, and fish were associated with lessened risk.
PMID- 18048378
TI - Brothers and reduction of the birth weight of later-born siblings.
AB - It has been speculated whether maternal immune responses against male-specific
minor histocompatibility (H-Y) antigens affect pregnancies negatively. This study
explores, on a population level, whether previous births of boys compared with
girls are associated with a decrease in birth weight of later-born siblings. The
population was identified in the Danish Birth Registry and consisted of all
Danish women who gave birth to their first-born singleton from 1980 to 1998. The
women were followed until 2004, and their subsequent births were recorded. A
total of 545,839 second- to fourth-born children were identified. The authors
used linear regression to analyze the association between sex of preceding
children and birth weight of subsequent siblings. Brothers compared with sisters
reduced the birth weight of later-born siblings. One or two brothers,
respectively, reduced the mean birth weight of later-born boys by 29 g (p =
0.0001) and 38 g (p = 0.0001) and later-born girls by 17 g (p = 0.0001) and 21 g
(p = 0.0001) compared with later-born siblings with no brothers. Part of this
association was due to a shorter gestation among later-born siblings with
brothers. An explanation for these results could be maternal immune reactions
directed against the H-Y antigens initiated during pregnancies with boys. The
findings might add to the understanding of both normal and pathologic
pregnancies.
PMID- 18048379
TI - Dissecting the heterogeneity of schizophrenia outcomes.
PMID- 18048380
TI - Editorial: research progress in early-onset schizophrenia.
AB - A substantial proportion of patients with schizophrenia experience the onset of
their illness by age 18. Data from phenomenological, cognitive, neuroimaging, and
genetic studies suggest a similar profile of clinical and neurobiological
abnormalities between early- and adult-onset patients. However, children and
adolescents with schizophrenia have been found to have more severe premorbid
neurodevelopmental abnormalities, worse long-term outcome, more cytogenetic
anomalies, and potentially greater loading of family histories for schizophrenia
and associated spectrum disorders than their adult counterparts. Together, these
data support a hypothesis that early-onset schizophrenia may reflect a more
severe form of the disorder associated with a greater genetic predisposition. It
is anticipated that future imaging and genetic studies of this cohort will
provide further insight into the neurodevelopmental origins of schizophrenia and
the complexity by which genetic and environmental factors interact to modulate
susceptibility and/or disease phenotype. The articles on this theme provide
updated findings from brain magnetic resonance imaging, neurocognition, and
clinical trials in this unique cohort.
PMID- 18048381
TI - Metastases to the breast: role of fine needle cytology samples. Our experience
with nine cases in 2 years.
AB - BACKGROUND: The increased survival due to the introduction of effective
antineoplastic regimens has caused a modification of the natural history of
numerous malignancies. Follow-up of neoplastic patients often includes the
evaluation of masses in various body sites by fine needle cytology (FNC) in order
to rule out cancer recurrence. Besides primary neoplasms, the breast can host a
number of metastases: these rarely do have a typical presentation, so FNC is
requested for their cytomorphological assessment. PATIENTS AND METHODS: This
report describes nine consecutive cases in which a cytopathological diagnosis of
metastasis to the breast was carried out on FNC samples. RESULTS: Primary sites
were identified on cytomorphological and immunocytochemical bases and were
represented by the ovary (three cases), melanoma (two cases), endocervix (one
case), endometrium (one case), lung (one case) and prostate (one case).
CONCLUSION: The cytopathological diagnosis of metastatic neoplasms to the breast
is not always straightforward, especially in the absence of a clinical history of
cancer. The usage of improved cytopathological criteria combined with
immunocytochemistry may be of great diagnostic help in the identification of
breast metastases.
PMID- 18048382
TI - Prognostic factors for hematotoxicity of chemotherapy in aggressive non-Hodgkin's
lymphoma.
AB - BACKGROUND: Little is known on the heterogeneity of hematotoxicity in patients
receiving multicycle chemotherapy. PATIENTS AND METHODS: We analyzed data of 1399
patients with aggressive lymphoma from trials using CHOP (combination
chemotherapy with cyclophosphamide, doxorubicin, vincristine and prednisone)-like
therapies. Multivariate modeling was carried out for leukocytopenia,
thrombocytopenia and anemia and the models were validated by two large
independent datasets from trials with/without usage of the CD20-antibody
rituximab. RESULTS: On the basis of these models, we are able to predict the
remarkable heterogeneity of hematotoxicity and propose to use risk groups.
Regarding leukocytopenia, the low toxicity risk group experienced World Health
Organization grade 4 in <10% of the cycles while the high toxicity risk group in
almost all cycles. For thrombocytopenia, groups were detectable with almost no
grade 3 or 4 toxicity and others where two out of three cycles were affected. In
a separate set of models, the first cycle toxicity was the strongest predictor
for later hematotoxicity. The risk for leukocytopenia was associated with
infections, antibiotic use, hospitalization and treatment-related mortality,
indicating the clinical usefulness of the models. For the first time, a Web-based
tool is made available to easily predict the hematotoxicity in clinical practice
(www.toxcalculator.com). CONCLUSION: This analysis has implications for patient
management and prophylaxis.
PMID- 18048383
TI - The long-term risks of adjuvant carboplatin treatment for stage I seminoma of the
testis.
AB - BACKGROUND: The use of adjuvant carboplatin in the management of stage I seminoma
of the testis has been limited by the lack of long-term data. In this study, we
address this issue for the first time. PATIENTS AND METHODS: Data on 199 patients
treated with single-agent carboplatin for stage I seminoma of the testis were
prospectively collected. Overall mortality, deaths from circulatory disease and
the incidence of second cancers were compared with expected values derived from
the UK general population. RESULTS: The median follow-up for the cohort was 9.0
years (range 0.1-20.1). There has been no excess in overall mortality
[standardised mortality ratio (SMR) 0.89; 95% CI 0.36-1.83], death from
circulatory diseases (SMR 1.44; 95% CI 0.39-3.69) or the incidence of second
nontestis cancers (standardised incidence ratio 0.96; 95% CI 0.26-2.45) in this
group of patients. These findings also applied to specific follow-up periods of
>5 or 10 years. Specifically, neither haematological nor solid nontestis tumours
occurred in excess. There was an increase in the long-term development of
contralateral testis cancers. CONCLUSIONS: This study addresses some of the
concerns surrounding the long-term safety of single-agent carboplatin. It also
helps in planning long-term follow-up for patients receiving this form of
treatment.
PMID- 18048384
TI - Resveratrol-induced apoptosis depends on the lipid kinase activity of Vps34 and
on the formation of autophagolysosomes.
AB - In human colorectal DLD1 cancer cells, the dietary bioflavonoid resveratrol (RV)
rapidly induced autophagy. This effect was reversible (on removal of the drug)
and was associated with increased expression and cytosolic redistribution of the
proteins Beclin1 and LC3 II. Supplementing the cells with asparagine (Asn)
abrogated the Beclin-dependent autophagy. When applied acutely (2 h), RV was not
toxic; however, reiterate chronic (48 h) exposure to RV eventually led to annexin
V- and terminal deoxinucleotidyl transferase-mediated dUTP-biotin nick end
labeling-positive cell death. This toxic effect was autophagy dependent, as it
was prevented either by Asn, by expressing a dominant-negative lipid kinase
deficient class III phosphoinositide 3-phosphate kinase, or by RNA interference
knockdown of Beclin1. Lamp2b silencing abolished the fusion of autophagosomes
with lysosomes and preserved cell viability despite the ongoing formation of
autophagosomes in cells chronically exposed to RV. The pan-caspase inhibitor
benzyloxycarbonyl-Val-Ala-Asp-fluoromethylketone inhibited RV-induced cell death,
but not autophagy. These results uncover a novel pathway of RV cytotoxicity in
which autophagy plays a dual role: (i) at first, it acts as a prosurvival stress
response and (ii) at a later time, it switches to a caspase-dependent apoptosis
pathway. The present data also indicate that genetic or epigenetic inactivation
of autophagy proteins in cancer cells may confer resistance to RV-mediated
killing.
PMID- 18048385
TI - Integrated analysis of chromosomal, microsatellite and epigenetic instability in
colorectal cancer identifies specific associations between promoter methylation
of pivotal tumour suppressor and DNA repair genes and specific chromosomal
alterations.
AB - Colorectal cancer (CRC) is a complex and heterogeneous disease in which genomic
instability and DNA promoter methylation play important roles. The aim of this
study was to investigate the relationship between chromosomal instability (CIN),
microsatellite instability (MSI) and promoter methylation of CRC-associated
genes. Therefore, 71 CRCs were analysed for CIN and MSI by comparative genomic
hybridization and the mononucleotide marker BAT-26, respectively. Promoter
methylation of the tumour suppressor and DNA repair genes hMLH1, O(6)-MGMT, APC,
p14(ARF), p16(INK4A), RASSF1A, GATA-4, GATA-5 and CHFR was analysed using
methylation-specific polymerase chain reaction. These integrative analyses showed
that in CIN+ CRCs, promoter methylation of GATA-4 and p16(INK4A) was inversely
related to chromosomal loss at 15q11-q21 and gain at 20q13, respectively (P
values: 3.8 x 10(-2) and 4.5 x 10(-2), respectively). Interestingly, promoter
methylation of RASSF1A, GATA-4, GATA-5 and CHFR, as well as a high methylation
index (MI), was positively related to chromosomal gain at 8q23-qter (P values:
1.5 x 10(-2), 3.8 x 10(-2), 3.9 x 10(-2), 4.9 x 10(-2) and 8.2 x 10(-3),
respectively). MSI was associated with BRAF mutation, promoter methylation of
hMLH1, APC and p16(INK4A) and a high MI (total number of methylated genes) (P
values: 2.4 x 10(-2), 2.5 x 10(-3), 1.8 x 10(-2), 4.6 x 10(-2) and 1.0 x 10(-2),
respectively). Therefore, we conclude that promoter methylation of pivotal tumour
suppressor and DNA repair genes is associated with specific patterns of
chromosomal changes in CRC, which are different from methylation patterns in MSI
tumours.
PMID- 18048386
TI - Overexpression of cyclin B1 in human esophageal squamous cell carcinoma cells
induces tumor cell invasive growth and metastasis.
AB - Cyclin B1, a key component in the control of cell cycle progression from G(2) to
M phase, has been implicated in tumorigenesis and the development of malignancy.
However, the underlying mechanism by which cyclin B1 acts as an important
oncogenic molecule remains largely unknown. Here we show that ectopic expression
of cyclin B1 promotes cell proliferation, enhances cell motility and migration
and results in increased ability of cells extravasating through the capillary
endothelium. Interestingly, isogenic esophageal squamous cell carcinoma (ESCC)
cells overexpressing cyclin B1 reveal strong invasive growth and high potential
of metastasis to lung in xenograft mice. Suppression of cyclin B1 expression via
small interfering RNA approach in high-metastatic esophagus carcinoma cells
specifically inhibits their ability to metastasize from the primary ESCC to lung.
Notably, altered expression of epithelial markers and mesenchymal markers were
observed in the cells overexpressing cyclin B1, suggesting that cyclin B1
contributes to metastasis probably by promoting an epithelial-mesenchymal
transition. These results establish a mechanistic link between cyclin B1 and ESCC
metastasis and provide novel insight into understanding of cyclin B1 in the
development of ESCC malignancy.
PMID- 18048387
TI - CDC6: from DNA replication to cell cycle checkpoints and oncogenesis.
AB - Cell division cycle 6 (CDC6) is an essential regulator of DNA replication in
eukaryotic cells. Its best-characterized function is the assembly of
prereplicative complexes at origins of replication during the G(1) phase of the
cell division cycle. However, CDC6 also plays important roles in the activation
and maintenance of the checkpoint mechanisms that coordinate S phase and mitosis,
and recent studies have unveiled its proto-oncogenic activity. CDC6
overexpression interferes with the expression of INK4/ARF tumor suppressor genes
through a mechanism involving the epigenetic modification of chromatin at the
INK4/ARF locus. In addition, CDC6 overexpression in primary cells may promote DNA
hyperreplication and induce a senescence response similar to that caused by
oncogene activation. These findings indicate that deregulation of CDC6 expression
in human cells poses a serious risk of carcinogenesis.
PMID- 18048388
TI - Downregulation of Dkk3 activates beta-catenin/TCF-4 signaling in lung cancer.
AB - Although the oncogenic role of the Wnt/beta-catenin pathway is well defined, it
remains unclear how this pathway is aberrantly activated in lung cancer. We found
that Dickkopf (Dkk)-3, a member of Dkk family of Wnt antagonists, is frequently
inactivated in lung cancer and plays a role in suppressing lung cancer cell
growth through inhibition of beta-catenin/T-cell factor (TCF)-4 signaling. Dkk3
is the only Dkk family member abundantly expressed in normal lung, but silenced
by promoter hypermethylation in a large fraction of lung cancer cell lines and
lung tumors. Downregulation of Dkk3 was correlated with tumor progression and
expression of nuclear beta-catenin in lung tumors. Ectopic expression of Dkk3 in
lung cancer cells with Dkk3 hypermethylation induced apoptosis and inhibited TCF
4 activity as well as nuclear accumulation of beta-catenin and expression of TCF
4 targets c-Myc and cyclin D1. Furthermore, small interference RNA knock down of
Dkk3 in cells lacking Dkk3 hypermethylation was sufficient to promote cell
proliferation, beta-catenin nuclear translocation and expression of c-Myc. These
observations suggested that epigenetic inactivation of Dkk3 activates the
Wnt/beta-catenin pathway, thereby promoting the growth of lung cancer cells.
PMID- 18048389
TI - In vitro and in vivo cytotoxic effects of PRIMA-1 on hepatocellular carcinoma
cells expressing mutant p53ser249.
AB - Hepatocellular carcinoma (HCC) is highly lethal due to limited curative options.
In high-incidence regions, such as parts of Africa and Southeastern Asia, >50% of
cases carry an AGG to AGT mutation at codon 249 of the TP53 gene, considered as a
'signature' of mutagenesis by aflatoxins. The protein product, p53ser249, may
represent a therapeutic target for HCC. The small molecule p53 reactivation and
induction of massive apoptosis (PRIMA)-1 has been shown to induce apoptosis in
tumour cells by reactivating the transactivation capacity of some p53 mutants. In
this study, we have investigated the cytotoxic effects of PRIMA-1 on HCC cells
expressing p53ser249. In p53-null Hep3B cells, over-expression of p53ser249 or
p53gln248 by stable transfection increased the cytotoxicity of PRIMA-1 at 50 muM.
Furthermore, PRIMA-1 treatment delayed the growth of p53ser249-expressing Hep3B
cells xenografted in severe combined immunodeficiency mice. However, PRIMA-1 did
not restore wild-type DNA binding and transactivation activities to p53ser249 or
to p53gln248 in Hep3B cells. Moreover, in PLC/PRF/5, a HCC cell line
constitutively expressing p53ser249, small interfering RNA (siRNA) silencing of
the mutant increased the cytotoxic effect of PRIMA-1. These apparently
contradictory effects can be reconciled by proposing that p53ser249 exerts a gain
of-function effect, which favours the survival of HCC cells. Thus, both
inhibition of this effect by PRIMA-1 and removal of the mutant by siRNA can lead
to the decrease of survival capacity of HCC cells.
PMID- 18048390
TI - Properties of the six isoforms of p63: p53-like regulation in response to
genotoxic stress and cross talk with DeltaNp73.
AB - TP63, a member of the TP53 gene family, encodes two groups of three isoforms
(alpha, beta and gamma). The TAp63 isoforms act as transcription factors. The
DeltaNp63 isoforms lack the main transcription activation domain and act as
dominant-negative inhibitors of transactivation (TA) isoforms. To clarify the
role of these isoforms and to better understand their functional overlap with
p53, we ectopically expressed each p63 isoform in the p53-null hepatocellular
carcinoma cell line Hep3B. All TA isoforms, as well as DeltaNp63alpha, had a half
life of <1 h when transiently expressed and were degraded by the proteasome
pathway. The most stable form was DeltaNp63gamma, with a half-life of >8 h. As
expected, TA isoforms differed in their transcriptional activities toward genes
regulated by p53, TAp63gamma being the most active form. In contrast, DeltaNp63
isoforms were transcriptionally inactive on genes studied and inhibited TA
isoforms in a dose-dependent manner. When stably expressed in polyclonal cell
populations, TAp63beta and gamma isoforms were undetectable. However, when
treated with doxorubicin (DOX), p63 proteins rapidly accumulated in the cells.
This stabilization was associated with an increase in phosphorylation.
Strikingly, in DOX-treated polyclonal populations, increase in TAp63 levels was
accompanied by overexpression of DeltaNp73. This observation suggests complex
regulatory cross talks between the different isoforms of the p53 family. In
conclusion, p63 exhibits several transcriptional and stress-response properties
similar to those of p53, suggesting that p63 activities should be taken into
consideration in approaches to improve cancer therapies based on genotoxic
agents.
PMID- 18048391
TI - SAGE library screening reveals ILT7 as a specific plasmacytoid dendritic cell
marker that regulates type I IFN production.
AB - Plasmacytoid dendritic cells (pDCs) link innate to acquired immune responses by
producing high levels of type I IFN upon infection. In order to identify the
specific genes that control pDC, we compared serial analysis of gene expression
libraries from human pDCs, herpes simplex virus-stimulated pDCs and monocytes. We
found that Ig-like transcript ILT7 is specifically expressed on pDC cell surfaces
and is down-regulated when pDC mature in response to viral or bacterial
stimulation. ILT7 expression on the cell surface required association with the Fc
epsilon RI gamma adaptor molecule. Although treatment with one anti-ILT7-specific
mAb suppressed type I IFN production in response to cytosine-phosphate-guanosice
(CpG) stimulation, another anti-ILT7 mAb up-regulated type I IFN production. We
conclude that ILT7 is a key regulator of human pDC function.
PMID- 18048392
TI - Is moderate drinking as effective as cholesterol lowering in reducing mortality
in high-risk coronary patients?
PMID- 18048393
TI - Prediction of both conserved and nonconserved microRNA targets in animals.
AB - MOTIVATION: MicroRNAs (miRNAs) are involved in many diverse biological processes
and they may potentially regulate the functions of thousands of genes. However,
one major issue in miRNA studies is the lack of bioinformatics programs to
accurately predict miRNA targets. Animal miRNAs have limited sequence
complementarity to their gene targets, which makes it challenging to build target
prediction models with high specificity. RESULTS: Here we present a new miRNA
target prediction program based on support vector machines (SVMs) and a large
microarray training dataset. By systematically analyzing public microarray data,
we have identified statistically significant features that are important to
target downregulation. Heterogeneous prediction features have been non-linearly
integrated in an SVM machine learning framework for the training of our target
prediction model, MirTarget2. About half of the predicted miRNA target sites in
human are not conserved in other organisms. Our prediction algorithm has been
validated with independent experimental data for its improved performance on
predicting a large number of miRNA down-regulated gene targets. AVAILABILITY: All
the predicted targets were imported into an online database miRDB, which is
freely accessible at http://mirdb.org.
PMID- 18048394
TI - TOM: enhancement and extension of a tool suite for in silico approaches to
multigenic hereditary disorders.
AB - The study of complex hereditary diseases is a very challenging area of research.
The expanding set of in silico approaches offers a flourishing ground for the
acceleration of meaningful findings in this area by exploitation of rich and
diverse sources of omic data. These approaches are cheap, flexible, extensible,
often complementary and can continuously integrate new information and tests to
improve the selection of genes responsible for hereditary diseases. Following
this principle, we improved and extended our web-service TOM for the
identification of candidate genes in the study of complex hereditary diseases.
AVAILABILITY: Our tool is freely available online at
http://www.micrel.deis.unibo.it/~tom/.
PMID- 18048395
TI - MutaGeneSys: estimating individual disease susceptibility based on genome-wide
SNP array data.
AB - We present MutaGeneSys: a system that uses genome-wide genotype data to estimate
disease susceptibility. Our system integrates three data sources: the
International HapMap project, whole-genome marker correlation data and the Online
Mendelian Inheritance in Man (OMIM) database. It accepts SNP data of individuals
as query input and delivers disease susceptibility hypotheses even if the
original set of typed SNPs is incomplete. Our system is scalable and flexible: it
produces population, technology and confidence-specific predictions in
interactive time. AVAILABILITY: Our system is available as an online resource at
http://magnet.c2b2.columbia.edu/mutagenesys/. Our findings have also been
incorporated into the HapMap Genome Browser as the OMIM_Disease_Associations
track.
PMID- 18048396
TI - An efficient strategy for extensive integration of diverse biological data for
protein function prediction.
AB - MOTIVATION: With the increasing availability of diverse biological information,
protein function prediction approaches have converged towards integration of
heterogeneous data. Many adapted existing techniques, such as machine-learning
and probabilistic methods, which have proven successful on specific data types.
However, the impact of these approaches is hindered by a couple of factors.
First, there is little comparison between existing approaches. This is in part
due to a divergence in the focus adopted by different works, which makes
comparison difficult or even fuzzy. Second, there seems to be over-emphasis on
the use of computationally demanding machine-learning methods, which runs counter
to the surge in biological data. Analogous to the success of BLAST for sequence
homology search, we believe that the ability to tap escalating quantity, quality
and diversity of biological data is crucial to the success of automated function
prediction as a useful instrument for the advancement of proteomic research. We
address these problems by: (1) providing useful comparison between some prominent
methods; (2) proposing Integrated Weighted Averaging (IWA)--a scalable, efficient
and flexible function prediction framework that integrates diverse information
using simple weighting strategies and a local prediction method. The simplicity
of the approach makes it possible to make predictions based on on-the-fly
information fusion. RESULTS: In addition to its greater efficiency, IWA performs
exceptionally well against existing approaches. In the presence of cross-genome
information, which is overwhelming for existing approaches, IWA makes even better
predictions. We also demonstrate the significance of appropriate weighting
strategies in data integration.
PMID- 18048397
TI - Mobius: an integrated discrete-event modeling environment.
AB - Mobius has found numerous applications in computational biology to build and
solve stochastic models of biological processes. It provides the user with a
modeling workflow and several sophisticated features that are not available in
the simulation tools commonly used by computational biologists. AVAILABILITY:
Mobius is free for academic users. It can be downloaded from www.mobius.uiuc.edu
PMID- 18048398
TI - Monte Carlo feature selection for supervised classification.
AB - MOTIVATION: Pre-selection of informative features for supervised classification
is a crucial, albeit delicate, task. It is desirable that feature selection
provides the features that contribute most to the classification task per se and
which should therefore be used by any classifier later used to produce
classification rules. In this article, a conceptually simple but computer
intensive approach to this task is proposed. The reliability of the approach
rests on multiple construction of a tree classifier for many training sets
randomly chosen from the original sample set, where samples in each training set
consist of only a fraction of all of the observed features. RESULTS: The
resulting ranking of features may then be used to advantage for classification
via a classifier of any type. The approach was validated using Golub et al.
leukemia data and the Alizadeh et al. lymphoma data. Not surprisingly, we
obtained a significantly different list of genes. Biological interpretation of
the genes selected by our method showed that several of them are involved in
precursors to different types of leukemia and lymphoma rather than being genes
that are common to several forms of cancers, which is the case for the other
methods. AVAILABILITY: Prototype available upon request.
PMID- 18048399
TI - A bias in ML estimates of branch lengths in the presence of multiple signals.
AB - Sequence data often have competing signals that are detected by network programs
or Lento plots. Such data can be formed by generating sequences on more than one
tree, and combining the results, a mixture model. We report that with such
mixture models, the estimates of edge (branch) lengths from maximum likelihood
(ML) methods that assume a single tree are biased. Based on the observed number
of competing signals in real data, such a bias of ML is expected to occur
frequently. Because network methods can recover competing signals more
accurately, there is a need for ML methods allowing a network. A fundamental
problem is that mixture models can have more parameters than can be recovered
from the data, so that some mixtures are not, in principle, identifiable. We
recommend that network programs be incorporated into best practice analysis,
along with ML and Bayesian trees.
PMID- 18048400
TI - Widespread evolutionary conservation of alternatively spliced exons in
Caenorhabditis.
AB - Alternative splicing (AS) contributes to increased transcriptome and proteome
diversity in various eukaryotic lineages. Previous studies showed low levels of
conservation of alternatively spliced (cassette) exons within mammals and within
dipterans. We report a strikingly different pattern in Caenorhabditis nematodes
more than 92% of cassette exons from Caenorhabditis elegans are conserved in
Caenorhabditis briggsae and/or Caenorhabditis remanei. High levels of
conservation extend to minor-form exons (present in a minority of transcripts)
and are particularly pronounced for exons showing complex patterns of splicing.
The functionality of the vast majority of cassette exons is underscored by
various other features. We suggest that differences in conservation between
lineages reflect differences in levels of functionality and further suggest that
these differences are due to differences in intron length and the strength of
consensus boundaries across lineages. Finally, we demonstrate an inverse
relationship between AS and gene duplication, suggesting that the latter may be
primarily responsible for the emergence of new functional transcripts in
nematodes.
PMID- 18048401
TI - Duplication of accelerated evolution and growth hormone gene in passerine birds.
AB - We report the discovery of a duplication of the growth hormone (GH) gene in a
major group of birds, the passerines (Aves: Passeriformes). Phylogenetic analysis
of 1.3-kb partial DNA sequences of GH genes for 24 species of passerines and
numerous outgroups indicates that the duplication occurred in the ancestral
lineage of extant passerines. Both duplicates and their open-reading frames are
preserved throughout the passerine clade, and both duplicates are expressed in
the zebra finch brain, suggesting that both are likely to be functional. The
estimated rates of amino acid evolution are more than 10-fold higher in passerine
GH genes than in those of their closest nonpasserine relatives. In addition,
although the 84 codons sequenced are generally highly conserved for both
passerines and nonpasserines, comparisons of the nonsynonymous/synonymous
substitution ratios and the rate of predicted amino acid changes indicate that
the 2 gene duplicates are evolving under different selective pressures and may be
functionally divergent. The evidence of differential selection, coupled with the
preservation of both gene copies in all major lineages since the origin of
passerines, suggests that the duplication may be of adaptive significance, with
possible implications for the explosive diversification of the passerine clade.
PMID- 18048402
TI - Codon usage in mitochondrial genomes: distinguishing context-dependent mutation
from translational selection.
AB - We analyze the frequencies of synonymous codons in animal mitochondrial genomes,
focusing particularly on mammals and fish. The frequencies of bases at 4-fold
degenerate sites are found to be strongly influenced by context-dependent
mutation, which causes correlations between pairs of neighboring bases. There is
a pattern of excess of certain dinucleotides and deficit of others that is
consistent across large numbers of species, despite the wide variation of single
nucleotide frequencies among species. In many bacteria, translational selection
is an important influence on codon usage. In order to test whether translational
selection also plays a role in mitochondria, we need to control for context
dependent mutation. Selection for translational accuracy can be detected by
comparison of codon usage in conserved and variable sites in the same genes. We
give a test of this type that works in the presence of context-dependent
mutation. There is very little evidence for translational accuracy selection in
the mitochondrial genes considered here. Selection for translational efficiency
might lead to preference for codons that match the limited repertoire of
anticodons on the mitochondrial tRNAs. This is difficult to detect because the
effect would usually be in the same direction in comparable to codon families and
so would not cause an observable difference in codon usage between families.
Several lines of evidence suggest that this type of selection is weak in most
cases. However, we found several cases where unusual bases occur at the wobble
position of the tRNA, and in these cases, some evidence for selection on codon
usage was found. We discuss the way that these unusual cases are associated with
codon reassignments in the mitochondrial genetic code.
PMID- 18048403
TI - Phylogenetic comparison of huntingtin homologues reveals the appearance of a
primitive polyQ in sea urchin.
AB - Huntingtin is a completely soluble 3,144 amino acid (aa) protein characterized by
the presence of an amino-terminal polymorphic polyglutamine (polyQ) tract, whose
aberrant expansion causes the progressively neurodegenerative Huntington's
disease (HD). Biological evidence indicates that huntingtin (htt) is beneficial
to cells (particularly to brain neurons) and that loss of its neuronal function
may contribute to HD. The exact protein domains involved in its neuroprotective
function are unknown. Evolutionary analyses of htt primary aa have so far been
limited to a few species, but its thorough assessment may help to clarify the
functions emerging during evolution. We made an extensive comparative analysis of
the available htt protein homologues from different organisms along the metazoan
phylogenetic tree and defined the presence of 3 different conservative blocks
corresponding to human htt aa 1-386 (htt1), 683-1,586 (htt2), and 2,437-3,078
(htt3), in which HEAT (Huntingtin, Elongator factor3, the regulatory A subunit of
protein phosphatase 2A, and TOR1) repeats are well conserved. We also describe
the cloning and sequencing of sea urchin htt mRNA, the oldest deuterostome
homologue so far available. Multiple alignment shows the first appearance of a
primitive polyQ in sea urchin, which predates an ancestral polyQ sequence in a
nonchordate environment and defines the polyQ characteristic as being typical of
the deuterostome branch. The fact that glutamines have conserved positions in
deuterostomes and the polyQ size increases during evolution suggests that the
protein has a possibly Q-dependent role. Finally, we report an evident relaxing
constraint of the N-terminal block in Ciona and drosophilids that correlates with
the absence of polyQ and which may indicate that the N-terminal portion of htt
has evolved different functions in Ciona and protostomes.
PMID- 18048404
TI - Multiple recombining loci encode MaSp1, the primary constituent of dragline silk,
in widow spiders (Latrodectus: Theridiidae).
AB - Spiders spin a functionally diverse array of silk fibers, each composed of one or
more unique proteins. Most of these proteins, in turn, are encoded by members of
a single gene family thought to have arisen through duplication and divergence of
an ancestral silk gene. Because of its remarkable mechanical properties, orb
weaver dragline silk, a composite of 2 proteins (MaSp1 and MaSp2), is the best
studied. Here, we demonstrate that multiple loci encode MaSp1 in widow spiders
(Latrodectus). Because these copies may be the result of more recent duplication
events than those leading to the currently recognized silk gene paralogs, they
offer insight into the early evolutionary fate of silk gene duplicates. In
addition to 3 presumed functional MaSp1 loci in Latrodectus hesperus (Western
black widow) and Latrodectus geometricus (brown widow) genomes, we find a MaSp1
pseudogene in L. hesperus, demonstrating the potential for unrecognized
extinction of silk gene paralogs. We also document recombination events among L.
hesperus MaSp1 loci and between Latrodectus MaSp1 loci and MaSp2. This result
supports the hypothesis that concerted evolution occurs not only within an
individual silk gene but also among silk gene paralogs. One of the L. geometricus
MaSp1 copies encodes a protein that has diverged in amino acid composition and
potentially converged on the secondary structure of MaSp2. Based on the presence
of multiple MaSp1 loci and the phylogenetic distribution of MaSp1 versus MaSp2,
we propose that MaSp2 is derived from an ancestral MaSp1 duplicate. Finally,
divergence has occurred in the upstream flanking sequences of the L. hesperus
MaSp1 loci, the region most likely to contain regulatory motifs, providing ample
opportunity for differential expression. However, the benefits associated with
increased protein production may be the primary mechanism maintaining multiple
functional MaSp1 copies in widow genomes.
PMID- 18048405
TI - Sensitive quantitation of isoglobotriaosylceramide in the presence of isobaric
components using electrospray ionization-ion trap mass spectrometry.
AB - Isoglobotriaosylceramide (iGb3) is a stimulatory antigen for a unique type of T
cell, Natural Killer T cells. Produced in the lysosomal compartment by mammalian
antigen-presenting cells, iGb3 is one of the few clearly identified carbohydrate
ligands for biological receptors. A major source of glycoconjugate structural
diversity arises from the possibility of forming different linkages between the
same monosaccharide units. Globotriaosylceramide (Gb3) exists as a natural isomer
for iGb3, and both isomers are frequently found together in mixtures of
glycosphingolipids extracted from mammalian cell membranes. Discriminating these
isomers has been feasible using monoclonal antibodies raised against specific
carbohydrate epitopes, or by unambiguous structural characterization, which
requires relatively large amounts of pure compounds isolated from grams, or tens
of grams, of biological samples. However, the precise detection of iGb3 from
small amounts of biological samples, where it may be mixed with Gb3 present in
much higher abundance, is a prerequisite for answering further important
biological questions such as stimulation of NKT cells. Here we describe a
specific and sensitive method based on ion trap mass spectrometry to discriminate
iGb3 from Gb3. We also demonstrate its application to quantifying the amount of
iGb3 in a prototype antigen-presenting cell, rat RBL-CD1d cells, using a
chemically synthesized short N-acyl chain iGb3 as internal standard. This
methodology may have wide implications for functional glycosphingolipidomics of
immune cells and glycosphingolipid biomarker analysis.
PMID- 18048406
TI - Susceptibility to coronary artery disease and diabetes is encoded by distinct,
tightly linked SNPs in the ANRIL locus on chromosome 9p.
AB - Genome-wide association studies have identified a region on chromosome 9p that is
associated with coronary artery disease (CAD). The region is also associated with
type 2 diabetes (T2D), a risk factor for CAD, although different SNPs were
reported to be associated to each disease in separate studies. We have undertaken
a case-control study in 4251 CAD cases and 4443 controls in four European
populations using previously reported ('literature') and tagging SNPs. We
replicated the literature SNPs (P = 8x10(-13); OR = 1.29; 95% CI: 1.20-1.38) and
showed that the strong consistent association detected by these SNPs is a
consequence of a 'yin-yang' haplotype pattern spanning 53 kb. There was no
evidence of additional CAD susceptibility alleles over the major risk haplotype.
CAD patients without myocardial infarction (MI) showed a trend towards stronger
association than MI patients. The CAD susceptibility conferred by this locus did
not differ by sex, age, smoking, obesity, hypertension or diabetes. A
simultaneous test of CAD and diabetes susceptibility with CAD and T2D-associated
SNPs indicated that these associations were independent of each other. Moreover,
this region was not associated with differences in plasma levels of low-density
lipoprotein cholesterol, high-density lipoprotein cholesterol, fibrinogen,
albumin, uric acid, bilirubin or homocysteine, although the CAD-high-risk allele
was paradoxically associated with lower triglyceride levels. A large antisense
non-coding RNA gene (ANRIL) collocates with the high-risk haplotype, is expressed
in tissues and cell types that are affected by atherosclerosis and is a prime
candidate gene for the chromosome 9p CAD locus.
PMID- 18048407
TI - Comprehensive analysis of the role of DNA repair gene polymorphisms on risk of
glioma.
AB - Much of the variation in inherited risk of glioma is likely to be explained by
combinations of common low risk variants. The established relationship between
glioma risk and exposure to ionizing radiation led us to examine whether variants
in the DNA repair genes contribute to disease susceptibility. We evaluated 1127
haplotype-tagging single-nucleotide polymorphisms (SNPs) supplemented with 388
putative functional SNPs to capture most of the common variation in 136 DNA
repair genes, in five unique case-control series from four different countries
(1013 cases, 1016 controls). We identified 16 SNPs associated with glioma risk at
the 1% significance level. The highest association observed across the five
independent case-control datasets involved rs243356, which maps to intron 3 of
CHAF1A (trend odds ratio, 1.32; 95% confidence interval 1.14-1.54; P = 0.0002;
false-positive report probability = 0.055, based on a prior probability of 0.01).
Our results provide additional support for the hypothesis that low penetrance
variants contribute to the risk of developing glioma and suggest that a genetic
variant located in or around the CHAF1A gene contributes to disease risk.
PMID- 18048408
TI - Haemoglobin S and haemoglobin C: 'quick but costly' versus 'slow but gratis'
genetic adaptations to Plasmodium falciparum malaria.
AB - Haemoglobin S (HbS; beta6Glu-->Val) and HbC (beta6Glu-->Lys) strongly protect
against clinical Plasmodium falciparum malaria. HbS, which is lethal in
homozygosity, has a multi-foci origin and a widespread geographic distribution in
sub-Saharan Africa and Asia whereas HbC, which has no obvious CC segregational
load, occurs only in a small area of central West-Africa. To address this
apparent paradox, we adopted two partially independent haplotypic approaches in
the Mossi population of Burkina Faso where both the local S (S(Benin)) and the C
alleles are common (0.05 and 0.13). Here we show that: both C and S(Benin) are
monophyletic; C has accumulated a 4-fold higher recombinational and DNA slippage
haplotypic variability than the S(Benin) allele (P = 0.003) implying higher
antiquity; for a long initial lag period, the C alleles did apparently remain
very few. These results, consistent with epidemiological evidences, imply that
the C allele has been accumulated mainly through a recessive rather than a
semidominant mechanism of selection. This evidence explains the apparent paradox
of the uni-epicentric geographic distribution of HbC, representing a 'slow but
gratis' genetic adaptation to malaria through a transient polymorphism, compared
to the polycentric 'quick but costly' adaptation through balanced polymorphism of
HbS.
PMID- 18048409
TI - Reactivity of integrin-linked kinase in human mesothelial cell proliferation.
AB - Integrin-linked kinase (ILK) is a protein kinase that links integrins and growth
factors to a range of signalling pathways. ILK expression and activity are
increased in a variety of human cancers. However, little is known regarding the
role of ILK in malignant pleural mesothelioma (MPM). In this study, we assessed
the expression of ILK in samples of human MPM, and compared it with the
expression of epidermal growth factor receptor (EGFR). Thirty-four samples of
human malignant mesothelioma were stained with a polyclonal antibody against ILK.
Two independent observers evaluated the morphological pattern and intensity of
staining. The findings have been compared with the patient's characteristics.
Most MPM and mesothelial cell proliferation samples (87.9%) showed cytoplasmic
ILK staining of varying intensity. Normal mesothelial cells and normal lung
parenchyma did not stain for ILK at all. Conversely, the percentage of positive
EGFR staining was somewhat lower (75.8%). The ILK-positive patients were
significantly older than the ILK-negative patients. Here we report for the first
time that ILK is indeed expressed in malignant mesothelioma. For further
validation of a causal association between ILK and neoplastic mesothelial
transformation, these immunohistochemical results should be supplemented with
clinical and molecular biological data.
PMID- 18048410
TI - Radical surgery for malignant pleural mesothelioma: results and prognosis.
AB - The role of surgical treatment for malignant pleural mesothelioma (MPM) continues
to be controversial. We carried out a retrospective review of the prognosis in
patients who had radical surgery for MPM. Of 87 consecutive patients on whom
surgical exploration for biopsy-proven MPM was performed, 31 patients underwent
extrapleural pneumonectomy (EPP) and 34 patients underwent
pleurectomy/decortication (P/D). Sixty-five patients having EPP or P/D included
58 men (89%). The median age was 60 years (range 35-78) and the histologic type
was epithelial in 48 patients (74%). IMIG staging classification was p-stage I
disease in eight patients (12%), p-stage II in 13 (20%), p-stage III in 40 (62%)
and p-stage IV in 4 (6%). Operative mortality was 3.2% for EPP and none for P/D.
The median and 3-year survivals after EPP were 13 months and 33% whereas those
after P/D were 17 months and 24%, respectively. A multivariate analysis
demonstrated that older age (P=0.0467), non-epithelial histology (P=0.0057) and p
stage III-IV disease (P=0.0019), but not gender, side, surgical procedure, were
significant independent negative prognostic factors. Although P/D appears to be
acceptable in early stages, we encourage EPP, en bloc resection without entering
the pleural cavity with intent for curability, which provides oncologically
complete resection of all disease.
PMID- 18048411
TI - Calcium efflux as a component of the hypersensitive response of Nicotiana
benthamiana to Pseudomonas syringae.
AB - Using a model plant Nicotiana benthamiana, we have demonstrated that initial
calcium uptake in response to the HR (hypersensitive response)-causing pathogen
Pseudomonas syringae pv syringae 61 is followed by net calcium efflux initiated
at about 12 h after the bacterial challenge and sustained for at least 48 h. Our
data suggest that calcium not only acts as an important second messenger in the
activation of resistance responses but may also be a downstream mediator of later
cell death acceleration and completion of the defense reaction. Accordingly, we
propose that the existing model of HR should be amended to include a PM Ca(2+)
ATP pump as an important component of the HR to pathogens in plants.
PMID- 18048412
TI - DrugBank: a knowledgebase for drugs, drug actions and drug targets.
AB - DrugBank is a richly annotated resource that combines detailed drug data with
comprehensive drug target and drug action information. Since its first release in
2006, DrugBank has been widely used to facilitate in silico drug target
discovery, drug design, drug docking or screening, drug metabolism prediction,
drug interaction prediction and general pharmaceutical education. The latest
version of DrugBank (release 2.0) has been expanded significantly over the
previous release. With approximately 4900 drug entries, it now contains 60% more
FDA-approved small molecule and biotech drugs including 10% more 'experimental'
drugs. Significantly, more protein target data has also been added to the
database, with the latest version of DrugBank containing three times as many non
redundant protein or drug target sequences as before (1565 versus 524). Each
DrugCard entry now contains more than 100 data fields with half of the
information being devoted to drug/chemical data and the other half devoted to
pharmacological, pharmacogenomic and molecular biological data. A number of new
data fields, including food-drug interactions, drug-drug interactions and
experimental ADME data have been added in response to numerous user requests.
DrugBank has also significantly improved the power and simplicity of its
structure query and text query searches. DrugBank is available at
http://www.drugbank.ca.
PMID- 18048413
TI - The dual control of TFIIB recruitment by NC2 is gene specific.
AB - Negative co-factor 2 (NC2) is a conserved eukaryotic complex composed of two
subunits, NC2alpha (Drap1) and NC2beta (Dr1) that associate through a histone
fold motif. In this work, we generated mutants of NC2, characterized target genes
for these mutants and studied the assembly of NC2 and general transcription
factors on target promoters. We determined that the two NC2 subunits mostly
function together to be recruited to DNA and regulate gene expression. We found
that NC2 strongly controls promoter association of TFIIB, both negatively and
positively. We could attribute the gene-specific repressor effect of NC2 on TFIIB
to the C-terminal domain of NC2beta, and define that it requires ORF sequences of
the target gene. In contrast, the positive function of NC2 on TFIIB targets is
more general and requires adequate levels of the NC2 histone-fold heterodimer on
promoters. Finally, we determined that NC2 becomes limiting for TATA-binding
protein (TBP) association with a heat inducible promoter under heat stress. This
study demonstrates an important positive role of NC2 for formation of the pre
initiation complex on promoters, under normal conditions through control of
TFIIB, or upon activation by stress via control of TBP.
PMID- 18048414
TI - The RNA-dependent RNA polymerase essential for post-transcriptional gene
silencing in Neurospora crassa interacts with replication protein A.
AB - Post-transcriptional gene silencing (PTGS) pathways play a role in genome defence
and have been extensively studied, yet how repetitive elements in the genome are
identified is still unclear. It has been suggested that they may produce aberrant
transcripts (aRNA) that are converted by an RNA-dependent RNA polymerase (RdRP)
into double-stranded RNA (dsRNA), the essential intermediate of PTGS. However,
how RdRP enzymes recognize aberrant transcripts remains a key question. Here we
show that in Neurospora crassa the RdRP QDE-1 interacts with Replication Protein
A (RPA), part of the DNA replication machinery. We show that both QDE-1 and RPA
are nuclear proteins and that QDE-1 is specifically recruited onto the repetitive
transgenic loci. We speculate that this localization of QDE-1 could allow the in
situ production of dsRNA using transgenic nascent transcripts as templates, as in
other systems. Supporting a link between the two proteins, we found that the
accumulation of short interfering RNAs (siRNAs), the hallmark of silencing, is
dependent on an ongoing DNA synthesis. The interaction between QDE-1 and RPA is
important since it should guide further studies aimed at understanding the
specificity of the RdRP and it provides for the first time a potential link
between a PTGS component and the DNA replication machinery.
PMID- 18048415
TI - Mechanisms of covalent self-assembly of the Azoarcus ribozyme from four fragment
oligonucleotides.
AB - RNA oligomers of length 40-60 nt can self-assemble into covalent versions of the
Azoarcus group I intron ribozyme. This process requires a series of recombination
reactions in which the internal guide sequence of a nascent catalytic complex
makes specific interactions with a complement triplet, CAU, in the oligomers.
However, if the CAU were mutated, promiscuous self-assembly may be possible,
lessening the dependence on a particular set of oligomer sequences. Here, we
assayed whether oligomers containing mutations in the CAU triplet could still
self-construct Azoarcus ribozymes. The mutations CAC, CAG, CUU and GAU all
inhibited self-assembly to some degree, but did not block it completely in 100 mM
MgCl(2). Oligomers containing the CAC mutation retained the most self-assembly
activity, while those containing GAU retained the least, indicating that
mutations more 5' in this triplet are the most deleterious. Self-assembly systems
containing additional mutant locations were progressively less functional.
Analyses of properly self-assembled ribozymes revealed that, of two recombination
mechanisms possible for self-assembly, termed 'tF2' and 'R2F2', the simpler one
step 'tF2' mechanism is utilized when mutations exist. These data suggest that
self-assembling systems are more facile than previously believed, and have
relevance to the origin of complex ribozymes during the RNA World.
PMID- 18048416
TI - ATR-dependent pathways control hEXO1 stability in response to stalled forks.
AB - Nucleases play important roles in DNA synthesis, recombination and repair. We
have previously shown that human exonuclease 1 (hEXO1) is phosphorylated in
response to agents stalling DNA replication and that hEXO1 consequently undergoes
ubiquitination and degradation in a proteasome-dependent manner. In the present
study, we have addressed the identity of the pathway transducing stalled
replication signals to hEXO1. Using chemical inhibitors, RNA interference, ATM-
and ATR-deficient cell lines we have concluded that hEXO1 phosphorylation is ATR
dependent. By means of mass spectrometry, we have identified the sites of
phosphorylation in hEXO1 in undamaged cells and in cells treated with hydroxyurea
(HU). hEXO1 is phosphorylated at nine basal sites and three additional sites are
induced by HU treatment. Analysis of single- and multiple-point mutants revealed
that mutation to Ala of the three HU-induced sites of phosphorylation partially
rescued HU-dependent degradation of hEXO1 and additionally stabilized the protein
in non-treated cells. We have raised an antibody to pS(714), an HU-induced site
of the S/T-Q type, and we provide evidence that S(714) is phosphorylated upon HU
but not IR treatment. The antibody may be a useful tool to monitor signal
transduction events triggered by stalled DNA replication.
PMID- 18048417
TI - Interplay of ion binding and attraction in DNA condensed by multivalent cations.
AB - We have measured forces generated by multivalent cation-induced DNA condensation
using single-molecule magnetic tweezers. In the presence of cobalt hexammine,
spermidine, or spermine, stretched DNA exhibits an abrupt configurational change
from extended to condensed. This occurs at a well-defined condensation force that
is nearly equal to the condensation free energy per unit length. The multivalent
cation concentration dependence for this condensation force gives the apparent
number of multivalent cations that bind DNA upon condensation. The measurements
show that the lower critical concentration for cobalt hexammine as compared to
spermidine is due to a difference in ion binding, not a difference in the
electrostatic energy of the condensed state as previously thought. We also show
that the resolubilization of condensed DNA can be described using a traditional
Manning-Oosawa cation adsorption model, provided that cation-anion pairing at
high electrolyte concentrations is taken into account. Neither overcharging nor
significant alterations in the condensed state are required to describe the
resolubilization of condensed DNA. The same model also describes the
spermidine3+/Na+ phase diagram measured previously.
PMID- 18048419
TI - Evidence for sustained renal hypoxia and transient hypoxia adaptation in
experimental rhabdomyolysis-induced acute kidney injury.
AB - BACKGROUND: Indirect evidence suggests that hypoxia contributes to the
pathophysiology of rhabdomyolysis-induced acute kidney injury (AKI). However, the
cellular location and kinetics of hypoxia, as well as potential hypoxia
adaptation are unclear. METHODS: Rhabdomyolysis was induced in rats by IM
glycerol (GLY) injection, which largely recapitulates the full clinical syndrome.
Additional rats received IV myoglobin (MYO), in order to assess the contribution
of MYO per se. We performed immunohistochemistry for hypoxia markers
[pimonidazole (PIM) adducts and hypoxia-inducible factors (HIFs)] and the cell
protective HIF target gene heme oxygenase-1 (HO-1). Furthermore, we sought a
potential negative feedback loop to terminate HIF activation, driven by HIF
prolyl-hydroxylase-2 (PHD-2). RESULTS: In GLY, progressive tubular injury, mainly
of proximal tubules (PT), developed over time, but its extent was heterogeneous.
PIM, HIFalpha and HO-1 were all absent in controls, but strongly positive in GLY,
with a specific spatio-temporal pattern. In PT, (a) PIM was detectable throughout
the study with a maximum at 6 h, (b) HIF was activated only at 3 h and (c) HO-1
and PHD-2 appeared at 6 h and persisted at a lower level at 24 h. Apart from
tubular cast formation, MYO did not cause overt tissue damage, but led to strong
activation of HIFs, in a pattern similar to 3 h of GLY. CONCLUSIONS: Our data
suggest that renal hypoxia occurs in rhabdomyolysis, and that MYO, at least
partly, contributes to hypoxia generation. Since in the most affected tubules
transcriptional hypoxia adaptation is transient and inhomogeneous, pharmacologic
HIF enhancement holds the potential to improve outcome in rhabdomyolysis-induced
AKI.
PMID- 18048420
TI - Dialysis-related systemic microinflammation is associated with specific genomic
patterns.
AB - BACKGROUND: Although several reports have focused on the clinical importance of
the systemic microinflammatory state in the uraemic population, the relationship
between the activation of a specific transcriptome and the development of this
condition is still not completely defined. METHODS: Thirty haemodialysis (HD), 30
peritoneal dialysis (PD) and 30 chronic kidney disease (CKD) patients were
enrolled in our study. For all patients, serum C-reactive protein (CRP) and
ferritin levels were determined. In addition, the expression level of 234
inflammatory responses and oxidative stress pathway genes was measured, using
oligonucleotide microarray chips (HG-U133A, Affymetrix), in peripheral blood
mononuclear cells of 24 randomly selected patients (8 HD, 8 PD and 8 CKD).
RESULTS: HD patients demonstrated higher CRP and ferritin levels compared to PD
and CKD patients (P < 0.001). Statistical analysis identified 10 genes able to
discriminate CKD from HD and PD patients (FDR = 5%, P < 0.001) and significantly
correlated to CRP levels. All together, these genes were able to predict
inflammation with an accuracy of 87% (P < 0.001). Among the selected genes there
were those encoding for key regulators of inflammation and oxidative stress (e.g.
RELA, GSS). Interestingly, only three inflammatory genes (MIF, IL8RB and CXCL12)
were still significantly associated with inflammation when included in a
multivariate analysis. RT-PCR for RELA, MIF, CXCL12 and western blots for IL8RB
and GSS, using 66 patients, validated the microarray results. CONCLUSIONS: This
study may help to better understand the physiopathology of the systemic
inflammatory state in CKD and dialysis patients and to identify new target genes
potentially useful for future bio-molecular studies and therapeutic approaches.
PMID- 18048421
TI - Acute effects of low and high intravenous doses of furosemide on myocardial
function in anuric haemodialysis patients: a tissue Doppler study.
AB - BACKGROUND: In patients with pulmonary oedema and preserved renal function,
furosemide has not only a renal, but also a vascular effect, causing a rapid fall
in left ventricular filling pressure accompanied by an increase in venous
compliance. Previous studies have shown conflicting findings regarding the
vascular effects of furosemide in patients with end-stage renal disease (ESRD).
The objective of our study was to investigate whether furosemide induces changes
in central cardiac haemodynamics in anuric ESRD patients, using conventional
echocardiography and colour tissue Doppler velocity imaging (TVI), a new
quantitative and sensitive method. METHODS: Repeated low doses (40 mg followed by
an additional dose of 40 mg after 30 min) of i.v. furosemide were administered to
12 (61.6 +/- 16 years, 7 men) and a high dose (250 mg) of i.v. furosemide to 6
(64.1 +/- 3.6 years, 5 men) clinically stable anuric haemodialysis (HD) patients.
Conventional two-dimensional echocardiography and colour TVI images were recorded
immediately before (0 min) the furosemide infusion in both groups, and in the
group receiving the repeated low-dose infusion (at 0 and 30 min), 10, 20, 30, 40,
50 and 70 min after the administration of the first infusion. In the group
receiving the single high dose of furosemide the ultrasound investigation was
repeated 10, 20, 30 and 40 min after the infusion. The myocardial tissue
velocities (v; cm/s) for isovolumetric contraction (IVC), peak systole (PS),
early (E') and late (A') myocardial diastolic filling velocities were measured in
the left ventricle (LV) at six sites (infero-septal, antero-lateral, inferior,
anterior, infero-lateral and antero-septal walls) at the basal region. IVC time
(IVCT), IV relaxation time (IVRT), PS time (PSt), RR interval, mitral annulus
motion (MAM), strain rate (SR), left ventricular filling pressure (E/E') and
cardiac output were also measured. The average of the different walls was used to
evaluate global function. Right ventricle (RV) dynamics was evaluated from
measurements of IVC velocity (IVCv), peak systolic velocity (PSv), E' and A' from
the RV free wall. RESULTS: No significant changes in cardiac output, IVCv, PSv,
SR, MAM, E', A', E'/A', IVRT and LV filling pressure were observed, indicating
that neither 40 mg (plus additional 40 mg after 30 min) nor 250 mg of furosemide
had any measurable effects on LV filling pressure and LV and RV systolic and
diastolic function. CONCLUSIONS: In anuric HD patients, low and high doses of
furosemide had no significant effects on central cardiac haemodynamics.
Therefore, the use of furosemide infusion in anuric ESRD patients with acute
pulmonary oedema is not supported by the results of this study.
PMID- 18048422
TI - Overexpression of PKD2 in the mouse is associated with renal tubulopathy.
AB - Polycystin-2 (PC-2), a cation channel of the Trp family, is involved in autosomal
dominant polycystic kidney disease (ADPKD) type 2 (ADPKD2). This protein has
recently been localized to the primary cilium where its channel function seems to
be involved in a mechanosensory phenomenon. However, its biological function is
not totally understood, especially in tubule formation. In the present paper, we
describe a mouse model for human PC-2 overexpression, obtained by inserting a
human bacterial artificial chromosome (BAC) containing the PKD2 gene. Three lines
were generated, expressing different levels of PKD2. One line, PKD2-Y, has been
explored in more detail and we will present physiological and molecular
exploration of these transgenic animals. Our data demonstrate that transgenic
animals older than 12 months present tubulopathy with proteinuria and failure to
concentrate urine. Moreover, the kidney cortex has been found disorganized.
Finally, we observe that extracellular matrix protein expression is downregulated
in these animals. In conclusion, overexpression of human PKD2 leads to anomalies
in tubular function, probably due to abnormalities in tubule morphogenesis.
PMID- 18048423
TI - Glomerular endothelium in kidneys with congenital nephrotic syndrome of the
Finnish type (NPHS1).
AB - BACKGROUND: The role of glomerular capillary endothelium in the pathophysiology
of nephrotic kidney diseases is poorly known. We analysed the glomerular
endothelial lesions in kidneys from patients with congenital nephrotic syndrome
of the Finnish type (NPHS1). The disorder is caused by a genetic defect in a
major podocyte slit diaphragm protein, nephrin. It manifests as nephrotic
syndrome soon after birth and leads to glomerular sclerosis in early childhood.
METHODS: The glomerular capillary and endothelial cell lesions in NPHS1 kidneys
nephrectomized at infancy were studied by electron and light microscopy,
immunohistochemistry and cytokine antibody array. RESULTS: Mesangial expansion
and capillary obliteration were evident in practically all NPHS1 glomeruli. No
thrombus formation was detected by fibrin staining. Electron microscopy revealed
endothelial blebs (endotheliosis). The endothelial fenestration and the
attachment of endothelial cells to the basement membrane were, however, quite
normal. This fits to the abundant expression of a vascular endothelial growth
factor (VEGF) and its transcription factor, hypoxia-inducible factor-1alpha (HIF
1alpha), in NPHS1 glomer- uli. The proliferative activity of the intracapillary
cells was modest and no apoptosis was detected. The expression of an endothelial
adhesion molecule, intercellular adhesion molecule 1 (ICAM-1) and several
chemokines was upregulated in NPHS1 glomeruli as compared to adult control
kidneys. The recruitment of leukocytes carrying ligands for the major endothelial
adhesion molecules, however, was modest in the mesangial area of NPHS1 glomeruli.
CONCLUSIONS: The findings indicate that the glomerular endothelium is quite
resistant to the nephrotic state in NPHS1 kidneys and underscores the importance
of mesangial cells in the progression of glomerular sclerosis.
PMID- 18048425
TI - Treatment with the xanthine oxidase inhibitor febuxostat lowers uric acid and
alleviates systemic and glomerular hypertension in experimental hyperuricaemia.
AB - BACKGROUND: Experimentally-induced hyperuricaemia [due to inhibition of uricase
with oxonic acid (OA)] in rats causes hypertension and renal alterations which
can be prevented by lowering uric acid (UA) with allopurinol. Febuxostat (Fx), an
investigational, nonpurine and selective xanthine oxidase inhibitor, is a more
effective UA-lowering agent than allopurinol. We therefore tested the hypothesis
that Fx might be useful in treating hyperuricemia-induced hypertension and renal
damage. METHODS: Four groups of male rats were studied: OA (750 mg/kg by daily
gavage) was given for 8 weeks and Fx (5-6 mg/kg/day in drinking water; OA+Fx: n =
10) or placebo (OA+P: n = 11) were administered for 4 weeks beginning at 4 weeks
after initiation of the study. Two groups of normal (N) rats were studied as
controls (N+P and N+Fx: n = 10/group). Systolic blood pressure (SBP) and fasting
plasma UA were measured in all animals at baseline and at 4 and 8 weeks.
Glomerular haemodynamics by micropuncture techniques were determined at 8 weeks
followed by histological evaluation of glomerular and afferent arteriole
morphologies. RESULTS: In OA-induced hyperuricaemic rats, Fx lowered UA and
ameliorated systemic and glomerular hypertension as well as mesangial matrix
expansion and the development of preglomerular arteriolar disease as indicated by
a reduction of the arteriolar area and media-to-lumen ratio. In normal rats, Fx
tended to lower UA and had no effect on blood pressure, renal hemodynamics and
afferent arteriole morphology. CONCLUSION: These results suggest that Fx merits
further evaluation for the treatment of hypertension and renal alterations
induced by hyperuricaemia.
PMID- 18048424
TI - Guanidino compounds after creatine supplementation in renal failure patients and
their relation to inflammatory status.
AB - BACKGROUND: Specific guanidino compounds have been described as uraemic toxins
and their concentrations are increased in renal failure due to dimished
glomerular filtration, whereas the guanidino compound creatine is used as a
performance-enhancing substance in athletes. The present study investigates the
effects of creatine supplementation on plasma guanidino compounds in a chronic
haemodialysis population. METHODS: Twenty male haemodialysis patients were
included in a placebo-controlled cross-over trial. Patients were treated with
creatine (2 g/day) or placebo during two treatment periods of 4 weeks, separated
by a washout of 4 weeks. Plasma guanidino compounds and routine biochemical
parameters were determined, as well as the prognostic inflammatory and
nutritional index (PINI). RESULTS: Upon creatine supplementation,
guanidinoacetate concentrations decreased by 15%, due to inhibition of creatine
synthesis. Concentrations of alpha-keto-delta-guanidinovaleric acid increased
three-fold and argininic acid concentrations doubled. Guanidinosuccinate
concentrations did not change, but correlated inversely with CRP (r = -0.736; P =
0.001), PINI-score (r = -0.716; P = 0.002) and correlated positively with plasma
urea concentration (r = 0.54; P = 0.02). CONCLUSIONS: Creatine supplementation in
haemodialysis patients significantly altered the concentration of specific
guanidino compounds. Guanidinosuccinate correlated positively with plasma urea
and negatively with inflammation markers.
PMID- 18048426
TI - Off-the-shelf in-shoe heel inserts: does cost matter?
AB - OBJECTIVE: A growing exercise culture has lead to an increase in the use of off
the-shelf heel inserts. While there are a variety of designs in a spectrum of
cost ranges, probably the ease of availability and cost would mainly determine
the choice of purchase. This study was designed to determine whether expensive
designs provide better pressure attenuation under the heel than their less
expensive counterparts. PARTICIPANTS AND DESIGN: Six brands of off-the-shelf heel
inserts were tested. Selection of these was based purely on their availability in
all sizes. Cost per pair ranged from 6 pounds sterling to 30 pounds sterling.
Thirty-five asymptomatic subjects walked on a 10 m walkway, once with no inserts
and once with each pair of inserts. The Pedar in-shoe system recorded a range of
parameters under the heel. SETTING: Institute of Motion Analysis and Research,
Ninewells Hospital and Medical School, University of Dundee. MAIN OUTCOME
MEASURES: Evaluation of plantar pressure parameters under the heel. RESULTS: All
inserts reduced peak pressure under the heel. Maximum force and pressure-time
integral also decreased. Contact time generally increased with the use of
inserts. Values of contact area with and without inserts were comparable.
CONCLUSIONS: No significant differences were observed under the heel between the
pressure attenuation properties of the lowest-priced and the most expensive
designs, and hence the less expensive inserts can be considered as good as the
expensive brands. However, the endurance power of these inserts may differ and
this should be evaluated.
PMID- 18048427
TI - Osteoporotic fracture in an elite male Kenyan athlete.
AB - An elite Kenyan runner presented with a tibial fracture sustained during an
international cross-country race. There was no clear history of symptoms
suggestive of preceding overload and no radiological features of stress fracture.
He was found to have sustained an osteoporotic, insufficiency fracture. There are
no previous case reports of an osteoporotic fracture in a male athlete. Possible
aetiologies and directions for future investigation are presented.
PMID- 18048428
TI - Injuries to junior club cricketers: the effect of helmet regulations.
AB - OBJECTIVE: Despite the popularity of cricket at the junior community level, few
studies have described injuries for this level of play. This study describes the
epidemiology of cricket injuries in junior club cricket across three playing
seasons to identify priorities for prevention. DESIGN: Prospective on-field
injury data collection during match observation of acute injuries. Pre and post
observational evaluation of mandatory helmet wearing. SETTING: The Sutherland
Shire Junior Cricket Association, New South Wales, Australia during the 2002-03,
2003-04 and 2004-05 playing seasons. PARTICIPANTS: All junior teams (Under 8 (U8)
U16). INTERVENTION: Compulsory headgear introduced for all batters before the
2004-05 season. MAIN OUTCOME MEASURES: Frequency of injury according to age
level, grade of play and playing position, and injury rates per 100 registered
players. RESULTS: 155 injuries were reported. No U8 player sustained an injury,
and injury frequency increased with age. Traditional cricket was associated with
more injuries than modified cricket. At each age level, the most skilled players
had the lowest frequency of injury. Overall, batting accounted for 49% of all
injuries and 29% occurred when fielding; contact with a moving ball was
responsible for 55% of injuries. The most commonly injured body region was the
face (20%), followed by the hand (14%). In batters, the frequency of
head/neck/facial injuries fell from 62% in 2002/03 to 35% in 2003-04 to just 4%
in 2004-05 after headgear use was compulsory. CONCLUSIONS: Injury rates in junior
players are low, but increase with age and level of play. Use of protective
headgear, particularly by batters, leads to a significant reduction in injuries.
PMID- 18048429
TI - IOC consensus statement: "training the elite child athlete".
PMID- 18048430
TI - Specific diving training-induced arterial circulation changes.
AB - OBJECTIVE: Several stressors such as cold water immersion, hyperoxic exposure and
decompression-induced circulating bubbles can alter arterial circulation after a
dive. The aim of this study was to investigate the arterial modifications induced
by a specific diving training including repeated hyperbaric exposures and
physical training. METHOD: Arterial pressure measurement and pulse wave velocity
(PWV) recordings were performed in 12 student military divers before and after 15
weeks' training. The results were compared with the same investigations performed
in 12 non-diver healthy subjects. RESULTS: A decrease in systolic blood pressure
and pulse pressure was observed at both upper and lower limbs in student military
divers after the training. Non-significant decreases in both carotido-femoral PWV
and carotido-pedal PWV were found after the training. When the pulse time transit
was divided by the cardiac cycle length between two R peaks ((RR) interval), a
significant increase was observed between the carotid and femoral sensors. On the
other hand, some differences were noticed between military divers and controls.
Controls and divers were matched appropriately according to age and height,
although the divers had a higher aerobic capacity as well as lower resting heart
rate and lower pulse wave velocity. CONCLUSION: In trained military subjects, a
training which includes repeated diving exposures and endurance exercises leads
to vascular modifications suggesting an increase in central arterial compliance.
There was no sign of arterial alteration induced by repeated diving exposures.
PMID- 18048431
TI - Physiotherapists' use of information in identifying a concussion: an extended
Delphi approach.
AB - OBJECTIVE: To determine the relative importance of signs and symptoms that a
selected cohort of sports physiotherapists use to identify a sports concussion.
METHODS: A two-round Delphi methodology was used to achieve consensus in a cohort
of 21 sports physiotherapists. A subsequent round involving an educational
intervention provided the participants with an opportunity to modify their
knowledge base through the provision of a relevant resource article. RESULTS:
Participants provided 123 responses, which were grouped into eight descriptive
categories with consensus (>80%) being reached for the importance of:
cognition/orientation, memory, motor dysfunction and state of consciousness. The
category "state of consciousness" remained the most important information source
at the completion of the study. CONCLUSION: Participants placed considerable
importance on the player's level of consciousness in their decision making. This
would appear to be in conflict with recent trends to place greater importance on
the role of symptoms in identifying a concussion.
PMID- 18048432
TI - Decrease in body fat during an ultra-endurance triathlon is associated with race
intensity.
AB - OBJECTIVE: To investigate whether adipose subcutaneous tissue or skeletal muscle
mass decreased during a non-stop ultra-endurance triathlon. DESIGN: Descriptive
field study. SETTING: The Triple Iron Triathlon Germany 2006 in Lensahn: 11.6 km
swimming, 540 km cycling and 126.6 km running. SUBJECTS: 17 male Caucasian
triathletes, mean (SD) age 39.2 (7.5) years, height 178 (5) cm, body mass 80.7
(8.9) kg and body mass index (BMI) 25.4 (2.4) kg/m(2). INTERVENTIONS: None. MAIN
OUTCOME MEASUREMENTS: Determination of body mass, skin-fold thicknesses, limb
circumference, skeletal muscle mass and percentage body fat in order to show
changes after the race. RESULTS: A significant decrease was shown for body mass
(p<0.001), BMI (p<0.001) and calculated percentage body fat (p<0.001) whereas
skeletal muscle mass did not change significantly (p>0.05). Circumferences of the
thigh, upper arm and calf did not decrease significantly (p>0.05), whereas all
skin-fold thicknesses decreased significantly (p<0.05), with the exception of
those at the chest and thigh. A significant correlation was found between the
loss of percentage body fat and the loss of body mass (p<0.01, r(2) = 0.55) as
well as change in percentage body fat with race performance (p<0.05, r(2) =
0.24). CONCLUSIONS: Ultra-endurance triathletes at the Triple Iron Triathlon
Germany 2006 showed a significant decrease in body mass and percentage body fat,
where decrease in percentage body fat was associated with race intensity.
PMID- 18048433
TI - Short-term glucocorticoid intake combined with intense training on performance
and hormonal responses.
AB - OBJECTIVE: To investigate the effects of short-term prednisolone ingestion
combined with intense training on exercise performance, hormonal
(adrenocorticotrophic hormone (ACTH), prolactin, luteinising hormone (LH), growth
hormone (GH), thyroid-stimulating hormone (TSH), dehydroepiandrosterone (DHEA),
testosterone, insulin) and metabolic parameters (blood glucose, lactate,
bicarbonate, pH). METHODS: Eight male recreational athletes completed four
cycling trials at 70-75% peak O(2) consumption until exhaustion just before (1)
and after (2) either oral placebo or prednisolone (60 mg/day for 1 week)
treatment coupled with standardised physical training (2 hours/day), according to
a double-blind and randomised protocol. Blood samples were collected at rest,
during exercise and passive recovery for the hormonal and metabolic
determinations. RESULTS: Time of cycling was not significantly changed after
placebo but significantly increased (p<0.05) after prednisolone administration
(50.4 (6.2) min for placebo 1, 64.0 (9.1) min for placebo 2, 56.1 (9.1) min for
prednisolone 1 and 107.0 (20.7) min for prednisolone 2). There was no significant
difference in any measured parameters after the week of training with placebo but
a decrease in ACTH, DHEA, PRL, GH, TSH and testosterone was seen with
prednisolone treatment during the experiment (p<0.05). No significant change in
basal, exercise or recovery LH, insulin, lactate, pH or bicarbonate was found
between the two treatment, but blood glucose was significantly higher under
prednisolone (p<0.05) at all time points. CONCLUSION: Short-term glucocorticoid
administration induced a marked improvement in endurance performance. Further
studies are needed to determine whether these results obtained in recreational
male athletes maintaining a rigorous training schedule are gender-dependent and
applicable to elite athletes.
PMID- 18048434
TI - Autonomic and behavioural thermoregulation in tennis.
AB - OBJECTIVES: This report describes physiological and behavioural mechanisms behind
the control of body temperature and thermal comfort during competitive singles
tennis. METHODS: Thermoregulatory responses and workload were observed during
"best of three sets" tennis matches among 25 players. In total, 94 matches were
played in ambient temperatures ranging from 14.5 to 38.4 degrees C. The thermal
environment was assessed by dry bulb, wet bulb and natural wet bulb temperatures,
globe temperature and wind speed. Core body and skin temperatures were recorded
each minute throughout the match, and body mass and fluid intake were measured
before the match, after 30 minutes of play and at the completion of the match to
determine sweat rate. Subjective ratings of thermal strain included thermal
comfort, sweatiness and perceived exertion. Workload observations included match,
game and point durations, and the proportion of match time spent in play
(effective playing time). RESULTS: Change in rectal temperature was positively
correlated with point duration (p<0.001) and effective playing time (p<0.05).
Sweat rate showed positive associations with air (p<0.0001), rectal (p<0.03) and
skin (p<0.0001) temperature. Thermal comfort was reduced with increasing rectal
(p<0.03) and skin (p<0.0001) temperature. Point duration and effective playing
time were reduced when conditions were rated increasingly difficult (p<0.002 and
p<0.0002, respectively). CONCLUSION: Autonomic (increase in sweat rate) and
behavioural (reduction in workload) thermoregulation are responsible for the
control of body temperature and thermal comfort during tennis.
PMID- 18048435
TI - Effect of Ganoderma lucidum capsules on T lymphocyte subsets in football players
on "living high-training low".
AB - OBJECTIVE: G lucidum is a popular Chinese herb with an impressive array of
reputed health benefits. The purpose of this study was to provide information
related to the modulating effect of G lucidum capsules on T lymphocyte subsets in
football players during a 28-day "living high-training low "(LHTL) trial and
their possible mechanism of action. METHODS: Forty male football players were
randomly assigned to four groups: control (living at sea level), LHTL1, LHTL2 and
LHTL3. The three LHTL groups had stayed in normobaric hypoxic rooms for 28 days.
The four groups trained together at sea level. LHTL1, LHTL2 and LHTL3 groups were
provided with placebo, G lucidum 10 capsules/day and G lucidum 20 capsules/day,
respectively, for 6 weeks (2 weeks baseline supplementation followed by 28 days
of the treatment protocol). Lymphocyte subsets were quantitated using flow
cytometry. RESULTS: In the LHTL1 group, when the CD4+/CD8+ ratio was expressed as
relative changes from the baseline, a significant decrease was seen following the
28-day trial compared to the baseline. Furthermore, a significant decrease was
observed between LHTL1 and control groups at 21 days. In the LHTL2 group, the
relative change of CD4+/CD8+ ratio from the baseline was significantly lower at
28 days compared with the pretrial baseline. Generally, in the LHTL3 group there
was a trend for the per cent changes of the CD4+/CD8+ ratio from thebaseline to
be higher than the values for the LHTL1 andLHTL2 groups, but this was not
significant. CONCLUSION: LHTL could affect T lymphocyte subsets significantly as
a result of the two simultaneous stimuli of physical activity and exposure to
hypoxia. The ingestion of G lucidum in the LHTL3 group could help to ameliorate
the variation of the CD4+/CD8+ ratio in LHTL training, and polysaccharides from G
lucidum might be the main active components for the cell-mediated immune
function.
PMID- 18048436
TI - Energy expenditure during a single-handed transatlantic yacht race.
AB - BACKGROUND: The popularity of sports that expose people to consecutive days of
high-intensity physical activity continues to increase. The ability to adequately
nourish the human body to sustain the required level of competitive performance
may be a key contributor to success in such events. METHODS: The energy
expenditure of a male competitor in a single-handed, transatlantic race (Transat
2004) was assessed using the doubly-labelled water technique. RESULTS: Mean total
daily energy expenditure (TDEE) during the race (13 days) was 14.5 MJ/day with a
peak expenditure of 18.6 MJ during the most physically demanding 24-hour period.
DISCUSSION: This mean TDEE was approximately 25% lower than that reported in a
previous study (14.5 vs. 19.3 MJ/day) for a 13-day leg of a fully crewed offshore
race. The difference in results was probably due to the fact that in the previous
study, the crew operated in "watches" (work shifts), affording each crew member
greater opportunity to eat, rest and sleep. Effective planning and efficient
management of resources is essential to the success of the solo sailor. However,
the extent to which maintenance of energy balance underpins competitive success
remains to be established. To maintain energy balance during the race, a mean
daily energy intake of 14.5 MJ/day was necessary for the subject in this study.
However, this mean value for energy intake would have been inadequate to match
the peak energy expended during the most physically demanding 24 hours of the
race.
PMID- 18048437
TI - Joint loading modality: its application to bone formation and fracture healing.
AB - Sports-related injuries such as impact and stress fractures often require a
rehabilitation programme to stimulate bone formation and accelerate fracture
healing. This review introduces a recently developed joint loading modality and
evaluates its potential applications to bone formation and fracture healing in
post-injury rehabilitation. Bone is a dynamic tissue whose structure is
constantly altered in response to its mechanical environments. Indeed, many
loading modalities can influence the bone remodelling process. The joint loading
modality is, however, able to enhance anabolic responses and accelerate wound
healing without inducing significant in situ strain at the site of bone formation
or fracture healing. This review highlights the unique features of this loading
modality and discusses its potential underlying mechanisms as well as possible
clinical applications.
PMID- 18048438
TI - Injury rate and socioeconomic costs resulting from sports injuries in Flanders:
data derived from sports insurance statistics 2003.
AB - OBJECTIVE: This study determines the injury rate (%) and the associated direct
medical and indirect costs of sports injuries in Flanders. SETTING:
Epidemiological cohort designs and a human capital method were set up to measure
respectively the medical direct and indirect cost of sports injuries.
PARTICIPANTS: 72 out of 82 Flemish sports federations participated. INTERVENTION:
Insurance statistics from 2003 were used to determine the overall rate of injury
and injury localisations. Using these data, the medical direct cost and the
impact sports injuries have on indirect costs were estimated. The indirect costs
were determined by multiplying the days of absence from work with the daily cost
resulting from a loss of production, being 200 euros. MAIN OUTCOME: The total
direct medical cost extrapolated for the Flemish sports participants was
15,027,423 euros, which amounted to 0.07% to 0.08% of the total budget spent on
healthcare. The indirect cost extrapolated for the Flemish sports participants
was 111,420,813 euros, which is about 3.4% of the costs arising from absenteeism
from work. RESULTS: Of the 14 in-depth analysed sports, the rate of injury was
highest in European team handball (8.96%; 95% confidence interval (CI) 8.95-8.96)
and lowest in swimming (0.62%; 95% CI 0.62-0.62). The highest direct medical cost
was found for anterior cruciate ligament (ACL) injuries (1358 euros per injury)
and the lowest for foot injuries (52 euros per injury). CONCLUSION: The costs
calculated in this study could become critical statistics in medical care
debates. Data obtained here will enable a cost-benefit analysis of the impact of
preventive measures to be made.
PMID- 18048439
TI - Evaluating SafeClub: can risk management training improve the safety activities
of community soccer clubs?
AB - OBJECTIVE: To evaluate a sports safety-focused risk-management training
programme. DESIGN: Controlled before and after test. SETTING: Four community
soccer associations in Sydney, Australia. PARTICIPANTS: 76 clubs (32
intervention, 44 control) at baseline, and 67 clubs (27 intervention, 40 control)
at post-season and 12-month follow-ups. INTERVENTION: SafeClub, a sports safety
focused risk-management training programme (3x2 hour sessions) based on adult
learning principles and injury-prevention concepts and models. MAIN OUTCOME
MEASURES: Changes in mean policy, infrastructure and overall safety scores as
measured using a modified version of the Sports Safety Audit Tool. RESULTS: There
was no significant difference in the mean policy, infrastructure and overall
safety scores of intervention and control clubs at baseline. Intervention clubs
achieved higher post-season mean policy (11.9 intervention vs 7.5 controls),
infrastructure (15.2 vs 10.3) and overall safety (27.0 vs 17.8) scores than did
controls. These differences were greater at the 12-month follow-up: policy (16.4
vs 7.6); infrastructure (24.7 vs 10.7); and overall safety (41.1 vs 18.3).
General linear modelling indicated that intervention clubs achieved statistically
significantly higher policy (p<0.001), infrastructure (p<0.001) and overall
safety (p<0.001) scores compared with control clubs at the post-season and 12
month follow-ups. There was also a significant linear interaction of time and
group for all three scores: policy (p<0.001), infrastructure (p<0.001) and
overall safety (p<0.001). CONCLUSIONS: SafeClub effectively assisted community
soccer clubs to improve their sports safety activities, particularly the
foundations and processes for good risk-management practice, in a sustainable
way.
PMID- 18048440
TI - Running performance, not anthropometric factors, is associated with race success
in a Triple Iron Triathlon.
AB - OBJECTIVES: To investigate the influence of anthropometric parameters on race
performance in ultra-endurance triathletes. DESIGN: Descriptive field study.
SETTING: The Triple Iron Triathlon Germany 2006 in Lensahn over 11.6 km swimming,
540 km cycling and 126.6 km running. SUBJECTS: 17 male Caucasian triathletes
(mean (SD) 39.2 (7.5) years, 80.7 (8.9) kg, 178 (5) cm, BMI 25.4 (2.4) kg/m(2)).
INTERVENTIONS: None. MAIN OUTCOME MEASUREMENTS: Determination of body mass, body
height, skin fold thicknesses, circumferences of extremities, as well as
calculation of body mass index (BMI), skeletal muscle mass (SM), per cent SM
(%SM) and per cent body fat (%BF) in order to correlate measured and calculated
anthropometric parameters with race performance. RESULTS: Body mass, body height,
skin fold thicknesses, circumferences of extremities, BMI, %SM and %BF had no
effect (p>0.05) on race performance. No significant correlation (p>0.05) was
observed between total race time and any of the directly measured and calculated
anthropometric properties. A significant correlation (p<0.05) was observed
between total race time and both running time (r(2) = 0.87) and cycling time
(r(2) = 0.62). In contrast, no significant correlation (p>0.05) was shown between
swimming time and total race time. CONCLUSIONS: There is no significant
association between anthropometric parameters and race performance in ultra
endurance triathletes. Running performance rather than cycling performance seems
to be the most important factor in order to be successful in a Triple Iron
Triathlon. Swimming performance seems to be of low importance.
PMID- 18048441
TI - Walking and primary prevention: a meta-analysis of prospective cohort studies.
AB - OBJECTIVE: To quantify the association between walking and the risk of
cardiovascular disease (CVD) and all-cause mortality in healthy men and women.
DATA SOURCES: Medline, Cochrane Database of Systematic Reviews, and Web of
Science databases were searched to May 2007. STUDY SELECTION: Prospective
epidemiological studies of walking and CVD and all-cause mortality. RESULTS: 18
prospective studies were included in the overall analysis, which incorporated 459
833 participants free from CVD at baseline with 19 249 cases at follow-up. From
the meta-analysis the pooled hazard ratio of CVD in the highest walking category
compared with the lowest was 0.69, (95% CI 0.61 to 0.77, p<0.001), and 0.68 (0.59
to 0.78, p<0.001) for all-cause mortality. These effects were robust among men
and women, although there was evidence of publication biases for the associations
with CVD risk. Walking pace was a stronger independent predictor of overall risk
compared with walking volume (48% versus 26% risk reductions, respectively).
There was also evidence of a dose-response relationship across the highest,
intermediate, and lowest walking categories in relation to the outcome measures.
CONCLUSIONS: The results suggest walking is inversely associated with clinical
disease endpoints and largely support the current guidelines for physical
activity. The mechanisms that mediate this relationship remain largely unknown
and should be the focus of future research.
PMID- 18048442
TI - The relationship between changes in interstitial creatine kinase and game-related
impacts in rugby union.
AB - AIM: The primary purpose of this study was to investigate the relationship
between the pre-game to post-game changes in creatine kinase concentration
(Delta[CK]) and impact-related game statistics in elite rugby union players.
METHODS: Twenty-three elite male rugby union players each provided interstitial
fluid samples obtained via electrosonophoresis (ESoP) 210 min before and within a
maximum time of 30 min after up to five rugby union games. Specific game
statistics that were deemed to be important in determining the relationship
between impact and [CK] were obtained from AnalyRugby software for each
individual player. Regression equations to predict Delta[CK] from game statistics
were created using a backwards random-effects maximum likelihood regression.
RESULTS: The Delta[CK] (mean (SD)) from pre-game to post-game was 926.8 (204.2)
IU. Game time and time defending were significantly correlated to Delta[CK] in
both the forwards and backs. The predicted Delta[CK] (mean (95% confidence
limit)) was 1439.8 (204.9) IU for the forwards and 545.3 (78.0) IU for the backs
and was significantly correlated with the actual Delta[CK] (r = 0.69 and r =
0.74). CONCLUSIONS: CK increased from pre-game to post-game in a position
specific manner. A large proportion of the Delta[CK] can be explained by physical
impact and thus can be predicted using a prescribed number of game statistics. As
the Delta[CK] is an indicator of muscle damage, the prediction of Delta[CK]
provides a theoretical basis for recovery strategies and adjustment of subsequent
training sessions after rugby union games.
PMID- 18048443
TI - Thermoregulatory responses during competitive singles tennis.
AB - OBJECTIVES: To provide examples of thermoregulatory responses during competitive
singles tennis and comparisons with continuous, steady-state running. METHODS:
Typical examples of body core (rectal) temperature, skin temperature and heart
rate were selected to show the differing characteristics of tennis and running,
and the corresponding thermal environments. Rectal and skin temperatures were
logged each minute and heart rate logged every 15 seconds throughout the
competitive "best of three sets" singles tennis matches and 60-minute continuous,
steady-state running trials. Tennis matches were completed outdoors in widely
varying thermal environments, and the running trials were completed in the
laboratory under stable conditions. RESULTS: Rectal temperature in tennis was
raised only slightly above resting levels, reaching a plateau relative to the
exercise intensity. Rectal temperature during tennis was found to take longer to
reach a plateau than continuous, steady-state exercise. Skin temperature during
tennis varied widely depending on environmental air temperature, and was lower
than that of runners at the same air temperature. Heart rate was very similar
between opponents for both average and response characteristics during tennis. A
wider range and higher peak values were found for tennis players compared with
runners. CONCLUSIONS: This report provides a descriptive account of
thermoregulatory response characteristics during singles tennis. Differences
between outdoor tennis and continuous, steady-state running in the laboratory for
each of these responses were found.
PMID- 18048444
TI - Isolated proximal tibiofibular joint dislocation in an elite rugby union player.
AB - The case is presented of a professional international rugby union player who
sustained an isolated proximal tibiofibular dislocation in a training ground
injury. Diagnosis was made based on clinical details, plain radiography and
magnetic resonance imaging. An initial attempt at closed reduction failed. Open
reduction and internal fixation were subsequently carried out. Following early
rehabilitation, the patient made a successful try-scoring return to international
rugby union.
PMID- 18048445
TI - The key to top-level endurance running performance: a unique example.
PMID- 18048447
TI - An Il-lumen-ating look at ryanodine receptor modulation by disruption in triadin
and calsequestrin interactions in cardiac myocytes.
PMID- 18048446
TI - Imaging of opioid receptors in the central nervous system.
AB - In vivo functional imaging by means of positron emission tomography (PET) is the
sole method for providing a quantitative measurement of mu-, kappa and delta
opioid receptor-mediated signalling in the central nervous system. During the
last two decades, measurements of changes to the regional brain opioidergic
neuronal activation--mediated by endogenously produced opioid peptides, or
exogenously administered opioid drugs--have been conducted in numerous chronic
pain conditions, in epilepsy, as well as by stimulant- and opioidergic drugs.
Although several PET-tracers have been used clinically for depiction and
quantification of the opioid receptors changes, the underlying mechanisms for
regulation of changes to the availability of opioid receptors are still unclear.
After a presentation of the general signalling mechanisms of the opioid receptor
system relevant for PET, a critical survey of the pharmacological properties of
some currently available PET-tracers is presented. Clinical studies performed
with different PET ligands are also reviewed and the compound-dependent findings
are summarized. An outlook is given concluding with the tailoring of tracer
properties, in order to facilitate for a selective addressment of dynamic changes
to the availability of a single subclass, in combination with an optimization of
the quantification framework are essentials for further progress in the field of
in vivo opioid receptor imaging.
PMID- 18048448
TI - Spike timing-dependent plasticity: a learning rule for dendritic integration in
rat CA1 pyramidal neurons.
AB - Long-term plasticity of dendritic integration is induced in parallel with long
term potentiation (LTP) or depression (LTD) based on presynaptic activity
patterns. It is, however, not clear whether synaptic plasticity induced by
temporal pairing of pre- and postsynaptic activity is also associated with
synergistic modification in dendritic integration. We show here that the spike
timing-dependent plasticity (STDP) rule accounts for long-term changes in
dendritic integration in CA1 pyramidal neurons in vitro. Positively correlated
pre- and postsynaptic activity (delay: +5/+50 ms) induced LTP and facilitated
dendritic integration. Negatively correlated activity (delay: -5/-50 ms) induced
LTD and depressed dendritic integration. These changes were not observed
following positive or negative pairing with long delays (> +/-50 ms) or when NMDA
receptors were blocked. The amplitude-slope relation of the EPSP was facilitated
after LTP and depressed after LTD. These effects could be mimicked by voltage
gated channel blockers, suggesting that the induced changes in EPSP waveform
involve the regulation of voltage-gated channel activity. Importantly, amplitude
slope changes induced by STDP were found to be input specific, indicating that
the underlying changes in excitability are restricted to a limited portion of the
dendrites. We conclude that STDP is a common learning rule for long-term
plasticity of both synaptic transmission and dendritic integration, thus
constituting a form of functional redundancy that insures significant changes in
the neuronal output when synaptic plasticity is induced.
PMID- 18048449
TI - Functional role of cyclic nucleotide-gated channels in rat medial vestibular
nucleus neurons.
AB - Although cyclic nucleotide-gated (CNG) channels are expressed in numerous brain
areas, little information is available on their functions in CNS neurons. The aim
of the present study was to define the distribution of CNG channels in the rat
medial vestibular nucleus (MVN) and their possible involvement in regulating MVN
neuron (MVNn) excitability. The majority of MVNn expressed both CNG1 and CNG2 A
subunits. In whole-cell current-clamp experiments carried out on brainstem slices
containing the MVNn, the membrane-permeant analogues of cyclic nucleotides, 8-Br
cGMP and 8-Br-cAMP (1 mM), induced membrane depolarizations (8.9 +/- 0.8 and 9.2
+/- 1.0 mV, respectively) that were protein kinase independent. The cGMP-induced
depolarization was associated with a significant decrease in the membrane input
resistance. The effects of cGMP on membrane potential were almost completely
abolished by the CNG channel blockers, Cd(2+) and L-cis-diltiazem, but they were
unaffected by blockade of hyperpolarization-activated cyclic nucleotide-gated
channels. In voltage-clamp experiments, 8-Br-cGMP induced non-inactivating inward
currents (-22.2 +/- 3.9 pA) with an estimated reversal potential near 0 mV, which
were markedly inhibited by reduction of extracellular Na(+) and Ca(2+)
concentrations. Membrane depolarization induced by CNG channel activation
increased the firing rate of MVNn without changing the action potential shape.
Collectively, these findings provide novel evidence that CNG channels affect
membrane potential and excitability of MVNn. Such action should have a
significant impact on the function of these neurons in sensory-motor integration
processes. More generally, it might represent a broad mechanism for regulating
the excitability of different CNS neurons.
PMID- 18048450
TI - The KCNQ/M-current modulates arterial baroreceptor function at the sensory
terminal in rats.
AB - The ion channels responsible for the pattern and frequency of discharge in
arterial baroreceptor terminals are, with few exceptions, unknown. In this study
we examined the contribution of KCNQ potassium channels that underlie the M
current to the function of the arterial baroreceptors. Labelled aortic
baroreceptor neurons, immunohistochemistry and an isolated aortic arch
preparation were used to demonstrate the presence and function of KCNQ2, KCNQ3
and KCNQ5 channels in aortic baroreceptors. An activator (retigabine) and an
inhibitor (XE991) of the M-current were used to establish a role for these
channels in setting the resting membrane potential and in regulating the response
to ramp increases in arterial pressure. Retigabine raised the threshold for
activation of arterial baroreceptors and shifted the pressure-response curve to
higher aortic pressures. XE991, on the other hand, produced an increase in
excitability as shown by an increase in discharge at elevated pressures as
compared to control. We propose that KCNQ2, KCNQ3 and KCNQ5 channels provide a
hyperpolarizing influence to offset the previously described depolarizing
influence of the HCN channels in baroreceptor neurons and their terminals.
PMID- 18048451
TI - Neuronal nitric oxide synthase control mechanisms in the cutaneous vasculature of
humans in vivo.
AB - The physiological roles of constitutively expressed nitric oxide synthase (NOS)
isoforms in humans, in vivo, are unknown. Cutaneous vasodilatation during both
central nervous system-mediated, thermoregulatory reflex responses to whole-body
heat stress and during peripheral axon reflex-mediated, local responses to skin
warming in humans depend on nitric oxide (NO) generation by constitutively
expressed NOS of uncertain isoform. We hypothesized that neuronal NOS (nNOS, NOS
I) effects cutaneous vasodilatation during whole-body heat stress, but not during
local skin warming. We examined the effects of the nNOS inhibitor 7-nitroindazole
(7-NI) administered by intradermal microdialysis on vasodilatation induced by
whole-body heat stress or local skin warming. Skin blood flow (SkBF) was
monitored by laser-Doppler flowmetry (LDF). Blood pressure (MAP) was monitored
and cutaneous vascular conductance calculated (CVC = LDF/MAP). In protocol 1,
whole-body heat stress was induced with water-perfused suits. In protocol 2,
local skin warming was induced through local warming units at LDF sites. At the
end of each protocol, 56 mm sodium nitroprusside was perfused at microdialysis
sites to raise SkBF to maximal levels for data normalization. 7-NI significantly
attenuated CVC increases during whole-body heat stress (P < 0.05), but had no
effect on CVC increases induced by local skin warming (P > 0.05). These
diametrically opposite effects of 7-NI on two NO-dependent processes verify
selective nNOS antagonism, thus proving that the nNOS isoform affects NO
increases and hence vasodilatation during centrally mediated, reflex responses to
whole-body heat stress, but not during locally mediated, axon reflex responses to
local skin warming. We conclude that the constitutively expressed nNOS isoform
has distinct physiological roles in cardiovascular control mechanisms in humans,
in vivo.
PMID- 18048452
TI - Exercise intensity-dependent contribution of beta-adrenergic receptor-mediated
vasodilatation in hypoxic humans.
AB - We previously reported that hypoxia-mediated reductions in alpha-adrenoceptor
sensitivity do not explain the augmented vasodilatation during hypoxic exercise,
suggesting an enhanced vasodilator signal. We hypothesized that beta-adrenoceptor
activation contributes to augmented hypoxic exercise vasodilatation. Fourteen
subjects (age: 29 +/- 2 years) breathed hypoxic gas to titrate arterial O(2)
saturation (pulse oximetry) to 80%, while remaining normocapnic via a rebreath
system. Brachial artery and antecubital vein catheters were placed in the
exercising arm. Under normoxic and hypoxic conditions, baseline and incremental
forearm exercise (10% and 20% of maximum) was performed during control (saline),
alpha-adrenoceptor inhibition (phentolamine), and combined alpha- and beta
adrenoceptor inhibition (phentolomine/propranolol). Forearm blood flow (FBF),
heart rate, blood pressure, minute ventilation, and end-tidal CO(2) were
determined. Hypoxia increased heart rate (P < 0.05) and minute ventilation (P <
0.05) at rest and exercise under all drug infusions, whereas mean arterial
pressure was unchanged. Arterial adrenaline (P < 0.05) and venous noradrenaline
(P < 0.05) were higher with hypoxia during all drug infusions. The change (Delta)
in FBF during 10% hypoxic exercise was greater with phentolamine (Delta306 +/- 43
ml min(-1)) vs. saline (Delta169 +/- 30 ml min(-1)) or combined
phentolamine/propranolol (Delta213 +/- 25 ml min(-1); P < 0.05 for both). During
20% hypoxic exercise, DeltaFBF was greater with phentalomine (Delta466 +/- 57 ml
min(-1); P < 0.05) vs. saline (Delta346 +/- 40 ml min(-1)) but was similar to
combined phentolamine/propranolol (Delta450 +/- 43 ml min(-1)). Thus, in the
absence of overlying vasoconstriction, the contribution of beta-adrenergic
mechanisms to the augmented hypoxic vasodilatation is dependent on exercise
intensity.
PMID- 18048455
TI - Under microscopes the poly(styrene/butadiene) nanoparticles.
AB - There has been considerable interest, both academic and industrial, in developing
synthesis processes for making polymeric nanoparticles. Our effort relied on the
nanoassembly concepts of block macromolecules in solutions to prepare particles
with a hard core made of crosslinked plastics and a soft shell made of low T(g)
elastomer. By a suitable variation of the composition, polymer molecular weight
and solute concentration, we were able to produce spherical, ellipsoidal,
cylindrical, and chain-like nanoparticles. Under microscopes, the chain-like
nanoparticles displayed very rich conformational features in diluted and dense
states. Our observation on the conformation characters of the nanochains in 3D
diluted state agreed well with the proposition of the self-avoid coil model.
However, in 2D dense state, our observation on the nanochains appeared to be in
contradiction with the segregated globule model proposed by de Gennes.
PMID- 18048454
TI - Apical SK potassium channels and Ca2+-dependent anion secretion in endometrial
epithelial cells.
AB - Apical uridine triphosphate (UTP) stimulation was shown to increase short circuit
current (I(sc)) in immortalized porcine endometrial gland epithelial monolayers.
Pretreatment with the bee venom toxin apamin enhanced this response. Voltage
clamp experiments using amphotericin B-permeablized monolayers revealed that the
apamin-sensitive current increased immediately after UTP stimulation and was K(+)
dependent. The current-voltage relationship was slightly inwardly rectifying with
a reversal potential of -52 +/- 2 mV, and the P(K)/P(Na) ratio was 14, indicating
high selectivity for K(+). Concentration-response relationships for apamin and
dequalinium had IC(50) values of 0.5 nm and 1.8 microm, respectively, consistent
with data previously reported for SK3 channels in excitable cells and
hepatocytes. Treatment of monolayers with 50 microm BAPTA-AM completely blocked
the effects of UTP on K(+) channel activation, indicating that the apamin
sensitive current was also Ca(2+) dependent. Moreover, channel activation was
blocked by calmidazolium (IC(50) = 5 microm), suggesting a role for calmodulin in
Ca(2+)-dependent regulation of channel activity. RT-PCR experiments demonstrated
expression of mRNA for the SK1 and SK3 channels, but not SK2 channels. Treatment
of monolayers with 20 nm oestradiol-17beta produced a 2-fold increase in SK3
mRNA, a 2-fold decrease in SK1 mRNA, but no change in GAPDH mRNA expression. This
result correlated with a 2.5-fold increase in apamin-sensitive K(+) channel
activity in the apical membrane. We speculate that SK channels provide a
mechanism for rapidly sensing changes in intracellular Ca(2+) near the apical
membrane, evoking immediate hyperpolarization necessary for increasing the
driving force for anion efflux following P2Y receptor activation.
PMID- 18048453
TI - Zinc inhibition of rat NR1/NR2A N-methyl-D-aspartate receptors.
AB - Zinc ions (Zn(2+)) are localized in presynaptic vesicles at glutamatergic
synapses and released in an activity-dependent manner. Modulation of NMDA-type
glutamate receptors by extracellular Zn(2+) may play an important role under
physiological conditions and during pathologies such as ischaemia or seizure.
Zn(2+) inhibits NMDA receptors containing the NR2A subunit with an IC(50) value
in the low nanomolar concentration range. Here we investigate at the single
channel level the mechanism of high affinity Zn(2+) inhibition of recombinant
NR1/NR2A receptors expressed in HEK293 cells. Zn(2+) reversibly decreases the
mean single-channel open duration and channel open probability determined in
excised outside-out patches, but has no effect on single-channel current
amplitude. A parallel series of experiments demonstrates that lowering
extracellular pH (increasing proton concentration) has a similar effect on
NR1/NR2A single-channel properties as Zn(2+). Fitting the sequence of single
channel events with kinetic models suggests that the association of Zn(2+) with
its binding site enhances proton binding. Modelling further suggests that
protonated channels are capable of opening but with a lower open probability than
unprotonated channels. These data and analyses are consistent with Zn(2+)
mediated inhibition of NMDA receptors primarily reflecting enhancement of proton
inhibition.
PMID- 18048456
TI - Small clusters of granule-containing cells at the lateral side of the posterior
cricoarytenoid muscle of the young adult rat.
AB - Small clusters consisting of granule-containing cells, sustentacular cells and
capillaries around them, similar in structure to the carotid body-like
paraganglia, sometimes existed at the lateral side of the posterior
cricoarytenoid (PCA) muscle of young adult (3 months) rats. Differing from the
paraganglia, however, these cell clusters were discontinuously invested by
slender cytoplasmic processes of fibroblasts. In individual granule-containing
cells, granules varied in size and had a concentrically or eccentrically
arranged, electron-dense material, resembling those of chromaffin cells of the
adrenal medulla. A series of desmosome-like structures were frequently observed
between adjacent granule-containing cells, but synapses between them were not
necessarily clear. Nerve endings containing clear synaptic vesicles and
occasional granulated vesicles, being possibly cholinergic in nature, sometimes
formed synapses with the granule-containing cells, probably indicating that the
granule-containing cells receive the efferent nerve innervation. On the other
hand, the sustentacular cells lacked cytoplasmic granules and sent their
cytoplasmic processes around the granule-containing cells. Capillaries in and
around clustered cells were of the fenestrated type. From these findings, it is
suggested that unlike the carotid body-like paraganglia, the noncapsulated cell
clusters at the lateral side of the PCA muscle of the young adult rat may be
identical to groups of extra-adrenal chromaffin tissues.
PMID- 18048457
TI - Gene expression profile in labouring and non-labouring human placenta near term.
AB - The duration of pregnancy and initiation of labour are thought to be controlled
by fetal, maternal and placental factors. The aim of this study was to
investigate whether labour influences gene expression in placenta near term.
Placental samples were obtained from 27 women after vaginal delivery (labouring)
and 17 women after elective Caesarean section (non-labouring). All women were
Caucasian and had uncomplicated pregnancies. For global gene expression analysis,
17 human oligo-arrays were used, representing 24 650 genes each. An empirical
Bayes analysis was applied in order to find differentially expressed genes. About
8000 genes that were represented on the arrays met our quality criteria. Ninety
two genes were down-regulated and 94 genes were up-regulated in labouring
placentas compared to non-labouring placentas. However, none of these was
differentially expressed at a significant level (>2.5-fold change and a P-value
of <0.01). We conclude that gene expression in near term human placenta is not
significantly altered by labour.
PMID- 18048458
TI - Fine-scale quantification of HCG beta gene transcription in human trophoblastic
and non-malignant non-trophoblastic tissues.
AB - Human chorionic gonadotropin (HCG) is produced by syncytiotrophoblast of
placenta. It delays the apoptosis of corpus luteum and functions in implantation.
Its possible role in male reproduction has been raised. HCG beta subunit is
encoded by CGB, CGB5, CGB7 and CGB8 genes located at 19q13.3 in a common genome
cluster with beta subunit non-coding CGB1 and CGB2. We conducted a sensitive
quantification and comparison of CGB gene expression in human trophoblastic
(blastocysts, n = 6; normal/failed pregnancy, n = 51) and non-malignant non
trophoblastic tissues (15 different tissue types, samples n = 241), by real-time
RT-PCR. We showed a wide transcriptional window of CGB genes in normal pregnancy,
a significant reduction in recurrent miscarriages, and a high expression
(especially CGB1/CGB2) in ectopic and molar pregnancies. Expression was several
orders of magnitude lower in the non-placental tissues, with the highest CGB
levels being seen in testis, prostate, thymus, skeletal muscle and lung samples.
The contribution of CGB1/CGB2 to the summarized expression of six CGB genes was
not proportional to their gene dosage: 1/1000 to 1/10,000. An interesting
exception was the testis exhibiting a much higher CGB1/CGB2 to total CGB mRNA
ratio of approximately one-third, corresponding to gene dosage. In conclusion,
the expressional profile of CGB genes, activated already in blastocyst stage, is
associated with the status of pregnancy. The presence of CGB transcripts in
testes, and in particular CGB1/CGB2 transcripts, may indicate a role in male
reproductive tract.
PMID- 18048459
TI - Hormone control and expression of androgen receptor coregulator MAGE-11 in human
endometrium during the window of receptivity to embryo implantation.
AB - The androgen receptor (AR) is a ligand-activated transcription factor of the male
and female reproductive tracts whose activity is modulated by coregulator
binding. We recently identified melanoma antigen gene protein-11 (MAGE-11) of the
MAGEA gene family that functions as an AR coregulator by binding the AR N
terminal FXXLF motif. Here we report that MAGE-11 is expressed in a temporal
fashion in endometrium of normally cycling women. Highest levels of MAGE-11 mRNA
and protein occur in the mid-secretory stage, coincident with the window of
uterine receptivity to embryo implantation. Studies in human endometrial cell
lines together with the hormone profile of the menstrual cycle and pattern of
estrogen receptor-alpha expression in cycling endometrium suggest the rise in
MAGE-11 mRNA results from down-regulation by estradiol during the proliferative
phase and up-regulation by cyclic AMP signaling in the early and mid-secretory
stage. In agreement with its coregulatory function, MAGE-11 localizes with AR in
glandular epithelial cell nuclei in the mid-secretory stage. The increase in AR
protein in the mid-secretory endometrium without an increase in AR mRNA suggests
MAGE-11 stabilizes AR in glandular epithelial cell nuclei. This was supported by
expression studies at low androgen levels indicating AR stabilization by MAGE-11
dependent on the AR N-terminal transactivation domain. The results suggest that
MAGE-11 functions as a coregulator that increases AR transcriptional activity
during the establishment of uterine receptivity in the human female.
PMID- 18048460
TI - Intravenous magnesium sulphate vs. inhaled nitric oxide for moderate, persistent
pulmonary hypertension of the newborn. A Multicentre, retrospective study.
AB - We have compared intravenous magnesium sulphate vs. inhaled nitric oxide in the
therapy of moderate persistent pulmonary hypertension of the neonate. A
retrospective collection of clinical data from 58 neonates was carried out in six
neonatal intensive care units of Southern Italy sharing the same operational
protocols. In our setting, both drugs were effective in treating moderate
persistent pulmonary hypertension of the neonate but nitric oxide (NO) treatment
resulted in much faster amelioration of oxygenation index, taken as a marker of
the underlying condition. No significant difference was recorded in immediate or
long-term complications. We conclude that, wherever NO facilities are not readily
available, magnesium sulphate is a safe and cheaper alternative for first-line
treatment of moderate persistent pulmonary hypertension of the neonate.
PMID- 18048461
TI - Pre-exposure purified vero cell rabies vaccine and concomitant routine childhood
vaccinations: 5-year post-vaccination follow-up study of an infant cohort in
Vietnam.
AB - Children have a high risk of exposure to rabies in countries where the disease is
endemic. This prospective, 5-year study followed two groups of children who had
received diphtheria, tetanus, whole-cell pertussis and inactivated poliomyelitis
vaccine (DTP-IPV) at 2, 3, 4 months and 1 year (Group B) or concomitant with
three doses of purified Vero cell rabies vaccine (PVRV), given at 2, 4 months and
1 year (Group A). Antibody determinations were made annually for 5 years. Data
were available from a total of 72 subjects; 30 in Group A and 32 in Group B. In
Group A, the percentage of patients immunized against rabies (anti-rabies > or =
0.5 IU/ml) decreased from 100% after the third vaccination to 63%, 5 years later.
After 5 years, 93.8% in Group A and 96.7% in Group B had seroprotective
diphtheria antibody titers > or = 0.01 IU/ml, and all subjects had anti-polio
(type 1, 2 and 3) seroprotective titers > or = 5 1:dil. We conclude that co
administration of PVRV with DTP-IPV elicited protective antibody concentrations
to all antigens that persist for at least 5 years, with continued protection
against rabies in over 60% of subjects. These results are consistent with
integration of pre-exposure rabies vaccination into the Expanded Program on
Immunization (EPI) in countries where rabies is endemic.
PMID- 18048462
TI - Ankle-brachial index and hemostatic markers in the Atherosclerosis Risk in
Communities (ARIC) study cohort.
AB - To determine whether elevated levels of hemostatic and inflammatory markers [von
Willebrand factor (vWF), fibrinogen, D-dimer, factor VII, factor VIII, PAI-1,
tPA, beta-thromboglobulin (beta-TG), CRP, and WBC count] are associated with
increased peripheral arterial disease (PAD) prevalence, measured by low ABI, we
studied 13,778 participants from the ARIC study in a cross-sectional analysis
after adjustment for major cardiovascular risk factors. PAD was positively
associated with fibrinogen, vWF, factor VIII, WBC count, D-dimer, beta-TG, and
CRP (p for trend <0.05) but not with the other markers. Adjusted odds ratios for
the highest versus the lowest quartile of fibrinogen in men and women,
respectively, were 3.49 (95% CI 1.68-7.26) and 2.44 (95% CI 1.58-3.77); for vWF
2.36 (95% CI 1.36-4.07) and 1.45 (95% CI 1.00-2.10); for factor VIII 2.31 (95% CI
1.36-3.94) and 1.68 (95% CI 1.14-2.48). In a smaller subset, the sex and risk
factor adjusted odds ratio for the highest versus the lowest quartile of D-dimer
was 2.70 (95% CI 1.56-4.65), for beta-TG was 1.80 (95% CI 1.12-2.88), and for CRP
was 1.57 (95% CI 0.84-2.95). Plasma levels of hemostatic and inflammatory markers
are elevated in PAD, suggesting these processes are involved in the
pathophysiology of PAD.
PMID- 18048463
TI - Beneficial effects of 1-year optimal medical treatment with and without
additional PTA on inflammatory markers of atherosclerosis in patients with PAD.
Results from the Oslo Balloon Angioplasty versus Conservative Treatment (OBACT)
study.
AB - The influence of optimal medical treatment (OMT) with or without additional
percutaneous transluminal angioplasty (PTA) on vascular inflammation in
peripheral arterial occlusive disease (PAD) patients was investigated. Patients
with intermittent claudication (IC) and angiographically verified PAD were
randomized to OMT (n = 28) or OMT + PTA (n = 28) and followed for 12 months.
Ankle-brachial index (ABI), treadmill walking distances (WD), visual analogue
scale (VAS), and blood sampling for the determination of selected soluble
biomarkers were undertaken at baseline and after 3 and 12 months. After both 3
and 12 months, ABI, WD and VAS were highly significantly improved in favour of
OMT + PTA (p < 0.05 for all). Significant improvements were recorded in both
groups in serum lipids (p < 0.01 for all), except for triglycerides, and in the
inflammatory markers P-selectin, interleukin-6, interleukin-10, monocyte
chemoattractant protein-1 and fibrinogen (p < 0.05 for all). There were, however,
no differences in the changes from baseline between the groups in any variable.
Intervention with OMT alone or in combination with PTA did not differ with regard
to the effects on serum lipids and markers of inflammation in our population of
PAD patients. The combined treatment was, however, better for the treadmill
walking distance.
PMID- 18048464
TI - Increased levels of apoptosis in gastrocnemius skeletal muscle in patients with
peripheral arterial disease.
AB - Intermittent claudication (IC) is the major clinical manifestation of peripheral
arterial disease (PAD). Apoptosis has been linked to skeletal muscle
pathophysiology in other chronic diseases such as congestive heart failure. This
study tested the hypothesis that there would be increased levels of apoptosis in
the skeletal muscle of patients with PAD compared with control individuals. In
total, 26 individuals with PAD and 28 age-appropriate controls underwent studies
of peak oxygen consumption (peak VO2) and a gastrocnemius muscle biopsy in the
most symptomatic leg. Muscle biopsies were analyzed for apoptosis and caspase-3
activity. Patients with PAD had a reduced peak VO2 compared with controls.
Apoptosis was increased in those with PAD compared with age-appropriate controls
(3.83% +/- 2.6 vs 1.53% +/- 0.96; p < 0.001). In conclusion, PAD is associated
with increased levels of apoptosis in the peripheral skeletal muscle. Further
study is required to ascertain whether apoptosis plays a role in decreased
functional capacity.
PMID- 18048465
TI - Contrast-enhanced ultrasound imaging of atherosclerotic carotid plaque
neovascularization: a new surrogate marker of atherosclerosis?
AB - An atherosclerotic plaque requires a nutrient blood supply, which is
predominantly derived from arterial vasa vasorum. A variety of factors
(environmental and genetic) contribute to the initiation and growth of
atherosclerosis within vessel walls. Chemotactic factors, such as tissue ischemic
and hypoxic factors, stimulate the release of vascular endothelial growth factor
(VEGF) proteins, resulting in vessel wall angiogenesis. These developments often
precede the formation of the luminal plaque. In this report, we describe the use
of contrast-enhanced carotid ultrasound (CECU) imaging for the detection and
quantification of intra-plaque neovascularization. The efficacy of CECU was
measured against the neovascular density observed within the tissue specimens
obtained at the time of carotid endarterectomy surgery. The objective of this
study was to provide a histologic correlation between CECU and carotid artery
atherosclerotic plaque neovascularization. Fifteen patients with significant
atherosclerotic carotid artery disease received a CECU examination prior to
undergoing a carotid endarterectomy (CEA). Two patients received bilateral
endarterectomies, resulting in a total of 17 cases. At the time of surgery,
carotid plaque samples were surgically removed and stained with specific vascular
markers (CD31, CD34, von Willebrand factor, and hemosiderin) designed to identify
the presence and degree of neovascularization. The intra-plaque
neovascularization recorded on preoperative CECU was correlated with the degree
of neovascularization noted in the tissue specimens. The CECU neovascularization
was correlated to CD31-stained tissue specimens. This correlation value was 0.68
using Spearman's rank method. When CECU results were correlated with the other
histologic markers (CD34, von Willebrand factor, and hemosiderin), a correlation
of 0.50 was obtained. In conclusion, contrast-enhanced carotid ultrasound
correlated to the presence and degree of intra-plaque neovascularization as
determined from histology specimens.
PMID- 18048466
TI - An overview of cytokine interactions in atherosclerosis and implications for
peripheral arterial disease.
AB - Over the last three decades, a surge in research into the inflammatory
pathophysiology of atherosclerosis has highlighted an array of cytokines and
other inflammatory mediators associated with underlying inflammatory burden. The
ability to identify and simultaneously measure multiple cytokines in peripheral
blood highlights their potential as biomarkers of atherosclerosis. This has
prompted much research in vascular medicine to identify the ;at-risk' groups for
atherostenotic or atheroaneurysmal disease. This review is compiled with similar
intentions and aims to discern the relevant evidence for cytokine profiling in
peripheral arterial disease (PAD), where such information is lacking, while
providing a holistic overview of cytokine interactions in atherosclerosis. This
is pertinent given that cytokine profiles from coronary artery disease and aortic
aneurysm studies cannot be directly extrapolated to PAD due to differences in
inflammatory environments that exist in these conditions. Whilst plaque
morphology and blood rheology play an important role in the cardiac
manifestations of atherosclerosis, tissue thrombogenecity is very important in
PAD. Further, cytokines act in concert rather than in isolation in a disease
process, and no single cytokine in a cross-sectional model is able to serve as an
absolute screening marker. Thus, it is essential to understand the regulation of
cytokine production in atherosclerosis prior to evaluating the viability and
merits of a multimarker approach for clinical risk stratification in PAD.
PMID- 18048467
TI - Rosiglitazone improves endothelial function and inflammation but not asymmetric
dimethylarginine or oxidative stress in patients with type 2 diabetes mellitus.
AB - We compared the vascular effects of rosiglitazone versus glyburide and evaluated
asymmetric dimethylarginine (ADMA) and oxidative stress as potential mechanisms
associated with changes in vascular health in patients with type 2 diabetes
mellitus (T2DM). Patients were randomized to 6 months of either rosiglitazone (n
= 20) or glyburide (n = 16) in addition to metformin. The following variables
were measured pre- and post-treatment: glucose, insulin, homeostasis model
assessment (HOMA), hemoglobin A1c (HbA1c), C-peptide, blood pressure, lipids, C
reactive protein (CRP), ADMA, 8-isoprostane, oxidized LDL cholesterol, brachial
artery flow-mediated dilation (FMD), endothelium-independent dilation (EID), and
brachial and carotid artery stiffness. Rosiglitazone and glyburide treatment
resulted in significant and equivalent decreases in glucose (p < 0.0001) and
HbA1c (p < 0.0001), with a trend toward decreased HOMA (p = 0.09). Rosiglitazone
significantly decreased C-peptide (p < 0.01) with a strong trend toward decreased
fasting insulin (p = 0.05). Rosiglitazone reduced CRP compared with glyburide (p
= 0.001), but no differences were observed between groups for ADMA or the markers
of oxidative stress. Rosiglitazone significantly improved FMD (p < 0.05) with
trends toward improvements in carotid artery distension (p = 0.099) and
distensibility (p = 0.078). In conclusion, compared with glyburide, rosiglitazone
improves endothelial function and CRP in patients with T2DM. These improvements
are not associated with reductions in ADMA or markers of oxidative stress.
PMID- 18048468
TI - Persistent left superior vena cava (PLSVC) with anomalous left hepatic vein
drainage into the right atrium: role of imaging and clinical relevance.
AB - Persistent left superior vena cava (PLSVC) is a very rare and yet the most
commonly described thoracic venous anomaly in medical literature. It has a 10
fold higher incidence with congenital heart disease. PLSVC often becomes apparent
when an unknown PLSVC is incidentally discovered during central venous line
placement, intracardiac electrode/pacemaker placement or cardiopulmonary bypass,
where it may cause technical difficulties and life-threatening complications.
PLSVC is also associated with disturbances of cardiac impulse formation and
conduction including varying degrees of heart blocks, supraventricular
arrhythmias and Wolff Parkinson White syndrome. We describe the case of an 86
year-old male with a history of coronary artery disease and chronic atrial
fibrillation who presented with worsening dyspnea and syncopal episodes. An ECG
was consistent with complete heart block. During lead placement for the
pacemaker, a left subclavian approach was unsuccessful. A left venogram was
performed through the brachial vein that demonstrated a left superior vena cava.
The diagnosis was confirmed with echocardiography using a bubble study and also a
chest CT. The anatomy was unique as there was anomalous left hepatic vein
drainage into the right atrium. The case provides insight into the diagnostic
modalities and clinical considerations of this unusual thoracic venous anomaly.
PMID- 18048469
TI - Applying the gender lens to abdominal aortic aneurysm screening.
PMID- 18048470
TI - Why TASC II?
PMID- 18048471
TI - Arterial aging: pathophysiological principles.
AB - In the nineteenth century, prior to the introduction of the cuff
sphygmomanometer, arteriosclerosis (stiffening of arteries) was recognized by
clinicians and by life insurance companies as an indicator of vascular aging and
cardiovascular risk, even in asymptomatic individuals. Through the twentieth
century, views on aging came to focus on values of systolic and diastolic
pressure and on obstructive atherosclerotic disease. Such focus deflected
attention from the primary aging change which occurs in all societies, and is
represented by stiffening and dilation of the proximal aorta. This review
emphasizes the cushioning function of elastic arteries - principally the aorta -
and how in youth this results in optimal interaction with the heart, and optimal
steady flow through peripheral resistance vessels. Aortic stiffening with age is
principally due to fatigue and fracture of elastin lamellae, with transfer of
stress to stiffer collagenous components. Stiffening increases left ventricular
load and myocardial blood requirement, but limits the capacity for blood supply
during diastole. Consequences are cardiac failure and predisposition to
ischaemia. The second, under-appreciated effect of aortic stiffening is
transmission of flow pulsations downstream into vasodilated organs, principally
brain and kidney, where pulsatile energy is dissipated and fragile microvessels
are damaged. This accounts for micro infarcts and microhaemorrhages, with
specialized cell damage, cognitive decline and renal failure. The aging process
can be best monitored by change in the arterial pressure wave rather than by
reliance on the cuff sphygmomanometer. This reintroduces the approaches by
clinicians and life insurance examiners of the nineteenth century, endorses
modern treatments for established disease, and holds the promise of detecting
premature arterial degeneration, and better applying lifestyle measures and
vasoactive medications to modify the aging process.
PMID- 18048472
TI - Risk assessment in the patient with established peripheral arterial disease.
AB - Office-based cardiovascular risk prediction continues to challenge practitioners
in primary and secondary risk stratification settings. In patients with
established peripheral arterial disease (PAD), the risk of cardiovascular events
(i.e. death or morbidity due to coronary heart disease and/or cerebrovascular
disease) is high, yet traditional risk factors and the ankle-brachial index (ABI)
do not provide a complete secondary risk prediction. In this population, office
based cardiovascular risk stratification may be improved by surrogate markers of
the systemic atherosclerotic burden, as well as markers of systemic inflammation.
This review will evaluate the utility of the ABI, clinical stage of disease, and
the emerging role of C-reactive protein (CRP) and other inflammatory markers in
secondary risk prediction in PAD. Defining which patients are in the highest
category of risk may direct health care providers to emphasize secondary
preventive measures, and facilitate patient adherence to recommended medical
therapies and smoking cessation.
PMID- 18048473
TI - The role of exercise training in peripheral arterial disease.
AB - Peripheral arterial disease (PAD) is currently a major health problem affecting 8
12 million Americans, 15-40% of whom will have intermittent claudication that can
lead to substantial impairment in their ability to carry out normal daily
activities as well as perform the recommended cardiovascular exercise. Supervised
exercise training is an effective tool in the treatment of claudication and is
currently a recommended first-line therapy for patients with this condition. In
addition to improving pain-free walking distance and quality of life, supervised
exercise training can improve many cardiovascular risk factors, possibly reducing
the risk for subsequent myocardial infarction, stroke, and death. This paper will
review the benefits of supervised exercise training in patients with PAD.
PMID- 18048475
TI - Images in vascular medicine. Giant cell arteritis presenting with acute
myocardial infarction.
PMID- 18048474
TI - ACCF/AHA 2007 Clinical Competence Statement on vascular imaging with computed
tomography and magnetic resonance.
PMID- 18048476
TI - Images in vascular medicine. Rapid expansion of an inflammatory abdominal aortic
aneurysm.
PMID- 18048477
TI - Prediction-based protein engineering of domain I of Cry2A entomocidal toxin of
Bacillus thuringiensis for the enhancement of toxicity against lepidopteran
insects.
AB - Issues relating to sustenance of the usefulness of genetically modified first
generation Bt crop plants in the farmer's field are of great concern for crop
scientists. Additional biotechnological strategies need to be in place to
safeguard the possibility for yield loss of Bt crop by other lepidopteran insects
that are insensitive to the Cry1A toxin, and also against the possibility for
emergence of resistant insects. In this respect, Cry2A toxin has figured as a
prospective candidate to be the second toxin to offer the required protection
along with Cry1A. In the present study, the entomocidal potency of Cry2A toxin
was enhanced through knowledge-based protein engineering of the toxin molecule.
Deletion of 42 amino acid residues from the N-terminal end of the peptide
followed by the replacement of Lys residues by nonpolar amino acids in the
putative transmembrane region including the introduction of Pro resulted in a 4.1
6.6-fold increase in the toxicity of the peptide against three major lepidopteran
insect pests of crop plants.
PMID- 18048478
TI - 5-Lipoxygenase-derived lipid mediators are not required for the development of
NSAID-induced inflammatory bowel disease in IL-10-/- mice.
AB - Leukotrienes are potent lipid mediators derived from the metabolism of
arachidonic acid by the enzyme 5-lipoxygenase (5-LO). Elevated levels of the
proinflammatory leukotriene LTB(4) have been found in preclinical models of
inflammatory bowel disease (IBD) as well as in colon tissue from individuals with
IBD. We therefore determined the extent to which absence of 5-LO-derived lipid
mediators would alter the colitis in IL-10(-/-) mice, a model of human IBD. IL
10(-/-)/5-LO(-/-) mice were generated and were healthy. Absence of 5-LO did not
alter the development of spontaneous colitis in IL-10-deficient mice. We then
evaluated the extent to which absence of 5-LO would alter the development of
NSAID-induced colitis in IL-10(-/-) mice. Absence of 5-LO did not delay the onset
or alter the severity of inflammation in NSAID-treated IL-10(-/-) mice. At an
early time point, 3 days after NSAID treatment was initiated, a qualitative
increase in the number of dendritic cells and CD4(+) T cells was noted in the
colons of IL-10(-/-)/5-LO(-/-); however, this difference was no longer present
after 14 days of NSAID treatment. Absence of 5-LO did not alter the degree of
neutrophil infiltration into the in this model. Absence of 5-LO does not alter
the development of IFN-gamma producing Th1-type CD4(+) T cells or IL-17 producing
CD4(+) T cells. Absence of 5-LO-derived mediators did not alter the expression of
the adhesion molecules ICAM-1 and P-selectin. Development of colitis in IL-10(-/
) mice was associated with increased levels of the 5-LO-derived anti-inflammatory
lipoxin LXA(4). These studies demonstrate that 5-LO-derived leukotrienes are not
required for the development or maintenance of spontaneous or NSAID-induced
colonic inflammation in IL-10(-/-) mice.
PMID- 18048479
TI - Simultaneous deletion of ghrelin and its receptor increases motor activity and
energy expenditure.
AB - Administration of chemically synthesized ghrelin (Ghr) peptide has been shown to
increase food intake and body adiposity in most species. However, the biological
role of endogenous Ghr in the molecular control of energy metabolism is far less
understood. Mice deficient for either Ghr or its receptor (the growth hormone
secretagogue receptor, GHS-R1a) seem to exhibit enhanced protection against high
fat diet-induced obesity but do not show a substantial metabolic phenotype on a
standard diet. Here we present the first mouse mutant lacking both Ghr and the
Ghr receptor. We demonstrate that simultaneous genetic disruption of both genes
of the Ghr system leads to an enhanced energy metabolism phenotype. Ghr/Ghr
receptor double knockout (dKO) mice exhibit decreased body weight, increased
energy expenditure, and increased motor activity on a standard diet without
exposure to a high caloric environment. Mice on the same genetic background
lacking either the Ghr or the Ghr receptor gene did not exhibit such a phenotype
on standard chow, thereby confirming earlier reports. No differences in food
intake, meal pattern, or lean mass were observed between dKO, Ghr-deficient, Ghr
receptor-deficient, and wild-type (WT) control mice. Only dKO showed a slight
decrease in body length. In summary, simultaneous deletion of Ghr and its
receptor enhances the metabolic phenotype of single gene-deficient mice compared
with WT mice, possibly suggesting the existence of additional, as of yet unknown,
molecular components of the endogenous Ghr system.
PMID- 18048480
TI - Role of mitochondria in spontaneous rhythmic activity and intracellular calcium
waves in the guinea pig gallbladder smooth muscle.
AB - Mitochondrial Ca(2+) handling has been implicated in spontaneous rhythmic
activity in smooth muscle and interstitial cells of Cajal. In this investigation
we evaluated the effect of mitochondrial inhibitors on spontaneous action
potentials (APs), Ca(2+) flashes, and Ca(2+) waves in gallbladder smooth muscle
(GBSM). Disruption of the mitochondrial membrane potential with carbonyl cyanide
3-chlorophenylhydrazone, carbonyl cyanide 4-(trifluoromethoxy) phenylhydrazone,
rotenone, and antimycin A significantly reduced or eliminated APs, Ca(2+)
flashes, and Ca(2+) waves in GBSM. Blockade of ATP production with oligomycin did
not alter APs or Ca(2+) flashes but significantly reduced Ca(2+) wave frequency.
Inhibition of mitochondrial Ca(2+) uptake and Ca(2+) release with Ru360 and CGP
37157, respectively, reduced the frequency of Ca(2+) flashes and Ca(2+) waves in
GBSM. Similar to oligomycin, cyclosporin A did not alter AP and Ca(2+) flash
frequency but significantly reduced Ca(2+) wave activity. These data suggest that
mitochondrial Ca(2+) handling is necessary for the generation of spontaneous
electrical activity and may therefore play an important role in gallbladder tone
and motility.
PMID- 18048481
TI - Mortality and preoperative cardiac function in vascular amputees: an N-terminal
pro-brain natriuretic peptide (NT-proBNP) pilot study.
AB - OBJECTIVE: To determine preoperative ventricular function in vascular amputees by
measuring N-terminal pro-brain natriuretic peptide (NT-proBNP) and to analyse the
relationship between NT-proBNP levels and 30-day postoperative mortality. DESIGN:
Prospective pilot study. SUBJECTS AND METHODS: In 19 patients planned for a lower
limb amputation for non-reconstructable peripheral arterial disease NT-proBNP was
measured the day before amputation. RESULTS: Four amputees died within 30 days
after the amputation. In 17 of 19 patients NT-proBNP values were found more than
2 standard deviations above the age corrected reference value. Pre-amputation NT
proBNP levels did not differ significantly between non-survivors and survivors (P
= 0.162). CONCLUSION: Preoperative NT-proBNP levels are not significantly related
to 30-day mortality after lower limb amputation procedure. Preoperative NT-proBNP
levels are very high, indicating that serious ventricular dysfunction may be
present in vascular amputees.
PMID- 18048482
TI - The impact of introducing an ICF-based rehabilitation tool on staff satisfaction
with multidisciplinary team care in rheumatology: an exploratory study.
AB - OBJECTIVE: To investigate the impact of introducing a rehabilitation tool on
multidisciplinary team members' satisfaction with team functioning, team
conferences and written information exchange. DESIGN: Pretest posttest design.
SETTING: Day patient and inpatient wards of a rheumatology rehabilitation clinic.
SUBJECTS: Members of two multidisciplinary teams. INTERVENTIONS: The introduction
of an electronic version of the Rehabilitation Activities Profile. MAIN MEASURES:
The Group Environment Scale and questionnaires on satisfaction with team
conferences and administrative procedures administered before (T1) and 12 months
after (T2) the introduction of the Rehabilitation Activities Profile. RESULTS:
The Group Environment Scale cohesion subscale was significantly higher at T2 than
at T1 in the day patient setting (mean difference 1.9; 95% confidence interval
(CI) 0.3; 3.4), whereas in the inpatient setting the Group Environment Scale
cohesion and the order and organization subscales were significantly lower (mean
differences -3.0; 95% CI -4.7; -1.3 and -2.7; 95% CI -4.3; -1.1, respectively).
Satisfaction with team conferences was significantly higher at T2 compared with
T1 in the day patient setting (mean difference total score 0.6; 95% CI 0.3; 1.0),
but not in the inpatient setting (mean difference -0.3; 95% CI -0.7; 0.2). In
both settings, the proportions of health professionals spending >10 minutes on
administrative tasks per patient contact were significantly higher at T2 than at
T1. CONCLUSIONS: In the day patient setting, the introduction of a rehabilitation
tool had a positive effect on team members' satisfaction with team functioning
and team conferences, whereas in the inpatient setting the effect was absent or
the opposite. In both settings, the time spent on administrative tasks increased.
PMID- 18048483
TI - Physical limitations and self-rated overall health in family medicine patients.
AB - OBJECTIVE: To assess how physical limitations relate to self-rated health among
family medicine patients after adjustment for severity of illness. DESIGN: A
telephone survey of family medicine patients, linked with medical record
information. SETTING: A large family medicine department in Rochester, Minnesota,
USA. SUBJECTS: Self-ratings of health were linked to medical records for 804
adult patients. RESULTS: Adjusting for severity and other confounders using
multiple logistic regression analysis revealed that having physical limitations
was inversely and independently related to good self-rated health (adjusted odds
ratio = 0.20, P<0.001). Odds ratios also were lower for high severity of illness
(adjusted odds = 0.43). Morbidly obese patients and patients older than 65 years
of age also had reduced odds of good self-rated health. CONCLUSIONS: In our
sample of family medicine patients, part of the disparity in health status
experienced by people with physical limitations is attributable to greater
severity of illness, age and obesity.
PMID- 18048484
TI - Screening for depression after stroke: an exploration of professionals'
compliance with guidelines.
AB - OBJECTIVE: National guidelines recommend screening for poststroke depression, but
compliance is low. This research studied staff compliance in stroke units using
the theory of planned behaviour to investigate influences upon screening. DESIGN:
The study used a questionnaire based on a semi-structured interview and measuring
the theory of planned behaviour components. SETTING: Sixteen stroke units
throughout the UK were included. SUBJECTS: Seventy-five health care professionals
in stroke services participated. MAIN MEASURES: A postal questionnaire with 7
point rating scales and open-ended questions was used. RESULTS: Response rates
were low, but the 75 returns demonstrated poor compliance for screening, despite
positive attitudes towards it. Five variables, including three of the theory of
planned behaviour components, predicted intention to screen: perceived control
over screening, perceived expectations of others (direct and indirect normative
beliefs), awareness of guidelines and screening being part of routine assessment.
Perceived expectations of others, perceived control and awareness of guidelines
also differentiated those intending to screen from 'non-intenders'. Being
knowledgeable about screening, having screening in the job role and belief in its
clinical value were additional facilitating factors, while time pressure and
concerns about screening tests were barriers. Profession and psychology input
were not associated with screening. CONCLUSIONS: The theory of planned behaviour
provides a framework for understanding screening intentions. Both individual and
organizational factors were associated with screening. Compliance may be enhanced
by: training to increase knowledge and skills, providing evidence of the utility,
increasing awareness of guidelines, support from colleagues and integrating mood
assessment into job roles and routine assessment.
PMID- 18048485
TI - CYP2C19 inhibition: the impact of substrate probe selection on in vitro
inhibition profiles.
AB - Understanding the potential for cytochrome P450 (P450)-mediated drug-drug
interactions is a critical part of the drug discovery process. Factors such as
nonspecific binding, atypical kinetics, poor effector solubility, and varying
ratios of accessory proteins may alter the kinetic behavior of an enzyme and
subsequently confound the extrapolation of in vitro data to the human situation.
The architecture of the P450 active site and the presence of multiple binding
regions within the active site may also confound in vitro-in vivo extrapolation,
as inhibition profiles may be dependent on a specific inhibitor-substrate
interaction. In these studies, the inhibition profiles of a set of 24 inhibitors
were paneled against the CYP2C19 substrate probes (S)-mephenytoin, (R)
omeprazole, (S)-omeprazole, and (S)-fluoxetine, on the basis of their inclusion
in recent U.S. Food and Drug Administration guidance for in vitro drug-drug
interactions with CYP2C19. (S)-Mephenytoin was inhibited an average of 5.6-fold
more potently than (R)- or (S)-omeprazole and 9.2-fold more potently than (S)
fluoxetine. Hierarchical clustering of the inhibition data suggested three
substrate probe groupings, with (S)-mephenytoin exhibiting the largest difference
from the rest of the substrate probes, (S)-fluoxetine exhibiting less difference
from (S)-mephenytoin and the omeprazoles and (R)- and (S)-omeprazole exhibiting
minimal differences from each other. Predictions of in vivo inhibition potency
based on the in vitro data suggest that most drug-drug interactions will be
identified by either (S)-mephenytoin or omeprazole, although the expected
magnitude of the interaction may vary depending on the chosen substrate probe.
PMID- 18048486
TI - Metabolism of the trisubstituted purine cyclin-dependent kinase inhibitor
seliciclib (R-roscovitine) in vitro and in vivo.
AB - Seliciclib (R-roscovitine, CYC202) is a small molecule inhibitor of cyclin
dependent kinases currently in phase II clinical trials as an anticancer agent.
We examined the metabolism of seliciclib in vitro and in vivo. Using radiolabeled
seliciclib we found that cytochrome P450 (P450)-mediated metabolism in liver
microsomes from human, rat, mouse, rabbit, monkey, and dog was rapid to a number
of metabolic species, one of the most prevalent being a carboxylate previously
identified in urine from rats and mice dosed with seliciclib. Metabolism was
fastest in mouse microsomes and slowest in microsomes from dog. Using
characterized human microsomes, we identified the P450s responsible for this
metabolism as CYP3A4 and CYP2B6. Glucuronidation of seliciclib and its
metabolites was shown to be a major elimination process in bile duct-cannulated
rats dosed with [(14)C]seliciclib at 10 mg/kg. Elimination by the fecal route
accounted for up to 65% of the administered dose, whereas urinary excretion
accounted for up to 43%. Almost half of the administered dose was found to be
eliminated via the bile, and elimination was found to be rapid, with up to 88% of
the dose being excreted within the first 24 h. Preliminary experiments indicated
that UDP-glucuronosyltransferase (UGT) 1A3, 1A9, and 2B7 were involved in the
conjugation of seliciclib. Seliciclib was further shown in vitro to inhibit the
activity of some of the enzymes responsible for its metabolism. Cytochrome P450s
CYP3A4 and CYP2C9 and UGT1A1 were all inhibited at concentrations achieved in
human trials, which raises the possibility of drug-drug interactions in the
clinic.
PMID- 18048488
TI - Hepatobiliary excretion of silibinin in normal and liver cirrhotic rats.
AB - Silibinin is the main biologically active flavonolignan extracted from the seeds
and fruits of milk thistle and has potential efficacy in the treatment of liver
disease. The aim of the present study was to examine the hepatobiliary excretion
of silibinin and its effect on dimethylnitrosamine (DMN)-induced liver cirrhosis.
The experiments were divided into five groups: 10, 30, and 50 mg/kg silibinin
alone, 30 mg/kg silibinin coadministered with cyclosporin A (CsA), and 50 mg/kg
silibinin with liver cirrhosis induced by DMN. The data indicated that silibinin
had dose-related pharmacokinetics in the dose ranges of 10 to 50 mg/kg. All of
the unconjugated or total (unconjugated + conjugated) silibinin concentrations in
the bile were significantly higher than those in plasma at the sampling time
points at each dose, suggesting active hepatobiliary excretion. When
coadministered with CsA, the area under the concentration versus time curve (AUC)
in bile was significantly decreased. This result suggested that the active
silibinin efflux might be partially inhibited by P-glycoprotein. In the DMN
induced liver cirrhotic rats, the AUC of plasma unconjugated silibinin was
reduced by 53%; however, total silibinin was increased by 182%. These results
together suggest that the phase II conjugative reaction of silibinin was blocked
by treatment with DNM.
PMID- 18048487
TI - Physical incorporation of NADPH-cytochrome P450 reductase and cytochrome P450
into phospholipid vesicles using glycocholate and Bio-Beads.
AB - In a previous study from our laboratory (Drug Metab Dispos 34: 660-666, 2006), we
found several limitations with published methods (cholate gel filtration and
cholate dialysis) for the incorporation of cytochromes P450 and P450 reductase
into phospholipid vesicles. We found that a significant proportion of reductase
was not incorporated in the vesicles when the amount of reductase was equal to or
greater than that of CYP2B4 in the systems reconstituted with
phosphatidylcholine. Furthermore, implementation of these methods compromised the
ability of the CYP2B4 to form a ferrous carbon monoxy complex. In the current
study, a comparison of results using the detergent-dialysis method with five
similar detergents having the "bile salt" ring structure showed that glycocholate
results in the greatest incorporation of reductase and the least loss in the
ferrous carbon monoxy CYP2B4 complex. The method is further improved by using Bio
Beads SM-2 to remove detergent instead of the lengthy dialysis procedure or size
exclusion chromatography that significantly dilutes the protein and lipid
concentrations of the preparation. The method is shown to be applicable over a
range of lipid/CYP2B4 ratios, and by using assay methods for total lipid,
reductase, and CYP2B4, this improved reconstitution method resulted in increased
incorporation efficiencies while minimizing the protein degradation inherent with
these procedures.
PMID- 18048489
TI - The first aspartic acid of the DQxD motif for human UDP-glucuronosyltransferase
1A10 interacts with UDP-glucuronic acid during catalysis.
AB - All UDP-glucuronosyltransferase enzymes (UGTs) share a common cofactor, UDP
glucuronic acid (UDP-GlcUA). The binding site for UDP-GlcUA is localized to the C
terminal domain of UGTs on the basis of amino acid sequence homology analysis and
crystal structures of glycosyltransferases, including the C-terminal domain of
human UGT2B7. We hypothesized that the (393)DQMDNAK(399) region of human UGT1A10
interacts with the glucuronic acid moiety of UDP-GlcUA. Using site-directed
mutagenesis and enzymatic analysis, we demonstrated that the D393A mutation
abolished the glucuronidation activity of UGT1A10 toward all substrates. The
effects of the alanine mutation at Q(394),D(396), and K(399) on glucuronidation
activities were substrate-dependent. Previously, we examined the importance of
these residues in UGT2B7. Although D(393) (D(398) in UGT2B7) is similarly
critical for UDP-GlcUA binding in both enzymes, the effects of Q(394) (Q(399) in
UGT2B7) to Ala mutation on activity were significant but different between
UGT1A10 and UGT2B7. A model of the UDP-GlcUA binding site suggests that the
contribution of other residues to cosubstrate binding may explain these
differences between UGT1A10 and UGT2B7. We thus postulate that D(393) is critical
for the binding of glucuronic acid and that proximal residues, e.g., Q(394)
(Q(399) in UGT2B7), play a subtle role in cosubstrate binding in UGT1A10 and
UGT2B7. Hence, this study provides important new information needed for the
identification and understanding of the binding sites of UGTs, a major step
forward in elucidating their molecular mechanism.
PMID- 18048490
TI - Cytochrome P450 expression and regulation in CYP3A4/CYP2D6 double transgenic
humanized mice.
AB - Analysis of the developmental and sexual expression of cytochrome P450 drug
metabolizing enzymes is impeded by multiple and varied external factors that
influence its regulation. In the present study, a CYP2D6/CYP3A4-double transgenic
(Tg-CYP2D6/CYP3A4) mouse model was employed to investigate hepatic CYP2D6 and
CYP3A4 ontogeny and sexual dimorphism. Both age and sex have considerable effects
on hepatic CYP3A4 protein expression in 3- to 8-week-old transgenic mice, whereas
neither factor alters CYP2D6 content. Constitutive CYP2D6 expression resulted in
2- to 3-fold higher dextromethorphan O-demethylase activity in Tg-CYP2D6/CYP3A4
mouse liver microsomes compared with wild-type mice. In contrast, expression of
CYP3A4 in transgenic mouse livers did not increase dextromethorphan N-demethylase
and midazolam 1'-hydroxylase activities. Pretreatment with pregnenolone 16alpha
carbonitrile (PCN) and 1,4-bis-2-(3, 5-dichloropyridyloxy)-benzene (TCPOBOP)
elevated CYP3A4 expression in double transgenic mice. Interestingly, induction of
hepatic CYP3A4 was greater in females than age- and treatment-matched males.
Consequently, the increase in midazolam 1'-hydroxylase activity was markedly
higher in 8-week-old female mice than in corresponding males (8-fold versus 6
fold for PCN treatment and 6-fold versus 5-fold for TCPOBOP). Furthermore,
increases in testosterone 6beta-hydroxylase activity after CYP3A induction were
relatively lower compared with those in midazolam 1'-hydroxylation for age-, sex
, and treatment-matched mice. The difference in CYP3A4 expression and induction
between male and female mice suggests that women may be more susceptible to
CYP3A4-mediated drug-drug interactions, and the extent of drug-drug interactions
could be substrate dependent.
PMID- 18048491
TI - Osteopontin expression in human and murine obesity: extensive local up-regulation
in adipose tissue but minimal systemic alterations.
AB - Obesity is associated with a chronic low-grade inflammation characterized by
macrophage infiltration of adipose tissue (AT) that may underlie the development
of insulin resistance and type 2 diabetes. Osteopontin (OPN) is a multifunctional
protein involved in various inflammatory processes, cell migration, and tissue
remodeling. Because these processes occur in the AT of obese patients, we studied
in detail the regulation of OPN expression in human and murine obesity. The study
included 20 morbidly obese patients and 20 age- and sex-matched control subjects,
as well as two models (diet-induced and genetic) of murine obesity. In high-fat
diet-induced and genetically obese mice, OPN expression was drastically up
regulated in AT (40 and 80-fold, respectively) but remained largely unaltered in
liver (<2-fold). Moreover, OPN plasma concentrations remained unchanged in both
murine models of obesity, suggesting a particular local but not systemic
importance for OPN. OPN expression was strongly elevated also in the AT of obese
patients compared with lean subjects in both omental and sc AT. In addition, we
detected three OPN isoforms to be expressed in human AT and, strikingly, an
obesity induced alteration of the OPN isoform expression pattern. Analysis of AT
cellular fractions revealed that OPN is exceptionally highly expressed in AT
macrophages in humans and mice. Moreover, OPN expression in AT macrophages was
strongly up-regulated by obesity. In conclusion, our data point toward a specific
local role of OPN in obese AT. Therefore, OPN could be a critical regulator in
obesity induced AT inflammation and insulin resistance.
PMID- 18048492
TI - Hypothalamic phosphatidylinositol 3-kinase pathway of leptin signaling is
impaired during the development of diet-induced obesity in FVB/N mice.
AB - Phosphatidylinositol 3-kinase (PI3K) pathway of leptin signaling plays an
important role in transducing leptin action in the hypothalamus. Obesity is
usually associated with resistance to the effect of leptin on food intake and
energy homeostasis. Although central leptin resistance is thought to be involved
in the development of diet-induced obesity (DIO), the mechanism behind this
phenomenon is not clearly understood. To determine whether DIO impairs the effect
of leptin on hypothalamic PI3K signaling, we fed 4-wk-old FVB/N mice a high-fat
diet (HFD) or low-fat diet (LFD) for 19 wk. HFD-fed mice developed DIO in
association with hyperleptinemia, hyperinsulinemia, and impaired glucose and
insulin tolerance. Leptin (ip) significantly increased hypothalamic PI3K activity
and phosphorylated signal transducer and activator of transcription 3 (p-STAT3)
levels in LFD-fed mice but not in DIO mice. Immunocytochemical study confirmed
impaired p-STAT3 activation in various hypothalamic areas, including the arcuate
nucleus. We next tested whether both PI3K and STAT3 pathways of leptin signaling
were impaired during the early period of DIO. Leptin failed to increase PI3K
activity in DIO mice that were on a HFD for 4 wk. However, leptin-induced p-STAT3
activation in the hypothalamus measured by Western blotting and
immunocytochemistry remained comparable between LFD- and HFD-fed mice. These
results suggest that the PI3K pathway but not the STAT3 pathway of leptin
signaling is impaired during the development of DIO in FVB/N mice. Thus, a
defective PI3K pathway of leptin signaling in the hypothalamus may be one of the
mechanisms of central leptin resistance and DIO.
PMID- 18048493
TI - Effects of twinning and periconceptional undernutrition on late-gestation
hypothalamic-pituitary-adrenal axis function in ovine pregnancy.
AB - The relationships between reduced size at birth, increased activity of the
hypothalamic-pituitary-adrenal (HPA) axis, and increased risk of disease in
adulthood are well described in singletons but are much less clear in twins. This
may be because the physiological processes underlying reduced size at birth are
different in singletons and twins. Periconceptional undernutrition can cause
altered activity of the fetal and postnatal HPA axis without altering size at
birth. However, the independent effects of periconceptional undernutrition and
twinning on activity of the maternal and fetal HPA axes are not well described.
We therefore studied maternal and fetal HPA axis function during late gestation
in twin and singleton sheep pregnancies, either undernourished around conception
or fed ad libitum. We found that twinning led to suppressed baseline HPA axis
function and decreased adrenal sensitivity to ACTH stimulation but increased
fetal pituitary ACTH response both to direct stimulation by CRH (ACTH area under
the curve response: 29.7 +/- 2.2 vs. 17.1 +/- 1.6 ng/min x ml, P < 0.01) and to
decreased cortisol negative feedback. In contrast, periconceptional
undernutrition resulted in a decreased pituitary response (ACTH area under the
curve response: 19.4 +/- 1.6 vs. 26.1 +/- 2.2 ng/min x ml, P = 0.02) but no
difference in adrenal response. Thus, the HPA axis function of twin sheep fetuses
in late gestation is very different from that of control and undernourished
singletons. If the HPA axis is an important mediator between fetal adaptations
and adult disease, these data may help explain why the relationship between fetal
growth and postnatal physiology and disease risk is inconsistent in twins.
PMID- 18048494
TI - The long pentraxin PTX3 in human endometrium: regulation by steroids and
trophoblast products.
AB - Human implantation is characterized by blastocyst attachment to endometrial
epithelial cells followed by invasion of trophoblast into the maternal decidua.
There has been an increasing amount of data linking higher levels of the
pentraxin PTX3, a long pentraxin, to embryo implantation. PTX3 levels were found
to be higher in patients with preeclampsia and intrauterine growth restriction,
both conditions caused by faulty implantation. Furthermore, PTX3 knockout mice
have reduced fertility due to cumulus oopherus malformation as well as
implantation failure. In a human implantation model, we and others have shown
that trophoblast action on endometrial stromal cells induces PTX3 expression. In
this study, we analyzed PTX3 expression throughout the menstrual cycle as well as
its regulation by hormones involved in the implantation process. We also compared
PTX3 expression in stromal cells induced by trophoblast conditioned medium to its
induction by trophoblast coculture. PTX3 mRNA expression in human endometrial
stromal cells is regulated by progesterone, estrogen, and IL-1 but not human
chorionic gonadotropin and is increased by both trophoblast-conditioned medium as
well as trophoblast explants. PTX3 protein production and regulation by these
factors is shown by Western blot. Based on these findings, we conclude that
estradiol and progesterone are involved in PTX3 induction and regulation during
implantation. Also, of the factors secreted by trophoblast, IL-1beta induces PTX3
in human endometrial stromal cells.
PMID- 18048495
TI - Nesfatin-1 neurons in paraventricular and supraoptic nuclei of the rat
hypothalamus coexpress oxytocin and vasopressin and are activated by refeeding.
AB - Nesfatin-1, a newly discovered satiety molecule, is located in the hypothalamic
nuclei, including the paraventricular nucleus (PVN) and supraoptic nucleus (SON).
In this study, fine localization and regulation of nesfatin-1 neurons in the PVN
and SON were investigated by immunohistochemistry of neuropeptides and c-Fos. In
the PVN, 24% of nesfatin-1 neurons overlapped with oxytocin, 18% with
vasopressin, 13% with CRH, and 12% with TRH neurons. In the SON, 35% of nesfatin
1 neurons overlapped with oxytocin and 28% with vasopressin. After a 48-h fast,
refeeding for 2 h dramatically increased the number of nesfatin-1 neurons
expressing c-Fos immunoreactivity by approximately 10 times in the PVN and 30
times in the SON, compared with the fasting controls. In the SON, refeeding also
significantly increased the number of nesfatin-1-immunoreactive neurons and NUCB2
mRNA expression, compared with fasting. These results indicate that nesfatin-1
neurons in the PVN and SON highly overlap with oxytocin and vasopressin neurons
and that they are activated markedly by refeeding. Feeding-activated nesfatin-1
neurons in the PVN and SON could play a role in the postprandial regulation of
feeding behavior and energy homeostasis.
PMID- 18048496
TI - Triclocarban enhances testosterone action: a new type of endocrine disruptor?
AB - Many xenobiotics have been associated with endocrine effects in a wide range of
biological systems. These associations are usually between small nonsteroid
molecules and steroid receptor signaling systems. In this report, triclocarban
(TCC; 3,4,4'-trichlorocarbanilide), a common ingredient in personal care products
that is used as an antimicrobial agent was evaluated and found to represent a new
category of endocrine-disrupting substance. A cell-based androgen receptor
mediated bioassay was used to demonstrate that TCC and other urea compounds with
a similar structure, which have little or no endocrine activity when tested
alone, act to enhance testosterone (T)-induced androgen receptor-mediated
transcriptional activity in vitro. This amplification effect of TCC was also
apparent in vivo when 0.25% TCC was added to the diet of castrated male rats that
were supported by exogenous testosterone treatment for 10 d. All male sex
accessory organs increased significantly in size after the T+TCC treatment,
compared with T or TCC treatments alone. The data presented here suggest that the
bioactivity of endogenous hormones may be amplified by exposure to commercial
personal care products containing sufficient levels of TCC.
PMID- 18048497
TI - Bisphenol A prevents the synaptogenic response to testosterone in the brain of
adult male rats.
AB - Exposure measurement data from several developed countries indicate that human
beings are widely exposed to low levels of the synthetic xenoestrogen, bisphenol
A. We reported previously that bisphenol A, even at doses below the reference
safe daily limit for human exposure, recommended by the U.S. Environmental
Protection Agency, impairs the synaptogenic response to 17beta-estradiol in the
hippocampus of ovariectomized rats. Recent experiments revealed that bisphenol A
also interferes with androgen receptor-mediated transcriptional activities. Thus,
to investigate whether bisphenol A impairs synaptogenesis in the medial
prefrontal cortex (mPFC) and hippocampus of adult male rats, castrated and sham
operated animals were treated with different combinations of bisphenol A (300
microg/kg), testosterone propionate (1.5 mg/kg), and sesame oil vehicle. The
brains were processed for electron microscopic stereology, and the number of
asymmetric spine synapses in the mPFC and CA1 hippocampal area was estimated. In
both regions analyzed, bisphenol A reduced the number of spine synapses in sham
operated, gonadally intact animals, which was accompanied by a compensatory
increase in astroglia process density. In addition, bisphenol A prevented both
the prefrontal and hippocampal synaptogenic response to testosterone
supplementation in castrated males. These results demonstrate that bisphenol A
interferes with the synaptogenic response to testosterone in the mPFC and
hippocampus of adult male rats. Because the hippocampal synaptogenic action of
androgens seems to be independent of androgen and estrogen receptors in males,
the potential mechanisms that underlie these negative effects of bisphenol A
remain the subject of further investigation.
PMID- 18048498
TI - Physiological concentration of 17beta-estradiol on sympathetic reinnervation in
ovariectomized infarcted rats.
AB - 17beta-Estradiol (E2) has been shown to exert antiarrhythmic effect after
myocardial infarction; however, the mechanisms remain unclear. This study was
performed to determine whether E2 exerts beneficial effects through attenuated
sympathetic hyperreinnervation after infarction. Two weeks after ovariectomy,
female Wistar rats were assigned to coronary artery ligation or sham operation.
Twenty-four hours after coronary ligation, rats underwent one of five treatments:
1) sc vehicle treatment (control), 2) sc E2 treatment, 3) sc E2 treatment +
tamoxifen (a potent estrogen receptor antagonist), 4) bosentan (an endothelin
receptor blocker), or 5) sc E2 treatment + bosentan and followed for 4 wk.
Myocardial endothelin-1 and norepinephrine levels at the remote zone revealed a
significant elevation in control infarcted rats, compared with sham-operated
rats, which is consistent with sympathetic hyperinnervation after infarction.
Sympathetic hyperinnervation was blunted after giving the rats either E2 or
bosentan, assessed by immunohistochemical analysis of tyrosine hydroxylase,
growth-associated protein 43 and neurofilament, and Western blotting and real
time quantitative RT-PCR of nerve growth factor. Arrhythmic scores during
programmed stimulation in E2-treated infarcted rats were significantly lower than
in control-infarcted rats. Addition of bosentan did not have additional
beneficial effects, compared with rats treated with E2 alone. The beneficial
effect of E2 on sympathetic hyperinnervation was abolished by tamoxifen. Our data
indicated that E2 has a role for sympathetic hyperinnervation after infarction,
probably through an endothelin-1-depedent pathway. Chronic administration of E2
after infarction may attenuate the arrhythmogenic response to programmed
electrical stimulation.
PMID- 18048500
TI - Expression and biological activity of parathyroid hormone-related peptide in
pregnant rat uterine artery: any role for 8-iso-prostaglandin F2alpha?
AB - PTHrP is produced in vessels and acts as a local modulator of tone. We recently
reported that PTHrP(1-34) is able to induce vasorelaxation in rat uterine
arteries, but in pregnancy, this response is blunted and becomes strictly
endothelium dependent. The present study aimed to get insights into the
mechanisms involved in these changes because the adaptation of uterine blood flow
is essential for fetal development. On d 20 of gestation, RT-PCR analysis of
uterine arteries showed that PTH/PTHrP receptor (PTH1R) mRNA expression was
decreased, whereas that of PTHrP mRNA was increased. This was associated with a
redistribution of the PTHrP/PTH1R system, with both PTH1R protein and PTHrP
peptide becoming concentrated in the intimal layer of arteries from pregnant
rats. On the other hand, the blunted vasorelaxation induced by PTHrP(1-34) in
uterine arteries from pregnant rats was specifically restored by indomethacin and
a specific cyclooxygenase-2 inhibitor, NS 398. This was associated with an
increase in cyclooxygenase-2 expression and in 8-iso-prostaglandin F(2alpha)
release when uterine arteries from pregnant rats were exposed to high levels of
PTHrP(1-34). Most interestingly, 8-iso-prostaglandin F(2alpha) itself was able to
increase PTHrP expression and reduce PTH1R expression in cultured rat aortic
smooth muscle cells. These results suggest a local regulation of uterine artery
functions by PTHrP during pregnancy resulting from PTH1R redistribution.
Moreover, they shed light on a potential role of 8-iso-prostaglandin F(2alpha).
PMID- 18048499
TI - Inflammatory status influences aromatase and steroid receptor expression in
endometriosis.
AB - Aberrant up-regulation of aromatase in eutopic endometrium and implants from
women with endometriosis has been reported. Aromatase induction may be mediated
by increased cyclooxygenase-2 (COX-2). Recently, we demonstrated that
progesterone receptor (PR)-A and PR-B serve an antiinflammatory role in the
uterus by antagonizing nuclear factor kappaB activation and COX-2 expression. PR
C, which antagonizes PR-B, is up-regulated by inflammation. Although estrogen
receptor alpha (ERalpha) is implicated in endometriosis, an antiinflammatory role
of ERbeta has been suggested. We examined stage-specific expression of aromatase,
COX-2, ER, and PR isoform expression in eutopic endometrium, implants,
peritoneum, and endometrioma samples from endometriosis patients. Endometrial and
peritoneal biopsies were obtained from unaffected women and those with fibroids.
Aromatase expression in eutopic endometrium from endometriosis patients was
significantly increased compared with controls. Aromatase expression in
endometriosis implants was markedly increased compared with eutopic endometrium.
Aromatase mRNA levels were increased significantly in red implants relative to
black implants and endometrioma cyst capsule. Moreover, COX-2 expression was
increased in implants and in eutopic endometrium of women with endometriosis as
compared with control endometrium. As observed for aromatase mRNA, the highest
levels of COX-2 mRNA were found in red implants. The ratio of ERbeta/ERalpha mRNA
was significantly elevated in endometriomas compared with endometriosis implants
and eutopic endometrium. Expression of PR-C mRNA relative to PR-A and PR-B mRNA
was significantly increased in endometriomas compared with eutopic and control
endometrium. PR-A protein was barely detectable in endometriomas. Thus, whereas
PR-C may enhance disease progression, up-regulation of ERbeta may play an
antiinflammatory and opposing role.
PMID- 18048501
TI - Conditional expression of a Gi-coupled receptor in osteoblasts results in
trabecular osteopenia.
AB - G protein-coupled receptors (GPCRs) coupled to activation of Gs, such as the PTH1
receptor (PTH1R), have long been known to regulate skeletal function and
homeostasis. However, the role of GPCRs coupled to other G proteins such as Gi is
not well established. We used the tet-off system to regulate the expression of an
activated Gi-coupled GPCR (Ro1) in osteoblasts in vivo. Skeletal phenotypes were
assessed in mice expressing Ro1 from conception, from late stages of
embryogenesis, and after weaning. Long bones were assessed histologically and by
microcomputed tomography. Expression of Ro1 from conception resulted in neonatal
lethality that was associated with reduced bone mineralization. Expression of Ro1
starting at late embryogenesis resulted in a severe trabecular bone deficit at 12
wk of age (>51% reduction in trabecular bone volume fraction in the proximal
tibia compared with sex-matched control littermates; n = 11; P < 0.01). Ro1
expression for 8 wk beginning at 4 wk of age resulted in a more than 20%
reduction in trabecular bone volume fraction compared with sex-matched control
littermates (n = 16; P < 0.01). Bone histomorphometry revealed that Ro1
expression is associated with reduced rates of bone formation and mineral
apposition without a significant change in osteoblast or osteoclast surface. Our
results indicate that signaling by a Gi-coupled GPCR in osteoblasts leads to
osteopenia resulting from a reduction in trabecular bone formation. The severity
of the phenotype is related to the timing and duration of Ro1 expression during
growth and development. The skeletal phenotype in Ro1 mice bears some similarity
to that produced by knockout of Gs-alpha expression in osteoblasts and thus may
be due at least in part to Gi-mediated inhibition of adenylyl cyclase.
PMID- 18048502
TI - Derlin-1 and p97/valosin-containing protein mediate the endoplasmic reticulum
associated degradation of human V2 vasopressin receptors.
AB - The endoplasmic reticulum-associated degradation (ERAD), the main quality control
pathway of the cell, is crucial for the elimination of unfolded or misfolded
proteins. Several diseases are associated with the retention of misfolded
proteins in the early secretory pathway. Among them is X-linked nephrogenic
diabetes insipidus, caused by mutations in the gene encoding the V2 vasopressin
receptor (V2R). We studied the degradation pathways of three intracellularly
retained V2R mutants with different misfolded domains in human embryonic kidney
293 cells. At steady state, the wild-type V2R and the complex-glycosylated mutant
G201D were partially located in lysosomes, whereas core-glycosylated mutants L62P
and V226E were excluded from this compartment. In pulse-chase experiments,
proteasomal inhibition stabilized the nonglycosylated and core-glycosylated forms
of all studied receptors. In addition, all mutants and the wild-type receptor
were found to be polyubiquitinylated. Nonglycosylated and core-glycosylated
receptor forms were located in cytosolic and membrane fractions, respectively,
confirming the deglycosylation and retrotranslocation of ERAD substrates to the
cytosol. Distinct Derlin-1-dependent and -independent ERAD pathways have been
proposed for proteins with different misfolded domains (cytosolic, extracellular,
and membrane) in yeast. Here, we show for the first time that V2R mutants with
different misfolded domains are able to coprecipitate the ERAD components
p97/valosin-containing protein, Derlin-1 and the 26S proteasome regulatory
subunit 7. Our results demonstrate the presence of a Derlin-1-mediated ERAD
pathway degrading wild-type and disease-causing V2R mutants with different
misfolded domains in a mammalian system.
PMID- 18048503
TI - Recognising and responding to acute illness in patients in hospital.
PMID- 18048504
TI - Six year audit of cardiac arrests and medical emergency team calls in an
Australian outer metropolitan teaching hospital.
AB - PROBLEM: In-hospital cardiac arrest often represents failure of optimal clinical
care. The use of medical emergency teams to prevent such events is controversial.
In-hospital cardiac arrests have been reduced in several single centre historical
control studies, but the only randomised prospective study showed no such
benefit. In our hospital an important problem was failure to call the medical
emergency team or cardiac arrest team when, before in-hospital cardiac arrest,
patients had fulfilled the criteria for calling the team. DESIGN: Single centre,
prospective audit of cardiac arrests and data on use of the medical emergency
team during 2000 to 2005. SETTING: 400 bed general outer suburban metropolitan
teaching hospital. STRATEGIES FOR CHANGE: Three initiatives in the hospital to
improve use of the medical emergency team: orientation programme for first year
doctors, professional development course for medical registrars, and the evolving
role of liaison intensive care unit nurses. KEY MEASURES FOR IMPROVEMENT:
Incidence of cardiac arrests. EFFECTS OF THE CHANGE: Incidence of cardiac arrests
decreased 24% per year, from 2.4/1000 admissions in 2000 to 0.66/1000 admissions
in 2005. LESSONS LEARNT: Medical emergency teams can be efficacious when
supported with a multidisciplinary, multifaceted education system for clinical
staff.
PMID- 18048505
TI - Doctors and climate change.
PMID- 18048506
TI - Colectomy and IBD. Does surgery improve survival?
PMID- 18048507
TI - Asylum seekers' access to care. Document is worrying.
PMID- 18048508
TI - Community acquired pneumonia: Antibiotic coverage is atypical: evidence from
randomised trials.
PMID- 18048509
TI - Acute bronchiolitis. There may be a treatment.
PMID- 18048510
TI - Acute bronchiolitis: Risk of hyponatraemia.
PMID- 18048511
TI - Climate change and health. We must all act now.
PMID- 18048513
TI - Former UN envoy attacks UNAIDS for its "catatonic passivity".
PMID- 18048512
TI - Foreign doctors' victory: British benevolence and betrayal.
PMID- 18048514
TI - Drug companies are ignoring health crisis in poor countries, Oxfam says.
PMID- 18048515
TI - Scientists "reprogramme" skin cells to create embryonic stem cells.
PMID- 18048516
TI - More than four in 10 women were not offered the choice of a home birth, report
says.
PMID- 18048517
TI - Diabetes expert accuses drug company of "intimidation".
PMID- 18048519
TI - Stringent constraints on use of patients' data are harming research.
PMID- 18048520
TI - Targeted screening may be a cost effective way to detect glaucoma.
PMID- 18048523
TI - Studies of environmental causes of disease need to be more rigorous, leading
scientists say.
PMID- 18048524
TI - UN conference on climate change will test countries' commitment to public health.
PMID- 18048525
TI - A third of people in UK with HIV don't know they are infected.
PMID- 18048526
TI - Israel is set to outlaw trafficking in human organs.
PMID- 18048528
TI - Cocaine use rises in Europe while overall drug use levels out.
PMID- 18048535
TI - Counterfeit medicines. Bitter pills.
PMID- 18048536
TI - Learning the second way.
PMID- 18048537
TI - Behold the Christmas miracle of antioxidants.
PMID- 18048538
TI - Thalidomide--the drama continues.
PMID- 18048539
TI - Competition in a publicly funded healthcare system.
PMID- 18048540
TI - Future of quality measurement.
PMID- 18048541
TI - Vaginal discharge.
PMID- 18048542
TI - Pemphigus vulgaris.
PMID- 18048543
TI - Alcohol hand rubs: hygiene and hazard.
PMID- 18048550
TI - Postdischarge follow-up: research and practice disconnect.
PMID- 18048551
TI - Smoking rates among adolescents in substance abuse treatment programs.
PMID- 18048549
TI - Focus groups of Alaska Native adolescent tobacco users: preferences for tobacco
cessation interventions and barriers to participation.
AB - Tobacco cessation interventions developed for Alaska Native adolescents do not
exist. This study employed focus group methodology to explore preferences for
tobacco cessation interventions and barriers to participation among 49 Alaska
Natives (61% female) with a mean age of 14.6 (SD = 1.6) who resided in western
Alaska. Using content analysis, themes from the 12 focus groups were found to be
consistent across village, gender, and age groups. Program location or site
(e.g., away from the village, hunting, fishing), a group-based format, and
inclusion of medication and personal stories were reported to be important
attributes of cessation programs. Motivators to quit tobacco were the perceived
adverse health effects of tobacco, improved self-image and appearance, and the
potential to be a future role model as a non-tobacco user for family and friends.
Parents were perceived as potentially supportive to the adolescent in quitting
tobacco. The findings will be used to develop tobacco cessation programs for
Alaska Native youth.
PMID- 18048552
TI - "We never used to do things this way": behavioral health care reform in New
Mexico.
AB - This column describes the first year of efforts in New Mexico to reform the
behavioral health system. The process, guided by principles of cultural exchange
theory, seeks to establish a "collaborative culture" among all stakeholders
involved, including state agencies, consumers, families, advocates, and
providers. Challenges have included inadequate system funding; insufficient
development of skill sets among state personnel; underestimation of time and
labor needed to address complex tasks; varying federal statutory and funder
requirements for individual agencies; lack of a solid infrastructure for data
collection, management, and dissemination; and clear definitions of the roles and
relationships of local stakeholders to the state leadership group.
PMID- 18048553
TI - Measuring trends in mental health care disparities, 2000 2004.
AB - OBJECTIVE: This study measured trends in disparities in mental health care by use
of an improved method that applies the Institute of Medicine (IOM) definition of
racial-ethnic disparities. METHODS: Data from the 2000-2001 and 2003-2004 Medical
Expenditure Panel Surveys were used to estimate trends in two global measures of
racial-ethnic disparities in mental health care: having any mental health visit
and total mental health care expenditure in the past year. Disparities between
African Americans, Hispanics, and white Americans were examined by applying a new
methodology based on the IOM definition of racial disparity that adjusts for
health status and allows for mediation of racial-ethnic disparities through
socioeconomic factors. Results found by use of this measure are contrasted with
unadjusted means. RESULTS: African-American-white and Hispanic-white disparities
in any use of mental health care worsened from 2000-2001 to 2003-2004 when the
IOM definition was used; however, these trends were not evident in the unadjusted
comparison. No significant African-American-white disparities were found in total
mental health expenditures. Hispanic-white disparities in total mental health
expenditures were significant within each time period and increased between 2000
2001 and 2003-2004. CONCLUSIONS: The mental health care system continues to
provide less care to persons in African-American and Hispanic minority groups
than to whites, suggesting the need for policy initiatives to improve services
for these minority groups. Future efforts at identifying trends in disparities in
mental health services should use methodologies that adjust for health status and
allow socioeconomic factors to mediate differences.
PMID- 18048554
TI - Ethnocultural variations in mental illness discourse: some implications for
building therapeutic alliances.
AB - OBJECTIVE: In the delivery of mental health services over the past decade, the
field has attempted to shift from paternalism to client-centered care, in which
treatment and recovery are based on client-practitioner collaboration. Such a
shift requires that providers elicit and work with clients' discursive accounts
of their illness experiences and understand these accounts in the context of
clients' ethnocultural backgrounds. The purpose of this ethnography was to
elucidate ethnocultural aspects of illness accounts and interactions with the
mental health system. METHODS: Over 18 months, 25 ethnically diverse, unemployed,
inner-city residents with severe and long-term mental disorders participated in
an ethnographic (participant observation) study of illness accounts and their
relationship to sociocultural background. Field ethnographers shared in
activities with participants at many of their regular haunts, engaging in
observation, conversation, and informal interviewing in many real-world contexts
and settings. RESULTS: The study revealed significant differences between the
ways that European Americans, African Americans, and Puerto Rican Americans
discursively constructed their illness experiences and their interactions with
the mental health system. The clients' narratives of their illness experiences
provided valuable information, which clinicians could use to open up topics for
discussion, insert themselves into an engaging relationship with clients, and
link clinical advice or guidance with the clients' own conceptions of how mental
illness fits into their larger lived world. CONCLUSIONS: To develop a working
therapeutic partnership with clients, mental health service providers must become
aware through context-sensitive, context-informed dialog of the differences in
how individual clients "en-story," communicate, and experience their illnesses.
PMID- 18048555
TI - Does stigma keep poor young immigrant and U.S.-born Black and Latina women from
seeking mental health care?
AB - OBJECTIVE: This study examined the extent to which stigma-related concerns about
mental health care account for the underuse of mental health services among low
income immigrant and U.S.-born black and Latina women. METHODS: Participants
included 15,383 low-income women screened for depression in county entitlement
services who were asked about barriers to care, stigma-related concerns, and
whether they wanted or were getting mental health care. RESULTS: Among those who
were depressed, compared with U.S.-born white women, each of the black groups
were more likely to report stigma concerns (African immigrants, odds ratio
[OR]=3.28, p=.004; Caribbean immigrants, OR=6.17, p=.005; U.S.-born blacks,
OR=6.17, p=.06). Compared with U.S.-born white women, immigrant African women
(OR=.18, p<.001), immigrant Caribbean women (OR=.11, p=.001), U.S.-born black
women (OR=.31, p<.001), and U.S.-born Latinas (OR=.32, p=.03) were less likely to
want treatment. Conversely, compared with U.S.-born white women, immigrant
Latinas (OR=2.17, p=.02) were more likely to want treatment. There was a
significant stigma-by-immigrant interaction predicting interest in treatment
(p<.001). Stigma reduced the desire for mental health treatment for immigrant
women with depression (OR=.35, p<.001) to a greater extent than it did for U.S.
born white women with depression (OR=.52, p=.24). CONCLUSIONS: Stigma-related
concerns are most common among immigrant women and may partly account for
underutilization of mental health care services by disadvantaged women from
ethnic minority groups.
PMID- 18048556
TI - Initiation and use of public mental health services by persons with severe mental
illness and limited english proficiency.
AB - OBJECTIVE: Few studies have examined the effect of limited English proficiency on
use of mental health services by persons with mental illness from ethnic minority
groups who are uninsured or publicly insured. This study examined how indigent or
publicly insured Latino and Asian adults with limited English proficiency
initially accessed the public mental health system and how their use of services
changed over time compared with English-proficient peers. METHODS: Data from San
Diego County for fiscal years 2000-2005 were used to examine point of first
contact and use of inpatient, emergency, and outpatient services in the 18
subsequent months among 9,243 clients with a psychiatric diagnosis of
schizophrenia, bipolar disorder, or major depression. Multivariate regression
models were used to compute standardized estimates of utilization. RESULTS:
Latino and Asian clients with limited English proficiency were significantly less
likely to first access the system through emergency services and more likely to
access the system through outpatient services (p<.001 for each comparison). In
two outpatient programs that were focused on delivering services to clients with
limited English proficiency, clients had a higher intensity of outpatient service
use than clients in clinics that did not have such a focus (p<.05 for each).
CONCLUSIONS: The initial pattern of service use was favorable for both groups.
However, over time this pattern persisted for Asian clients with limited English
proficiency but not for Latino clients with limited English proficiency. Findings
suggest that ethnically focused programs may be an effective approach to engaging
populations that are underrepresented in the mental health system.
PMID- 18048557
TI - Predictors of timely follow-up care among medicaid-enrolled adults after
psychiatric hospitalization.
AB - OBJECTIVE: This study examined whether predictors of timely follow-up care after
inpatient psychiatric discharge in non-Medicaid populations also predicted timely
follow-up care among Medicaid-enrolled adults. METHODS: The study examined the
rates of seven- and 30-day follow-up care for 6,730 Medicaid-enrolled adults
discharged from inpatient psychiatric facilities during 2004 and 2005 by using
claims data from the largest Medicaid managed behavioral health organization in a
large mid-Atlantic state. The relationship between predictor variables and timely
aftercare was examined by using multiple logistic regression. RESULTS: Thirty
percent of individuals received follow-up care within seven days, and 49%
received follow-up care within 30 days. After the analysis controlled for age and
gender, those receiving clinical services in the 30 days before hospitalization
were significantly more likely to receive follow-up care within seven days (odds
ratio [OR]=3.59, 95% confidence interval [CI]=3.20-4.03) than individuals with
longer inpatient stays (ten or more days) (OR=1.34, CI=1.15-1.57) and individuals
from urban communities (OR=1.18, CI=1.05-1.34). African Americans (OR=.69, CI=.60
.78), individuals with co-occurring behavioral health and substance use disorders
(OR=.78, CI=.68-.89), individuals involuntarily admitted (OR=.79, CI=.68-.91),
and individuals discharged against medical advice (OR=.59, CI=.39-.87) were
significantly less likely than their comparison groups to receive follow-up care
within seven days. Thirty-day follow-up care results were similar. CONCLUSIONS:
Patient sociodemographic, clinical, and service utilization characteristics
predicted timely follow-up care. Efforts to improve follow-up care utilization
should target higher-risk individuals while developing and evaluating
interventions to address specific barriers in these groups.
PMID- 18048558
TI - Service use and cost in 2002 among clients in community settings who were
discharged from a state hospital in 1989.
AB - OBJECTIVE: This analysis is a follow-up of a 1992 study of service use and cost
of care among patients discharged from a state hospital. This study documented
utilization and cost of care in 2002. METHODS: Study participants were 150 former
long-stay patients who were discharged from a state psychiatric hospital in 1989.
An integrated database of all mental health and medical services reimbursed by
Medicaid and Medicare as well as state- and county-funded services was used to
construct descriptive statistics on service use and unit cost measures. Data on
mortality, homelessness and criminal arrests were obtained from vital statistics,
jail records and shelter admissions. RESULTS: During 2002, 18% of study
participants had a psychiatric hospital admission, with a mean length of stay of
60 days. Almost all participants (99%) received some form of outpatient mental
health care, and 66% were living in publicly funded residential housing. The
total annual cost per study participant for the 2002 service package was $89,699.
Residential care accounted for 54% of the total cost. Between 1989 and 2005, 3%
were admitted to county jails and 9% had experienced homelessness. Of the
original discharged sample of 590 patients, 37% died between 1989 and 2002; the
mean age at death was 63+/-15 years. CONCLUSIONS: This analysis suggests that
individuals discharged from state psychiatric institutions have been integrated
into community residential settings and are receiving psychiatric outpatient
treatment on a regular basis. Cost estimates, using the consumer price index for
2002, were $78,773 in 1992 compared with $85,850 for the exact same service
package in 2002.
PMID- 18048559
TI - Critical time intervention for reentry from prison for persons with mental
illness.
AB - Critical time intervention (CTI) is a nine-month, three-stage intervention that
strategically develops individualized linkages in the community and seeks to
enhance engagement with treatment and community supports through building problem
solving skills, motivational coaching, and advocacy with community agencies. It
is an empirically supported practice shown to enhance continuity of care for
people with mental illness after discharge from homeless shelters and psychiatric
hospitals. This article describes CTI as a promising model to provide support for
reentry from prison for people with mental illness. A conceptual model is
presented for evaluating the impact of CTI on the transition from correctional
settings to the community. The model is potentially useful for further
development of mental health service-driven models of reentry process and
outcome. Although CTI is a potentially useful model for reentry services for this
population, challenges remain in adapting it to specific correctional facilities,
justice systems, and community contexts.
PMID- 18048560
TI - Horizons of context: understanding the police decision to arrest people with
mental illness.
AB - The criminalization hypothesis assumes that deinstitutionalization coupled with
inadequate police training has led to the increased arrest of people with mental
illness. Arrest is viewed as a means to manage the troublesome behavior that
often results from mental illness. Supporting research has emphasized the
contributing role that illness plays in the arrest decision. This assumption
largely ignores an extant criminal justice literature on the factors that
influence arrest. On the basis of a review of this criminal justice literature,
beginning with Bittner's 1967 seminal work, a framework is proposed that
incorporates three contexts -- manipulative, temporal, and scenic -- surrounding
the police encounter and the relationship of these contexts to mental illness.
These three "horizons" incorporate the characteristics of the community, the
offender, and the incident, all of which are recognized as influential in shaping
police discretion. The scenic horizon is indicative of the features of the
community. The temporal horizon includes police knowledge that stretches beyond
the specific incident and officer characteristics. The manipulative horizon
involves the current incident from the standpoint of the officer and includes
considerations of safety for the community as well as the immediate concerns of
the officer. Implications of this framework are then explored with respect to
both police and mental health service mandates.
PMID- 18048561
TI - Cultural competence, evidence-based medicine, and evidence-based practices.
AB - Cultural competence and evidence-based medicine are two powerful discourses that
have become core components of contemporary psychiatry. Evidence-based medicine
has particularly influenced psychiatry by spawning the enthusiastic creation and
adoption of evidence-based practices. Despite their prominence, these paradigms
have stood somewhat in isolation from each other. This Open Forum explores the
relationship between these two conceptual paradigms, paying particular attention
to implications for evidence-based practices. The author aims to stimulate a
greater degree of mutual engagement and integration of these paradigms by
examining epistemological, philosophical, and methodological overlap and
discrepancy. Both paradigms can stretch and enrich each other in a positive
manner. This could help achieve a situation where cultural competency becomes
more evidence based and evidence-based medicine becomes more culturally
competent. Such action would help bring to fruition a shared aim of both
discourses-more humane, just, and effective patient-centered care.
PMID- 18048562
TI - A qualitative analysis of the perception of stigma among Latinos receiving
antidepressants.
AB - OBJECTIVE: This study sought to describe the role of stigma in antidepressant
adherence among Latinos. METHODS: The study utilized data generated from six
focus groups of Latino outpatients receiving antidepressants (N=30). By using a
grounded theory approach, qualitative analysis focused specifically on the role
of stigma in antidepressant treatment, as well as salient Latino values. RESULTS:
Perceptions of stigma were related to both the diagnosis of depression and use of
antidepressant medication. Qualitative analyses showed that antidepressant use
was seen as implying more severe illness, weakness or failure to cope with
problems, and being under the effects of a drug. Reports of stigma were also
related to social consequences. Also, the perceived negative attributes of
antidepressant use were at odds with self-perceived cultural values. CONCLUSIONS:
Stigma was a prominent concern among Latinos receiving antidepressants, and
stigma often affected adherence. Furthermore, culture is likely to play an
important role in the communication of stigma and its associated complications.
PMID- 18048563
TI - Utilization of mental health treatment and other services by battered women in
shelters.
AB - OBJECTIVE: This study investigated the frequency and types of resources used by
battered women in shelters, as well as factors that relate to greater use of
mental health services and to seeking help from a greater number of resources.
METHODS: A total of 164 African-American (N=94) and white (N=70) battered women
in two shelters were examined by using a series of clinical interviews and self
report measures that assessed posttraumatic stress disorder and other axis I
pathology, mental health treatment and other service use, abuse severity, and
lifetime trauma prevalence. RESULTS: Results suggest that few of the women used
available resources, especially mental health treatment, with African-American
women less likely to use mental health treatment and criminal justice
interventions. Additionally, possession of medical insurance and a greater number
of types of prior trauma were significantly associated with greater help seeking.
CONCLUSIONS: Findings highlight the need for identifying barriers to battered
women's access to resources, as well as the importance of assisting them in
obtaining medical coverage.
PMID- 18048564
TI - Revalidating the brief jail mental health screen to increase accuracy for women.
AB - OBJECTIVE: Jails need a reliable tool to identify inmates who require further
mental health assessment and treatment. This research attempted to revalidate the
Brief Jail Mental Health Screen (BJMHS) as such a tool. This research added four
items to the original eight-item screen (BJMHS-R), targeting depression and
trauma to improve performance of the screen with women. METHODS: BJMHS-R data
were collected in four jails from 10,258 detainees. A subset of 464 were
administered the Structured Clinical Interview for DSM-IV (SCID) for cross
validation. RESULTS: The original BJMHS outperformed the revised screen. The
original correctly classified 80% of males and 72% of females on the basis of
SCID diagnoses, compared with classification rates of 72% and 66%, respectively,
with the BJMHS-R. Overall, the BJMHS identified 16% of screened detainees as
needing referral for further assessment, whereas the BJMHS-R identified 22%.
CONCLUSIONS: The original eight-item BJMHS is a practical, efficient tool for
intake screening by jail correction officers of male and female detainees.
PMID- 18048565
TI - Routine laboratory screening among newly admitted psychiatric patients: is it
worthwhile?
AB - OBJECTIVE: This study compared the prevalence of abnormal results of laboratory
tests routinely performed among newly hospitalized psychiatric patients in Spain
and the cost-effectiveness of such tests. METHODS: Routine biochemical tests were
performed for 510 newly hospitalized psychiatric patients. For all tests, this
study examined the prevalence of values outside the normal range and compared the
number needed to screen to find one abnormal result (NNSAR=1/prevalence of
abnormal results in the studied population) with the direct cost spent to find
one abnormal result (DCSAR=NNSAR x direct cost per test). RESULTS: The prevalence
of values outside the normal range ranged from 1% for free thyroxine (FT4) to 36%
for total cholesterol. The NNSAR ranged from 2.8 for total cholesterol to 127.3
for FT4. The DCSAR ranged from .38 international dollars of 2000 (I$) for
chloride to 402.27 I$ for FT4. CONCLUSIONS: There were large differences in the
prevalence of abnormal results (NNSAR) and cost-effectiveness (DCSAR) for the
laboratory tests routinely performed among newly admitted psychiatric patients.
PMID- 18048566
TI - States' priorities for persons with mental illness in the criminal justice
system.
PMID- 18048567
TI - The ten commandments of services research.
PMID- 18048568
TI - Origins of the quadrant model for persons with co-occurring disorders.
PMID- 18048571
TI - The effects of population-based faecal occult blood test screening upon emergency
colorectal cancer admissions in Coventry and north Warwickshire.
AB - OBJECTIVE: The English arm of the UK Bowel Cancer Screening Pilot has recently
concluded its third round. The primary aim of this study was to assess the
effects of this programme on the emergency and elective cancer workload at
University Hospitals Coventry and Warwickshire NHS Trust; the largest trust
within the screened region. The secondary aim was to assess its effect upon Dukes
staging, mortality and stoma formation for emergency colorectal cancer (CRC)
admissions. DESIGN: A retrospective analysis of validated data for CRC admissions
over a period of 6 years from 1999 to 2004 was performed. The first year, 1999,
represented the pre-screening year (PSY) which was taken as a baseline. Data for
the next 5 years, screening years 1-5 (SY1-SY5), were recorded for the mode of
admission, occurrence of emergency surgery, 30-day mortality and Dukes staging.
RESULTS: In the PSY (1999), 29.4% of CRCs were admitted as an emergency,
decreasing to 15.8% by 2004 (p = 0.001). As a consequence, there was a
significant decrease in the number of emergency CRC procedures performed over the
same period (p<0.05). There was also a significant reduction in the 30-day
mortality from 48% in 1999 to 13% in 2004 (p<0.05). Dukes stage C carcinomas,
however, remained the predominant stage presenting as emergencies throughout the
studied period (SY3, 53%; SY4, 38%; SY5, 50%). CONCLUSION: Following commencement
of the UK Bowel Cancer Screening Pilot, there has been a significant decline in
emergency CRC workload with a marked improvement in 30-day mortality and
decreased stoma formation, in Coventry and North Warwickshire. It is postulated
that the witnessed and notable positive impact over such a short time period is
the result of increased detection of asymptomatic malignancies within the
screening programme, increased public awareness of the symptoms of CRC, together
with a change in attitudes and referral patterns of general practitioners within
Coventry and North Warwickshire.
PMID- 18048572
TI - Pharmacokinetics and pharmacodynamics of oral heparin solid dosage form in
healthy human subjects.
AB - The present investigation determined the molecular structure and the
pharmacokinetic and pharmacodynamic profiles of oral unfractionated heparin
containing oral absorption enhancer sodium N-[8-(2-hydroxybenzoyl)
amino]caprylate, salcaprozate sodium (SNAC) and assessed the safety and
tolerability of the orally dosed heparin solid dosage form versus other routes.
Sixteen healthy men were included in this single-dose, 3-way crossover,
randomized, open-label study. Disaccharide compositional analysis was performed
using capillary high-performance liquid chromatography with electrospray
ionization mass spectrometry detection. The pharmacodynamics of heparin were
obtained from analysis of plasma anti-factor Xa, anti-factor IIa, activated
partial thromboplastin time, and total tissue factor pathway inhibitor data. The
molecular weight properties and the disaccharide composition of orally
administered unfractionated heparin/SNAC and parenterally administered
unfractionated heparin are identical and consistent with the starting
pharmaceutical standard heparin. Furthermore, the anti-factor Xa/anti-factor IIa
ratio achieved is of approximately 1:1. This is the first true pharmacokinetic
study to measure the chemical compositions of heparin administered by different
routes.
PMID- 18048573
TI - Effect of high-dose metronidazole on pharmacokinetics of oral budesonide and vice
versa: a double drug interaction study.
AB - Recent case reports suggest that addition of high-dose metronidazole might be
associated with elevated plasma concentrations of substrates of cytochrome P450
(CYP) 3A. Because patients with fistulizing Crohn's disease benefit by using high
doses of metronidazole for prolonged periods, this study's primary aim was to
evaluate the effect of high-dose metronidazole on the pharmacokinetics of oral
budesonide, a sensitive substrate of CYP3A commonly prescribed in acute
inflammatory bowel disease. Twelve healthy adults received 1.5 g metronidazole
per day over 1 week. The CYP3A-dependent metabolic profile of an oral dose of
budesonide (3 mg) and that of endogenous cortisol were compared intraindividually
before and after administration of metronidazole. There was neither a significant
effect of high-dose metronidazole on the area under the plasma concentration-time
curve (AUC) of budesonide (90% confidence interval, 79%-115%) nor on the AUC
ratios of 6beta-hydroxybudesonide/budesonide and 16alpha
hydroxyprednisolone/budesonide. In parallel, metronidazole did not significantly
alter formation of 6beta-hydroxycortisol. Vice versa, budesonide did not affect
the AUC of metronidazole (90% confidence interval, 91%-100%). The authors
conclude that in contrast to concomitant intake of other imidazoles such as
ketoconazole, concomitant intake of metronidazole may not lead to serious safety
concerns due to elevated systemic concentrations of the glucocorticoid
budesonide.
PMID- 18048574
TI - A randomized, placebo-controlled trial to evaluate the efficacy, safety, and
pharmacodynamic interaction of coadministered amlodipine and atorvastatin in 1660
patients with concomitant hypertension and dyslipidemia: the respond trial.
AB - Guidelines stress the importance of the simultaneous management of multiple
cardiovascular risk factors. This can in part be achieved by coadministration of
lipid-lowering and antihypertensive treatments. Potential pharmacodynamic
interaction between drugs should be investigated as part of developing single
pill combinations. The Respond trial assessed whether combining amlodipine to
treat hypertension and atorvastatin to treat dyslipidemia affected the action of
either monotherapy. A total of 1660 hypertensive patients with dyslipidemia
received 1 of 15 combinations of amlodipine (placebo, 5, or 10 mg) and
atorvastatin (placebo, 10, 20, 40, or 80 mg) in a 3 x 5 factorial randomized,
placebo-controlled design. At 8 weeks, combination-treated patients experienced
dose-related and statistically significant reductions in systolic blood pressure,
low-density lipoprotein cholesterol, and Framingham risk score. Overall,
coadministered atorvastatin and amlodipine was well tolerated and without adverse
pharmacodynamic interaction; combination treatment did not affect the low-density
lipoprotein cholesterol-lowering efficacy and safety of atorvastatin, or the
systolic blood pressure-lowering efficacy and safety of amlodipine.
PMID- 18048575
TI - Antiretroviral drugs.
AB - The first antiretroviral drug to be licensed, zidovudine, became available in
1987. Until December 1995, the antiretroviral drugs available and approved for
clinical use in the United States consisted of only 5 individual drugs belonging
to a single class of antiretroviral agents, nucleoside analog reverse
transcriptase inhibitors. Since then, numerous other antiretroviral drugs and
classes of antiretroviral drugs have been introduced. Additional drugs and newer
classes of antiretrovirals are in various stages of development. Currently, there
are 22 Food and Drug Administration (FDA)-approved antiretroviral agents
categorized in 4 classes of drugs: nucleoside/nucleotide analog reverse
transcriptase inhibitors, nonnucleoside analog reverse transcriptase inhibitors,
protease inhibitors, and fusion inhibitors. The authors review the general
characteristics of each class of antiretroviral drugs, including mechanism of
action, pharmacologic properties, adverse effects, and drug interactions. A
synopsis of current antiretroviral treatment guidelines is also provided.
PMID- 18048576
TI - Commentary on ACCP position statement on the use of microdosing in the drug
development process.
PMID- 18048579
TI - Internalization via Antennapedia protein transduction domain of an scFv antibody
toward c-Myc protein.
AB - We constructed a single-chain variable fragment miniantibody (G11-scFv) directed
toward the transactivation domain of c-Myc, which is fused with the
internalization domain Int of Antennapedia at its carboxyl terminus (a cargo
carrier construct). In ELISA experiments, an EC(50) for binding saturation was
achieved at concentrations of G11-scFv-Int(-) of approximately 10(-8) M.
Internalization of a fluoresceinated Fl-G11-scFv-Int(+) construct was observed in
intact human cultured cells with confocal microscopy. After 5 h of incubation in
medium containing 1 microM Fl-G11-scFv-Int(+) or Fl-G11-scFv-Int(-), fluorescence
intensity was determined in individual cells, both for cytoplasmic and nuclear
compartments: concentration levels of Fl-G11-scFv-Int(+), relative to the
extracellular culture medium concentration, were 4-5 times higher in the
cytoplasm, 7-8 times higher in the nucleus, and 10 times higher in the nucleoli.
In the same experimental conditions, the Fl-G11-scFv-Int(-) construct was 3-4
times more concentrated outside of the cells than inside. Cell membranes kept
their integrity after 5 h of incubation. The antiproliferative activity of our
miniantibody was studied on HCT116 cells. Incubation with 4 microM G11-scFv
Int(+) for 4 days induced very significant statistical and biological growth
inhibition, whereas Int alone was completely inactive. Miniantibodies capable of
penetrating cell membranes dramatically broaden the potential for innovative
therapeutic agents and attack of new targets.
PMID- 18048580
TI - Targeting multiple Alzheimer's disease etiologies with multimodal neuroprotective
and neurorestorative iron chelators.
AB - Dysregulation of brain iron homeostasis is central to early neuropathological
events in Alzheimer's disease (AD), including oxidative stress, inflammatory
processes, amyloid deposition, tau phosphorylation, and neuronal cell cycle
regulatory failure, leading to apoptosis. Also, there is a direct link between
iron metabolism and AD pathogenesis, demonstrated by the presence of an iron
responsive element in the 5' UTR of the amyloid precursor protein transcript. As
a consequence of these findings, a new paradigm is emerging that includes the
development of iron-chelating neuroprotective-neurorescue drugs with multimodal
functions, acting at various pathological brain targets. This concept is
challenging the widely held assumption that "silver bullet" agents are superior
to "dirty drugs" in drug therapy for neurodegenerative diseases. At best, the so
called magic bullets exhibit moderate symptomatic activity without modifying the
course of disease progression. The present review elaborates on conventional and
novel therapeutic targets of various multifunctional iron-chelating drugs (e.g.,
chemically designed compounds; natural polyphenols) that address multiple central
nervous system etiologies in AD, aimed at preventing or slowing disease
evolution. A similar approach in drug design is being investigated for treatment
of cancer, AIDS, cardiovascular diseases, and depression.
PMID- 18048581
TI - Chromosome 17 and 21 aneuploidy in buccal cells is increased with ageing and in
Alzheimer's disease.
AB - Alzheimer's disease (AD) is a premature ageing syndrome characterized by
cognitive impairment arising from neuropathological changes occurring within
specific areas of the brain. We report a 1.5-fold increase in trisomy 21 (P <
0.001) and a 1.2-fold increase in trisomy 17 (P < 0.001) in buccal cells of
Alzheimer's patients compared to age- and gender-matched controls. Chromosome 17
and chromosome 21 monosomy and trisomy increase significantly with age (P <
0.001). Down's syndrome, which exhibits similar neuropathological features to
those observed in AD also showed a strong increase in chromosome 17 monosomy and
trisomy compared to matched controls (P < 0.001). These results suggest that an
increased incidence of aneusomy for both chromosomes 17 and 21 may contribute to
the aetiology of AD. We also investigated aneuploidy rates in hippocampal brain
cells, which have been shown to have a low rate of cell division, which may be
relevant in potential incidence of non-disjunction. However, aneuploidy rate for
chromosomes 17 and 21 in the nuclei of hippocampus cells of brains from
Alzheimer's patients and controls were not significantly different. These results
are suggestive that the aneuploidy events investigated which are increased beyond
the incidence in normal ageing may be influenced by genetic factors that may
predispose to AD, but are unlikely to be a primary cause of AD brain pathology.
PMID- 18048582
TI - Biomechanics of overground vs. treadmill walking in healthy individuals.
AB - The goal of this study was to compare treadmill walking with overground walking
in healthy subjects with no known gait disorders. Nineteen subjects were tested,
where each subject walked on a split-belt instrumented treadmill as well as over
a smooth, flat surface. Comparisons between walking conditions were made for
temporal gait parameters such as step length and cadence, leg kinematics, joint
moments and powers, and muscle activity. Overall, very few differences were found
in temporal gait parameters or leg kinematics between treadmill and overground
walking. Conversely, sagittal plane joint moments were found to be quite
different, where during treadmill walking trials, subjects demonstrated less
dorsiflexor moments, less knee extensor moments, and greater hip extensor
moments. Joint powers in the sagittal plane were found to be similar at the ankle
but quite different at the knee and hip joints. Differences in muscle activity
were observed between the two walking modalities, particularly in the tibialis
anterior throughout stance, and in the hamstrings, vastus medialis and adductor
longus during swing. While differences were observed in muscle activation
patterns, joint moments and joint powers between the two walking modalities, the
overall patterns in these behaviors were quite similar. From a therapeutic
perspective, this suggests that training individuals with neurological injuries
on a treadmill appears to be justified.
PMID- 18048583
TI - The effect of intermittent hypobaric hypoxic exposure and sea level training on
submaximal economy in well-trained swimmers and runners.
AB - To evaluate the effect of intermittent hypobaric hypoxia combined with sea level
training on exercise economy, 23 well-trained athletes (13 swimmers, 10 runners)
were assigned to either hypobaric hypoxia (simulated altitude of 4,000-5,500 m)
or normobaric normoxia (0-500 m) in a randomized, double-blind design. Both
groups rested in a hypobaric chamber 3 h/day, 5 days/wk for 4 wk. Submaximal
economy was measured twice before (Pre) and after (Post) the treatment period
using sport-specific protocols. Economy was estimated both from the relationship
between oxygen uptake (V(.-)o2) and speed, and from the absolute V(.-)o2 at each
speed using sport-specific protocols. V(.-)o2 was measured during the last 60 s
of each (3-4 min) stage using Douglas bags. Ventilation (V(.-)E), heart rate
(HR), and capillary lactate concentration ([La(-)]) were measured during each
stage. Velocity at maximal V(.-)o2 (velocity at V(.-)o2max) was used as a
functional indicator of changes in economy. The average V(.-)o2 for a given speed
of the Pre values was used for Post test comparison using a two-way, repeated
measures ANOVA. Typical error of measurement of V(.-)o2 was 4.7% (95% confidence
limits 3.6-7.1), 3.6% (2.8-5.4), and 4.2% (3.2-6.9) for speeds 1, 2, and 3,
respectively. There was no change in economy within or between groups (ANOVA
interaction P = 0.28, P = 0.23, and P = 0.93 for speeds 1, 2, and 3). No
differences in submaximal HR, [La-], Ve, or velocity at V(.-)o2(max) were found
between groups. It is concluded that 4 wk of intermittent hypobaric hypoxia did
not improve submaximal economy in this group of well-trained athletes.
PMID- 18048584
TI - Point: High-frequency ventilation is the optimal physiological approach to
ventilate ARDS patients.
PMID- 18048585
TI - Electrotonic remodeling following myocardial infarction in dogs susceptible and
resistant to sudden cardiac death.
AB - Passive electrical remodeling following myocardial infarction (MI) is well
established. These changes can alter electrotonic loading and trigger the
remodeling of repolarization currents, a potential mechanism for ventricular
fibrillation (VF). However, little is known about the role of passive electrical
markers as tools to identify VF susceptibility post-MI. This study investigated
electrotonic remodeling in the post-MI ventricle, as measured by myocardial
electrical impedance (MEI), in animals prone to and resistant to VF. MI was
induced in dogs by a two-stage left anterior descending (LAD) coronary artery
ligation. Before infarction, MEI electrodes were placed in remote (left
circumflex, LCX) and infarcted (LAD) myocardium. MEI was measured in awake
animals 1, 2, 7, and 21 days post-MI. Subsequently, VF susceptibility was tested
by a 2-min LCX occlusion during exercise; 12 animals developed VF (susceptible,
S) and 12 did not (resistant, R). The healing infarct had lower MEI than the
normal myocardium. This difference was stable by day 2 post-MI (287 +/- 32 Omega
vs. 425 +/- 62 Omega, P < 0.05). Significant differences were observed between
resistant and susceptible animals 7 days post-MI; susceptible dogs had a wider
electrotonic gradient between remote and infarcted myocardium (R: 89 +/- 60 Omega
vs. S: 180 +/- 37 Omega). This difference increased over time in susceptible
animals (252 +/- 53 Omega at 21 days) due to post-MI impedance changes on the
remote myocardium. These data suggest that early electrotonic changes post-MI
could be used to assess later arrhythmia susceptibility. In addition, passive
electrical changes could be a mechanism driving active-electrical remodeling post
MI, thereby facilitating the induction of arrhythmias.
PMID- 18048586
TI - Anatomical and functional development of the pre-Botzinger complex in prenatal
rodents.
AB - Developmental anomalies of central respiratory neural control contribute to
newborn mortality and morbidity. Elucidation of the cellular, molecular, trophic,
and genetic mechanisms involved in the formation and function of respiratory
nuclei during prenatal development will provide a foundation for understanding
pathologies. The pre-Botzinger Complex (pre-BotC) is a specific group of neurons
located in the ventrolateral medulla that is critical for respiratory
rhythmogenesis. Thus it has become a major focus of research. Here, we provide an
overview of current knowledge regarding the anatomical and functional emergence
of the rodent pre-BotC during the prenatal period.
PMID- 18048587
TI - Heritability of lumbar flexibility and the role of disc degeneration and body
weight.
AB - Spinal range of motion is evaluated in assessing patients with back problems and
monitoring outcomes, as well as in general fitness assessments. Yet, determinants
of the substantial interindividual variation in spinal range of motion are not
well understood. Substantial genetic effects on global measures of range of
motion and hypermobility have been suggested from earlier studies, but genetic
influences specifically on spinal range of motion have not been previously
studied. The objectives of the present study were to investigate the relative
role of genetic and environmental influences on lumbar range of motion in adult
men and the pathways through which genes may influence range of motion. Thus we
conducted a classic twin study of 300 monozygotic and dizygotic male twin pairs
with consideration of covariates, using standard statistical methods. All
subjects underwent a clinical examination, including general anthropometrics,
lumbar range of motion, and lumbar MRI to assess disc degeneration, as well as an
extensive interview on environmental and behavioral exposures and back pain
history. We found the proportion of variance in lumbar range of motion
attributable to genetic influences (heritability estimate) to be 47%. The extent
of lumbar range of motion in flexion was predominantly determined by genetic
influences (64%), while extension was influenced to a somewhat greater degree by
environmental and behavioral factors. Statistically significant age-adjusted
genetic correlations were found between lumbar extension and disc degeneration
variables (r(a) = -0.38 to -0.43) and between flexion and body weight (r(a) =
0.33), suggesting two pathways through which genes influence lumbar range of
motion.
PMID- 18048588
TI - Perspective on the future use of genomics in exercise prescription.
PMID- 18048589
TI - Dynamic left ventricular elastance: a model for integrating cardiac muscle
contraction into ventricular pressure-volume relationships.
AB - To integrate myocardial contractile processes into left ventricular (LV)
function, a mathematical model was built. Muscle fiber force was set equal to the
product of stiffness and elastic distortion of stiffness elements, i.e., force
bearing cross bridges (XB). Stiffness dynamics arose from recruitment of XB
according to the kinetics of myofilament activation and fiber-length changes.
Elastic distortion dynamics arose from XB cycling and the rate-of-change of fiber
length. Muscle fiber stiffness and distortion dynamics were transformed into LV
chamber elastance and volumetric distortion dynamics. LV pressure equaled the
product of chamber elastance and volumetric distortion, just as muscle-fiber
force equaled the product of muscle-fiber stiffness and lineal elastic
distortion. Model validation was in terms of its ability to reproduce cycle-time
dependent LV pressure response, DeltaP(t), to incremental step-like volume
changes, DeltaV, in the isolated rat heart. All DeltaP(t), regardless of the time
in the cycle at which DeltaP(t) was elicited, consisted of three phases: phase 1,
concurrent with the leading edge of DeltaV; phase 2, a brief transient recovery
from phase 1; and phase 3, sustained for the duration of systole. Each phase
varied with the time in the cycle at which DeltaP(t) was elicited. When the model
was fit to the data, cooperative activation was required to sustain systole for
longer periods than was possible with Ca(2+) activation alone. The model
successfully reproduced all major features of the measured DeltaP(t) responses,
and thus serves as a credible indicator of the role of underlying contractile
processes in LV function.
PMID- 18048591
TI - Multichannel thin-film electrode for intramuscular electromyographic recordings.
AB - It is currently not possible to record electromyographic (EMG) signals from many
locations concurrently inside the muscle in a single wire electrode system. We
developed a thin-film wire electrode system for multichannel intramuscular EMG
recordings. The system was fabricated using a micromachining process, with a
silicon wafer as production platform for polyimide-based electrodes. In the
current prototype, the flexible polymer structure is 220 microm wide, 10 microm
thick, and 1.5 cm long, and it has eight circular platinum-platinum chloride
recording sites of 40-microm diameter distributed along the front and back
surfaces with 1,500-microm intersite spacing. The system prototype was tested in
six experiments where the electrode was implanted into the medial head of the
gastrocnemius muscle of rabbits, perpendicular to the pennation angle of the
muscle fibers. Asynchronous motor unit activity was induced by eliciting the
withdrawal reflex or sequential crushes of the sciatic nerve using a pair of
forceps. Sixty-seven motor units were identified from these recordings. In the
bandwidth 200 Hz to 5 kHz, the peak-to-peak amplitude of the action potentials of
the detected motor units was 75 +/- 12 muV and the root mean square of the noise
was 1.6 +/- 0.4 muV. The noise level and amplitude of the action potentials were
similar for measures separated by up to 40 min. The experimental tests
demonstrated that thin film is a promising technology for a new type of flexible
wire intramuscular EMG recording system with multiple detection sites.
PMID- 18048590
TI - Effects of resistance exercise with and without creatine supplementation on gene
expression and cell signaling in human skeletal muscle.
AB - To test the hypothesis that creatine supplementation would enhance the anabolic
responses of muscle cell signaling and gene expression to exercise, we studied
nine subjects who received either creatine or a placebo (maltodextrin) for 5 days
in a double-blind fashion before undergoing muscle biopsies: at rest, immediately
after exercise (10 x 10 repetitions of one-leg extension at 80% 1 repetition
maximum), and 24 and 72 h later (all in the morning after fasting overnight).
Creatine supplementation decreased the phosphorylation state of protein kinase B
(PKB) on Thr308 at rest by 60% (P < 0.05) and that of eukaryotic initiation
factor 4E-binding protein on Thr37/46 (4E-BP1) by 30% 24 h postexercise (P <
0.05). Creatine increased mRNA for collagen 1 (alpha(1)), glucose transporter-4
(GLUT-4), and myosin heavy chain I at rest by 250%, 45%, and 80%, respectively,
and myosin heavy chain IIA (MHCIIA) mRNA immediately after exercise by 70% (all P
< 0.05). Immediately after exercise, and independent of creatine, mRNA for muscle
atrophy F-box (MAFbx), MHCIIA, peroxisome proliferator-activated receptor gamma
coactivator-1alpha, and interleukin-6 were upregulated (60-350%; P < 0.05); the
phosphorylation state of p38 both in the sarcoplasm and nucleus were increased
(12- and 25-fold, respectively; both P < 0.05). Concurrently, the phosphorylation
states of PKB (Thr308) and 4E-BP1 (Thr37/46) were decreased by 50% and 75%,
respectively (P < 0.05). Twenty-four hours postexercise, MAFbx, myostatin, and
GLUT-4 mRNA expression decreased below preexercise values (-35 to -50%; P <
0.05); calpain 1 mRNA increased 70% 72 h postexercise (P < 0.05) and at no other
time. In conclusion, 5 days of creatine supplementation do not enhance anabolic
signaling but increase the expression of certain targeted genes.
PMID- 18048592
TI - Differential effects of acute hypoxia and high altitude on cerebral blood flow
velocity and dynamic cerebral autoregulation: alterations with hyperoxia.
AB - We hypothesized that 1) acute severe hypoxia, but not hyperoxia, at sea level
would impair dynamic cerebral autoregulation (CA); 2) impairment in CA at high
altitude (HA) would be partly restored with hyperoxia; and 3) hyperoxia at HA and
would have more influence on blood pressure (BP) and less influence on middle
cerebral artery blood flow velocity (MCAv). In healthy volunteers, BP and MCAv
were measured continuously during normoxia and in acute hypoxia (inspired O2
fraction = 0.12 and 0.10, respectively; n = 10) or hyperoxia (inspired O2
fraction, 1.0; n = 12). Dynamic CA was assessed using transfer-function gain,
phase, and coherence between mean BP and MCAv. Arterial blood gases were also
obtained. In matched volunteers, the same variables were measured during air
breathing and hyperoxia at low altitude (LA; 1,400 m) and after 1-2 days after
arrival at HA ( approximately 5,400 m, n = 10). In acute hypoxia and hyperoxia,
BP was unchanged whereas it was decreased during hyperoxia at HA (-11 +/- 4%; P <
0.05 vs. LA). MCAv was unchanged during acute hypoxia and at HA; however, acute
hyperoxia caused MCAv to fall to a greater extent than at HA (-12 +/- 3 vs. -5 +/
4%, respectively; P < 0.05). Whereas CA was unchanged in hyperoxia, gain in the
low-frequency range was reduced during acute hypoxia, indicating improvement in
CA. In contrast, HA was associated with elevations in transfer-function gain in
the very low- and low-frequency range, indicating CA impairment; hyperoxia
lowered these elevations by approximately 50% (P < 0.05). Findings indicate that
hyperoxia at HA can partially improve CA and lower BP, with little effect on
MCAv.
PMID- 18048593
TI - Apoptotic myocytes generate monocyte chemoattractant protein-1 and mediate
macrophage recruitment.
AB - The mechanisms by which apoptotic myocytes are removed by macrophages have not
been fully elucidated. This study examined whether apoptotic myocytes actively
recruit macrophages by generating monocyte chemoattractant protein-1 (MCP-1) in
experiments in vitro and in vivo. Neonatal rat cardiac myocytes were incubated
for 4 h in the presence or absence of staurosporine (STS, 0.2-1 mumol/l), an
apoptosis inducer. Nuclear staining with DAPI showed that STS induced apoptosis
in a dose-dependent fashion. STS (1 mumol/l) caused extensive DNA fragmentation
and increased caspase-3 activity compared with a serum-deprived control. MCP-1
mRNA and protein levels in myocytes increased twofold and fourfold, respectively,
on STS treatment, and immunochemical staining revealed that apoptotic myocytes
expressed MCP-1. To elucidate the role of MCP-1 expressed in apoptotic myocytes
to recruit macrophages/monocytes, rat monocytes were incubated in the supernatant
of STS-treated myocytes using a trans-well system. The culture medium of STS
treated myocytes recruited monocytes in a MCP-1-dependent fashion. In addition,
experiments were performed in vivo using ischemia-reperfused rat hearts. Rats
were subjected to 30 min of ligation of the left coronary artery followed by 24 h
of reperfusion. After the reperfusion, in the ischemic border myocardium, 17.1 +/
1.1% of myocytes were terminal deoxynucleotidyl transferase-mediated dUTP nick
end-labeling (TUNEL) positive. Moreover, double staining using the TUNEL
technique and immunohistochemistry with MCP-1 antibody showed that 69.8 +/- 3.9%
of TUNEL-positive myocytes expressed MCP-1 protein. Concomitantly, activated
macrophages infiltrated the areas of apoptosis remarkably. These results suggest
that apoptotic myocytes produce MCP-1, which have a critical role in the active
recruitment of macrophages.
PMID- 18048594
TI - Sound mind, sound body.
PMID- 18048595
TI - Presidential address of the American Orthopaedic Society for Sports Medicine:
coming full circle.
PMID- 18048597
TI - "I always thought they were all pure tobacco": American smokers' perceptions of
"natural" cigarettes and tobacco industry advertising strategies.
AB - OBJECTIVE: To examine how the US tobacco industry markets cigarettes as "natural"
and American smokers' views of the "naturalness" (or unnaturalness) of
cigarettes. METHODS: Internal tobacco industry documents, the Pollay 20th Century
Tobacco Ad Collection, and newspaper sources were reviewed, themes and strategies
were categorised, and the findings were summarised. RESULTS: Cigarette
advertisements have used the term "natural" since at least 1910, but it was not
until the 1950s that "natural" referred to a core element of brand identity, used
to describe specific product attributes (filter, menthol, tobacco leaf). The term
"additive-free", introduced in the 1980s, is now commonly used to define natural
cigarettes. Tobacco company market research, available from 1970 to 1998,
consistently revealed that within focus group sessions, smokers initially had
difficulty interpreting the term "natural" in relation to cigarettes; however,
after discussion of cigarette ingredients, smokers viewed "natural" cigarettes as
healthier. Tobacco companies regarded the implied health benefits of natural
cigarettes as their key selling point, but hesitated to market them because doing
so might raise doubts about the composition of their highly profitable "regular"
brands. CONCLUSION: Although our findings support the idea advanced by some
tobacco control advocates that informing smokers of conventional cigarettes'
chemical ingredients could promote cessation, they also suggest that such a
measure could increase the ubiquity and popularity of "natural" cigarettes. A
more effective approach may be to "denaturalise" smoking.
PMID- 18048598
TI - Smoking cessation attempts among adolescent smokers: a systematic review of
prevalence studies.
AB - OBJECTIVE: To synthesise estimates of the prevalence of cessation attempts among
adolescent smokers generally, and according to age and level of cigarette
consumption. DATA SOURCES: PubMed, ERIC, and PsychInfo databases and Internet
searches of central data collection agencies. STUDY SELECTION: National
population-based studies published in English between 1990 and 2005 reporting the
prevalence, frequency and/or duration of cessation attempts among smokers aged
>or=10 to <20 years. DATA EXTRACTION: Five reviewers determined inclusion
criteria for full-text reports. One reviewer extracted data on the design,
population characteristics and results from the reports. DATA SYNTHESIS: In
total, 52 studies conformed to the inclusion criteria. The marked heterogeneity
that characterised the study populations and survey questions precluded a meta
analysis. Among adolescent current smokers, the median 6-month, 12-month and
lifetime cessation attempt prevalence was 58% (range: 22-73%), 68% (range 43-92%)
and 71% (range 28-84%), respectively. More than half had made multiple attempts.
Among smokers who had attempted cessation, the median prevalence of relapse was
34, 56, 89 and 92% within 1 week, 1 month, 6 months, and 1 year, respectively,
following the longest attempt. Younger (age<16 years) and non-daily smokers
experienced a similar or higher prevalence of cessation attempts compared with
older (age >or=16 years) or daily smokers. Moreover, the prevalence of relapse by
6 months following the longest cessation attempt was similar across age and
smoking frequency. CONCLUSIONS: The high prevalence of cessation attempts and
relapse among adolescent smokers extends to young adolescents and non-daily
smokers. Cessation surveillance, research and program development should be more
inclusive of these subgroups.
PMID- 18048599
TI - Philip Morris's website and television commercials use new language to mislead
the public into believing it has changed its stance on smoking and disease.
AB - OBJECTIVES: This paper analyses Philip Morris's evolving website and the legal
strategies employed in its creation and dissemination. METHODS: Internal tobacco
documents were searched and examined and their substance verified and
triangulated using media accounts, legal and public health research papers, and
visits to Philip Morris's website. Various drafts of website language, as well as
informal discussion of the website's creation, were located in internal Philip
Morris documents. I compared website statements pertaining to Philip Morris's
stance on cigarette smoking and disease with statements made in tobacco trials.
RESULTS: Philip Morris created and disseminated its website's message that it
agreed that smoking causes disease and is addictive in an effort to sway public
opinion, while maintaining in a litigation setting its former position that it
cannot be proved that smoking causes disease or is addictive. CONCLUSIONS: Philip
Morris has not changed its position on smoking and health or addiction in the one
arena where it has the most to lose-in the courtroom, under oath.
PMID- 18048600
TI - Working to make a disease.
PMID- 18048611
TI - Impact of smoking images in magazines on the smoking attitudes and intentions of
youth: an experimental investigation.
AB - OBJECTIVE: To determine the effect of magazine incidental smoking imagery on
youths' smoking intentions. METHODS: A magazine was developed incorporating
photographs of smokers (Smoking Magazine). A second version of the magazine (Non
smoking Magazine) included these photographs with the tobacco paraphernalia
digitally erased. Equal numbers of smokers and non-smokers aged 14-17 years (n =
357) were randomly assigned to look through one version of the magazine and then
asked a series of questions. RESULTS: Smokers made more unprompted mention of
smoking imagery than non-smokers after viewing Smoking Magazine (52% vs 34%;
p<0.05). Smokers viewing Smoking Magazine were more likely to report an urge to
smoke (54% vs 40%; p<0.05). Female non-smokers who viewed Smoking Magazine were
more likely than those who viewed Non-smoking Magazine to state a future
intention to smoke (13% vs 0%; p<0.05). Female smokers were more attracted to the
male models appearing in Smoking Magazine than Non-smoking Magazine (49% vs 24%;
p<0.05) and the opposite was true for female non-smokers (28% vs 52%; p<0.05).
Female smokers were also marginally more likely to desire looking like the female
models in Smoking Magazine (64% vs 46%; p = 0.06) but no difference was observed
in the non-smoking females (46% vs 46%). Male smokers and non-smokers did not
differ in their responses by magazine type. CONCLUSIONS: Incidental positive
smoking imagery in magazines can generate the same sorts of consumer effects
attributed to advertising in general, including tobacco advertising. Sex specific
results of our study may be explained by the choice of smoking images used.
PMID- 18048612
TI - Mortality attributable to passive smoking in Spain, 2002.
AB - OBJECTIVE: Exposure to environmental tobacco smoke (ETS) is associated with a
variety of health effects, including lung cancer and ischaemic heart disease. The
objective of this study was to estimate the number of deaths caused by exposure
to ETS among non-smokers in Spain during the year 2002 METHODS: Prevalence of ETS
exposure among never smokers was gathered from three region based health
interview surveys. The relative risks of lung cancer and ischaemic heart diseases
were selected from three meta-analyses. Population attributable risk (PAR) was
computed using a range of prevalences (minimum-maximum). The number of deaths
attributable to ETS was calculated by applying PARs to mortality not attributable
to active smoking in 2002. The analyses were stratified by sex, age and source of
exposure (home, workplace and both combined). In addition, a sensitivity analysis
was performed for different scenarios. RESULTS: Among men, deaths attributable to
ETS ranged from 408 to 1703. From 247 to 1434 of these deaths would be caused by
the exposure only at home, 136-196 by exposure only in the workplace and 25-73 by
exposure at both home and the workplace. Among women, the number of attributable
deaths ranged from 820 to 1534. Between 807 and 1477 of these deaths would be
caused by exposure only at home, 9-32 by exposure only in the workplace and 4-25
by exposure both at home and in the workplace. CONCLUSION: Exposure to ETS at
home and at work in Spain could be responsible for 1228-3237 of deaths from lung
cancer and ischaemic heart disease. These data confirm that passive smoking is an
important public health problem in Spain that needs urgent attention.
PMID- 18048614
TI - Use of nicotine replacement therapy to reduce or delay smoking but not to quit:
prevalence and association with subsequent cessation efforts.
AB - OBJECTIVE: To assess the prevalence of nicotine replacement therapy (NRT) use for
purposes other than quitting smoking and examine the relation of this non
standard NRT use (NSNRT) with subsequent smoking cessation efforts. DESIGN: A
population based cohort study of adult smokers who were interviewed by telephone
at baseline (2001-2) and at two year follow-up. The association between NSNRT use
to cut down on smoking or to delay smoking before baseline and cessation attempts
and smoking outcomes at two year follow-up was assessed using logistic regression
to adjust for multiple potential confounding factors. SETTING: Massachusetts,
USA. SUBJECTS: 1712 adult smokers in Massachusetts who were selected using a
random digit dial telephone survey. MAIN OUTCOME MEASURES: Quit attempt in 12
months before follow-up, NRT use at quit attempt in 12 months before follow-up,
smoking cessation by follow-up, or 50% reduction in cigarettes smoked per day
between baseline and follow-up. RESULTS: 18.7% of respondents reported ever
having used NSNRT. In a multiple logistic regression analysis, there was no
statistically significant association between past NSNRT use and quit attempts
(OR(cut down) = 0.89, 95% CI 0.59 to 1.33; OR(delay) = 1.29, 95% CI 0.73 to
2.29), smoking cessation (OR(cut down) = 0.74, 95% CI 0.43 to 1.24; OR(delay) =
1.22, 95% CI 0.60 to 2.50) or 50% reduction in cigarettes smoked per day (OR(cut
down) = 0.93, 95% CI 0.62 to 1.38; OR(delay) = 0.80, 95% CI 0.43 to 1.49) at
follow-up. Past use of NRT to cut down on cigarettes was associated with use of
NRT at a follow-up quit attempt (OR(cut down) = 2.28, 95% CI 1.50 to 3.47) but
past use of NRT to delay smoking was not (OR(delay) = 1.25, 95% CI 0.67 to 2.34).
CONCLUSIONS: Use of NRT for reasons other than quitting smoking may be more
common than was previously estimated. This population based survey finds no
strong evidence that NRT use for purposes other than quitting smoking is either
harmful or helpful.
PMID- 18048613
TI - Exposure to domestic violence associated with adult smoking in India: a
population based study.
AB - OBJECTIVE: To investigate the relation between domestic violence and tobacco use
among adults in India. DESIGN: Multilevel cross sectional analyses of a
nationally representative population based sample from the 1998-9 Indian national
family health survey. PARTICIPANTS: 278,977 individuals aged 15 or older; and
89,092 ever married women aged 15-49. MAIN OUTCOME: Dichotomous variables for
smoking and chewing tobacco. RESULTS: Women who reported being abused more than
one year ago and those who reported being abused in the past year were more
likely to smoke and chew tobacco than women who have never experienced domestic
violence. Compared to individuals who lived in homes where no abuse was reported,
those who lived in homes where a woman reported experiencing domestic violence
were more likely to smoke and chew tobacco. CONCLUSION: Domestic violence is
associated with higher odds of smoking and chewing tobacco in India. Efforts to
control tobacco use need to consider the larger psychosocial circumstances within
which individuals who practise such harmful health behaviours reside.
PMID- 18048615
TI - Ultrafine particle emissions from waterpipes.
AB - OBJECTIVES: Ultrafine particle emissions from waterpipes and their impact on
human health have not been extensively studied. The aim of this study was to
characterise the inhalation pattern of waterpipe smokers, and (a) construct
apparatus to simulate waterpipe smoking in the laboratory, and (b) characterise
mainstream emissions from waterpipes under different smoking conditions. METHODS:
Real life waterpipe smoking patterns were first measured with a spirometer. The
average smoking pattern was then mechanically simulated in apparatus. Total
particle number concentrations were determined with a condensation particle
counter (CPC) for particles between 0.02 microm and 1 microm (P-Trak UPC, Model
8525, TSI) and the particle size fraction was determined with a differential
mobility analyser (DMA) for particles from 0.01 microm to 0.5 microm. This
instrument was coupled with a laser particle spectrometer for particles between
0.35 microm and 10 microm (Wide Range Particle Spectrometer, Model 1000XP, MSC
Corp). Carbon monoxide levels were determined with an electrochemical sensor (Q
Trak monitor, Model 8554, TSI). RESULTS: The tidal volume of an average waterpipe
breath of 5 seconds was found to be 1 (SD 0.47) litre. The intervals between
breaths on average were 25.5 (SD 10.2) seconds. Particle number concentrations of
ultrafine particles in mainstream smoke during waterpipe smoking ranged up to 70
x 10(9) particles per litre. The median diameter of the particles in a full
smoking set with charcoal, tobacco and water was 0.04 microm. Smoke from the
heated tobacco contributed to particles in the size range between 0.01 microm and
0.2 microm. The glowing piece of charcoal only contributed to particles smaller
than 0.05 microm. CONCLUSIONS: Waterpipe smoking emits large amounts of ultrafine
particles. With regard to particle emissions, smoking waterpipes may carry
similar health risks to smoking cigarettes.
PMID- 18048616
TI - Lifetime medical expenditure and life expectancy lost attributable to smoking
through major smoking related diseases in Taiwan.
AB - OBJECTIVE: To estimate the lifetime financial burden on Taiwan's national health
insurance (NHI) system, life expectancy and years of life expectancy lost (YLEL)
attributable to smoking from major smoking related diseases. METHODS: 10 major
smoking related diseases (seven cancers, stroke, acute myocardial infarction and
chronic obstructive pulmonary disease) were selected for this study. A survival
analysis was conducted on linked cohorts from the National Death Registry
database and the National Cancer Registry (NCR) and patients at the National
Taiwan University Hospital (NTUH). Estimation of the smoking attributable
fraction (SAF) for the study diseases was undertaken by combining the relative
risks of smokers against non-smokers and the prevalence of smoking in Taiwan. The
YLEL attributable to smoking was calculated for the study diseases by combining
the survival analysis results, the SAF and the annual incidences of each disease.
The lifetime medical expenditure for the study diseases was estimated by
integrating the survival curve and the mean annual medical costs calculated from
NHI reimbursement records. RESULTS: There were 241 280 incidents of the 10 study
diseases in 2001, of which about 53 648 cases (22.2%) were attributable to
smoking, with a total YLEL of 191 313 at an average of about 3.6 YLEL per case.
For each case, the average survival time was about 10.2 years. Under two
different annual discount rates, the total lifetime financial burden on the NHI
was estimated at between $291 million ( pound 147 million; euro 216 million) (3%
discount) and $336 million (1% discount) for all diseases attributable to smoking
in 2001, accounting for about 24.6% of the total estimated lifetime medical
expenditure for all incidents of the 10 study diseases. CONCLUSIONS: Smoking
places tremendous financial and health burdens upon both society and individuals.
A much more stringent tobacco control strategy is needed to curb the damage from
smoking.
PMID- 18048617
TI - Assessing the impact of smoking cessation services on reducing health
inequalities in England: observational study.
AB - OBJECTIVE: NHS stop smoking services are expected to play a key part in achieving
the infant mortality and life expectancy health inequality targets in England by
reducing smoking prevalence in deprived areas. This paper assesses the extent to
which services have made a contribution to reducing inequalities in smoking
between 2003-4 and 2005-6. METHODS: Synthetic estimates of baseline smoking
prevalence data were compared with national monitoring data about the numbers of
smokers in receipt of services and the proportion who self report quitting at
four weeks. The social distribution of service recipients and quitters was
compared with estimates of smoking prevalence to assess impact on inequalities.
Comparisons were made between officially designated disadvantaged areas (the
Spearhead Group) and others. RESULTS: Short-term cessation rates were lower in
disadvantaged areas (52.6%) than elsewhere (57.9%) (p<0.001), but the proportion
of smokers being treated was higher (16.7% compared with 13.4%) (p<0.001). The
net effect was that a higher proportion of smokers in the most disadvantaged
areas reported success (8.8%) than in more advantaged areas (7.8%) (p<0.001).
Using the evidence-based assumption that three-quarters of short-term quitters
will relapse within one year, the absolute and relative rate gaps in smoking
prevalence between Spearhead areas and others are estimated to fall by small but
statistically significant amounts from 5.2 and 1.215 (CIs: 1.216 to 1.213) to 5.0
and 1.212 (CIs: 1.213 to 1.210) between 2003-4 and 2005-6. CONCLUSION: NHS stop
smoking services have probably made a modest contribution to reducing
inequalities in smoking prevalence. To achieve government targets, however,
requires both the development of more innovative cessation interventions for the
most addicted smokers and action to ensure that other aspects of tobacco control
policy make a larger contribution to inequality goals.
PMID- 18048618
TI - The costs of smoking in Vietnam: the case of inpatient care.
AB - OBJECTIVE: To estimate the social costs of smoking related to inpatient care in
Vietnam using 2005 data. DESIGN: The cost of illness as a result of
hospitalisation for three major smoking-related diseases combined with the
prevalence-based approach to obtain the costs of smoking in Vietnam for inpatient
care. MAIN OUTCOME MEASURE: Smoking-attributable costs of inpatient care for lung
cancer, chronic obstructive pulmonary disease (COPD), and ischaemic heart
disease. RESULTS: The total cost of inpatient health care caused by smoking in
Vietnam reached at least 1 161 829 million Vietnamese dollars ($VN) (or $US 77.5
million) in 2005. This represents about 0.22% of Vietnam gross domestic product
(GDP) and 4.3% of total healthcare expenditure. The majority of these expenses
are related to COPD treatment ($VN 1,033541 million or $US 68.9 million per year)
followed by lung cancer ($VN 78,143 million, or $US 5.2 million per year) and
ischaemic disease ($VN 50,145 million, or $US 3.3 million per year). The
government directly finances about 51% of these costs. The rest is financed
either by households (34%) or by the insurance sector (15%). CONCLUSIONS: The
social costs of smoking in Vietnam as the percentage of GDP is lower compared to
estimates from high-income countries. The true costs would be substantially
higher if all smoking-related diseases, outpatient care and mortality-related
costs are included. More research is needed to augment the estimates presented in
this paper.
PMID- 18048619
TI - Nicotine replacement therapy, professional therapy, snuff use and tobacco
smoking: a study of smoking cessation strategies in southern Sweden.
AB - OBJECTIVES: The strategies used to support smoking cessation among quitters were
investigated according to year of smoking cessation and sociodemographic
characteristics. METHODS: The 2004 public health survey in Skane, Sweden, is a
cross-sectional study. A total of 27,757 people aged 18-80 answered a postal
questionnaire. The participation rate was 59%. Different strategies to support
smoking cessation--that is, no therapy, nicotine replacement (NRT), professional
therapy and snus (snuff) use, were investigated among quitters according to year
of smoking cessation, and demographic and socioeconomic characteristics. RESULTS:
14.9% of the men and 18.1% of the women were daily smokers. The prevalence of
daily snus use was 19.5% among men but only 2.3% among women. Stratifying the
data according to year of smoking cessation (1938-2004) revealed a significant
increase in active smoking cessation strategies such as NRT, professional therapy
and snus use. NRT was more common among women (23.6%) than men (14.8%) among
smokers who quit in 2000-4, but snus use was more common among men (30.4% versus
8.7%). No replacement or other therapy at all was significantly more common among
women (63.6%) than men (52.1%). People aged 35-80 years used more nicotine
replacement than people aged 18-34, while men aged 18-34 used snus to quit
smoking significantly more than men aged 55-80. CONCLUSIONS: Snus is used
commonly among men as a support for smoking cessation in Sweden. Women use
pharmacological NRT to a greater extent, but this can probably not compensate for
the much higher extent of snuff use as a cessation strategy among men.
PMID- 18048620
TI - Disease burden of adult lung cancer and ischaemic heart disease from passive
tobacco smoking in China.
AB - OBJECTIVE: To address the health hazards tobacco smoking imposes upon non-smokers
in China, this paper estimates the burden of diseases in adults from passive
tobacco smoking for two major diseases--lung cancer and ischaemic heart disease
(IHD). METHODS: The disease burden was estimated in terms of both premature
mortality and disability adjusted life years (DALYs), a measure that accounts for
both the age at death and the severity of the morbidity. RESULTS: Passive smoking
caused more than 22,000 lung cancer deaths in 2002 according to these estimates.
When the toll of disability is added to that of mortality, passive smoking was
responsible for the loss of nearly 230,000 years of healthy life from lung
cancer. Using the evidence from other countries that links IHD to passive
smoking, we estimated that approximately 33,800 IHD deaths could be attributable
to passive smoking in China in 2002. Passive smoking is also responsible for the
loss of more than one quarter of a million years of healthy life from IHD.
Although most of the disease burden caused by active smoking occurs among men,
women bear nearly 80% of the total burden from passive smoking. The number of
deaths among women caused by passive smoking is about two-thirds of that caused
by smoking for the two diseases we examined. CONCLUSION: Even without considering
the passive smoking risks for other diseases and among children that have been
documented in other countries, passive smoking poses serious health hazards for
non-smokers, especially for adult female non-smokers in China, adding more
urgency to the need for measures to be taken immediately to protect the health of
non-smokers and curb the nation's tobacco epidemic.
PMID- 18048621
TI - Comprehensive smoke-free legislation in England: how advocacy won the day.
AB - OBJECTIVE: To examine how a government committed to a voluntary approach was
forced by an effective advocacy coalition to introduce comprehensive smoke-free
legislation. METHODS: A diary was kept from the start of the campaign in 2003,
backed up by journal and press articles, and information downloaded from the web.
Regular public opinion polls were also carried out to supplement government
surveys and polls conducted by the media. RESULTS: The 1997 Labour Government was
committed to a voluntary approach to deal with the problem of secondhand smoke.
By 2003, efforts to persuade government to introduce regulation of workplace
secondhand smoke through a health and safety code of practice with exemptions for
the hospitality trade, had failed. Despite a lack of support from the government,
including the health minister, a new strategy by health advocates focusing on
comprehensive workplace legislation was able to succeed. CONCLUSIONS: In a
democracy it is crucial to develop public knowledge and belief in the extent of
the risks of secondhand smoke. Gaining public and media support for the issue can
ensure that government has to take action and that the legislation will be
enforceable. The interests of the tobacco industry and the hospitality trade
differ and this can be used to gain hospitality trade support for comprehensive
national legislation in order to ensure a level playing field and protection from
litigation.
PMID- 18048622
TI - Investigating cigarette affordability in 60 cities using the cigarette price
daily income ratio.
AB - OBJECTIVE: To investigate cigarette affordability in 60 cities. METHODS:
Affordability of cigarettes is defined as the ratio of the price of one pack of
cigarettes to daily income (cigarette price-daily income ratio: CPDIR). Daily
income data were calculated using the mean of the seven occupations with the
lowest daily wage, as listed in the 2006 Union Bank of Switzerland survey;
cigarette prices in 2006 were sourced from the Economist Intelligence Unit.
RESULTS: Cigarette affordability in most of the surveyed cities remains high.
There is a tendency for cities with high income economies to have a high level of
cigarette affordability. Most of the cities in Western Europe and South and North
America have high cigarette affordability, whereas 66.7% of their counterparts in
Eastern Europe have medium cigarette affordability. In Asia, all cities with high
cigarette affordability belong to the group of upper middle to high income
economies, except for the Philippines. In Africa, Johannesburg and Nairobi have
high and medium levels of cigarette affordability, respectively. CONCLUSION:
Cigarette affordability for most of the sampled cities, especially those in high
income economies, is high. There is room for increasing cigarette prices via tax
increases. There is a risk that the increase in cigarette prices in newly
emerging economies lags behind the high speed of economic growth being
experiencing. Tax increases should be given high priority.
PMID- 18048623
TI - Quitlines.
PMID- 18048624
TI - Minimal dataset for quitlines: a best practice.
AB - OBJECTIVES: This paper discusses the development of a minimal dataset (MDS) for
tobacco cessation quitlines across North America. The goal was to create a
standardised instrument and protocol that would allow for comparisons and pooling
of data across quitlines for evaluation and research purposes. Principles of
utilisation focused evaluation were followed to achieve consensus across diverse
stakeholder groups in two countries. METHODS: The North American Quitline
Consortium (NAQC) assembled a working group with representatives from quitline
service providers, funders, evaluators and researchers from Canada and the United
States. An extensive, iterative consultation process over two years led to
consensus on the evaluation domains, indicators and specific items. Descriptive
information on quitline service models, data collection protocols and
methodological issues were addressed. RESULTS: The resulting minimal dataset
(MDS) includes 15 items collected from eligible callers at intake and eight items
collected from smokers participating in evaluation. Recommendations for selecting
evaluation participants, length of follow-up and repeat callers were developed.
Full MDS questions and technical documents are available on the NAQC website.
CONCLUSION: Adoption and implementation of the MDS occurred in the majority of
North American quitlines by the end of 2006. Key success factors included a focus
on utility and feasibility, a commitment to meeting multiple and varied needs,
sensitivity to situational factors and investment in working interactively with
stakeholders. The creation and implementation of a MDS across two countries is an
important "first" in tobacco control which will help speed the creation of
practice based evidence and facilitate practice based research.
PMID- 18048625
TI - Effectiveness and cost effectiveness of television, radio and print
advertisements in promoting the New York smokers' quitline.
AB - OBJECTIVES: This study assessed the relative effectiveness and cost effectiveness
of television, radio and print advertisements to generate calls to the New York
smokers' quitline. METHODS: Regression analysis was used to link total county
level monthly quitline calls to television, radio and print advertising
expenditures. Based on regression results, standardised measures of the relative
effectiveness and cost effectiveness of expenditures were computed. RESULTS:
There was a positive and statistically significant relation between call volume
and expenditures for television (p<0.01) and radio (p<0.001) advertisements and a
marginally significant effect for expenditures on newspaper advertisements
(p<0.065). The largest effect was for television advertising. However, because of
differences in advertising costs, for every $1000 increase in television, radio
and newspaper expenditures, call volume increased by 0.1%, 5.7% and 2.8%,
respectively. CONCLUSIONS: Television, radio and print media all effectively
increased calls to the New York smokers' quitline. Although increases in
expenditures for television were the most effective, their relatively high costs
suggest they are not currently the most cost effective means to promote a
quitline. This implies that a more efficient mix of media would place greater
emphasis on radio than television. However, because the current study does not
adequately assess the extent to which radio expenditures would sustain their
effectiveness with substantial expenditure increases, it is not feasible to
determine a more optimal mix of expenditures.
PMID- 18048626
TI - The association between advertising and calls to a tobacco quitline.
AB - OBJECTIVE: This study assessed the cost effectiveness of different types of
television and radio advertisements and the time of day in which advertisements
were placed in generating calls to the Oregon tobacco quitline. DESIGN: Cost
effectiveness was measured by cost per call, calculated as the cost of
advertising divided by the number of quitline calls generated by that
advertising. Advertising was bought in one-week or two-week blocks and included
27 daytime television buys, 22 evening television buys and 31 radio buys.
RESULTS: Cost effectiveness varied widely by medium, time of day and
advertisement used. Daytime television was seven times more cost effective than
evening television and also more cost effective than radio. The most effective
advertisements at generating quitline calls were real life testimonials by people
who lost family members to tobacco and advertisements that deal practically with
how to quit. CONCLUSIONS: Placement of television advertisements during the day
versus the evening can increase an advertisement's effectiveness in generating
calls to a quitline. Some advertising messages were more effective than others in
generating calls to a quitline. Quitline providers can apply findings from
previous research when planning media campaigns. In addition, call volume should
be monitored in order to assess the cost effectiveness of different strategies to
promote use of the quitline.
PMID- 18048627
TI - A systematic review of interventions for smokers who contact quitlines.
AB - OBJECTIVE: To evaluate the effect of different types of adjunctive support to
stop smoking for individuals contacting telephone "quitlines," including call
back counselling, different counselling techniques and provision of self help
materials. DATA SOURCES: This review includes quitline studies identified as part
of Cochrane reviews of telephone counselling and self help materials for smoking
cessation. We updated the searches for this review. STUDY SELECTION: We included
studies that were randomised or quasi-randomised controlled trials of any
quitline or related service with follow-up of at least six months. DATA
EXTRACTION: Data were extracted by one author and checked by a second. The
cessation outcome was numbers quit at longest follow-up taking the strictest
definition of abstinence available, and assuming participants lost to follow-up
continued to smoke. DATA SYNTHESIS: We identified 14 relevant studies. Eight
studies (18 500 participants) comparing multiple call-backs to a single contact
increased quitting in the intervention group (Mantel-Haenszel fixed effect odds
ratio 1.41, 95% confidence interval 1.27 to 1.57). Two unpublished studies
without sufficient data to include in the meta-analysis also reported positive
effects. Three call-back trials compared two schedules of multiple calls. Two
found a significant dose-response effect and one did not detect a difference. We
did not find consistent differences in comparisons between counselling approaches
(two trials) or between different types of self help materials supplied following
quitline contact (three trials). CONCLUSIONS: Multiple call-back counselling
improves long term cessation for smokers who contact quitline services. Offering
more calls may improve success rates. We failed to detect an effect of the type
of counselling or the type of self help materials supplied as adjuncts to
quitline counselling.
PMID- 18048628
TI - Smokers' acceptance of "cold calls" offering quitline services.
AB - OBJECTIVE: A random sample of smokers was offered a transfer to the New York
State Smokers' Quitline in order to assess smokers' acceptance of "cold calls"
offering quitline services. METHOD: A 30-minute survey to assess adult tobacco
use was administered to 121 western New York smokers who were originally sampled
for a random digit dialled survey in 2005, and then re-interviewed one year
later, between April and July 2006. Smokers' interest in receiving immediate
quitline assistance was assessed at the end of the survey, when the smokers could
choose to be transferred to the New York State Smokers' Quitline in order to
receive the quitline's cessation services. RESULTS: 41% of smokers accepted the
offer for, and subsequently received, New York State Smokers' Quitline services.
After controlling for factors related to cessation, women were more likely to
respond to the offer. CONCLUSIONS: Although telephone quitline utilisation is
low, these data suggest that the demand is high and "cold calls" may be a
strategy to extend the use of quitline cessation services.
PMID- 18048629
TI - Increasing reach of quitline services in a US state with comprehensive tobacco
treatment.
AB - OBJECTIVE: The population reach of tobacco quitlines is an important measure of
treatment seeking and penetration of services. Maine offers an opportunity to
examine temporal changes in quitline reach and referral sources in the context of
a comprehensive tobacco treatment programme. The impact of a $1.00 cigarette tax
increase is also examined. METHODS: This is a descriptive analysis of Maine
Tobacco Helpline call volume September 2001 to December 2006. Annual reach was
estimated using a cross sectional state surveillance survey. Weekly call volume
was examined during 2005, a year of marked changes in tobacco taxes and quitline
resources. Referral patterns were analysed yearly. RESULTS: Maine's Tobacco
Helpline observed more than a threefold increase in population reach during a
four year interval, from 1.9% to over 6% per year. Calls increased substantially
in 2005, concurrent with added hours of operation and a rise in the cigarette
tax. Over time, callers increasingly reported hearing about the quitline from
health professionals, from 10% in 2001 to 38% in 2006. CONCLUSIONS: Tobacco
treatment programmes offering free nicotine therapy and professional medical
education can drive quitline utilisation over time. Call volume can also be
affected by quitline operational and policy changes that promote the reduction of
tobacco use.
PMID- 18048630
TI - Expanding access to nicotine replacement therapy through Minnesota's QUITLINE
partnership.
AB - BACKGROUND: Partnerships can expand the reach and effectiveness of quitlines
while conserving limited tobacco control dollars. OBJECTIVE: To describe how the
addition of free nicotine replacement therapy (NRT) to the "QUITPLAN Helpline" in
Minnesota influenced triage and transfer to health plan quitlines and how efforts
taken to re-establish balance in the partnership expanded population based access
to NRT. METHODS: NRT provision began in September 2002. Call volumes, transfer
rates and ClearWay Minnesota dollars spent serving health plan members were
examined from May 2001 through November 2005. The process by which health plan
quitlines began providing NRT as a result of the addition of NRT to the QUITPLAN
Helpline in September 2002 was explored through interviews with health plan
representatives. RESULTS: Following the addition of NRT to the QUITPLAN Helpline,
the percentage of health plan members transferred to their health plans decreased
because callers were resisting transfer to their health plans for telephone
counselling that did not include NRT. Transfer rates eventually returned to pre
NRT levels following sequential implementation of scripting changes, transfer
requirements and collection of health plan identification numbers. These changes
reduced ClearWay Minnesota dollars spent on providing services to insured
Minnesotans. Through the partnership, all Minnesotans currently have access to
both telephone counselling and NRT either at no or low cost. CONCLUSIONS:
Minnesota's partnership has effectively expanded access to NRT through quitlines.
The increased use of partnerships for providing quitline services may be
effective in broadening population access while conserving limited tobacco
control dollars for those without cessation benefits.
PMID- 18048631
TI - Offering free NRT through a tobacco quitline: impact on utilisation and quit
rates.
AB - OBJECTIVE: To assess the impact on quitline utilisation and cessation outcomes of
adding free nicotine patches to the existing programme offerings. METHODS:
Tobacco use status data from the Ohio tobacco quitline were collected from a
subset of quitline callers 6 months after the initial intake call. To evaluate
the impact of the nicotine replacement therapy (NRT) initiative, quit rates for
two groups were compared: those who entered and exited the quitline programme
before the availability of free NRT (n = 4657) and those who entered and exited
the quitline programme after the availability of free NRT (n = 5715). RESULTS:
Call volume increased from 2351 intakes calls per month or 78 calls per day
before the availability of free NRT to 3606 intake calls per month or 188 intakes
per day following the availability of free NRT (p<0.0001). 7-day point prevalence
abstinence at 6 months among all quitline callers increased from 10.3% (95%
confidence interval (CI) 9.7 to 10.9) before the availability of NRT to 14.9%
(95% CI 14.3 to 15.5) after the availability of NRT. CONCLUSION: Offering free
NRT through a state quitline is an effective means of increasing quitline
utilisation and improving quit rates.
PMID- 18048632
TI - Cost effectiveness of the Oregon quitline "free patch initiative".
AB - OBJECTIVE: We estimated the cost effectiveness of the Oregon tobacco quitline's
"free patch initiative" compared to the pre-initiative programme. METHODS: Using
quitline utilisation and cost data from the state, intervention providers and
patients, we estimated annual programme use and costs for media promotions and
intervention services. We also estimated annual quitline registration calls and
the number of quitters and life years saved for the pre-initiative and free patch
initiative programmes. Service utilisation and 30-day abstinence at six months
were obtained from 959 quitline callers. We compared the cost effectiveness of
the free patch initiative (media and intervention costs) to the pre-initiative
service offered to insured and uninsured callers. We conducted sensitivity
analyses on key programme costs and outcomes by estimating a best case and worst
case scenario for each intervention strategy. RESULTS: Compared to the pre
intervention programme, the free patch initiative doubled registered calls,
increased quitting fourfold and reduced total costs per quit by $2688. We
estimated annual paid media costs were $215 per registered tobacco user for the
pre-initiative programme and less than $4 per caller during the free patch
initiative. Compared to the pre-initiative programme, incremental quitline
promotion and intervention costs for the free patch initiative were $86 (range
$22-$353) per life year saved. CONCLUSIONS: Compared to the pre-initiative
programme, the free patch initiative was a highly cost effective strategy for
increasing quitting in the population.
PMID- 18048634
TI - Aboriginal users of Canadian quitlines: an exploratory analysis.
AB - OBJECTIVES: To conduct an exploratory, comparative study of the utilisation and
effectiveness of tobacco cessation quitlines among aboriginal and non-aboriginal
Canadian smokers. SETTING: Population based quitlines that provide free cessation
information, advice and counselling to Canadian smokers. SUBJECTS: First time
quitline callers, age 18 years of age and over, who called the quitline between
August 2001 and December 2005 and who completed the evaluation and provided data
on their ethnic status (n = 7082). MAIN MEASURES: Demographic characteristics and
tobacco behaviours of participants at intake and follow-up; reasons for calling;
actions taken toward quitting, and 6-month follow-up quit rates. RESULTS: 7% of
evaluation participants in the time period reported aboriginal origins.
Aboriginal participants were younger than non-aboriginals but had similar smoking
status and level of addiction at intake. Concern about future health and current
health problems were the most common reasons aboriginal participants called. Six
months after intake aboriginals and non-aboriginals had taken similar actions
with 57% making a 24-hour quit attempt. Quit rates were higher for aboriginals
than non-aboriginals, particularly for men. The 6-month prolonged abstinence rate
for aboriginal men was 16.7% compared with 7.2% for aboriginal women and 9.4% and
8.3% for non-aboriginal men and women, respectively. CONCLUSIONS: This
exploratory analysis showed that even without targeted promotion, aboriginal
smokers do call Canadian quitlines, primarily for health related reasons. We also
showed that the quitlines are effective at helping them to quit. As a population
focused intervention, quitlines can reach a large proportion of smokers in a cost
efficient manner. In aboriginal communities where smoking rates exceed 50% and
multiple health risks and chronic diseases already exist, eliminating non
ceremonial tobacco use must be a priority. Our results, although exploratory,
suggest quitlines can be an effective addition to aboriginal tobacco cessation
strategies.
PMID- 18048633
TI - The effectiveness and cost effectiveness of telephone counselling and the
nicotine patch in a state tobacco quitline.
AB - OBJECTIVES: State and national tobacco quitlines have expanded rapidly and offer
a range of services. We examined the effectiveness and cost effectiveness of
offering callers single session versus multisession counselling, with or without
free nicotine patches. METHODS: This 3x2 randomised trial included 4614 Oregon
tobacco quitline callers and compared brief (one 15-minute call), moderate (one
30-minute call and a follow-up call) and intensive (five proactive calls)
intervention protocols, with or without offers of free nicotine patches (nicotine
replacement therapy, NRT). Blinded staff assessed tobacco use by phone at 12
months. RESULTS: Abstinence odds ratios were significant for moderate (OR = 1.22,
CI = 1.01 to 1.48) and intensive (OR = 1.29, CI = 1.07 to 1.56) intervention, and
for NRT (OR = 1.58, CI = 1.35 to 1.85). Intent to treat quit rates were as
follows: brief no NRT (12%); brief NRT (17%); moderate no NRT (14%); moderate NRT
(20%); intensive no NRT (14%); and intensive NRT (21%). Relative to brief no NRT,
the added costs for each additional quit was $2467 for brief NRT, $1912 for
moderate no NRT, $2109 for moderate NRT, $2641 for intensive no NRT, and $2112
for intensive NRT. CONCLUSION: Offering free NRT and multisession telephone
support within a state tobacco quitline led to higher quit rates, and similar
costs per incremental quit, than less intensive protocols.
PMID- 18048635
TI - Is a statewide tobacco quitline an appropriate service for specific populations?
AB - OBJECTIVE: To assess whether smoking quit rates and satisfaction with the
Washington State tobacco quitline (QL) services varied by race/ethnicity,
socioeconomic status, area of residence (that is, urban versus non-urban), or sex
of Washington QL callers. METHODS: From October 2004 into October 2005, we
conducted telephone surveys of Washington QL callers about three months after
their initial call to the QL. Analyses compared 7-day quit rates and satisfaction
measures by race/ethnicity, education level, area of residence and sex (using
alpha = 0.05). RESULTS: We surveyed half (n = 1312) of the 2638 adult smokers we
attempted to contact. The 7-day quit rate among survey participants at the 3
month follow-up was 31% (CI: 27.1% to 34.2%), 92% (CI: 89.9% to 94.1%) were
somewhat/very satisfied overall with the QL programme, 97% (CI: 95.5% to 98.2%)
indicated that they would probably/for sure suggest the QL to others and 95% (CI:
92.9% to 96.4%) were somewhat/very satisfied with the QL specialist. Quit rate
did not vary significantly by race/ethnicity, education level, area of residence
or sex. Satisfaction levels were high across subpopulations. Almost all
participants (99%) agreed that they were always treated respectfully during
interactions with QL staff. CONCLUSIONS: The Washington QL appeared effective and
well received by callers from the specific populations studied. States choosing
to promote their QL more aggressively should feel confident that a tobacco QL can
be an effective and well received cessation service for smokers who call from a
broad range of communities.
PMID- 18048636
TI - Effects of frequency and duration in telephone counselling for smoking cessation.
AB - OBJECTIVE: This study evaluates alternative protocols in telephone counselling
for smoking cessation. DESIGN: The American Cancer Society enrolled 6322 clients
in a randomised trial comparing three counselling formats of varying duration and
frequency of contact, with or without booster sessions, and mailed self help
booklets without telephone counselling. SETTING AND PARTICIPANTS: Participants
were drawn from callers to the American Cancer Society's National Cancer
Information Center seeking assistance with smoking cessation who provided
informed consent and were adult daily smokers, ready to make a quit attempt
within two weeks, and from states not served by an evidence based proactive
telephone counselling programme. OUTCOMES: Six-month cessation rates (30-day
point prevalence) were measured in telephone interviews. RESULTS: There was a
significant counselling effect. The overall cessation rates that were yielded by
a brief protocol including booster sessions were equivalent to those obtained
with the American Cancer Society's standard protocol with boosters. CONCLUSIONS:
Based on these findings, the abbreviated protocol with five sessions and two
boosters is considered to be an option for improving cost efficiency in the
delivery of this service.
PMID- 18048637
TI - Do state characteristics matter? State level factors related to tobacco cessation
quitlines.
AB - BACKGROUND: Quitline services are an effective population-wide tobacco cessation
strategy adopted widely in the United States as part of state comprehensive
tobacco control efforts. Despite widespread evidence supporting quitlines'
effectiveness, many states lack sufficient financial resources to adequately fund
and promote this service. Efforts to augment state tobacco control efforts might
be fostered by greater knowledge of state level factors associated with the
funding and implementation of those efforts. METHODS: We analysed data from the
2004 North American Quitline Consortium survey and from publicly available
sources to identify state level factors related to quitline implementation and
funding. Factors included in the analyses were state demographic characteristics,
tobacco use variables, state tobacco control spending, and economic and political
climate variables. Univariate and multivariate regression analyses were
conducted. RESULTS: The best fitting multivariate model that significantly
predicted the presence or absence of a state quitline included only cigarette
excise tax rate (p = 0.020). In terms of funding levels, states with high rates
of cigarette consumption (p = 0.047) and with higher per capita expenditures for
tobacco control programmes (p = 0 .0.004) were most likely to spend more on per
capita operations budget for quitlines. CONCLUSION: State level factors appear to
play a part in whether states had established quitlines by mid-2004 and the
amount of per capita quitline funding.
PMID- 18048638
TI - Tobacco quitlines: looking back and looking ahead.
AB - Telephone based tobacco cessation services, or quitlines, have become central
components of many comprehensive tobacco control programmes. This paper provides
an overview of their history, noting milestones in the growth of quitlines. Key
factors in their worldwide adoption were solid evidence from clinical trials with
large community samples and strong backing from public health officials.
Quitlines are now available throughout most of North America, Europe, Australia
and in many other locations around the world. The paper also offers several
recommendations for future directions in quitline practice and research.
Benchmarks should be established for key areas of quitline implementation, such
as accessibility, quality and cost efficiency. Advances in pharmacotherapy,
telephony and web based applications should be investigated for opportunities to
expand service offerings. Research and development are needed to determine how
best to serve a diverse clientele in the most cost effective manner. Funding
should be expanded and diversified to enable quitlines to serve much larger
numbers of users. Healthcare providers should be targeted for quitline promotion,
to engage them in a broad effort to increase the number of patients receiving
cessation messages from clinicians. The goal of quitline promotion should expand
to include an increase in unaided quit attempts in the population. Early research
findings were quickly adopted in quitline practice, and future research to answer
questions that have arisen through the implementation of quitlines will probably
also find quick adoption.
PMID- 18048639
TI - Tobacco cessation quitlines in North America: a descriptive study.
AB - BACKGROUND: Quitlines have become an integral part of tobacco control efforts in
the United States and Canada. The demonstrated efficacy and the convenience of
telephone based counselling have led to the fast adoption of quitlines, to the
point of near universal access in North America. However, information on how
these quitlines operate in actual practice is not often readily available.
OBJECTIVES: This study describes quitline practice in North America and examines
commonalities and differences across quitlines. It will serve as a source of
reference for practitioners and researchers, with the aim of furthering service
quality and promoting continued innovation. DESIGN: A self administered
questionnaire survey of large, publicly funded quitlines in the United States and
Canada. A total of 52 US quitlines and 10 Canadian quitlines participated.
Descriptive statistics are provided regarding quitline operational structures,
clinical services, quality assurance procedures, funding sources and utilisation
rates. RESULTS: Clinical services for the 62 state/provincial quitlines are
supplied by a total of 26 service providers. Nine providers operate multiple
quitlines, creating greater consistency in operation than would otherwise be
expected. Most quitlines offer services over extended hours (mean 96 hours/week)
and have multiple language capabilities. Most (98%) use proactive multisession
counselling-a key feature of protocols tested in previous experimental trials.
Almost all quitlines have extensive training programmes (>60 hours) for
counselling staff, and over 70% conduct regular evaluation of outcomes. About
half of quitlines use the internet to provide cessation information. A little
over a third of US quitlines distribute free cessation medications to eligible
callers. The average utilisation rate of the US state quitlines in the 2004-5
fiscal year was about 1.0% across states, with a strong correlation between the
funding level of the quitlines and the smokers' utilisation of them (r = 0.74,
p<0.001). CONCLUSIONS: Quitlines in North America display core commonalities:
they have adopted the principles of multisession proactive counselling and they
conduct regular outcome evaluation. Yet variations, tested and untested, exist.
Standardised reporting procedures would be of benefit to the field. Shared
discussion of the rationale behind variations can inform future decision making
for all North American quitlines.
PMID- 18048640
TI - Placenta defects and embryonic lethality resulting from disruption of mouse
hydroxysteroid (17-beta) dehydrogenase 2 gene.
AB - Hydroxysteroid (17-beta) dehydrogenase 2 (HSD17B2) is a member of aldo-keto
reductase superfamily, known to catalyze the inactivation of 17beta
hydroxysteroids to less active 17-keto forms and catalyze the conversion of
20alpha-hydroxyprogesterone to progesterone in vitro. To examine the role of
HSD17B2 in vivo, we generated mice deficient in Hsd17b2 [HSD17B2 knockout (KO)]
by a targeted gene disruption in embryonic stem cells. From the homozygous mice
carrying the disrupted Hsd17b2, 70% showed embryonic lethality appearing at the
age of embryonic d 11.5 onward. The embryonic lethality was associated with
reduced placental size measured at embryonic d 17.5. The HSD17B2KO mice placentas
presented with structural abnormalities in all three major layers: the decidua,
spongiotrophoblast, and labyrinth. Most notable was the disruption of the
spongiotrophoblast and labyrinthine layers, together with liquid-filled cysts in
the junctional region and the basal layer. Treatments with an antiestrogen or
progesterone did not rescue the embryonic lethality or the placenta defect in the
homozygous mice. In hybrid background used, 24% of HSD17B2KO mice survived
through the fetal period but were born growth retarded and displayed a phenotype
in the brain with enlargement of ventricles, abnormal laminar organization, and
increased cellular density in the cortex. Furthermore, the HSD17B2KO mice had
unilateral renal degeneration, the affected kidney frequently appearing as a
fluid-filled sac. Our results provide evidence for a role for HSD17B2 enzyme in
the cellular organization of the mouse placenta.
PMID- 18048641
TI - Intracellular mechanisms regulating corticotropin-releasing hormone receptor
2beta endocytosis and interaction with extracellularly regulated kinase 1/2 and
p38 mitogen-activated protein kinase signaling cascades.
AB - Many important physiological roles of the urocortin (UCN) family of peptides as
well as CRH involve the type 2 CRH receptor (CRH-R2) and downstream activation of
multiple pathways. To characterize molecular determinants of CRH-R2 functional
activity, we used HEK293 cells overexpressing recombinant CRH-R2beta and
investigated mechanisms involved in attenuation of CRH-R2 signaling activity and
uncoupling from intracellular effectors. CRH-R2beta-mediated adenylyl cyclase
activation was sensitive to homologous desensitization induced by pretreatment
with either UCN-II or the weaker agonist CRH. CRH-R2beta activation induced
transient beta-arrestin1 and beta-arrestin2, as well as clathrin, recruitment to
the plasma membrane. Beta-arrestin2 appeared to be the main beta-arrestin subtype
associated with the receptor. This was followed by CRH-R2beta endocytosis in a
mechanism that exhibited distinct agonist-dependent temporal characteristics. CRH
R2beta also induced transient activation of the ERK1/2 and p38MAPK signaling
cascades that peaked at 5 min and returned to basal within 20-30 min. Unlike
p38MAPK, activated ERK1/2 was localized both in the cytoplasm and nucleus.
Experiments employing inhibitors of receptor endocytosis showed that CRH-R2beta
MAPK interaction does not require beta-arrestin, clathrin, or receptor
endocytosis. Site-directed mutagenesis studies on CRH-R2beta C terminus showed
that the amino acid cassette TAAV at the end of the C terminus is important for
CRH-R2beta signaling because loss of a potential phospho-acceptor site in mutant
receptors containing deletion or Ala substitution of the cassette TAAV resulted
in reduced ERK1/2 activation and accelerated receptor internalization. These
findings provide new insights about the signaling mechanisms regulating CRH
R2beta functional activity and determining its biological responses.
PMID- 18048642
TI - Estradiol stimulates transcription of nuclear respiratory factor-1 and increases
mitochondrial biogenesis.
AB - Estrogen has direct and indirect effects on mitochondrial activity, but the
mechanisms mediating these effects remain unclear. Others reported that long-term
estradiol (E(2)) treatment increased nuclear respiratory factor-1 (NRF-1) protein
in cerebral blood vessels of ovariectomized rats. NRF-1 is a transcription factor
that regulates the expression of nuclear-encoded mitochondrial genes, e.g.
mitochondrial transcription factor A (TFAM), that control transcription of the
mitochondrial genome. Here we tested the hypothesis that E(2) increases NRF-1
transcription resulting in a coordinate increase in the expression of nuclear-
and mitochondrial- encoded genes and mitochondrial respiratory activity. We show
that E(2) increased NRF-1 mRNA and protein in MCF-7 breast and H1793 lung
adenocarcinoma cells in a time-dependent manner. E(2)-induced NRF-1 expression
was inhibited by the estrogen receptor (ER) antagonist ICI 182,780 and
actinomycin D but not by phosphoinositide-3 kinase and MAPK inhibitors,
indicating a genomic mechanism of E(2) regulation of NRF-1 transcription. An
estrogen response element (ERE) in the NRF-1 promoter bound ER alpha and ER beta
in vitro, and E(2) induced ER alpha and ER beta recruitment to this ERE in
chromatin immunoprecipitation assays in MCF-7 cells. The NRF-1 ERE activated
reporter gene expression in transfected cells. Small interfering RNA to ER alpha
and ER beta revealed that ER alpha mediates E(2)-induced NRF-1 transcription. The
E(2)-induced increase in NRF-1 was followed by increased TFAM and the
transcription of Tfam-regulated mitochondrial DNA-encoded COI and NDI genes and
increased mitochondrial biogenesis. Knockdown of NRF-1 blocked E(2) stimulation
of mitochondrial biogenesis and activity, indicating a mechanism by which
estrogens regulate mitochondrial function by increasing NRF-1 expression.
PMID- 18048643
TI - Nilotinib (formerly AMN107), a highly selective BCR-ABL tyrosine kinase
inhibitor, is active in patients with imatinib-resistant or -intolerant
accelerated-phase chronic myelogenous leukemia.
AB - Patients with imatinib-resistant or -intolerant accelerated-phase chronic
myelogenous leukemia (CML-AP) have very limited therapeutic options. Nilotinib is
a highly selective BCR-ABL tyrosine kinase inhibitor. This phase 2 trial was
designed to characterize the efficacy and safety of nilotinib (400 mg twice
daily) in this patient population with hematologic response (HR) as primary
efficacy endpoint. A total of 119 patients were enrolled and had a median
duration of treatment of 202 days (range, 2-611 days). An HR was observed in 56
patients (47%; 95% confidence interval [CI], 38%-56%). Major cytogenetic response
(MCyR) was observed in 35 patients (29%; 95% CI, 21%-39%). The median duration of
HR has not been reached. Overall survival rate among the 119 patients after 12
months of follow-up was 79% (95% CI, 70%-87%). Nonhematologic adverse events were
mostly mild to moderate. Severe peripheral edema and pleural effusions were not
observed. The most common grade 3 or higher hematologic adverse events were
thrombocytopenia (35%) and neutropenia (21%). Grade 3 or higher bilirubin and
lipase elevations occurred in 9% and 18% of patients, respectively, resulting in
treatment discontinuation in one patient. In conclusion, nilotinib is an
effective and well-tolerated treatment in imatinib-resistant and -intolerant CML
AP. This trial is registered at www.clinicaltrials.gov as NCT00384228.
PMID- 18048644
TI - In adults with standard-risk acute lymphoblastic leukemia, the greatest benefit
is achieved from a matched sibling allogeneic transplantation in first complete
remission, and an autologous transplantation is less effective than conventional
consolidation/maintenance chemotherapy in all patients: final results of the
International ALL Trial (MRC UKALL XII/ECOG E2993).
AB - An international collaboration was set up to prospectively evaluate the role of
allogeneic transplantation for adults with acute lymphoblastic leukemia (ALL) and
compare autologous transplantation with standard chemotherapy. Patients received
2 phases of induction and, if in remission, were assigned to allogeneic
transplantation if they had a compatible sibling donor. Other patients were
randomized to chemotherapy for 2.5 years versus an autologous transplantation. A
donor versus no-donor analysis showed that Philadelphia chromosome-negative
patients with a donor had a 5-year improved overall survival (OS), 53% versus 45%
(P = .01), and the relapse rate was significantly lower (P < or = .001). The
survival difference was significant in standard-risk patients, but not in high
risk patients with a high nonrelapse mortality rate in the high-risk donor group.
Patients randomized to chemotherapy had a higher 5-year OS (46%) than those
randomized to autologous transplantation (37%; P = .03). Matched related
allogeneic transplantations for ALL in first complete remission provide the most
potent antileukemic therapy and considerable survival benefit for standard-risk
patients. However, the transplantation-related mortality for high-risk older
patients was unacceptably high and abrogated the reduction in relapse risk. There
is no evidence that a single autologous transplantation can replace
consolidation/maintenance in any risk group. This study is registered at
http://clinicaltrials.gov as NCT00002514.
PMID- 18048645
TI - Notch target Hes5 ensures appropriate Notch induced T- versus B-cell choices in
the thymus.
AB - Notch signaling establishes boundaries in the thymus by inducing T-cell
commitment and inhibiting a B-cell choice. Here, we show a significant 1.6-fold
increased generation of B-cell precursors in thymuses from mice deficient for
Notch target Hes5 compared with wild-type littermates. We further show that
culture of bone marrow-derived progenitors with increasing densities of purified
immobilized Notch ligand (Delta1(ext-IgG)) induced increased expression of Notch
targets Hes1 and Hes5, and that although Hes5-deficient progenitors responded
appropriately to high densities of ligand, they misread intermediate and low
densities. Together, our results suggest that to ensure an appropriate outcome in
the thymus in response to a lower threshold of induced Notch signaling, induction
of the additional target Hes5 is required.
PMID- 18048646
TI - The retinoblastoma tumor suppressor is a critical intrinsic regulator for
hematopoietic stem and progenitor cells under stress.
AB - The retinoblastoma tumor suppressor protein (RB) plays important roles in the
control of the cell division cycle. It is estimated that RB is
dysfunctional/inactivated in up to 40% of human leukemias. The consequences of
loss of RB on hematopoietic stem and progenitor cell (HSPC) function in vivo are
incompletely understood. Here, we report that mice genetically deficient in Rb in
all hematopoietic cells (Vav-Cre Rb knockout [KO] animals) showed altered
contribution of distinct hematopoietic cell lineages to peripheral blood, bone
marrow, and spleen; significantly increased extramedullary hematopoiesis in the
spleen; and a 2-fold increase in the frequency of hematopoietic progenitor cells
in peripheral blood. Upon competitive transplantation, HSPCs from Vav-Cre Rb KO
mice contributed with an at least 4- to 6-fold less efficiency to hematopoiesis
compared with control cells. HSPCs deficient in Rb presented with impaired cell
cycle exit upon stress-induced proliferation, which correlated with impaired
function. In summary, Rb is critical for hematopoietic stem and progenitor cell
function, localization, and differentiation.
PMID- 18048648
TI - MOST: detecting cancer differential gene expression.
AB - We propose a new statistics for the detection of differentially expressed genes
when the genes are activated only in a subset of the samples. Statistics designed
for this unconventional circumstance has proved to be valuable for most cancer
studies, where oncogenes are activated for a small number of disease samples.
Previous efforts made in this direction include cancer outlier profile analysis
(Tomlins and others, 2005), outlier sum (Tibshirani and Hastie, 2007), and
outlier robust t-statistics (Wu, 2007). We propose a new statistics called
maximum ordered subset t-statistics (MOST) which seems to be natural when the
number of activated samples is unknown. We compare MOST to other statistics and
find that the proposed method often has more power then its competitors.
PMID- 18048647
TI - ZAP-70 enhances IgM signaling independent of its kinase activity in chronic
lymphocytic leukemia.
AB - We transduced chronic lymphocytic leukemia (CLL) cells lacking ZAP-70 with
vectors encoding ZAP-70 or various mutant forms of ZAP-70 and monitored the
response of transduced CLL cells to treatment with F(ab)(2) anti-IgM (anti-mu).
CLL cells made to express ZAP-70, a kinase-defective ZAP-70 (ZAP-70-KA(369)), or
a ZAP-70 unable to bind c-Cbl (ZAP-YF(292)) experienced greater intracellular
calcium flux and had greater increases in the levels of phosphorylated p72(Syk),
B-cell linker protein (BLNK), and phospholipase C-gamma, and greater activation
of the Ig accessory molecule CD79b in response to treatment with anti-mu than did
mock-transfected CLL cells lacking ZAP-70. Transfection of CLL cells with vectors
encoding truncated forms of ZAP-70 revealed that the SH2 domain, but not the SH1
domain, was necessary to enhance intracellular calcium flux in response to
treatment with anti-mu. We conclude that ZAP-70 most likely acts as an adapter
protein that facilitates B-cell receptor (BCR) signaling in CLL cells independent
of its tyrosine kinase activity or its ability to interact with c-Cbl.
PMID- 18048649
TI - Responding to serious medical error in general practice--consequences for the GPs
involved: analysis of 75 cases from Germany.
AB - OBJECTIVES: GPs' recollections about their 'most serious errors in treatment' and
about the consequences for themselves. Does it make a difference, who (else)
contributed to the error, or to its discovery or disclosure? METHODS: Anonymous
questionnaire study concerning the 'three most serious errors in your career as a
GP'. The participating doctors were given an operational definition of 'serious
error'. They applied a special recall technique, using patient-induced
associations to bring to mind former 'serious errors'. The recall method and the
semi-structured 25-item questionnaire used were developed and piloted by the
authors. The items were analysed quantitatively and by qualitative content
analysis. SETTING: General practices in the North Rhine region in Germany: 32 GPs
anonymously reported about 75 'most serious errors'. RESULTS: In more than half
of the cases analysed, other people contributed considerably to the GPs' serious
errors. Most of the errors were discovered and disclosed to the patient by
doctors: either by the GPs themselves, or by colleagues. A lot of GPs suffered
loss of reputation and loss of patients. However, the number of patients staying
with their GP clearly exceeded the number leaving their GP, depending on who else
contributed to the error, who discovered it and who disclosed it to the patient.
CONCLUSIONS: The majority of patients still trusted their GP after a serious
error, especially if the GP was not the only one who contributed to the error and
if the GP played an active role in the discovery and disclosure or the error.
PMID- 18048650
TI - How representative of primary care are research active practices? Cross-sectional
survey.
AB - BACKGROUND: There has been a continued trend towards undertaking primary care
based research but the characteristics and generalizability of practices that
participate in such research are not well known. OBJECTIVE: To compare research
active and non-active practices in terms of practice demographics, disease
prevalence and quality scores from the Quality and Outcomes Framework. DESIGN:
Cross-sectional survey using publicly available data. SETTING: A total of 973
general practices from the West Midlands, UK. MAIN OUTCOME MEASURES: Practice
population characteristics, research status, disease prevalence, clinical and non
clinical quality scores. RESULTS: Of 973 practices, 298 (31%) were defined as
research active. Research active practices had younger populations (% over 65:
15.2% versus 16.2%, z = 3.95, P < 0.0001) compared to non-research active
practices, were larger [median list size 6123 (interquartile range, IQR, 3642
9691) versus 4059 (IQR 2675-7060) z = 6.96, P < 0.0001] and more likely to be in
deprived areas [median Townsend quintile 5 (IQR 3-5) versus 4 (IQR 3-5), z =
3.23, P = 0.001]. Disease prevalence was similar in both research active and non
active practices but the former attained higher median quality scores for both
clinical [research active 534/550 (IQR 508-546) versus non-research active
525/550 (IQR 483-542) z = 4.00, P < 0.0001] and non-clinical [310/320 (IQR 283
319) versus 296/320 (IQR 265-314), z = 5.76, P < 0.0001] areas. CONCLUSION:
General practices which participate in research are larger and located in more
deprived areas than non-research active practices but disease prevalence is
similar and research practices attain only modestly higher quality points.
Research in research active practices is likely to be generalizable to the wider
primary care community.
PMID- 18048651
TI - Million-degree plasma pervading the extended Orion Nebula.
AB - Most stars form as members of large associations within dense, very cold (10 to
100 kelvin) molecular clouds. The nearby giant molecular cloud in Orion hosts
several thousand stars of ages less than a few million years, many of which are
located in or around the famous Orion Nebula, a prominent gas structure
illuminated and ionized by a small group of massive stars (the Trapezium). We
present x-ray observations obtained with the X-ray Multi-Mirror satellite XMM
Newton, revealing that a hot plasma with a temperature of 1.7 to 2.1 million
kelvin pervades the southwest extension of the nebula. The plasma flows into the
adjacent interstellar medium. This x-ray outflow phenomenon must be widespread
throughout our Galaxy.
PMID- 18048652
TI - Switching from repression to activation: microRNAs can up-regulate translation.
AB - AU-rich elements (AREs) and microRNA target sites are conserved sequences in
messenger RNA (mRNA) 3' untranslated regions (3'UTRs) that control gene
expression posttranscriptionally. Upon cell cycle arrest, the ARE in tumor
necrosis factor-alpha (TNFalpha) mRNA is transformed into a translation
activation signal, recruiting Argonaute (AGO) and fragile X mental retardation
related protein 1 (FXR1), factors associated with micro-ribonucleoproteins
(microRNPs). We show that human microRNA miR369-3 directs association of these
proteins with the AREs to activate translation. Furthermore, we document that two
well-studied microRNAs-Let-7 and the synthetic microRNA miRcxcr4-likewise induce
translation up-regulation of target mRNAs on cell cycle arrest, yet they repress
translation in proliferating cells. Thus, activation is a common function of
microRNPs on cell cycle arrest. We propose that translation regulation by
microRNPs oscillates between repression and activation during the cell cycle.
PMID- 18048653
TI - Stellar feedback in dwarf galaxy formation.
AB - Dwarf galaxies pose substantial challenges for cosmological models. In
particular, current models predict a dark-matter density that is divergent at the
center, which is in sharp contrast with observations that indicate a core of
roughly constant density. Energy feedback, from supernova explosions and stellar
winds, has been proposed as a major factor shaping the evolution of dwarf
galaxies. We present detailed cosmological simulations with sufficient resolution
both to model the relevant physical processes and to directly assess the impact
of stellar feedback on observable properties of dwarf galaxies. We show that
feedback drives large-scale, bulk motions of the interstellar gas, resulting in
substantial gravitational potential fluctuations and a consequent reduction in
the central matter density, bringing the theoretical predictions in agreement
with observations.
PMID- 18048654
TI - Climate change, deforestation, and the fate of the Amazon.
AB - The forest biome of Amazonia is one of Earth's greatest biological treasures and
a major component of the Earth system. This century, it faces the dual threats of
deforestation and stress from climate change. Here, we summarize some of the
latest findings and thinking on these threats, explore the consequences for the
forest ecosystem and its human residents, and outline options for the future of
Amazonia. We also discuss the implications of new proposals to finance
preservation of Amazonian forests.
PMID- 18048655
TI - The quality of public dialogue.
PMID- 18048656
TI - Epidemiology. New estimates scale back scope of HIV/AIDS epidemic.
PMID- 18048657
TI - Influenza. Tense meeting produces some hope for flu-sharing deal.
PMID- 18048658
TI - Paleoanthropology. Hominid harems: big males competed for small australopithecine
females.
PMID- 18048659
TI - Museums. Smithsonian struggles to strike a balance with sponsors.
PMID- 18048660
TI - Public science. Pilot NSF program flies into stiff community headwinds.
PMID- 18048661
TI - Science advice. Germany finally picks a national science academy.
PMID- 18048662
TI - Carbon sequestration. Should oceanographers pump iron?
PMID- 18048663
TI - Higher education. MIT engineer shakes Korean academia to its core.
PMID- 18048664
TI - Veterinary medicine. Camel scientists ask: what's sinking the ships of the
desert?
PMID- 18048665
TI - Veterinary medicine. 'Camelized' antibodies make waves.
PMID- 18048666
TI - Space. Columbus injects science into station.
PMID- 18048667
TI - Global and local conservation priorities.
PMID- 18048668
TI - Comment on "Decagonal and quasi-crystalline tilings in medieval Islamic
architecture".
AB - Lu and Steinhardt (Reports, 23 February 2007, p. 1106) claimed the discovery of a
large, potentially quasi-crystalline Islamic tiling in the Darb-i Imam shrine but
regard the earlier Maragha tiling, previously described as quasiperiodic, as a
small isolated motif. We demonstrate that the Darb-i Imam pattern is periodic and
that the quasi-crystalline discs superimposed on its lattice are derivatives of
the Maragha pattern.
PMID- 18048669
TI - Climate change. Climate assessment: what's next?
PMID- 18048671
TI - Geochemistry. The leaking mantle.
PMID- 18048670
TI - Preschool program improves cognitive control.
PMID- 18048672
TI - Genetics. Paradigm for life.
PMID- 18048673
TI - Chemistry. Surface-conducting diamond.
PMID- 18048674
TI - Chemistry. Metal-based therapeutics.
PMID- 18048675
TI - Physics. Precision without entanglement.
PMID- 18048676
TI - Genetics. Beta-defensin repertoire expands.
PMID- 18048677
TI - Journey to the center of the cell. Introduction to special issue.
PMID- 18048678
TI - Return of the matrix.
PMID- 18048679
TI - Toward a high-resolution view of nuclear dynamics.
AB - The nucleus is the defining feature of eukaryotic cells. It is a highly dynamic,
membrane-bound organelle that encloses chromatin and thereby partitions gene
transcription from sites of protein translation in the cytoplasm. Major cellular
events, including DNA replication, messenger RNA synthesis and processing, and
ribosome subunit biogenesis, take place within the nucleus, resulting in a
continuous flux of macromolecules into and out of the nucleus through dedicated
nuclear pore complexes in the nuclear envelope. Here, we review the impact of new
technologies, especially in areas of fluorescence microscopy and proteomics,
which are providing major insights into dynamic processes affecting both
structure and function within the nucleus.
PMID- 18048680
TI - Blurring the boundary: the nuclear envelope extends its reach.
AB - The past decade has seen a complete rethinking of the traditional view of the
nuclear envelope as simply a passive enclosure for the chromosomes. The
convergence of several lines of clinical and basic research has revealed
additional roles in both signaling and mitotic progression. It is becoming
apparent that the nuclear envelope defines not only nuclear organization but also
that of the cytoskeleton and, in this way, integrates both nuclear and
cytoplasmic architecture.
PMID- 18048681
TI - Crossing the nuclear envelope: hierarchical regulation of nucleocytoplasmic
transport.
AB - Transport of macromolecules between the nucleus and cytoplasm is a critical
cellular process for eukaryotes, and the machinery that mediates
nucleocytoplasmic exchange is subject to multiple levels of control. Regulation
is achieved by modulating the expression or function of single cargoes, transport
receptors, or the transport channel. Each of these mechanisms has increasingly
broad impacts on transport patterns and capacity, and this hierarchy of control
directly affects gene expression, signal transduction, development, and disease.
PMID- 18048682
TI - Plants tolerant of high boron levels.
AB - Reduced crop productivity due to soils containing toxic levels of boron (B) is a
worldwide problem in food production. It is estimated that up to 17% of the
barley yield losses in southern Australia are caused by B toxicity. We found that
the expression of AtBOR4, an Arabidopsis paralog of BOR1, the first identified
boron transporter gene, generates plants that are tolerant of high B levels. BOR4
is a polarly localized borate exporter that enhances B efflux from roots. The
present study is a foundation for the improvement of crop productivity in soils
containing excess B, which are distributed in arid areas of the world.
PMID- 18048683
TI - Charge transfer equilibria between diamond and an aqueous oxygen electrochemical
redox couple.
AB - Undoped, high-quality diamond is, under almost all circumstances, one of the best
insulators known. However, diamond covered with chemically bound hydrogen shows a
pronounced conductivity when exposed to air. This conductivity arises from
positive-charge carriers (holes) and is confined to a narrow near-surface region.
Although several explanations have been proposed, none has received wide
acceptance, and the mechanism remains controversial. Here, we report the
interactions of hydrogen-terminated, macroscopic diamonds and diamond powders
with aqueous solutions of controlled pH and oxygen concentration. We show that
electrons transfer between the diamond and an electrochemical reduction/oxidation
couple involving oxygen. This charge transfer is responsible for the surface
conductivity and also influences contact angles and zeta potentials. The effect
is not confined to diamond and may play a previously unrecognized role in other
disparate systems.
PMID- 18048684
TI - Flow of mantle fluids through the ductile lower crust: helium isotope trends.
AB - Heat and mass are injected into the shallow crust when mantle fluids are able to
flow through the ductile lower crust. Minimum 3He/4He ratios in surface fluids
from the northern Basin and Range Province, western North America, increase
systematically from low crustal values in the east to high mantle values in the
west, a regional trend that correlates with the rates of active crustal
deformation. The highest ratios occur where the extension and shear strain rates
are greatest. The correspondence of helium isotope ratios and active
transtensional deformation indicates a deformation-enhanced permeability and that
mantle fluids can penetrate the ductile lithosphere, even in regions where there
is no substantial magmatism. Superimposed on the regional trend are local, high
3He/4He anomalies indicating hidden magmatic activity and/or deep fluid
production with locally enhanced permeability, identifying zones with high
resource potential, particularly for geothermal energy development.
PMID- 18048685
TI - In situ determination of the nanoscale chemistry and behavior of solid-liquid
systems.
AB - Many fundamental questions in crystal-growth behavior remain unanswered because
of the difficulties encountered in simultaneously observing phases and
determining elemental concentrations and redistributions while crystals nucleate
and grow at the nanoscale. We show that these obstacles can be overcome by
performing energy-dispersive x-ray spectroscopy on partially molten Al-Si-Cu-Mg
alloy particles during in situ heating in a transmission electron microscope.
Using this technique, we were able to (i) determine that the aluminum and silicon
concentrations change in a complementary and symmetric manner about the solid
liquid interface as a function of temperature; (ii) directly measure the solid-
and liquid-phase compositions at equilibrium and in highly undercooled conditions
for quantitative comparison with thermodynamic calculations of the liquidus and
solidus phase boundaries; and (iii) provide direct evidence for homogeneous
nucleation of the aluminum-rich solid.
PMID- 18048686
TI - Fluctuation superconductivity in mesoscopic aluminum rings.
AB - Fluctuations are important near phase transitions, where they can be difficult to
describe quantitatively. Superconductivity in mesoscopic rings is particularly
intriguing because the critical temperature is an oscillatory function of
magnetic field. There is an exact theory for thermal fluctuations in one
dimensional superconducting rings, which are therefore expected to be an
excellent model system. We measured the susceptibility of many rings, one ring at
a time, by using a scanning superconducting quantum interference device that can
isolate magnetic signals that are seven orders of magnitude smaller than applied
flux. We find that the fluctuation theory describes the results and that a single
parameter characterizes the ways in which the fluctuations are especially
important at magnetic fields where the critical temperature is suppressed.
PMID- 18048687
TI - Extended male growth in a fossil hominin species.
AB - In primates that are highly sexually dimorphic, males often reach maturity later
than females, and young adult males do not show the size, morphology, and
coloration of mature males. Here we describe extended male development in a
hominin species, Paranthropus robustus. Ranking a large sample of facial remains
on the basis of dental wear stages reveals a difference in size and robusticity
between young adult and old adult males. Combined with estimates of sexual
dimorphism, this pattern suggests that male reproductive strategy focused on
monopolizing groups of females, in a manner similar to that of silverback
gorillas. However, males appear to have borne a substantial cost in the form of
high rates of predation.
PMID- 18048688
TI - Boron-toxicity tolerance in barley arising from efflux transporter amplification.
AB - Both limiting and toxic soil concentrations of the essential micronutrient boron
represent major limitations to crop production worldwide. We identified Bot1, a
BOR1 ortholog, as the gene responsible for the superior boron-toxicity tolerance
of the Algerian barley landrace Sahara 3771 (Sahara). Bot1 was located at the
tolerance locus by high-resolution mapping. Compared to intolerant genotypes,
Sahara contains about four times as many Bot1 gene copies, produces substantially
more Bot1 transcript, and encodes a Bot1 protein with a higher capacity to
provide tolerance in yeast. Bot1 transcript levels identified in barley tissues
are consistent with a role in limiting the net entry of boron into the root and
in the disposal of boron from leaves via hydathode guttation.
PMID- 18048689
TI - 5'-triphosphate-dependent activation of PKR by RNAs with short stem-loops.
AB - Molecular patterns in pathogenic RNAs can be recognized by the innate immune
system, and a component of this response is the interferon-induced enzyme RNA
activated protein kinase (PKR). The major activators of PKR have been proposed to
be long double-stranded RNAs. We report that RNAs with very limited secondary
structures activate PKR in a 5'-triphosphate-dependent fashion in vitro and in
vivo. Activation of PKR by 5'-triphosphate RNA is independent of RIG-I and is
enhanced by treatment with type 1 interferon (IFN-alpha). Surveillance of
molecular features at the 5' end of transcripts by PKR presents a means of
allowing pathogenic RNA to be distinguished from self-RNA. The evidence presented
here suggests that this form of RNA-based discrimination may be a critical step
in mounting an early immune response.
PMID- 18048690
TI - Direct observation of chaperone-induced changes in a protein folding pathway.
AB - How chaperone interactions affect protein folding pathways is a central problem
in biology. With the use of optical tweezers and all-atom molecular dynamics
simulations, we studied the effect of chaperone SecB on the folding and unfolding
pathways of maltose binding protein (MBP) at the single-molecule level. In the
absence of SecB, we find that the MBP polypeptide first collapses into a molten
globulelike compacted state and then folds into a stable core structure onto
which several alpha helices are finally wrapped. Interactions with SecB
completely prevent stable tertiary contacts in the core structure but have no
detectable effect on the folding of the external alpha helices. It appears that
SecB only binds to the extended or molten globulelike structure and retains MBP
in this latter state. Thus during MBP translocation, no energy is required to
disrupt stable tertiary interactions.
PMID- 18048691
TI - Carbon dioxide activation at the Ni,Fe-cluster of anaerobic carbon monoxide
dehydrogenase.
AB - Anaerobic CO dehydrogenases catalyze the reversible oxidation of CO to CO2 at a
complex Ni-, Fe-, and S-containing metal center called cluster C. We report
crystal structures of CO dehydrogenase II from Carboxydothermus hydrogenoformans
in three different states. In a reduced state, exogenous CO2 supplied in solution
is bound and reductively activated by cluster C. In the intermediate structure,
CO2 acts as a bridging ligand between Ni and the asymmetrically coordinated Fe,
where it completes the square-planar coordination of the Ni ion. It replaces a
water/hydroxo ligand bound to the Fe ion in the other two states. The structures
define the mechanism of CO oxidation and CO2 reduction at the Ni-Fe site of
cluster C.
PMID- 18048692
TI - Solvent tuning of electrochemical potentials in the active sites of HiPIP versus
ferredoxin.
AB - A persistent puzzle in the field of biological electron transfer is the conserved
iron-sulfur cluster motif in both high potential iron-sulfur protein (HiPIP) and
ferredoxin (Fd) active sites. Despite this structural similarity, HiPIPs react
oxidatively at physiological potentials, whereas Fds are reduced. Sulfur K-edge x
ray absorption spectroscopy uncovers the substantial influence of hydration on
this variation in reactivity. Fe-S covalency is much lower in natively hydrated
Fd active sites than in HiPIPs but increases upon water removal; similarly, HiPIP
covalency decreases when unfolding exposes an otherwise hydrophobically shielded
active site to water. Studies on model compounds and accompanying density
functional theory calculations support a correlation of Fe-S covalency with ease
of oxidation and therefore suggest that hydration accounts for most of the
difference between Fd and HiPIP reduction potentials.
PMID- 18048694
TI - Inhibition of the cardiac L-type calcium channel current by antidepressant drugs.
AB - Antidepressants inhibit many membrane receptors and ionic channels, including the
L-type calcium channel. Here, we investigated the inhibition of calcium current
(I(Ca)) by antidepressants in enzymatically isolated rat ventricular myocytes
using whole-cell patch clamp. The molecular mechanism of inhibition was studied
by comparing the voltage and state dependence of antidepressant inhibition of
I(Ca) to the respective properties of calcium antagonists, and by studying the
effect of (+/-)-1,4-dihydro-2,6-dimethyl-5-nitro-4-(2-[trifluoromethyl]phenyl)-3
pyridine carboxylic acid methyl ester (Bay K8644) or diltiazem on the inhibitory
potency of the antidepressants. All selected antidepressants inhibited calcium
currents reversibly and concentration-dependently. At a stimulation frequency of
0.33 Hz, the antidepressants imipramine, clomipramine, desipramine,
amitriptyline, maprotiline, citalopram, and dibenzepin blocked I(Ca), with IC(50)
values of 8.3, 11.6, 11.7, 23.2, 31.0, 64.5, and 364 muM. The antidepressant
drugs shifted steady-state inactivation curves of I(Ca) to negative voltages. The
extent of the shift was similar to that induced by diltiazem or verapamil, but it
was significantly smaller than that induced by felodipine. The use-dependent
component of the antidepressant-induced block was similar to that of diltiazem,
and it was significantly more and less, respectively, than those of felodipine
and verapamil. In the presence of Bay K8644, antidepressants were more effective
in inhibiting I(Ca). However, the inhibitory effect of antidepressants was also
augmented by diltiazem, suggesting that these drugs do not compete with diltiazem
for a single binding site. These data suggest that antidepressants exert their
inhibitory action on cardiac L-type calcium channels by a specific interaction at
a receptor site similar to, but distinct from, the benzothiazepine site.
PMID- 18048693
TI - Expression and function of junctional adhesion molecule-C in myelinated
peripheral nerves.
AB - JAM-C is an adhesion molecule that is expressed on cells within the vascular
compartment and epithelial cells and, to date, has been largely studied in the
context of inflammatory events. Using immunolabeling procedures in conjunction
with confocal and electron microscopy, we show here that JAM-C is also expressed
in peripheral nerves and that this expression is localized to Schwann cells at
junctions between adjoining myelin end loops. Sciatic nerves from JAM-C-deficient
[having the JAM-C gene knocked out (KO)] mice exhibited loss of integrity of the
myelin sheath and defective nerve conduction as indicated by morphological and
electrophysiological studies, respectively. In addition, behavioral tests showed
motor abnormalities in the KO animals. JAM-C was also expressed in human sural
nerves with an expression profile similar to that seen in mice. These results
demonstrate that JAM-C is a component of the autotypic junctional attachments of
Schwann cells and plays an important role in maintaining the integrity and
function of myelinated peripheral nerves.
PMID- 18048696
TI - Doctors and the drug industry revisited.
PMID- 18048695
TI - Involvement of P2Y1 and P2Y11 purinoceptors in parasympathetic inhibition of
colonic smooth muscle.
AB - Purinergic signaling was first recognized in the guinea pig (Cavia porcellus)
taenia coli, where relaxation of smooth muscle by nerve-released ATP may involve
the activation of P2Y(1) and P2Y(11) receptors, and where transcripts for both
genes have been found. A partial sequence for P2Y(11) protein was identified; the
full-length P2Y(1) sequence has already been described. P2Y(1) and P2Y(11)
proteins were localized by immunohistochemistry in smooth muscle cells. P2X(2)
and P2X(3) proteins were also localized in motoneurons of the myenteric plexus.
alphabeta-Methylene-ATP (alphabetameATP) and dibenzoyl-ATP (BzATP) evoked fast
relaxations in the taenia, and they were inhibited by the P2Y(1) receptor
antagonist 2'-deoxy-N(6)-methyladenosine 3',5'-bisphosphate (MRS2179). However,
alphabetameATP and BzATP may stimulate neuronal P2X receptors to release ATP,
which then acts on P2Y(1) receptors. In accordance, fast relaxations evoked by
alphabetameATP and BzATP were inhibited by the P2X(3) and P2X(2/3) receptor
antagonist 5-({[3-phenoxybenzyl][(1S)-1,2,3,4-tetrahydro-1-naphthalenyl] amino}
carbonyl)-1,2,4-benzene-tricarboxylic acid (A317491). When P2Y(1), P2X(3), and
P2X(2/3) receptors were blocked and adenosine was removed enzymatically,
alphabetameATP and BzATP evoked slow relaxations that were inhibited by Reactive
Red. Fast and slow relaxations involve small and large conductance calcium
activated potassium channels; the latter are dependent on intracellular cyclic
AMP levels, which altered the duration and amplitude of relaxations.
alphabetameATP and BzATP were confirmed as agonists, and Reactive Red as an
antagonist, of human P2Y(11) receptors. In summary, G(q)-coupled P2Y(1) receptors
are involved mainly in fast relaxations, whereas G(q)and G(s)-coupled P2Y(11)
receptors are involved in both fast and slow relaxations. These P2Y receptor
subtypes, plus neuronal P2X receptors, may explain the phenomenon of
parasympathetic inhibition first described by Langley (1898).
PMID- 18048697
TI - British medicine's desperate need for leadership.
PMID- 18048698
TI - Emergency admissions a time for action and improving patient outcomes.
PMID- 18048699
TI - The nose and sex: the nasogenital reflex revisited.
PMID- 18048700
TI - Count me in even if I am old!
PMID- 18048702
TI - Chronic diarrhoea.
PMID- 18048703
TI - Heroin injecting and the introduction of HIV/AIDS into a Scottish city.
PMID- 18048705
TI - Sexual health--what are users' views?
PMID- 18048704
TI - Vitamin C may affect lung infections.
PMID- 18048707
TI - Hyperglycaemia and mortality.
AB - Several studies over the last decade have linked hyperglycaemia on hospital
admission with subsequent mortality risk. The evidence is strongest for patients
with myocardial infarction or acute coronary syndromes, but evidence also links
hyperglycaemia with mortality from stroke and other medical illnesses. The effect
seems independent of a previous diagnosis of diabetes mellitus; indeed, some
studies suggest that mortality may be higher in patients with hyperglycaemia and
no previous diabetes diagnosis compared with known diabetic patients. The effect
on outcome of therapeutically lowering blood glucose levels has been considered
in a small number of studies, but so far the results are conflicting. Further
work is needed, focusing on more standardized surveys--previous studies vary in
their use of blood or plasma, as well as cut-off levels for hyperglycaemia--and
larger intervention studies.
PMID- 18048708
TI - How and why do we measure surgical risk?
PMID- 18048709
TI - 'A matter of faith, not science': analysis of media coverage of prostate cancer
screening in Australian news media 2003-2006.
AB - OBJECTIVE: Despite a near universal absence of evidence-based policies supporting
population screening for prostate cancer, the prostate-specific antigen (PSA)
test is aggressively promoted in the media as a life-saving form of screening.
The objective of this study was to examine media coverage of prostate-cancer
screening in Australia. DESIGN: Frame analysis of all direct or attributed quotes
about prostate cancer. SETTING: Australian capital city newspapers (February 2003
December 2006) and Sydney television news (January 2003-December 2006). MAIN
OUTCOME MEASURES: Quotes regarding prostate cancer screening: n=436 in newspapers
and television news. RESULTS: Seven rhetorical frames were identified. 86% of all
quotes framed prostate screening and its outcomes as desirable, associating PSA
testing as being consonant with other early-detection cancer-control messages.
Adverse surgical sequelae to screening were often minimized, scientific progress
highlighted and gender equity appeals appropriated. Those questioning screening
were vilified, with epidemiology being framed as an inferior form of knowledge
than clinical experience. CONCLUSIONS: Australian men are exposed to unbalanced
and often non-evidence-based appeals to seek PSA testing. There is a disturbing
lack of effort to redress this imbalance.
PMID- 18048710
TI - Posterior reversible encephalopathy syndrome in (low renin) essential
hypertension.
PMID- 18048711
TI - Carpopedal spasm in an elderly man: an unusual presentation of coeliac disease.
PMID- 18048712
TI - Learning how to control biases in studies to identify adverse effects of drugs.
PMID- 18048713
TI - Please lend a hand.
PMID- 18048714
TI - A crime against mental health?
PMID- 18048716
TI - Proposal of Viridibacillus gen. nov. and reclassification of Bacillus arvi,
Bacillus arenosi and Bacillus neidei as Viridibacillus arvi gen. nov., comb.
nov., Viridibacillus arenosi comb. nov. and Viridibacillus neidei comb. nov.
AB - A polyphasic study was undertaken to clarify the taxonomic position of endospore
forming strains 433-D9, 433-E17 and 121-X1. BOX-PCR-generated fingerprints
indicated that they may be members of a single species. 16S rRNA gene sequence
similarity demonstrated that a representative of this group, 433-D9, is
affiliated closely with Bacillus arvi DSM 16317(T) (100 %), Bacillus arenosi DSM
16319(T) (99.8 %) and Bacillus neidei NRRL BD-87(T) (97.1 %). Sequence
similarities revealed Bacillus pycnus NRRL NRS-1691(T) and several Kurthia
species as the next nearest relatives. DNA-DNA hybridization results showed that
strain 433-D9 is a member of B. arvi. Detection of l-Lys-d-Asp-based
peptidoglycan in strain 433-D9, B. arvi DSM 16317(T) and B. arenosi DSM 16319(T)
was in agreement with their close relationship, but differentiated these strains
from B. neidei NRRL BD-87(T) and B. pycnus NRRL NRS-1691(T), for which l-Lys-d
Glu was reported. A similar quinone system was detected in strains 433-D9, 433
E17, 121-X1, B. arvi DSM 16317(T), B. arenosi DSM 16319(T) and B. neidei NRRL BD
87(T). This system, unusual for bacilli, consisted of the major compound
menaquinone MK-8 (69-80 %) and moderate amounts of MK-7 (19-30 %). This
observation was in contrast to the predominance of MK-7 of the closest relative
B. pycnus NRRL NRS-1691(T), as also reported for representatives of the closely
related non-endospore-forming genus Kurthia. Strains 433-D9, B. arvi DSM 16317(T)
and B. arenosi DSM 16319(T) exhibited homogeneous and discriminative polar lipid
profiles and fatty acid profiles consisting of major acids i-C(15 : 0) and ai
C(15 : 0) and moderate amounts of i-C(17 : 1)omega10c and i-C(17 : 1) I/ai-C(17 :
1) B that discriminated them from closely related strains such as B. neidei NRRL
BD-87(T). On the basis of clear-cut discriminative chemotaxonomic markers, we
propose strains 433-D9, 433-E17 and 121-X1, B. arvi DSM 16317(T), B. arenosi DSM
16319(T) and B. neidei NRRL BD-87(T) to be reclassified within a separate genus.
For this new taxon, we propose the name Viridibacillus gen. nov., and we propose
the reclassification of Bacillus arvi, Bacillus arenosi and Bacillus neidei as
Viridibacillus arvi gen. nov., comb. nov. (the type species of Viridibacillus,
with the type strain DSM 16317(T) =LMG 22165(T)), Viridibacillus arenosi comb.
nov. (type strain DSM 16319(T) =LMG 22166(T)) and Viridibacillus neidei comb.
nov. (type strain NRRL BD-87(T) =DSM 15031(T) =JCM 11077(T)).
PMID- 18048717
TI - Salegentibacter salarius sp. nov., isolated from a marine solar saltern.
AB - A Gram-negative, non-motile, rod-shaped bacterium, strain ISL-6(T),
phenotypically resembling members of the genus Salegentibacter, was isolated from
a marine solar saltern of the Yellow Sea in Korea and subjected to a polyphasic
taxonomic investigation. Strain ISL-6(T) grew optimally at pH 7.0-8.0 and 30
degrees C and in the presence of 8 % (w/v) NaCl. It contained MK-6 as the
predominant menaquinone. The major fatty acids were iso-C(15 : 0) and anteiso
C(15 : 0). The DNA G+C content was 37.5 mol%. A phylogenetic analysis based on
16S rRNA gene sequences showed that strain ISL-6(T) belonged to the genus
Salegentibacter. Strain ISL-6(T) exhibited 16S rRNA gene sequence similarity
values of 92.0-98.6 % with respect to the type strains of recognized
Salegentibacter species. Low DNA-DNA relatedness values, differential phenotypic
properties and phylogenetic distinctiveness demonstrated that strain ISL-6(T) is
distinguishable from the recognized Salegentibacter species. Therefore strain ISL
6(T) represents a novel species of the genus Salegentibacter, for which the name
Salegentibacter salarius sp. nov. is proposed. The type strain is ISL-6(T) (=KCTC
12974(T) =CCUG 54355(T)).
PMID- 18048718
TI - Jonquetella anthropi gen. nov., sp. nov., the first member of the candidate
phylum 'Synergistetes' isolated from man.
AB - Six clinical isolates of a hitherto unknown, strictly anaerobic, Gram-negative
rod showing fastidious growth were subjected to a polyphasic taxonomic study,
including phenotypic, genomic and phylogenetic feature analyses. 16S rRNA gene
sequenced-based phylogeny revealed that the novel strains represent a homogeneous
group distant from any recognized species in the candidate phylum
'Synergistetes'. The novel isolates were most closely related to species of the
genus Dethiosulfovibrio, with 88.2-88.7 % 16S rRNA gene sequence similarity.
Large-scale chromosome structure and DNA G+C content also differentiated the
novel strains from members of the genus Dethiosulfovibrio. The novel strains were
asaccharolytic. Major metabolic end products in trypticase/glucose/yeast extract
broth were acetic, lactic, succinic and isovaleric acids and the major cellular
fatty acids iso-C(15 : 0) and C(16 : 0). Based on the data presented here, a new
genus, Jonquetella gen. nov., is proposed with one novel species, Jonquetella
anthropi sp. nov. J. anthropi is the first characterized species of the candidate
phylum 'Synergistetes' that includes human isolates. The G+C content of the DNA
of the type strain of J. anthropi ADV 126(T) (=AIP 136.05(T)=CIP 109408(T)=CCUG
53819(T)) is 59.4 mol%.
PMID- 18048719
TI - Tetrasphaera remsis sp. nov., isolated from the Regenerative Enclosed Life
Support Module Simulator (REMS) air system.
AB - Two Gram-positive, coccoid, non-spore-forming bacteria (strains 3-M5-R-4(T) and 3
M5-R-7), cells of which formed diploid, tetrad and cluster arrangements, were
isolated from air of the Regenerative Enclosed Life Support Module Simulator
system. On the basis of 16S rRNA gene sequence similarity, these strains were
shown to belong to the family Intrasporangiaceae and were related to members of
the genus Tetrasphaera, with similarities to the seven known species of the genus
Tetrasphaera of 96.71-97.76 %. The fatty acid profile supported affiliation of
these novel isolates to the genus Tetrasphaera, although larger amounts of
octadecanoic acid (C(18 : 0)) and cis-9-octadecenoic acid (C(18 : 1)) were
observed in the isolates, thus enabling them to be differentiated from other
Tetrasphaera species. In addition, DNA-DNA hybridization studies indicated that
these strains belonged to a novel species that could be readily distinguished
from its nearest neighbour, Tetrasphaera japonica DSM 13192(T), which had less
than 20 % DNA-DNA relatedness. Physiological and biochemical tests showed few
phenotypic differences, but genotypic analysis enabled these gelatin-liquefying
strains to be differentiated from the seven Tetrasphaera species. The strains
described in this study therefore represent a novel species, for which the name
Tetrasphaera remsis sp. nov. is proposed; the type strain is 3-M5-R-4(T) (=ATCC
BAA-1496(T) =CIP 109413(T)).
PMID- 18048720
TI - Rhodococcus qingshengii sp. nov., a carbendazim-degrading bacterium.
AB - A Gram-positive, aerobic, non-motile, mesophilic strain, djl-6(T), able to
degrade carbendazim, was isolated from a carbendazim-contaminated soil sample
from Jiangsu province, China. The taxonomic position of this isolate was analysed
by using a polyphasic approach. Chemotaxonomic analysis including peptidoglycan
type, diagnostic sugar composition, fatty acid profile, menaquinones, polar
lipids and mycolic acids showed that the characteristics of strain djl-6(T) were
in good agreement with those of the genus Rhodococcus. DNA-DNA hybridization
showed that it had low genomic relatedness with Rhodococcus baikonurensis DSM
44587(T) (31.8 %), Rhodococcus erythropolis DSM 43066(T) (23.8 %) and Rhodococcus
globerulus DSM 43954(T) (17.7 %), the three type strains to which strain djl-6(T)
was most closely related based on 16S rRNA gene sequence analysis (99.78, 99.25
and 98.91 % similarity, respectively). Based on the phenotypic properties and DNA
DNA hybridization data, strain djl-6(T) (=CGMCC 1.6580(T) =KCTC 19205(T)) is
proposed as the type strain of a novel Rhodococcus species, Rhodococcus
qingshengii sp. nov.
PMID- 18048721
TI - Proposal of Umezawaea gen. nov., a new genus of the Actinosynnemataceae related
to Saccharothrix, and transfer of Saccharothrix tangerinus Kinoshita et al. 2000
as Umezawaea tangerina gen. nov., comb. nov.
AB - In the course of phylogenetic analyses of the taxa within the suborder
Pseudonocardineae, it was observed that Saccharothrix tangerinus MK27-91F2(T) was
misplaced in the genus Saccharothrix. After a detailed examination of nucleotide
signatures in the 16S rRNA gene sequence along with the morphological and
chemotaxonomic characteristics of this strain, which are different from those of
all species of Saccharothrix as well as the other genera within the suborder, it
was concluded that this strain represents a new genus, for which the name
Umezawaea gen. nov. is proposed. Pseudosporangia are produced on the aerial
mycelium, the whole-cell sugar pattern consists of galactose, mannose and ribose,
phosphatidylethanolamine, phosphatidylinositol and lyso-phosphatidylethanolamine
are the predominant phospholipids and MK-9(H(4)) is the predominant menaquinone.
The type species of the proposed new genus is Umezawaea tangerina gen. nov.,
comb. nov., with the type strain MK27-91F2(T) (=NRRL B-24463(T) =DSM 44720(T)
=FERM P-16053(T) =JCM 10302(T) =NBRC 16184(T)).
PMID- 18048722
TI - Methylohalomonas lacus gen. nov., sp. nov. and Methylonatrum kenyense gen. nov.,
sp. nov., methylotrophic gammaproteobacteria from hypersaline lakes.
AB - Aerobic enrichment at 4 M NaCl, pH 7.5, with methanol as carbon and energy source
from sediments of hypersaline chloride-sulfate lakes in Kulunda Steppe (Altai,
Russia) resulted in the isolation of a moderately halophilic and obligately
methylotrophic bacterium, strain HMT 1(T). The bacterium grew with methanol and
methylamine within a pH range of 6.8-8.2 with an optimum at pH 7.5 and at NaCl
concentrations of 0.5-4 M with an optimum at 2 M. In addition to methanol and
methylamine, it can oxidize ethanol, formate, formaldehyde and dimethylamine.
Carbon is assimilated via the serine pathway. The main compatible solute is
glycine betaine. 16S rRNA gene sequence analysis placed the isolate as a new
lineage in the family Ectothiorhodospiraceae (Gammaproteobacteria). It is
proposed, therefore, to accommodate this bacterium within a novel genus and
species, Methylohalomonas lacus gen. nov., sp. nov., with HMT 1(T) (=DSM 15733(T)
=NCCB 100208(T) =UNIQEM U237(T)) as the type strain. Two strains were obtained in
pure culture from sediments of soda lake Magadi in Kenya and the Kulunda Steppe
(Russia) on a mineral medium at pH 10 containing 0.6 M total Na(+) using methanol
as a substrate. Strain AMT 1(T) was enriched with methanol, while strain AMT 3
originated from an enrichment culture with CO. The isolates are restricted
facultative methylotrophs, capable of growth with methanol, formate and acetate
as carbon and energy sources. With methanol, the strains grew within a broad
salinity range from 0.3 to 3.5-4 M total Na(+), with an optimum at 0.5-1 M. The
pH range for growth was between 8.3 and 10.5, with an optimum at pH 9.5, which
characterized the soda lake isolates as obligate haloalkaliphiles. Carbon is
assimilated autotrophically via the Calvin-Benson cycle. Sequence analysis of the
gene coding for the key enzyme RuBisCO demonstrated that strain AMT 1(T)
possessed a single cbbL gene of the 'green' form I, clustering with members of
the family Ectothiorhodospiraceae. Analysis of the 16S rRNA gene sequence showed
that strains AMT 1(T) and AMT 3 belong to a single species that forms a separate
lineage within the family Ectothiorhodospiraceae. On the basis of phenotypic and
genetic data, the novel haloalkaliphilic methylotrophs are described as
representing a novel genus and species, Methylonatrum kenyense gen. nov., sp.
nov. (type strain AMT 1(T) =DSM 15732(T) =NCCB 100209(T) =UNIQEM U238(T)).
PMID- 18048723
TI - Leucobacter chromiireducens subsp. solipictus subsp. nov., a pigmented bacterium
isolated from the nematode Caenorhabditis elegans, and emended description of L.
chromiireducens.
AB - A yellow-pigmented, Gram-positive, aerobic, non-motile, non-spore-forming,
irregular rod-shaped bacterium (strain TAN 31504(T)) was isolated from the
bacteriophagous nematode Caenorhabditis elegans. Based on 16S rRNA gene sequence
similarity, DNA G+C content of 69.5 mol%, 2,4-diaminobutyric acid in the cell
wall peptidoglycan, major menaquinone MK-11, abundance of anteiso- and iso-fatty
acids, polar lipids diphosphatidylglycerol and phosphatidylglycerol and a number
of shared biochemical characteristics, strain TAN 31504(T) was placed in the
genus Leucobacter. DNA-DNA hybridization comparisons demonstrated a 91 % DNA-DNA
relatedness between strain TAN 31504(T) and Leucobacter chromiireducens LMG
22506(T) indicating that these two strains belong to the same species, when the
recommended threshold value of 70 % DNA-DNA relatedness for the definition of a
bacterial species by the ad hoc committee on reconciliation of approaches to
bacterial systematics is considered. Based on distinct differences in morphology,
physiology, chemotaxonomic markers and various biochemical characteristics, it is
proposed to split the species L. chromiireducens into two novel subspecies,
Leucobacter chromiireducens subsp. chromiireducens subsp. nov. (type strain L
1(T)=CIP 108389(T)=LMG 22506(T)) and Leucobacter chromiireducens subsp.
solipictus subsp. nov. (type strain TAN 31504(T)=DSM 18340(T)=ATCC BAA-1336(T)).
PMID- 18048725
TI - Arenimonas malthae sp. nov., a gammaproteobacterium isolated from an oil
contaminated site.
AB - A Gram-negative, rod-shaped bacterium (CC-JY-1(T)) was isolated on nutrient agar
from a soil sample collected from an oil-contaminated site located in Chyai
county, Taiwan. 16S rRNA gene sequence analysis demonstrated that this isolate is
unique, showing 96.7 % sequence similarity to the type strain of Arenimonas
donghaensis and similarities of 93.0-93.8 % to species of the genera Thermomonas,
Lysobacter and Silanimonas. The presence of ubiquinone Q-8, a polar lipid profile
consisting of the major compounds diphosphatidylglycerol, phosphatidylglycerol
and phosphatidylethanolamine and the fatty acid profile were in accordance with
the phylogenetic affiliation of CC-JY-1(T). DNA-DNA reassociation experiments
between CC-JY-1(T) and A. donghaensis KACC 11381(T) resulted in a mean
relatedness value of 32 %, indicating that strain CC-JY1(T) represents a novel
species in the genus Arenimonas, for which we propose the name Arenimonas malthae
sp. nov. The type strain is CC-JY-1(T) (=CCUG 53596(T) =CIP 109310(T)).
PMID- 18048724
TI - Identification of lactobacilli by pheS and rpoA gene sequence analyses.
AB - The aim of this study was to evaluate the use of the phenylalanyl-tRNA synthase
alpha subunit (pheS) and the RNA polymerase alpha subunit (rpoA) partial gene
sequences for species identification of members of the genus Lactobacillus. Two
hundred and one strains representing the 98 species and 17 subspecies were
examined. The pheS gene sequence analysis provided an interspecies gap, which in
most cases exceeded 10 % divergence, and an intraspecies variation of up to 3 %.
The rpoA gene sequences revealed a somewhat lower resolution, with an
interspecies gap normally exceeding 5 % and an intraspecies variation of up to 2
%. The combined use of pheS and rpoA gene sequences offers a reliable
identification system for nearly all species of the genus Lactobacillus. The pheS
and rpoA gene sequences provide a powerful tool for the detection of potential
novel Lactobacillus species and synonymous taxa. In conclusion, the pheS and rpoA
gene sequences can be used as alternative genomic markers to 16S rRNA gene
sequences and have a higher discriminatory power for reliable identification of
species of the genus Lactobacillus.
PMID- 18048726
TI - Lapillicoccus jejuensis gen. nov., sp. nov., a novel actinobacterium of the
family Intrasporangiaceae, isolated from stone.
AB - A novel, yellow-pigmented actinobacterium was isolated from a small stone
collected in Jeju, Republic of Korea. The cells of the organism, designated
strain R-Ac013(T), were Gram-positive, aerobic, non-motile cocci that occurred
singly or in pairs. The strain showed growth at 10-37 degrees C and pH 4.1-11.1,
and tolerated 2 % NaCl. On the basis of the 16S rRNA gene sequence, the organism
was phylogenetically related to members of the genera Tetrasphaera (94.6-97.1 %
sequence similarity), Terrabacter (96.5 %), Knoellia (96.4 %), Terracoccus (96.4
%), Oryzihumus (96.4 %), Janibacter (96.1-96.4 %) and Intrasporangium (96.2 %).
The chemotaxonomic results for the organism were as follows: ll-diaminopimelic
acid as the diagnostic diamino acid in the peptidoglycan, acetyl-type murein, MK
8(H(4)) as the major menaquinone, a DNA G+C content of 74.1 mol%, and a polar
lipid profile that comprised diphosphatidylglycerol and phosphatidylinositol. The
fatty acid profile consisted of iso- and anteiso-methyl-branched, straight-chain
saturated and monounsaturated types, the major components being iso-C(16 : 0),
C(17 : 1)omega8c and iso-C(15 : 0). The combination of the phenotypic and
phylogenetic data revealed that this strain represents a novel genus and species
of the family Intrasporangiaceae, for which the name Lapillicoccus jejuensis gen.
nov., sp. nov. is proposed. The type strain is strain R-Ac013(T) (=KCTC
19200(T)=DSM 18607(T)).
PMID- 18048727
TI - Micromonospora lupini sp. nov. and Micromonospora saelicesensis sp. nov.,
isolated from root nodules of Lupinus angustifolius.
AB - A study was conducted to determine the taxonomic status of six actinomycete
strains isolated from root nodules of Lupinus angustifolius. The strains were
filamentous, Gram-positive and produced single spores at the tip of the hyphae.
Phylogenetic, chemotaxonomic and morphological analyses demonstrated that all six
strains belonged to the genus Micromonospora. According to the 16S rRNA gene
sequence data, the strains were divided into two clusters that are moderately
related to Micromonospora mirobrigensis, Micromonospora matsumotoense and
Micromonospora purpureochromogenes. Fatty acid patterns also supported the
division of the strains, and significant differences between the two groups were
found in the amounts of iso-15 : 0, iso-16 : 0, iso-16 : 1 and iso-17 : 0.
Furthermore, the two groups showed physiological differences which included
utilization of arabinose, trehalose, alanine and sucrose and xylan hydrolysis.
Finally, DNA-DNA hybridization and ribotyping studies confirmed that each group
represents a novel species. Based on the genotypic and phenotypic data, the novel
species Micromonospora lupini sp. nov. (type strain Lupac 14N(T) =DSM 44874(T)
=LMG 24055(T)) and Micromonospora saelicesensis sp. nov. (type strain Lupac 09(T)
=DSM 44871(T) =LMG 24056(T)) are proposed.
PMID- 18048728
TI - Azospirillum zeae sp. nov., a diazotrophic bacterium isolated from rhizosphere
soil of Zea mays.
AB - Two free-living nitrogen-fixing bacterial strains, N6 and N7(T), were isolated
from corn rhizosphere. A polyphasic taxonomic approach, including morphological
characterization, Biolog analysis, DNA-DNA hybridization, and 16S rRNA, cpn60 and
nifH gene sequence analysis, was taken to analyse the two strains. 16S rRNA gene
sequence analysis indicated that strains N6 and N7(T) both belonged to the genus
Azospirillum and were closely related to Azospirillum oryzae (98.7 and 98.8 %
similarity, respectively) and Azospirillum lipoferum (97.5 and 97.6 % similarity,
respectively). DNA-DNA hybridization of strains N6 and N7(T) showed reassociation
values of 48 and 37 %, respectively, with A. oryzae and 43 % with A. lipoferum.
Sequences of the nifH and cpn60 genes of both strains showed 99 and approximately
95 % similarity, respectively, with those of A. oryzae. Chemotaxonomic
characteristics (Q-10 as quinone system, 18 : 1omega7c as major fatty acid) and
G+C content of the DNA (67.6 mol%) were also similar to those of members of the
genus Azospirillum. Gene sequences and Biolog and fatty acid analysis showed that
strains N6 and N7(T) differed from the closely related species A. lipoferum and
A. oryzae. On the basis of these results, it is proposed that these nitrogen
fixing strains represent a novel species. The name Azospirillum zeae sp. nov. is
suggested, with N7(T) (=NCCB 100147(T)=LMG 23989(T)) as the type strain.
PMID- 18048729
TI - Rhodanobacter ginsengisoli sp. nov. and Rhodanobacter terrae sp. nov., isolated
from soil cultivated with Korean ginseng.
AB - Two bacterial isolates from ginseng fields in Korea, strains GR17-7(T) and GP18
1(T), were characterized using a polyphasic approach. Phylogenetic analysis of
their 16S rRNA gene sequences revealed a clear affiliation with the
Gammaproteobacteria, and showed that the closest phylogenetic relationships were
with members of the genus Rhodanobacter. The 16S rRNA gene sequence similarity
between strains GR17-7(T) and GP18-1(T) was 97.2 %. Both strains showed 16S rRNA
gene sequence similarities of 95.2-96.9 % to type strains of recognized
Rhodanobacter species. The G+C contents of the DNA of strains GR17-7(T) and GP18
1(T) were 61.0 and 62.5 mol%, respectively. According to the DNA-DNA
hydridization tests, the hybridization value between strains GR17-7(T) and GP18
1(T) was 34 %. Strains GR17-7(T) and GP18-1(T) showed less than 32 % DNA-DNA
relatedness with Rhodanobacter fulvus KCTC 12098(T) and Rhodanobacter
spathiphylli LMG 23181(T). Strains GR17-7(T) and GP18-1(T) were aerobic, Gram
negative, rod-shaped, and catalase- and oxidase-positive. Major fatty acids of
both strains were iso-C(17 : 1)omega9c and iso-C(16 : 0). Based on the data
presented, two novel Rhodanobacter species are proposed, with the names
Rhodanobacter ginsengisoli sp. nov. (type strain GR17-7(T)=KACC 11762(T)=DSM
18993(T)) and Rhodanobacter terrae sp. nov. (type strain GP18-1(T)=KACC
11761(T)=DSM 19241(T)).
PMID- 18048730
TI - Lewinella agarilytica sp. nov., a novel marine bacterium of the phylum
Bacteroidetes, isolated from beach sediment.
AB - A Gram-negative, aerobic, light-orange-coloured, marine bacterium (designated SST
19(T)) was isolated from beach sediment in Jeju, Korea, and its taxonomic
position was determined by means of a polyphasic approach. In a neighbour-joining
phylogenetic tree based on 16S rRNA gene sequences, strain SST-19(T) was
positioned within the family 'Saprospiraceae', class Sphingobacteria, and formed
a consistent cluster with Lewinella persica ATCC 23167(T) (94.7 % sequence
similarity). The levels of 16S rRNA gene sequence similarity between the isolate
and recognized members of the family ranged from 84 to 89 %, with Lewinella
cohaerens ATCC 23123(T), Lewinella nigricans ATCC 23147(T), Haliscomenobacter
hydrossis DSM 1100(T) and Saprospira grandis ATCC 23119(T) showing values of 89.0
, 88.4 , 86.4 and 84.1 %, respectively. Strain SST-19(T) required seawater for
growth and its cells were unbranched, flexible rods or filaments with gliding
motility. The cellular fatty acids consisted mainly of saturated, unsaturated and
iso-branched fatty acids, the major components being C(16 : 1)omega7c and/or iso
C(15 : 0) 2-OH (24.1 %), C(18 : 0) (13.5 %), iso-C(15 : 0) (11.1 %) and C(16 : 0)
(10.9 %). The G+C content of the DNA was found to be 51.3 mol%. On the basis of
the results from phenotypic and phylogenetic analyses, the isolate represents a
novel species of the genus Lewinella, for which the name Lewinella agarilytica
sp. nov. is proposed. The type strain is SST-19(T) (=JBRI 2009(T)=KCTC
12774(T)=JCM 14216(T)).
PMID- 18048731
TI - Lechevalieria xinjiangensis sp. nov., a novel actinomycete isolated from
radiation-polluted soil in China.
AB - A novel actinomycete was isolated from radiation-polluted soil collected from
Xinjiang in north-western China. The isolate, strain R24(T), was found to have
chemical and morphological properties associated with members of the genus
Lechevalieria. An almost-complete 16S rRNA gene sequence of the isolate was
aligned with corresponding sequences from representatives of the genus
Lechevalieria and related taxa, using three tree-making algorithms, and was shown
to form a distinct phyletic line in the Lechevalieria phylogenetic tree. DNA-DNA
relatedness and phenotypic data served to distinguish strain R24(T) from members
of the three Lechevalieria species with validly published names. The genotypic
and phenotypic data show that the isolate should be classified as a novel species
within the genus Lechevalieria. The name proposed for this taxon is Lechevalieria
xinjiangensis sp. nov. The type strain is R24(T) (=CGMCC 4.3525(T)=DSM 45081(T)).
PMID- 18048732
TI - Reclassification of Vibrio fischeri, Vibrio logei, Vibrio salmonicida and Vibrio
wodanis as Aliivibrio fischeri gen. nov., comb. nov., Aliivibrio logei comb.
nov., Aliivibrio salmonicida comb. nov. and Aliivibrio wodanis comb. nov.
AB - Four closely related species, Vibrio fischeri, Vibrio logei, Vibrio salmonicida
and Vibrio wodanis, form a clade within the family Vibrionaceae; the taxonomic
status and phylogenetic position of this clade have remained ambiguous for many
years. To resolve this ambiguity, we tested these species against other species
of the Vibrionaceae for phylogenetic and phenotypic differences. Sequence
identities for the 16S rRNA gene were > or =97.4 % among members of the V.
fischeri group, but were < or =95.5 % for members of this group in comparison
with type species of other genera of the Vibrionaceae (i.e. Photobacterium and
Vibrio, with which they overlap in G+C content, and Enterovibrio, Grimontia and
Salinivibrio, with which they do not overlap in G+C content). Combined analysis
of the recA, rpoA, pyrH, gyrB and 16S rRNA gene sequences revealed that the
species of the V. fischeri group form a tightly clustered clade, distinct from
these other genera. Furthermore, phenotypic traits differentiated the V. fischeri
group from other genera of the Vibrionaceae, and a panel of 13 biochemical tests
discriminated members of the V. fischeri group from type strains of
Photobacterium and Vibrio. These results indicate that the four species of the V.
fischeri group represent a lineage within the Vibrionaceae that is distinct from
other genera. We therefore propose their reclassification in a new genus,
Aliivibrio gen. nov. Aliivibrio is composed of four species: Aliivibrio fischeri
comb. nov. (the type species) (type strain ATCC 7744(T) =CAIM 329(T) =CCUG
13450(T) =CIP 103206(T) =DSM 507(T) =LMG 4414(T) =NCIMB 1281(T)), Aliivibrio
logei comb. nov. (type strain ATCC 29985(T) =CCUG 20283(T) =CIP 104991(T) =NCIMB
2252(T)), Aliivibrio salmonicida comb. nov. (type strain ATCC 43839(T) =CIP
103166(T) =LMG 14010(T) =NCIMB 2262(T)) and Aliivibrio wodanis comb. nov. (type
strain ATCC BAA-104(T) =NCIMB 13582(T) =LMG 24053(T)).
PMID- 18048733
TI - Reclassification of Aquaspirillum itersonii and Aquaspirillum peregrinum as
Novispirillum itersonii gen. nov., comb. nov. and Insolitispirillum peregrinum
gen. nov., comb. nov.
AB - Phylogenetic analysis based on 16S rRNA gene sequences showed that Aquaspirillum
itersonii and Aquaspirillum peregrinum form distinct phylogenetic lineages within
the Alphaproteobacteria, whereas Aquaspirillum serpens, the type species of the
genus Aquaspirillum, belongs to the Betaproteobacteria. A. itersonii and A.
peregrinum exhibited 16S rRNA gene sequence similarity values of 82.0-82.4 % to
the type strain of A. serpens and of 91.8-92.0 % to each other. A. itersonii and
A. peregrinum were clearly distinguishable from A. serpens by differences in
ubiquinone types and fatty acid profiles. A. itersonii subsp. itersonii LMG
4337(T) and A. itersonii subsp. nipponicum LMG 7370(T) contained Q-10 as the
predominant ubiquinone, and A. peregrinum subsp. peregrinum LMG 4340(T) and A.
peregrinum subsp. integrum LMG 5407(T) contained Q-9 as the predominant
ubiquinone, whereas A. serpens LMG 3734(T) had Q-8 as the predominant ubiquinone.
A. itersonii and A. peregrinum were also distinguishable from A. serpens by some
differences in the fatty acid composition, including major fatty acids and
hydroxy fatty acids. On the basis of these data, A. itersonii and A. peregrinum
should be reclassified into two novel genera and species, for which the names
Novispirillum itersonii gen. nov., comb. nov. and Insolitispirillum peregrinum
gen. nov., comb. nov., respectively, are proposed.
PMID- 18048734
TI - Lactobacillus hayakitensis sp. nov., isolated from intestines of healthy
thoroughbreds.
AB - Two strains, KBL13(T) and GBL13, were isolated as one of intestinal lactobacilli
from the faecal specimens from different thoroughbreds of the same farm where
they were born in Hokkaido, Japan. They were Gram-positive, facultatively
anaerobic, catalase-negative, non-spore-forming and non-motile rods. KBL13(T) and
GBL13 homofermentatively metabolize glucose, and produce lactate as the sole
final product from glucose. The 16S rRNA gene sequence, DNA-DNA hybridization,
DNA G+C content and biochemical characterization indicated that these two
strains, KBL13(T) and GBL13, belong to the same species. In the representative
strain, KBL13(T), the DNA G+C content was 34.3 mol%. Lactobacillus salivarius JCM
1231(T) (=ATCC 11741(T); AF089108) is the type strain most closely related to the
strain KBL13(T) as shown in the phylogenetic tree, and the 16S rRNA gene sequence
identity showed 96.0 % (1425/1484 bp). Comparative 16S rRNA gene sequence
analysis of this strain indicated that the two isolated strains belong to the
genus Lactobacillus and that they formed a branch distinct from their closest
relatives, L. salivarius, Lactobacillus aviarius, Lactobacillus saerimneri and
Lactobacillus acidipiscis. DNA-DNA reassociation experiments with L. salivarius
and L. aviarius confirmed that KBL13(T) represents a novel species, for which the
name Lactobacillus hayakitensis sp. nov. is proposed. The type strain is KBL13(T)
(=JCM 14209(T)=DSM 18933(T)).
PMID- 18048735
TI - Maribacter polysiphoniae sp. nov., isolated from a red alga.
AB - A novel gliding, heterotrophic, Gram-negative, yellow-orange-pigmented, aerobic,
oxidase- and catalase-positive bacterium, designated strain KMM 6151(T), was
isolated from the Pacific red alga Polysiphonia japonica. Analysis of the 16S
rRNA gene sequence of the strain revealed that it formed a distinct lineage
within the genus Maribacter, family Flavobacteriaceae, with sequence similarities
in the range 94.6-96.9 %. On the basis of phenotypic, genotypic and phylogenetic
data, strain KMM 6151(T) represents a novel species of the genus Maribacter, for
which the name Maribacter polysiphoniae sp. nov. is proposed. The type strain is
KMM 6151(T) (=KCTC 22021(T)=LMG 23671(T)).
PMID- 18048736
TI - Veillonella denticariosi sp. nov., isolated from human carious dentine.
AB - Selective culture of human carious dentine for Veillonella strains resulted in
the isolation of two strains of a Gram-negative, coccus-shaped bacterium that has
not been described previously. Comparative 16S rRNA and dnaK gene sequence
analysis indicated that the two strains were homogeneous and comprised a distinct
lineage within the genus Veillonella, phylogenetically most closely related to
Veillonella rodentium. This was supported by DNA-DNA hybridization, which showed
clearly that the two strains were similar and distinct from other Veillonella
species, and the production of major cellular fatty acids (C(13 : 0) and C(17 :
1)omega8), which is consistent with other members of the genus Veillonella. Based
on these observations, strains RBV81 and RBV106(T) represent a novel species, for
which the name Veillonella denticariosi sp. nov. is proposed, with the type
strain RBV106(T) (=CIP 109448(T) =CCUG 54362(T) =DSM 19009(T)).
PMID- 18048737
TI - Methylobacterium platani sp. nov., isolated from a leaf of the tree Platanus
orientalis.
AB - A novel bacterial strain, designated PMB02(T), was isolated from a leaf of the
tree Platanus orientalis. Colonies grown on TYG agar plates were circular, pink
pigmented and slow-growing, being 0.2-1.5 mm in diameter after 3 days growth. The
cells of strain PMB02(T) were Gram-negative, aerobic, motile rods that possessed
oxidase and catalase activities and grew at 20-30 degrees C, pH 6-8 and in media
containing less than 1 % NaCl. The major respiratory quinone was identified as Q
10. A phylogenetic analysis based on 16S rRNA gene sequence comparisons indicated
that strain PMB02(T) was related to members of the genus Methylobacterium. A
comparative 16S rRNA gene sequence-based phylogenetic analysis placed the strain
in a clade with the species Methylobacterium aquaticum and Methylobacterium
variabile, with which it showed sequence similarities of 97.7 and 97.4 %,
respectively. The values for DNA-DNA hybridization between strain PMB02(T) and M.
aquaticum CCM 7218(T) and M. variabile GR3(T) were less than 32 %. On the basis
of the phenotypic characterization, the phylogenetic analysis and the DNA-DNA
relatedness data, strain PMB02(T) is considered to represent a novel species of
the genus Methylobacterium, for which the name Methylobacterium platani sp. nov.
is proposed. The type strain is PMB02(T) (=KCTC 12901(T)=JCM 14648(T)).
PMID- 18048738
TI - Chitinilyticum aquatile gen. nov., sp. nov., a chitinolytic bacterium isolated
from a freshwater pond used for Pacific white shrimp culture.
AB - Strain c14(T), originally isolated from surface water of a freshwater pond
located in Pingtung (southern Taiwan) used for culture of Pacific white shrimp
(Litopenaeus vannamei), was subjected to a polyphasic taxonomic approach. The
strain exhibited strong chitinolytic activity and was able to grow under aerobic
and anaerobic conditions by utilizing chitin exclusively as the carbon, nitrogen
and energy source. Phylogenetic analysis of the 16S rRNA gene sequence revealed a
clear affiliation of the proposed bacterium to the Betaproteobacteria, most
closely related to Chitinibacter tainanensis S1(T), Deefgea rivuli WB 3.4-79(T)
and Silvimonas terrae KM-45(T), with 94.6, 93.6 and 92.9 % 16S rRNA gene sequence
similarity, respectively. The predominant fatty acids detected in cells of strain
c14(T) were C(16 : 0), C(18 : 1)omega7c and summed feature 3 (C(16 : 1)omega7c
and/or C(15 : 0) iso 2-OH). The G+C content of the genomic DNA was 69.5 (+/-1.0)
mol%. Biochemical, physiological, chemotaxonomic and phylogenetic analyses showed
that strain c14(T) could not be assigned to any known genus of the
Betaproteobacteria. Therefore, strain c14(T) is classified within a novel genus
and species, for which the name Chitinilyticum aquatile gen. nov., sp. nov. is
proposed. The type strain of Chitinilyticum aquatile is c14(T) (=LMG 23346(T)
=BCRC 17533(T)).
PMID- 18048739
TI - Knoellia aerolata sp. nov., isolated from an air sample in Korea.
AB - An aerobic, Gram-positive, non-motile, non-spore-forming, rod-coccus-shaped
bacterium, strain 5317S-21(T), was isolated from an air sample from Suwon city,
Republic of Korea. The isolate was able to grow within a pH range of 5.0-9.0 and
a temperature range of 5-35 degrees C and it tolerated up to 2 % (w/v) NaCl. The
cell-wall peptidoglycan contained meso-diaminopimelic acid as diagnostic diamino
acid. The predominant isoprenoid quinone was MK-8(H(4)). The major polar lipids
were phosphatidylinositol, phosphatidylethanolamine and diphosphatidylglycerol;
phosphatidylglycerol and several unknown phospholipids were also detected.
Mycolic acids were absent. The only whole-cell sugar was glucose. The major
cellular fatty acids were iso-C(16 : 0), C(17 : 1)omega8c and iso-C(15 : 0). 16S
rRNA gene sequence analysis indicated that strain 5317S-21(T) was related
phylogenetically to members of the genus Knoellia, with 97.4 % sequence
similarity to the type strains of Knoellia sinensis and Knoellia subterranea. The
G+C content of the genomic DNA of strain 5317S-21(T) was 73 mol%. Levels of DNA
DNA relatedness between strain 5317S-21(T) and the type strains of Knoellia
sinensis and Knoellia subterranea were 37 and 41 %, respectively. It was
concluded that strain 5317S-21(T) represents a novel species of the genus
Knoellia, for which the name Knoellia aerolata sp. nov. is proposed. The type
strain is 5317S-21(T) (=KACC 20583(T) =DSM 18566(T)).
PMID- 18048740
TI - Desulfotignum toluenicum sp. nov., a novel toluene-degrading, sulphate-reducing
bacterium isolated from an oil-reservoir model column.
AB - A Gram-negative, sulphate-reducing bacterium (strain H3(T)) was isolated from an
oil-reservoir model column. The new isolate was able to oxidize toluene coupled
to hydrogen sulphide production. For growth, the optimum salt concentration was
1.5 % (w/v), the optimum pH was 7.2 and the optimum temperature was 34 degrees C.
The cells were straight to slightly curved rods, 0.6-1.0 microm in diameter and
1.4-2.5 microm in length. The predominant fatty acids were C(16 : 0), C(16 :
1)omega7c and C(17 : 0) cyclo, and the cells also contained dimethylacetals.
Cloning and sequencing of a 1505 bp long fragment of the 16S rRNA gene showed
that strain H3(T) is a member of the Deltaproteobacteria and is related closely
to Desulfotignum balticum DSM 7044(T). The G+C content of the DNA was 52.0 mol%
and the DNA-DNA similarity to D. balticum DSM 7044(T) was 56.1 %. Based on
differences in DNA sequence and the unique property of toluene degradation, it is
proposed that strain H3(T) should be designated a member of a novel species
within the genus Desulfotignum, for which the name Desulfotignum toluenicum sp.
nov. is proposed. The type strain is H3(T) (=DSM 18732(T)=ATCC BAA-1460(T)).
PMID- 18048741
TI - Spirosoma rigui sp. nov., isolated from fresh water.
AB - A Gram-negative, yellow-pigmented bacterium capable of gliding motility,
designated strain WPCB118(T), was isolated from fresh water collected from the
Woopo wetland (Republic of Korea). Cells were rod-shaped and sometimes
filamentous. The major fatty acids were iso-C(15 : 0) 2-OH and/or C(16 :
1)omega7c (45.6 %), C(16 : 1)omega5c (18.5 %), iso-C(15 : 0) (9.5 %) and C(16 :
0) (8.8 %). The predominant menaquinone and polar lipid were MK-7 and
phosphatidylethanolamine, respectively. The DNA G+C content was 53.3 mol%. A
phylogenetic tree based on 16S rRNA gene sequences showed that strain WPCB118(T)
had an evolutionary lineage within the radiation encompassing the members of the
family 'Flexibacteraceae', its closest neighbour being Spirosoma linguale LMG
10896(T) (93.7 % gene sequence similarity). Data from this polyphasic study
indicated that strain WPCB118(T) could not be assigned to any recognized species.
Strain WPCB118(T) represents a novel species of the genus Spirosoma, for which
the name Spirosoma rigui sp. nov. is proposed. The type strain is WPCB118(T)
(=KCTC 12531(T)=NBRC 101117(T)).
PMID- 18048742
TI - Pelagicoccus croceus sp. nov., a novel marine member of the family
Puniceicoccaceae within the phylum 'Verrucomicrobia' isolated from seagrass.
AB - An obligately aerobic, spherical, non-motile, pale-yellow pigmented bacterium was
isolated from a piece of leaf of seagrass, Enhalus acoroides (L.f.) Royle, grown
in Okinawa, Japan and was subjected to a polyphasic taxonomic study. Phylogenetic
analyses based on 16S rRNA gene sequences revealed that the novel isolate N5FB36
5(T) shared approximately 96-98 % sequence similarity with the species of the
genus Pelagicoccus of the family Puniceicoccaceae within the phylum
'Verrucomicrobia'. The DNA-DNA relatedness values of strain N5FB36-5(T) with
Pelagicoccus mobilis 02PA-Ca-133(T) and Pelagicoccus albus YM14-201(T) were below
70 %, which is accepted as the phylogenetic definition of a novel species. beta
Lactam antibiotic susceptibility test and amino acid analysis of the cell wall
hydrolysates indicated the absence of muramic acid and diaminopimelic acid in the
cell walls, which suggested that this strain lacks an ordinary Gram-negative type
of peptidoglycan in the cell wall. The DNA G+C content of strain N5FB36-5(T) was
51.6 mol%; MK-7 was the major menaquinone; and the presence of C(16 : 0), C(16 :
1)omega7c and anteiso-C(15 : 0) as the major cellular fatty acids supported the
identification of the novel isolate as a member of the genus Pelagicoccus. On the
basis of polyphasic taxonomic data, it was concluded that this strain should be
classified as a novel species of the genus Pelagicoccus, for which the name
Pelagicoccus croceus sp. nov. is proposed. The type strain is N5FB36-5(T)
(=MBIC08283(T)=KCTC [corrected] 12903(T)).
PMID- 18048743
TI - Phylogenetic analysis of Xanthomonas species by comparison of partial gyrase B
gene sequences.
AB - The genus Xanthomonas currently comprises 27 species with validly published names
that are important crop and horticultural pathogens. We have constructed a
phylogram from alignment of gyrase B (gyrB) sequences for all xanthomonad
species, both to indicate inter-species relatedness and as an aid for rapid and
accurate species-level identification. The phylogeny indicated a monophyletic
group, with X. albilineans and X. sacchari as the most ancestral species. Three
species, X. hyacinthi, X. translucens and X. theicola, formed an early-branching
group. Three clades were supported by high bootstrap values: group 1 comprised X.
cucurbitae, X. cassavae and X. codiaei; group 2 comprised X. arboricola, X.
campestris, X. populi, X. hortorum, X. gardneri and X. cynarae; group 3 contained
the remaining species, within which two further clades, supported by a 100 %
bootstrap value, were identified. Group 3A comprised X. axonopodis, X.
euvesicatoria, X. perforans and X. melonis, together with X. alfalfae, X. citri
and X. fuscans, whose names were recently validly published. Group 3B contained
the monocot pathogens X. vasicola and X. oryzae. Two recently identified species,
X. cynarae and X. gardneri, were poorly discriminated and were related closely to
X. hortorum. Three species, X. perforans, X. euvesicatoria and X. alfalfae, had
identical gyrB sequences. Partial sequencing of a further five genes from these
species found only minor sequence differences that confirmed their close
relatedness. Although branch lengths between species varied, indicating different
degrees of genetic distinctiveness, the majority (n=21) were well-differentiated,
indicating the utility of the method as an identification tool, and we now use
this method for routine diagnosis of xanthomonad species.
PMID- 18048744
TI - Bacillus plakortidis sp. nov. and Bacillus murimartini sp. nov., novel
alkalitolerant members of rRNA group 6.
AB - The Gram-positive, alkali- and salt-tolerant marine bacterium strain P203(T) is
described together with its closest phylogenetic neighbour, terrestrial isolate
LMG 21005(T). Strain P203(T) was isolated from material from the sponge Plakortis
simplex that was obtained from the Sula-Ridge, Norwegian Sea. Strain LMG 21005(T)
was an undescribed strain that was isolated from a church wall mural in Germany.
Strains P203(T) and LMG 21005(T) were identified as novel alkalitolerant members
of the Bacillus rRNA group 6 with a 16S rRNA gene sequence similarity of 99.5 %.
The closest described neighbour, Bacillus gibsonii DSM 8722(T), showed 99.0 %
gene sequence similarity with P203(T) and 98.8 % similarity with strain LMG
21005(T). Despite the high 16S rRNA gene sequence similarity, DNA-DNA cross
hybridization revealed only 25.8-34.1 % similarity amongst the three strains. The
DNA G+C contents were 41.1 mol% for strain P203(T) and 39.6 mol% for strain LMG
21005(T). Both strains grew well between pH 7 and pH 11. Strain P203(T) showed
growth at moderate temperatures (from 4 to 30 degrees C) and in the presence of
up to 12 % (w/v) NaCl at pH 9.7, whereas strain LMG 21005(T) was not salt
tolerant (up to 4 % NaCl) and no growth was observed at 4 degrees C. The major
fatty acids of strains P203(T), LMG 21005(T) and the type strain of B. gibsonii
were the saturated terminally methyl-branched compounds iso-C(15 : 0) (19.8, 15.6
and 28.0 %, respectively) and anteiso-C(15 : 0) (57.1, 48.6 and 45.2 %,
respectively). Physiological and biochemical tests allowed genotypic and
phenotypic differentiation of strains P203(T) and LMG 21005(T) from the six
related Bacillus species with validly published names and supported the proposal
of two novel species, Bacillus plakortidis [type strain P203(T) (=DSM
19153(T)=NCIMB 14288(T))] and Bacillus murimartini [type strain LMG 21005(T)
(=NCIMB 14102(T))].
PMID- 18048745
TI - Probable synonymy of the nitrogen-fixing genus Azotobacter and the genus
Pseudomonas.
AB - The relationships of the genus Azotobacter, Azomonas macrocytogenes and the genus
Pseudomonas were revealed by comparative analysis of partial 16S rRNA and atpD,
carA and recA gene sequences and as concatenated nucleotide and peptide
sequences. Sequence similarities of Azotobacter species and Azomonas
macrocytogenes indicated that these may be considered to be synonyms at the
molecular level. In addition, these species show an intimate relationship with
species of Pseudomonas, especially P. aeruginosa (the type species of the genus).
In terms of the current circumscription of the genus Pseudomonas, Azotobacter and
Azomonas macrocytogenes should be considered for amalgamation with Pseudomonas.
Azotobacter and Azomonas comprise nitrogen-fixing strains with large pleomorphic
cells that form cysts, and peritrichous flagella insertion; characteristics not
included in the current circumscription of Pseudomonas. The data are discussed in
the light of whether lateral transfer of genes could be involved in the
determination of significant morphological characteristics, thus leading to a
problem that may be encountered more frequently: how to resolve classification of
taxa based on conserved sequences with those based on their phenotype. More
fundamentally, the results illuminate problems that will increasingly be
encountered: by what criteria can taxa be delineated, what are the most
appropriate methods for classification, and what are the proper assumptions of
bacterial classification?
PMID- 18048746
TI - Cohnella laeviribosi sp. nov., isolated from a volcanic pond.
AB - A novel thermophilic and endospore-forming Gram-positive bacterium capable of
assimilating and isomerizing l-ribose was isolated from a volcanic area in
Likupang, Indonesia. The isolate, RI-39(T), was able to grow at high temperatures
(37-60 degrees C); optimum growth was observed at pH 6.5 and 45 degrees C. The
strain contained MK-7 (87 %) as the main respiratory quinone and had a DNA G+C
content of 51 mol%. The major cellular fatty acids of the isolate were iso-C(16 :
0) and anteiso-C(15 : 0) and the predominant polar lipids were
diphosphatidylglycerol, phosphatidylglycerol, phosphatidylethanolamine and lysyl
phosphatidylglycerol. Phylogenetic analysis based on 16S rRNA gene sequences
revealed that the isolate represents an evolutionary lineage that is distinct
from those of other Cohnella species. Based on morphological, physiological and
chemotaxonomic characteristics and 16S rRNA gene sequence comparisons, it is
proposed that strain RI-39(T) represents a novel species, Cohnella laeviribosi
sp. nov. The type strain is RI-39(T) (=KCTC 3987(T) =KCCM 10653P(T) =CCUG
52217(T)).
PMID- 18048747
TI - Ureibacillus composti sp. nov. and Ureibacillus thermophilus sp. nov., isolated
from livestock-manure composts.
AB - Two Gram-negative, rod-shaped, thermophilic bacterial strains, HC145(T) and
HC148(T), were isolated from a compost sample from a compost facility in Ichon,
Korea. Sequencing of the 16S rRNA genes of HC145(T) and HC148(T) and comparative
analyses of the resulting sequences clearly showed that these strains had a
phylogenetic affiliation to the genus Ureibacillus. The level of 16S rRNA
similarity between the two novel strains was 98.4 % and the levels of sequence
similarity between them and existing Ureibacillus species were 97.8-98.1
(HC145(T)) and 97.4-98.7 % (HC148(T)). The DNA-DNA reassociation values between
the two strains and the type strains of Ureibacillus species ranged from 38 to 51
%. The polar lipid profiles for both isolates consisted of phosphatidylglycerol,
diphosphatidylglycerol, phospholipids and glycolipids of unknown composition. The
major quinones were MK-8, MK-9 and MK-7, the peptidoglycan type was l-Lys<--d-Asp
and the main cellular fatty acid was iso-C(16 : 0). The DNA G+C contents of
strains HC145(T) and HC148(T) were 42.4 and 38.5 mol%, respectively. On the basis
of the data from this polyphasic study, strains HC145(T) and HC148(T) represent
members of the genus Ureibacillus, for which the names Ureibacillus composti sp.
nov. and Ureibacillus thermophilus sp. nov., respectively, are proposed. The type
strain of U. composti is HC145(T) (=KACC 11361(T) =DSM 17951(T)) and the type
strain of U. thermophilus is HC148(T) (=KACC 11362(T) =DSM 17952(T)).
PMID- 18048748
TI - Bacillus kribbensis sp. nov., isolated from a soil sample in Jeju, Korea.
AB - A Gram-positive, endospore-forming bacterium, designated strain BT080(T), was
isolated from a soil sample in Jeju, Korea. Cells of the isolate were strictly
aerobic rods that were motile by means of peritrichous flagella. The strain grew
optimally at 30-33 degrees C and pH 5.5-6.5. Chemotaxonomic data (major
isoprenoid quinone, MK-7; DNA G+C content, 43.3 mol%; major fatty acids, anteiso
C(15 : 0), iso-C(14 : 0), iso-C(16 : 0) and iso-C(15 : 0)) supported the
affiliation of the isolate to the genus Bacillus. Comparative 16S rRNA gene
sequence analyses showed that strain BT080(T) formed a distinct phyletic line
within the genus Bacillus. The levels of 16S rRNA gene sequence similarity with
respect to related Bacillus species were below 96.4 %. On the basis of
physiological, biochemical and phylogenetic properties, strain BT080(T)
represents a novel species within the genus Bacillus, for which the name Bacillus
kribbensis sp. nov. is proposed. The type strain is BT080(T) (=KCTC 13934(T)=DSM
17871(T)).
PMID- 18048749
TI - Sejongia marina sp. nov., isolated from Antarctic seawater.
AB - A Gram-negative, psychrotolerant, chemoheterotrophic, aerobic, non-gliding, non
motile, yellow-pigmented bacterium, designated IMCC3228(T), was isolated from
coastal seawater of the Antarctic. On the basis of 16S rRNA gene sequence
comparisons, the strain was most closely related to the genera Sejongia (95.3
96.1 %) and Chryseobacterium (94.2-95.9 %) in the family Flavobacteriaceae.
Phylogenetic trees generated using several treeing algorithms based on 16S rRNA
gene sequences showed that this Antarctic marine isolate formed a distinct
phyletic line within the genus Sejongia. The DNA G+C content of the strain was
35.0 mol% and the major respiratory quinone was MK-6. Several phenotypic and
chemotaxonomic characteristics, including temperature and NaCl optima for growth,
oxidase activity and the proportions of major cellular fatty acids, served to
differentiate the strain from the recognized species of the genus Sejongia.
Therefore strain IMCC3228(T) represents a novel species of the genus Sejongia,
for which the name Sejongia marina sp. nov. is proposed. The type strain is
IMCC3228(T) (=KCCM 42689(T)=NBRC 103143(T)).
PMID- 18048750
TI - Ulvibacter antarcticus sp. nov., isolated from Antarctic coastal seawater.
AB - A seawater bacterium, designated IMCC3101(T), was isolated from Antarctic coastal
seawater. The strain was Gram-negative, chemoheterotrophic, obligately aerobic,
pigmented dark yellow (flexirubin-type pigments) and devoid of gliding and
flagellar motility. On the basis of 16S rRNA gene sequence comparisons, the most
closely related species was Ulvibacter litoralis (96.6 %). Phylogenetic trees
generated by using 16S rRNA gene sequences confirmed that the strain belonged to
the genus Ulvibacter in the family Flavobacteriaceae. The DNA G+C content was
37.0 mol% and the major respiratory quinone was MK-6. Several phenotypic
characteristics, including cell and colony morphology, the absence of gliding
motility and the temperature range for growth, serve to differentiate the strain
from the only species in the genus Ulvibacter with a validly published name (U.
litoralis). Therefore, strain IMCC3101(T) represents a novel species of the genus
Ulvibacter, for which the name Ulvibacter antarcticus sp. nov. is proposed. The
type strain is IMCC3101(T) (=KCCM 42686(T)=NBRC 102682(T)).
PMID- 18048751
TI - Shewanella haliotis sp. nov., isolated from the gut microflora of abalone,
Haliotis discus hannai.
AB - A motile, rod-shaped, pink-orange pigmented bacterium, designated strain DW01(T),
was isolated from the gut microflora of abalone collected from the South Sea
(Republic of Korea). Cells were Gram-negative, facultatively anaerobic, catalase-
and oxidase-positive. The major fatty acids were iso-C(15 : 0) (17.7 %), C(16 :
0) (13.4 %), iso-C(15 : 0) 2-OH and/or C(16 : 1)omega7c (12.5 %) and C(17 :
1)omega8c (10.7 %). The DNA G+C content was 53.7 mol%. A phylogenetic tree based
on the 16S rRNA gene sequences showed that strain DW01(T) forms a lineage of the
genus Shewanella and is closely related to Shewanella algae ATCC 51192(T) (98.3 %
sequence similarity) and to other members of the genus Shewanella (91.0-94.9 %).
The phenotypic characteristics and DNA-DNA hybridization relatedness data
indicate that strain DW01(T) should be distinguished from S. algae ATCC 51192(T).
On the basis of the data presented in this study, strain DW01(T) represents a
novel species, for which the name Shewanella haliotis sp. nov. is proposed. The
type strain is DW01(T) (=KCTC 12896(T)=JCM 14758(T)).
PMID- 18048752
TI - Nocardia speluncae sp. nov., isolated from a cave.
AB - The taxonomic status of a mycolic acid-containing actinomycete, isolated from a
natural cave on Jeju Island, Republic of Korea, was investigated by means of a
polyphasic approach. The isolate, designated strain N2-11(T), produced yellow- to
orange-coloured vegetative hyphae and white- to pinkish white-coloured aerial
mycelia, both of which fragmented into irregular rod-shaped elements.
Phylogenetic analyses based on 16S rRNA gene sequences revealed that the organism
belonged to the family Nocardiaceae, occupying a distinct position between
Nocardia harenae and a Nocardia carnea cluster. The results of chemotaxonomic
analyses were consistent with the affiliation of the organism with the genus
Nocardia. On the basis of 16S rRNA gene sequence similarities, the closest
phylogenetic neighbours were the type strains of N. carnea (98.3 %), Nocardia
flavorosea (98.0 %), Nocardia sienata (97.9 %) and Nocardia testacea (97.8 %),
but the organism could be clearly distinguished from its phylogenetic relatives
with reference to a broad range of physiological markers. On the basis of
phenotypic and molecular genetic data presented in this study, strain N2-11(T)
represents a novel species of the genus Nocardia, for which the name Nocardia
speluncae sp. nov. is proposed. The type strain is N2-11(T) (=JBRI 2006(T) =KCTC
19223(T) =DSM 45078(T)).
PMID- 18048753
TI - Prevotella maculosa sp. nov., isolated from the human oral cavity.
AB - Three strains of anaerobic Gram-negative bacilli isolated from human oral sites
were subjected to a comprehensive range of phenotypic and genotypic tests and
were found to comprise a homogeneous group. 16S rRNA gene sequence analysis
revealed the strains to constitute a novel group within the genus Prevotella,
most closely related to Prevotella oris and Prevotella salivae. A novel species,
Prevotella maculosa sp. nov., is proposed to accommodate these strains.
Prevotella maculosa is saccharolytic and produces acetic and succinic acids as
end products of fermentation. The G+C content of the DNA of the type strain is 48
mol%. The type strain of Prevotella maculosa is W1609(T) (=DSM 19339(T) =CCUG
54766(T)).
PMID- 18048755
TI - Emended descriptions of the genus Lewinella and of Lewinella cohaerens, Lewinella
nigricans and Lewinella persica, and description of Lewinella lutea sp. nov. and
Lewinella marina sp. nov.
AB - Two strains, MKG-38(T) and FYK2402M69(T), were isolated from a marine sediment
sample and a sea snail, respectively, both collected on the Pacific coast of
Japan. Phylogeny of these new isolates based on 16S rRNA gene sequences indicated
that they are members of the genus Lewinella. Morphological, physiological and
biochemical properties of these two isolates, together with the type strains of
the three previously described species of the genus Lewinella, were
characterized. The new isolates were Gram-negative, aerobic, rod-shaped, chemo
organotrophic and able to degrade starch and CM-cellulose. A comparative
polyphasic study showed that these two isolates represent two novel species of
the genus Lewinella, for which the names Lewinella marina sp. nov. (type strain,
MKG-38(T)=NBRC 102633(T)=NCIMB 14312(T)) and Lewinella lutea sp. nov. (type
strain, FYK2402M69(T)=NBRC 102634(T)=NCIMB 14313(T)) are proposed. Emended
descriptions of the genus Lewinella (Sly et al. 1998) and of Lewinella cohaerens,
Lewinella nigricans and Lewinella persica are also proposed.
PMID- 18048754
TI - Howardella ureilytica gen. nov., sp. nov., a Gram-positive, coccoid-shaped
bacterium from a sheep rumen.
AB - An unidentified obligately anaerobic, fastidious, Gram-positive, non-motile, non
spore-forming, non-fermentative coccoid-shaped bacterium (designated strain GPC
589(T)) was isolated from the rumen fluid of a sheep. The major fatty acid
constituents (>5 %) were C(16 : 0) (29.2 %), C(18 : 0) (40.7 %) and an
unidentified compound (19.7 %) with an equivalent chain-length of 13.523. The G+C
content of the DNA was 34 mol%. The organism was strongly ureolytic and generated
ATP through the hydrolysis of urea. Comparative 16S rRNA gene sequence analysis
demonstrated that strain GPC 589(T) was far removed, phylogenetically, from the
ruminococci and related Gram-positive anaerobic cocci but exhibited a
phylogenetic association with Clostridium rRNA cluster XIVa [as defined by
Collins, M. D., Lawson, P. A., Willems, A., Cordoba, J. J., Fernandez-Garayzabal,
J., Garcia, P., Cai, J., Hippe, H. & Farrow, J. A. E. (1994). Int J Syst
Bacteriol 44, 812-826]. Sequence divergence values of 12.5 % or more were
observed between strain GPC 589(T) and all other recognized species within this
and related rRNA clostridial clusters. Phylogenetic analysis showed that strain
GPC 589(T) represents a new genus within cluster XIVa. On the basis of both
phylogenetic and phenotypic evidence, it is proposed that strain GPC 589(T)
should be classified as representing a new genus and novel species, Howardella
ureilytica gen. nov., sp. nov. The type strain is strain GPC 589(T) (=DSM
15118(T)=JCM 13267(T)).
PMID- 18048756
TI - Leuconostoc holzapfelii sp. nov., isolated from Ethiopian coffee fermentation and
assessment of sequence analysis of housekeeping genes for delineation of
Leuconostoc species.
AB - A Gram-positive, ovoid lactic acid bacterium, strain LMG 23990(T), was isolated
from Ethiopian coffee fermentation. 16S rRNA gene sequence analysis indicated
that the novel strain belongs to the genus Leuconostoc, with Leuconostoc citreum
and Leuconostoc lactis as the closest neighbours (99.6 and 99.0 % 16S rRNA gene
sequence similarity, respectively). Genotypic fingerprinting by fluorescent
amplified fragment length polymorphism, whole-cell protein electrophoresis, DNA
DNA hybridizations, comparative sequence analysis of pheS, rpoA, atpA, and
physiological and biochemical tests allowed us to differentiate strain LMG
23990(T) from all established Leuconostoc species. Strain LMG 23990(T) (=CCUG
54536(T)) therefore represents a novel species, for which the name Leuconostoc
holzapfelii sp. nov. is proposed.
PMID- 18048757
TI - Nocardioides marinisabuli sp. nov., a novel actinobacterium isolated from beach
sand.
AB - The taxonomic position of a novel actinobacterium (designated SBS-12(T)), which
was isolated from beach sand on Jeju Island, Republic of Korea, was determined
following a polyphasic taxonomic characterization. Cells of the organism were
Gram-positive, catalase-positive, oxidase-negative and non-motile rods. The
organism contained ll-diaminopimelic acid in the cell-wall peptidoglycan and MK
8(H(4)) as predominant menaquinone, and had a polar lipid profile containing
phosphatidylglycerol and phosphatidylinositol, iso-C(16 : 0) as the major fatty
acid and a DNA G+C content of 73.1 mol%. A neighbour-joining tree based on 16S
rRNA gene sequences showed that the organism occupies a distinct phylogenetic
position within the radiation including representatives of the family
Nocardioidaceae. High levels of 16S rRNA gene sequence similarity were found with
Nocardioides kribbensis (97.2 %), Nocardioides aquiterrae (96.9 %), Nocardioides
pyridinolyticus (96.6 %) and Nocardioides aquaticus (96.3 %). The combination of
morphological and chemotaxonomic characteristics was consistent with
classification in the genus Nocardioides, but the isolate can be differentiated
from all Nocardioides species with validly published names by a range of
physiological properties. The name Nocardioides marinisabuli sp. nov. is proposed
for this novel organism, with the type strain SBS-12(T) (=JBRI 2003(T)=KCCM
42681(T)=DSM 18965(T)).
PMID- 18048758
TI - Methermicoccus shengliensis gen. nov., sp. nov., a thermophilic, methylotrophic
methanogen isolated from oil-production water, and proposal of Methermicoccaceae
fam. nov.
AB - A thermophilic, methylotrophic methanogen, strain ZC-1(T), was isolated from the
Shengli oilfield, China. Cells of strain ZC-1(T) were motile cocci, 0.7-1.0
microm in diameter and always occurred in clusters of two to four cells. Lysis
susceptibility experiments and analysis of transmission electron micrographs of
strain ZC-1(T) suggested the presence of a proteinaceous cell wall. Strain ZC
1(T) used methanol, methylamine and trimethylamine as substrates for
methanogenesis. Optimal growth, with a doubling time of around 5 h, occurred at
pH 6.0-6.5, 65 degrees C, 0.3-0.5 M NaCl and 0.05-0.20 M MgCl(2). The DNA G+C
content of this organism was 56 mol%. Analysis of 16S rRNA gene sequence and the
inferred amino acid sequence of the mcrA gene of strain ZC-1(T) indicated that it
is related specifically to members of the family Methanosaetaceae (90.6 and 76.6
% sequence similarity, respectively). However, strain ZC-1(T) failed to grow with
acetate as substrate for methanogenesis, which is a special characteristic of the
family Methanosaetaceae. Based on these phenotypic and phylogenic
characteristics, strain ZC-1(T) is proposed to represent a novel genus and
species, for which the name Methermicoccus shengliensis gen. nov., sp. nov. is
proposed. The type strain is ZC-1(T) (=CGMCC 1.5056(T)=DSM 18856(T)).
Methermicoccaceae fam. nov. is also proposed.
PMID- 18048759
TI - Candida flosculorum sp. nov. and Candida floris sp. nov., two yeast species
associated with tropical flowers.
AB - Two ascomycetous yeast species, Candida flosculorum sp. nov. and Candida floris
sp. nov., were isolated from tropical flowers and their associated insects. C.
flosculorum was isolated from flower bracts of Heliconia velloziana and Heliconia
episcopalis (Heliconiaceae) collected from two Atlantic rain forest sites in
Brazil. C. floris was isolated from flowers of Ipomoea sp. (Convolvulaceae)
growing on the banks of the river Paraguai in the pantanal ecosystem in Brazil
and from an adult of the stingless bee Trigona sp. and a flower of Merremia
quinquefolia (Convolvulaceae) in Costa Rica. C. flosculorum belongs to the
Metschnikowiaceae clade and C. floris belongs to the Starmerella clade. The type
strain of C. flosculorum is UFMG-JL13(T) (=CBS 10566(T)=NRRL Y-48258(T)) and the
type strain of C. floris is UWO(PS) 00-226.2(T) (=CBS 10593(T)=NRRL Y-48255(T)).
PMID- 18048761
TI - Interaction of cardiovascular risk factors with myocardial ischemia/reperfusion
injury, preconditioning, and postconditioning.
AB - Therapeutic strategies to protect the ischemic myocardium have been studied
extensively. Reperfusion is the definitive treatment for acute coronary
syndromes, especially acute myocardial infarction; however, reperfusion has the
potential to exacerbate lethal tissue injury, a process termed "reperfusion
injury." Ischemia/reperfusion injury may lead to myocardial infarction, cardiac
arrhythmias, and contractile dysfunction. Ischemic preconditioning of myocardium
is a well described adaptive response in which brief exposure to
ischemia/reperfusion before sustained ischemia markedly enhances the ability of
the heart to withstand a subsequent ischemic insult. Additionally, the
application of brief repetitive episodes of ischemia/reperfusion at the immediate
onset of reperfusion, which has been termed "postconditioning," reduces the
extent of reperfusion injury. Ischemic pre- and postconditioning share some but
not all parts of the proposed signal transduction cascade, including the
activation of survival protein kinase pathways. Most experimental studies on
cardioprotection have been undertaken in animal models, in which
ischemia/reperfusion is imposed in the absence of other disease processes.
However, ischemic heart disease in humans is a complex disorder caused by or
associated with known cardiovascular risk factors including hypertension,
hyperlipidemia, diabetes, insulin resistance, atherosclerosis, and heart failure;
additionally, aging is an important modifying condition. In these diseases and
aging, the pathological processes are associated with fundamental molecular
alterations that can potentially affect the development of ischemia/reperfusion
injury per se and responses to cardioprotective interventions. Among many other
possible mechanisms, for example, in hyperlipidemia and diabetes, the
pathological increase in reactive oxygen and nitrogen species and the use of the
ATP-sensitive potassium channel inhibitor insulin secretagogue antidiabetic drugs
and, in aging, the reduced expression of connexin-43 and signal transducer and
activator of transcription 3 may disrupt major cytoprotective signaling pathways
thereby significantly interfering with the cardioprotective effect of pre- and
postconditioning. The aim of this review is to show the potential for developing
cardioprotective drugs on the basis of endogenous cardioprotection by pre- and
postconditioning (i.e., drug applied as trigger or to activate signaling pathways
associated with endogenous cardioprotection) and to review the evidence that
comorbidities and aging accompanying coronary disease modify responses to
ischemia/reperfusion and the cardioprotection conferred by preconditioning and
postconditioning. We emphasize the critical need for more detailed and
mechanistic preclinical studies that examine car-dioprotection specifically in
relation to complicating disease states. These are now essential to maximize the
likelihood of successful development of rational approaches to therapeutic
protection for the majority of patients with ischemic heart disease who are aged
and/or have modifying comorbid conditions.
PMID- 18048762
TI - Cytokines and beta-cell biology: from concept to clinical translation.
AB - The tale of cytokines and the beta-cell is a long story, starting with in vitro
discovery in 1984, evolving via descriptive and phenomenological studies to
detailed mapping of the signalling pathways, gene- and protein expression
patterns, molecular and biochemical effector mechanisms to in vivo studies in
spontaneously diabetic and transgenic animal models. Only very recently have
steps been taken to translate the accumulating compelling preclinical data into
clinical trials. The aim of this chapter is to present an overview of early and
recent key observations from our own groups as well as other laboratories that
serve to illuminate the road from concept to clinical translation.
PMID- 18048764
TI - The role for endoplasmic reticulum stress in diabetes mellitus.
AB - Accumulating evidence suggests that endoplasmic reticulum (ER) stress plays a
role in the pathogenesis of diabetes, contributing to pancreatic beta-cell loss
and insulin resistance. Components of the unfolded protein response (UPR) play a
dual role in beta-cells, acting as beneficial regulators under physiological
conditions or as triggers of beta-cell dysfunction and apoptosis under situations
of chronic stress. Novel findings suggest that "what makes a beta-cell a beta
cell", i.e., its enormous capacity to synthesize and secrete insulin, is also its
Achilles heel, rendering it vulnerable to chronic high glucose and fatty acid
exposure, agents that contribute to beta-cell failure in type 2 diabetes. In this
review, we address the transition from physiology to pathology, namely how and
why the physiological UPR evolves to a proapoptotic ER stress response and which
defenses are triggered by beta-cells against these challenges. ER stress may also
link obesity and insulin resistance in type 2 diabetes. High fat feeding and
obesity induce ER stress in liver, which suppresses insulin signaling via c-Jun N
terminal kinase activation. In vitro data suggest that ER stress may also
contribute to cytokine-induced beta-cell death. Thus, the cytokines IL-1beta and
interferon-gamma, putative mediators of beta-cell loss in type 1 diabetes, induce
severe ER stress through, respectively, NO-mediated depletion of ER calcium and
inhibition of ER chaperones, thus hampering beta-cell defenses and amplifying the
proapoptotic pathways. A better understanding of the pathways regulating ER
stress in beta-cells may be instrumental for the design of novel therapies to
prevent beta-cell loss in diabetes.
PMID- 18048765
TI - Matrix metalloproteinase-8 and tissue inhibitor of metalloproteinase-1 in serum
do not reflect the analytes circulating in blood.
PMID- 18048763
TI - Glucolipotoxicity: fuel excess and beta-cell dysfunction.
AB - Glucotoxicity, lipotoxicity, and glucolipotoxicity are secondary phenomena that
are proposed to play a role in all forms of type 2 diabetes. The underlying
concept is that once the primary pathogenesis of diabetes is established,
probably involving both genetic and environmental forces, hyperglycemia and very
commonly hyperlipidemia ensue and thereafter exert additional damaging or toxic
effects on the beta-cell. In addition to their contribution to the deterioration
of beta-cell function after the onset of the disease, elevations of plasma fatty
acid levels that often accompany insulin resistance may, as glucose levels begin
to rise outside of the normal range, also play a pathogenic role in the early
stages of the disease. Because hyperglycemia is a prerequisite for lipotoxicity
to occur, the term glucolipotoxicity, rather than lipotoxicity, is more
appropriate to describe deleterious effects of lipids on beta-cell function. In
vitro and in vivo evidence supporting the concept of glucotoxicity is presented
first, as well as a description of the underlying mechanisms with an emphasis on
the role of oxidative stress. Second, we discuss the functional manifestations of
glucolipotoxicity on insulin secretion, insulin gene expression, and beta-cell
death, and the role of glucose in the mechanisms of glucolipotoxicity. Finally,
we attempt to define the role of these phenomena in the natural history of beta
cell compensation, decompensation, and failure during the course of type 2
diabetes.
PMID- 18048766
TI - Four SNPs on chromosome 9p21 in a South Korean population implicate a genetic
locus that confers high cross-race risk for development of coronary artery
disease.
AB - OBJECTIVE: Recent genome-wide association studies have identified 4 SNPs on
chromosome 9p21 associated with CAD (rs10757274 and rs2383206) and myocardial
infarction (MI: rs2383207 and rs10757278) in White populations in Northern Europe
and North America. We aimed to determine whether this locus confers significant
susceptibility to CAD in a South Korean population, and thus cross-race
susceptibility to CAD. METHODS AND RESULTS: We performed a case-control
association study with 611 unrelated CAD patients and 294 normal controls from
South Korea. Allelic associations of SNPs and SNP haplotypes with CAD were
evaluated. Multivariate logistic regression analysis was used to adjust effects
of clinical covariates. We found that 4 SNPs on chromosome 9p21 were associated
with susceptibility to CAD in a South Korean population. The association remained
significant after adjusting for significant clinical covariates (P=0.001 to
0.024). We identified one risk haplotype (GGGG; P=0.017) and one protective
haplotype (AAAA; P=0.007) for development of CAD. Further analysis suggested that
the SNPs probably confer susceptibility to CAD in a dominance model (covariates
adjusted P=0.001 to 0.024; OR=2.37 to 1.54). This represents the first study that
expands association of these 9p21 SNPs with CAD beyond White populations.
CONCLUSIONS: Chromosome 9p21 is an important susceptibility locus that confers
high cross-race risk for development of CAD.
PMID- 18048767
TI - Suppression of pro-inflammatory adhesion molecules by PPAR-delta in human
vascular endothelial cells.
AB - OBJECTIVE: Endothelial activation is implicated in atherogenesis and diabetes.
The role of peroxisome proliferator-activated receptor-delta (PPAR-delta) in
endothelial activation remains poorly understood. In this study, we investigated
the anti-inflammatory effect of PPAR-delta and the mechanism involved. METHODS
AND RESULTS: In human umbilical vein endothelial cells (HUVECs), the synthetic
PPAR-delta ligands GW0742 and GW501516 significantly inhibited tumor necrosis
factor (TNF)-alpha-induced expression of vascular cell adhesion molecule-1 and E
selectin (assayed by real-time RT-PCR and Northern blotting), as well as the
ensuing endothelial-leukocyte adhesion. Activation of PPAR-delta upregulated the
expression of antioxidant genes superoxide dismutase 1, catalase, and thioredoxin
and decreased reactive oxygen species production in ECs. Chromatin
immunoprecipitation assays showed that GW0742 switched the association of BCL-6,
a transcription repressor, from PPAR-delta to the vascular cell adhesion molecule
(VCAM)-1 promoter. Small interfering RNA reduced endogenous PPAR-delta expression
but potentiated the suppressive effect of GW0742 on EC activation, which suggests
that the nonliganded PPAR-delta may have an opposite effect. CONCLUSIONS: We have
demonstrated that ligand activation of PPAR-delta in ECs has a potent
antiinflammatory effect, probably via a binary mechanism involving the induction
of antioxidative genes and the release of nuclear corepressors. PPAR-delta
agonists may have a potential for treating inflammatory diseases such as
atherosclerosis and diabetes.
PMID- 18048768
TI - CXCR3 antagonist NBI-74330 attenuates atherosclerotic plaque formation in LDL
receptor-deficient mice.
AB - OBJECTIVE: The chemokine receptor CXCR3 is implicated in migration of leukocytes
to sites of inflammation. Antagonizing CXCR3 may be a strategy to inhibit
inflammation-induced leukocyte migration and subsequently reduce atherosclerosis.
We used the CXCR3 specific antagonist NBI-74330 to block CXCR3-mediated signaling
in peritonitis and diet-induced atherosclerosis. METHODS AND RESULTS:
Antagonizing CXCR3 with NBI-74330 resulted in a significant reduction in CD4+ T
cell and macrophage migration to the peritoneal cavity, which was as shown in ex
vivo migration studies totally CXCR3 dependent. Atherosclerotic lesion formation
in the aortic valve leaflet area and the entire aorta was significantly inhibited
in NBI-74330 treated mice. Lymph nodes draining from the aortic arch were
significantly smaller in treated mice and were enriched in regulatory T cells and
contained fewer activated T cells, whereas the markers for regulatory T cells
within the lesion were enhanced after NBI-74330 treatment. CONCLUSIONS: This
study shows for the first time that treatment with a CXCR3 antagonist results in
attenuating atherosclerotic lesion formation by blocking direct migration of
CXCR3+ effector cells from the circulation into the atherosclerotic plaque and by
beneficially modulating the inflammatory response in the lesion and the lymph
nodes draining from the atherosclerotic lesion.
PMID- 18048769
TI - Divergent biophysical defects caused by mutant sodium channels in dilated
cardiomyopathy with arrhythmia.
AB - Mutations in SCN5A encoding the principal Na+ channel alpha-subunit expressed in
human heart (Na(V)1.5) have recently been linked to an inherited form of dilated
cardiomyopathy with atrial and ventricular arrhythmia. We compared the
biophysical properties of 2 novel Na(V)1.5 mutations associated with this
syndrome (D2/S4--R814W; D4/S3--D1595H) with the wild-type (WT) channel using
heterologous expression in cultured tsA201 cells and whole-cell patch-clamp
recording. Expression levels were similar among WT and mutant channels, and
neither mutation affected persistent sodium current. R814W channels exhibited
prominent and novel defects in the kinetics and voltage dependence of activation
characterized by slower rise times and a hyperpolarized conductance-voltage
relationship resulting in an increased "window current." This mutant also
displayed enhanced slow inactivation and greater use-dependent reduction in peak
current at fast pulsing frequencies. By contrast, D1595H channels exhibited
impaired fast inactivation characterized by slower entry into the inactivated
state and a hyperpolarized steady-state inactivation curve. Our findings
illustrate the divergent biophysical defects caused by 2 different SCN5A
mutations associated with familial dilated cardiomyopathy. Retrospective review
of the published clinical data suggested that cardiomyopathy was not common in
the family with D1595H, but rather sinus bradycardia was the predominant clinical
finding. However, for R814W, we speculate that an increased window current
coupled with enhanced slow inactivation and rate-dependent loss of channel
availability provided a unique substrate predisposing myocytes to disordered Na+
and Ca2+ homeostasis leading to myocardial dysfunction.
PMID- 18048770
TI - Role of TRPM2 channel in mediating H2O2-induced Ca2+ entry and endothelial
hyperpermeability.
AB - Oxidative stress through the production of oxygen metabolites such as hydrogen
peroxide (H2O2) increases vascular endothelial permeability. H2O2 stimulates ADP
ribose formation, which in turn opens transient receptor potential melastatin
(TRPM)2 channels. Here, in endothelial cells, we demonstrate transcript and
protein expression of TRPM2, a Ca2+-permeable, nonselective cation channel. We
further show the importance of TRPM2 expression in signaling of increased
endothelial permeability by oxidative stress. Exposure of endothelial cell
monolayers to sublytic concentrations of H2O2 induced a cationic current measured
by patch-clamp recording and Ca2+ entry detected by intracellular fura-2
fluorescence. H2O2 in a concentration-dependent manner also decreased trans
monolayer transendothelial electrical resistance for 3 hours (with maximal effect
seen at 300 micromol/L H2O2), indicating opening of interendothelial junctions.
The cationic current, Ca2+ entry, and transendothelial electrical resistance
decrease elicited by H2O2 were inhibited by siRNA depleting TRPM2 or antibody
blocking of TRPM2. H2O2 responses were attenuated by overexpression of the
dominant-negative splice variant of TRPM2 or inhibition of ADP-ribose formation.
Overexpression of the full-length TRPM2 enhanced H2O2-mediated Ca2+ entry,
cationic current, and the transendothelial electrical resistance decrease. Thus,
TRPM2 mediates H2O2-induced increase in endothelial permeability through the
activation of Ca2+ entry via TRPM2. TRPM2 represents a novel therapeutic target
directed against oxidant-induced endothelial barrier disruption.
PMID- 18048771
TI - Extracellular matrix metalloproteinase inducer (CD147) is a novel receptor on
platelets, activates platelets, and augments nuclear factor kappaB-dependent
inflammation in monocytes.
AB - In atherosclerosis, circulating platelets interact with endothelial cells and
monocytes, leading to cell activation and enhanced recruitment of leukocytes into
the vascular wall. The invasion of monocytes is accompanied by overexpression of
matrix metalloproteinases (MMPs), which are thought to promote atherosclerosis
and trigger plaque rupture. Following interaction with itself, the extracellular
matrix metalloproteinase inducer (EMMPRIN) induces MMP synthesis via a little
known intracellular pathway. Recently, we showed upregulation of EMMPRIN on
monocytes during acute myocardial infarction. EMMPRIN also stimulates secretion
of MMP-9 by monocytes and of MMP-2 by smooth muscle cells, indicating that it may
be an important regulator of MMP activity. Expression of EMMPRIN on platelets has
not been described until now. Here, we demonstrate that resting platelets show
low surface expression of EMMPRIN, which is upregulated by various platelet
stimulators (flow cytometry). EMMPRIN is located in the open canalicular system
and in alpha granules of platelets (according to electron microscopy and sucrose
gradient ultracentrifugation). Platelet stimulation with recombinant EMMPRIN-Fc
induced surface expression of CD40L and P-selectin (according to flow cytometry),
suggesting that EMMPRIN-EMMPRIN interaction activates platelets. Coincubation of
platelets with monocytes induced EMMPRIN-mediated nuclear factor kappaB
activation (according to Western blot) in monocytes with increased MMP-9
(zymography), interleukin-6, and tumor necrosis factor-alpha secretion (according
to ELISA) by monocytes. In conclusion, EMMPRIN displays a new platelet receptor
that is upregulated on activated platelets. Binding of EMMPRIN to platelets
fosters platelet degranulation. Platelet-monocyte interactions via EMMPRIN
stimulate nuclear factor kappaB-driven inflammatory pathways in monocytes, such
as MMP and cytokine induction. Thus, EMMPRIN may represent a novel target to
diminish the burden of protease activity and inflammation in atherosclerosis.
PMID- 18048772
TI - The importance of external validity.
PMID- 18048773
TI - Keeping the point-of-sale environment at the forefront.
PMID- 18048774
TI - Another example of an illicit cigarette market: a study of psychiatric patients
in Toronto, Ontario.
PMID- 18048775
TI - Spinning for India's independence.
PMID- 18048776
TI - The Bandoeng Conference of 1937: a milestone in health and development.
PMID- 18048777
TI - HIV-associated orphanhood and children's psychosocial distress: theoretical
framework tested with data from Zimbabwe.
AB - OBJECTIVES: We measured the psychosocial effect of orphanhood in a sub-Saharan
African population and evaluated a new framework for understanding the causes and
consequences of psychosocial distress among orphans and other vulnerable
children. METHODS: The framework was evaluated using data from 5321 children aged
12 to 17 years who were interviewed in a 2004 national survey in Zimbabwe. We
constructed a measure of psychosocial distress using principle components
analysis. We used regression analyses to obtain standardized parameter estimates
of psychosocial distress and odds ratios of early sexual activity. RESULTS:
Orphans had more psychosocial distress than did nonorphans. For both genders,
paternal, maternal, and double orphans exhibited more-severe distress than did
nonorphaned, nonvulnerable children. Orphanhood remained associated with
psychosocial distress after we controlled for differences in more-proximate
determinants. Maternal and paternal orphans were significantly more likely than
were nonorphaned, nonvulnerable children to have engaged in sexual activity.
These differences were reduced after we controlled for psychosocial distress.
CONCLUSIONS: Orphaned adolescents in Zimbabwe suffer greater psychosocial
distress than do nonorphaned, nonvulnerable children, which may lead to increased
likelihood of early onset of sexual intercourse and HIV infection. The effect of
strategies to provide psychosocial support should be evaluated scientifically.
PMID- 18048778
TI - Improvements in middle school student dietary intake after implementation of the
Texas Public School Nutrition Policy.
AB - OBJECTIVES: We assessed the effect of the Texas Public School Nutrition Policy on
middle school student lunchtime food consumption. METHODS: Three years of lunch
food records were collected from middle school students in southeast Texas:
baseline (2001-2002), after local district changes (2002-2003), and 1 year after
implementation of the Texas Public School Nutrition Policy (2005-2006). Students
recorded amount and source of foods and beverages they consumed. Analysis of
variance and covariance and nonparametric tests were used to compare intake after
the policy change with intake during the 2 previous years. RESULTS: After
implementation of the nutrition policy, student lunch consumption of vegetables,
milk, and several nutrients increased (protein, fiber, vitamins A and C, calcium,
and sodium), and consumption of less desirable items (sweetened beverages, snack
chips) decreased, as did percentage of energy from fat. Most of the desired
nutrients and foods (vegetables and milk) were obtained from the National School
Lunch Program meal. Fewer sweetened beverages, candy, chips, and dessert foods
were purchased and consumed, but more of these items were brought from home and
purchased from the snack bar. CONCLUSIONS: Overall, state school nutrition
policies can improve the healthfulness of foods consumed by students at lunch.
PMID- 18048780
TI - Autonomy, paternalism, and justice: ethical priorities in public health.
AB - With attention to the field of public health ethics growing, significant time has
been devoted to identifying a sound ethical justification for paternalistic
interventions that override individual autonomy to prevent people from adopting
unhealthy behaviors. Efforts focused on specifying the conditions that warrant
paternalism, however, are largely misplaced. On empirical and ethical grounds,
public health should seek instead to expand individual autonomy to improve
population health. To promote autonomy, the field should redirect current efforts
toward clarifying principles of justice. Although public health's most highly
visible stance is associated with an egalitarian conception of "social justice,"
it is imperative that public health professionals address gaping divisions in
public understandings of justice. I present recommendations for initiating this
process.
PMID- 18048779
TI - Cardiovascular disease and global health equity: lessons from tuberculosis
control then and now.
AB - Early 20th-century cardiovascular voluntary organizations in the United States
drew strength from the well-established antituberculosis movement. By mid
century, heart disease among the young and tuberculosis had declined in this
country. The international fight against tuberculosis has gathered force since
the 1990s. Meanwhile, support for international cardiovascular interventions has
lagged behind. We trace the divergent path of the international cardiovascular
movement and suggest ways in which it could once again learn from the trials and
achievements of tuberculosis control.
PMID- 18048781
TI - The health of trafficked women: a survey of women entering posttrafficking
services in Europe.
AB - Trained counselors interviewed 192 women who had been trafficked and sexually
exploited about abuse and evaluated their physical and mental health status
within 14 days of entry into posttrafficking services. Most reported physical or
sexual violence while trafficked (95%), pre-trafficking abuse (59%), and multiple
posttrafficking physical and psychological problems. Newly identified trafficked
women require immediate attention to address posttrauma symptoms and adequate
recovery time before making decisions about participating in prosecutorial or
immigration proceedings or returning home.
PMID- 18048782
TI - Maternal depressive symptoms, parenting self-efficacy, and child growth.
AB - OBJECTIVES: We assessed whether maternal depressive symptoms and parenting self
efficacy were associated with child growth delay. METHODS: We collected data from
a random sample of 595 low-income mothers and their children aged 6 to 24 months
in Teresina, Piaui, Brazil, including information on sociodemographic
characteristics, mothers' depressive symptoms and parenting self-efficacy, and
children's anthropometric characteristics. We used adjusted logistic regression
models in our analyses. RESULTS: Depressive symptoms among mothers were
associated with 1.8 times higher odds (95% confidence interval [CI] = 1.1, 2.9)
of short stature among children. Parenting self-efficacy was not associated with
short stature, nor did it mediate or modify the relationship between depressive
symptoms and short stature. Maternal depressive symptoms and self-efficacy were
not related to child underweight. CONCLUSIONS: Our results showed that among low
income Brazilian families maternal depressive symptoms, but not self-efficacy,
were associated with short stature in children aged 6 to 24 months after
adjustment for known predictors of growth.
PMID- 18048783
TI - Racial/ethnic minority children's use of psychiatric emergency care in
California's Public Mental Health System.
AB - OBJECTIVES: We examined rates and intensity of crisis services use by
race/ethnicity for 351,174 children younger than 18 years who received specialty
mental health care from California's 57 county public mental health systems
between July 1998 and June 2001. METHODS: We used fixed-effects regression for a
controlled assessment of racial/ethnic disparities in children's use of hospital
based services for the most serious mental health crises (crisis stabilization
services) and community-based services for other crises (crisis intervention
services). RESULTS: African American children were more likely than were White
children to use both kinds of crisis care and made more visits to hospital-based
crisis stabilization services after initial use. Asian American/Pacific Islander
and American Indian/Alaska Native children were more likely than were White
children to use hospital-based crisis stabilization services but, along with
Latino children, made fewer hospital-based crisis stabilization visits after an
initial visit. CONCLUSIONS: African American children used both kinds of crisis
services more than did White children, and Asian Americans/Pacific Islander and
American Indians/Alaska Native children visited only when they experienced the
most disruptive and troubling kind of crises, and made nonrecurring visits.
PMID- 18048784
TI - Epidemiological characterization of individuals with newly reported HIV
infection: South Carolina, 2004-2005.
AB - OBJECTIVES: We used statewide data to assess HIV disease stage at initial
diagnosis and laboratory indications for initiating antiretroviral therapy among
South Carolina residents with newly diagnosed HIV infection. METHODS: Initial
CD4+ counts and viral loads among individuals diagnosed with HIV between May 2004
and April 2005 were categorized according to current staging and treatment
guidelines. RESULTS: Of 759 individuals who had a CD4+ count reported, 34% and
56% had counts of 200 cells/mm(3) or below and 350 cells/mm(3) or below,
respectively. CD4+ counts of 200 cells/mm(3) or below were significantly
associated with male gender (adjusted odds ratio [AOR] = 2.07; 95% confidence
interval [CI] = 1.36, 3.16), age above 29 years (AOR = 2.45; 95% CI = 1.51,
3.96), and hospital-reported patients (AOR = 2.17; 95% CI = 1.41, 3.36). The same
characteristics were significant risk factors for elevated viral loads.
CONCLUSIONS: At least in South Carolina, HIV diagnoses are delayed in a
significant percentage of patients. New testing strategies need to be implemented
to encourage earlier HIV diagnoses, and future studies should evaluate the
effects of expanded routine testing on earlier detection.
PMID- 18048785
TI - Equity of skilled birth attendant utilization in developing countries: financing
and policy determinants.
AB - OBJECTIVES: Developing countries with higher health care spending have greater
overall utilization of maternal health services than do countries with lower
spending. However, the rich tend to disproportionately use these services. We
assessed whether redistributive government policies in the context of higher
levels of health spending were associated with more-equitable use of skilled
birth attendants (doctors, nurses, or midwives) between rich and poor. METHODS:
We used data from Demographic and Health Surveys of 45 developing countries and
disaggregated by wealth quintile. Multivariable regression analyses were used to
assess the joint effect of higher health care expenditures, the wealth
distribution of women's fifth-grade education (a proxy for redistributive policy
environment within the central government) and the overall proportion of women
with fifth-grade education (a proxy for female literacy and an indicator of
governments' commitment to girls' education). RESULTS: We found that utilization
of skilled birth attendants was more equitable when higher health expenditures
were accompanied by redistributive education policies. CONCLUSIONS: Higher health
care expenditures should be accompanied by redistributive policies to reduce the
gap in utilization of skilled birth attendants between poorer and richer women in
developing countries.
PMID- 18048786
TI - Understanding dog owners' increased levels of physical activity: results from
RESIDE.
AB - We examined the influence of dog ownership on physical activity, independent of
demographic, intrapersonal, and perceived environmental factors, in a cross
sectional survey of 1813 adults. Although only 23% of the dog owners walked their
dogs 5 or more times per week, the adjusted odds of achieving sufficient physical
activity and walking were 57% to 77% higher among dog owners compared with those
not owning dogs (P< .05). Dog ownership was independently associated with
physical activity and walking. Actively encouraging more dog walking may increase
community physical activity levels.
PMID- 18048787
TI - Immigration and generational trends in body mass index and obesity in the United
States: results of the National Latino and Asian American Survey, 2002-2003.
AB - OBJECTIVES: We examined patterns of body mass index (BMI) and obesity among a
nationally representative sample of first-, second-, and third-generation Latinos
and Asian Americans to reveal associations with nativity or country of origin.
METHODS: We used data from the National Latino and Asian American Survey (2002
2003) to generate nationally representative estimates of mean BMI and obesity
prevalence and explored changes in the distribution of BMI by generational
status. Analyses tested the association between generational status and BMI and
examined whether this association varied by ethnicity, education, or gender.
RESULTS: We found substantial heterogeneity in BMI and obesity by country of
origin and an increase in BMI in later generations among most subgroups. The data
suggest different patterns for Latinos and Asian Americans in the nature and
degree of distributional changes in BMI with generational status in the United
States. CONCLUSIONS: Generational status is associated with increased BMI and
obesity among Latinos and Asian Americans. Aggregate estimates not accounting for
nativity and country of origin may mask significant heterogeneity in the
prevalence of obesity and patterns of distributional change, with implications
for prevention strategies.
PMID- 18048788
TI - Breastfeeding duration and childhood overweight among low-income children in
Kansas, 1998-2002.
AB - OBJECTIVES: We sought to determine whether increased duration of breast-feeding
was associated with decreased risk of overweight among 4-year-old children in
Kansas families with limited means. METHODS: We linked data on Kansas families
from the Pediatric Nutrition Surveillance System and Pregnancy Nutrition
Surveillance System, 1998 to 2002, to determine breastfeeding duration and weight
status at age 4 years. Overweight among 4-year-old children was defined as body
mass index-for-age at the 95th percentile and above. Linked analysis included
3692 children. Logistic regression was applied to determine odds of being
overweight at each duration of breastfeeding. RESULTS: Breastfeeding duration
considered independently showed a significant protective association with
childhood overweight at age 4 years for all non-Hispanics (odds ratio [OR]=0.72;
95% confidence interval [CI]=0.55, 0.94) and for Whites only (OR=0.68; CI=0.50,
0.92). When we controlled for other significant risk factors for childhood
overweight, the association diminished and was not statistically significant.
CONCLUSIONS: Although breastfeeding for longer duration appeared to be protective
against overweight among 4-year-old non-Hispanic children, cultural and
environmental factors may override this protective benefit.
PMID- 18048789
TI - Community health workers: social justice and policy advocates for community
health and well-being.
AB - Community health workers are resources to their communities and to the advocacy
and policy world on several levels. Community health workers can connect people
to health care and collect information relevant to policy. They are natural
researchers who, as a result of direct interaction with the populations they
serve, can recount the realities of exclusion and propose remedies for it. As
natural researchers, they contribute to best practices while informing public
policy with the information they can share. In this light, community health
workers may also be advocates for social justice.
PMID- 18048790
TI - Results of the 2004 National Worksite Health Promotion Survey.
AB - OBJECTIVES: We examined worksite health promotion programs, policies, and
services to monitor the achievement of the Healthy People 2010 worksite-related
goal of 75% of worksites offering a comprehensive worksite health promotion
program. METHODS: We conducted a nationally representative, cross-sectional
telephone survey of worksite health promotion programs stratified by worksite
size and industry type. Techniques appropriate for analyzing complex surveys were
used to compute point estimates, confidence intervals, and multivariate
statistics. RESULTS: Worksites with more than 750 employees consistently offered
more programs, policies, and services than did smaller worksites. Only 6.9% of
responding worksites offered a comprehensive worksite health promotion program.
Sites with a staff person dedicated to and responsible for health promotion were
significantly more likely to offer a comprehensive program, and sites in the
agriculture and mining or financial services sector were significantly less
likely than those in other industry sectors to offer such a program. CONCLUSIONS:
Increasing the number, quality, and types of health promotion programs at
worksites, especially smaller worksites, remains an important public health goal.
PMID- 18048791
TI - The healthy migrant effect: new findings from the Mexican Family Life Survey.
AB - OBJECTIVES: We used nationally representative longitudinal data from the Mexican
Family Life Survey to determine whether recent migrants from Mexico to the United
States are healthier than other Mexicans. Previous research has provided little
scientific evidence that tests the "healthy migrant" hypothesis. METHODS:
Estimates were derived from logistic regressions of whether respondents moved to
the United States between surveys in 2002 and 2005, by gender and urban versus
rural residence. Covariates included physical health measurements, self-reported
health, and education measured in 2002. Our primary sample comprised 6446
respondents aged 15 to 29 years. RESULTS: Health significantly predicted
subsequent migration among females and rural males. However, the associations
were weak, few health indicators were statistically significant, and there was
substantial variation in the estimates between males and females and between
urban and rural dwellers. CONCLUSIONS: On the basis of recent data for Mexico,
the largest source of migrants to the United States, we found generally weak
support for the healthy migrant hypothesis.
PMID- 18048792
TI - Social and emotional consequences of refraining from sexual activity among
sexually experienced and inexperienced youths in California.
AB - OBJECTIVES: We examined the social and emotional consequences among adolescents
of refraining from sexual activity (oral or vaginal sex) and whether these
consequences differed over time and by gender and sexual experience. METHODS:
Adolescents (N=612; 58% female) recruited from 2 schools were assessed at 4 time
points separated by 6-month intervals, beginning in fall of ninth grade. RESULTS:
The percentage of adolescents who reported only positive consequences (e.g., they
felt responsible, friends were proud) dramatically decreased over time, whereas
the percentage that reported negative consequences (e.g., they felt left out,
partners became angry) steadily increased. Adolescent girls and sexually
experienced adolescents were more likely to report both positive and negative
consequences than were adolescent boys and sexually inexperienced adolescents.
Adolescents who began the study with sexual experience were more likely to report
positive consequences of refraining from sexual activity by the end of the study
than those who initiated sexual activity later. CONCLUSIONS: Sexual education
programs should address how adolescents can cope with or prevent negative
consequences of refraining from sexual activity, so that decisions to abstain are
rewarding and decisions to engage in sexual activity are motivated by maturity
and readiness.
PMID- 18048793
TI - Long-term health correlates of timing of sexual debut: results from a national US
study.
AB - OBJECTIVES: We explored long-term health consequences of age at sexual initiation
and of abstinence until marriage to evaluate empirical support for the claim that
postponing sexual initiation has beneficial health effects. METHODS: We analyzed
data from the 1996 National Sexual Health Survey, a cross-sectional study of the
US adult population. We compared sexual health outcomes among individuals who had
initiated sexual activity at an early or late age versus a normative age. We also
compared individuals whose first sexual intercourse had occurred before versus
after marriage. RESULTS: Early initiation of sexual intercourse was associated
with various sexual risk factors, including increased numbers of sexual partners
and recent sexual intercourse under the influence of alcohol, whereas late
initiation was associated with fewer risk factors. However, both early and late
initiation were associated with sexual problems such as problems with arousal and
orgasm, primarily among men. Relationship solidity and sexual relationship
satisfaction were not associated with early or late initiation. CONCLUSIONS:
Early sexual debut is associated with certain long-term negative sexual health
outcomes, including increased sexual risk behaviors and problems in sexual
functioning. Late initiation was also associated with sexual problems, especially
among men. Further research is needed to understand how sexual initiation
patterns affect later health outcomes.
PMID- 18048794
TI - Injuries among US children with different types of disabilities.
AB - OBJECTIVES: We sought to determine whether risk of injury differs among children
on the basis of the type of disability, and whether the characteristics of injury
episodes differ by disability status. METHODS: We used nationally representative
data from the 1997-2005 National Health Interview Survey to compare medically
attended injuries among children aged 0 to 17 years who had and did not have a
disability. Characteristics of injury episodes were compared by disability
status. We calculated prevalence and risk of injury by type of disability.
RESULTS: Children who had a single disability had a significantly higher
prevalence of injury than children without a disability (3.8% vs 2.5%; P<.01).
Characteristics of injury episodes did not differ significantly by disability
status (P>.05). After we controlled for sociodemographic variables, we found that
only children with emotional or behavioral problems had a significantly higher
risk of injury compared with children without a disability (prevalence
ratio=1.50; 95% confidence interval=1.15, 1.97; P<.01). CONCLUSIONS: Children
with certain types of disabilities are at a significantly higher risk of injuries
than are children without disabilities, but the characteristics of injuries are
similar.
PMID- 18048795
TI - Leisure-time physical activity disparities among Hispanic subgroups in the United
States.
AB - Studies of leisure-time physical activity disparities for Hispanic individuals
have not adjusted for sociodemographic confounds or accounted for variation by
country of origin. We used the National Health Interview Survey to compare
leisure-time physical activity among Hispanic and non-Hispanic White persons. All
Hispanic subgroups were less active than were non-Hispanic White people, yet
significant heterogeneity existed among Hispanic persons. Sociodemographic
factors partly accounted for disparities among men; disparities among women
persisted despite multivariate adjustments. Interventions must attend to these
under-served yet varied sub-communities.
PMID- 18048796
TI - Self-reported tuberculosis disease and tuberculin skin testing in the New York
City House Ballroom community.
AB - OBJECTIVES: We sought to describe the history of tuberculosis disease and
tuberculin skin testing among the New York City House Ballroom community--a
social network of diverse sexual and gender identities or expressions. METHODS:
Members of the House Ballroom community were convenience sampled, surveyed, and
tested for HIV in 2004. We identified characteristics associated with history of
tuberculosis, tuberculin skin testing, and test positivity and described the
timing of skin testing. RESULTS: Of 504 participants, 1.4% (n=7) reported a
history of tuberculosis and 81.1% (n=404 of 498) had received a tuberculin skin
test. Of those tested, 16 (4%) had positive results, which indicated latent
infection, and 68% had received a test in the 2 years prior to the survey.
Participants with health insurance were more likely and those with little
education were less likely to have received a skin test. HIV-infected
participants (16%) were not more likely to have received a tuberculin skin test
compared with non-HIV-infected individuals. Foreign-born participants and self
identified heterosexuals and bisexuals were more likely to have had positive skin
tests. CONCLUSIONS: Self-reported history of tuberculosis was high among the
House Ballroom community. Although many community members had a recent skin test,
further efforts should target services to those who are HIV infected, have low
education, lack health insurance, or are foreign born.
PMID- 18048797
TI - Trends and disparities among diabetes-complicated births in Minnesota, 1993-2003.
AB - We used Minnesota birth certificate data from 1993-2003 to test 2 hypotheses:
rates of diabetes-complicated pregnancy are increasing, and disparities between
more and less socially advantaged groups are widening. Significant increases
occurred in rates (per 1000 live births) of prepregnancy and gestational diabetes
mellitus (from 2.6 to 4.9 and 25.6 to 34.8, respectively). Increases were
significant in all demographic groups except gestational diabetes among American
Indian mothers, and disparities worsened among all groups. Targeted interventions
and surveillance improvements are needed.
PMID- 18048798
TI - Use of a population-based survey to describe the health of Boston public housing
residents.
AB - OBJECTIVES: We compared the health of public housing residents with other Boston
residents through a random-digit-dial survey. METHODS: We used data from the
Boston Behavioral Risk Factor Surveillance System collected in 2001 and 2003 to
make crude and demographically adjusted comparisons between public housing
residents and other city residents on measures of health status, access and
utilization, and health behaviors. RESULTS: Public housing residents were more
likely to report fair or poor overall health status, ever-diagnosed hypertension,
current asthma, ever-diagnosed diabetes, obesity, disability, loss of 6 or more
teeth, and feelings of depression for 15 days or more in the past month. Public
housing residents were slightly more likely than others to be without health
insurance or report financial barriers to medical care. Public housing residents
reported more smoking and physical inactivity, less past-month binge drinking and
past-year marijuana use, and similar levels of lifetime drug use. CONCLUSIONS:
Public housing residents reported substantially poorer health than did other city
residents across a variety of conditions but similar levels of access to and
utilization of health care. Public health departments may be able to use
established surveys to measure health among public housing residents.
PMID- 18048799
TI - Differences in cardiovascular disease mortality associated with body mass between
Black and White persons.
AB - We analyzed cardiovascular disease mortality risks associated with obesity using
participant-level meta-analysis of data from the Black Pooling Project for Black
and White individuals. The adjusted relative risks (ARRs) were stronger among
White participants than among Black participants for coronary heart disease
AAR=1.21 (95% confidence interval [CI]=1.07, 1.36) versus 0.87 (95% CI=0.69,
1.09), respectively, and cardiovascular disease ARR=1.18 (95% CI=1.07, 1.29)
versus 0.91 (95% CI=0.77, 1.05), respectively. The results suggest that obesity
is an independent risk factor in White people, and additional study of body size
and disease progression is necessary in the assessment of racial disparities.
PMID- 18048800
TI - Indigenous ways of knowing: implications for participatory research and
community.
AB - Researchers have a responsibility to cause no harm, but research has been a
source of distress for indigenous people because of inappropriate methods and
practices. The way researchers acquire knowledge in indigenous communities may be
as critical for eliminating health disparities as the actual knowledge that is
gained about a particular health problem. Researchers working with indigenous
communities must continue to resolve conflict between the values of the academic
setting and those of the community. It is important to consider the ways of
knowing that exist in indigenous communities when developing research methods.
Challenges to research partnerships include how to distribute the benefits of the
research findings when academic or external needs contrast with the need to
protect indigenous knowledge.
PMID- 18048801
TI - Immigration and risk of childhood lead poisoning: findings from a case control
study of New York City children.
AB - OBJECTIVES: We investigated whether foreign birthplace and residence were
associated with an increased risk of childhood lead poisoning. METHODS: We
conducted a matched case-control study among New York City children (mean age=3
years) tested for lead poisoning in 2002 (n=203 pairs). Children were matched on
age, date of test, and residential area. Blood lead and housing data were
supplemented by a telephone survey administered to parents or guardians.
Conditional logistic regression analysis was used to examine the relationship of
lead poisoning status to foreign birthplace and time elapsed since most recent
foreign residence after adjustment for housing and behavioral risk factors.
RESULTS: Both foreign birthplace and time since most recent foreign residence had
strong adjusted associations with lead poisoning status, with children who had
lived in a foreign country less than 6 months before their blood test showing a
particularly elevated risk of lead poisoning relative to US-born children with no
foreign residential history before their blood test (odds ratio [OR]=10.9; 95%
confidence interval [CI]=3.3, 36.5). CONCLUSIONS: Our findings demonstrate an
increased risk of lead poisoning among immigrant children.
PMID- 18048802
TI - A participatory action research pilot study of urban health disparities using
rapid assessment response and evaluation.
AB - Healthy People 2010 made it a priority to eliminate health disparities. We used a
rapid assessment response and evaluation (RARE) to launch a program of
participatory action research focused on health disparities in an urban,
disadvantaged Black community serviced by a major south Florida health center. We
formed partnerships with community members, identified local health disparities,
and guided interventions targeting health disparities. We describe the RARE
structure used to triangulate data sources and guide intervention plans as well
as findings and conclusions drawn from scientific literature and epidemiological,
historic, planning, clinical, and ethnographic data. Disenfranchisement and
socioeconomic deprivation emerged as the principal determinants of local health
disparities and the most appropriate targets for intervention.
PMID- 18048803
TI - Effect of a targeted subsidy on intake of fruits and vegetables among low-income
women in the Special Supplemental Nutrition Program for Women, Infants, and
Children.
AB - OBJECTIVES: Intake of fruits and vegetables protects against several common
chronic diseases, and low income is associated with lower intake. We tested the
effectiveness of a subsidy for fruits and vegetables to the Special Supplemental
Nutrition Program for Women, Infants, and Children (WIC). METHODS: Women who
enrolled for postpartum services (n=602) at 3 WIC sites in Los Angeles were
assigned to an intervention (farmers' market or supermarket, both with redeemable
food vouchers) or control condition (a minimal nonfood incentive). Interventions
were carried out for 6 months, and participants' diets were followed for an
additional 6 months. RESULTS: Intervention participants increased their
consumption of fruits and vegetables and sustained the increase 6 months after
the intervention was terminated (model adjusted R(2)=.13, P<.001). Farmers'
market participants showed an increase of 1.4 servings per 4186 kJ (1000 kcal) of
consumed food (P<.001) from baseline to the end of intervention compared with
controls, and supermarket participants showed an increase of 0.8 servings per
4186 kJ (P=.02). CONCLUSIONS: Participants valued fresh fruits and vegetables,
and adding them to the WIC food packages will result in increased fruit and
vegetable consumption.
PMID- 18048804
TI - Acrolein induces heme oxygenase-1 through PKC-delta and PI3K in human bronchial
epithelial cells.
AB - Heme oxygenase-1 (HO-1) catalyzes the rate limiting reaction of heme metabolism
and plays critical roles in resistance to oxidative stress and other cellular
functions. It is well known that HO-1 is induced in response to various stresses;
however, the signaling pathways involved remain incompletely elucidated. Acrolein
is an alpha,beta-unsaturated aldehyde present in cigarette smoke and also a
product of lipid peroxidation. In this investigation we studied HO-1 induction in
response to acrolein and determined the signaling pathways involved in human
bronchial epithelial cells (HBE1 cells). We demonstrated that acrolein
significantly increased the HO-1 mRNA content and promoter activity. Acrolein
mediated HO-1 induction was significantly attenuated by pan-protein kinase C
(PKC) inhibitors RO318220, staurosporine, and PKC-delta selective inhibitor
rottlerin and PKC-delta small interfering RNA. The HO-1 induction was also
decreased by phosphatidylinositol 3-kinase (PI3K) inhibitors LY294002 and
wortmannin. No significant effects on HO-1 induction were observed with the
pretreatment of mitogen-activated protein kinase pathway inhibitors PD98059
(ERK), SB203580 (p38MAPK) and JNKi, and conventional and atypical PKC inhibitors.
Furthermore, Nrf2 silencing significantly attenuated the HO-1 induction by
acrolein. Inhibition of PKC-delta significantly decreased acrolein-mediated Nrf2
nuclear translocation, though inhibition of PI3K had no effect. Taken together,
our results indicate that acrolein up-regulates HO-1 expression through both PKC
delta and PI3K pathways in HBE1 cells; PKC-delta appears to regulate HO-1
induction via modulating Nrf2 nuclear translocation, while PI3K may work through
targeting on downstream signaling molecules other than Nrf2.
PMID- 18048805
TI - Pulmonary microvascular endothelial cells form a tighter monolayer when grown in
chronic hypoxia.
AB - Unique among the vascular beds, loss of endothelial integrity in the pulmonary
microcirculation due to injury can lead to rapidly fatal hypoxemia. The ability
to regain confluence and re-establish barrier function is central to restoring
proper gas exchange. The adult respiratory distress syndrome (ARDS) is a
heterogeneous disease, however, meaning that endothelial cells within different
regions of the lung do not likely see the same oxygen tension as they attempt to
proliferate and re-establish an intact endothelial monolayer; the effect of
hypoxia on the integrity of this newly formed endothelial monolayer is not clear.
Immortalized human pulmonary microvascular endothelial cells (PMVEC) (ST1.6R
cells) were sparsely plated and grown to confluence over 4 days in either
normoxia (21% oxygen) or hypoxia (5% oxygen). Confluence attained in a hypoxic
environment resulted in a tighter, less permeable endothelial monolayer (as
determined by an increase in transendothelial electrical resistance, decreased
permeability to fluorescently labeled macromolecules, and decreased hydraulic
conductance). PMVEC grown to confluence under hypoxia had decreased RhoA
activity; consistent with this finding, inhibition of Rho kinase, a well
described downstream target of RhoA, markedly increased electrical resistance in
normoxic, but not hypoxic, PMVEC. These results were confirmed in primary human
and rat PMVEC. These data suggest that PMVEC grown to confluence under hypoxia
form a tighter monolayer than similar cells grown under normoxia. This tighter
barrier appears to be due, in part, to the inhibition of RhoA activity in hypoxic
cells.
PMID- 18048806
TI - Underreporting exacerbation of chronic obstructive pulmonary disease in a
longitudinal cohort.
AB - RATIONALE: Unreported exacerbations and failure to seek medical attention may
have consequences on the health of patients with chronic obstructive pulmonary
disease. OBJECTIVES: This study aims to determine the incidence of reported and
unreported exacerbations, to identify predictors of reporting, and to compare the
impact of reported and unreported exacerbations on health status. METHODS: The
study is based on a multicenter Canadian cohort of patients with chronic
obstructive pulmonary disease. MEASUREMENTS AND MAIN RESULTS: Patients completed
a daily diary from which exacerbations were defined as a worsening of at least
one key symptom (dyspnea, sputum amount, sputum color) recorded on at least 2
consecutive days. Patients were asked to contact the study center if there was a
sustained worsening of symptom. Reported exacerbations were events that led to
contacting study center or health care visit. The study enrolled 421 patients.
The overall incidence of diary exacerbations was 2.7 per person per year, but
only 0.8 per person per year was reported. Predictors of reporting included age
(HR [hazard ratio], 0.90; 95% confidence interval [CI], 0.81-0.98 per 5-yr
increase), FEV(1)% predicted (HR, 0.84; 95% CI, 0.70-0.99 per 10% increase),
number of symptoms at onset (HR, 1.59; 95% CI, 1.37-1.84 per additional symptom),
and time of the week (HR, 0.35; 95% CI, 0.22-0.56 weekend vs. weekday). There was
a clinically important decline in health status for 52% of patients with reported
exacerbation and 43% with unreported exacerbations. CONCLUSIONS: This study has
shown that less than one-third of the exacerbations were reported. The number of
symptoms at onset was the most important predictor of reporting an exacerbation,
and both reported and unreported exacerbations had an impact on health status.
PMID- 18048807
TI - Extrapulmonary effects of chronic obstructive pulmonary disease on physical
activity: a cross-sectional study.
AB - RATIONALE: Physical activity is reduced in patients with chronic obstructive
pulmonary disease (COPD). COPD has a systemic component that includes significant
extrapulmonary effects that may contribute to its severity in individual
patients. OBJECTIVES: To investigate the association of extrapulmonary effects of
the disease and its comorbidities with reduced physical activity in patients with
COPD. METHODS: In a cross-sectional study, 170 outpatients with COPD (GOLD
[Global Initiative for Chronic Obstructive Lung Disease] stages I-IV; BODE [body
mass index, airway obstruction, dyspnea, and exercise capacity] score 0-10)
underwent a series of tests. Physical activity was assessed over 5 to 6
consecutive days by using a multisensor accelerometer armband that records steps
per day and the physical activity level (total daily energy expenditure divided
by whole-night sleeping energy expenditure). Cardiovascular status was assessed
by echocardiography, vascular Doppler sonography, and levels of N-terminal pro-B
type natriuretic peptide. Mental status, metabolic/muscular status, systemic
inflammation, and anemia were assessed by Beck Depression Inventory,
bioelectrical impedance analysis, handgrip strength, high-sensitivity C-reactive
protein/fibrinogen, and hemoglobin, respectively. MEASUREMENTS AND MAIN RESULTS:
In a multivariate linear regression analysis using either steps per day or
physical activity level as a dependent variable, the extrapulmonary parameters
that were associated with reduced physical activity in patients with COPD
independently of GOLD stages or BODE score were N-terminal pro-B-type natriuretic
peptide levels, echocardiographically measured left ventricular diastolic
function, and systemic inflammation. CONCLUSIONS: Higher values of systemic
inflammation and left cardiac dysfunction are associated with reduced physical
activity in patients with COPD.
PMID- 18048808
TI - Relief of dyspnea involves a characteristic brain activation and a specific
quality of sensation.
AB - RATIONALE: Central processing of dyspnea relief remains largely unknown.
OBJECTIVES: To identify physiologic determinants, quality of sensation, and brain
activation associated with dyspnea relief. METHODS: Dyspnea relief was induced in
10 healthy volunteers by decreasing an adjustable external resistive load (
approximately 15-50 cm H(2)O/L/s). Brain imaging (positron emission tomography)
was performed during either dyspnea or relief. MEASUREMENTS AND MAIN RESULTS:
Perceived intensity of moderate and high relief was similar to that of its
preceding dyspnea (Borg scores = 5.10 +/- 1.49 vs. 5.3 +/- 1.4, and 2.78 +/- 0.94
vs. 2.99 +/- 0.94, respectively; P >/= 0.05) and was predominantly related to
reversal of dyspnea-induced increased mouth pressure/ventilation ratio (r(2) =
0.88, P < 0.001). Dyspnea relief involved specific, mostly positively valenced
descriptors (i.e., breathing-related pleasure and/or reward). Most significant
relief-associated brain activation was detected in the left anterior cingulate
cortex (Z score = 4.7, corrected P < 0.05) and additional activation (uncorrected
P < 0.0001) in the posterior cerebellum and in the temporal and prefrontal
cortices. For dyspnea, significant activation was located in the right caudate
nucleus, the anterior cerebellum (Z = 5 and 4.65, respectively; corrected P <
0.05), and the premotor cortex, whereas deactivation occurred in the left
prefrontal cortex (Z = 4.11). CONCLUSIONS: Relief of acute load-induced dyspnea
is not simply the neutral perception of dyspnea decrease but rather a strong,
positively valenced sensation that is associated with characteristic brain
activation distinct from that subserving dyspnea perception and possibly
reflecting activation of a dyspnea modulation network.
PMID- 18048809
TI - Ozone, oxidant defense genes, and risk of asthma during adolescence.
AB - RATIONALE: Although oxidative stress is a cardinal feature of asthma, the roles
of oxidant air pollutants and antioxidant genes heme oxygenase 1 (HMOX-1),
catalase (CAT), and manganese superoxide dismutase (MNSOD) in asthma pathogenesis
have yet to be determined. OBJECTIVES: We hypothesized that the functional
polymorphisms of HMOX-1 ([GT](n) repeat), CAT (-262C>T -844C>T), and MNSOD (Ala
9Val) are associated with new-onset asthma, and the effects of these variants
vary by exposure to ozone, a potent oxidant air pollutant. METHODS: We assessed
this hypothesis in a population-based cohort of non-Hispanic (n = 1,125) and
Hispanic white (n = 586) children who resided in 12 California communities and
who were followed annually for 8 years to ascertain new-onset asthma.
MEASUREMENTS AND MAIN RESULTS: Air pollutants were continuously measured in each
of the study communities during the 8 years of study follow-up. HMOX-1 "short"
alleles (<23 repeats) were associated with a reduced risk for new-onset asthma
among non-Hispanic whites (hazard ratio [HR], 0.64; 95% confidence interval [CI],
0.41-0.99). This protective effect was largest in children residing in low-ozone
communities (HR, 0.48; 95% CI, 0.25-0.91) (interaction P value = 0.003). Little
evidence for an association with HMOX-1 was observed among Hispanic children. In
contrast, Hispanic children with a variant of the CAT-262 "T" allele (CT or TT)
had an increased risk for asthma (HR, 1.78; P value = 0.01). The effects of these
polymorphisms were not modified by personal smoking or secondhand-smoke exposure.
CONCLUSIONS: Functional promoter variants in CAT and HMOX-1 showed ethnicity
specific associations with new-onset asthma. Oxidant gene protection was
restricted to children living in low-ozone communities.
PMID- 18048810
TI - Interaction between smoking and genetic factors in the development of chronic
bronchitis.
AB - RATIONALE: Smoking is a primary risk factor for chronic bronchitis, emphysema,
and chronic obstructive pulmonary disease, but since not all smokers develop
disease, it has been suggested that some individuals may be more susceptible to
exogenous factors, such as smoking, and that this susceptibility could be
genetically determined. OBJECTIVES: The aim of the present study was to assess,
in a population-based sample of twins, the following: (1) to what extent genetic
factors contribute to the development of chronic bronchitis, including emphysema,
taking sex into consideration, and (2) whether the genetic influences on chronic
bronchitis, including emphysema, are separate from those for smoking behavior.
METHODS: Disease cases and smoking habits were identified in 44,919 twins older
than 40 years from the Swedish Twin Registry. Disease was defined as self
reported chronic bronchitis or emphysema, or recurrent cough with phlegm.
Individuals who had smoked 10 pack-years or more were defined as smokers.
Univariate and bivariate structural equation models were used to estimate the
heritability specific for chronic bronchitis and that in common with smoking.
MEASUREMENTS AND MAIN RESULTS: The heritability estimate for chronic bronchitis
was a moderate 40% and only 14% of the genetic influences were shared with
smoking. CONCLUSIONS: Genetic factors independent of those related to smoking
habits play a role in the development of chronic bronchitis.
PMID- 18048811
TI - p53: it has it all, but will it make it to the clinic as a marker in bladder
cancer?
PMID- 18048812
TI - Should patient-reported outcomes be mandatory for toxicity reporting in cancer
clinical trials?
PMID- 18048813
TI - Moving beyond guidelines to improve the quality of care for men with prostate
cancer.
PMID- 18048814
TI - Incorporation of geriatric principles in oncology clinical trials.
PMID- 18048815
TI - p53 gene and protein status: the role of p53 alterations in predicting outcome in
patients with bladder cancer.
AB - PURPOSE: The p53 gene status (mutation) and protein alterations (nuclear
accumulation detectable by immunohistochemistry; p53 protein status) are
associated with bladder cancer progression. Substantial discordance is documented
between the p53 protein and gene status, yet no studies have examined the
relationship between the gene-protein status and clinical outcome. This study
evaluated the clinical relationship of the p53 gene and protein statuses.
MATERIALS AND METHODS: The complete coding region of the p53 gene was queried
using DNA from paraffin-embedded tissues and employing a p53 gene-sequencing
chip. We compared p53 gene status, mutation site, and protein status with time to
recurrence. RESULTS: The p53 gene and protein statuses show significant
concordance, yet 35% of cases showed discordance. Exon 5 mutations demonstrated a
wild-type protein status in 18 of 22 samples. Both the p53 gene and protein
statuses were significantly associated with stage and clinical outcome. Specific
mutation sites were associated with clinical outcome; tumors with exon 5
mutations showed the same outcome as those with the wild-type gene. Combining the
p53 gene and protein statuses stratifies patients into three distinct groups,
based on recurrence-free intervals: patients showing the best outcome (wild-type
gene and unaltered protein), an intermediate outcome (either a mutated gene or an
altered protein) and the worst outcome (a mutated gene and an altered protein).
CONCLUSION: We show that evaluation of both the p53 gene and protein statuses
provides information in assessing the clinical recurrence risk in bladder cancer
and that the specific mutation site may be important in assessing recurrence
risk. These findings may substantially impact the assessment of p53 alterations
and the management of bladder cancer.
PMID- 18048816
TI - Characteristics of urologists predict the use of androgen deprivation therapy for
prostate cancer.
AB - PURPOSE: We previously have reported wide variations among urologists in the use
of androgen deprivation for prostate cancer. Using the Surveillance,
Epidemiology, and End Results-Medicare linked database, we examined how
individual urologist characteristics influenced the use of androgen deprivation
therapy. METHODS: Participants included 82,375 men with prostate cancer who were
diagnosed from January 1, 1992, through December 31, 2002, and the 2,080
urologists who provided care to them. Multilevel analyses were used to estimate
the likelihood of androgen deprivation use within 6 months of diagnosis in the
overall cohort, in a subgroup in which use would be of uncertain benefit (primary
therapy for localized prostate cancer), and in a subgroup in which use would be
evidence-based (adjuvant therapy with radiation for locally advanced disease).
RESULTS: In the overall cohort of patients, a multilevel model adjusted for
patient characteristics, tumor characteristics, and urologist characteristics
(eg, board certification, academic affiliation, patient panel size, years since
medical school graduation) showed that the likelihood of androgen deprivation use
was significantly greater for patients who saw urologists without an academic
affiliation. This pattern also was noted when the analysis was limited to
settings in which androgen deprivation would have been of uncertain benefit. Odds
ratios for use in that context were 1.66 (95% CI, 1.27 to 2.16) for urologists
with no academic affiliation and 1.45 (95% CI, 1.13 to 1.85) for urologists with
minor versus major academic affiliations. CONCLUSION: Use of androgen deprivation
for prostate cancer varies by the characteristics of the urologist. Patients of
non-academically affiliated urologists were significantly more likely to receive
primary androgen deprivation therapy for localized prostate cancer, a setting in
which the benefits are uncertain.
PMID- 18048817
TI - Is there a role for pelvic irradiation in localized prostate adenocarcinoma?
Preliminary results of GETUG-01.
AB - PURPOSE: To assess the benefit and toxicity and quality-of-life (QOL) outcomes of
pelvic nodes irradiation in nonmetastatic prostate carcinoma patients. PATIENTS
AND METHODS: Between December 1998 and June 2004, 444 patients with T1b-T3, N0
pNx, M0 prostate carcinoma were randomly assigned to either pelvic and prostate
radiotherapy or prostate radiotherapy only. Patients were stratified according to
the prognostic factor of lymph node involvement (LNI). Short-term 6-month
neoadjuvant and concomitant hormonal therapy was allowed only for patients in the
high-risk group. The pelvic dose was 46 Gy. The total dose recommended to the
prostate was changed during the course of the study from 66 Gy to 70 Gy. Criteria
for progression-free survival (PFS) included biologic prostate-specific antigen
recurrences or a local or metastatic evolution. Acute and late toxicities were
recorded according to the Radiation Therapy Oncology Group and Late Effects in
Normal Tissues Subjective, Objective, Management, and Analytic scales,
respectively. The QOL outcome was recorded with the European Organisation for
Research and Treatment of Cancer Quality of Life Questionnaire C30, the
International Prostatic Symptom Score, and the Sexual Function Index scales.
RESULTS: With a 42.1-month median follow-up time, the 5-year PFS and overall
survival were similar in the two treatment arms for the whole series and for each
stratified group. On multivariate analysis, low LNI risk and hormonal therapy
were statistically associated with increased PFS. However, subgroup analyses
based on these factors did not show any benefit for pelvic irradiation. There
were no significant differences in acute and late digestive toxicities and in QOL
outcomes. CONCLUSION: Pelvic node irradiation was well tolerated but did not
improve PFS.
PMID- 18048818
TI - Long-term toxicity monitoring via electronic patient-reported outcomes in
patients receiving chemotherapy.
AB - PURPOSE: In cancer treatment trials, clinicians traditionally report patient
toxicity symptoms. Alternatively, patients could provide this information
directly. PATIENTS AND METHODS: The Common Terminology Criteria for Adverse
Events (CTCAE) is the mandated instrument for tracking patient toxicity symptoms
in National Cancer Institute (NCI)-sponsored cancer treatment trials. We adapted
CTCAE symptom items into patient language and uploaded these to an online
platform. Lung cancer outpatients receiving chemotherapy were invited to self
report selected symptoms at visits via waiting area computers or optional home
access. Symptom reports were printed for nurses at visits, but no instructions
were given with regard to use of this information. RESULTS: From June 2005
through March 2006, 125 patients were invited to participate, and 107 chose to
enroll. Mean length of participation was 42 weeks (range, 1 to 71 weeks), by
which time 35% died. The average number of clinic visits was 12 (range, 1 to 40
visits). At each consecutive visit, most patients (mean, 78%) logged in without
significant attrition. Reasons for failure to log in included having no reminder
and having inadequate time. Although 76% of enrollees had home computers, only
15% self-reported from home. Satisfaction with the system was high (90%), but
only 51% felt communication was improved. All participating nurses understood the
reports and felt this information was useful for clinical decisions,
documentation, and discussions. However, only one of seven nurses discussed
reports with patients frequently, with insufficient time being the most common
barrier to discussions. CONCLUSION: Online patient self-reporting is a feasible
long-term strategy for toxicity symptom monitoring during chemotherapy, even
among patients with advanced cancer and high symptom burdens. However, without
explicit reminders and clinician feedback, patients demonstrated limited
voluntary interest in self-reporting between visits.
PMID- 18048819
TI - Symptom prevalence, intensity, and distress in patients with inoperable lung
cancer in relation to time of death.
AB - PURPOSE: To examine symptom prevalence, intensity, and association with distress
in patients with inoperable lung cancer (LC), using time to death as point of
reference. PATIENTS AND METHODS: A consecutive sample of 400 patients completed
the European Organisation for Research and Treatment of Cancer Quality of Life
Questionnaire C30 plus a 13-item LC-specific scale and the Thurstone Scale of
Symptom Distress-Lung Cancer at six time points during the first year after
diagnosis. Patients were divided into subgroups, using data from the time point
closest to death (< 1; 1 to 2; > 2 to 3; > 3 to 6; > 6 to 12; and > 12 months
before death) for analysis. RESULTS: More than 50% of patients in all subgroups
reported problems related to physical, role, and emotional functioning; fatigue;
dyspnea; and cough. In general, functional levels were lower and symptoms higher
in subgroups closer to death. Notably, clinically relevant differences were also
found in role and social functioning and appetite loss between the two groups
furthest from death. A consistent pattern was found among the six subgroups, with
breathing, pain, and fatigue rated as the symptoms most associated with distress.
CONCLUSION: High prevalence of symptoms was found in all subgroups, with higher
intensity in subgroups closer to death, indicating a need for prophylactic and
proactive symptom management. Less concordance was found among symptom
prevalence, intensity, and association with distress in subgroups further from
death. Future studies should investigate longitudinal associations between
symptoms with low intensity and high distress, and examine their clinical
implications.
PMID- 18048820
TI - Randomized double-blind trial of prophylactic oral minocycline and topical
tazarotene for cetuximab-associated acne-like eruption.
AB - PURPOSE: To evaluate the ability of either oral minocycline, topical tazarotene
or both, to reduce or prevent cetuximab-related acneiform rash when administered
starting on day 1 of cetuximab therapy. PATIENTS AND METHODS: Metastatic
colorectal cancer patients preparing to initiate cetuximab were randomly assigned
to receive daily oral minocycline or placebo, and to receive topical tazarotene
application to either left or right side of the face. Both therapies were
administered for 8 weeks. RESULTS: Forty-eight eligible patients were randomly
assigned to minocycline (n = 24) or placebo (n = 24). Total facial lesion counts
were significantly lower in patients receiving minocycline at weeks 1 through 4.
At week 4, a lower proportion of patients in the minocycline arm reported
moderate to severe itch than in the placebo arm (20% v 50%, P = .05). Facial
photographs, obtained at week 4, were reviewed for rash global severity. Patients
in the minocycline arm trended toward lower frequency of moderate to severe rash
than patients receiving placebo (20% v 42%, P = .13). The differences in total
facial lesion counts and subjectively assessed itch were diminished by week 8.
Cetuximab treatment was interrupted because of grade 3 skin rash in four patients
in the placebo arm, and none in the minocycline arm. There was no observed
clinical benefit to tazarotene application. Tazarotene treatment was associated
with significant irritation, causing its discontinuation in one third of
patients. CONCLUSION: Prophylaxis with oral minocycline may be useful in
decreasing the severity of the acneiform rash during the first month of cetuximab
treatment. Topical tazarotene is not recommended for management of cetuximab
related rash.
PMID- 18048821
TI - Phase II study of uracil-tegafur with leucovorin in elderly (> or = 75 years old)
patients with colorectal cancer: ECOG 1299.
AB - PURPOSE: To evaluate the tolerability and effectiveness of uracil-tegafur (UFT)
with leucovorin (LV) in the treatment of elderly patients with advanced
colorectal cancer. PATIENTS AND METHODS: Patients > or = 75 years of age with
previously untreated colorectal cancer were eligible for this phase II, single
arm, open-label, multicenter cooperative group clinical trial. UFT 100 mg/m2 plus
LV 30 mg orally every 8 hours for 28 days every 35 days was administered until
progression. RESULTS: Fifty-eight patients were enrolled between June 2000 and
July 2001, and 55 were treated. The median age of treated patients was 81 years
(range, 75 to 90 years), 26 patients were (47%) women, and 80% had good
performance status (0 to 1). The observed overall response rate was 22% (95% CI,
11.8% to 35.0%). The estimated median overall survival time was 13.0 months (95%
CI, 9.6 to 17.4 months), and median progression-free survival time was 4.6 months
(95% CI, 2.6 to 6.7 months). Among the 56 treated patients (including one
ineligible patient), 31 (55%) experienced grade 3 to 4 toxicities, most commonly
diarrhea (25%) and GI toxicity (36%), with patients older than 85 years of age at
highest risk. CONCLUSION: The results of this trial support the efficacy of oral
UFT/LV in elderly patients with colorectal cancer. The regimen is tolerated
moderately well overall, particularly as compared with other fluoropyrimidine
regimens, although there is increased GI toxicity in the most elderly. These
results suggest that studies using newer oral fluoropyrimidine analogs should be
investigated in this patient population.
PMID- 18048822
TI - Prospective study of long-term impact of adjuvant high-dose and conventional-dose
chemotherapy on health-related quality of life.
AB - PURPOSE: To evaluate and compare health-related quality of life (HRQOL) after
conventional- and high-dose adjuvant chemotherapy in patients with high-risk
breast cancer. PATIENTS AND METHODS: Patients were randomly assigned to either a
conventional or high-dose chemotherapy regimen; both regimens were followed by
radiotherapy and tamoxifen. HRQOL was evaluated until disease progression using
the Short Form-36 (SF-36), Visual Analog Scale, and Rotterdam Symptom Checklist
and assessed every 6 months for 5 years after random assignment. For the SF-36,
data from healthy Dutch women with the same age distribution served as reference
values. RESULTS: Eight hundred four patients (conventional-dose chemotherapy, n =
405; high-dose chemotherapy, n = 399) were included. Median follow-up time was 57
months. Directly after high-dose chemotherapy, HRQOL decreased more compared with
conventional chemotherapy for all SF-36 subscales. After 1 year, the reference
value of healthy women was reached in both groups. Small differences were
observed between the two groups in the role-physical and role-emotional
subscales, but 1 year after treatment, these differences were minor and not
clinically relevant. During follow-up, patients with a lower educational level
and many complaints before chemotherapy experienced a worse HRQOL. CONCLUSION:
Shortly after high-dose chemotherapy, HRQOL was more affected than after
conventional-dose chemotherapy. One year after random assignment, differences
were negligible. Identifying patients who have a higher chance of persistent
impaired quality of life after treatment (which, in the present study, included
patients with a lower educational level and many complaints before chemotherapy)
is important and may open the way for better patient-tailored prevention
strategies.
PMID- 18048823
TI - Combination of trastuzumab and tanespimycin (17-AAG, KOS-953) is safe and active
in trastuzumab-refractory HER-2 overexpressing breast cancer: a phase I dose
escalation study.
AB - PURPOSE: This phase I study examined whether a heat shock protein (Hsp) 90
inhibitor tanespimycin (17-AAG; KOS-953) could be administered safely in
combination with trastuzumab at a dose that inhibits Hsp90 function in vivo in
lymphocytes. PATIENTS AND METHODS: Patients with an advanced solid tumor
progressing during standard therapy were eligible. Patients were treated with
weekly trastuzumab followed by intravenous tanespimycin, assessed in escalating
dose levels. RESULTS: Twenty-five patients were enrolled onto four tanespimycin
dose levels: 225 (n = 4), 300 (n = 3), 375 (n = 8), and 450 mg/m2 (n = 10). Dose
limiting toxicity (DLT) was observed at the third and fourth cohort (1 patient
each): more than 2-week delay for grade 4 fatigue/grade 2 nausea and anorexia
(375 mg/m2); more than 2-week delay for thrombocytopenia (450 mg/m2). Drug
related grade 3 toxicity included emesis, increased ALT, hypersensitivity
reactions (two patients each), and drug-induced thrombocytopenia (n = 1). Common
mild to moderate toxicities included fatigue, nausea, diarrhea, emesis, headache,
rash/pruritus, increased AST/ALT, and anorexia. Pharmacokinetic analysis
demonstrated no difference in tanespimycin kinetics with or without trastuzumab.
Pharmacodynamic testing showed reactive induction of Hsp70 (a marker of Hsp90
inhibition) in lymphocytes at all dose levels. Antitumor activity was noted
(partial response, n = 1; minor response, n = 4; stable disease > or = 4 months,
n = 4). Tumor regressions were seen only in patients with human epidermal growth
factor receptor 2 (HER-2)-positive metastatic breast cancer. CONCLUSION:
Tanespimycin plus trastuzumab is well tolerated and has antitumor activity in
patients with HER-2+ breast cancer whose tumors have progressed during treatment
with trastuzumab. These data suggest that Hsp90 function can be inhibited in vivo
to a degree sufficient to cause inhibition of tumor growth.
PMID- 18048824
TI - Quantitative justification of the change from 10% to 30% for human epidermal
growth factor receptor 2 scoring in the American Society of Clinical
Oncology/College of American Pathologists guidelines: tumor heterogeneity in
breast cancer and its implications for tissue microarray based assessment of
outcome.
AB - PURPOSE: The variability in scoring of immunohistochemistry, whether a result of
true heterogeneity or artifacts in preparation, has led to decreased reliability
in companion diagnostics and the recommendation for new standards (eg, the
American Society of Clinical Oncology/College of American Pathologists [ASCO-CAP]
guidelines). The basis of this problem is the amount of tissue required to be
representative of an entire tumor. Because protein expression on tissue
microarrays (TMAs) can be rigorously measured and one 0.6-mm spot is equivalent
to two to three high-power fields, we used TMAs to assess levels of heterogeneity
and to determine optimal representation as a function of outcome. PATIENTS AND
METHODS: We analyzed estrogen receptor (ER), progesterone receptor, and human
epidermal growth factor receptor 2 (HER-2) expression in two cohorts (n = 676 and
n = 152) on a series of four to five separate TMA cores and assessed
heterogeneity by linear regression analysis. Minimum, average, and maximum scores
were generated for each set, which were then assessed for prognostic and
predictive value. RESULTS: Each marker shows some heterogeneity, but average r
values between 0.7 and 0.8 are seen between TMA spots. Analysis for prognostic
value shows that the highest maximum score (of five spots) is the most prognostic
for ER, whereas a high HER-2 minimum score is most prognostic for poor outcome
and most predictive of response to trastuzumab. CONCLUSION: These results suggest
that the representivity required for each biomarker may be a function of its role
in tumorigenesis. Furthermore, these results provide scientific basis for the
ASCO-CAP guidelines for assessment of HER-2 expression but perhaps suggest that
the 30% figure is still too conservative.
PMID- 18048825
TI - Chemotherapy compared with biochemotherapy for the treatment of metastatic
melanoma: a meta-analysis of 18 trials involving 2,621 patients.
AB - PURPOSE: To assess the effect of adding interferon-alpha (IFN) +/- interleukin-2
(IL-2) to chemotherapy in patients with metastatic melanoma. METHODS A published
data meta-analysis of trials of biochemotherapy versus chemotherapy in patients
with metastatic melanoma was undertaken. End points evaluated were rates of
partial response (PR), complete response (CR) and overall (partial + complete)
response (OR); response duration; progression-free survival; overall survival
(OS); and toxicity. The only subgroup analysis performed was by type of
immunotherapy, with trials divided according to whether IFN only or IFN and IL-2
were administered in the biochemotherapy arm. RESULTS: Eighteen randomized trials
were identified: 11 trials of chemotherapy +/- IFN and seven trials of
chemotherapy +/- IFN and IL-2. More than 2,600 patients were entered onto the
trials, with 555 responses and 2,039 deaths. There was a clear benefit for
biochemotherapy for PR (odds ratio = 0.66; 95% CI, 0.53 to 0.82; P = .0001), CR
(odds ratio = 0.50; 95% CI, 0.35 to 0.73; P = .0003) and OR (odds ratio = 0.59;
95% CI, 0.49 to 0.72; P < .00001). For OR, these benefits were significant for
both the IFN (odds ratio = 0.60; 95% CI, 0.46 to 0.79; P = .0002) and IFN + IL-2
(odds ratio = 0.58; 95% CI, 0.44 to 0.77; P = .0001) subgroups. In contrast,
there was no benefit overall in OS (odds ratio = 0.99; 95% CI, 0.91 to 1.08; P =
.9), but there was evidence of heterogeneity of treatment effect between the
individual trials (P = .006). CONCLUSION: This meta-analysis provides a
comprehensive summary of all the data currently available, and shows that
although biochemotherapy clearly improves response rates, this does not appear to
translate into a survival benefit.
PMID- 18048826
TI - Positron emission tomography for staging of pediatric sarcoma patients: results
of a prospective multicenter trial.
AB - PURPOSE: The objective of this study was to evaluate the impact of positron
emission tomography (PET) using fluorine-18-fluorodeoxyglucose (FDG) for initial
staging and therapy planning in pediatric sarcoma patients. PATIENTS AND METHODS:
In this prospective multicenter study, 46 pediatric patients (females, n = 22;
males, n = 24; age range, 1 to 18 years) with histologically proven sarcoma
(Ewing sarcoma family tumors, n = 23; osteosarcoma, n = 11; rhabdomyosarcoma, n =
12) were examined with conventional imaging modalities (CIMs), including
ultrasound, computed tomography (CT), magnetic resonance imaging, and bone
scintigraphy according to the standardized algorithms of the international
therapy optimization trials, and whole-body FDG-PET. A lesion- and patient-based
analysis of PET alone and CIMs alone and a side-by-side (SBS) analysis of FDG-PET
and CIMs were performed. The standard of reference consisted of all imaging
material, follow-up data (mean follow-up time, 24 +/- 12 months), and
histopathology and was determined by an interdisciplinary tumor board. RESULTS:
FDG-PET and CIMs were equally effective in the detection of primary tumors
(accuracy, 100%). PET was superior to CIMs concerning the correct detection of
lymph node involvement (sensitivity, 95% v 25%, respectively) and bone
manifestations (sensitivity, 90% v 57%, respectively), whereas CT was more
reliable than FDG-PET in depicting lung metastases (sensitivity, 100% v 25%,
respectively). The patient-based analysis revealed the best results for SBS, with
91% correct therapy decisions. This was significantly superior to CIMs (59%; P <
.001). CONCLUSION: In staging pediatric sarcoma, subsidiary FDG-PET scanning
depicts important additional information and has a relevant impact on therapy
planning when analyzed side-by-side with CIMs.
PMID- 18048827
TI - Prospective study of a pirarubicin, intermediate-dose cytarabine, and etoposide
regimen in children with Down syndrome and acute myeloid leukemia: the Japanese
Childhood AML Cooperative Study Group.
AB - PURPOSE: To evaluate a less intensive chemotherapeutic regimen specifically
designed for patients with Down syndrome (DS) and acute myeloid leukemia (AML),
and to determine the prognostic factors for event-free survival. PATIENTS AND
METHODS: Seventy-two patients with AML-DS were treated with remission induction
chemotherapy consisting of pirarubicin (25 mg/m2/d for 2 days), cytarabine (100
mg/m2/d for 7 days), and etoposide (150 mg/m2/d for 3 days). Patients received
four courses of intensification therapy of the same regimen. Prophylaxis for CNS
leukemia was not included. RESULTS: All but two patients were younger than 4
years, and 67 of the 72 patients (93%) were diagnosed as acute megakaryoblastic
leukemia (AMKL). Seventy of the 72 patients (97.2%) achieved a complete remission
(CR), and the estimated 4-year event-free survival (EFS) rate was 83% +/- 9%.
Nine patients relapsed, and one died as a result of pneumonia during CR.
Multivariate analysis revealed that the presence of monosomy 7 was a greater risk
factor of adverse outcome (odds ratio = 5.67; P = .027). CONCLUSION: A less
intensive chemotherapeutic regimen produces excellent outcomes in standard-risk
AML-DS patient. Risk-oriented therapy should be considered for future trials in
AML-DS.
PMID- 18048829
TI - Spontaneous rupture of the spleen in the presentation of acute myeloid leukemia.
PMID- 18048828
TI - Phase I study of targeted radioimmunotherapy for leptomeningeal cancers using
intra-Ommaya 131-I-3F8.
AB - PURPOSE: Tumors metastasizing to the CNS and leptomeninges (LM) are associated
with significant mortality. We tested the toxicity, pharmacokinetics, and
dosimetry of intraventricular iodine-131-labeled monoclonal antibody 3F8 (131I
3F8) targeting GD2-positive CNS/LM disease in a phase I clinical trial. PATIENTS
AND METHODS: Adequate CSF flow was determined by pretreatment indium-111-DTPA
studies. Fifteen patients received a tracer (1 to 2 mCi) and therapeutic
injection (10 to 20 mCi) of intra-Ommaya 131I-3F8. 131I-3F8 pharmacokinetics were
studied by serial CSF and blood samplings. Dosimetry was based on
pharmacokinetics and region of interest (ROI) analyses on whole-body gamma camera
scans. Tumor response was determined by clinical, radiographic, and cytologic
criteria. RESULTS: Total absorbed CSF dose was 1.12 to 13.00 Gy by sampling and
1.00 to 13.70 Gy by ROI data. Average dosimetry ratio (Gy/mCi) of the
therapy/tracer administration was 0.88 (+/- 0.58) and 1.08 (+/- 0.66) based on
CSF pharmacokinetics and ROI analysis, respectively. CSF half-life by sampling
was 3 to 12.9 hours. Toxicities included self-limited headache, fever, and
vomiting. Dose-limiting toxicity was reached at the 20-mCi dose, when transient
elevations in intracranial pressure and chemical meningitis were seen. Three of
13 assessable patients achieved objective radiographic and/or cytologic
responses. No late toxicities have been seen in two patients who remain in
remission off therapy for more than 3.5 years. CONCLUSION: Intra-Ommaya 131I-3F8
was generally well tolerated; the maximum-tolerated dose was 10 mCi. A high CSF
to-blood ratio was achieved. Tracer studies reliably predicted the therapeutic
dose to the CSF. Radioimmunoconjugates targeting GD2 may have clinical utility in
the treatment of CNS/LM malignancies.
PMID- 18048831
TI - Unilateral lymphoma-related leg edema.
PMID- 18048830
TI - Unresectable adenoid cystic carcinoma of the trachea treated with chemoradiation.
PMID- 18048832
TI - Souvenir children: death and rebirth.
PMID- 18048833
TI - Doubts about whether docetaxel, cisplatin, plus fluorouracil has any benefit in
advanced gastric cancer.
PMID- 18048834
TI - The area between the curves gets no respect: is it because of the median madness?
PMID- 18048835
TI - Reversibility of trastuzumab cardiotoxicity: is the concept alive and well?
PMID- 18048836
TI - Low affordability may limit the effect of cervical cancer vaccination in central
and eastern European countries.
PMID- 18048837
TI - Lung cancer survival: vitamin D could be just a marker of physical fitness.
PMID- 18048838
TI - The common rs9939609 gene variant of the fat mass- and obesity-associated gene
FTO is related to fat cell lipolysis.
AB - We investigated the rs9939609 single nucleotide polymorphism of the FTO gene in
relation to fat cell function and adipose tissue gene expression in 306 healthy
women with a wide range in body mass index (18-53 kg/m(2)). Subcutaneous adipose
tissue biopsies were taken for fat cell metabolism studies and in a subgroup (n =
90) for gene expression analyses. In homozygous carriers of the T-allele, the in
vitro basal (spontaneous) adipocyte glycerol release was increased by 22% (P =
0.007) and the in vivo plasma glycerol level was increased by approximately 30%
(P = 0.037) compared with carriers of the A allele. In contrast, there were no
genotype effects on catecholamine-stimulated lipolysis or basal or insulin
induced lipogenesis. We found no difference between genotypes for adipose tissue
mRNA levels of FTO, hormone-sensitive lipase, adipose triglyceride lipase,
perilipin, or CGI-58. Finally, the adipose tissue level of FTO mRNA was increased
in obesity (P = 0.002), was similar in subcutaneous and omental adipose tissue,
was higher in fat cells than in fat tissue (P = 0.0007), and was induced at an
early stage in the differentiation process (P = 0.004). These data suggest a role
of the FTO gene in fat cell lipolysis, which may be important in explaining why
the gene is implicated in body weight regulation.
PMID- 18048839
TI - Prevalence of intracranial atherosclerosis and coexistent conditions in ethnic
South Asians.
PMID- 18048840
TI - The continued yin and yang of uric acid.
PMID- 18048841
TI - Arrival time to stroke unit as crucial a measure as arrival time to emergency
department.
PMID- 18048842
TI - Infection and brain-induced immunodepression after acute ischemic stroke.
PMID- 18048843
TI - Comments regarding the recent OAST article.
PMID- 18048844
TI - Cholesterol measured before stroke thrombolysis is not associated with tissue
plasminogen activator-related hemorrhagic transformation.
PMID- 18048845
TI - Methodological quality and publication bias in observational studies on risk of
rupture of unruptured intracranial aneurysms.
PMID- 18048846
TI - The First Consensus Document of ICCS-SPREAD Joint Committee on Carotid Artery
Stenting was not premature but prophetic.
PMID- 18048847
TI - Risk of high dose hydrocortisone in patients with aneurysmal subarachnoid
hemorrhage.
PMID- 18048848
TI - Carotid angioplasty and stenting.
PMID- 18048849
TI - Barriers to anticoagulation in patients with atrial fibrillation: changing
physician-related factors.
PMID- 18048850
TI - Carotid intervention in asymptomatic patients.
PMID- 18048851
TI - Sex differences in acute stroke care in a statewide stroke registry.
AB - BACKGROUND AND PURPOSE: Many studies have reported poorer stroke outcomes in
women, and some studies have reported sex differences in care. We analyzed data
from a hospital-based stroke registry to determine whether acute stroke care and
discharge status differed by sex. METHODS: Detailed chart-level information was
collected on 2566 subjects admitted for acute stroke or transient ischemic attack
to 15 Michigan hospitals in 2002. Sex differences in stroke care and patient
status at discharge (in-hospital mortality and modified Rankin Scale score) were
assessed after adjusting for differences in demographics, clinical
characteristics, and comorbidities by multivariable models. Modified Rankin Scale
score data were analyzed by proportional-odds models. RESULTS: Women were older
than men (70 vs 67 years) and were more likely to have congestive heart failure
and hypertension. Men were more likely to smoke and have a history of heart
disease and dyslipidemia. After multivariable adjustment, women were less likely
to receive thrombolytic therapy (odds ratio [OR]=0.56; 95% CI, 0.37 to 0.86) or
lipid testing (OR=0.76; 95% CI, 0.61 to 0.94) and were more likely to suffer
urinary tract infections (OR=2.57; 95% CI, 1.87 to 3.54). In-hospital mortality
was similar in women and men (9% vs 8%); however, women had poorer discharge
modified Rankin Scale scores (OR=1.17; 95% CI, 1.01 to 1.35). CONCLUSIONS:
Although considerable parity exists in many aspects of acute stroke care, women
were less likely than men to receive thrombolytic treatment and lipid testing,
even after adjustment. However, given the largely similar care observed, it is
unlikely that differences in care explain the poorer functional outcomes in
female stroke survivors.
PMID- 18048853
TI - Handicap and its determinants of change in stroke survivors: one-year follow-up
study.
AB - BACKGROUND AND PURPOSE: Stroke, a major health issue affecting the elderly,
limits their participation in society. The aim of this study was to investigate
changes in stroke survivors' handicap levels and to identify their determinants
in the subacute phase from 3 months to 1 year. METHODS: Data were collected from
a prospective cohort of 303 Chinese stroke survivors with the use of
questionnaires, including the Lawton Instrumental Activities of Daily Living
Chinese Version (IADL-CV), Barthel Index, Chinese Mini-Mental State Examination,
Chinese Geriatric Depression Scale, and the Chinese version of the London
Handicap Scale. RESULTS: A total of 297 and 268 patients were successfully
followed up at 6 and 12 months, respectively. Whereas IADL remained unchanged
throughout, we found an improvement in Barthel Index but a deterioration in the
Chinese Geriatric Depression Scale score at 12 months. Multilevel modeling
revealed improvements in the mobility and social integration handicap domains and
a deterioration in the orientation domain at 12 months. Overall handicap remained
unchanged. At 12 months, depression was most significantly and independently
associated with poststroke handicap, and advanced old age alone (>80 years) was
associated with clinically significant deterioration in handicap. CONCLUSIONS:
Even though IADL remained static at 1 year, mobility and social integration
handicap dimensions can be improved in the early community phase after stroke.
Nonphysical factors such as depression were confirmed to be significantly
associated with handicap. Rehabilitation should target the high-risk group of
very elderly stroke survivors who were 4 times more likely to deteriorate in
handicap.
PMID- 18048854
TI - Selective expansion of influenza A virus-specific T cells in symptomatic human
carotid artery atherosclerotic plaques.
AB - BACKGROUND AND PURPOSE: Evidence is accumulating that infection with influenza A
virus contributes to atherothrombotic disease. Vaccination against influenza
decreases the risk of atherosclerotic syndromes, indicating that inflammatory
mechanisms may be involved. We tested the hypothesis that influenza A virus
specific T cells contribute to atherosclerotic plaque inflammation, which
mediates the onset of plaque rupture. METHODS: T-cell cultures were generated
from atherosclerotic segments and peripheral blood of 30 patients with
symptomatic carotid artery disease. The response of plaque and peripheral blood T
cells to influenza A virus was analyzed and expressed as a stimulation index
(SI). Selective outgrowth of intraplaque influenza A-specific T cells was
calculated by the ratio of plaque T cell SI and peripheral blood T cell SI for
each patient. Accordingly, the patients were categorized as high- (SI ratio
>or=5), intermediate- (5 or=6/10) were randomized into 2 groups. One
group received intramuscular injections of BoNT-A (BOTOX 100 U total) during one
session to the infraspinatus, pectoralis and subscapularis muscles in conjunction
with an intraarticular injection of normal saline to painful shoulder joint,
whereas the other group received an intraarticular injection of TA (40 mg) and an
intramuscular injection of normal saline to the same muscles. Outcome measures
were pain (measured using a numeric rating scale), physician's global rating
scale, shoulder range of motion (ROM) in 4 directions, arm function measured
using Fugl-Meyer score, and spasticity measured using the modified Ashworth
scale. Measurements were made at baseline and 2, 6, and 12 weeks after injection.
RESULTS: At 12 weeks after treatment mean decrease in pain was 4.2 in the BoNT-A
treated group versus 2.5 in the TA-treated group (P=0.051), and improvements in
overall ROM were 82.9 degrees versus 51.8 degrees in these groups (P=0.059),
showing a strong trend toward there being less pain and better ROM among those
treated with BoNT-A than with TA. However, no significant differences were
observed between the 2 groups in terms of improvement in physician global rating,
Fugl-Meyer score or modified Ashworth scales. No adverse effect was observed in
either group. CONCLUSIONS: Results from this study suggest that injection of BoNT
A into selected muscles of the shoulder girdle might provide more pain relief and
ROM improvement than intraarticular steroid in patients with hemiplegic shoulder
pain. A larger clinical trial needs to be undertaken to confirm the benefits of
this approach.
PMID- 18048858
TI - Treatment pathways, resource use, and costs of endovascular coiling versus
surgical clipping after aSAH.
AB - BACKGROUND AND PURPOSE: The International Subarachnoid Aneurysm Trial (ISAT)
reported that endovascular coiling yields better clinical outcomes than surgical
clipping at 1 year. The high cost of the consumables associated with the
endovascular coiling procedure (particularly the coils) led health care
purchasers to conclude that coiling was a more costly procedure overall. To
examine this assumption and provide evidence for future policy, accurate and
comprehensive data are required on the overall resource usage and cost of each
strategy. METHODS: We provide detailed results of patient treatment pathways,
resource utilization, and costs up to 24 months postrandomization for
endovascular and neurosurgical treatment of aSAH. We report data on costs related
to initial and subsequent procedures (ward days, ITU, equipment, staff,
consumables, etc), adverse events, complications, and follow up. The data are
based on a subsample of all patients randomized in ISAT, containing all patients
across 22 UK centers (n=1644). RESULTS: There was a nonsignificant difference -
pound 1740 (- pound 3582 to pound 32) in the total 12-month cost of treatment in
favor of endovascular treatment. Endovascular patients had higher costs than
neurosurgical patients for the initial procedure, for the number and length of
stay of subsequent procedures, and for follow-up angiograms. These were more than
offset by lower costs related to length of stay for the initial procedure. In the
following 12- to 24-month period, costs for subsequent procedures, angiograms,
complications, and adverse events were greater for the endovascular patients,
reducing the difference in total per patient cost to - pound 1228 (- pound 3199
to pound 786) over the first 24 months of follow-up. CONCLUSIONS: No significant
difference in costs between the endovascular and neurosurgery groups existed at
12- or 24-month follow up.
PMID- 18048859
TI - Lessons about brain vascular disease from another pulsating organ, the kidney.
PMID- 18048860
TI - Predictors of rehemorrhage after treatment of ruptured intracranial aneurysms:
the Cerebral Aneurysm Rerupture After Treatment (CARAT) study.
AB - BACKGROUND AND PURPOSE: The primary purpose of intracranial aneurysm treatment is
to prevent rupture. Risk factors for rupture after aneurysm treatment have not
been clearly established, and the need to completely occlude aneurysms is
debated. METHODS: The Cerebral Aneurysm Rerupture After Treatment (CARAT) study
is an ambidirectional cohort study of all patients with ruptured intracranial
aneurysms treated with coil embolization or surgical clipping at 9 high-volume
centers in the United States from 1996 to 1998. All subjects were followed
through 2005, and all potential reruptures were adjudicated by a panel of 3
specialists without knowledge of the initial treatment or aneurysm
characteristics. Degree of aneurysm occlusion post-treatment was evaluated as a
predictor of nonprocedural rerupture in univariate Kaplan-Meier analysis (log
rank test) and in a Cox proportional-hazards model after adjustment for potential
confounders and censoring at time of retreatment. RESULTS: Among 1001 patients
during a mean of 4.0 years follow-up, there were 19 postprocedural reruptures;
median time to rerupture was 3 days and 58% led to death. The degree of aneurysm
occlusion after treatment was strongly associated with risk of rerupture (overall
risk: 1.1% for complete occlusion, 2.9% for 91% to 99% occlusion, 5.9% for 70% to
90%, 17.6% for <70%; P<0.0001 in univariate and multivariable analysis). Overall
risk of rerupture tended to be greater after coil embolization compared with
surgical clipping (3.4% versus 1.3%; P=0.092), but the difference did not persist
after adjustment (P=0.83). CONCLUSIONS: Degree of aneurysm occlusion after the
initial treatment is a strong predictor of the risk of subsequent rupture in
patients presenting with subarachnoid hemorrhage, which justifies attempts to
completely occlude aneurysms.
PMID- 18048861
TI - Barriers to the use of anticoagulation for nonvalvular atrial fibrillation: a
representative survey of Australian family physicians.
AB - BACKGROUND AND PURPOSE: Anticoagulation reduces the risk of stroke in nonvalvular
atrial fibrillation yet remains underused. We explored barriers to the use of
anticoagulants among Australian family physicians. METHODS: The authors conducted
a representative, national survey. RESULTS: Of the 596 (64.4%) eligible family
physicians who participated, 15.8% reported having a patient with nonvalvular
atrial fibrillation experience an intracranial hemorrhage with anticoagulation
and 45.8% had a patient with known nonvalvular atrial fibrillation experience a
stroke without anticoagulation. When presented with a patient at "very high risk"
of stroke, only 45.6% of family physicians selected warfarin in the presence of a
minor falls risk and 17.1% would anticoagulate if the patient had a treated
peptic ulcer. Family physicians with less decisional conflict and longer-standing
practices were more likely to endorse anticoagulation. CONCLUSIONS: Strategies to
optimize the management of nonvalvular atrial fibrillation should address
psychological barriers to using anticoagulation.
PMID- 18048862
TI - Evolution of neurological, neuropsychological and sleep-wake disturbances after
paramedian thalamic stroke.
AB - BACKGROUND AND PURPOSE: The clinical features and natural course of paramedian
thalamic stroke is poorly known. The aim of this study was to characterize the
evolution of neurological, neuropsychological, and sleep-wake deficits after
paramedian thalamic stroke. METHODS: Forty-six consecutive patients, aged 48.4+/
16.6 years, were studied. Fourteen had bilateral, 16 left-sided, and 16 right
sided lesions. Assessment included neurological examinations, estimation of sleep
needs, formal neuropsychological tests (n=27), and polysomnographies (n=31).
Functional outcome was followed up over 1 year in 31 patients with the modified
Rankin Scale and Barthel index. RESULTS: Oculomotor palsy (76% of patients), mild
gait ataxia (67%), deficits of attention (63%), fluency and error control (59%),
learning and memory (67%), and behavior (67%) were common in the acute stroke
phase. Outcome was excellent with right-sided infarcts but mostly incomplete with
bilateral and left-sided lesions. This was mainly related to persistent frontal
lobe-related and cognitive deficits found in 100% bilateral and 90% left-sided,
but only 33% right-sided strokes. Initially, hypersomnia was present in all
patients associated with increased stage 1 sleep, reduced stage 2 sleep, and
reduced sleep spindles. Sleep needs improved in patients with bilateral and
almost disappeared with unilateral lesions after 1 year. Sleep architecture
remained abnormal with the exception of sleep spindles that increased.
CONCLUSIONS: Whereas neurological deficits and hypersomnia recover to large
extent in patients with paramedian thalamic stroke, the frontal lobe-related and
cognitive deficits, which are mainly linked with bilateral and left-sided
lesions, often persist. As such, stroke outcome is better in right-sided than
bilateral or left-sided infarcts.
PMID- 18048863
TI - Change in hemostatic markers after recombinant tissue-type plasminogen activator
is not associated with the chance of recanalization.
AB - BACKGROUND AND PURPOSE: We evaluated the association between recombinant tissue
type plasminogen activator recanalization and change in hemostatic markers.
METHODS: We studied 40 patients. Recanalization was measured with transcranial
Doppler. We evaluated the change in markers of coagulation (fibrinogen) and
fibrinolysis (thrombin activatable fibrinolysis inhibitor and alpha(2)
antiplasmin) in patients with ischemic stroke treated with recombinant tissue
type plasminogen activator. Samples were obtained before and 90 minutes after
recombinant tissue-type plasminogen activator infusion. RESULTS: The analyses (2
way analysis of variance) showed that the change in the value of each marker did
not depend on the vascular patency status. CONCLUSIONS: From a practical point of
view, the measurement of these hemostatic markers is probably not useful for
predicting recanalization.
PMID- 18048864
TI - Analysis of lymphocyte subsets in patients with stroke and their influence on
infection after stroke.
AB - BACKGROUND AND PURPOSE: Recent studies have attributed the increased infection
vulnerability of patients with stroke to stroke-induced immunosuppression. We
have therefore explored the immunological changes in patients with ischemic
stroke. METHODS: Blood from 46 patients with stroke was analyzed by fluorescent
activated cell sorter to determine leukocyte subsets. To identify changes that
represent clinically relevant immunosuppression, we compared patients who
developed infection within 14 days after stroke with those who did not. RESULTS:
Stroke induced a dramatic and immediate loss of T-lymphocytes, most pronounced
within 12 hours after stroke onset. Only patients with subsequent infection
exhibited a delay in the recovery of CD4+ T-lymphocyte counts. CONCLUSIONS: Our
data suggest that a loss of CD4+ T cell function contributes to the stroke
induced immunosuppression. The CD4+ T cell count on the day after stroke may
emerge as a predictive marker for poststroke infection allowing, early
identification of patients at risk.
PMID- 18048865
TI - Kidney function is related to cerebral small vessel disease.
AB - BACKGROUND AND PURPOSE: Poor kidney function, as measured by glomerular
filtration rate (GFR), is closely associated with presence of glomerular small
vessel disease. Given the hemodynamic similarities between the vascular beds of
the kidney and the brain, we hypothesized an association between kidney function
and markers of cerebral small vessel disease on MRI. We investigated this
association in a population-based study of elderly persons. METHODS: We measured
GFR using the Cockcroft-Gault equation in 484 participants (60 to 90 years of
age) from the Rotterdam Scan Study. Using automated MRI-analysis we measured
global as well as lobar and deep volumes of gray matter and white matter, and
volume of WML. Lacunar infarcts were rated visually. Volumes of deep white matter
and WML and presence of lacunar infarcts reflected cerebral small vessel disease.
We used linear and logistic regression models to investigate the association
between GFR and brain imaging parameters. Analyses were adjusted for age, sex,
and additionally for cardiovascular risk factors. RESULTS: Persons with lower GFR
had less deep white matter volume (difference in standardized volume per SD
decrease in GFR: -0.15 [95% CI -0.26 to -0.04]), more WML (difference per SD
decrease in GFR: 0.14 [95% CI 0.03 to 0.25]), and more often lacunar infarcts,
although the latter was not significant. GFR was not associated with gray matter
volume or lobar white matter volume. Additional adjustment for cardiovascular
risk factors yielded similar results. CONCLUSIONS: Impaired kidney function is
associated with markers of cerebral small vessel disease as assessed on MRI.
PMID- 18048866
TI - The 2007 Feinberg lecture: a new road map for neuroprotection.
AB - BACKGROUND AND PURPOSE: There have now been numerous phase III trials of
neuroprotection that have failed to live up to the expectations created by
preclinical testing in animal models, the most recent of which was the second
pivotal trial of the spin trap agent NXY-059. We have reached a stage at which
research in this area should stop altogether or radical new approaches adopted.
The purpose of this article is to review how we reached this stage and make
recommendations for a new approach to neuroprotection research. METHODS: The
background to neuroprotection research is reviewed and its problems are
highlighted based on the research of others and of our own research group. From
this, a series of questions are posed that require answers if the field is to
progress. A road map for future research is then proposed. RESULTS: The road map
involves the following steps for putative neuroprotectants: (1) better proof of
efficacy in animal models; (2) in vivo evidence of efficacy in human tissue using
cell cultures or brain slices; (3) in vivo studies of their distribution in the
normal and ischemic human brain, particularly focusing on the ischemic penumbra;
(4) demonstration of efficacy in novel human models of cerebral ischemia; and (5)
phase II and III clinical trails with penumbral selection using imaging
techniques. CONCLUSIONS: The accumulated evidence suggests that neuroprotection
failure in clinical trial is due to identifiable preclinical and clinical
factors. Neuroprotection research should be pursued but with a very different and
more rigorous approach.
PMID- 18048867
TI - Wound healing and global action on poverty and development.
PMID- 18048868
TI - The significance of measurements in wound healing: where do we go from here?
PMID- 18048869
TI - Digital imaging of wounds: are measurements reproducible among observers?
AB - Advances in digital imaging and archiving have made the measurement and
documentation of wound areas possible over time. To assess the reproducibility
and precision of digital image measurements, we used WoundMatrix Web
(http://www.woundmatrix.com/) and recruited a group of caregivers from the Johns
Hopkins Wound Center to measure the size of wounds on digital images by measuring
length and width and tracing the circumference of the same wounds. One set of
images was provided by WoundMatrix (WoundMatrix Inc, Chadds Ford, PA) and a
second set used our own photographs taken at the Johns Hopkins Wound Center. Our
results demonstrate that digital analysis with WoundMatrix Web is reproducible
and precise with acceptable variation among readers. This supports the use of
digital images of wounds to follow clinical progress as well as analyze the
effects of new clinical interventions in clinical trials.
PMID- 18048870
TI - A critical analysis of measurements used to assess and manage scars.
AB - Scars evolve through a maturation stage during which it is necessary to adapt
different treatments. To adapt treatments, it is necessary to assess various
parameters linked to inflammation. To this end, clinical scar assessments are
subjective though reliable, and validation is operator dependent. The Vancouver
Scar Scale, Visual Analogic Scale, Patient and Observer Scar Assessment Scale,
and the Manchester Scale assess different scar characteristics. These scales are
interesting, depending on the type of scars, and are easy to use but subject to
errors. To use clinical a scale, the raters must be trained. Parameters can also
be precisely assessed by technical means, whereby they rate only one parameter,
but are more accurate. Some scales are easy to use, have low cost, and can be
used for clinical assessment. Others scales are more complex and expensive, and
can be used in research or treatment evaluation.
PMID- 18048871
TI - The role of hemodynamic measurements in the management of venous and ischemic
ulcers.
AB - There is a need for quantitative investigations in the vascular laboratory to
manage lower extremity ulcers. The majority of leg ulcers are of venous (45%-60%)
or arterial origin (10%-20%). Despite the increasing complexity of new devices
used in vascular surgical practice, the anklebrachial pressure index (ABPI)
remains the cornerstone for the differential diagnosis of ischemic ulcers. The
toe-brachial pressure index and the pole test represent attractive alternative
tests especially in patients with diabetes. Color flow Doppler imaging (CFDI) is
advantageous over ABPI in cases in which wounds and ulcers prevent the use of a
cuff by virtue of their size or location; additionally CFDI technology can detect
nonflow limiting lesions, lesions to nonaxial arteries such as the deep femoral
artery, or lesions limited to a single tibial artery. Continued improvements in
the accuracy of CFDI have prompted some vascular surgeons to replace contrast
arteriography in distal bypass procedures. Transcutaneous partial oxygen tension
measurement (TcPO(2)) is another noninvasive method that is reliable to select
the level of amputation and recommended to determine tissue viability in
critically ischemic limbs and in the management of the diabetic foot. CFDI has
revolutionized the diagnostic approach to venous disorders and it is considered
the gold standard for the assessment of the venous system of the lower limb,
causes minimal inconvenience to patients, and is easily repeatable, but it is
considered highly operator dependent. Various plethysmography techniques are of
limited application in ulcer investigations, because of their difficulty to
calibrate signal, unless time measurements such as the postexercise refilling
time are used.
PMID- 18048872
TI - Wound fluids: a window into the wound environment?
AB - Wound healing of the skin is a complex biologic process involving temporal
interactions between numerous types of cells, extracellular matrix molecules, and
soluble factors. The process of repair can be viewed as involving 3 or 4 phases:
homeostasis, inflammation, synthesis, and remodeling. These phases occur at
different times and differ in their cellular, biochemical, and physiologic
requirements. Disruption of one or more of these interactions can significantly
interfere with the repair process. Such comorbidities as age, nutrition, immune
status, and underlying disease status (eg, diabetes or venous stasis) contribute
additional intricacy to the repair process. Because of this complexity, care of
chronic wounds remains highly individualized, and it should not come as a
surprise that treatment of these wounds as a group with single target therapies
have met with only modest success. A major hurdle in the progression toward
improved treatment regimens has been the lack of objective biochemical and
physiological landmarks that can be used to assess wound status. Collection and
biochemical characterization of wound fluids presents the opportunity to
noninvasively obtain information reflecting the status of the wound and of
specific biomarkers. This review discusses the collection of wound fluid and
highlights biomarkers that may be useful to this end.
PMID- 18048873
TI - A review of the clinical significance of tissue hypoxia measurements in lower
extremity wound management.
AB - The aim of this paper is to review techniques that are currently available to
measure tissue hypoxia in order to benefit the management of wounds. Direct
measurement of tissue partial oxygen pressure (PO(2)) is invasive and therefore
unappealing in clinical practice. Several techniques (PET scans, MRI derived
techniques) are primarily applicable to research rather than clinical
applications. Imaging techniques (duplex ultrasonography, arteriography, MRI
techniques) are recommended only as part of the workup for revascularisation.
Techniques that assess local perfusion include clearance methods, transcutaneous
O(2) and CO( 2) pressure measurement, and laser Doppler flowmetry and imaging.
These techniques permit interpretation of altered perfusion states. Each
technique offers subtly different information concerning microvascular function.
All these techniques require strict protocols to derive reliable data. The
potential of the promising near infrared reflectance spectroscopy (NIRS)
technique is yet to be determined.
PMID- 18048874
TI - Outcome measurements in wound healing are not inclusive: a way forward.
AB - Standardized outcome measurement in wound healing has been an elusive goal.
Whilst research into wound healing science and technology continues to progress
rapidly, the lack of a uniform outcome assessment is making comparative analysis
of results difficult. This paper seeks to outline the reported clinical,
physiological, and histological outcomes that have been utilized in the
literature. A minimal data set base for wound outcome evaluation is also
established to be validated by future multivariate analysis of patient data.
PMID- 18048875
TI - Disabling pansclerotic morphea of childhood poses a high risk of chronic
ulceration of the skin and squamous cell carcinoma.
AB - Disabling pansclerotic morphea of childhood (DPMC) is a rare and severe variant
of scleroderma. This report presents 3 cases that presented to the authors and
studies 25 patients from the literature (English language only) for the presence
of chronic nonhealing ulcers of skin and skin cancer. The authors identified a
total of 30 patients (9 male and 21 female) aged between 1 and 37 years at time
of presentation. All cases were less than 14 years old when the disease started.
The majority of patients had an aggressive course with deep sclerotic lesions
leading to joint contractures and immobility. Five patients suffered from chronic
nonhealing leg ulcers (17%), but ulcers were present on other parts of the body
(upper limbs, trunk, head) as well (n = 6). Four patients died because of
complications of the disease such as sepsis or gangrene. Two patients developed a
squamous cell carcinoma at the age of 16 years and 19 years, respectively (6.7%).
The available treatment of DMPC-associated ulcers is unsatisfying. Only temporary
improvements have been seen in a minority of patients. We report on marked
improvement of chronic leg ulcers by a combination of sildenafil 3 x 20 mg/day
and repeated application of a porcine small intestinal submucosal acellular
matrix.
PMID- 18048876
TI - Treatment of acute seizures and status epilepticus.
AB - Overt status epilepticus and persistent obtundation after a witnessed clinical
seizure are neurologic emergencies. Early recognition and intervention in the
electroclinical syndrome of status epilepticus reduces morbidity, although
treatment of the underlying etiology is also critical. This review outlines key
concepts related to status epilepticus, delineates an approach to the early
management of status epilepticus, and highlights novel but practical approaches
in the evaluation and treatment of refractory status epilepticus, emphasizing the
use of a treatment algorithm. This review is written from the perspective of the
intensive care unit clinician, and the approach and opinions expressed stem from
clinical experience and review of the current literature. Particular attention is
given to an overall approach to the management of convulsive status epilepticus
in adults and older children as well as exploring novel approaches and diagnostic
tools that may prove useful in difficult-to-control status epilepticus.
PMID- 18048877
TI - Adrenal insufficiency in critical illness.
AB - One of the more controversial areas in critical care in recent decades relates to
the issue of adrenal insufficiency and its treatment in critically ill patients.
There is no consensus on which patients to test for adrenal insufficiency, which
tests to use and how to interpret them, whether to use corticosteroids, and, if
so, who to treat and with what dose. This review illustrates the complexity and
diversity of pathophysiological changes in glucocorticoid secretion, metabolism,
and action and how these are affected by various types of illness. It will review
adrenal function testing and give guidance on corticosteroid replacement regimens
based on current published literature. There remain inherent difficulties in
interpreting the effects of glucocorticoid replacement during critical illness
because of the diversity of effects of glucocorticoids on various tissues.
Investigation and treatment will depend on whether the likely cause of
corticosteroid insufficiency is adrenal or central in origin.
PMID- 18048878
TI - Implementation of a handheld electronic point-of-care billing system improved
efficiency in the critical care unit.
AB - Coding and billing are time consuming and important considerations for critical
care practitioners. A 1-year prospective, observational study incorporated the
use of a personal digital assistant and MDeverywhere software (Hauppauge, New
York) for patient coding and billing. Twelve months of data were examined before
electronic implementation (pre-elec) and compared with a 12-month period after
implementation (post-elec) by using an unpaired t test or z test with P < .05
considered significant. The total number of charges was 2479 pre-elec and 2243
post-elec. The days from date of service to billing for services significantly
decreased from 37.8 pre-elec to 12.4 post-elec (P < .001); days in accounts
receivable significantly decreased from 92.0 to 73.0 (P < .001). The net
collection rate increased from 44.7% pre-elec to 49.3% post-elec (P < .001).
Duplicate charges significantly decreased from 5.0% pre-elec to 1.4% post-elec (
P < .001). The return on investment was 1.97-fold (197%). The initiation of
personal digital assistant technology to facilitate billing and coding resulted
in significant improvements.
PMID- 18048879
TI - B-type natriuretic peptide and sepsis: it is not just the heart.
PMID- 18048880
TI - On models for integrative medical practice.
PMID- 18048881
TI - Lesson from comparison of CAM use by women with female-specific cancers to
others: it's time to focus on interaction risks with CAM therapies.
AB - There has been no examination as to whether the prevalence of complementary and
alternative medicine (CAM) use, as well as personal factors associated with CAM
use and predictive of CAM use for women with female-specific cancers, is similar
to those in other diagnostic groups. The purpose of this review is to compare CAM
use and personal factors associated with and predictive of CAM use by women with
female-specific cancers to samples of other diagnostic groups. If it is the case
that CAM use is similar across various types of samples, then it may be
unnecessary to continue to study detailed CAM use by those in separate diagnostic
groups and instead focus energies on the examination of CAM therapies that may
have risks for interaction with conventional therapies, such as biologically
based therapies. The researcher concludes that we are now in an era in which we
need to use our restricted time, human resources, and finances to examine
biologically based CAM use that may carry high risks for interactions or
toxicities for specific groups under examination, rather than examine global CAM
use, unless the situation warrants such all-inclusive study.
PMID- 18048882
TI - Assessing the role of evidence in patients' evaluation of complementary
therapies: a quality study.
AB - BACKGROUND: Making the decision to use complementary and alternative medicine
(CAM) for cancer treatment is difficult in light of the limited available
evidence for these treatments. It is unclear how patients use evidence to make
these decisions. OBJECTIVES: (1) Describe the type of information about CAM that
cancer patients use in their decision making; (2) understand why certain types of
information about CAM are accepted as evidence by cancer patients; and (3)
explore the role of scientific evidence in treatment decision making. METHODS: A
qualitative study design using in-depth semistructured interviews with cancer
patients attending 4 conventional and integrative health care institutions in
Alberta and British Columbia, Canada, was used. RESULTS: Twenty-seven patients
were interviewed. Patients sought CAM information from a range of sources,
including the Internet, health care providers, friends, relatives, and
newspapers. Many expressed frustration about the overwhelming amount of available
information and found it difficult to identify reliable information. Information
was described as reliable if it supported them in arriving at a decision about
CAM. Types of information participants identified included anecdotes, expert
opinion, gut feeling, popular literature, scientific evidence, testimonials,
advertising and trial and error. Profound differences were found between new CAM
users, experienced CAM users, and users with late-stage cancer in type of
information sought, the role of scientific evidence in decision making, and
overall information needs. CONCLUSION: Although this was a relatively small
qualitative study, the results suggest that (1) many patients do not value
scientific evidence as highly as conventional providers and (2) it is important
for clinicians and other information providers to be aware of the different types
of information that patients seek out and access when making choices and
decisions regarding CAM treatments and why they seek out these sources.
PMID- 18048883
TI - Autophagic cell death of human pancreatic tumor cells mediated by oleandrin, a
lipid-soluble cardiac glycoside.
AB - Lipid-soluble cardiac glycosides such as bufalin, oleandrin, and digitoxin have
been suggested as potent agents that might be useful as anticancer agents. Past
research with oleandrin, a principle cardiac glycoside in Nerium oleander L.
(Apocynaceae), has been shown to induce cell death through induction of
apoptosis. In PANC-1 cells, a human pancreatic cancer cell line, cell death
occurs not through apoptosis but rather through autophagy. Oleandrin at low
nanomolar concentrations potently inhibited cell proliferation associated with
induction of a profound G(2)/M cell cycle arrest. Inhibition of cell cycle was
not accompanied by any significant sub G1 accumulation of cells, suggesting a
nonapoptotic mechanism. Oleandrin-treated cells exhibited time- and concentration
dependent staining with acridine orange, a lysosomal stain. Subcellular changes
within PANC-1 cells included mitochondrial condensation and translocation to a
perinuclear position accompanied by vacuoles. Use of a fluorescent oleandrin
analog (BODIPY-oleandrin) revealed co-localization of the drug within cell
mitochondria. Damaged mitochondria were found within autophagosome structures.
Formation of autophagosomes was confirmed through electron microscopy and
detection of green fluorescent protein-labeled light chain 3 association with
autophagosome membranes. Also observed was a drug-mediated inhibition of pAkt
formation and up-regulation of pERK. Transfection of Akt into PANC-1 cells or
inhibition of pERK activation by MAPK inhibitor abrogated oleandrin-mediated
inhibition of cell growth, suggesting that the reduction of pAkt and increased
pERK are important to oleandrin's ability to inhibit tumor cell proliferation.
The data provide insight into the mechanisms and role of a potent, lipid-soluble
cardiac glycoside (oleandrin) in control of human pancreatic cancer
proliferation.
PMID- 18048884
TI - Lipid peroxidation, total antioxidant status, and total thiol levels predict
overall survival in patients with oral squamous cell carcinoma.
AB - Tobacco is the major etiological factor for oral cancer development through the
generation of oxidative stress. Therefore, markers of oxidative stress such as
total antioxidant status, lipid peroxidation, and total thiol levels might be
useful to monitor oxidative stress and predict overall survival in oral cancer
patients. The study included 140 oral cancer patients and 50 healthy controls,
who were classified as with the habit of tobacco and no habit of tobacco.
Adjacent normal and malignant tissue samples were collected from oral cancer
patients. Plasma and tissue levels of lipid peroxidation, thiol, and total
antioxidant status were assayed by spectrophotometric methods. Thiol levels were
significantly lower in controls with the habit of tobacco (P= .033), oral cancer
patients (P= .0001), and malignant tissues (P= .015) as compared to controls with
no habit of tobacco, controls with the habit of tobacco, and adjacent normal
tissues, respectively. Tobacco exposure was higher in oral cancer patients than
controls with the habit of tobacco. Controls with the habit of tobacco who had
lower thiol (odds ratio [OR]=10.58, P= .008) and high tobacco exposure (OR=0.251,
P= .05) showed an elevated risk of oral cancer development. Patients showing a
lipid peroxidation level above the cutoff level as compared to patients below the
cutoff level showed poor overall survival, whereas those with thiol and total
antioxidant status levels below the cutoff level as compared to their respective
counterparts showed poor overall survival. In conclusion, lipid peroxidation and
thiol could be useful for predicting the risk of oral carcinogenesis in healthy
tobacco consumers and predicting overall survival of oral cancer patients.
PMID- 18048885
TI - Apoptotic effect of Biophytum sensitivum on B16F-10 cells and its regulatory
effects on nitric oxide and cytokine production on tumor-associated macrophages.
AB - The present study is part of a large-scale investigation of the antitumor effects
of Biophytum sensitivum on B16F-10 melanoma cells. The investigation involved the
regulatory effect of B sensitivum on nitric oxide and cytokine production in B16F
10 cells, tumor-associated macrophages, and peritoneal macrophages as well as on
the apoptotic process in B16F-10 melanoma cells. B sensitivum at a concentration
of 10 microg/mL could significantly (P< .001) inhibit production of nitric oxide
and proinflammatory cytokines such as interleukin-1beta, interleukin-6,
granulocyte monocyte-colony stimulating factor, and tumor necrosis factor-alpha
in B16F-10 cells, tumor-associated macrophages, and peritoneal macrophages.
Incubation of B16F-10 cells with B sensitivum showed the presence of apoptotic
bodies and induced DNA fragmentation. Furthermore, B sensitivum showed an
inhibitory effect on inducible nitric oxide synthase as well as bcl-2 expression,
and up-regulated p53 and caspase-3 messenger RNA expression in B16F-10 melanoma
cells. The observed results suggest that regulation of proinflammatory cytokine
production by tumor cells, tumor-associated macrophages, and resident macrophages
accompanied by altered inducible nitric oxide synthase, bcl-2, caspase-3, and p53
messenger RNA expression by B sensitivum methanol extract induces apoptosis in
B16F-10 melanoma cells.
PMID- 18048886
TI - Studies on the protective effects of Boerhaavia diffusa L. against gamma
radiation induced damage in mice.
AB - The radioprotective effect of the hydro-alcoholic extract of Boerhaavia diffusa
was studied using the in vivo mice model. The sublethally irradiated mice (600
rads, single dose) were treated intraperitoneally with 20 mg/kg of the extract.
The animals were sacrificed at different time periods after the whole-body
radiation. The most affected tissues--bone marrow and intestine--were
considerably protected by the intraperitoneal administration of B. diffusa as
estimated by bone marrow cellularity, maturing monocytes, and intestinal
glutathione. Total white blood cell count was lowered drastically after radiation
exposure (ninth day, 1500+/-500 cells/ mm(3)). When the animals were exposed to
radiation and treated with B. diffusa, the total white blood cell count was
lowered only to 4000+/-400 cells/mm(3) on the third day, and it reached an almost
normal level (6250+/-470 cells/mm(3)) by the ninth day. The elevated level of
serum and liver alkaline phosphatase after radiation exposure was reduced in the
B. diffusa-treated group. The serum and liver glutamate pyruvate transferase,
which were elevated after radiation exposure, were also reduced by treatment with
B. diffusa compared to the control. The lipid peroxidation level also increased
in the irradiated animals both in the liver and serum, but in B. diffusa-treated
animals, there was a significant reduction in lipid peroxidation levels. The
agarose gel electrophoresis of DNA isolated from bone marrow of mice exposed to
gamma radiation showed heavy damage that was reduced by treatment with B.
diffusa. These results are indicative of the radioprotective effect of the whole
plant extract of B. diffusa.
PMID- 18048887
TI - Inhibition of endothelial cell differentiation and proinflammatory cytokine
production during angiogenesis by allyl isothiocyanate and phenyl isothiocyanate.
AB - Angiogenesis is a crucial step in the growth and metastasis of cancers. The
activation of endothelial cells and their further behavior are very critical
during angiogenesis. The authors analyze the effect of allyl isothiocyanate
(AITC) and phenyl isothiocyanate (PITC) on angiogenesis in an in vitro model
using human umbilical vein endothelial cells (HUVECs). AITC and PITC
significantly inhibited endothelial cell migration, invasion, and tube formation.
(3)H-thymidine proliferation assay showed that AITC and PITC significantly
inhibited the proliferation of HUVECs in vitro. The authors also studied the
effect of AITC and PITC on the serum cytokine profiles of angiogenesis-induced
animals and found that these compounds are highly potent in the downregulation of
vascular endothelial growth factor (VEGF) and proinflammatory cytokines such as
interleukin (IL)-1beta , IL-6, granulocyte macrophage colony-stimulating factor
(GM-CSF), and tumor necrosis factor alpha (TNF-alpha). Treatment with these
compounds showed an elevation in the levels of IL-2 and tissue inhibitor of
metalloproteinases (TIMP)-1, which are antiangiogenic factors. Moreover, studies
using B16F-10 melanoma cells showed that both AITC and PITC significantly reduced
VEGF mRNA expression. These findings suggest that AITC and PITC act as
angiogenesis inhibitors through the downregulation of VEGF and proinflammatory
cytokines such as IL-1beta, IL-6, GM-CSF, and TNF-alpha and upregulation of IL-2
and TIMP.
PMID- 18048888
TI - Chinese herbs of Shenghe Powder reverse multidrug resistance of gastric carcinoma
SGC-7901.
AB - The objective of this study was to investigate the reversal effect of Chinese
herbs of Shenghe Powder on the multidrug resistance of the human SGC-7901 gastric
carcinoma cell line and vincristine-resistant cell line (SGC-7901/vincristine)
and the possible mechanism. SGC-7901 and SGC-7901/ vincristine were cultured in
liquid medium RMPI 1640, with the addition of vincristine to the vincristine
resistant line. The reversal effect of Shenghe Powder (using verapamil as
control) on the multidrug resistance of SGC-7901/vincristine cells was observed
using the 3-4,5-dimethylthiazol-2yl) -2,5-diphenylterazolium bromide method. The
expression rate of P-glycoprotein (P-gp), lymphoma/leukemia-2 (Bcl-2), and
apoptosis ratio of SGC-7901 and SGC-7901/vincristine with added Shenghe Powder,
verapamil, or verapamil plus Shenghe Powder was observed by flow cytometry.
Shenghe Powder and verapamil decreased the multidrug resistance of SGC
7901/vincristine. The effect of Shenghe Powder (10 mg/L) was significantly higher
than verapamil (P< .05). The intracellular concentration of vincristine was
increased by Shenghe Powder and verapamil. The vincristine concentration of SGC
7901/vincristine treated with Shenghe Powder was significantly higher (P< .05).
Shenghe Powder reduced the expression level of P-gp and Bcl-2 in SGC-7901/
vincristine and increased the apoptotic percentage of tumor cells; Shenghe Powder
had the more significant effect on apoptosis (P< .05). In conclusion, Shenghe
Powder increases the intracellular concentration of vincristine, consistent with
the down-regulation of the expression of P-gp and Bcl-2. The reversal effect of
Shenghe Powder was stronger than that of verapamil.
PMID- 18048889
TI - Chemopreventive potential of Aloe vera against 7,12-dimethylbenz(a)anthracene
induced skin papillomagenesis in mice.
AB - The present investigation was undertaken to explore the antitumor-promoting
activity of Aloe vera on 2-stage skin carcinogenesis, induced by a single topical
application of 7,12-dimethylbenz(a)anthracene and promoted by treatment of croton
oil for 16 weeks in Swiss albino mice. Oral administration of aloe leaf extract
at a dose of 1000 mg/kg body weight/d and aloe gel treatment at a dose of 1 mL/9
cm(2)/mice/d was found to be effective in decreasing the number and size of the
papillomas. A significant reduction in tumor incidence (40.00+/-5.10, 30.00+/
3.25, and 40.00+/-4.12 for aloe gel, aloe gel and aloe leaf extract combined, and
aloe leaf extract alone, respectively) was observed in animals in the aloe
extract- and aloe gel-treated groups compared with 100% tumor incidence in the
control group. The cumulative number of papillomas during an observation period
of 16 weeks was significantly reduced in the aloe-treated groups (8.0+/-0.34,
6.00+/-1.10, and 9.00+/-1.41 for aloe gel, aloe gel and leaf extract, and aloe
leaf extract, respectively) compared with a 36+/-0.98 cumulative number of
papillomas in the control group. The average latent period was significantly
increased from 4.9+/-0.10 weeks in the control group to 6.37+/-0.12, 6.8+/-0.25,
and 6.2+/-0.21 weeks in the aloe-treated groups, respectively. The tumor burden
and tumor yield were significantly decreased (2.0+/-0.25, 2.00+/-0.30, and 2.25+/
0.2 and 0.8+/-0.25, 0.6+/-0.32, and 0.9+/-0.28, respectively) as compared with
the 7,12-dimethylbenz(a)anthracene-treated control group (3.6+/-0.10 and 3.6+/
0.19). Furthermore, treatment with aloe gel and/or extract by topical and/or oral
administration resulted in a significant increase in the reduced glutathione (P<
.05), DNA (P< .001), catalase (P< .05), and protein (P< .001) in the skin of
mice. Conversely, lipid peroxidation levels were significantly decreased (P<
.001) in the skin of mice.
PMID- 18048890
TI - Takuo Aoyagi: discovery of pulse oximetry.
AB - In the 1930s and 1940s, photo cells permitted German, English, and American
physiologists to construct ear oximeters with red and infrared light, requiring
calibration. In 1940 Squire recognized that changes of red and infrared light
transmission caused by pneumatic tissue compression permitted saturation to be
computed. In 1949 Wood used this idea to compute absolute saturation continuously
from the ratios of optical density changes with pressure in an ear oximeter. In
1972 Takuo Aoyagi, an electrical engineer at Nihon Kohden company in Tokyo, was
interested in measuring cardiac output noninvasively by the dye dilution method
using a commercially available ear oximeter. He balanced the red and infrared
signals to cancel the pulse noise which prevented measuring the dye washout
accurately. He discovered that changes of oxygen saturation voided his pulse
cancellation. He then realized that these pulsatile changes could be used to
compute saturation from the ratio of ratios of pulse changes in the red and
infrared. His ideas, equations and instrument were adapted, improved and
successfully marketed by Minolta about 1978, stimulating other firms to further
improve and market pulse oximeters worldwide in the mid 1980s. Dr. Aoyagi and
associates provided a detailed history for this paper.
PMID- 18048891
TI - The light-tissue interaction of pulse oximetry.
AB - The underlying science of pulse oximetry is based on a simple manipulation of the
Lambert-Beer law, which describes the attenuation of light traveling through a
mixture of absorbers. Signals from detected red and infrared light that has
traveled through blood-perfused tissues are used to estimate the underlying
arterial hemoglobin oxygen saturation. However, light scatters in tissue and
influences some of the simplifications made in determining this relationship.
Under most clinical circumstances, the empirical process that manufacturers use
to calibrate the system during its design readily accommodates this and results
in accurate readings. The same tissue light scattering properties allow sensors
to be configured for use on opposing or adjacent surfaces, provided that the
placement sites offer sufficient signal strength and are absent factors known to
influence accuracy. In this paper I review the light-tissue interaction in pulse
oximetry and describe some of the assumptions made and their implications.
Certain deviations from the nominal conditions, whether clinical in nature or
misuse of the product, can affect system performance. Consequently, users should
be cautious in modifying sensors and/or using them on tissue sites not intended
by the manufacturer (off-label use). While perhaps helpful for obtaining
pulsatile signals or extending the lifetime of a sensor, some practices can
disrupt the optical integrity of the measurement and negatively impact the oxygen
saturation reading accuracy.
PMID- 18048893
TI - Dark skin decreases the accuracy of pulse oximeters at low oxygen saturation: the
effects of oximeter probe type and gender.
AB - INTRODUCTION: Pulse oximetry may overestimate arterial oxyhemoglobin saturation
(Sao2) at low Sao2 levels in individuals with darkly pigmented skin, but other
factors, such as gender and oximeter probe type, remain less studied. METHODS: We
studied the relationship between skin pigment and oximeter accuracy in 36
subjects (19 males, 17 females) of a range of skin tones. Clip-on type sensors
and adhesive/disposable finger probes for the Masimo Radical, Nellcor N-595, and
Nonin 9700 were studied. Semisupine subjects breathed air-nitrogen-CO2 mixtures
via a mouthpiece to rapidly achieve 2- to 3-min stable plateaus of Sao2.
Comparisons of Sao2 measured by pulse oximetry (Spo2) with Sao2 (by Radiometer
OSM-3) were used in a multivariate model to assess the source of errors. RESULTS:
The mean bias (Spo2 - Sao2) for the 70%-80% saturation range was 2.61% for the
Masimo Radical with clip-on sensor, -1.58% for the Radical with disposable
sensor, 2.59% for the Nellcor clip, 3.6% for the Nellcor disposable, -0.60% for
the Nonin clip, and 2.43% for the Nonin disposable. Dark skin increased bias at
low Sao2; greater bias was seen with adhesive/disposable sensors than with the
clip-on types. Up to 10% differences in saturation estimates were found among
different instruments in dark-skinned subjects at low Sao2. CONCLUSIONS:
Multivariate analysis indicated that Sao2 level, sensor type, skin color, and
gender were predictive of errors in Spo2 estimates at low Sao2 levels. The data
suggest that clinically important bias should be considered when monitoring
patients with saturations below 80%, especially those with darkly pigmented skin;
but further study is needed to confirm these observations in the relevant
populations.
PMID- 18048894
TI - Hemoximetry as the "gold standard"? Error assessment based on differences among
identical blood gas analyzer devices of five manufacturers.
AB - BACKGROUND: The calibration and testing procedures of a pulse oximeter with
arterial blood samples from healthy subjects are based on reference values from
the hemoximeter. There are no tests to identify the accuracy of the reference
devices. Because of this limitation and since the true values of oxygen
saturation (sO2 in %) in blood samples were not known, we used the differences
between two identical devices, A and B, for error assessment. METHODS: Two
identical devices, A and B, from five leading manufacturers were investigated.
Seventy-two arterial blood samples from 12 healthy volunteers at three different
levels of saturation between 100% and 70% sO2 were randomly evaluated by the test
systems. RESULTS: The observed differences (Delta) between Devices A and B, as a
measure for the error of the hemoximeters, increased significantly with all
manufacturers from level 97 (Deltamin, -0.9%; Deltamax, 2.6%) to 85 (Deltamin,
2.4%; Deltamax, 4.3), this effect was even stronger between levels 97 and 75
(Deltamin, -4.6%; Deltamax, 4.3%). A variance proportion analysis revealed the
concentration of the reduced hemoglobin as the main error source for sO2
measurements. Independent from the sO2 levels there were also significant
differences for the carboxy hemoglobin concentration in the range of 0%-4% and
for the methemoglobin concentration in the range of 0%-1%. CONCLUSIONS: The
variance of sO2 measurements between identical devices increased significantly
when saturation decreased from the normal level of 97% to the hypoxemic levels of
85% and 75%.
PMID- 18048895
TI - Photoplethysmography: beyond the calculation of arterial oxygen saturation and
heart rate.
AB - In this article, I examine the source of the photoplethysmograph (PPG), as well
as methods of investigation, with an emphasize on amplitude, rhythm, and pulse
analysis. The PPG waveform was first described in the 1930s. Although considered
an interesting ancillary monitor, the "pulse waveform" never underwent intensive
investigation. Its importance in clinical medicine was greatly increased with the
introduction of the pulse oximeter into routine clinical care in the 1980s. Its
waveform is now commonly displayed in the clinical setting. Active research
efforts are beginning to demonstrate a utility beyond oxygen saturation and heart
rate determination. Future trends are being heavily influenced by modern digital
signal processing, which is allowing a re-examination of this ubiquitous
waveform. Key to unlocking the potential of this waveform is an unfettered access
to the raw signal, combined with standardization of its presentation, and methods
of analysis. In the long run, we need to learn how to consistently quantify the
characteristics of the PPG in such a way as to allow the results from research
efforts be translated into clinically useful devices.
PMID- 18048896
TI - Automated regulation of inspired oxygen in preterm infants: oxygenation stability
and clinician workload.
AB - Premature infants are at an increased risk of ophthalmic, neurologic, and
respiratory sequelae related to inadequate maintenance of oxygenation and
exposure to increased levels of inspired oxygen. Management of inspired oxygen is
complicated in this population by an increased variability in oxygenation.
Automated regulation of the fraction of inspired oxygen is a technology that has
a potential of improving such outcomes as well as impacting personnel workload.
This is a review of current experimental evidence on the effectiveness of
automated regulation of inspired oxygen and its effects on oxygenation
variability and personnel workload during the care of premature infants.
PMID- 18048897
TI - Chip-scale sensor system integration for portable health monitoring.
AB - The revolution in integrated circuits over the past 50 yr has produced
inexpensive computing and communications systems that are powerful and portable.
The technologies for these integrated chip-scale sensing systems, which will be
miniature, lightweight, and portable, are emerging with the integration of
sensors with electronics, optical systems, micromachines, microfluidics, and the
integration of chemical and biological materials (soft/wet material integration
with traditional dry/hard semiconductor materials). Hence, we stand at a
threshold for health monitoring technology that promises to provide wearable
biochemical sensing systems that are comfortable, inauspicious, wireless, and
battery-operated, yet that continuously monitor health status, and can transmit
compressed data signals at regular intervals, or alarm conditions immediately. In
this paper, we explore recent results in chip-scale sensor integration technology
for health monitoring. The development of inexpensive chip-scale biochemical
optical sensors, such as microresonators, that are customizable for high
sensitivity coupled with rapid prototyping will be discussed. Ground-breaking
work in the integration of chip-scale optical systems to support these optical
sensors will be highlighted, and the development of inexpensive Si complementary
metal-oxide semiconductor circuitry (which makes up the vast majority of
computational systems today) for signal processing and wireless communication
with local receivers that lie directly on the chip-scale sensor head itself will
be examined.
PMID- 18048898
TI - The design, use, and results of transcutaneous carbon dioxide analysis: current
and future directions.
AB - Transcutaneous carbon dioxide (CO2) analysis was introduced in the early 1980s
using locally heated electrochemical sensors that were applied to the skin
surface. This methodology provides a continuous noninvasive estimation of the
arterial CO2 value and can be used for assessing adequacy of ventilation. The
technique is now established and used routinely in clinical practice.
Transcutaneous partial pressure of CO2 (tcPco2) sensors are available as a single
Pco2 sensor, as a combined Pco2/Po2 sensor, and more recently, as a combined
Pco2/Spo2 sensor. CO2 is still measured potentiometrically by determining the pH
of an electrolyte layer. The methodology has been continuously developed during
the last 20 yr, making the tcPco2 systems easier and more reliable for use in
clinical practice: smaller sensor size (diameter 15 mm, height 8 mm), less
frequent sensor re-membraning (every 2 wk) and calibration (twice a day), sensor
ready to use when connected to the monitor, lower sensor temperature (42 degrees
C), shorter arterialization time (3 min), and increased measurement reliability
through protection of the membrane. The present tcPco2 sensors still need to be
regularly re-membraned and calibrated. One way to overcome these procedures is to
use optical-only detection means. Two techniques have been developed using
optical absorption in the near-infrared light, in the evanescent wave of a
waveguide integrated in the sensor surface, or in a micro-optics sampling cell.
Preliminary in vitro and in vivo CO2 measurements have been performed. The sensor
is not affected by drift over several days, and its response time is <1 min.
PMID- 18048899
TI - Misconceptions in reporting oxygen saturation.
AB - BACKGROUND: We describe some misconceptions that have become common practice in
reporting blood gas and cooximetry results. In 1980, oxygen saturation was
incorrectly redefined in a report of a new instrument for analysis of hemoglobin
(Hb) derivatives. Oxygen saturation (sO2) was redefined as the ratio of
oxyhemoglobin (O2Hb) to total Hb instead of the ratio of O2Hb to active Hb (O2Hb
+ desoxyhemoglobin). In addition, the new terms "functional saturation" and
"fractional saturation" were introduced. Since the new parameter was implemented
in a widely used cooximeter, its use is now widespread and has caused
misunderstandings. METHODS: In this report, we review the development of the
definitions and measurements of sO2 and related quantities and contend that the
misconceptions should be resolved by standardizing instrument read-outs and
clinical reports, so that sO2, defined as the ratio of O2Hb to active Hb, should
replace FO2Hb and be reported along with the total Hb concentration and the
common dyshemoglobin fractions (%CO-Hb and % methemoglobin [metHb]). RESULTS: The
redefinition of sO2 as the %O2Hb or FO2Hb did not address the confusion that
might result from interchanging these two often-similar but different terms. The
term fractional saturation is an inappropriate terminology and lacks clear
physiological meaning. We see frequent cases of confusion: (a) the difference
between the sO2 in pulse oximetry and the FO2Hb in cooximetry is called the
"pulse oximeter gap;" (b) sO2 results are described as "method dependent;" and
(c) reference ranges for these terms are substituted. CONCLUSIONS: Although
either parameter could be used by clinicians who fully understand the relatively
simple difference between these parameters, we find clear evidence that there is
widespread confusion of these terms, even among experts in the field.
Standardization of the reporting format would help, and instrument manufacturers
could contribute by standardizing the reporting format for cooximetry results.
PMID- 18048900
TI - Multiwavelength pulse oximetry: theory for the future.
AB - BACKGROUND: As the use of pulse oximeters increases, the needs for higher
performance and wider applicability of pulse oximetry have increased. To realize
the full potential of pulse oximetry, it is indispensable to increase the number
of optical wavelengths. To develop a multiwavelength oximetry system, a physical
theory of pulse oximetry must be constructed. In addition, a theory for
quantitative measurement of optical absorption in an optical scatterer, such as
in living tissue, remains a difficult theoretical and practical aspect of this
problem. METHODS: We adopted Schuster's theory of radiation through a foggy
atmosphere for a basis of theory of pulse oximetry. We considered three factors
affecting pulse oximetry: the optics, the tissue, and the venous blood. RESULTS:
We derived a physical theoretical formula of pulse oximetry. The theory was
confirmed with a full SO2 range experiment. Based on the theory, the three
wavelength method eliminated the effect of tissue and improved the accuracy of
Spo2. The five-wavelength method eliminated the effect of venous blood and
improved motion artifact elimination. CONCLUSIONS: Our theory of multiwavelength
pulse oximetry can be expected to be useful for solving almost all problems in
pulse oximetry such as accuracy, motion artifact, low-pulse amplitude, response
delay, and errors using reflection oximetry which will expand the application of
pulse oximetry. Our theory is probably a rare case of success in solving the
difficult problem of quantifying optical density of a substance embedded in an
optically scattering medium.
PMID- 18048901
TI - Intrapartum oximetry of the fetus.
AB - Fetal monitoring during labor aims to identify fetal problems which, if
uncorrected, may result in morbidity or death. A nonreassuring or abnormal fetal
heart rate trace by cardiotocography (CTG) does not necessarily equate with fetal
hypoxia and/or acidosis. However, in the absence of more objective data, the use
of CTG often results in variable, but inappropriately high, operative delivery
rates (forceps, vacuum, or cesarean delivery) for nonreassuring fetal status in
many hospitals. The addition of fetal pulse oximetry (FPO) has the potential to
improve the assessment of fetal well-being during labor. In this review we
consider several aspects of FPO. Several factors, such as sensor to skin contact,
uterine contractions, fetal hair, and caput succedaneum, influence the
performance and use of FPO. Issues such as clinicians' perspectives of FPO sensor
placement, maternal perspectives of FPO during labor, and an economic analysis
have all favored FPO. Several randomized controlled trials (RCTs) of FPO reported
a reduction in cesarean delivery for nonreassuring fetal status when FPO was
added to conventional CTG monitoring, with no difference in overall cesarean
delivery rates. One large RCT reported no difference in mode of birth for any
indication. Several issues relevant to the future of FPO have been addressed by
these RCTs, the major issue being that it makes no difference to cesarean rates.
It may be argued that FPO has a valid clinical use in monitoring the fetus with
congenital heart block. Additionally, in situations of nonreassuring fetal status
and dystocia, FPO may provide the necessary reassurance until adequate resources
for cesarean delivery are available.
PMID- 18048902
TI - Development of a standardized method for motion testing in pulse oximeters.
AB - BACKGROUND: Pulse oximeter performance in the presence of motion varies among
devices and manufacturers because of variations in hardware, software, testing,
and calibration. Compounding these differences is a lack of uniform
characterization of motion, and the consequential effects of motion upon the wide
range of normal and abnormal human physiology. Traditional motion testing
attempts to standardize motion into a reproducible form by using a mechanical jig
to produce passive motion of a known amplitude and frequency. This type of motion
challenge fails to account for the physiologic changes induced by active
movement. METHODS: We postulate that a more appropriate method for testing the
performance of pulse oximeters in the presence of motion is to create a feedback
control loop between the device and the test subject, providing a reproducible,
actively created, and controlled motion test suitable for standardized testing
among manufacturers. It is hoped that relying on a signal as seen from the
oximeter's perspective will enable the creation of a sensitive and reproducible
test method capable of separating those oximeters that can reject motion artifact
from those that cannot. RESULTS: Preliminary results have concentrated on
building the tools and clinical protocols needed to evaluate this method. Some
basic observations are reported, but insufficient numbers of experienced subjects
precludes rigorous conclusions. CONCLUSION: We have set the stage for a
feasibility demonstration using a novel form of testing. With sufficient subjects
and proper statistical evaluation, a robust test method for assessing the
performance of pulse oximeters in the presence of motion may be at hand.
PMID- 18048903
TI - The effect of motion on pulse oximetry and its clinical significance.
AB - Pulse oximetry is an important diagnostic and patient monitoring tool. However,
motion can induce considerable error into pulse oximetry accuracy, resulting in
loss of data, inaccurate readings, and false alarms. We will discuss how motion
artifact affects pulse oximetry accuracy, the clinical consequences of motion
artifact, and the methods used by various technologies to minimize the impact of
the motion noise.
PMID- 18048904
TI - Maximizing the laboratory setting for testing devices and understanding
statistical output in pulse oximetry.
AB - Maximizing the laboratory setting for testing baseline pulse oximetry accuracy in
an arterial desaturation study requires a study design that considers management
of several aspects in the physiology of the test subject, special attention to
the device under test, and great care in the preanalytical (sample handling) and
analytical (Co-oximeter) phases. Statistics used to describe the resulting SpO2
performance include Precision (size of the data cloud), Bias (offset of the data
cloud), and A(rms) (accuracy root mean square), which combines the size and
offset of the data cloud in one number. The A(rms) is the primary statistic
required by regulatory organizations to describe general performance over the
entire saturation range. It does not describe any one point, but is a compilation
of all points over the range tested. Most pulse oximeters in use today specify an
A(rms) of 2%. To meet this specification, two-thirds of the readings will be
within 2% of the Co-oximeter reference; however, some individual readings can be
as inaccurate as 6% or more. The A(rms) statistic does not have the capacity to
represent all pulse oximeter behavior. Saturation pop-ups, drop-downs, frozen
readings, and periods of no reading are not portrayed by the A(rms). The next
steps in the advancement of regulatory validation testing would be to develop
standards that include an expanded analysis of pulse oximeter performance by
assessment of pop-ups, dropouts, frozen readings, and periods of no reading
through assessment of sensitivity/specificity and possibly a "Performance Index"
similar to the approach taken by Barker.
PMID- 18048905
TI - Effective standards and regulatory tools for respiratory gas monitors and pulse
oximeters: the role of the engineer and clinician.
AB - Developing safe and effective medical devices involves understanding the
hazardous situations that can arise in clinical practice and implementing
appropriate risk control measures. The hazardous situations may have their roots
in the design or in the use of the device. Risk control measures may be
engineering or clinically based. A multidisciplinary team of engineers and
clinicians is needed to fully identify and assess the risks and implement and
evaluate the effectiveness of the control measures. In this paper, I use three
issues, calibration/accuracy, response time, and protective measures/alarms, to
highlight the contributions of these groups. This important information is
captured in standards and regulatory tools to control risk for respiratory gas
monitors and pulse oximeters. This paper begins with a discussion of the
framework of safety, explaining how voluntary standards and regulatory tools
work. The discussion is followed by an examination of how engineering and
clinical knowledge are used to support the assurance of safety.
PMID- 18048906
TI - Mortal and immortal DNA: Craig Venter and Keats's "Lamia".
PMID- 18048907
TI - Quorum sensing, communication and cross-kingdom signalling in the bacterial
world.
AB - Although unicellular, bacteria are highly interactive and employ a range of cell
to-cell communication or 'quorum sensing (QS)' systems for promoting collective
behaviour within a population. QS is generally considered to facilitate gene
expression only when the population has reached a sufficient cell density and
depends on the synthesis of small molecules that diffuse in and out of bacterial
cells. As the bacterial population density increases, so does the synthesis of QS
signal molecules and consequently, their concentration in the external
environment increases. Once a critical threshold concentration is reached, a
target sensor kinase or response regulator is activated, so facilitating the
expression of QS-dependent target genes. Several chemically distinct families of
QS signal molecules have been described, of which the N-acylhomoserine lactone
(AHL) family in Gram-negative bacteria have been the most intensively
investigated. QS contributes to environmental adaptation by facilitating the
elaboration of virulence determinants in pathogenic species and plant biocontrol
characteristics in beneficial species as well as directing biofilm formation and
colony escape. QS also crosses the prokaryotic-eukaryotic boundary in that QS
signal molecules influence the behaviour of eukaryotic organisms in both the
plant and mammalian worlds such that QS signal molecules may directly facilitate
bacterial survival by promoting an advantageous lifestyle within a given
environmental niche.
PMID- 18048908
TI - Making sense of quorum sensing in lactobacilli: a special focus on Lactobacillus
plantarum WCFS1.
AB - In silico identification criteria were defined to predict if genes encoding
histidine protein kinases (HPKs) and response regulators (RRs) could be part of
peptide-based quorum sensing (QS) two-component regulatory systems (QS-TCSs) in
Firmicutes. These criteria were used to screen HPKs and RRs annotated on the
completed genome sequences of Lactobacillus species, and several (putative) QS
TCSs were identified in this way. The five peptide-based QS-TCSs that were
predicted on the Lactobacillus plantarum WCFS1 genome were further analysed to
test their (QS) functionality. Four of these systems contained an upstream gene
encoding a putative autoinducing peptide (AIP), of which two were preceded by a
double-glycine-type leader peptide. One of these was identical to the plnABCD
regulatory system of L. plantarum C11 and was shown to regulate plantaricin
production in L. plantarum WCFS1. The third TCS was designated lamBDCA for
Lactobacillus agr-like module, where the lamD gene was shown to encode a cyclic
thiolactone peptide. The fourth TCS was paralogous to the lam system and
contained a putative AIP-encoding gene but lacked the lamB gene. Finally, a
genetically separated orphan HPK and RR that showed clear peptide-based QS
characteristics could form a fifth peptide-based QS-TCS. The predicted presence
of multiple (peptide-based) QS-TCSs in some lactobacilli and in particular in L.
plantarum might be a reflection of the ability of these species to persist in a
diverse range of ecological niches.
PMID- 18048909
TI - The role of protein secretion systems in the virulence of the intracellular
pathogen Legionella pneumophila.
AB - Legionella pneumophila is a Gram-negative facultative intracellular pathogen,
which multiplies in protozoa in its natural environment and can cause
Legionnaires' disease in man, following infection of alveolar macrophages. In
each of the different stages of infection of host cells, virulence proteins need
to be delivered to their specific place of action and therefore must cross two
barriers: the inner and the outer membrane. To date, several specialized
secretion machineries for transport of proteins across the inner and outer
membrane have been identified in L. pneumophila. Most of these secretion pathways
have been shown to affect the virulence of this pathogen. An overview will be
given of all the secretion pathways and the proteins transported by these
secretion systems identified so far, with special attention paid to those that
play a role in the pathogenicity of L. pneumophila.
PMID- 18048910
TI - Cryptococcus neoformans laccase catalyses melanin synthesis from both D- and L
DOPA.
AB - The human fungal pathogen Cryptococcus neoformans produces melanin in the
presence of various substrates, including the L enantiomer of 3,4
dihydroxyphenylalanine (DOPA). The enzyme laccase catalyses the formation of
melanin by oxidizing L-DOPA, initiating a series of presumably spontaneous
reactions that ultimately leads to the polymerization of the pigment in the yeast
cell wall. There, melanin protects the cell from a multitude of environmental and
host assaults. Thus, the ability of C. neoformans to produce pigments from a
variety of available substrates is likely to confer a survival advantage. A
number of C. neoformans isolates of different serotypes produced pigments from D
DOPA, the stereoisomer of L-DOPA. Acid-resistant particles were isolated from
pigmented C. neoformans cells grown in the presence of D-DOPA. Biophysical
characterization showed the particles had a stably detectable free-radical signal
by EPR, and negative zeta potential, similar to L-DOPA-derived particles. No
major differences were found between L- and D-DOPA ghosts in terms of binding to
anti-melanin antibodies, or in overall architecture when imaged by electron
microscopy. C. neoformans cells utilized L- and D-DOPA at a similar rate.
Overall, our results indicate that C. neoformans shows little stereoselectivity
for utilizing DOPA in melanin synthesis. The ability of C. neoformans to use both
L and D enantiomers for melanization implies that this organism has access to a
greater potential pool of substrates for melanin synthesis, and this could
potentially be exploited in the design of therapeutic inhibitors of laccase.
PMID- 18048911
TI - Characterization of the ferrioxamine uptake system of Nitrosomonas europaea.
AB - The chemolithoautotroph Nitrosomonas europaea has two genes predicted to encode
outer-membrane (OM) ferrioxamine transporters. Expression of the ferrioxamine
uptake system required induction, as shown by the shorter lag phase in
ferrioxamine-containing cultures when ferrioxamine-exposed cells were used as an
inoculum. The two OM ferrioxamine siderophore transporters encoded by foxA(1)
(NE1097) and foxA(2) (NE1088) were produced only in cells grown in Fe-limited
ferrioxamine-containing medium. The inactivation of foxA(1), singly or in
combination with foxA(2), prevented growth in Fe-limited medium containing excess
desferrioxamine (DFX). The foxA(2)-disrupted single mutant grew poorly in the
regular Fe-limited (0.2 microM) medium with 10 microM DFX, but grew well when the
Fe level was raised to 1.0 microM with 10 microM DFX. For efficient acquisition
of Fe-loaded ferrioxamine, N. europaea needs both ferrioxamine transporters
FoxA(1) and FoxA(2). FoxA(1) probably regulates its own production, and it
controls the production of FoxA(2) as well.
PMID- 18048912
TI - Role of the methylcitrate cycle in propionate metabolism and detoxification in
Mycobacterium smegmatis.
AB - Catabolism of odd-chain-length fatty acids yields acetyl-CoA and propionyl-CoA. A
common pathway of propionyl-CoA metabolism in micro-organisms is the
methylcitrate cycle, which includes the dedicated enzymes methylcitrate synthase
(MCS), methylcitrate dehydratase (MCD) and methylisocitrate lyase (MCL). The
methylcitrate cycle is essential for propionate metabolism in Mycobacterium
tuberculosis. Unusually, M. tuberculosis lacks an MCL orthologue and this
activity is provided instead by two isoforms of the glyoxylate cycle enzyme
isocitrate lyase (ICL1 and ICL2). These bifunctional (ICL/MCL) enzymes are
jointly required for propionate metabolism and for growth and survival in mice.
In contrast, the non-pathogenic species Mycobacterium smegmatis encodes a
canonical MCL enzyme in addition to ICL1 and ICL2. The M. smegmatis gene encoding
MCL (prpB) is clustered with genes encoding MCS (prpC) and MCD (prpD). Here we
show that deletion of the M. smegmatis prpDBC locus reduced but did not eliminate
MCL activity in cell-free extracts. The residual MCL activity was abolished by
deletion of icl1 and icl2 in the DeltaprpDBC background, suggesting that these
genes encode bifunctional ICL/MCL enzymes. A DeltaprpB Deltaicl1 Deltaicl2 mutant
was unable to grow on propionate or mixtures of propionate and glucose. We
hypothesize that incomplete propionyl-CoA metabolism might cause toxic
metabolites to accumulate. Consistent with this idea, deletion of prpC and prpD
in the DeltaprpB Deltaicl1 Deltaicl2 background paradoxically restored growth on
propionate-containing media. These observations suggest that the marked
attenuation of ICL1/ICL2-deficient M. tuberculosis in mice could be due to the
accumulation of toxic propionyl-CoA metabolites, rather than inability to utilize
fatty acids per se.
PMID- 18048913
TI - A Mesorhizobium loti mutant with reduced glucan content shows defective invasion
of its host plant Lotus japonicus.
AB - Random transposon mutagenesis led to the isolation of a novel Mesorhizobium loti
mutant that is defective in nitrogen fixation during symbiosis with Lotus
japonicus. The mutated locus, designated cep, encodes a putative cell-envelope
protein displaying no significant sequence similarity to proteins with known
functions. This mutant elicits the formation of nodule-like bumps and root-hair
curling, but not the elongation of infection threads, on L. japonicus roots. This
is reminiscent of the phenotypes of rhizobial mutants impaired in cyclic beta
glucan biosynthesis. The cep mutant exhibits partially reduced content of cell
associated glucans and intermediate deficiency of motility under hypo-osmotic
conditions as compared to a glucan-deficient mutant. Second-site pseudorevertants
of the cep mutant were isolated by selecting for restoration of symbiotic
nitrogen fixation. A subset of pseudorevertants restored both symbiotic
capability and glucan content to levels comparable to that of the wild-type.
These results suggest that the Cep product acts on a successful symbiosis by
affecting cell-associated glucan content.
PMID- 18048914
TI - Role of the C-terminal region of dextransucrase from Leuconostoc mesenteroides
IBT-PQ in cell anchoring.
AB - dsrP, a gene that encodes a cell-associated dextransucrase produced by
Leuconostoc mesenteroides IBT-PQ, was isolated, sequenced and expressed in
Escherichia coli. From sequence analysis, seven repeat units in the N-terminal
region were found, as well as five cell wall binding repeats in the C-terminal
region. A model of the C-terminal domain of dextransucrase was built based on the
solenoid structure of the cell wall binding domain already described in LytA. By
experiments involving direct interactions of the enzyme with L. mesenteroides
cells, as well as among the cells and the single C-terminal domain expressed in
E. coli, evidence was obtained concerning the anchoring function of this region
in cell-associated dextransucrase, a function which may be independent of its
capacity to bind dextran.
PMID- 18048915
TI - Phylogenetic and biochemical characterization of a novel cluster of intracellular
fungal alpha-amylase enzymes.
AB - Currently known fungal alpha-amylases are well-characterized extracellular
enzymes that are classified into glycoside hydrolase subfamily GH13_1. This study
describes the identification, and phylogenetic and biochemical analysis of novel
intracellular fungal alpha-amylases. The phylogenetic analysis shows that they
cluster in the recently identified subfamily GH13_5 and display very low
similarity to fungal alpha-amylases of family GH13_1. Homologues of these
intracellular enzymes are present in the genome sequences of all filamentous
fungi studied, including ascomycetes and basidiomycetes. One of the enzymes
belonging to this new group, Amy1p from Histoplasma capsulatum, has recently been
functionally linked to the formation of cell wall alpha-glucan. To study the
biochemical characteristics of this novel cluster of alpha-amylases, we
overexpressed and purified a homologue from Aspergillus niger, AmyD, and studied
its activity product profile with starch and related substrates. AmyD has a
relatively low hydrolysing activity on starch (2.2 U mg(-1)), producing mainly
maltotriose. A possible function of these enzymes in relation to cell wall alpha
glucan synthesis is discussed.
PMID- 18048916
TI - A novel role for the yeast protein kinase Dbf2p in vacuolar H+-ATPase function
and sorbic acid stress tolerance.
AB - In Saccharomyces cerevisiae, the serine-threonine protein kinase activity of
Dbf2p is required for tolerance to the weak organic acid sorbic acid. Here we
show that Dbf2p is required for normal phosphorylation of the vacuolar H(+)
ATPase (V-ATPase) A and B subunits Vma1p and Vma2p. Loss of V-ATPase activity due
to bafilomycin treatment or deletion of either VMA1 or VMA2 resulted in sorbic
acid hypersensitivity and impaired vacuolar acidification, phenotypes also
observed in both a kinase-inactive dbf2 mutant and cells completely lacking DBF2
(dbf2Delta). Crucially, VMA2 is a multicopy suppressor of both the sorbic acid
sensitive phenotype and the impaired vacuolar-acidification defect of dbf2Delta
cells, confirming a functional interaction between Dbf2p and Vma2p. The yeast V
ATPase is therefore involved in mediating sorbic acid stress tolerance, and we
have shown a novel and unexpected role for the cell cycle-regulated protein
kinase Dbf2p in promoting V-ATPase function.
PMID- 18048918
TI - The group B streptococcal alpha C protein binds alpha1beta1-integrin through a
novel KTD motif that promotes internalization of GBS within human epithelial
cells.
AB - Group B Streptococcus (GBS) is the leading cause of bacterial pneumonia, sepsis
and meningitis among neonates and a cause of morbidity among pregnant women and
immunocompromised adults. GBS epithelial cell invasion is associated with
expression of alpha C protein (ACP). Loss of ACP expression results in a decrease
in GBS internalization and translocation across human cervical epithelial cells
(ME180). Soluble ACP and its 170 amino acid N-terminal region (NtACP), but not
the repeat protein RR', bind to ME180 cells and reduce internalization of wild
type GBS to levels obtained with an ACP-deficient isogenic mutant. In the current
study, ACP colocalized with alpha(1)beta(1)-integrin, resulting in integrin
clustering as determined by laser scanning confocal microscopy. NtACP contains
two structural domains, D1 and D2. D1 is structurally similar to fibronectin's
integrin-binding region (FnIII10). D1's (KT)D146 motif is structurally similar to
the FnIII10 (RG)D1495 integrin-binding motif, suggesting that ACP binds
alpha(1)beta(1)-integrin via the D1 domain. The (KT)D146A mutation within soluble
NtACP reduced its ability to bind alpha(1)beta(1)-integrin and inhibit GBS
internalization within ME180 cells. Thus ACP binding to human epithelial cell
integrins appears to contribute to GBS internalization within epithelial cells.
PMID- 18048917
TI - The voltage-gated Na+ channel NaVBP co-localizes with methyl-accepting chemotaxis
protein at cell poles of alkaliphilic Bacillus pseudofirmus OF4.
AB - Na(V)BP, found in alkaliphilic Bacillus pseudofirmus OF4, is a member of the
bacterial voltage-gated Na(+) channel superfamily. The alkaliphile requires
Na(V)BP for normal chemotaxis responses and for optimal pH homeostasis during a
shift to alkaline conditions at suboptimally low Na(+) concentrations. We
hypothesized that interaction of Na(V)BP with one or more other proteins in vivo,
specifically methyl-accepting chemotaxis proteins (MCPs), is involved in
activation of the channel under the pH conditions that exist in the extremophile
and could underpin its role in chemotaxis; MCPs transduce chemotactic signals and
generally localize to cell poles of rod-shaped cells. Here, immunofluorescence
microscopy and fluorescent protein fusion studies showed that an alkaliphile
protein (designated McpX) that cross-reacts with antibodies raised against
Bacillus subtilis McpB co-localizes with Na(V)BP at the cell poles of B.
pseudofirmus OF4. In a mutant in which Na(V)BP-encoding ncbA is deleted, the
content of McpX was close to the wild-type level but McpX was significantly
delocalized. A mutant of B. pseudofirmus OF4 was constructed in which cheAW
expression was disrupted to assess whether this mutation impaired polar
localization of McpX, as expected from studies in Escherichia coli and
Salmonella, and, if so, whether Na(V)BP would be similarly affected. Polar
localization of both McpX and Na(V)BP was decreased in the cheAW mutant. The
results suggest interactions between McpX and Na(V)BP that affect their co
localization. The inverse chemotaxis phenotype of ncbA mutants may result in part
from MCP delocalization.
PMID- 18048919
TI - Characterization of the mycobacterial chromosome segregation protein ParB and
identification of its target in Mycobacterium smegmatis.
AB - Bacterial chromosomes (though not Escherichia coli and some other gamma
proteobacterial chromosomes) contain parS sequences and parAB genes encoding
partitioning proteins, i.e. ParA (ATPase) and ParB (DNA-binding proteins) that
are components of the segregation machinery. Here, mycobacterial parABS elements
were characterized for the first time. parAB genes are not essential in
Mycobacterium smegmatis; however, elimination or overexpression of ParB protein
causes growth inhibition. Deletion of parB also leads to a rather severe
chromosome segregation defect: up to 10% of the cells were anucleate.
Mycobacterial ParB protein uses three oriC-proximal parS sequences as targets to
organize the origin region into a compact nucleoprotein complex. Formation of
such a complex involves ParB-ParB interactions and is assisted by ParA protein.
PMID- 18048920
TI - Structure and function of the microbial community in a full-scale enhanced
biological phosphorus removal plant.
AB - The structure and function of the microbial community in a full-scale enhanced
biological phosphorus removal wastewater treatment plant (WWTP; Skagen) were
investigated using the full-cycle rRNA approach, combined with ecophysiological
studies. A total of 87 16S rRNA gene sequences were retrieved, and 78 operational
taxonomic units were identified. Novel oligonucleotide probes were designed, and
quantitative fluorescence in situ hybridization revealed that six hitherto
undescribed probe-defined groups within the phylum Bacteroidetes (two groups),
and classes Betaproteobacteria (two groups) and Gammaproteobacteria (two groups),
were relatively abundant (>1% of total biovolume) in the Skagen WWTP and 10 other
full-scale WWTPs with biological P removal. The most abundant was a group of rod
shaped Bacteroidetes attached to filamentous bacteria, which is distantly related
to the genus Haliscomenobacter of the family Saprospiraceae, and comprised 9-19%
of the bacterial biovolume in all the WWTPs investigated. The other five probe
defined groups were found in all WWTPs, but they were less abundant (1-6%). Two
groups had a glycogen-accumulating phenotype and one Dechloromonas-related group
had a polyphosphate-accumulating phenotype, and they were potentially all
involved in denitrification. In total, about 81% of all bacteria hybridizing with
the general eubacterial probe were detected in the Skagen WWTP by using clone- or
group-specific probes, indicating that most members of the microbial community
had been identified.
PMID- 18048921
TI - Development of a multilocus sequence typing scheme for intestinal spirochaetes
within the genus Brachyspira.
AB - The purpose of this study was to evaluate a multilocus sequence typing (MLST)
scheme for intestinal spirochaetes of the genus Brachyspira. Eight loci mainly
coding for enzymes previously used in multilocus enzyme electrophoresis analysis
of Brachyspira species were examined in 66 Brachyspira field isolates and
type/reference strains. The isolates and strains were recovered from pigs, birds,
dogs and a mouse and originated from seven European countries, the USA and
Canada. Forty-six isolates represented recognized Brachyspira species and 20
represented provisionally designated species or isolates that have not been
classified. Only two loci gave PCR products for all 66 strains and isolates, but
amplicons for seven loci were obtained for 44 of the isolates. Sequences for each
locus had a DNA allelic variation of 30-47 and an amino acid allelic variation of
14-47 that gave rise to the same number of sequence and amino acid types (58) for
the strains and isolates studied. A population snapshot based on sequence and
amino acid types showed a close phylogenetic relationship amongst the porcine
isolates from the same geographical regions, and indicated a close evolutionary
relationship between isolates recovered from pigs and mallards. A general
concordance was obtained between the MLST groupings and classifications based on
culture and biochemical tests, 16S rDNA sequence analysis and random amplified
polymorphic DNA analysis. This is a first step towards establishing an MLST
system for use in identifying Brachyspira species and determining relationships
between individual strains and species in the genus.
PMID- 18048922
TI - Consequences of a sortase A mutation in Streptococcus gordonii.
AB - Sortase A (SrtA) is required for cell-wall anchoring of LPXTG-containing Gram
positive surface proteins. It was hypothesized, therefore, that disruption of the
srtA gene would alter surface anchoring and functions of target LPXTG motif
bearing SspA and SspB proteins of Streptococcus gordonii. Mutant strains in srtA
(V288srtA(-), DL1srtA(-)) were constructed in S. gordonii V288 (wtV288) and DL1
(wtDL1). When compared to wtV288, the V288srtA(-) mutant showed decreased biofilm
formation on polystyrene, and reduced binding to immobilized purified salivary
agglutinin (BIAcore analysis). The wtV288 and V288srtA(-) strains were similar in
ultrastructure, but immunogold-labelled SspA/SspB surface expression was reduced
on the V288srtA(-) mutant. DL1srtA(-) was also complemented to obtain DL1srtA(+).
From the wild-type strains (wtV288, wtDL1), srtA(-) mutants (V288srtA(-),
DL1srtA(-)), and the complemented mutant (DL1srtA(+)), cytoplasmic, cell-wall and
released extracellular protein fractions were isolated. Each fraction was
analysed by SDS-PAGE and immunoblotting with anti-P1. Spent medium from srtA(-)
mutant cells contained over-represented proteins, including SspA/SspB (P1
antigen). Mutants showed less P1 on the cell surface than wild-types, as
estimated using whole-cell ELISA, and no P1 appeared in the cytoplasmic
fractions. Expression of several adhesin genes (sspA/B, cshA/B, fbpA) was
generally upregulated in the mutants (V288srtA(-), DL1srtA(-)), but restored to
wild-type levels in DL1srtA(+). These data therefore imply that in addition to
its role in processing LPXTG-containing adhesins, sortase A has the novel
function of contributing to transcriptional regulation of adhesin gene
expression.
PMID- 18048923
TI - Identification of multiple integration sites for Stx-phage Phi24B in the
Escherichia coli genome, description of a novel integrase and evidence for a
functional anti-repressor.
AB - The key virulence factor in Shiga-toxigenic Escherichia coli is the expression of
Shiga toxin (Stx), which is conferred by Stx-encoding temperate lambdoid phages
(Stx-phages). It had been assumed that Stx-phages would behave similarly to
lambda phage. However, contrary to the lambda superinfection immunity model, it
has been demonstrated that double lysogens can be produced with the Stx-phage
Phi24(B). Here, the Phi24(B) integrase gene is identified, and the preferred site
of integration defined. Although an E. coli int gene was identified close to the
Phi24(B) integration site, it was shown not to be involved in the phage
integration event. An additional six potential integration sites were identified
in the E. coli genome, and three of these were confirmed experimentally. Two of
the other potential sites lie within genes predicted to be essential to E. coli
and are therefore unlikely to support phage integration. A Phi24(B) gene,
possessing similarity to the well-characterized P22 ant gene, was identified. RT
PCR was used to demonstrate that ant is transcribed in a Phi24(B) E. coli
lysogen, and expression of an anti-repressor is the likely explanation for the
absence of immunity to superinfection. Demonstration of the ability of Phi24(B)
to form multiple lysogens has two potentially serious impacts. First, multiple
integrated prophages will drive the evolution of bacterial pathogens as novel Stx
phages emerge following intracellular mutation/recombination events. Second,
multiple copies of the stx gene may lead to an increase in toxin production and
consequently increased virulence.
PMID- 18048924
TI - Organization of the biosynthetic gene cluster for the macrolide antibiotic
spiramycin in Streptomyces ambofaciens.
AB - Spiramycin, a 16-membered macrolide antibiotic used in human medicine, is
produced by Streptomyces ambofaciens; it comprises a polyketide lactone,
platenolide, to which three deoxyhexose sugars are attached. In order to
characterize the gene cluster governing the biosynthesis of spiramycin, several
overlapping cosmids were isolated from an S. ambofaciens gene library, by
hybridization with various probes (spiramycin resistance or biosynthetic genes,
tylosin biosynthetic genes), and the sequences of their inserts were determined.
Sequence analysis showed that the spiramycin biosynthetic gene cluster spanned a
region of over 85 kb of contiguous DNA. In addition to the five previously
described genes that encode the type I polyketide synthase involved in
platenolide biosynthesis, 45 other genes have been identified. It was possible to
propose a function for most of the inferred proteins in spiramycin biosynthesis,
in its regulation, in resistance to the produced antibiotic or in the provision
of extender units for the polyketide synthase. Two of these genes, predicted to
be involved in deoxysugar biosynthesis, were inactivated by gene replacement, and
the resulting mutants were unable to produce spiramycin, thus confirming their
involvement in spiramycin biosynthesis. This work reveals the main features of
spiramycin biosynthesis and constitutes a first step towards a detailed molecular
analysis of the production of this medically important antibiotic.
PMID- 18048925
TI - CsoR regulates the copper efflux operon copZA in Bacillus subtilis.
AB - The adaptation of Bacillus subtilis to elevated levels of copper ions requires
the copper-inducible copZA operon encoding a copper chaperone and efflux ATPase.
Here we identify CsoR (formerly YvgZ) as the copper-sensing repressor that
regulates the copZA operon. CsoR binds with high affinity to an operator site
overlapping the copZA promoter and its binding is specifically inhibited by
copper salts. As previously described, the YhdQ (CueR) protein also binds to the
copZA regulatory region, but genetic experiments indicate that this protein is
not responsible for the copper-dependent regulation of this operon.
PMID- 18048926
TI - VmeAB, an RND-type multidrug efflux transporter in Vibrio parahaemolyticus.
AB - Genes vmeA and vmeB, encoding a multidrug efflux transporter in the halophilic
bacterium Vibrio parahaemolyticus, have been cloned using a drug-hypersusceptible
Escherichia coli strain as the host. Cells of E. coli KAM33 (DeltaacrAB
DeltaydhE) carrying the vmeAB region from V. parahaemolyticus conferred much
higher MICs for a variety of antimicrobial agents than did control cells. Cells
possessing VmeAB under energized conditions maintained very low intracellular
concentrations of ethidium. This was as expected for an energy-dependent efflux
system, and supports the notion--based on sequence homology--that VmeAB belongs
to the resistance nodulation cell division (RND) family of multidrug efflux
transporters. It is likely that VmeAB forms functional complexes with the outer
membrane protein TolC in E. coli, because introduction of vmeAB into cells of E.
coli KAM43, which lacks the tolC gene, failed to elevate the MICs for any of the
antimicrobial agents tested. Therefore, a V. parahaemolyticus homologue of tolC
was also cloned, designated vpoC, and was introduced together with vmeAB into
cells of E. coli KAM43. The MICs of all agents tested were raised and were
comparable to the values observed in E. coli KAM33 harbouring a plasmid carrying
vmeAB. Finally, a vmeAB-deficient mutant of V. parahaemolyticus was constructed
(designated TM3). TM3 showed slightly higher susceptibility than the parental V.
parahaemolyticus to some antimicrobial agents. Survival rate of the TM3 when
exposed to deoxycholate decreased compared with that of the parent.
PMID- 18048927
TI - Comparative analysis of FimB and FimE recombinase activity.
AB - FimB and FimE are site-specific recombinases, part of the lambda integrase
family, and invert a 314 bp DNA switch that controls the expression of type 1
fimbriae in Escherichia coli. FimB and FimE differ in their activity towards the
fim switch, with FimB catalysing inversion in both directions in comparison to
the higher-frequency but unidirectional on-to-off recombination catalysed by
FimE. Previous work has demonstrated that FimB, but not FimE, recombination is
completely inhibited in vitro and in vivo by a regulator, PapB, expressed from a
distinct fimbrial locus. The aim of this work was to investigate differences
between FimB and FimE activity by exploiting the differential inhibition
demonstrated by PapB. The research focused on genetic changes to the fim switch
that alter recombinase binding and its structural context. FimB and FimE still
recombined a switch in which the majority of fimS DNA was replaced with a larger
region of non-fim DNA. This demonstrated a minimal requirement for FimB and FimE
recombination of the Fim binding sites and associated inverted repeats. With the
original leucine-responsive regulatory protein (Lrp) and integration host factor
(IHF)-dependent structure removed, PapB was now able to inhibit both
recombinases. The relative affinities of FimB and FimE were determined for the
four 'half sites'. This analysis, along with the effect of extensive swaps and
duplications of the half sites on recombination frequency, demonstrated that FimB
recruitment and therefore subsequent activity was dependent on a single half site
and its context, whereas FimE recombination was less stringent, being able to
interact initially with two half sites with equally high affinity. While
increasing FimB recombination frequencies failed to overcome PapB repression,
mutations made in recombinase binding sites resulted in inhibition of FimE
recombination by PapB. Overall, the data support a model in which the
recombinases differ in loading order and co-operative interactions. PapB exploits
this difference and FimE becomes susceptible when its normal loading is
restricted or changed.
PMID- 18048928
TI - Characterization of a Giardia lamblia WB C6 clone resistant to the isoflavone
formononetin.
AB - Giardia lamblia is a common intestinal-dwelling protozoan and causes diarrhoea in
humans and animals worldwide. For several years, a small number of drugs such as
the 5-nitroimidazole metronidazole (MET) or the thiazolide nitazoxanide (NTZ)
have been used for chemotherapy against giardiasis. However, various pre-clinical
and clinical investigations revealed that antigiardial chemotherapy may be
complicated by emergence of giardial resistance to these drugs. The present study
addressed the question if isoflavones with antigiardial activity, such as
daidzein (DAI) or formononetin (FOR), may serve as alternative compounds for
treatment of giardiasis. For this purpose, the potential of G. lamblia clone WB
C6 to form resistance to FOR and related isoflavones was tested in vitro. In the
line of these experiments, a clone (C3) resistant to isoflavones, but sensitive
to MET and NTZ, was generated. Affinity chromatography on DAI-agarose using cell
free extracts of G. lamblia trophozoites resulted in the isolation of a
polypeptide of approximately 40 kDa, which was identified by mass spectrometry as
a nucleoside hydrolase (NH) homologue (EAA37551.1). In a nucleoside hydrolase
assay, recombinant NH hydrolysed all nucleosides with a preference for purine
nucleosides and was inhibited by isoflavones. Using quantitative RT-PCR, the
expression of genes that are potentially involved in resistance formation was
analysed, namely NH and genes encoding variant surface proteins (VSPs, TSA417).
The transcript level of the potential target NH was found to be significantly
reduced in C3. Moreover, drastic changes were observed in VSP gene expression.
This may indicate that resistance formation in Giardia against isoflavones is
linked to, and possibly mediated by, altered gene expression. Taken together, our
results suggest FOR or related isoflavones as an alternative antigiardial agent
to overcome potential problems of resistance to drugs like MET or NTZ. However,
the capacity of Giardia to develop resistance to isoflavones can potentially
interfere with this alternative treatment of the disease.
PMID- 18048929
TI - Analysis of the structure of mycolic acids of Mycobacterium simiae reveals a
particular composition of alpha-mycolates in strain 'habana' TMC 5135, considered
as immunogenic in tuberculosis and leprosy.
AB - Structural analysis of mycolic acids from Mycobacterium simiae (including some
'habana' strains) was carried out using (1)H-NMR and MS. Results indicated that
this species presents a general pattern of alpha-, alpha'- and keto-mycolates.
alpha-Mycolates were composed of a complex mixture of 82 to 89 carbon atoms (C82
C89), with the predominant molecular species containing two di-substituted
cyclopropane rings. Among keto-mycolates (C84-C89), those containing one trans di
substituted cyclopropane ring were the most abundant. The alpha'-mycolates were
monounsaturated (C64, C66). According to MS and (1)H-NMR data, the strains
studied differed in fine structural details of alpha-mycolates and keto
mycolates. Notably, strain 'habana' TMC 5135 (belonging to the 'habana' group,
and considered as highly immunogenic in tuberculosis and leprosy) presented a
particular composition of alpha-mycolates, with a major component (C87)
containing one cis plus one trans di-substituted cyclopropane ring, unlike the
type strain of M. simiae and other strains of the 'habana' group (IPK-220 and IPK
337R), in which the major component (C84) contained two cis di-substituted
cyclopropane rings. In spite of this finding, the 'habana' strains were closely
related to each other and mainly differed from the type strain of M. simiae in
some details of the fine structure of keto-mycolates. The present work indicated
that within an identical general pattern of mycolic acids, there is a complex
composition in M. simiae and structural variation among different strains, as
reported for pathogenic species of the genus. Noteworthy was the particular
composition of alpha-mycolates in strain 'habana' TMC 5135.
PMID- 18048930
TI - Identification of the dehydratase component of the mycobacterial mycolic acid
synthesizing fatty acid synthase-II complex.
AB - Mycolic acids are vital components of the Mycobacterium tuberculosis cell wall
and are essential for survival. While most components of the fatty acid synthase
II (FAS-II) enzymic machinery that synthesizes these long chain alpha-alkyl, beta
hydroxy fatty acids have been identified, the gene encoding the beta-hydroxyacyl
acyl carrier protein (ACP) dehydratase activity has remained elusive. Recent
bioinformatics-based studies and drug inhibition experiments have identified the
M. tuberculosis gene Rv0636 as a promising candidate for this role. Using a
recently described, specialized transduction-based genetic tool we now
demonstrate that MSMEG1341, the Mycobacterium smegmatis homologue of Rv0636, is
an essential gene; null mutants of the gene could only be generated in a
merodiploid strain which contained a second integrated acetamide-inducible copy
of MSMEG1341. Growth of the conditional mutant in the absence of acetamide
resulted in loss of mycolic acid biosynthesis and eventually loss of viability
due to cell lysis. Null MSMEG1341 mutants could also be generated in a M.
smegmatis strain containing an integrated copy of Rv0636, indicating that Rv0636
was the functional counterpart of MSMEG1341 in M. tuberculosis. Our results
demonstrate that MSMEG1341 is an essential gene involved in mycolic acid
biosynthesis and encodes the FAS-II beta-hydroxyacyl-ACP dehydratase.
PMID- 18048931
TI - Cloning, characterization and expression of a gene encoding dihydroxyacetone
synthase in Mycobacterium sp. strain JC1 DSM 3803.
AB - Dihydroxyacetone synthase (DHAS) is a key enzyme involved in the assimilation of
methanol in Mycobacterium sp. strain JC1 DSM 3803. The structural gene encoding
DHAS in Mycobacterium sp. strain JC1 was cloned using random-primed probes
synthesized after PCR with synthetic primers based on the amino acid sequences
conserved in two yeast DHASs and several transketolases. The cloned gene, dasS,
had an ORF of 2193 nt, encoding a protein with a calculated molecular mass of
78,197 Da. The deduced amino acid sequence of dasS contained an internal sequence
of Mycobacterium sp. strain JC1 DHAS and exhibited 29.2 and 27.3 % identity with
those of Candida boidinii and Hansenula polymorpha enzymes, respectively.
Escherichia coli transformed with the cloned gene produced a novel protein with a
molecular mass of approximately 78 kDa, which cross-reacted with anti-DHAS
antiserum and exhibited DHAS activity. Primer-extension analysis revealed that
the transcriptional start site of the gene was the nucleotide A located 31 bp
upstream from the dasS start codon. RT-PCR showed that dasS was transcribed as a
monocistronic message. Northern hybridization and beta-galactosidase assay with
the putative promoter region of dasS revealed that the gene was transcribed only
in cells growing on methanol. The expression of dasS in Mycobacterium sp. strain
JC1 was free from catabolite repression.
PMID- 18048932
TI - Protein expression diversity amongst serovars of Salmonella enterica.
AB - Salmonella enterica is one of the most extensively studied bacterial species in
terms of physiology, genetics, cell culture and development. As a very diverse
group, the serovars of S. enterica display a spectrum of host specificities
ranging from a broad host range to strictly host-adapted variants. This study
utilized a classic proteomic approach combining 2D gel electrophoresis and mass
spectrometry for the comparative analysis of the proteomes of serovars
Typhimurium, Enteritidis, Choleraesuis, Pullorum and Dublin. The comparative
analysis revealed species-specific protein factors with no significant change in
expression amongst all isolates, as well as proteins with fluctuating expression
levels between serovars and strains. Examples include an isoform of SodA specific
for serovar Typhimurium, the third isoform of the lysine arginine ornithine (LAO)
binding amino acid transporter specific for serovar Pullorum, and the enzyme GabD
found to be unique to serovar Choleraesuis. Overall the study demonstrated the
importance of using multiple isolates when characterizing the expression patterns
of bacteria in order to account for the intrinsic diversity of a bacterial
population and revealed several factors with potential roles in host adaptation
and pathogenicity of the serovars of S. enterica.
PMID- 18048933
TI - Transcriptome profiling of Paracoccidioides brasiliensis yeast-phase cells
recovered from infected mice brings new insights into fungal response upon host
interaction.
AB - Paracoccidioides brasiliensis is a fungal human pathogen with a wide distribution
in Latin America. It causes paracoccidioidomycosis, the most widespread systemic
mycosis in Latin America. Although gene expression in P. brasiliensis had been
studied, little is known about the genome sequences expressed by this species
during the infection process. To better understand the infection process, 4934
expressed sequence tags (ESTs) derived from a non-normalized cDNA library from P.
brasiliensis (isolate Pb01) yeast-phase cells recovered from the livers of
infected mice were annotated and clustered to a UniGene (clusters containing
sequences that represent a unique gene) set with 1602 members. A large-scale
comparative analysis was performed between the UniGene sequences of P.
brasiliensis yeast-phase cells recovered from infected mice and a database
constructed with sequences of the yeast-phase and mycelium transcriptome (isolate
Pb01) (https://dna.biomol.unb.br/Pb/), as well as with all public ESTs available
at GenBank, including sequences of the P. brasiliensis yeast-phase transcriptome
(isolate Pb18) (http://www.ncbi.nlm.nih.gov/). The focus was on the overexpressed
and novel genes. From the total, 3184 ESTs (64.53%) were also present in the
previously described transcriptome of yeast-form and mycelium cells obtained from
in vitro cultures (https://dna.biomol.unb.br/Pb/) and of those, 1172 ESTs (23.75%
of the described sequences) represented transcripts overexpressed during the
infection process. Comparative analysis identified 1750 ESTs (35.47% of the
total), comprising 649 UniGene sequences representing novel transcripts of P.
brasiliensis, not previously described for this isolate or for other isolates in
public databases. KEGG pathway mapping showed that the novel and overexpressed
transcripts represented standard metabolic pathways, including glycolysis, amino
acid biosynthesis, lipid and sterol metabolism. The unique and divergent
representation of transcripts in the cDNA library of yeast cells recovered from
infected mice suggests differential gene expression in response to the host
milieu.
PMID- 18048934
TI - SufA--a novel subtilisin-like serine proteinase of Finegoldia magna.
AB - Finegoldia magna is an anaerobic Gram-positive bacterium and commensal, which is
also associated with clinically important conditions such as skin and soft tissue
infections. This study describes a novel subtilisin-like extracellular serine
proteinase of F. magna, denoted SufA (subtilase of Finegoldia magna), which is
believed to be the first subtilase described among Gram-positive anaerobic cocci.
SufA is associated with the bacterial cell surface, but is also released in
substantial amounts during bacterial growth. Papain was used to release SufA from
the surface of F. magna and the enzyme was purified by ion-exchange
chromatography and gel filtration. A protein band on SDS-PAGE corresponding to
the dominating proteolytic activity on gelatin zymography was analysed by MS/MS.
Based on the peptide sequences obtained, the sufA gene was sequenced. The gene
comprises 3466 bp corresponding to a preprotein of 127 kDa. Like other members of
the subtilase family, SufA contains the catalytic triad of aspartic acid,
histidine and serine with surrounding conserved residues. A SufA homologue was
identified in 33 of 34 investigated isolates of F. magna, as revealed by PCR and
immunoprinting. The enzyme forms dimers, which are more proteolytically active
than the monomeric protein. SufA was found to efficiently cleave and inactivate
the antibacterial peptide LL-37 and the CXC chemokine MIG/CXCL9, indicating that
the enzyme promotes F. magna survival and colonization.
PMID- 18048936
TI - The stringent response of Bacillus anthracis contributes to sporulation but not
to virulence.
AB - The Gram-positive, spore-forming pathogen Bacillus anthracis is the aetiological
agent of anthrax. Its main virulence factors are two toxins and an anti
phagocytic capsule. When B. anthracis is grown in laboratory culture, the highest
expression of the anthrax toxin genes occurs during entry into stationary phase,
suggesting that nutrient limitation is an environmental cue which induces toxin
production. A common bacterial response to starvation is the so-called stringent
response, in which the hyperphosphorylated guanosine nucleotide (p)ppGpp is the
effector molecule. In Escherichia coli, Bacillus subtilis and other bacteria,
accumulation of this molecule leads to down-regulation of stable RNA synthesis
and upregulation of the expression of genes involved in survival under nutrient
poor conditions. This study focuses on the stringent response of B. anthracis. We
show that in B. anthracis the relA gene is responsible for the synthesis of
(p)ppGpp and the stringent down-regulation of stable RNA synthesis upon
starvation for the essential amino acids isoleucine, leucine and valine. The
deletion of relA did not affect the expression of the virulence gene pagA or
virulence in a mouse model of infection. In contrast, spore counts upon growth
and sporulation in a defined medium were approximately 10,000-fold lower for the
relA deletion mutant than for the parental strain. The contribution of the
stringent response to efficient sporulation of B. anthracis is notable, as this
suggests that the stringent response may contribute to the persistence of B.
anthracis in the natural environment.
PMID- 18048935
TI - Regulation of the Pseudomonas aeruginosa toxA, regA and ptxR genes by the iron
starvation sigma factor PvdS under reduced levels of oxygen.
AB - The level of environmental oxygen (EO) within various Pseudomonas aeruginosa
infection sites is low (microaerobic), and this can affect the production of
different virulence factors. Expression of the toxA gene, encoding exotoxin A
(ETA), is regulated by regA, ptxR and pvdS. Moreover, the iron-starvation sigma
factor PvdS directs the transcription of pyoverdine siderophore genes (e.g.
pvdD). DNA-protein binding analysis using recombinant PvdS showed that the PvdS
RNA polymerase holoenzyme complex specifically bound the toxA, regA and ptxR
promoter regions. All three promoters contain a PvdS-binding site, the iron
starvation box. To determine the relationship between these different genes and
PvdS, we conducted a comparative analysis of toxA, regA, ptxR and pvdD
transcription throughout the growth cycle of wild-type P. aeruginosa and its pvdS
mutant in iron-deficient medium under aerobic-shaking (A-sh) and microaerobic
static (M-st) conditions. Under both EO conditions, optimal toxA, regA and pvdD
expression and pyoverdine production required PvdS, while ptxR expression was
moderately dependent on PvdS only under A-sh conditions. Expression of regA, pvdD
and pyoverdine production in wild-type P. aeruginosa was significantly lower
under M-st in comparison with A-sh conditions, while the opposite was observed
for toxA and ptxR. Although low, the level of toxA expression and ETA production
in the pvdS mutant were higher under M-st than under A-sh conditions.
Transcription of pvdS and PvdS expression were also reduced by low EO. We propose
that the regulation of toxA expression under aerobic conditions primarily
involves PvdS, while an additional EO-responsive regulator(s) besides PvdS is
required under low EO levels. Thus, PvdS may control the transcription of the
ptxR, regA and toxA genes, and respond to EO by acting at different levels of the
toxA regulatory cascade.
PMID- 18048937
TI - Invasion of HeLa cells by group B streptococcus requires the phosphoinositide-3
kinase signalling pathway and modulates phosphorylation of host-cell Akt and
glycogen synthase kinase-3.
AB - The group B streptococcus (GBS) is an opportunistic bacterial pathogen with the
ability to cause invasive disease. While the ability of GBS to invade a number of
host-cell types has been clearly demonstrated, the invasion process is not well
understood at the molecular level. What has been well established is that
modulation of host-cell actin microfilaments is essential for GBS invasion to
occur. Phosphoinositide-3 kinase (PI3K) is a key regulator of the cytoskeleton in
eukaryotic cells. Our goal in this investigation was to explore the role of the
PI3K/Akt signalling pathway in epithelial cell invasion by GBS. The epithelial
cell invasion process was mimicked using the HeLa 229 cell-culture model.
Treating HeLa cells with chemical inhibitors of PI3K, Akt or Ras prior to
bacterial infection inhibited GBS invasion but not attachment; treatment with 30
microM LY294002 (PI3K inhibitor) reduced GBS invasion by 75%, 20 microM L-6
hydroxymethyl-chiro-inositol 2-(R)-2-O-methyl-3-O-octadecylcarbonate (ICIO) (Akt
inhibitor) reduced GBS invasion by 50%, and 10 microM manumycin A (Ras inhibitor)
inhibited GBS invasion by 90%. Genetic inactivation of the p85alpha or p110alpha
PI3K subunits in HeLa cells also reduced GBS invasion by 55 and 30%,
respectively. Western blot analysis revealed that phosphorylation of host-cell
Akt and glycogen synthase kinase-3 (GSK-3) occurs in response to GBS infection,
and that this is mediated upstream by PI3K. Infection of HeLa cells with GBS
triggers pro-survival signalling and protects the HeLa cells from camptothecin
induced caspase-3 cleavage. The results from this investigation show that GBS
both requires and activates the PI3K/Akt host-cell signalling pathway during
invasion of epithelial cells.
PMID- 18048938
TI - Characterization of the NAD-glycohydrolase in streptococcal strains.
AB - The NADase (Nga) of group A streptococci (GAS) has been implicated in the
pathogenesis of diseases such as streptococcal toxic shock-like syndrome (STSS)
and necrotizing fasciitis. In this study we found that the proportion of NADase
positive strains among clinical isolates in Japan has increased over time. The
GAS strains studied could be divided into three groups: strains lacking NADase
activity, strains with low NADase activity, and strains with high NADase
activity. The older strains, isolated before 1989, belonged to the 'no activity'
group. Analysis using GST-Nga recombinants revealed that nga alleles of
representative older strains encode inactive Nga. Mutational analysis of the GST
Nga recombinants suggested that residue 330 could be associated with reduced
activity, based upon deduced amino acid sequences. We also investigated NADase
activity of streptococcal strains other than GAS. All group G streptococcal
isolates from STSS patients possessed nga genes encoding active enzymes.
PMID- 18048939
TI - Osmotic adaptation of the halophilic fungus Hortaea werneckii: role of osmolytes
and melanization.
AB - This study was intended to determine the osmoadaptation strategy of Hortaea
werneckii, an extremely salt-tolerant melanized ascomycetous fungus that can grow
at 0-5.1 M NaCl. It has been shown previously that glycerol is the major
compatible solute in actively growing H. werneckii. This study showed that the
exponentially growing cells also contained erythritol, arabitol and mannitol at
optimal growth salinities, but only glycerol and erythritol at maximal
salinities. The latter two were both demonstrated to be major compatible solutes
in H. werneckii, as their decrease correlated with the severity of hypoosmotic
shock. Besides higher amounts of erythritol and lower amounts of glycerol,
stationary-phase cells also contained mycosporine-glutaminol-glucoside, which
might act as a complementary compatible solute. H. werneckii is constitutively
melanized under various salinity conditions. Ultrastructural study showed
localization of melanin in the outer parts of the cell wall as a distinct layer
at optimal salinity (0.86 M NaCl), whereas cell-wall melanization diminished at
higher salinities. The role of melanized cell wall in the effective retention of
glycerol is already known, and was also demonstrated in H. werneckii by lower
retention of glycerol in cells with blocked melanization compared to melanized
cells. However, these non-melanized cells compensated for the lower amounts of
glycerol with higher amounts of erythritol and arabitol. We hypothesize that H.
werneckii melanization is effective in reducing the permeability of its cell wall
to its major compatible solute glycerol, which might be one of the features that
helps it tolerate a wider range of salt concentrations than most organisms.
PMID- 18048940
TI - Molecular characterization of the copper transport system in Staphylococcus
aureus.
AB - The Staphylococcus aureus copA gene codes for a putative copper-translocating P
type ATPase and the downstream copZ gene codes for a copper chaperone. Genome
database analyses demonstrate that these copper transport genes are highly
conserved in S. aureus. The expression of copA and copZ was inducible by copper
and to some extent by ferric and lead ions. A mutant strain containing a
partially deleted copA gene was more sensitive than the parent strain to copper,
ferric and lead ions. The copper-sensitive phenotype was due to the accumulation
of intracellular copper and thus the copA product is involved in the export of
copper ions. The metal-sensitive phenotype of the mutant was complemented in
trans by a 2.7 kbp DNA containing copA. We have cloned and overexpressed the
metal-binding domains of CopA and CopZ and have shown by site-directed
mutagenesis that the cysteine residues in the CXXC metal-binding motif in CopA
are involved in copper binding and thus play an important role in copper
transport in S. aureus.
PMID- 18048942
TI - Effects of vibration exercise on muscle performance and mobility in an older
population.
AB - This study was designed to investigate the effects of vibration on muscle
performance and mobility in a healthy, untrained, older population. Forty-three
participants (23 men, 20 women, 66-85 y old) performed tests of sit-to-stand
(STS), 5- and 10-m fast walk, timed up-and-go test, stair mobility, and strength.
Participants were randomly assigned to a vibration group, an exercise
withoutvibration group, or a control group. Training consisted of 3 sessions/wk
for 2 mo. After training, the vibration and exercise groups showed improved STS
(12.4%, 10.2%), 5-m fast walk (3.0%, 3.7%), and knee-extension strength (8.1%,
7.2%) compared with the control (p < 0.05). Even though vibration training
improved lower limb strength, it did not appear to have a facilitatory effect on
functional-performance tasks compared with the exercise-without-vibration group.
Comparable mobility and performance changes between the experimental groups
suggest that improvements are linked with greater knee-extension strength and
largely attributed to the unloaded squats performed by both exercise groups.
PMID- 18048941
TI - The role of glucose kinase in carbohydrate utilization and extracellular
polysaccharide production in Xanthomonas campestris pathovar campestris.
AB - The genome of the Xanthomonas campestris pathovar campestris (Xcc) strain 8004
encodes three uncharacterized proteins, XC1166, XC1223 and XC1976, annotated as
glucose kinase (Glk) by bioinformatic studies. Here we have investigated the
biochemical characteristics and physiological roles of these proteins with
particular reference to the synthesis of extracellular polysaccharide (EPS).
XC1166, XC1223 and XC1976 were overexpressed as fusion proteins with a His(6)
affinity tag and purified by nickel affinity chromatography. The standard Glk
activity assay revealed that all three proteins possessed apparent Glk activity,
with XC1976-His(6) being the most active; the specific activity values were
1.16x10(6) U mg(-1) for XC1166-His(6), 4.36x10(7) U mg(-1) for XC1223-His(6) and
2.63x10(8) U mg(-1) for XC1976-His(6). TLC analysis showed, however, that only
XC1976-His(6) could phosphorylate glucose. Insertional mutants of XC1166, XC1223
and XC1976 were generated using the suicide plasmid pK18mob. Although mutant
strains with insertions in XC1166 or XC1223 had Glk activity similar to that of
the wild-type strain, the XC1976 mutant had only about 6% of the wild-type
activity. Mutation in XC1976 had complex effects on EPS production. In media
containing arabinose, glucose, galactose, sucrose or maltose, the XC1976 mutant
produced about 40-75% of the wild-type level of EPS, whereas in medium containing
fructose, the mutant showed a 30% increase in EPS production compared to the wild
type strain. The XC1976 mutant also showed attenuated virulence on the host plant
Chinese radish (Raphanus sativus). The results indicate that XC1976 has the most
significant role for the parameters tested.
PMID- 18048943
TI - Dimensions of subjective well-being and effects of physical activity in Chinese
older adults.
AB - Subjective well-being (SWB) and its relationship with physical activity have not
been systematically investigated in older Chinese people. This study explored
these issues using qualitative interviews with a purposive sample of 23 community
dwelling Chinese older adults (age 55-78 y, 12 women); 16 were physically active
and 7 physically inactive. Using cross-case analyses, 7 dimensions of SWB
emerged: physical, psychological, developmental, material, spiritual,
sociopolitical, and social. Although elements of SWB may be shared across
cultures, specific distinctions were identified. Active respondents reported the
unique contributions of physical activity to the physical, psychological,
developmental, and social elements of SWB. The findings suggest that physical
activity could enhance the quality of life in Chinese older adults.
PMID- 18048944
TI - Development and evaluation of the physical activity questionnaire for elderly
Japanese: the Nakanojo study.
AB - The Physical Activity Questionnaire for Elderly Japanese (PAQ-EJ) is a self
administered physical activity questionnaire for elderly Japanese; the authors
report here on its repeatability and direct and indirect validity. Reliability
was assessed by repeat administration after 1 month. Direct validation was based
on accelerometer data collected every 4 s for 1 month in 147 individuals age 65
85 years. Indirect validation against a 10-item Barthel index (activities of
daily living [ADL]) was completed in 3,084 individuals age 65-99 years. The test
retest coefficient was high (r = .64-.71). Total and subtotal scores for lower
(transportation, housework, and labor) and higher intensity activities
(exercise/sports) were significantly correlated with step counts and durations of
physical activity <3 and >or=3 METs (r = .41, .28, .53), respectively.
Controlling for age and ADL, scores for transportation, exercise/sports, and
labor were greater in men, but women performed more housework. Sex- and ADL- or
age-adjusted PAQ-EJ scores were significantly lower in older and dependent
people. PAQ-EJ repeatability and validity seem comparable to those of instruments
used in Western epidemiological studies.
PMID- 18048945
TI - Operationalizing environmental indicators for physical activity in older adults.
AB - This qualitative study describes environmental supports and barriers to physical
activity in an older adult sample drawn from low- and high-walkable
neighborhoods. Thirty-seven individuals age 55 and over were recruited and
answered open-ended survey questions, with a subsample invited back to partake in
a semistructured interview. Content analysis identified categories and themes
linking perceptions of neighborhood-environment characteristics to activity.
Emerging categories and themes did not differ across neighborhood walkability, so
results are presented for both groups combined. Infrastructure was the most
common category identified to encourage activity, specifically, well-maintained
sidewalks, bike paths or lanes, and traffic control. Other categories of land
use, landscape, and aesthetics were reported. Poorly maintained or missing
sidewalks, crosswalks, bike paths or lanes, and traffic safety were categories
that discouraged activity. In conclusion, the information obtained is helpful in
solidifying which environmental characteristics are important to measure as they
relate to activity behavior in an older adult population.
PMID- 18048946
TI - Environmental changes to increase physical activity: perceptions of older urban
ethnic-minority women.
AB - Despite the numerous benefits of physical activity, older adults continue to be
more sedentary than their younger counterparts, and sedentary behavior is more
prevalent among older racial and ethnic minorities than among Whites. This study
used the nominal group technique (NGT) to examine participants' perceptions of
what neighborhood environmental changes would encourage greater physical activity
for older African American and Hispanic women. Participants age 50-75 years were
recruited from 2 urban community health clinics. Nine NGT sessions (45
participants) were conducted. The women were asked what changes in their
neighborhood environment would encourage them to become more physically active.
Responses to the research question were tabulated, and qualitative analysis was
used to identify themes and categories. Major categories were physical
environment changes, safety, and activities/social support. Although the physical
environment received the greatest number of points, concerns for personal safety
cut across categories. Participants indicated the need for more facilities in
which to be active.
PMID- 18048947
TI - The reliability and validity of the physical activity survey in long-term care.
AB - The purpose of this study was to develop and test a measure of physical activity
for residents in long-term-care facilities, the Physical Activity Survey in Long
Term Care (PAS-LTC). Sixty-six activities are included in the PAS-LTC: routine
physical activity, personal-care activities, structured exercise, recreational
activities, caretaking activities, and repetitive activities. The study included
13 residents in a long-term-care facility, most of whom were women (62%), with an
average age of 84 years (+/- 6.0) and an average Mini Mental State Examination
score of 6 (+/- 6.9). There was evidence of interrater reliability of the PAS-LTC
with intraclass correlations of .83-.94. There was some evidence of validity of
the measure with statistically significant correlations between PAS-LTC recorded
during the evening and night shifts and the number of counts of activity per the
ActiGraph (r = .60 and r = .57, respectively, p < .05) and the calories estimated
(r = .58 and r = .60, respectively, p < .05). The PAS-LTC completed during the
day shift and total activity based on the PAS-LTC showed nonsignificant
correlations of .40 or greater with the ActiGraph activity counts and calories.
PMID- 18048948
TI - Assessment of impairments that limit exercise and use of impairment information
to generate an exercise.
AB - Prescribing the correct exercise program is a challenge for older adults with
multiple physiological impairments. The authors evaluated an assessment
instrument that incorporates results of multiple categories of impairment,
including strength, balance, gait, vision, and cognitive function. The physical
therapist made judgments on the relative impact of 9 different impairments on
specific exercises and on the total impact of all impairments on particular
exercises. In a cohort age 75-85 y, functional limitations, impaired balance,
pain, and low physical endurance were estimated to have the largest impact on the
ability to carry out exercise activities, primarily walking, stair climbing,
balance exercises, and stationary bicycling. The assessments revealed that the
ability to exercise was related to objective measures of function, indicating
that the therapist incorporated such objective measures into the impairment
impact rating. The impairment-impact assessment facilitates creating
individualized exercise prescriptions for individuals with impairments.
PMID- 18048949
TI - Statins: a new insight into their mechanisms of action and consequent pleiotropic
effects.
AB - In the recent years, 3-hydroxy-3-methylglutaryl coenzyme A(HMG-CoA) reductase
inhibitors have emerged as the most important class of lipid-lowering agents.
Through inhibition of HMG-CoA reductase, they restrict the rate-limiting step of
cholesterol synthesis resulting in up-regulation of low density lipoproteins
(LDL) receptors on the cell membrane and reduction of atherogenic LDL
consequences. The wide spectrum of non-lipid-mediated pleiotropic effects of
statins includes: improvement of endothelial dysfunction, increased nitric oxide
bioavailability, antioxidant effects, anti-inflammatory and immunomodulatory
properties, stabilization of atherosclerotic plaques and inhibition of cardiac
hypertrophy. Several clinical trials have demonstrated and confirmed these
beneficial effects of statins in cardiovascular disorders, in primary and
secondary prevention settings. Recent studies have reported that the
physiological background of the widespread therapeutic efficacy of HMG
CoAreductase inhibitors involved various mechanisms, partially associated with
statin impact on posttranslational modifications (e.g. prenylation process). In
this review, we have focused on some of them, especially including the statin
impact on the endothelial dysfunction and inflammation, peroxisome poliferator
activated receptor (PPAR), beta-adrenergic signaling, renin-angiotensin system
and their possible mutual mechanistic linkage.
PMID- 18048950
TI - Growth hormone therapy in children and adults.
AB - Growth hormone (GH) is a polypeptide hormone, secreted by somatotropic cells of
the anterior part of the hypophysis. Its application in therapy, first limited to
GH deficient children, has now been widened to various other clinical conditions,
not necessarily related to short stature. Clinical trials conducted in recent
years have proved the safety of its administration in both children and adults.
The efficacy of this form of therapy varies, according to different authors, from
enthusiastic data to very critical opinions. For many pediatric diseases, such as
GH deficiency or Turner syndrome, GH is regarded by many experts, despite the
high costs of the therapy, as the first-line treatment. Mounting evidence
suggests that GH is safe and effective also in children with chronic renal
failure and cystic fibrosis. Recently, it has also been administered to adults
with GH deficiency and short bowel syndrome. The aim of this paper is to
summarize the current data on GH administration in modern pharmacotherapy. In
this paper we have included the results of the recently published studies and
discussed not commonly known indications for GH therapy, as well as its
experimental administration in both children and adults.
PMID- 18048951
TI - Modulatory role of 5-HT1B receptors in the discriminative signal of amphetamine
in the conditioned taste aversion paradigm.
AB - Drugs of abuse, such as amphetamine (AMPH), share the ability to activate the
mesolimbic dopamine (DA) system. The behavioral effects of AMPH are largely
mediated by increased DA neurotransmission in the nucleus accumbens. However,
there is evidence that serotonin (5-hydroxytryptamine - 5-HT) systems may
regulate forebrain DA function. We examined the role of 5-HT1B receptors on the
discriminative stimulus properties of AMPH using conditioned taste aversion (CTA)
as the drug discrimination procedure. Male Wistar rats were deprived of water and
trained in the CTA procedure. They received the administration of AMPH (1.0
mg/kg) before a 10 min period of access to saccharin solution and followed by an
injection of LiCl; on alternate days, rats received saline before and after the
access to saccharin solution. In generalization and combination tests, the
training dose of AMPH was substituted by 5-HT1B receptor ligands RU24969 (5-HT1B
agonist: 0.1, 0.3 and 1.0 mg/kg), CP94253 (5-HT1B agonist: 1.0, 3.0 and 5.6
mg/kg) and GR127935 (5-HT1B antagonist: 0.3, 1.0 and 3.0 mg/kg) or a combination
of RU24969 (0.1, 0.3 and 1.0 mg/kg), CP94253 (1.0, 3.0 and 5.6 mg/kg) or GR127935
(0.3, 1.0 and 3.0 mg/kg) with AMPH (0.3 mg/kg) or GR127935 (0.3, 1.0 and 3.0
mg/kg) and CP94253 (5.6 mg/kg) with AMPH (0.3 mg/kg). The results showed that 5
HT1B agonists RU24969 and CP94253 produced partial generalization of 48% and 60%,
respectively, and the 5-HT1B antagonist GR127935 neither substituted for AMPH nor
affected the discriminative cue of AMPH; however, when RU24969 or CP94253 were
administrated in combination with AMPH, they increased the discriminative cue of
AMPH. This effect was reversed by the administration of 5-HT1B antagonist
GR127935. These data suggest that 5-HT1B receptors play a modulatory role in the
discriminative cue of AMPH.
PMID- 18048952
TI - Chronic imipramine treatment reduces inhibitory properties of group II mGlu
receptors without affecting their density or affinity.
AB - An increasing body of evidence indicates an important role of the glutamatergic
system in the pathophysiology of depression. Not only ionotropic but also
metabotropic glutamate receptors (mGlu receptors) have been suggested to be
involved in the mechanism of action of antidepressant drugs. Moreover, several
mGlu receptor ligands possess a great antidepressant potential. Group II mGlu
receptor antagonists have been shown to induce antidepressant-like effects in
rodents. An influence of chronic antidepressant treatment on group II mGlu
receptors has also been suggested. In our studies, we examined an influence of
repeated (21-day) imipramine treatment on the density of group II mGlu receptors
and affinity of mGlu2 and mGlu3 receptor radioligand [3H]-LY341495 for group II
mGlu receptors in the rat brain hippocampus and frontal cortex. Moreover, we
analyzed an influence of chronic imipramine administration on the ability of
group II mGlu receptor agonist, 2R,4R-APDC, to inhibit forskolin-stimulated cAMP
accumulation in the rat brain cortical slices. We found that inhibitory
properties of group II mGlu receptors were diminished after chronic, but not
acute imipramine administration. However, no changes in the density or affinity
of the mGlu2 and mGlu3 receptor ligand for group II mGlu receptors were observed.
PMID- 18048953
TI - Effects of some new antiepileptic drugs and progabide on glucocorticoid receptor
mediated gene transcription in LMCAT cells.
AB - Antiepileptic drugs affect endocrine and immune system activity, however, it is
not clear whether these effects are indirect, via interference with
neurotransmitters, membrane receptors and ion channels or maybe independent of
neuronal mechanisms. In order to shed more light on this problem, in the present
study, we evaluated effects of some new-generation antiepileptic drugs and
progabide as a GABA-mimetic on the corticosterone-induced chloramphenicol
acetyltransferase (CAT) activity in mouse fibroblast cells stably transfected
with mouse mammary tumor virus (MMTV)-CAT plasmid. Treatment of cells with
felbamate for five days inhibited in a concentration-dependent manner (3-100
microM) the corticosterone-induced reporter gene transcription. Progabide and
loreclezole also inhibited the corticosterone-induced CAT activity, but with
lower potency, and significant effects were observed at 10 to 100 microM
concentration. Tiagabine and stiripentol showed less potent inhibitory effect on
functional activity of glucocorticoid receptors (GR). In contrast, topiramate and
lamotrigine (3-100 microM) failed to affect the corticosterone-induced gene
transcription. These data indicate that some new antiepileptic drugs and
progabide may suppress glucocorticoid effects via the inhibition of GR-mediated
gene transcription. In turn, attenuation of GR function could influence
antiepileptic drug effect on seizures, neuronal degeneration and immune system
activity.
PMID- 18048954
TI - Role of polymorphonuclear leukocyte infiltration in the mechanism of anti
inflammatory effect of amiodarone.
AB - In many physiological bodily functions, and in the pathogenesis of inflammation,
ions are exchanged between intracellular and extracellular areas. Amiodarone is a
multiple ion channel (Ca++, Na+, K+) blocking drug, effective anti-arrhythmic
drug, and phospholipase inhibitor. The aim of this study is to examine a role of
polymorphonuclear leukocyte infiltration in amiodarone's anti-inflammatory effect
on experimental paw inflammation. After rats had been assigned to groups, their
normal right hind paw volumes were measured using a plethysmometer. Amiodarone
(25, 50 and 100 mg/kg) and distilled water were administrated to the experimental
and control groups, respectively, by ip route. Thirty minutes later, paw edema
was induced in rats by subplantar injection of 0.1 ml of histamine (0.1%) to
those paws. Subsequent volume readings for those paws were carried out at 30-min
intervals. Results were expressed as percentages of change from the initial
volumes. After the final measurements, the animals were killed by decapitation
and their paw tissues were cut for pathological investigation. Amiodarone dose
dependently decreased the paw edema (25.05, 48.71 and 74.97%), and reduced
polymorphonuclear leukocyte infiltration in the paw tissue (55.65, 69.76 and
84.58%). Our findings support the view that amiodarone dose-dependently exerts a
powerful anti-inflammatory activity. This effect of amiodarone may be due to the
activation of nitric oxide resulting from its calcium channel antagonistic
effects, to the inhibition of phospholipase A2 and/or to a reduction in
neutrophil movement and activation, which may reduce free radical production and
proteolytic enzyme release.
PMID- 18048955
TI - Prostacyclin, but not nitric oxide, is the major mediator of acetylcholine
induced vasodilatation in the isolated mouse heart.
AB - In many species, acetylcholine (Ach) induces coronary vasodilatation via
endothelium-derived nitric oxide (NO). The aim of the present study was to
examine if this rule pertains also to the coronary circulation of the mouse. We
examined the involvement of NO and prostacyclin (PGI2) in the coronary flow
response to Ach as compared to response to bradykinin (Bk) in hearts isolated
from FVB or C57Bl/6 mice and perfused according to the Langendorff technique. In
the isolated mouse heart, response to Ach consisted of two distinct phases:
immediate, transient vasodilatation/vasoconstriction (less than 1 min) that
differed between FVB and C57Bl/6 mice; and delayed sustained vasodilatation (up
to 8 min) that was similar in FVB and C57Bl/6 mice. In FVB mice, the immediate
phase of the Ach response consisted of a short-lasting vasodilatation followed by
a vasoconstriction. In contrast, in C57Bl/6 mice, the immediate phase of the Ach
response consisted exclusively of a short-lasting vasoconstriction. However, both
in FVB and C57Bl/6 mice, the delayed vasodilatation was a major part of the
coronary flow response to Ach and it was associated with an increase in 6-keto
PGF(1 alpha) concentration in the effluent. L-NAME (5 x 10(-4) M) displayed a
minor effect on the delayed phase of the Ach response in either mice strain. In
turn, indomethacin (10(-6) M), but not rofecoxib (5 x 10(-6)M), completely
inhibited the delayed phase of the Ach response and the concomitant PGI2 release.
On the other hand, vasodilatation induced by Bk was markedly inhibited by L-NAME,
while it was unaffected by indomethacin in FVB as well as in C57Bl/6 mice. In
summary, in the isolated mouse heart, Ach-induced coronary flow response displays
an unusual biphasic nature and is mediated in major part by PGI2, but not by NO.
Thus, in the isolated mouse heart, in parallel to Bk or other agents that are
suited for the functional assessment of NO-dependent endothelial function, Ach
should be used to assess PGI2-dependent endothelial function.
PMID- 18048956
TI - Nephroprotective effect of cystathionine is due to its diverse action on the
kidney and Ehrlich ascites tumor cells.
AB - Tumor cells, unlike normal cells, are characterized by trace cystathionase (CST)
activity and sulfane sulfur levels. The present studies aimed to established
whether cystathionine (CT), a substrate of cystathionase, can selectively
influence the thiol-dependent antioxidant power of the kidney and Ehrlich ascites
tumor (EAT). CT treatment reversed the changes in renal concentrations of non
protein thiols (NPSH), reactive oxygen species (ROS), sulfane sulfur and
activities of rhodanese, cystathionase and glutathione S-transferase (GST) in
tumor-bearing mice, which returned to the level observed in healthy animals. The
results demonstrated that CT corrected all harmful changes in the mouse kidney
induced by EAT. In contrast, CT did not elicit such effect in EAT cells, in which
it only increased ROS level. It indicates that CT can selectively protect the
kidney of tumor-bearing mice against nephrotoxicity of drugs as well as restore
biological function of sulfane sulfur. On the other hand, cisplatin (CP) did not
affect any of the parameters under study in the kidney of tumor-bearing mice.
Interestingly, cisplatin markedly lowered glutathione S-transferase activity and
increased sulfane sulfur level and rhodanese activity in tumor cells. It is also
worth noting that CP doses devoid of nephrotoxic effect in tumor-bearing mice
could enhance cystathionine action on the kidney, causing an additional increase
in NPSH and CST and rhodanese activity.
PMID- 18048957
TI - Antiproliferative activity of various Uncaria tomentosa preparations on HL-60
promyelocytic leukemia cells.
AB - The woody Amazonian vine Uncaria tomentosa (cat's claw) has been recently more
and more popular all over the world as an immunomodulatory, antiinflammatory and
anti-cancer remedy. This study investigates anti-proliferative potency of several
cat's claw preparations with different quantitative and qualitative alkaloid
contents on HL-60 acute promyelocytic human cells by applying trypan blue
exclusion and 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide
reduction assay (MTT). By standardization and statistical comparison of the
obtained results pteropodine and isomitraphylline are indicated to be most
suitable for standardization of medical cat's claw preparations.
PMID- 18048958
TI - Synergistic protective effect of picroside II and NGF on PC12 cells against
oxidative stress induced by H2O2.
AB - Epidemiological studies suggest that nerve growth factor (NGF) is associated with
a reduced risk of acute or chronic neuropathies. We studied the synergistic
protective effect of picroside II and NGF against the oxidative stress in PC12
cells induced by hydrogen peroxide (H2O2). The fluorescent probe CDCFH was used
to assess the intracellular reactive oxygen species (ROS) level, and MTT assay,
morphological observation as well as LDH leakage test were conducted to measure
cellular injury. The H2O2-induced cytotoxicity was significantly attenuated in
the presence of picroside II (25 microg/ml ) and NGF (2 ng/ml). Cultures with
this combined treatment possessed decreased level of ROS while increased cell
survival, as compared to that of picroside II or NGF alone-treated cells.
Accordingly, it was concluded that their synergistic protective activities
against oxidative stress in vitro were demonstrated in various aspects, including
reversing morphological changes, enhancing the ability of cell proliferation and
ROS scavenging. Such action supports the therapeutic potential of picroside II
and NGF in treating nervous disorders based on their synergistic effect.
PMID- 18048959
TI - Some drugs inhibit in vitro hydratase and esterase activities of human carbonic
anhydrase-I and II.
AB - In this study, we determined the in vitro inhibitory effects of ceftriaxone
sodium, imipenem and ornidazole on hydratase and esterase activities of human
erythrocyte carbonic anhydrase-I and II isozymes (CA I and II). Human erythrocyte
CAI and II isozymes were purified by Sepharose-4B L-tyrosine affinity
chromatography column with a yield of 30% and 40%, a specific activity of 920 and
8,000 EU/mg protein, respectively. In the overall purification procedure, human
carbonic anhydrase (hCA)-I and (hCA)-II were purified 104 and 900-fold,
respectively. In order to determine the purity of the enzymes, SDS-PAGE was
performed. Inhibitory effects of the drugs on hCA-I and hCA-II were determined by
using colorimetric method for CO2-hydratase activity assay and spectrophotometric
method for esterase activity assay. P-Nitrophenyl acetate was used as a substrate
in the spectrophotometric esterase activity assay. The obtained IC50 values
(inhibitor concentrations which cause 50% inhibition of in vitro enzyme activity)
for esterase activity were 1.900, 0.008, 0.318 mM for hCA-I and 2.542, 0.0258,
0.343 mM for hCA-II for ceftriaxone sodium, imipenem and ornidazole,
respectively. IC50 values for CO2-hydratase activity were 0.864, 0.00354, 0.131
mM for hCA-I and 1.118, 0.0214, 0.263 mM for hCA-II for ceftriaxone sodium,
imipenem and ornidazole, respectively. In conclusion, ceftriaxone sodium,
imipenem and ornidazole showed inhibitory effects on human erythrocte carbonic
anhydrase-I and II isozyme activities under in vitro conditions.
PMID- 18048960
TI - Effect of repeated co-treatment with imipramine and metyrapone on the behavioral
reactivity of the central serotonin, dopamine and alpha 1-adrenergic systems in
rats.
AB - The aim of present study was to examine the effect of repeated co-treatment with
imipramine and metyrapone on the development of adaptive changes in the function
of central serotonin 5-HT1A and 5-HT2A, dopamine D2/3 and alpha 1-adrenergic
receptors in rats. The obtained results showed that repeated co-treatment with
imipramine (5 or 10 mg/kg) and metyrapone (50 mg/kg) (twice daily for 14 days)
either induced more potent inhibition of the behavioral syndrome evoked by 5-HT1A
and 5-HT2A receptor agonists (8-OH-DPAT and (+/-)DOI, respectively), or did no
change the action of amphetamine and wuinpirole (a dopamine D2/3 agonist) or
phenylephrine (an alpha 1-adrenergic agonist) compared to treatment with either
drug alone. The results described in the present paper support the hypothesis
that repeated co-treatment with imipramine and metyrapone may possess more
effective antidepressant activity than the treatment with imipramine alone, and
that, among other mechanisms, 5-HT1A- and 5-HT2A (but not dopamine D2/3- or alpha
1-adrenergic) receptors may also play some role in this effect.
PMID- 18048961
TI - Activation of the NMDA/glutamate receptor complex antagonizes the NMDA antagonist
induced antidepressant-like effects in the forced swim test.
AB - The antidepressant activity of NMDA receptor antagonists has been demonstrated,
and their mechanism of action was based on the assumption of their selectivity
for the NMDA receptor only. However, no direct evidence for the NMDA receptor
role in this activity was demonstrated. Now, in order to prove the NMDA pathway
of antidepressant-like action of the NMDA antagonists in the mouse forced swim
test (FST) we examined if antidepressant activity of NMDA receptor antagonists is
mediated by NMDA receptors and whether the activation of different modulatory
sites of the NMDA receptor complex influence the action of the antagonists of
different sites of NMDA receptor. In our study, we used two NMDA ligands:
competitive NMDA glutamate site antagonist CGP 37849, and glycineB antagonist L
701,324; both at doses found to be effective in the FST. The antidepressant-like
activity of the compounds was abolished by the N-methyl-D-aspartic acid (NMDA) or
by D-serine co-treatment. Ligands at the doses active in the FST did not alter
locomotor activity. The present study indicates the major role of the
NMDA/glutamate pathway in the antidepressant-like activity of NMDA antagonists in
the mouse FST.
PMID- 18048962
TI - Nitric oxide modulates the amphetamine effect on [3H]glucose uptake in the brain
of rats prenatally exposed to lead.
AB - Glucose is the main source of energy for the central nervous system (CNS). In
this study, we examined the effects of the psychostimulant amphetamine (AMPH) and
the neuronal mediator nitric oxide (NO) on [3H]glucose uptake in the brain of
adult rats that had been prenatally exposed to lead. Lead [Pb(CH3COO)2 . 3H2O;
250 ppm] was added to the drinking water of pregnant Wistar rats for the duration
of pregnancy. On the day of parturition, lead was discontinued as an additive in
the drinking water. Offspring remained ith dams for 21 days. The control group
consisted of rats that consumed water without lead. In adulthood, male offspring
from both groups (lead-exposed and control) were pretreated with 7-nitroindazole
(nNOS blocking agent) (10.0 mg/kg ip) or saline (1.0 ml/kg ip), 30 min before
AMPH (1.0 mg/kg ip). After another 30 min, and 15 min before termination, all
rats were injected with 6-[3H]-D-glucose (500 muCi/kg ip). Brain specimens were
taken (striatum, frontal cortex, hippocampus, and thalamus with hypothalamus, and
pons with medulla oblongata) for determination of radioactivity in a liquid
scintillation counter. We found that lead did not alter [3H]glucose uptake in
brain regions studied (with exception of frontal cortex) but that AMPH increased
[3H]glucose uptake in the striatum, frontal cortex and hippocampus, and that the
AMPH effect was lessened in the hippocampus of lead-exposed rats. Moreover, the
AMPH effect on [3H]glucose uptake in the frontal cortex, hippocampus, thalamus
with hypothalamus and pons of control rats was potentiated by 7-NI pretreatment.
Similar effect was observed in lead-intoxicated rats (striatum, frontal cortex
and hippocampus). These results indicate that NO modulates AMPH-induced
[3H]glucose uptake in the brain of rats prenatally exposed to lead.
PMID- 18048963
TI - The activity of cytochrome P450 CYP2B in rat liver during neuroleptic treatment.
AB - The aim of the present study was to investigate the influence of classic and
atypical neuroleptics on the activity of rat CYP2B measured as a rate of 16 beta
hydroxylation of testosterone. The reaction was studied in control liver
microsomes in the presence of neuroleptics, as well as in microsomes of rats
treated intraperitoneally for one day or two weeks (twice a day) with
pharmacological doses (mg/kg) of the drugs (promazine, levomepromazine,
thioridazine and perazine, 10 each; chlorpromazine 3; haloperidol 0.3;
risperidone 0.1; sertindole 0.05), in the absence of the neuroleptics in vitro.
ome of the neuroleptics added in vitro to control liver microsomes decreased the
activity of CYP2B. The obtained Ki values indicated that thioridazine was the
most potent inhibitor of the studied reaction (Ki = 26 microM). The inhibitory
effects of chlorpromazine, perazine and sertindole were moderate (Ki = 45-75
microM), while promazine, haloperidol, levomepromazine, and risperidone were
rather weak inhibitors of CYP2B activity (Ki = 125-225 microM, respectively).
After a one-day (i.e. 24 h) exposure of rats to the investigated neuroleptics,
the decreased CYP2B activity was observed after haloperidol, risperidone and
sertindole. All the investigated neuroleptics did not produce any significant
effect on CYP2B activity when administered in vivo for two weeks. Considering
relatively high pharmacological/therapeutic doses and liver concentrations of
phenothiazines, it seems that the direct inhibitory effect of those neuroleptics
with Ki values below 100 microM found in vitro (thioridazine, chlorpromazine,
perazine), as well as indirect effects produced by one-day treatment with
haloperidol, risperidone or sertindole may be of some physiological,
pharmacological or toxicological importance in vivo.
PMID- 18048964
TI - Effect of gas phase and particulate phase of cigarette smoke on salivary
antioxidants. What can be the role of vitamin C and pyridoxine?
AB - The effect of smoking is in our days a serious global public health problem of
major concern. Incidence of oral squamous cell carcinoma (SCC) in cigarette
smokers is four to seven times higher than in nonsmokers. There is a constant and
direct attack of various cigarette smoke constituents on the oral epithelial
cells, which gradually accumulate and cause malignant transformation. Saliva is
the first biological fluid that encounters inhaled cigarette smoke (CS). We have
studied the influence of CS on salivary antioxidant capacity, uric acid, amylase
and LDH (lactate dehydrogenase). In our study both, gas and particulate phase of
CS were tested separately, and possible antioxidant effect of pyridoxine on
salivary components was examined. Our results indicate that exposure to both, gas
and particulate phase of CS caused a statistically significant decrease in
salivary uric acid, LDH and amylase activity. We have also studied the effect of
vitamin C (10 mg/dl) and vitamin B6 (1 mM) during incubation of saliva in the
presence of CS. The addition of vitamin C had a significant (p < 0.05) protective
effect on salivary uric acid level (0.25 +/- 0.12 for saliva incubated with gas
phase of CS vs. 0.65 +/- 0.12 for saliva incubated with gas phase of CS in the
presence of vitamin C). Vitamin C was not able to maintain/restore the original
uric acid level. In the presence of the gas phase, pyridoxine had no protective
effect, neither on salivary uric acid level nor on the FRAP activity of saliva.
The purpose of our study was to discover a connection between the level of
antioxidants in saliva in the presence of the two components of CS. Our results
show that salivary antioxidant system is significantly and distinctly affected by
both gas and particulate phase of CS and suggest that an adequate intake of
antioxidants may help smokers to avoid CS-induced oxidative damage and to prevent
degenerative diseases.
PMID- 18048965
TI - The diagnostic efficacy of urinary TGF-beta1 and VEGF in bladder cancer:
comparison with voided urine cytology.
AB - The purpose of this study was to evaluate the diagnostic efficacy of urinary
transforming growth factor-beta1 (TGF-beta1) and vascular endothelial growth
factor (VEGF) in comparison with voided urine cytology in the detection of
bladder cancer. This study included 120 patients with bladder cancer, 54 patients
with benign urological disorders and 55 healthy volunteers. Urine supernatant was
used for estimation of TGF-beta1 and VEGF by ELISA. VEGF was detected by Western
blot (WB) analysis in the urine supernatant of randomly selected bladder cancer
patients. The urine sediment was used for cytology. There was a statistically
significant difference in the median levels of TGF-beta1 (P=0.002) and VEGF
(P=0.000) between the control, benign and malignant groups. The concordance rate
of VEGF ELISA with VEGF WB was 96.3%. The overall sensitivity and specificity
were 70.8% and 90.8% for voided urine cytology, 71.6% and 59.6% for TGF-beta1,
and 76.7% and 61.5% for VEGF. The combined use of voided urine cytology with TGF
beta1 and VEGF improved the sensitivity up to 94.9%, although it lowered
specificity to 62.0%. There was a significant association between positivity rate
of TGF-beta1 and positive urine cytology samples (P=0.023). Median level and
positivity rate of VEGF were significantly associated with early stage (I, II) of
bladder carcinoma (P=0.01 and 0.025, respectively). Our data indicate that
urinary TGF-beta1 and VEGF had higher sensitivities compared to voided urine
cytology. Moreover, the combined sensitivity of voided urine cytology with TGF
beta1 and VEGF together was higher than sensitivity of voided urine cytology
alone in detection of bladder cancer.
PMID- 18048966
TI - Soluble mesothelin-related peptides (SMRP) - high stability of a potential tumor
marker for mesothelioma.
AB - SMRP (soluble mesothelin-related peptides) is a promising marker for detection of
malignant mesotheliomas (MM) in serum that has not yet been validated in
appropriate epidemiological studies. Field studies might not always provide
optimal conditions for storage and transport of samples, and follow-up studies
have to rely on sample integrity. Proper validation of the marker would require
sufficient stability of the antigen and robustness of the assay. SMRP
concentrations were evaluated in serum samples of 98 healthy donors, using the
MESOMARK ELISA kit. The SMRP distribution in the healthy study population was
determined and biological and pre-analytical variations were examined regarding
their influence on SMRP concentrations. For diagnostic decisions a best
statistical and unbiased cut-off between 1.5 and 1.6 nmol/L was determined (95th
percentile). No age- or gender-specific differences could be observed. SMRP
exhibits excellent stability regarding short-term storage, long-term storage, and
repeated freeze/thaw cycles. Scientific studies as well as real life applications
that employ SMRP would not be limited by sample stability issues.
PMID- 18048967
TI - High serum TIMP-1 correlates with poor prognosis in breast carcinoma - a
validation study.
AB - A number of studies have demonstrated that high tumor tissue levels of tissue
inhibitor of metalloproteinases-1 (TIMP-1) are associated with a poor prognosis
in breast cancer, suggesting that TIMP-1 could be a valid prognostic marker in
this disease. Recently, our laboratories have presented results showing that TIMP
1 also carries prognostic information when measured in serum. This is an
important finding, since serum is a much more preferable material compared with
tumor tissue extracts. The aim of the present study was to validate the previous
results concerning the prognostic value of TIMP-1 in serum obtained
preoperatively from 68 patients with primary breast cancer. This was done by
measuring the same serum samples as in the previous study but in a different
laboratory using a different ELISA assay. We confirmed that patients with the
highest serum levels of TIMP-1 (> 197.7 ng/ml) had significantly shorter disease
specific survival compared with patients with low serum TIMP-1 levels. In the
group of node-negative patients, 53% of the patients with high levels of TIMP-1
survived after 10 years of follow-up compared to 92% of the patients with low
levels. This study thus confirms the reproducibility across laboratories of the
results concerning the prognostic value of TIMP-1 in serum. We also investigated
whether measurements of the specific fraction of uncomplexed TIMP-1 improved the
prognostic value of TIMP-1 in serum, as has been shown to be the case for tumor
tissue extracts. However, including information of the level of uncomplexed TIMP
1 did not seem to provide additional prognostic information to that already
provided by total TIMP-1.
PMID- 18048969
TI - Detection of the JAK2V617F mutation by asymmetric PCR and melt curve analysis.
AB - The chronic myeloproliferative disorders (CMPDs) are a heterogeneous group of
clonal hematopoietic diseases characterized by production of increased numbers of
mature leukocytes, erythrocytes, and/or platelets. Clinically these disorders are
often insidious in onset, produce nonspecific thrombotic or hemorrhagic
complications, and can be easily confused with a variety of benign, reactive
conditions. Thus, confirming a CMPD can be difficult as it is often a diagnosis
of exclusion. The recently identified JAK2(V617F) mutation is frequently present
in the classic CMPDs polycythemia vera, essential thrombocythemia, and chronic
idiopathic myelofibrosis. JAK2(V617F) determination has proven to be a useful
diagnostic tool in patients with some clinical features suggestive for a CMPD,
and may have benefit as a way to monitor known disease. There are several
published molecular assays for the JAK2(V617F) target, of variable sensitivity
and technical complexity, many of which are not easily replicated in a typical
clinical laboratory. We present a robust, sensitive PCR/melt curve assay for the
JAK2(V617F) mutation which uses the widely available Roche LightCycler platform,
and is thus applicable to many clinical molecular laboratories.
PMID- 18048970
TI - Association between VEGF expression in tumour-associated macrophages and elevated
serum VEGF levels in primary colorectal cancer patients.
AB - OBJECTIVE: Angiogenesis is stimulated by angiogenic factors released by tumour
cells, though other cells, such as tumour-associated macrophages (TAMs), also
contribute towards increasing the angiogenic process in colorectal cancer (CRC).
The aim of this study was to determine in CRC patients the contribution of
vascular endothelial growth factor (VEGF) expression in TAMs and tumour cells
towards circulating VEGF levels, their association with p53 expression and
microvascular density (MVD), and their prognostic value. METHODS:
Immunohistochemical techniques were used to identify TAMs and p53 protein, and to
evaluate the VEGF expression in TAMs, MVD and tumour cells in 110 primary CRC
patients. Serum VEGF levels were determined using an enzyme immune assay.
RESULTS: There was a greater expression of VEGF in tumours with a positive p53
expression than a negative stain (p<0.01). The macrophage index was not related
to tumour VEGF secretion. No significant association was observed between serum
VEGF levels and VEGF tumour expression, node status, histological grade, MVD or
p53 expression. However, the patients with high values of VEGF expression in TAMs
showed significantly higher presurgery serum VEGF levels than those patients with
low values of VEGF expression in TAMs (p=0.021). No statistical significant
differences in survival were found when we compared patients with high VEGF
expression in TAMs vs low or median VEGF expression in TAMs (p=0.093). Serum VEGF
levels were increased 6-8 hours after tumour removal (p=0.001). CONCLUSIONS: Our
data suggest that in primary CRC, presurgery circulating VEGF levels are related
to VEGF produced by TAMs.
PMID- 18048968
TI - African-American and Caucasian disparities in colorectal cancer mortality and
survival by data source: an epidemiologic review.
AB - Over the past four decades in the United States, there has been a divergent trend
in mortality rates between African-Americans and Caucasians with colorectal
cancer (CRC). Rates among Caucasians have been steadily declining, whereas rates
among African-Americans have only started a gradual decline in recent years. We
reviewed epidemiologic studies of CRC racial disparities between African
Americans and Caucasians, including studies from SEER and population-based cancer
registries, Veterans Affairs (VA) databases, healthcare coverage databases, and
university and other medical center data sources. Elevated overall and stage
specific risks of CRC mortality and shorter survival for African-Americans
compared with Caucasians were reported across all data sources. The magnitude of
racial disparities varied across study groups, with the strongest associations
observed in university and non-VA hospital-based medical center studies, while an
attenuated discrepancy was found in VA database studies. An advanced stage of
disease at the time of diagnosis among African-Americans is a major contributing
factor to the racial disparity in survival. Several studies, however, have shown
that an increased risk of CRC death among African-Americans remains even after
controlling for tumor stage at diagnosis, socioeconomic factors, and co
morbidity. Despite advances in treatment, improvements in the standard of care,
and increased screening options, racial differences persist in CRC mortality and
survival. Therefore, continued research efforts are necessary to disentangle the
clinical, social, biological, and environmental factors that constitute the
racial disparity. In addition, results across data sources should be considered
when evaluating racial differences in cancer outcomes.
PMID- 18048971
TI - Potentials of real time control, stormwater infiltration and urine separation to
minimize river impacts: dynamic long term simulation of sewer network, pumping
stations, pressure pipes and waste water treatment plant.
AB - River Panke (Berlin, Germany) suffers from hydraulic peak loads and pollutant
loads from separate sewers and combined sewer overflows (CSOs). Pumping the
wastewater through long pressure pipes causes extreme peak loads to the
wastewater treatment plant (WWTP) during stormwater events. In order to find a
good solution, it is essential not to decide on one approach at the beginning,
but to evaluate a number of different approaches. For this reason, an integrated
simulation study is carried out, assessing the potentials of real time control
(RTC), stormwater infiltration, storage and urine separation. Criteria for the
assessment are derived and multi-criteria analysis is applied. Despite spatial
limitations, infiltration has the highest potential and is very effective with
respect to both overflows and the WWTP. Due to a high percentage of separate
systems, urine separation has a similar potential and causes the strongest
benefits at the WWTP. Unconventional control strategies can lead to significant
improvement (comparable to infiltrating the water from approximately 10% of the
sealed area).
PMID- 18048972
TI - Dynamic optimisation of WWTP inflow to reduce total emission.
AB - A prerequisite for an integrated control of sewer and wastewater treatment plant
(WWTP) is a capacity driven inflow control to WWTP. This requires reliable
information about the current status of WWTP operation and its behaviour on
varying hydraulic, COD and nutrient loads. So far most of the proposed control
strategies are based on hypothetical modelling studies. In this paper the
behaviour of three large WWTPs on increased storm water loads is analysed based
on online measurements of several years. In all cases the main limiting factors
for an increase of load were the sedimentation processes in the secondary
clarifier and the nitrification capacity. In one case study predictive control
strategies have been developed observing these processes which are backboned by
effluent control. Tests using an integrated model of sewer and WWTP demonstrate
that inflow control on emission load varies significantly with rain intensity.
PMID- 18048973
TI - Thermophilic anaerobic digestion in compact systems: investigations by modern
microbiological techniques and mathematical simulation.
AB - Thermophilic anaerobic digestion in compact systems can be an economical and
ecological reasonable decentralised process technique, especially for rural
areas. Thermophilic process conditions are important for a sufficient removal of
pathogens. The high energy demand, however, can make such systems unfavourable in
terms of energy costs. This is the case when low concentrated wastewater is
treated or the system is operated at low ambient temperatures. In this paper we
present experimental results of a compact thermophilic anaerobic system obtained
with fluorescent in situ hybridisation (FISH) analysis and mathematical
simulation. The system was operated with faecal sludge for a period of 135 days
and with a model substrate consisting of forage and cellulose for a period of 60
days. The change in the microbial community due to the two different substrates
treated could be well observed by the FISH analysis. The Anaerobic Digestion
Model no. 1 (ADM1) was used to evaluate system performance at different
temperature conditions. The model was extended to contribute to decreased
methanogenic activity at lower temperatures and was used to calculate energy
production. A model was developed to calculate the major parts of energy consumed
by the digester itself at different temperature conditions. It was demonstrated
by the simulation study that a reduction of the process temperature can lead to
higher net energy yield. The simulation study additionally showed that the effect
of temperature on the energy yield is higher when a substrate is treated with
high protein content.
PMID- 18048974
TI - Application of enzymes in anaerobic digestion.
AB - Owing to the very low economic value of brewer's spent grains, its utilisation
for biogas production is very promising. The hydrolysis of ligno-cellulose is the
rate limiting step in anaerobic digestion. Enzymatic pre-treatment promotes the
hydrolysis of ligno-cellulose, breaking it down to lower molecular weight
substances which are ready to be utilised by the bacteria. A cheap raw multi
enzyme produced by a solid state fermentation (SSF) process is a good substitute
for expensive conventional enzyme. The SSF enzyme application to spent grain has
been investigated by carrying out enzymatic solubility tests, hydrolytic
experiments and two-step anaerobic fermentation of spent grain. Gas chromatograph
analysis was conducted to quantify fatty acids concentrations, while CH(4),
CO(2), O(2), H(2) and H(2)S were measured to determine biogas quality by means of
a gas analyser. DS, oDS, pH were also measured to analyse the anaerobic
digestion. The result shows that enzyme application promotes the hydrolysis of
ligno-cellulose, indicated by higher enzymatic solubility and fatty acid
concentration in a hydrolytic bioreactor. Moreover, biogas production is also
increased. The quality of the gases produced is also enhanced. Since the
anaerobic digestion can be operated in a stable performance, it can also be
concluded that SSF enzyme is compatible with anaerobic digestion.
PMID- 18048975
TI - Anaerobic treatment of municipal wastewater using the UASB-technology.
AB - The anaerobic treatment of municipal wastewater enables new applications for the
reuse of wastewater. The effluent could be used for irrigation as the included
nutrients are not affected by the treatment. Much more interesting now are
renewable energies and the retrenchment of CO(2) emission. With the anaerobic
treatment of municipal wastewater, not only can the CO(2) emission be reduced but
"clean" energy supply can be gained by biogas. Most important for the
sustainability of this process is the gathering of methane from the liquid
effluent of the reactor, because the negative climate-relevant effect from the
degassing methane is much higher than the positive effect from saving CO(2)
emission. In this study, UASB reactors were used with a flocculent sludge blanket
for the biodegradation of the carbon fraction in the wastewater with different
temperatures and concentrations. It could be shown that the positive effect is
much higher for municipal wastewater with high concentrations in hot climates.
PMID- 18048976
TI - Anaerobic co-digestion of sludge with other organic wastes in small wastewater
treatment plants: an economic considerations evaluation.
AB - This paper deals with an economic comparison between costs and incomes in small
wastewater treatment plants where the anaerobic co-digestion process of sludge
and biowaste with energy recovery is operated. Plants in the size range 1,000
30,000 persons equivalent (pe) were considered in the study: typical costs,
comprehensive of capital and operating costs, were in the range euro69-105 per
person per year depending on the plant size: the smaller the size the higher the
specific cost. The incomes deriving from taxes and fees for wastewater treatment
are generally in the range euro36-54 per person per year and can only partially
cover costs in small wastewater treatment plants. However, the co-treatment of
biowaste and the use of produced energy for extra credits (green certificates)
determine a clear improvement in the possible revenues from the plant. These were
calculated to be euro23-25 per person per year; as a consequence the costs and
incomes can be considered comparable for wastewater treatment plants (WWTPs) with
size larger than 10,000 pe. Therefore, anaerobic co-digestion of biowaste and
sludge can also be considered a sustainable solution for small wastewater
treatment plants in rural areas where several different kinds of biowaste are
available to enhance biogas production in anaerobic reactors.
PMID- 18048977
TI - The impact of increasing energy crop addition on process performance and residual
methane potential in anaerobic digestion.
AB - In a full-scale agricultural biogas plant, the changes in process performance
connected with the increasing energy crop addition were monitored. The substrates
applied were pig manure, solid energy crops and agricultural residues. During the
study, the organic loading rate and the volume-related biogas productivity were
doubled to 4.2 kg VS/(m(.3)d) and 2.83 Nm(3)/(m(3).d) respectively, by means of
increasing the energy crop ratio in the feedstock to 96.5% (volatile solids).
This resulted in an increase of the electrical capacity on a level twice as high
as before. At the same time, methane yield and organic degradation rate decreased
slightly to 0.35 Nm(3)/kg VS(added) and 87.4%, respectively. The strongest impact
observed was on the transfer of partly degraded organic material into the
digestate storage and with this, an increase of the residual methane potential of
the digestate. A maximum theoretical methane load in the digestate of 14.4%
related to total methane production of the biogas plant was observed. This
maximum level could be reduced to 5.5%.
PMID- 18048978
TI - Small wastewater treatment plants in mountain areas: combination of septic tank
and biological filter.
AB - Research work has been carried out for more than 20 years by Eparco and the
University of Montpellier (France) on the application of biological wastewater
treatment processes for small communities. This research has led to a new process
which is particularly suitable for remote populations, taking into account
several specificities such as as the seasonal fluctuations in the population, the
accessibility of the site, the absence of a power supply on site, the reduced
area of land available and the low maintenance. Thus, the process, which combines
a septic tank operating under anaerobic conditions and a biological aerobic
filter, is a solution for wastewater treatment in mountain areas. This paper
presents the process and three full-scale applications in the region of the Alps.
PMID- 18048979
TI - An evaluation of applying existing bioretention sizing methods to cold climates
with snow storage conditions.
AB - Eight of the current sizing and design methods proposed for bioretention
facilities were evaluated for rainfall runoff and snow storage volumes for a
costal cold climate in Trondheim, Norway. The RECARGA bioretention infiltration
model was used to compare the performance of the methods using 30 months of
observed data from a pilot scale bioretention box. The surface areas, total
ponding time, number and duration of overflow events, and snow storage volumes
were compared. It was found that even in a costal cold climate with several
intermittent melt cycles die snow storage requirements were an important design
parameter, and if more than 25% of the total snow volume should stored this
became the deciding design parameter.
PMID- 18048980
TI - The influence of temperature on nutrient treatment efficiency in stormwater
biofilter systems.
AB - Nutrients can cause eutrophication of natural water bodies. Thus, urban
stormwater which is an important nutrient source in urbanised areas has to be
treated in order to reduce its nutrient loads. Biofilters which use soil filter
media, biofilms and plants, are a good treatment option for nutrients. This paper
presents the results of a biofilter column study in cold temperatures (+2 degrees
C, +8 degrees C, control at +20 degrees C) which may cause special problems
regarding biofilter performance. It was shown that particle-bound pollutants as
TSS and a high fraction of phosphorus were reduced well without being negatively
influenced by cold temperatures. Nitrogen, however, was not reduced; especially
NO(x) was produced in the columns. This behaviour can be explained with both
insufficient denitrification and high leaching from the columns.
PMID- 18048981
TI - Hydraulic performance of biofilters for stormwater management: first lessons from
both laboratory and field studies.
AB - In order to improve knowledge on stormwater biofiltration systems, the Facility
for Advancing Water Biofiltration (FAWB) was created at Monash University in
Melbourne, Australia. One of the aims of FAWB is to improve hydraulic performance
of biofilters, given that there are numerous cases of infiltration devices
failing after a few years of operation. Experiments were conducted in the field
to evaluate the performance of existing systems, and in the lab to understand the
factors that influence hydraulic behavior over time. The field experiments show
that 43% of tested systems are below nominal Australian guidelines for hydraulic
conductivity. The preliminary lab results show a decrease in hydraulic
conductivity during the first weeks of operation (mu=66% reduction), although
most remain within acceptable limits. Influences of the size of the biofilter
relative to its catchment and the importance of the type of media, on the
evolution of hydraulic conductivity, are examined.
PMID- 18048982
TI - Membrane filtration for particles removal after ozonation-biofiltration.
AB - With the process combination Ozonation-Biofiltration-Membranefiltration (the OBM
process) an average removal of 63% for UV-absorbance (UV(254)), 79% for color,
and 28% for DOC was obtained treating NOM containing surface water. In this
paper, focus has been made on the removal of particles after the ozonation and
biofiltration treatment steps, using a submerged hollow fiber ultrafiltration
membrane reactor. For this purpose the membrane performed adequately. The
ultrafiltration step did not have a significant impact on the removal of color,
UV(254), and DOC. However, the SS and the heterotrophic plate count (HPC) was
almost completely removed, and the turbidity was reduced by 65% by the membrane
filtration, even at very high fluxes. Fouling occurred during operation, and
operational factors of both the ozonation/biofiltration and the membrane reactor
were important for controlling this. The experiments at pH 6.5 gave a higher
permanent fouling than at pH 8.5, which was caused by the different oxidation
pathways and consequently by the change in size and hydrophobicity of the by
products. On the other hand, the reversible fouling was less important at low pH.
The mechanical cleaning was efficient, however, it seemed that the air scouring
participated to the formation of submicron particles which favored the fouling.
PMID- 18048983
TI - Effects of floc and bubble size on the efficiency of the dissolved air flotation
(DAF) process.
AB - Dissolved air flotation (DAF) is a method for removing particles from water using
micro bubbles instead of settlement. The process has proved to be successful and,
since the 1960s, accepted as an alternative to the conventional sedimentation
process for water and wastewater treatment. However, limited research into the
process, especially the fundamental characteristics of bubbles and particles, has
been carried out. The single collector collision model is not capable of
determining the effects of particular characteristics, such as the size and
surface charge of bubbles and particles. Han has published a set of modeling
results after calculating the collision efficiency between bubbles and particles
by trajectory analysis. His major conclusion was that collision efficiency is
maximum when the bubbles and particles are nearly the same size but have opposite
charge. However, experimental verification of this conclusion has not been
carried out yet. This paper describes a new method for measuring the size of
particles and bubbles developed using computational image analysis. DAF
efficiency is influenced by the effect of the recycle ratio on various average
floc sizes. The larger the recycle ratio, the higher the DAF efficiency at the
same pressure and particle size. The treatment efficiency is also affected by the
saturation pressure, because the bubble size and bubble volume concentration are
controlled by the pressure. The highest efficiency is obtained when the floc size
is larger than the bubble size. These results, namely that the highest collision
efficiency occurs when the particles and bubbles are about the same size, are
more in accordance with the trajectory model than with the white water collector
model, which implies that the larger the particles, the higher is the collision
efficiency.
PMID- 18048984
TI - Evaluation of floc strength by morphological analysis and PDA online monitoring.
AB - This paper established a method for the evaluation of floc strength by
morphological analysis and PDA online monitoring. Theoretically, the binding
force of a floc can be expressed as B=k(1)d(2Df/3), where k(1) is a coefficient,
d is floc size and D(f) is the fractal dimension of the floc. In order to
calculate the binding force under a given flocculation condition, a jar test was
conducted and d and D(f) were measured by image analysis. A shear force was
exerted on the grown flocs by introducing the flocculated suspension through a
transparent tube where the velocity gradient value of the flow could be
accurately controlled. As the tube was connected with a particle dispersion
analyzer (PDA), the condition of floc breakage was online monitored and the
critical condition was identified by analyzing the PDA outputs (FI curves). The
binding force coefficient k(1) could thus be determined, and the binding force B
which represented the floc strength was evaluated. The validity of this method
was proved by a series of experiments using aluminium sulphate as coagulant for
the flocculation of humic substances.
PMID- 18048985
TI - Highway filter drain waste arisings: a challenge for urban source control
management?
AB - Essential maintenance activities on highway BMP drainage controls involve
refurbishment of filter (French) drains which can generate substantial amounts of
"controlled waste". An innovative procedure for their re-instatement is described
which offers a more sustainable option for filter drain/trench management. The
quality classification of these waste arisings is problematical and it is
difficult to reliably identify the risks posed by such materials and the most
effective forms of management and disposal options.
PMID- 18048986
TI - Runoff and infiltration characteristics of pavement structures--review of an
extensive monitoring program.
AB - The stormwater runoff and infiltration performance of permeable pavements has
been systematically evaluated within an intensive monitoring program. The primary
objective of the investigation was to generate a broad database, which enables
the development of an advanced simulation module for urban drainage modelling.
Over 160 field and lab scale experiments have been completed and analyzed for
surface runoff and infiltration characteristics. The test series include several
pavement types under various boundary conditions such as diverse precipitation
impacts, varying surface slope and layer construction as well as different stages
of surface clogging and several base and subgrade layer characteristics. The
results represent a reliable and comprehensive database that allows profound
conclusions and substantial recommendations.
PMID- 18048987
TI - Occurrence and fate of organic pollutants in combined sewer systems and possible
impacts on receiving waters.
AB - Selected organic pollutants are classified based on an intensive literature
survey. Two wastewater parameters (COD and ammonium) and six selected organic
pollutants (polycyclic aromatic hydrocarbons (PAH), diethylhexylphthalate (DEHP),
estradiol (E2), ethinylestradiol (EE2), ethylenediamine tetraacetic acid (EDTA)
and nitrilo triaceticacid (NTA)) are specified. As a result, for the first time
representative concentrations in dry weather flow, surface runoff and effluent of
wastewater treatment plants (WWTPs) in combined sewer systems (CSS) are stated.
The second part of the paper presents a first estimation of main emission out of
a combined sewer system and possible receiving water impacts in terms of (1)
annual discharged loads calculated by pollution load simulations in a
hypothetical catchment and (2) concentrations calculated in combined sewer
overflows (CSO) discharges and resulting receiving water concentrations.
PMID- 18048988
TI - Enhanced separation of water quality parameters in the DAF (Dissolved Air
Flotation) system using ozone.
AB - Dissolved Air Flotation (DAF) has been used in water and wastewater treatment
because it has an excellent separation capability. It was found that the
separation capability of the DAF system could be even more enhanced by ozone.
Ozone was applied as a substitute for air in the DAF system, so that the system
was named as the DOF (Dissolved Ozone Flotation) system. Ozone not only enhances
coagulation as is well known, but also provides larger micro-bubble volume
because the solubility of ozone in water is much higher than that of air. Ozone
enhanced the separation rate of SS by 13.6%, and turbidity by 21% in the DOF
system compared to the DAF system. T-P was also removed 7.7% more in the DOF
system. 41.5% of color and 7.4% of COD(Cr) were enhanced in their removal rate.
Coliform and heterotrophic bacteria were removed 54% and 57.3% more in the DOF
system. Separation capability of the DOF system was greatly enhanced for most of
the water quality parameters because ozone provides strong oxidation power with
large volume of micro-bubbles.
PMID- 18048989
TI - Application of the flexible fiber filter module (3FM) filter to sea water
filtration.
AB - The new 3FM filter (Flexible Fiber Filter Module), implementing very fine nylon
fibers as filtration media was tested at pilot scale for the first time on sea
water. The objective was to improve the quality of raw sea water to produce water
for injection into offshore wells for extraction purposes on oil-bearing fields.
Particles larger than 5 microm must be removed from the water of injection to
avoid clogging at the point of injection into the porous rock. The purpose of the
tests carried out over several months at Palavas Les Flots (France) was to
specify the optimal operating conditions of the 3FM filter. Various coagulants
and combinations of reagents were tested at velocities ranging between 50 and 200
m(3)/m(2)/h (ground filtration velocity). On raw sea water of about 1 NTU
turbidity and at velocities of 100 m(3)/m(2)/h, the filtered water contained
about 300 particles per mL larger than 1 microm, and less than 15 particles
larger than 5 microm per mL. The filter runs range from one hour to few hours,
variable according to the raw water turbidity, the reagent dosing rate and the
filtration velocity. Backwashes, a succession of air scours at high air flow
rates combined with water phases, the total duration of which did not exceed 1
minute, were shown to be efficient during the three months testing period. 3FM
filter performance was promising for many other possible applications.
PMID- 18048990
TI - Nonclassic steroid 21-hydroxylase deficiency due to a homozygous V281L mutation
in CYP21A2 detected by the neonatal mass-screening program in Japan.
AB - Since 1989, neonatal mass screening for congenital adrenal hyperplasia (CAH) has
been carried out in Japan. The mass screening has detected not only the patients
with the classic form of steroid 21-hydroxylase deficiency (21-OHD), but also
those with the nonclassic (NC) form of 21-OHD, and the molecular basis in these
patients has been elucidated. However, the homozygous V281L mutation in CYP21A2,
the common mutation in the NC form in Caucasians, has not been described in
Japanese patients, implying at least two possibilities; 1) the V281L mutation
itself might be very rare in Japanese, and 2) nonclassic 21-OHD patients bearing
the V281L mutation might be barely detectable by the mass-screening program,
hence overlooked in Japan. In the present study, we describe a Brazilian girl
with the NC form of 21-OHD, who was pointed out to have mildly elevated 17 alpha
hydroxyprogesterone in blood by the mass screening in Japan. Genetic analysis
revealed that the patient was homozygous for the V281L mutation, and that the
parents were heterozygous for the V281L mutation. Thus, the NC patients due to
the homozygous V281L mutation can be detectable by the mass-screening program for
CAH in Japan, and further accumulation and analysis of the NC patients should
elucidate the frequency of the V281L allele in Japan.
PMID- 18048991
TI - Divided-dose administration of miglitol just before and 15 minutes after the
start of a meal smoothes postprandial plasma glucose excursions and serum insulin
responses in healthy men.
AB - We recently demonstrated that administration of miglitol at 15 min after the
start of a meal decreased the area under the curve (AUC) of plasma glucose,
similar to the observation following its administration just before a meal. This
finding prompted us to examine whether a divided-dose regimen of miglitol might
attenuate postprandial glucose excursions even more effectively. We, therefore,
examined several schedules of miglitol administration in 15 healthy men. Miglitol
was administered by four different schedules in each subject (control: no
miglitol, intake 1: drug administered just before a meal (50 mg); intake 2: drug
administered at 15 min after the start of a meal (50 mg); intake 3: drug
administered in two divided doses: just before a meal (25 mg) and at 15 min after
the start of a meal (25 mg). The AUC of glucose excursions, defined as increment
above the fasting glucose level, (AUC(0-180 min) of glucose excursions) was
significantly reduced as compared with that in the control condition after
miglitol administration by intake schedule 3, while this parameter showed a
tendency towards decrease after the drug administration by intake schedules 1 and
2. The AUC(0-180 min) of the serum insulin level was also significantly decreased
for all the intake schedules of miglitol, as compared with that in the control
condition. Thus, administration of miglitol in two divided doses appeared to be
the most suitable for obtaining effective regulation of postprandial glucose
excursions in healthy men. This result may suggest that the divided-dose
administration regimen may also be effective in diabetic patients.
PMID- 18048992
TI - Simultaneous presentation of thyrotoxicosis and diabetic ketoacidosis resulted in
sudden cardiac arrest.
AB - Although many cases of simultaneous presentation of thyrotoxicosis (thyroid
storm) and diabetic ketoacidosis have been reported, it is a clinically unusual
situation and remains a diagnostic and management challenge in clinical practice.
The diagnosis of diabetic ketoacidosis or thyrotoxicosis may be masked leading to
serious complications. We report two patients with simultaneous thyrotoxicosis
and diabetic ketoacidosis resulted in sudden cardiac arrest, emphasizing early
recognition and prompt treatment when these two disease are presented
concomitantly.
PMID- 18048994
TI - [Lifestyle related diseases in elderly].
PMID- 18048993
TI - A case of magnesium deficiency associated with insufficient parathyroid hormone
action and severe osteoporosis.
AB - The relationship between osteoporosis and magnesium (Mg) deficiency is still
controversial. Here we report a case of an 82-year-old woman with a giant
adenomatous goiter and severe osteoporosis with multiple vertebral fractures,
whose clinical course indicated that her osteoporosis was probably due to Mg
deficiency. She visited our hospital for treatments of tetany. Laboratory data
showed the existence of hypomagnesemia, hypocalcemia, hypokalemia, vitamin D
deficiency, and slightly elevated intact PTH. Intravenous administration of Mg
not only improved these electrolyte abnormalities but also increased serum levels
of intact PTH, bone formation markers, 1,25-dihydroxyvitamin D, as well as bone
resorption markers in the urine, and lowered urinary phosphate reabsorption.
Hypomagnesemia on admission seemed to arise from long-lasting poor food intake
and malnutrition, because it improved after the disappearance of dysphagia with a
goiter resection. After the operation, BMD values at the lumbar spine and femoral
neck obviously increased during 6 months of Mg supplementation without any
specific therapies for osteoporosis. Mg deficiency in this case seemed to cause
impaired secretion of PTH from the parathyroid and the refractoriness of bone and
kidney to the hormone, which led to the suppression of both bone remodeling and
renal vitamin D production. These processes were probably linked to her severe
osteoporosis, which was reversed by Mg supplementation.
PMID- 18048995
TI - [Medical care in local community of Hokkaido in the drastic change of medical
treatment system].
PMID- 18048996
TI - [Parkinsonism].
PMID- 18048997
TI - [Lacunar infarcts in the elderly with special reference to their historical
aspects].
PMID- 18048998
TI - [Clinical remarks of diabetes mellitus in the elderly].
PMID- 18048999
TI - [Management of hypertension in the elderly].
PMID- 18049000
TI - [Osteoporosis in the elderly].
PMID- 18049001
TI - [Clinical aspects of cardiac failure in the elderly people].
PMID- 18049002
TI - [Clinical management of the aged patients with COPD].
PMID- 18049003
TI - [Treatment of elderly patients with hematological diseases].
PMID- 18049004
TI - [Prevention of the falls in the elderly].
PMID- 18049005
TI - [The role of a memory clinic in regional supporting system for mild dementia
patients and caregivers].
PMID- 18049006
TI - [Management of colorectal cancer in elderly patients over 80 years old].
AB - AIM: The incidence of colorectal cancer is increasing. Surgery and chemotherapy
for elderly patients are also increasing. We evaluated the characteristics of
elderly colorectal cancer to clarify issues related to surgical therapy for
elderly patients. METHOD: We studied 67 patients (38 men, 29 women) over 80 years
old on whom we operated for colorectal cancer from 1990 to 2004. We compared them
with 130 patients aged from 70 to 74 who were operated on in the same period,
examining clinicopathological factors, operative methods, preoperative morbidity,
postoperative complications, chemotherapy and postoperative survival ratio.
RESULTS: In the elderly patients aged over 80, the rate of Dukes' B was high,
whereas the rate of Dukes' A was high in patients aged from 70 to 74. No
significant differences were observed in operative methods for colon cancer but
Hartmann's operation and transanal local excision were frequent for rectal cancer
in patients aged over 80. The rate of lymph node dissection was low in patients
aged over 80 with rectal cancer. A significant difference was observed in lymph
node dissection of rectal cancer between patients aged over 80 and those aged
from 70 to 74, but there was no significant difference in curative ratio.
Preoperative morbidity were recognized in 76% of patients aged over 80.
Postoperative complications occurred in 51% of patients aged over 80. There were
many cases showing delirium, but no differences in other complications between
patients aged over 80 and those aged from 70 to 74. There was no operative
mortality in patients aged over 80. CONCLUSION: Even elderly patients can
anticipate safe operations without postoperative complications or decreased
quality of life, if the appropriate operative procedure is selected with regard
to their general condition.
PMID- 18049007
TI - [Differences in cardiac management and in-hospital mortality between elderly
patients with and without dementia after acute myocardial infarction: findings
from TAMIS data].
AB - AIM: In the United States, a study has shown that dementia is a significant
factor negatively associated with medical treatment. Because the increasing
number of the elderly has resulted in cause a rise in patients with dementia or
acute myocardial infarction (AMI), or both, we need to know the differences in in
hospital mortality between patients with or without dementia in patients with
AMI. METHODS: We used data from 13 acute care hospitals including in the data
from the Tokai Acute Myocardial Infarction Study (TAMIS), a retrospective study
of all patients admitted to these hospitals from 1995 to 1997 with a diagnosis of
AMI. We abstracted the baseline and procedural characteristics from detailed
chart reviews. A total of 22 patients with dementia and 1,030 with no dementia
who were aged 65 and over were included in the present study, and were divided
into two groups according to their diagnosis of dementia. We compared the
baseline and procedure characteristics and clinical outcomes between the two
groups. RESULTS: Patients with dementia were older and more likely to have either
a lower body mass index score or ADL impairment. As for medical history, patients
with dementia were more likely to have a history of cerebrovascular disease, and
less likely to have a history of angina or smoking. Before and after
multivariable adjustment, no significant difference was found in in-hospital
mortality between patients with or without dementia. CONCLUSIONS: Our study
demonstrates that AMI elderly patients with dementia were not less likely to be
undertreated and did not have a higher in-hospital mortality rate than non
dementia patients.
PMID- 18049008
TI - [An overview and prospect of demented outpatient care in a department of
geriatric medicine: report based on statistical review of the memory clinic at
the geriatric outpatient unit of the Nagoya University Hospital].
AB - AIM: Although there are many reports regarding the status of memory clinics in
Japan, most are from the clinical departments of psychiatry or neurology, and
there are few from the geriatric outpatient clinics. This study aimed to review
the status of the memory clinic at the geriatric outpatient unit of a university
hospital and also to compare the results with other reports. METHODS: Patient
records of the memory clinic at the geriatric outpatient unit of the Nagoya
University Hospital between January 2000 and June 2006, which included clinical
information and neuropsychological profiles were extensively reviewed for
statistical analyses. Of the patients who first visited the memory clinic between
January 2004 and June 2006, prior written consent are obtained from 232
outpatients, among which 223 individuals who had intact sets of data were
subjected to detailed analyses. RESULTS: During the period investigated, we had a
total of 778 visits by 577 patients. The characteristics of patients were: age:
74.5+/-8.3 years; MMSE: 23.8+/-4.7; education year: 10.7+/-2.9. Clinical profiles
of the patients who visited during the most recent 2.5 years were as follow:
cognitively normal, 8.1%; dementia of Alzheimer's type, 45.3%; vascular type,
5.4%; mixed type, 2.2%; frontotemporal dementia, 3.1%; mild cognitive impairment,
15.7%, and others. CONCLUSION: Compared with previous reports from other
institutions, we observed that the visitors to our geriatric memory clinic had a
relatively higher educational background with earlier stages of dementing
disorders, which also included pre-clinical cognitive impairment.
PMID- 18049009
TI - [Comparison of ability-based and performance-based IADL evaluation of community
dwelling elderly using the Kihon Checklist and TMIG Index of Competence].
AB - AIM: Elderly populations are evaluated on their ability to perform instrumental
activities of daily living (IADL) using one of three subscales in the Tokyo
Metropolitan Institute of Gerontology (TMIG) Index of Competence, while the Kihon
Checklist-a tool developed to screen for frailty-is designed to measure actual
task performance. This study examined the significance of performance-based
evaluations. METHODS: Using five items from the TMIG Index of Competence
assessing ability, and three items included in the Kihon Checklist assessing
performance, 124 community-dwelling elderly persons were evaluated in five daily
task areas: using public transportation; shopping for daily necessities; handling
bank accounts; paying bills; and preparing meals. Physical, psychological and
social functioning were also assessed during the evaluations. RESULTS: The study
revealed discrepancies between participants' abilities and their performance
levels of the same daily tasks. Of the respondents, 12.5% men and 13.4% women
reported that "they could perform all three tasks on the Kihon Checklist, but in
actuality did not perform at least one of them". This "borderline-performance
group" indicated a lower functioning level than those who answered "they
performed all tasks" in all three physical, psychological and social domains.
However, this group indicated a higher functioning level than those reporting
that it was "impossible to perform at least one task" in all three domains.
CONCLUSION: Study findings suggested that borderline-performance was related to
the early stage of functional decline. The performance-based IADL evaluation is
an effective screening tool for preventive declines in daily task performance.
PMID- 18049010
TI - [Effect of size of tablets on easiness of swallowing and handling among the frail
elderly].
AB - AIM: The purpose of the present study was to examine the effect of the size of
tablets on the status of swallowing and handling during taking medicine among
frail elderly persons. METHODS: The subjects of the present study were 73 frail
elderly persons. After they conducted simulation taking medicine, they determined
the desirable size of tablets using subjective evaluation. Their behavior and the
time required for the simulation were examined. We also evaluated their
activities of daily living using the ADL20, and swallowing ability using the
repetitive saliva swallowing test (RSST). RESULTS: There was a significant
difference in behavior during taking medicine between the frail elderly with low
swallowing ability and other subjects with normal swallowing ability, that is,
the elderly persons with low swallowing ability tend to swallow three tablets
after several trials (p<0.05). Also, the required time for simulation taking
medicine was related significantly to the size of tablets (p<0.01). CONCLUSION:
The most desirable size based upon easiness of swallowing and handling is 7-8mm.
These results suggest that medicine taking behavior was influenced greatly by the
decline of swallowing ability and ADL, and the prescriptions for the frail
elderly are needed to meet their swallowing ability.
PMID- 18049011
TI - [Correlation between vitamin D and functional capacity, physical function among
Japanese frail elderly living in the community].
AB - AIM: To examine the distribution of 25-hydroxyvitamin D(3) [25(OH)D] levels among
the Japanese frail elderly, and to explore any association in these subjects
between 25 (OH)D levels and functional capacity or physical performance. METHODS:
A cross-sectional survey was conducted in a town (latitude 36 degrees north) in
June 2005 to September 2006. The 76 participants were community-dwelling elderly
aged 65 years and over who attended a class for nursing care prevention. An
interview was conducted based on a questionnaire. The serum levels of 25(OH)D,
intact parathyroid hormone (iPTH) and calcium were measured. The following
physical tests were performed: timed up and go (TUG), a 5-meter walk, functional
reach, trunk flexion, and grip strength. Functional capacity and physical
performance were compared between the subjects with 25(OH)D>or=50 nmol/L and
those with 25(OH)D<50 nmol/L. RESULTS: About 52.6% experienced falls, 75.0%
experienced stumbling or body sway more than once during the past year, and 20.0%
were housebound. The mean 25(OH)D level (+/-SD) was 60.4+/-13.6 nmol/L (range:
27.5-87.5). The ratio of the 25(OH)D level below 50.0 nmol/L was significantly
higher in the group of subjects who had lower mobility or body imbalance or were
housebound. The risk factor for stumbling or body sway was 25(OH)D<50 nmol/L (OR:
4.41, 95%CI: 1.31-14.86). CONCLUSION: The prevalence of 25(OH)D<50 nmol/L was 21%
among Japanese frail elderly, and 25(OH)D deficiency is associated with lower
mobility or body imbalance. It is suggested that the level of 25(OH)D should be
needed over 50 nmol/L for nursing care prevention in the frail elderly and that
measurements of 25(OH)D for the frail elderly are needed.
PMID- 18049012
TI - [Studies on factors related to delayed discharge of elderly patients from
university hospitals].
AB - PURPOSE: To elucidate factors associated with delayed discharge of elderly
patients from university hospitals in Japan. METHODS: Questionnaires were sent to
all 125 Japanese university hospitals during the period from January, 18 through
March, 25, 2004. Thirty-four possible reasons for the delayed discharge were
analyzed. RESULTS: Family issues were the most common reason for delayed
discharge nationwide. Medical treatment was a factor in delayed discharge in
metropolitan areas where university hospitals were under pressure to reduce the
mean hospital stay. The lack of chronic beds was identified as a factor
associated with delayed discharge. However, the low quality of care at institutes
in rural areas may possibly cause delayed discharge, despite adequate number of
chronic beds. CONCLUSIONS: Despite the Japanese government encouraging the care
of elderly people at home, the present study shows that the leading cause of
delayed discharge is that families cannot accept elderly patients directly from
university hospitals. Even if the number of chronic beds were to increase, the
quality of care provided would not be adequate to allow a smooth transition of
elderly patients from university hospitals to such chronic beds in some areas.
PMID- 18049013
TI - [A 94-year-old woman with nontuberculous mycobacterium who developed small
intestinal intussusception associated with a percutaneous endoscopic jejunostomy
tube].
AB - We report a 94-year-old woman, who underwent percutaneous endoscopic Jejunostomy
(PEJ) tube feeding for enteral nutrition, developed the intussusception of the
small intestine. She suffered from nontuberculous mycobacterium (NTM), and her
lung inflammation deteriorated due to aspiration pneumonia and malnutrition.
Because of old age, dysphagia, esophageal hiatus hernia, gastro-esophageal reflux
and her bedridden condition due to severe osteoporosis, oral nutritional
supplementation is nearly impossible. To reduce the aspiration risk, we chose PEJ
instead of percutaneous endoscopic gastrostomy (PEG) as the route of tube
feeding. Six months after the placement of a PEJ tube, aspiration pneumonia was
diagnosed and she was readmitted to our hospital. During hospitalization, she had
sudden diarrhea, vomiting, and lower abdominal pain. Abdominal CT scan and
radiographs using contrast medium showed small intestinal intussusception related
to the PEJ tube. We observed the clinical course without performing surgery,
pulling it back towards the stomach and placing an ileus tube, because the small
intestine was not completely obstructed. Two months later, although she suffered
from aspiration pneumonia once more, she remained in a stable condition without
further intervention so that she could move to aother hospital. Recently PEJ has
been expected to prevent aspiration pneumonia, but we believe that it can be a
risk factor for intussusception. Although the PEJ can be a good parenteral
nutrition route for frail elderly with dysphagia, we need to consider possible
complications including intussusception.
PMID- 18049014
TI - [A criticism on the editorial policy].
PMID- 18049016
TI - Improvement of arterial stiffness by aerobic exercise in elderly subjects.
PMID- 18049017
TI - The morning-evening difference in self-measured blood pressure: a potential
predictor of cardiovascular disease.
PMID- 18049018
TI - Report of the Working Group for Dietary Salt Reduction of the Japanese Society of
Hypertension: (1) Rationale for salt restriction and salt-restriction target
level for the management of hypertension.
AB - Salt excess is well known to be involved in the pathophysiology of hypertension,
and thus restriction of salt intake is widely recommended for management of the
disease. Excessive salt intake induces blood pressure (BP)-dependent as well as
independent progression of cardiovascular disease. Although the human body is
considered to be adapted to very low salt intake (0.5-3 g/day), restriction to
such a low level of salt intake is extremely difficult to accomplish in developed
countries. Significant BP reduction has been reported in large-scale clinical
studies in which salt intake was decreased to less than 6 g/day, and the results
of a meta-analysis have shown that systolic BP was reduced about 1 mmHg with
every decrease in salt intake of 1 g/day in hypertensive subjects. Current
guidelines for the treatment of hypertension, including Japanese guidelines,
recommend dietary salt reduction to 6 g/day or less in hypertensive patients.
However, it appears to be fairly difficult to attain this target of salt intake,
especially in Japan. There is thus a need for feasible and effective measures to
attain this salt restriction target.
PMID- 18049019
TI - Report of the Working Group for Dietary Salt Reduction of the Japanese Society of
Hypertension: (2) Assessment of salt intake in the management of hypertension.
AB - Restriction of dietary salt is widely recommended in the management of
hypertension, but assessment of individual salt intake has drawn little
attention. The understanding of salt intake is important as a guide for
optimizing salt-restriction strategies. However, precise evaluation of salt
intake is difficult. More reliable methods are more difficult to perform, whereas
easier methods are less reliable. Thus, the method to assess salt intake should
be determined as the situation demands. The Working Group for Dietary Salt
Reduction of the Japanese Society of Hypertension recommends the assessment of
individual salt intake using one of the following methods in the management of
hypertension. 1) The measurement of the sodium (Na) excretion from 24-h urine
sampling or nutritionist's analysis of the dietary contents, which are reliable
but difficult to perform, are suitable for facilities specializing in the
treatment of hypertension. 2) Estimation of the Na excretion from the
Na/creatinine (Cr) ratio in spot urine is less reliable but practical and is
suitable for general medical facilities. 3) Estimation using an electronic salt
sensor equipped with a calculation formula is also less reliable but is simple
enough that patients can use it themselves. The patients are considered to be
compliant with the salt-restriction regimen if salt intake measured by whichever
method is less than 6 g (100 mmol)/day.
PMID- 18049020
TI - Effect of acute and long-term aerobic exercise on arterial stiffness in the
elderly.
AB - Arterial stiffness is an important factor for cardiovascular performance and a
predictor of cardiovascular risk. We evaluated the effects of both acute and long
term aerobic exercise on arterial stiffness in community-dwelling healthy elderly
subjects. In addition, we evaluated the relationship between the effects of long
term exercise and those of acute exercise. The study subjects were participants
in the Shimanami Health Promoting Program study (J-SHIPP), which was designed to
investigate factors relating to cardiovascular disease, dementia, and death (67+/
6 years). They performed mild-to-moderate aerobic exercise lasting for 30 min
twice a week for 6 months. Arterial stiffness was assessed before and after the
first 30-min acute exercise (n=99) and long-term 6-month aerobic training (n=40).
The radial arterial augmentation index (AI) obtained from the radial pulse
waveform by the tonometry method was used as a parameter of arterial stiffness.
Both systolic blood pressure (SBP) and diastolic blood pressure (DBP) were
significantly decreased after 30-min of aerobic exercise, however no significant
change in AI was observed. On the other hand, there were significant decreases in
AI (from 87 to 84%, p<0.01), SBP (from 136 to 129 mmHg, p<0.01), and DBP (from 75
to 70 mmHg, p<0.01) after the 6-month exercise period. Long-term exercise-induced
changes in AI were significantly and inversely correlated with the pre-exercise
AI (r=-0.40, p<0.01). In addition, AI changes after the 6-month exercise period
were significantly related to those observed after first 30-min exercise (r=0.48,
p<0.01). These findings indicate that apparently healthy and sedentary elderly
subjects with higher AI may benefit from mild-to-moderate aerobic exercise to
improve arterial stiffness.
PMID- 18049021
TI - Morning rise of blood pressure assessed by home blood pressure monitoring is
associated with left ventricular hypertrophy in hypertensive patients receiving
long-term antihypertensive medication.
AB - To assess the influence of morning rise of systolic blood pressure (SBP) as
assessed by home blood pressure monitoring on the left ventricular mass index
(LVMI) in relation to the blood pressure control status, we evaluated M-mode
cardiac echocardiography in 626 hypertensive subjects (412 men and 214 women;
mean age, 61.3+/-10.1 years) who were receiving antihypertensive medication. The
subjects were requested to measure their blood pressure at home in the morning
and evening over a 3-month period. They were distributed into the following four
groups by the average (ME Ave) and the difference (ME Dif) of the morning and
evening SBP. The well-controlled hypertensives with a morning rise of SBP (ME
Ave<135 mmHg and ME Dif>or=10 mmHg; n=45; 7.2%) had a greater LVMI (122.9+/-22.7
vs. 92.7+/-15.6 g/m2, p<0.001) than the well-controlled hypertensives without a
morning rise of SBP (ME Ave<135 mmHg and ME Dif<10 mmHg; n=367; 58.6%). The
uncontrolled hypertensives with a morning rise of SBP (ME Ave>or=135 mmHg and ME
Dif>or=10 mmHg; n=91; 14.5%) also had a greater LVMI (136.8+/-21.9 vs. 100.2+/
17.5 g/m2, p<0.001) than the uncontrolled hypertensives without a morning rise of
SBP (ME Ave>or=135 mmHg and ME Dif<10 mmHg; n=123; 19.6%). A stepwise
multivariate regression analysis revealed that the ME Dif was the most important
factor related to the LVMI (r2=35.1% for all subjects, p<0001; r2=39.7% for men,
p<0.001; and r2=18.7% for women, p<0.001). These results suggest that morning
rise of blood pressure is an important factor influencing the development of left
ventricular hypertrophy in hypertensive patients on antihypertensive medication.
PMID- 18049022
TI - Long-term oral administration of dipyridamole improves both cardiac and physical
status in patients with mild to moderate chronic heart failure: a prospective
open-randomized study.
AB - Adenosine is known as an endogenous cardioprotectant. We previously reported that
plasma adenosine levels increase in patients with chronic heart failure (CHF),
and that a treatment that further elevates plasma adenosine levels may improve
the pathophysiology of CHF. Therefore, we performed a prospective, open
randomized clinical trial to determine whether or not exposure to dipyridamole
for 1 year improves CHF pathophysiology compared with conventional treatments.
The study enrolled 28 patients (mean+/-SEM: 66+/-4 years of age) attending
specialized CHF outpatient clinics with New York Heart Association (NYHA) class
II or III, no major complications, and stable CHF status during the most recent 6
months under fixed medications. They were randomized into three groups with or
without dipyridamole (Control: n=9; 75 mg/day: n=9; 300 mg/day: n=10) in addition
to their original medications and were followed up for 1 year. The other drugs
were not altered. Among the enrolled patients, 100%, 4%, 100%, and 79% received
angiotensin-converting enzyme inhibitors, aldosterone analogue, loop diuretics,
and beta-adrenoceptor blocker, respectively. Fifteen patients suffered from
dilated cardiomyopathy, and 7/3/3 patients suffered from
ischemic/valvular/hypertensive heart diseases, respectively. Mean blood pressure
was comparable among the groups. While the baseline conditions were comparable,
we found that echocardiographic ejection fraction (p<0.01 vs. baseline, p<0.01
vs. Control), left ventricular systolic diameter (p<0.05, p<0.05), Specific
Activity Scale (SAS) score (p<0.05, p<0.01), maximal oxygen consumption (p<0.05,
p<0.05) and plasma B-type natriuretic peptide level (p<0.01, p<0.01) were
significantly improved in patients with dipyridamole after 1 year, generally in a
dose-dependent manner. Therefore, we suggest that an additional administration of
dipyridamole further improves CHF pathophysiology.
PMID- 18049023
TI - Awareness and treatment of hypertension and hypercholesterolemia in Japanese
workers: the High-risk and Population Strategy for Occupational Health Promotion
(HIPOP-OHP) study.
AB - The objective of this study was to clarify the awareness and treatment status of
hypertension in Japanese workers, comparing with those of hypercholesterolemia.
The subjects were 6,186 workers (age 19 to 69 years), who worked at 12 workplaces
in Japan and who continuously participated in the High-risk and Population
Strategy for Occupational Health Promotion (HIPOP-OHP) study at the baseline
(1999 or 2000) and the subsequent year (2000 or 2001). No intervention was
performed during the study period. Blood pressure and total cholesterol level
were measured at baseline. Awareness and treatment status were examined by a self
administered questionnaire in the following year. Untreated subjects were defined
as those were not aware of hypertension (or hypercholesterolemia) or those not
taking medication and not undergoing lifestyle modification. The percentages of
subjects with hypertension defined as aware were 65.7% in men and 72.7% in women,
respectively. The percentages of subjects with hypercholesterolemia defined as
aware were 55.7% in men and 58.6% in women, respectively. In subjects 40 years
and older, the awareness rate for hypertension was significantly higher than the
awareness rate for hypercholesterolemia (75.0% vs. 59.2%, p<0.001). The
percentage of untreated subjects with hypertension was significantly lower than
the percentage of untreated subjects with hypercholesterolemia (41.0% vs. 57.1%,
p<0.001). Although Japanese workers >/=40 years old have a higher awareness of
and are more often treated for hypertension than for hypercholesterolemia, there
are still many unaware and untreated individuals with either disease in all age
groups. It is important to increase the awareness of risk factors in individuals
with positive findings for these conditions.
PMID- 18049024
TI - Renoprotective effect of the addition of losartan to ongoing treatment with an
angiotensin converting enzyme inhibitor in type-2 diabetic patients with
nephropathy.
AB - Angiotensin converting enzyme inhibitors (ACE-Is) and angiotensin II receptor
blockers (ARBs) are frequently used for the treatment for glomerulonephritis and
diabetic nephropathy because of their albuminuria- or proteinuria-reducing
effects. To many patients who are nonresponsive to monotherapy with these agents,
combination therapy appears to be a good treatment option. In the present study,
we examined the effects of the addition of an ARB (losartan) followed by
titration upon addition and at 3 and 6 months (n=14) and the addition of an ACE-I
followed by titration upon addition and at 3 and 6 months (n=20) to the drug
regimen treatment protocol in type 2 diabetic patients with nephropathy for whom
more than 3-month administration of an ACE-I or the combination of an ACE-I plus
a conventional antihypertensive was ineffective to achieve a blood pressure (BP)
of 130/80 mmHg and to reduce urinary albumin to <30 mg/day. During the 12-month
treatment, addition of losartan or addition of an ACE-I to the treatment protocol
reduced systolic blood pressure (SBP) by 10% and 12%, diastolic blood pressure
(DBP) by 7% and 4%, and urinary albumin excretion by 38% and 20% of the baseline
value, respectively. However, the effects on both BP and urinary albumin were not
significantly different between the two therapies. In conclusion, addition of
losartan or an ACE-I to an ongoing treatment with an ACE-I, or addition of an ACE
I to ongoing treatment with a conventional antihypertensive were equally
effective at reducing the urinary albumin excretion and BP, and provided renal
protection in patients with type-2 diabetic nephropathy.
PMID- 18049025
TI - Metabolic syndrome and risk of developing chronic kidney disease in Japanese
adults.
AB - Metabolic syndrome is a risk factor for the development of cardiovascular
disease. Few prospective studies, however, have examined metabolic syndrome as a
risk factor for chronic kidney disease (CKD) in an Asian population. We studied
the occurrence of CKD in 6,371 subjects without CKD or diabetes mellitus at
baseline 1997 through 2002 in Okinawa, Japan. CKD was defined as dipstick
positive proteinuria (>or=1+) or a low estimated glomerular filtration rate (<60
mL/min/1.73 m2). Metabolic syndrome was defined according to the modified
criteria of the Adult Treatment Panel III in which body mass index (>or=25 kg/m2)
was substituted for the waist circumference measurement. Logistic analysis was
used to analyze the effect of metabolic syndrome on the development of CKD.
During the 5-year follow-up, 369 (5.7%) participants developed CKD. After
adjusting for age, sex, current cigarette smoking and alcohol drinking habits at
baseline, the relative risk of developing CKD was 1.86 (95% confidence interval:
1.43-2.41, p<0.0001) in subjects with metabolic syndrome. Compared with those
without metabolic syndrome risk components, the adjusted relative risk (95%
confidence interval) was 1.49 (1.10-2.01), 1.89 (1.38-2.59), and 2.65 (1.19-3.68)
in those with 1, 2, or >or=3 metabolic syndrome risk components, respectively.
Metabolic syndrome is a significant risk factor for the development of CKD in the
Japanese population. Detection and treatment of metabolic syndrome should be
stressed as a strategy to prevent CKD.
PMID- 18049026
TI - Lower birth weight is associated with higher resting heart rate during boyhood.
AB - There is substantial evidence that low birth weight is associated with the
development of cardiovascular disease in adult life. Moreover, resting heart rate
is a prognostic factor of cardiovascular morbidity and mortality. However, there
are scarce data regarding the association between birth weight and resting heart
rate in later life. Therefore, we investigated the association of anthropometric
data at birth and hemodynamic indices including resting heart rate in Japanese
boys. The data of 1,107 male students of a junior high school in Tokyo, Japan,
who underwent a medical check-up in the year of admission to the school (12 or 13
years old) were used. Information on anthropometric data at birth based on "The
Maternal and Child Health Handbook" was obtained from 573 students. From a
standard 12-channel resting electrocardiogram, 8 cardiac cycles were used to
estimate heart rate. Resting heart rate correlated positively with body mass
index at the same age (r=0.100, p=0.017) and correlated negatively with birth
weight (r=-0.102, p=0.015), height at birth (r=-0.125, p=0.003), and head
circumference at birth (r=-0.095, p=0.025). The negative correlation of
anthropometric data at birth with heart rate at the age of 12 or 13 was
independent of body mass index at the same age. The mean value of resting heart
rate at the age of 12 or 13 adjusted for body mass index at the same age was
significantly higher in the lower tertile of birth weight than in the higher
tertile of birth weight (81.7 vs. 78.5 beats/min, p=0.028). In conclusion, lower
birth weight is associated with higher resting heart rate during boyhood,
suggesting that elevated heart rate may be one mechanism linking small size at
birth with the development of cardiovascular disease in future life.
PMID- 18049027
TI - Prevalence, awareness, treatment, and control of hypertension in rural adults
from Liaoning Province, northeast China.
AB - Liaoning Province is located in northeast China, which has distinct weather
conditions, geographic characteristics and lifestyles compared with other regions
of the country; the lifestyle differences are especially pronounced in the rural
parts of this region, where there is a dearth of financial and other resources.
However, information on the prevalence, awareness, treatment, and control of
hypertension in these impoverished areas is very scarce. We therefore performed
multistage cluster random sampling of a group of 29,970 adult residents (>or=5
years of residency; >or=35 years of age) of the rural portions of Liaoning
Province from 2005 to 2006. The sampling included a survey on blood pressure and
associated risk factors. The overall prevalence of hypertension in the community
was 36.2%, and 73.0% of hypertensives were unaware of their condition. Among the
total group of hypertensives, only 19.8% were taking prescribed medication to
lower their BP, and 0.9% had controlled hypertension. Of all subjects, 46.4% did
not think that high blood pressure would endanger their lives. As to the reasons
given by hypertensives who were aware of their hypertension for not taking
antihypertensive medication, 47.4% reported that they lacked knowledge about the
mortality of hypertension. The average salt intake in hypertensives was 16.6+/
9.9 g/day, and the percentages of smoking (44.3%), drinking (31.7%) and salt
intake>6 g/day (86.8%) in hypertensives were high. Logistic regression analysis
indicated that the relative risks (95% confidence interval [CI]) of overweight,
obesity, smoking, drinking, increased salt intake and family history of
hypertension for hypertension were 1.95 (range, 1.82-2.08), 2.92 (2.40-3.55),
1.19 (1.12-1.27), 1.16 (1.08-1.25), 1.26 (1.20-1.33) and 2.85 (2.66-3.05),
respectively. A higher education level was found to be a protective factor. In
conclusion, the prevalence of hypertension in adults living in the rural parts of
Liaoning Province was high, and the rates of awareness, treatment, and control
were unacceptably low, which may have been due to unique geographical
characteristics, unwholesome lifestyles, greater sodium intake, lower education
levels, and genetic risk factors.
PMID- 18049028
TI - Impact of the metalloproteinase-9/tissue inhibitor of metalloproteinase-1 system
on large arterial stiffness in patients with essential hypertension.
AB - The extracellular matrix is vital for maintaining tissue integrity, and the
matrix metalloproteinases/tissue inhibitors of metalloproteinases (MMPs/TIMPs)
system is involved in the regulation of extracellular matrix metabolism.
Extracellular matrix turnover plays an important role in the change of large
arterial mechanical properties in hypertension. However, the association of the
metalloproteinase-9/tissue inhibitor of metalloproteinase-1 (MMP-9/TIMP-1) system
and arterial stiffness is not straightforward and existing data are rather
limited. Our objective is to explore the impact of the MMP-9/TIMP-1 system on
large arterial stiffness in patients with essential hypertension. An automatic
pulse wave velocity (PWV) measuring system was used to examine carotid-femoral
PWV (CFPWV) and carotid-radial PWV (CRPWV) as the parameters reflecting central
elastic large arterial and peripheral muscular medium-sized arterial elasticity,
respectively; and serum MMP-9 and TIMP-1 levels, along with a number of other
established biomarkers, were measured by enzyme-linked immunosorbent assay
(ELISA) in 202 essential hypertensive patients and 54 age and gender-matched
control subjects. Compared with the control subjects, hypertensive patients
exhibited higher levels of MMP-9 (p=0.001) and TIMP-1 (p=0.002). Spearman's
correlation analysis showed that serum levels of MMP-9 (p=0.014) and TIMP-1
(p=0.005) were significantly and positively correlated with CFPWV in hypertensive
patients. A stepwise multiple regressive analysis demonstrated that age, systolic
blood pressure, heart rate and TIMP-1 were independent predictors of CFPWV in
patients with essential hypertension (adjusted r2=0.458). In conclusion, our
results imply that the MMP-9/TIMP-1 system may play an important role in the
determination of arterial function, and these findings may have implications for
the involvement of MMP-9/TIMP-1 system in the pathophysiology of cardiovascular
disease.
PMID- 18049029
TI - Influence of different measurement time points on brachial-ankle pulse wave
velocity and ankle-brachial index in hemodialysis patients.
AB - In order to ensure that they are reliable markers of atherosclerosis and suitable
for repetitive follow-up of disease progression and management responses in
hemodialysis (HD) patients, brachial-ankle pulse wave velocity (baPWV) and ankle
brachial index (ABI) should be tested to see whether they change with different
measurement time points. The aim of this study was to assess whether baPWV and
ABI vary according to whether they are measured before HD, after HD, or on the
next dialysis-free day. Eighty-nine patients undergoing regular HD were enrolled.
The baPWV and ABI were measured 10-30 min before and after HD, and if patients
agreed, on the next dialysis-free day. The third measurement of baPWV and ABI,
performed 22+/-2 h after HD, was taken in 72 patients (81%). The body weight
reduction after HD was 2.5+/-0.9 kg (p<0.001). The brachial and ankle systolic
and diastolic blood pressures before HD were significantly higher than those
after HD and on the next dialysis-free day (por=0.498). In
conclusion, despite the significant decrease in body weight and blood pressures,
baPWV increased significantly after HD. In addition, baPWV, but not ABI, may vary
at different measurement time points. Therefore, baPWV, but not ABI, should be
assessed in a timely manner in HD patients.
PMID- 18049030
TI - Inhibition of balloon injury-induced neointimal formation by olmesartan and
pravastatin in rats with insulin resistance.
AB - The combined effect of an angiotensin II type 1 receptor blocker and a 3-hydroxy
3-methylglutaryl-coenzyme A (HMG-CoA) reductase inhibitor on vascular lesion
formation in the insulin-resistant state has not been examined. We tested whether
or not combined treatment is superior to single-drug treatment for inhibiting
vascular lesion formation in insulin-resistant rats. The rats were maintained on
a fructose-rich diet for 4 weeks and then treated with olmesartan (1 mg/kg/day)
and/or pravastatin (10 mg/kg/day) for 3 weeks. After 1 week of drug treatment,
balloon injury of the carotid arteries was performed. Two weeks later, the
injured arteries were harvested for morphometry and immunostaining. Olmesartan
and pravastatin each modestly attenuated neointimal formation without significant
changes in blood pressure or serum lipid levels. The combination of olmesartan
and pravastatin significantly suppressed the neointimal formation compared with
either monotherapy. The number of terminal deoxynucleotidyl transferase-mediated
dUTP nick end-labeling (TUNEL)-positive cells was increased by olmesartan but not
by pravastatin. Olmesartan and pravastatin each decreased the number of Ki-67
positive cells, which indicates cell proliferation, to the same extent. The
combined treatment increased the number of TUNEL-positive cells but did not
affect the number of Ki-67-positive cells. The combined treatment decreased the
insulin level and increased the number of circulating endothelial progenitor
cells. These results suggest that the combination of olmesartan and pravastatin
is beneficial for the treatment of vascular diseases in the insulin-resistant
state independently of blood pressure or cholesterol levels.
PMID- 18049031
TI - Aldosterone-and-salt-induced cardiac fibrosis is independent from angiotensin II
type 1a receptor signaling in mice.
AB - Aldosterone infusion with high salt treatment induces cardiac fibrosis in rats.
Aldosterone enhanced angiotensin II (Ang II) has been shown to induce
proliferation and increase the expression of Ang II receptor mRNA and Ang II
binding in vitro. To investigate the role of Ang II type 1a receptor (AT1aR) in
aldosterone-and-salt (Ald-NaCl)-induced cardiac fibrosis, we subcutaneously
infused aldosterone (0.15 microg/h) and 1% NaCl (Ald-NaCl) into AT1aR knockout
mice (AT1aR-KO) or wild type mice (Wt). To examine the role of NaCl on cardiac
fibrosis, we gave some of the aldosterone-treated AT1aR-KO tap water (Ald-H2O).
Ald-NaCl treatment increased systolic blood pressure and induced cardiac
hypertrophy in both strains, whereas there were no such changes in the mice
without aldosterone. Severe cardiac fibrosis was seen in Ald-NaCl-treated AT1aR
KO and not in Ald-NaCl-treated Wt. In contrast, Ald-NaCl-treated Wt with co
administration of an active metabolite of olmesartan, the AT1aR antagonist (10
mg/kg/day) did not show cardiac fibrosis. Na+/H+ exchanger, and Na+-K+ ATPase
alpha2 subunit mRNA were decreased in AT1aR-KO. Na+/Ca2) exchanger mRNA was lower
in AT1aR-KO than Wt and was decreased by Ald-NaCl in both strains.
Phosphorylation of epidermal growth factor receptor and extracellular signal
regulated kinase was increased by Ald-NaCl treatment in AT1aR-KO. Connective
tissue growth factor (CTGF) and osteopontin mRNA were increased and accumulation
of CTGF proteins was seen in the hearts of Ald-NaCl-treated AT1aR-KO. Ald-H2O
treated AT1aR-KO did not show any cardiac fibrosis. These results suggest that
Ald-NaCl-induced cardiac fibrosis required both aldosterone and salt. Because
cardiac fibrosis was exaggerated in Ald-NaCl-treated AT1aR-KO but was not seen in
Wt treated with Ald-NaCl and olmesartan, AT1aR may not play a primary role in
progression of cardiac fibrosis by Ald-NaCl, and gene disruption of AT1aR may
have some implications in this model.
PMID- 18049032
TI - Albuminuria, expression of nicotinamide adenine dinucleotide phosphate oxidase
and monocyte chemoattractant protein-1 in the renal tubules of hypertensive Dahl
salt-sensitive rats.
AB - In chronic renal diseases, experimental and human data suggest that excess
albumin filtered through the glomerular capillary barrier is over-reabsorbed by
proximal tubular cells, thereby activating these cells and upregulating the
expression of chemokines. On the other hand, a high-salt diet has been shown to
induce proteinuria in hypertensive Dahl salt-sensitive (DSS) rats, accompanied
with the expression of reduced nicotinamide adenine dinucleotide phosphate
(NADPH) oxidase in the kidney. In the current study, we therefore examined
albuminuria and the expressions of NADPH oxidase and monocyte chemoattractant
protein-1 (MCP-1) in the renal tubular cells in hypertensive DSS rats, as well as
the effects of the antioxidant N-acetylcysteine (NAC) on each of these
parameters. DSS rats were fed a normal-salt diet (0.24% NaCl), a high-salt diet
(8% NaCl), or a high-salt diet plus NAC supplementation (15 mg/mL drinking water)
for 4 weeks. The high-salt diet provoked an increase in glomerular injuries
accompanied with albuminuria and in urinary H2O2 and MCP-1 excretion.
Immunohistochemical analysis showed the prominent expression of MCP-1 in the
dilated tubular cells, where the NADPH oxidase subunit p47phox was also
expressed. The current results suggest that albuminuria caused expression of
NADPH oxidase and MCP-1 in the dilated renal tubules, resulting in interstitial
inflammation and migration of mononuclear cells in DSS rats, because blockade of
albuminuria by NAC counteracted the p47phox and MCP-1 expression.
PMID- 18049033
TI - Dilation of renal artery stenosis after administration of losartan.
AB - Transluminal angioplasty with a balloon catheter is effective to dilate renal
artery stenosis (RAS) caused by fibromuscular dysplasia (FMD), but lesions
resistant to the angioplasty exist. In this report, we describe the case of a
young woman with RAS of FMD that was difficult to dilate even by cutting-balloon
angioplasty. To facilitate the formation of a smooth intimal covering at the site
of angioplasty, we administered losartan, an angiotensin receptor blocker, for 4
months after the angioplasty. Although re-stenosis was detected at 5 months after
the angioplasty, the normotensive state continued without antihypertensives and
the re-stenosis gradually dilated afterwards. The present case suggests the
possibility of remodeling the renal artery during the normotensive state by
administering losartan after the angioplasty.
PMID- 18049034
TI - Gene expression profiles in mouse liver cells after exposure to different types
of radiation.
AB - The liver is one of the target organs of radiation-induced cancers by internal
exposures. In order to elucidate radiation-induced liver cancers including
Thorotrast, we present a new approach to investigate in vivo effects of internal
exposure to alpha-particles. Adopting boron neutron capture, we separately
irradiated Kupffer cells and endothelial cells in mouse liver in vivo and
analyzed the changes in gene transcriptions by an oligonucleotide microarray.
Differential expression was defined as more than 3-fold for up-regulation and
less than 1/3 for under-regulation, compared with non-irradiated controls. Of
6,050 genes examined, 68 showed differential expression compared with non
irradiated mice. Real-time polymerase chain reaction validated the results of the
microarray analysis. Exposure to alpha-particles and gamma-rays produced
different patterns of altered gene expression. Gene expression profiles revealed
that the liver was in an inflammatory state characterized by up-regulation of
positive acute phase protein genes, irrespective of the target cells exposed to
radiation. In comparison with chemical and biological hepatotoxicants, inductions
of Metallothionein 1 and Hemopexin, and suppressions of cytochrome P450s are
characteristic of radiation exposure. Anti-inflammatory treatment could be
helpful for the prevention and protection of radiation-induced hepatic injury.
PMID- 18049035
TI - Abdominal radiation initiates apoptotic mechanism in rat femur bone marrow cells
in vivo that is reversed by IGF-1 administration.
AB - PURPOSE: Radiation induces apoptosis as a result of damage to cellular DNA and
RNA. The aim of our work was to study the effect of radiation on rat bone marrow
cells (as a neighboring tissue) in the context of a model of experimental
radiation enteritis in rats. The effect of systematic administration in
irradiated animals of r-IGF-1 and GH was also studied. MATERIALS AND METHODS:
Wistar type, normal rats, were divided in 4 groups. One control group and the
other 3 groups were irradiated in the abdomen. The measured scattered irradiation
in the femur ranged from 16.5 to 47.3 cGy. In 2 groups of irradiated animals,
rIGF-1 (0.1 microg/g of body weight twice/d) and rGH (0.25 microg/g of body
weight /d) were administered. Bone marrow cells were harvested from both femurs.
DNA and RNA were analyzed in specific gels. The m-RNA was hybridized for c-fos
proto-oncogene expression. RESULTS: The calculated low dose of radiation that
affected the femurs of the animals induced reduction in bone marrow cell numbers
and endonuclease activation manifested by subsequent fragmentation of DNA and
RNA. This phenomenon was reversed by rGH and rIGF-1 administration. The c-fos
proto-oncogene expression was upregulated by irradiation. CONCLUSION: These
observations indicate that scattered low dose radiation is capable of initiating
apoptosis in rat bone marrow cells and rGH and rIGF-1 administration reverse this
process.
PMID- 18049036
TI - Off the assembly line.
PMID- 18049038
TI - Who will teach tomorrow's nurses?
PMID- 18049037
TI - Have nurses turned a blind eye?
PMID- 18049039
TI - Unnecessary C-sections.
PMID- 18049047
TI - U.S. hospitals need staffing makeover.
PMID- 18049049
TI - Keeping new RNs in their jobs.
PMID- 18049048
TI - Cost-effective, culturally specific diabetes care.
PMID- 18049051
TI - 'Every patient deserves an RN'--kids, too.
PMID- 18049052
TI - Little women.
PMID- 18049058
TI - 'Not tonight, dear': the elusive female libido.
PMID- 18049059
TI - Nurses' decisions about opioid dose.
PMID- 18049060
TI - How the new oral antineoplastics affect nursing practice: capecitabine serves to
illustrate.
AB - The increasing use of oral anticancer drugs has profound implications for cancer
treatment and nursing practice, shifting care from hospitals to outpatient
settings and from oncology specialists to patients, families, and caregivers.
Nurses will focus less on drug administration and more on educating patients,
monitoring for adverse effects, and performing follow-up care. This article
discusses how these new drugs are affecting nursing practice, focusing on one
novel oral agent-capecitabine (Xeloda), approved for treating metastatic
colorectal and breast cancer and as adjuvant therapy for stage 3 (Dukes's C)
colon cancer-to illustrate.
PMID- 18049062
TI - How to try this: detecting delirium.
AB - For patients and their loved ones, delirium can be a frightening experience. A
fluctuating mental status is important to identify because it often signals a
need for additional treatment. The Confusion Assessment Method (CAM) diagnostic
algorithm enables nurses to assess for delirium by identifying the four features
of the disorder that distinguish it from other forms of cognitive impairment. It
can be completed in five minutes and is easily incorporated into ongoing
assessments of hospitalized patients. (This screening tool is included in the
series Try This: Best Practices in Nursing Care to Older Adults, from the
Hartford Institute for Geriatric Nursing at New York University's College of
Nursing.) For a free online video demonstrating the use of this tool, go to
http://links.lww.com/A209.
PMID- 18049067
TI - Nurses' roles in emergencies.
PMID- 18049065
TI - How to try this: the mini-cog.
AB - As the population grows and the proportion of older adults increases, the
incidence and prevalence of dementia are expected to increase dramatically.
Health care providers' lack of awareness of current geriatrics practice and
persistence in holding the outdated belief that confusion is a normal part of
aging contribute to significant under recognition of dementia in all settings.
Early recognition and diagnosis are critical to carrying out best practices in
the care of older patients. The Mini-Cog is a simple, effective, easily
administered screening tool that can uncover cognitive impairment in its earliest
stages. The tool consists of a three-item recall task and a simple clock-drawing
task. The Mini-Cog takes only three to five minutes to administer and requires
minimal training. For a free online video demonstrating the use of this tool, go
to http://links.lww.com/A204.
PMID- 18049068
TI - Health savings accounts: friend or foe?
PMID- 18049069
TI - 'Pharm party'.
PMID- 18049070
TI - One bike at a time.
PMID- 18049071
TI - Ordinary things.
PMID- 18049072
TI - Phenotypic variation in trismus-pseudocamptodactyly syndrome caused by a
recurrent MYH8 mutation.
AB - We report a 20-year-old man with trismus-pseudocamptodactyly (TPS) syndrome who
was found to have the same MYH8 mutation, p.R674Q, described in previous families
with TPS syndrome and in one family with a Carney complex variant, trismus and
pseudocamptodactyly. This patient had facial asymmetry, ptosis and downslanting
palpebral fissures and multiple joint involvement, with bilateral hip dysplasia,
reduced elbow supination, vertical tali and talipes in addition to the classical
findings of trismus and pseudocamptodactyly. These findings broaden the phenotype
associated with p.R674Q mutations and support the use of MYH8 testing in patients
with a clinical diagnosis of TPS syndrome.
PMID- 18049073
TI - Screening for subtelomeric chromosome alteration in a consecutive series of
newborns with congenital defects.
AB - It is generally accepted that 2.5% of the patients with unexplained mental
retardation and dysmorphic features have a chromosome alteration affecting the
subtelomeric regions. The frequency of such alterations whether in the general
population or in newborns with congenital defects, however, remains unknown.
Here, we present an analysis of the subtelomeric regions in a consecutive series
of 71 newborn babies with congenital defects, who displayed a normal high
resolution G-band karyotype (550-850 bands). After excluding the alterations that
could be considered to be polymorphisms, a total of seven subtelomeric anomalies
were observed with a frequency of 9.86% (3.96-20.31). We conclude that
fluorescence in-situ hybridization screening for subtelomeric alterations is
relevant for infants with congenital defects detectable at birth, particularly in
those newborn babies with congenital defects and a normal high resolution G-band
karyotype.
PMID- 18049074
TI - Expanding the phenotype of 22q11 deletion syndrome: the MURCS association.
AB - The MURCS association [Mullerian Duct aplasia or hypoplasia (M), unilateral renal
agenesis (UR) and cervicothoracic somite dysplasia (CS)] manifests itself as
Mullerian Duct aplasia or hypoplasia, unilateral renal agenesis and
cervicothoracic somite dysplasia. We report on a 22-year-old woman with
bicornuate uterus, right renal agenesis, C2-C3 vertebral fusion (MURCS
association) and 22q11.2 deletion. Angio-MRI revealed the aberrant origin of arch
arteries. Hashimoto thyroiditis, micropolycystic ovaries with a dermoid cyst in
the right ovary and mild osteoporosis were also diagnosed. Accurate revision of
radiographs enabled us also to identify thoracolumbar and lumbosacral vertebral
differentiation defects. Audiometry and echocardiogram were normal. Bone
densitometry showed osteoporosis. As per our evaluation, the patient had short
stature, obesity (BMI 30.7) and facial features suggestive of the 22q11 deletion
syndrome. Multiplex ligation-dependent probe amplification analysis showed a de
novo 22q11.2 deletion confirmed by array-comparative genomic hybridization
analysis. We discuss whether this is a casual association or whether it is an
additional syndrome owing to the well known phenotype extensive variability of
the 22q11 deletion syndrome.
PMID- 18049075
TI - Recombination of a maternal pericentric inversion results in 22q13 deletion
syndrome.
AB - We describe a 10-month-old boy with 22q13 deletion syndrome. Chromosomal analysis
showed a partial duplication of 22p11.2-pter and a terminal deletion of 22q13.31
qter. Maternal chromosomal analysis showed a pericentric inversion of chromosome
22, with breakpoints at p11.2 and q13.31 [inv(22)(p11.2q13.31)]. The deleted
chromosome resulted from a recombinant chromosome inherited from his mother. This
is a rare case of 22q13 deletion syndrome associated with parental pericentric
inversion of chromosome 22.
PMID- 18049076
TI - A patient with de-novo partial deletion of Xp (p11.4-pter) and partial
duplication of 22q (q11.2-qter).
AB - We report on a girl with partial deletion of Xp and partial duplication of 22q.
Family studies demonstrate that both the patient's mother and her nonidentical
twin sister carry the corresponding balanced translocation;
46,X,t(X;22)(p11.4;q11.2). This girl has developmental delay, microcephaly, mild
dysmorphisms and hearing loss but otherwise shows few of the features described
in individuals with duplications of the long arm of chromosome 22. She does
manifest characteristics, such as short stature and biochemical evidence of
ovarian failure, which are seen in partial or complete Xp deletions and Turner's
syndrome.
PMID- 18049077
TI - First case reported of Turner syndrome and trisomy 14 chromosomal mosaicism in a
patient.
AB - A 25-year-old woman with a mosaic 45,X/47XX,+14 karyotype is reported. She
presented with short stature, short downward slanting palpebral fissures, broad
nasal bridge, mouth with downturned corners, short and wide neck, swirly
hyperpigmentation of the skin, and body asymmetry secondary to right
hemihyperplasia. As there was an admixture of 45,X and trisomy 14, it was not
possible to determine the cell line that had the greatest influence on the
phenotype. We postulate that the proposita's survival until the third decade was
owing to the chromosomal complementation of both aneuploidy cell lines. To our
knowledge, this chromosomal association has not been previously reported.
PMID- 18049078
TI - De-novo balanced translocation between 7q31 and 10p14 in a girl with central
precocious puberty, moderate mental retardation, and severe speech impairment.
AB - No causative gene has been found for idiopathic central precocious puberty; and
FOXP2, located in 7q31, is the only known gene for speech and language
disturbances. We report a girl with central precocious puberty, moderate mental
retardation, and severe speech impairment; accompanied by a de-novo balanced
translocation between 7q31 and 10p14. Physical mapping through molecular
cytogenetic investigations demonstrated the breakpoints of 7q31 and 10p14 within
a bacterial artificial chromosome (BAC) clone RP11-124G5 and a cosmid clone
derived from a BAC clone RP11-1122C18, respectively. FOXP2 was found to be
localized approximately 500 kb distant from the centromeric end of the disrupted
BAC RP11-124G5 at the 7q31 breakpoint. Speech impairment in the girl might be
derived from dysfunction of FOXP2 by a position effect of the 7q31 translocation
breakpoint.
PMID- 18049079
TI - Maternal heterodisomy/isodisomy and paternal supernumerary ring of chromosome 7
in a child with Silver-Russell syndrome.
AB - Silver-Russell syndrome (SRS) is clinically variable although most cases have
several common signs. Different chromosomes and chromosomal regions have been
associated with SRS. Maternal uniparental disomy (UPD) of chromosome 7 is
responsible for 5-10% of cases, probably because of an imbalance between maternal
and paternal imprinted genes and more recently maternal duplication or
epimutations in the 11p15 imprinted region have been described. To date, only two
patients with maternal UPD7 and a mosaic condition for a supernumerary ring 7
marker have been reported, and we here report a further case. Standard QFQ
banding of lymphocytes as well as fluorescence in-situ hybridization analyses
were performed to identify and characterize the supernumerary marker. UPD testing
was performed on both the patient's and parents' DNA using chromosome 7
microsatellite markers. The patient demonstrated a ring in about 4% of the
analysed cells. On the basis of cytogenetic and molecular results, break points
were tentatively identified as 7p11.2 and 7q21. Maternal hetero-/iso-UPD and a
paternal origin for the supernumerary ring were demonstrated. Clinical data
comparison between our patient who has a SRS phenotype and cases with hetero-/iso
UPD7 mat and mosaicism for a paternally derived chromosome 7 ring and previously
reported ring 7 cases suggest that the SRS phenotype is probably because of the
UPD rather than to the partial trisomy.
PMID- 18049080
TI - Holoprosencephaly spectrum, ano/microphthalmia, and first branchial arch defects:
evidence for a new disorder.
AB - We report on three unrelated Brazilian patients with a holoprosencephaly
phenotype, with variable central nervous system involvement, ano/microphthalmia,
and first branchial arch anomalies. The features of these patients show a
striking similarity to those of the patients reported by Guion-Almeida et al.
(1999) and Ribeiro et al. (2005), thus confirming the existence of this rare
condition. All cases are isolated and the etiology remains unknown.
PMID- 18049081
TI - Fetal megalourethra associated with hypoplastic left heart and imperforate anus:
a previously unreported association.
AB - We report two cases of a fetus with a megalourethra associated with a hypoplastic
left heart, dilated and echogenic bowels, vesico-colonic fistula and an
imperforate anus. This combination of fetal abnormalities may represent an
unclassified syndrome.
PMID- 18049082
TI - Rhizomelia with anal atresia and anophthalmia: a new syndrome?
AB - We report a newborn who presented with an unreported combination of anophthalmia,
anal atresia, rhizomelia, dextrocardia and corpus callosum agenesis. Clinical and
postmortem findings did not match any previously described syndromes with the
type of anomalies seen in this patient. We suggested that this combination of
congenital malformations might represent a new syndrome.
PMID- 18049083
TI - Three siblings with Woodhouse-Sakati syndrome in an Indian family.
AB - Woodhouse-Sakati syndrome consists of alopecia, hypogonadism, diabetes mellitus,
mild mental retardation, sensorineural deafness and ECG abnormalities. The
proband described here has the above-mentioned features and presented with
idiopathic thrombocytopenic purpura not reported before. Phenotypic variability
is present in the three affected siblings. The two sisters have hypergonadotropic
hypogonadism and the brother has hypogonadotropic hypogonadism. Camptodactyly of
fourth and fifth fingers is seen in proband and her brother. We report for the
first time three affected siblings of Woodhouse-Sakati syndrome in an Indian
family.
PMID- 18049084
TI - Congenital partial lipodystrophy: a new variety with previously undescribed
clinical features.
PMID- 18049085
TI - Progressive noninfectious anterior vertebral fusion in a girl with axial
mesodermal dysplasia spectrum.
AB - We report a 7-year-old-girl who presented with the clinical criteria of the axial
mesodermal dysplasia spectrum. Her parents were first cousins. Her facial
dysmorphism was compatible with Goldenhar syndrome and in addition, she had
anterior noninfectious vertebral fusions of the cervical and the thoracolumbar
vertebrae, a congenital dermal sinus and a hypoplastic sacrum. The urogenital and
the anal-recto regions were normal. To the best of our knowledge, this is the
first clinical report of a child with axial mesodermal dysplasia in association
with progressive noninfectious anterior vertebral fusion.
PMID- 18049086
TI - Sudden death in a patient with mosaic ring X Turner syndrome and a neuronal
migration disorder.
PMID- 18049087
TI - A mutation in FGFR2 in a child with Pfeiffer syndrome and a sacral appendage.
PMID- 18049088
TI - Agnathia-otocephaly complex in a fetus with maternal use of topical 1%
salicylate.
PMID- 18049089
TI - The fetal mycophenolate mofetil syndrome.
PMID- 18049090
TI - Editor's report.
PMID- 18049091
TI - Added value of PET and PET-CT in oesophageal cancer: a review of current
practice.
AB - Oesophageal carcinoma is a relatively rare form of cancer. However, it is also
one of the most deadly forms of cancer, with a 5-year survival rate of only 3%
for patients with nodal disease, and 42% for those without nodal disease. It is
therefore very important to accurately stage the disease at diagnosis and before
therapy. In this article, we review the role of commonly used investigative
techniques in the diagnosis and staging of oesophageal carcinoma, with special
emphasis on PET and PET-CT scanning. The literature is reviewed in the context of
our recent experience. A retrospective review of 129 patients with oesophageal
carcinoma, who underwent PET or PET-CT scanning over a 24-month period confirmed
the incremental value of PET and PET-CT scanning in the staging of oesophageal
carcinoma.
PMID- 18049092
TI - The effects of N-butylscopolamine on bowel uptake: an 18F-FDG PET study.
AB - PURPOSE: To determine the effect of N-butylscopolamine (buscopan) on intestinal
uptake of 18F-FDG. METHODS: Seventy-two oncology patients were prospectively
studied and 36 patients received 20 mg of N-butylscopolamine intravenously. All
patients were imaged with a Siemens PET scanner. After a 4-h fast, patients were
injected with FDG and then scanned 1 h post-injection. Two experienced observers
interpreted all studies independently. Scans were scored visually, grading 18F
FDG bowel uptake (0-3) and the influence of bowel uptake to a lack of confidence
in scan reporting (0-3). For semi-quantitative comparison, the ratio of
radiotracer uptake in the bowel to mean liver (B/L) was obtained. RESULTS: All
results were in favour of N-butylscopolamine. For the qualitative data, a Mann
Whitney test was used. Results for contribution of bowel uptake to lack of
confidence in reporting scores, showed P=0.0001 for observer 1, and P=0.002 for
observer 2; for degree of uptake in bowel scores, observer 1 results gave a value
of P=0.0001 and observer 2 P=0.001. For agreement of uptake scores, Kappa index
showed 'moderate' agreement between observers for the control group and 'fair'
agreement for the N-butylscopolamine group. For contribution of bowel uptake to
lack of confidence scores, there was 'very good' agreement for the control group
and 'fair' agreement for the N-butylscopolamine group. The semi-quantitative
effect of N-butylscopolamine on bowel-to-liver ratio was determined using an
unrelated t-test that produced significance at the level of P<0.001. CONCLUSION:
This study showed that administration of N-butylscopolamine can reduce artefacts
in the bowel during 18F-FDG PET, and can potentially improve accuracy of 18F-FDG
PET reporting.
PMID- 18049093
TI - Reduced thalamic 18F-flurodeoxyglucose retention in adults with neurofibromatosis
type 1.
AB - OBJECTIVE: Neurofibromatosis type1 (NF1) is associated with cognitive and motor
deficits whose pathogenesis is not well understood. 18F-Flurodeoxyglucose
positron emission tomography (FDG PET) might be used to investigate putative
functional correlates in the brain. METHODS: Whole-body FDG PET including the
brain had been performed in 29 NF1 patients suspected for malignant peripheral
nerve sheath tumours (20 females, nine males, age 31.2+/-11.8 years). Twenty-nine
age-matched and sex-matched subjects without evidence of neurological/psychiatric
disease in whom FDG PET had been performed for NF1-unrelated oncological
indication served as controls. Individual brain FDG retention images were
stereotactically normalized and scaled to a common median retention value within
the brain. Scaled FDG retention was compared between the NF1 group and the
control group on a voxel-by-voxel base using ANCOVA in SPM2 with the FDG uptake
period as covariate. The corrected significance level alpha=0.05 was used. Voxel
based analysis was complemented by volume of interest (VOI)-based analysis using
predefined standard VOIs. RESULTS: The voxel-based group comparison revealed a
significant reduction of scaled FDG retention in the thalamus of the NF1 subjects
within a cluster of 11.6 ml. There were no further significant effects, neither
hypo-retention nor hyper-retention. Reduction of relative FDG retention in the
thalamus in the NF1 subjects was confirmed by VOI analysis. The magnitude of the
reduction was about 8%. CONCLUSIONS: The thalamus appears to be affected in
adults with NF1. The observed magnitude of the reduction of scaled thalamic FDG
retention in adults is smaller than previously reported in children. This may be
consistent with a stabilization of the disease process with age.
PMID- 18049094
TI - Active inflammatory bowel disease: head-to-head comparison between 99mTc
hexamethylpropylene amine oxime white blood cells and 99mTc(V)-dimercaptosuccinic
acid scintigraphy.
AB - PURPOSE: Evaluation and comparison between pentavalent 99mTc dimercaptosuccinic
acid (99mTc(V)-DMSA) and 99mTc-hexamethylpropylene amine oxime white blood cell
(99mTc-HMPAO WBC) scintigraphy in the detection and assessment of disease
activity in patients with active inflammatory bowel disease (IBD). MATERIALS AND
METHODS: 99mTc(V)-DMSA scintigraphy was performed in 23 patients with active IBD
and true positive 99mTc-HMPAO WBC scintigraphy. Images were considered positive
when an area of increased uptake was observed. To assess severity of IBD, semi
quantitative analysis was included with reference to the uptake in the iliac
crest. Comparison with endoscopic, radiological and clinical data was performed.
RESULTS: The diagnostic accuracy of 99mTc-HMPAO WBC and 99mTc(V)-DMSA was 91% and
84%, respectively. A significant correlation between the findings of both
radioisotopic methods and scintigraphy score was demonstrated. Endoscopic
findings were significantly correlated with scintigraphic results. Kappa
statistics showed a moderate to good agreement between the two scintigraphic
methods. Two patients (8.8%) had negative findings with 99mTc(V)-DMSA
scintigraphy (false negative results). CONCLUSION: 99mTc(V)-DMSA compared to
99mTc-HMPAO WBC could provide a simple, non-invasive alternative method for the
assessment of disease activity, although it is slightly inferior to 99mTc-HMPAO
WBC scintigraphy especially in the evaluation of disease localization in IBD
patients.
PMID- 18049095
TI - Biodistribution study and identification of inflammation sites using 99mTc
labelled stealth pH-sensitive liposomes.
AB - PURPOSE: To investigate the biodistribution and the ability of stealth pH
sensitive liposomes radiolabelled with 99mTc to identify inflammatory regions in
a rat focal inflammation model. METHODS: Preformed glutathione-containing stealth
pH-sensitive liposomes were labelled with 99mTc-hexamethylpropylene amine oxime
(99mTc-HMPAO). The 99mTc-HMPAO radiolabelled stealth pH-sensitive liposomes
(99mTc-SpHL) were administered intravenously in Wistar male rats with
inflammation induced by injection subplantar of carrageenan in the right foot. At
pre-established time intervals the animals were anaesthetized and tissues were
removed and analysed for 99mTc content using an automatic scintillation
apparatus. Scintigraphic imaging was also performed after 2, 4 and 8 h of
intravenous injection of 99mTc-SpHL. RESULTS: The 99mTc-SpHL was significantly
taken up by the spleen (19.21+/-2.98%ID/g at 30 min post-injection). Low
radioactivity levels were found in the liver, lungs, and kidney. Moreover, the
99mTc-SpHL uptake was significantly higher in the inflamed foot when compared to
the respective control (0.386+/-0.059 and 0.215+/-0.018%ID/g at 2 h post
injection, respectively). As early as 30 min after administration of 99mTc-SpHL,
the focus of inflammation could be visualized scintigraphically. The value of the
inflammatory and non-inflammatory site radioactivity counting ratio was greater
than 5. CONCLUSION: This result indicates that the 99mTc-SpHL presents a high
tropism for inflammatory regions and may be useful as a radiopharmaceutical to
identify these foci.
PMID- 18049096
TI - 99mTc-HYNIC-rh-annexin-V scintigraphy: visual and quantitative evaluation of
early treatment-induced apoptosis to predict treatment outcome.
AB - AIM: To determine the reliability of visual analysis of 99mTc-HYNIC-rh-annexin-V
tumour uptake (ATU) compared to quantitative tracer uptake evaluation. METHODS:
Thirty-eight patients (22 male, 16 female, mean age 57) with histologically
proved lymphoma (n=31), non-small cell lung cancer (NSCLC) (n=4) and head and
neck squamous cell carcinoma (H&NSCC) (n=3) were examined. 99mTc-HYNIC-rh-annexin
V scintigraphy (TAS) was acquired before and within 2 days after the start of
anti-cancer treatment. Maximal counts per pixel in the tumour volume (Cmax) were
calculated for every target lesion. To match the quantitative and visual ATU,
both were expressed as a four-grade score. Cmax as percentages of baseline
values: grade 1, decrease >25%; grade 0, 1-25% decrease; grade 1, 1-25% increase;
grade 2, >25% increase. Visual analysis: 0=absent, 1=weak, 2=moderate, 3=intense.
Intra-observer and inter-observer variability and methodological agreement
between visual and quantitative evaluation of ATU was expressed by computing
Cohen's kappa statistics. RESULTS: A statistically highly significant correlation
was found between the changes in ATU and therapy outcome: r=0.97 (P<0.0001) and
r=0.99 (P<0.0001) for visual and quantitative analysis, respectively. Good intra
observer reproducibility, with a high kappa of 0.82 for observer 1 and a kappa of
0.90 for observer 2, was determined. Inter-observer variability was 0.82.
CONCLUSION: Visual evaluation of ATU after image co-registration appears to be a
reliable and reproducible method for preliminary assessment of early treatment
induced apoptosis.
PMID- 18049097
TI - Evaluation of the effects of toluene inhalation on alveolar epithelial
permeability by 99mTc-DTPA inhalation scintigraphy in automobile painters.
AB - BACKGROUND: The main component of paint thinner used in industry is toluene
diisocyanate (TDI) which can cause occupational asthma in 5-10% of exposed
workers. AIM: To investigate the effect of TDI on 99mTc clearance rate of
alveolar epithelium and on pulmonary function tests (PFT) in automobile painters,
and to determine the relationship between 99mTc-DTPA radioaerosol lung
scintigraphy and serum levels of antioxidant enzymes and metalloproteinases
(MMPs) of automobile painters. METHODS: Twenty-eight automobile painters and 13
control subjects were included in the study. 99mTc-DTPA aerosol inhalation
scintigraphy and PFT were administered to all subjects. Clearance half-time
(T1/2) and penetration index (PI) on the first-minute image after 99mTc-DTPA
scintigraphy were calculated. Blood levels of MDA, antioxidant enzymes and
metalloproteinases were measured. RESULTS: The mean T1/2 values of automobile
painters were longer in both smoker and non-smoker subjects, but the difference
was not significant (P>0.05). Although the PFT values decreased in automobile
painters, there was no significant difference between each group. Any correlation
between spirometric measurements and T1/2 or PI values in non-smoking automobile
painters was not detected. Negative correlation among mean T1/2 value and FVC%
and FEV1% in smoking automobile painters, and positive correlation between mean
T1/2 value and MMP-9, GSH-Px levels in non-smoking automobile painters were
detected. CONCLUSION: Our results suggested that the clearance of 99mTc-DTPA from
the lungs of automobile painters was slower than in the control group, but the
difference is not statistically significant. This data also supports the
observation that TDI occasionally stimulates bronchial changes rather than
alveolar changes in automobile painters.
PMID- 18049098
TI - Evaluation of the automatic three-dimensional delineation of caudate and putamen
for PET receptor occupancy studies.
AB - OBJECTIVE: PET receptor occupancy studies with a baseline study and an
intervention study are increasingly used as an aid in dose-finding procedures for
central nervous system drug development. The aim of this study was to evaluate,
and confirm the feasibility of two automatic, paired, three-dimensional
delineation methods of striatal structures (caudate and putamen) for the purposes
of PET receptor occupancy studies. METHODS: The automatic extraction was done
with the deformable surface models from PET binding potential images. The
segmentation result of the baseline study was utilized for segmenting the
intervention study. The methods were evaluated with Monte Carlo simulated phantom
images, a test-retest dataset with 11C-raclopride, and two receptor occupancy
datasets (11C-raclopride and 18F-SPA-RQ). With the test-retest dataset, the
reproducibility (normalized absolute differences (NAD)) and reliability
(intraclass correlation coefficient (ICC)) of binding potential values were
assessed with the proposed methods designed specifically for receptor occupancy
and compared with the manual segmentation. A similar comparison was also
performed for the receptor occupancy estimates. RESULTS: In the test-retest
dataset, the two automatic three-dimensional segmentation methods had mean NADs
ranging from 2.44 to 5.11% and ICCs from 0.88 to 0.98. The corresponding values
for the manual two-dimensional segmentation were 3.45 to 6.65% and 0.82 to 0.96
(NAD differences non-significant). The automatic methods also yielded similar
receptor occupancy values to the manual segmentation method in a range of high
and low occupancy values. CONCLUSION: We believe that the automated three
dimensional segmentation will be useful in enhancing the analysis of large-scale
PET receptor occupancy studies with high-resolution scanners.
PMID- 18049100
TI - Reproducibility and repeatability of differential renal function in 4-week-old
piglets.
AB - BACKGROUND: Congenital obstructive renal disease often requires a decision early
in the child's life on whether or not surgery is required. Differential renal
function (DRF) calculated from the renogram provides important information for
the correct decision in this process. A recent publication cast doubt as to the
reliability of the renogram in providing DRF in the young child. AIM: To describe
the day-to-day variation and reproducibility of the two commonly used agents for
estimating DRF. METHODS: Within 1 week, 4-week-old pigs each underwent three
examinations with both 99mTc-DTPA and 99mTc-DMSA. DRF values from the 99mTc-DTPA
renograms were calculated using both the area under the curve (AUC) and the
Rutland-Patlak equation. Day-to-day variations in the results using different
background subtraction methods were analysed using the coefficient of variation
for each case and the repeatability coefficient for each type of background
subtraction. RESULTS: DRF calculated from the 99mTc-DMSA studies showed little
variation, with a coefficient of variation of 3.9% in the worst case. The
repeatability coefficient calculated from the 99mTc-DTPA studies using the AUC
technique combined with the background subtraction method giving the least
variation was 14.9% while using the Rutland-Patlak technique with its best
background subtraction showed an RC of 9.4%. CONCLUSIONS: The study demonstrates
that DRF calculated from 99mTc-DMSA studies have low variability and the results
are highly reproducible in immature pigs. The DRF calculated from 99mTc-DTPA
renograms failed to show acceptable reproducibility when analysed using either
the AUC method or the Rutland-Patlak equation.
PMID- 18049099
TI - Biodistribution and internal dosimetry of the 188Re-labelled humanized monoclonal
antibody anti-epidemal growth factor receptor, nimotuzumab, in the locoregional
treatment of malignant gliomas.
AB - OBJECTIVE: To evaluate the biodistribution, internal radiation dosimetry and
safety of the 188Re-labelled humanized monoclonal antibody nimotuzumab in the
locoregional treatment of malignant gliomas. METHODS: Single doses of 370 or 555
MBq of 188Re-labelled nimotuzumab were locoregionally administered to nine
patients with recurrent high-grade gliomas, according to an approved dose
escalation study. SPECT, planar scintigraphy and magnetic resonance images were
combined for dosimetric and pharmacokinetic studies. Blood and urine samples were
collected to evaluate clinical laboratory parameters and for absorbed doses
calculations. Biodistribution, internal dosimetry, human anti-mouse antibody
response and toxicity were evaluated and reported. RESULTS: The 188Re-nimotuzumab
showed a high retention in the surgically created resection cavity with a mean
value of 85.5+/-10.3%ID 1 h post-injection. It produced mean absorbed doses in
the tumour region of approximately 24.1+/-2.9 Gy in group I (patients receiving
370 MBq) and 31.1+/-6.4 Gy in group II (patients receiving 555 MBq); the normal
organs receiving the highest absorbed doses were the kidneys, liver and urinary
bladder. About 6.2+/-0.8%ID was excreted by the urinary pathway. The maximum
tolerated dose was 370 MBq because two patients showed severe adverse effects
after they received 555 MBq of 188Re-nimotuzumab. No patient developed human anti
mouse antibody response. CONCLUSIONS: A locoregional single dose of 188Re
labelled nimotuzumab of approximately 370 MBq could be used safely in the routine
treatment of patients suffering with high-grade gliomas. The efficacy of this
therapy needs to be evaluated in a phase II clinical trial.
PMID- 18049101
TI - A profile of Australian nuclear medicine technologist practice.
AB - BACKGROUND: Nuclear medicine in Australia has encountered significant change over
the past 30 years, with a move to privately owned practices, technological
advances and the transfer of education of the nuclear medicine technologist (NMT)
from technical college apprenticeships to university degrees. Currently,
shortages of nuclear medicine technologists are reported in some states of
Australia. It is not known whether changes in NMT practice or the type of centre
in which an NMT works have an influence on retention of staff. AIM: The primary
objective of this survey was to establish a profile of NMT practice in Australia,
with the aim of producing baseline data that could be used in further research to
establish levels of retention and job satisfaction. METHODS: Chief technologists
in three states of Australia were invited to respond to a written questionnaire.
The questionnaire included data about staffing levels, imaging modalities,
procedures performed, and movement of staff. Findings presented will relate to
the profile of practice data only. RESULTS: Forty-eight (54%) chief technologists
responded to the questionnaire with 73% working in privately owned practices. The
majority of centres employ up to two full-time equivalent nuclear medicine
technologists and have two gamma cameras and one full-time equivalent nuclear
medicine physician. Most centres perform a limited range of studies with bone
scans predominating. More than half the centres make some use of a centralized
radiopharmacy service. CONCLUSION: Further research is required to determine how
these changes may impact on workplace satisfaction and in turn, on retention.
PMID- 18049102
TI - Standardization of LVEF values from MUGA scanning.
PMID- 18049104
TI - Spontaneous operational tolerance after immunosuppressive drug withdrawal in
clinical renal allotransplantation.
AB - Tolerance is the so-called "Holy Grail" of transplantation, but achieving this
state is proving a major challenge, particularly in the clinical setting. Even in
rodents, the definition of true transplant tolerance is not applicable to many
models, with late graft damage often occurring despite long-term graft survival.
Hence the term "operational tolerance," based more on graft function and absence
of exogenous immunosuppression, is being adopted. Although the most sought-after
goal in this field is to intentionally induce this state in a controlled manner,
translating protocols across species from rodents to the clinic, the current
literature demonstrates that this is proving a formidable task. A complementary
approach is to address transplant tolerance from a different angle, by studying
tolerance-like phenomena that occur "unintentionally" in transplant patients
after immunosuppressive drug weaning. Such spontaneous operational tolerance,
which can take place after years of immunosuppression, is rare in kidney
transplant recipients. However, determining exactly how this state arises and how
it can be detected may make it possible to induce it in a greater number of
patients and then to return to the drawing board to rationally design protocols
that have a greater chance of clinical success. Moreover, the study of such
patients should help in the identification of biomarkers of low immunological
risk that could be used to select patients for potential weaning. Collaborative
efforts through international networks, together with the application of newer
and more powerful technologies to diagnostic, prognostic, and mechanistic
research, may help transplanters to achieve this goal.
PMID- 18049105
TI - Ghrelin, atherosclerosis, and glucose: GAG or causal relationships?
PMID- 18049106
TI - Tissue-engineered human corneal endothelial cell sheet transplantation in a
rabbit model using functional biomaterials.
AB - BACKGROUND: This study was performed to investigate whether transplantation of
bioengineered human corneal endothelial cell (HCEC) sheet grafts into corneas
denuded of endothelium could restore corneal function and clarity in a rabbit
model. METHODS: After being labeled with PKH26 fluorescent dye, the adult HCECs
derived from eye bank corneas were cultivated on the thermoresponsive poly(N
isopropylacrylamide) (PNIPAAm)-grafted surfaces for 3 weeks at 37 degrees C, and
were harvested as transplantable cell sheets after incubation for 45 min at 20
degrees C. Attached by gelatin hydrogel discs, the bioengineered cell monolayers
were transplanted to rabbit corneas denuded of endothelium (HCEC sheet group).
Traumatized rabbit corneas were served as controls. Postsurgical corneas
underwent clinical observations and histological examinations for 6 months.
RESULTS: By transmission electron microscopy and Western blot analysis of zonula
occludens-1 and Na+,K+ -adenosine triphosphatase proteins, the structure and
function of HCEC sheets resembled those of native corneal endothelium. After
endothelial cells were removed, corneas of each group turned severe edematous and
opaque. In the HCEC sheet groups, corneal clarity was gradually restored and
corneal thickness was significantly less than that in the control groups
(P<0.05). The attached PKH26-positive HCECs spread on rabbit Descemet's membrane
after receiving cell sheet grafts. Intraocular delivery of HCEC sheets by means
of a minimally invasive technique (i.e., small-incision surgery using
biodegradable hydrogels) demonstrated long-term graft integration with damaged
corneas. CONCLUSIONS: These results indicate that using cultured HCECs and
functional biomaterials, PNIPAAm and gelatin, an effective cell sheet-based
therapy can be developed for the treatment of corneal endothelium deficiency.
PMID- 18049107
TI - Improvement of the survival rate by fetal liver cell transplantation in a mice
lethal liver failure model.
AB - BACKGROUND: The use of cell transplantation as an alternative therapy for
orthotopic liver transplantation has been widely anticipated due to a chronic
donor shortage. We previously reported the method used to enrich hepatic
progenitor cells (HPCs) forming cell aggregations. In this study, we transplanted
HPCs into the liver injury model mice to determine whether HPC transplantation
may improve the liver dysfunction. METHODS: We obtained donor cells from E13.5
fetal livers of green fluorescent protein (GFP) transgenic mice. We transplanted
GFP-positive fetal liver cells into the transgenic mice which express diphtheria
toxin (DT) receptors under the control of an albumin enhancer/promoter.
Subsequently, we induced selective liver injury to recipient mice by DT
administration. We then evaluated the engraftment of the transplanted cells and
their effect on survivorship. RESULTS: The low dose of DT induced sublethal liver
injury and the high dose of DT was lethal to the liver injury model mice. The
transplanted GFP-positive cells were engrafted into the recipient livers and
expressed albumin, resembling mature hepatocytes. They continued to proliferate,
forming clusters. The survival rate at 25 days after transplantation of the cell
transplanted group (8 of 20; 40.0%) was improved significantly (P=0.0047) in
comparison to that of the sham-operated group (0 of 20; 0%). CONCLUSIONS: The
transplanted cells were engrafted and repopulated the liver of recipient mice,
resulting in the improvement of the survival rate of the liver injury model mice.
We therefore propose that HPCs are a desirable cell source for cell
transplantation.
PMID- 18049108
TI - Association of hypertension genotypes and decline in renal function after kidney
transplantation.
AB - BACKGROUND: Polymorphisms of genes such as angiotensin-converting enzyme (ACE),
angiotensinogen (AGT), and angiotensin receptor type I (AGTR1) have been
associated with hypertension. Hypertension, in turn, has been associated with
decreased renal allograft survival. Therefore, this study investigated whether
single nucleotide polymorphisms (SNPs) in these genes are associated with decline
in renal function posttransplantation. METHODS: We enrolled patients from a
prospective cohort of renal transplant recipients of deceased donor kidneys being
conducted at 9 centers in the Delaware Valley Region. Medical records were
assessed every 6 months and estimated glomerular filtration rate (eGFR) was
calculated using the Modification of Diet in Renal Disease equation. Genotypes of
10, 2, and 5 SNPs in the AGTR1, AGT, and ACE gene were analyzed, respectively.
RESULTS: The G and the T alleles of the respective AGTR1 SNPs rs275704 and rs5182
were both associated with 50% decline in eGFR (HR for rs275704: CG=1.22, 95%
confidence interval [CI] 0.67-2.25 and GG=2.55, 95% CI 1.22-5.32, overall P=0.03;
HR for rs5182: CT=1.26, 95% CI 0.72-2.19 and TT=3.09, 95% CI 1.50-6.37, overall
P=0.007) in the adjusted analysis. Similarly, haplotype analysis showed that
AGTR1 SNPs were associated with 50% decline in eGFR (global P=0.010). The GG
genotype of SNP rs275704 occurred more frequently in African Americans than in
non-African Americans (44% vs. 7%, chi2=36.03, P<0.0001). In contrast, the TT
genotype of SNP rs5182 occurred more frequently in non-African Americans than in
African-Americans (24% vs. 2%, chi2=21.40, P<0.0001). Polymorphisms in the ACE
and AGT genes were not associated with renal allograft outcomes. CONCLUSIONS:
SNPs in AGTR1 gene are associated with decline in renal function
posttransplantation.
PMID- 18049109
TI - Ghrelin, glucose homeostasis, and carotid intima media thickness in kidney
transplantation.
AB - BACKGROUND: Abnormalities in glucose homeostasis (AGH) frequently occur in kidney
transplantation and favor vascular lesions. The purpose of this study was to
analyze whether C-reactive protein (CRP), adiponectin, and ghrelin are markers of
AGH and indicators of carotid atherosclerosis in kidney transplant patients with
fasting plasma glucose below 126 mg/dL. METHODS: This was a cross-sectional study
of 85 kidney transplant patients (59 men; mean age: 52.4 +/- 11.6 years; median
posttransplant follow-up 31 (range 3-61) months). All patients underwent an oral
glucose tolerance test. Abnormalities in glucose homeostasis were diagnosed
following American Diabetes Association criteria. CRP, adiponectin, and ghrelin
levels were determined. Doppler ultrasound of the carotid artery was performed to
determine intima media thickness (IMT) and atheromatous plaque. RESULTS: A total
of 50.5% of patients had AGH (12.9% were diagnosed with new-onset diabetes
mellitus after transplantation and 37.7% had impaired glucose tolerance or
impaired fasting glucose), whereas 49.4% were normoglycemic. Patients with AGH
were older (P=0.002), had greater carotid IMT (P=0.022), and lower ghrelin
concentrations (P=0.017) than normoglycemic patients. Logistic regression
analyses showed ghrelin to be an independent marker for AGH (P=0.012) and AGH to
be related to greater IMT (P=0.041). No differences in adiponectin or CRP were
found in relation to AGH or atherosclerosis; however, there was a positive
correlation between adiponectin levels and prednisone dose (r=0.240; P=0.044).
CONCLUSIONS: A total of 50.5% of the study patients had abnormalities in glucose
homeostasis. Patients with AGH had a higher percentage of preclinical
atherosclerosis (greater carotid IMT). Ghrelin is an independent marker for
abnormalities in glucose homeostasis.
PMID- 18049110
TI - Relevant factors to psychological status of donors before living-related liver
transplantation.
AB - BACKGROUND: While previous surveys have demonstrated the psychological impact on
living-related liver transplantation (LRLT) donors, such as anxiety, depression,
ambivalence and anger, the details regarding the relevant factors that affect
donors' psychological status have not been well described. METHODS: To evaluate
environmental factors, 66 donors were interviewed to obtain information regarding
donors' decision-making motivation, process, conflicts, and internal pressure
about donation just before surgery. To determine the donors' psychological
status, they completed the State-Trait Anxiety Inventory, Beck Depression
Inventory, and World Health Organization Quality of Life 26 standardized
psychological tests for anxiety, depression, and quality of life (QOL).
Respective recipients completed the same tests separately, in order to determine
psychological synchronization with the donors. With regard to motivation, donors
were divided into two groups, and further divided into three groups based on
processes. Donors were also sorted in groups of those "with conflict" and "with
pressure." Their psychological test results were compared within groups, as well
as with those from recipients. RESULTS: Donors from the nonvolunteer or
postponement groups were significantly more anxious and depressed than other
donors. Donors from the "with conflict" or "with pressure" groups were
significantly more anxious, more depressed, and had worse QOL. There was a
significant positive correlation between donors' and recipients' test results for
anxiety and QOL, especially when donors belonged to the volunteer group.
CONCLUSIONS: Our results suggest that donors' decision-making process and
recipients' psychological status, especially donors' state anxiety should be
considered when assessing donors' psychological status before LRLT.
PMID- 18049112
TI - Lower expression of Nrf2 mRNA in older donor livers: a possible contributor to
increased ischemia-reperfusion injury?
AB - BACKGROUND: The cellular mechanisms involved in mediating cytoprotection against
ischemia-reperfusion (IR) injury are not well understood. In animal models, NF-E2
related factor-2 (Nrf2) protects against IR injury by transcriptional activation
of phase II antioxidants. Here, we investigate how the expression of Nrf2 mRNA in
human donor livers in the setting of liver transplantation (LT) correlates with
the histological damage associated with IR injury and whether or not this
influences the outcome of LT. METHODS: Pairs of biopsies were acquired from 14
donor livers; the first biopsy of each pair was taken at the start of the
retrieval operation, prior to the IR phase of LT and the second at the end of
transplantation. RNA was extracted from snap frozen tissue and cDNA was prepared.
Nrf2 mRNA expression was determined using real-time polymerase chain reaction
(PCR). The modified Suzuki scoring system was used for histological grading of IR
injury and relevant donor, recipient, and after LT clinical data were compiled.
RESULTS: Nrf2 expression was observed in all biopsies, both before and after IR.
Some donor organs had greater expression of Nrf2 mRNA before IR injury, and these
organs had lower Suzuki scores and better liver functions (ALT) after LT. Donors
of livers with greater Nrf2 levels were significantly younger (40.5 yrs, range 28
53 yrs) than those with low Nrf2 levels (55.5 yrs, range 48-61 yrs), P<0.05.
CONCLUSION: Livers from older donors have lower levels of Nrf2 perhaps exposing
these organs to more IR-related damage.
PMID- 18049111
TI - Angiogenesis soluble factors as hepatocellular carcinoma noninvasive markers for
monitoring hepatitis C virus cirrhotic patients awaiting liver transplantation.
AB - BACKGROUND: Physiological angiogenesis occurs during liver regeneration, leading
to the formation of new functional sinusoids. Pathological angiogenesis occurs in
hepatocellular carcinoma (HCC). We aimed to evaluate the expression of angiogenic
factors in hepatitis C virus (HCV)-HCC tissues and the utility of angiogenesis
soluble factors as noninvasive markers of HCC and tumor growth. METHODS: Thirty
eight HCV-HCC tumors with 10 corresponding nontumor cirrhotic tissues, as well as
42 independent HCV cirrhotic and 6 normal liver tissues were studied using high
density oligonucleotide arrays. Human angiogenesis microarray was used for the
protein detection of EGF, TIMP-1, TIMP-2, HGF, angiopn-1, angiopn-2, VEGF-A, IP
10, PDGF, KGF, angiogenin, VEGF-D, ICAM-1, and FGF in plasma samples from 40
patients (30 HCCs and 10 HCV cirrhosis). RESULTS: From the gene expression
analysis of the HCV-HCC tumors compared to normal livers, we found an important
number of genes related to angiogenesis differentially expressed (alpha=0.01),
including VEGF, PDGF, AGPTL2, ANG, EGFL6, EGFR, angiopn-1, angiopn-2, ICAM2, TIMP
2, among others. Moreover, angiogenic genes were also differentially expressed
when HCV-HCC samples were compared to HCV cirrhotic tissues (alpha=0.01; VEGF,
EGFL3, EGFR, VEGFB, among others). Ten out of 14 angiogenic proteins analyzed
were statistically differentially expressed between HCV cirrhosis and HCV-HCC
groups (TIMP-1, TIMP-2, HGF, angiopn-1, angiopn-2, VEGF-A, IP-10, PDGF, KGF, and
FGF; P<0.05). In addition, we observed that angiopn-2 was the most significant
predictor (area under the curve: 0.83). CONCLUSION: Differentially expressed
angiogenesis genes were observed between HCV patients with and without HCC.
Soluble angiogenic factors might be useful for monitoring high-risk HCV patients.
PMID- 18049113
TI - Toll-like receptor 4 mediates the early inflammatory response after cold
ischemia/reperfusion.
AB - BACKGROUND: Ischemia/reperfusion (I/R) injury leads to graft dysfunction and may
contribute to alloimmune responses posttransplantation. The molecular mechanisms
of cold I/R injury are only partially characterized but may involve toll-like
receptor (TLR)-4 activation by endogenous ligands. We tested the hypothesis that
TLR4 mediates the early inflammatory response in the setting of cold I/R in a
murine cardiac transplant model. METHODS: Syngeneic heart transplants were
performed in mutant mice deficient in TLR4 signaling (C3H/HeJ) and wild-type mice
(C3H/HeOuJ). Transplants were also performed between the strains (mutant hearts
into wild-type recipients and the converse). Donor hearts were subjected to 2 hr
of cold ischemia. The grafts were retrieved at 3 and 24 hr after reperfusion.
Serum samples were collected for cytokine analysis. Reverse-transcription
polymerase chain reaction and histologic analysis were used to assess intra-graft
inflammation. RESULTS: After transplant, serum tumor necrosis factor (TNF),
interleukin (IL)-6, JE/monocyte chemotractant protein (MCP)-1, IL-1beta, and
troponin I levels, as well as intragraft TNF, IL-1beta, IL-6, early growth
response (EGR)-1, intercellular adhesion molecule (ICAM)-1, and inducible nitric
oxide synthase (iNOS) mRNA levels, were significantly lower in the mutant-
>mutant group compared to the wild-type-->wild-type group (P< or =0.05).
Intermediate levels of serum IL-6, JE/MCP-1, as well as intragraft TNF, IL-1beta,
IL-6, and ICAM-1 mRNA were observed after transplants in the mutant-->wild-type
and wild-type-->mutant groups. Immunohistochemistry revealed less myocardial
nuclear factor-kappaB nuclear translocation at and less neutrophil infiltration
in the mutant-->mutant group compared to the wild-type-->wild-type group.
CONCLUSIONS: These findings demonstrate that TLR4 signaling is central to both
the systemic and intragraft inflammatory responses that occur after cold I/R in
the setting of organ transplantation and that TLR4 signaling on both donor and
recipient cells contributes to this response.
PMID- 18049114
TI - Prolongation of survival of fully allogeneic cardiac grafts and generation of
regulatory cells by a histamine receptor 2 antagonist.
AB - BACKGROUND: The effects of histamine on immunologic responses via the histamine
receptor 2 (HR2) have been studied, but few investigations explored the
immunomodulatory role of histamine in vivo. We examined whether the HR2
antagonist ranitidine affects the alloimmune response in a murine model of
cardiac transplantation. METHODS: CBA (H-2k) recipients were given no treatment
or one intravenous injection of ranitidine on the day of transplantation of a
heart from C57BL/10 (H-2b) donors. Survival of the allografts was recorded. The
effect of the ranitidine treatment on cell proliferation and cytokine production
was assessed by mixed leukocyte culture and enzyme-linked immunosorbent assays.
An adoptive transfer study was conducted to determine whether regulatory cells
were generated. The effect on graft survival of adding FK506 to the ranitidine
treatment was also examined. RESULTS: CBA recipients given ranitidine (60 mg/kg)
had prolonged graft survival (median survival time [MST], 87 days). Ranitidine
treatment also suppressed the proliferation of splenocytes and production of
interleukin (IL)-2 and up-regulated IL-10 production. Adoptive transfer of
splenocytes and CD4 cells from ranitidine-treated allograft recipients induced
significant prolongation of allograft survival in naive secondary recipients
(MST, 71 and >100 days, respectively). CBA recipients given both ranitidine and
FK506 (0.1 mg/kg/day for 14 days) had indefinite survival of cardiac allografts
(MST, >100 days). CBA recipients treated with FK506 alone rejected the allografts
(MST, 27 days). CONCLUSION: In our model, ranitidine treatment induced
significantly prolonged survival of fully allogeneic cardiac grafts, generated
CD4 regulatory cells, and indefinite survival when combined with FK506 (0.1
mg/kg/day).
PMID- 18049115
TI - Peptide-dependent inhibition of alloreactive T-cell response by soluble divalent
HLA-A2/IgG molecule in vitro.
AB - BACKGROUND: Induction of peripheral tolerance in an antigen-specific manner is a
critical goal of transplant biology. The specificity and avidity of multimerized
peptide/major histocompatibilty complexes suggest their potential ability to
modulate antigen-specific T-cell sensitization and effector functions. METHODS: A
soluble divalent HLA-A2/IgG molecule (HLA-A2 dimer) was constructed and loaded
with a self-protein origin peptide (Tyr(368-376)) to form a divalent Tyr/HLA-A2
molecule (Tyr/HLA-A2 dimer), which allowed for specific targeting to the epitope
specific cytotoxic T lymphocytes in bulk alloreactive T cells. Alloreactive T
cell response was induced by coculture of Tyr(368-376) -pulsed T2 cells (T2/Tyr)
with peripheral blood lymphocytes of HLA-A2-negative (HLA-A2-ve) sample; five
samples of HLA-A2-ve individuals were included in this study. After the coculture
in the presence of Tyr/HLA-A2 dimer, the suppression of the dimer on alloresponse
was characterized by analyzing allogeneic T-cell proliferation, specific
cytolytic activity against the T2/Tyr, and specific Tyr/HLA-A2 tetramer staining.
RESULTS: The Tyr/HLA-A2 dimer suppresses alloreactive T-cell response by
inhibiting its proliferation and cytotoxicity against specific target T2/Tyr in
vitro, and it is interesting that the suppression is peptide-specific. The
Tyr/HLA-A2 tetramer staining suggests the reduced function of CD8+ T cell is
caused by inhibiting the generation of the epitope-specific alloreactive T cells
by the Tyr/HLA-A2 dimer in three samples. Moreover, the existence of epitope
specific but function-negative T cells in the other two samples suggests that
another mechanism might exist that is involved in silencing alloreactive
responses by the dimer. CONCLUSION: Peptide-loaded dimers offer a novel approach
to induce peptide-specific immunosuppression and may be useful in promoting graft
survival.
PMID- 18049116
TI - Ischemic central necrosis in pockets of transplanted myoblasts in nonhuman
primates: implications for cell-transplantation strategies.
AB - BACKGROUND: Several cell-transplantation strategies implicate the injection of
cells into tissues. Avascular accumulations of implanted cells are then formed.
Because the diffusion of oxygen and nutrients from the surrounding tissue
throughout the implanted cell accumulations may be limited, central ischemic
necrosis could develop. We analyzed this possibility after myoblast
transplantation in nonhuman primates. METHODS: Macaca monkeys were injected
intramuscularly with different amounts of myoblasts per single site. These sites
were sampled 1 hr later and at posttransplantation days 1, 3, 5, and 7 and
analyzed by histological techniques. RESULTS: One day posttransplantation, the
largest pockets of implanted cells showed cores of massive necrosis. The width of
the peripheral layer of living cells was approximately 100-200 microm. We thus
analyzed the relationship between the amount of myoblasts injected per site and
the volume of ischemic necrosis. Delivering 0.1 x 10(6) and 0.3 x 10(6) myoblasts
did not produce ischemic necrosis; pockets of 1 x 10(6), 3 x 10(6), 10 x 10(6),
and 20 x 10(6) myoblasts exhibited, respectively, a mean of 2%, 9%, 41%, and 59%
of central necrosis. Intense macrophage infiltration took place in the muscle,
invading the accumulations of necrotic cells and eliminating them by
posttransplantation days 5 to 7. CONCLUSIONS: The desire to create more neoformed
tissue by delivering more cells per injection site is confronted with the fact
that the acute survival of the implanted cells is restricted to the peripheral
layer that can profit of the diffusion of oxygen and nutriments from the
surrounding recipient's tissue.
PMID- 18049117
TI - Role of intra-islet endothelial cells in islet allo-immunity.
AB - BACKGROUND: Intra-islet endothelial cells (IECs) express high levels of major
histocompatibility complex (MHC) and are pivotal for posttransplant islet
revascularization. We postulated that donor-specific sensitization would result
in hyperacute rejection of IECs and prevent islet engraftment. Furthermore,
ligation of endothelial cells with subsaturating concentrations of anti-MHC class
I antibody (Ab) results in "accommodation" conferring protection against
Ab/complement-mediated lysis. Therefore, we investigated whether accommodation of
IECs would prevent hyperacute rejection of islets in sensitized recipients.
METHODS: Islets were transplanted beneath the kidney capsule and allograft
survival monitored using daily blood glucose (diabetes >300 mg/dL, normoglycemia
<150 mg/dL). Recipients were presensitized with donor islets, splenocytes, or
skin. Accommodation was induced by incubating human or murine islets with varying
concentrations of anti-MHC class I Ab ex vivo. RESULTS: Isografts remained
functional for >100 days, whereas allografts were rejected by day 14. Islet allo
transplantation induced donor-specific but not third-party anti-MHC Abs. Donor
specific, but not third-party, sensitization induced hyperacute rejection of
subsequent islet allografts (median survival 1 day) associated with complement
deposition. Preincubation of islets with subsaturating concentrations of anti-MHC
I Abs (1-100 ng/mL) up-regulated Bcl-2, Bcl-xl, and HO-1 within CD31+ IEC. These
accommodated islets were resistant against hyperacute rejection when transplanted
into donor-(splenocyte) sensitized recipients without any immunosuppression
(median survival 6 days). CONCLUSIONS: Pretransplant sensitization against donor
antigens results in hyperacute rejection of murine islets. IECs may play a
crucial role in development of donor-specific immunity after islet
transplantation. Significantly, accommodation of IEC may confer resistance to
hyperacute rejection in sensitized recipients.
PMID- 18049118
TI - The involvement of FcR mechanisms in antibody-mediated rejection.
AB - BACKGROUND: Antibody-mediated rejection is characterized by macrophage
margination against vascular endothelium. The potential interactions triggered by
antibodies between endothelial cells (EC) and macrophages have not been examined
thoroughly in transplants. We used in vivo and in vitro models of antibody
mediated rejection. METHODS: Passive transfer of monoclonal alloantibodies (Allo
mAbs) to donor major histocompatibility complex-class I antigens was used to
restore acute rejection of B10.A (H-2a) hearts to C57BL/6 (H-2b) immunoglobulin
knockout (IgKO) recipients. Intragraft cytokine mRNA expression was measured by
real-time polymerase chain reaction. In vitro, mouse EC were cultured in the
presence of Allo-mAbs to donor major histocompatibility complex class I antigens
and mononuclear cells. Levels of cytokines in culture supernatants were
determined in enzyme-linked immunosorbent assay. RESULTS: Expression of MCP-1, IL
6 and IL-1alpha mRNA was higher in rejecting transplants from recipients treated
with Allo-mAbs compared to non-rejecting transplants. EC sensitized with Allo
mAbs produced high levels of MCP-1 and KC. The addition of macrophages to
sensitized EC stimulated high levels of IL-6 in addition to MCP-1, KC, Rantes,
and TIMP-1. The levels of MCP-1 and IL-6 were significantly lower in co-cultures
of EC sensitized with IgG1 Allo-mAbs in the presence of mononuclear cells from
Fcgamma-Receptor III KO (FcgammaRIII-KO) graft recipients compared to co-cultures
with wild-type cells. The levels of both cytokines were also lower in co-cultures
of EC stimulated with F(ab')2 fragments of antibody. CONCLUSIONS: Our findings
indicate that IgG1 Allo-mAbs to major histocompatibility complex class I antigens
can augment graft injury by stimulating EC to produce MCP-1 and by activating
mononuclear cells through their Fc receptors.
PMID- 18049119
TI - Recipient-derived neoangiogenesis of arterioles and lymphatics in quilty lesions
of cardiac allografts.
AB - BACKGROUND: The contribution of extracardiac cells to tissue turnover in heart
allografts has recently been demonstrated. Complex subendocardial infiltrates,
known as Quilty lesions, are frequently observed in cardiac allografts. The
origin of the different cellular components of Quilty lesions is not known.
METHODS: Different constituents of these lymphonodular infiltrates were analyzed
with regard to donor or recipient derivation. Laser-assisted microdissection with
subsequent short tandem repeat polymerase chain reaction (PCR)-based "genetic
fingerprinting" was employed. Combined immunofluorescence and fluorescence in
situ hybridization for sex chromosomes was performed for confirmation in cases of
gender-mismatched transplantation. Expression of angiogenic factors (FGF-2, PDGF
alpha, PDGF-alpha-receptor, and VEGF-alpha) was analyzed by quantitative real
time reverse-transcription PCR and immunohistochemistry. RESULTS: The
inflammatory, nonvascular component of Quilty lesions was completely recipient
derived. Blood vessels were of mixed origin. Different compartments of blood
vessels displayed different rates of recipient derivation (endothelium up to 50%,
smooth muscle cells up to 15%). Lymphatic vessels were mainly recipient-derived.
Of the angiogenic molecules, VEGF-alpha expression was significantly increased in
the adjacent myocardium, compared to controls and the Quilty lesions themselves.
CONCLUSIONS: The inflammatory compartment of Quilty lesions is of recipient
origin and shows chimeric neoangiogenesis of blood and lymphatic vessels. VEGF
alpha produced in the adjacent myocardium appears to stimulate the chimeric
neoangiogenesis.
PMID- 18049120
TI - Infection of human endothelium in vitro by cytomegalovirus causes enhanced
expression of purinergic receptors: a potential virus escape mechanism?
AB - BACKGROUND: Human cytomegalovirus (CMV) uses different strategies to escape from
human host defense reactions. Previously we have observed that infection of
endothelial cells with CMV in vitro leads to enhanced activity of endothelial
ectonucleotidases. These ectoenzymes are responsible for hydrolysis of
extracellular adenine nucleotides, resulting in the formation of adenosine.
Infection with CMV in vivo therefore may result in local increase of adenosine
production, providing an anti-inflammatory and antiaggregatory microenvironment,
which may facilitate entry of the virus into the target cell. METHODS: The
present study focuses on the expression of P2 type purinergic receptors on
endothelial cells after infection with CMV. Human endothelial cells were infected
with CMV and compared with either uninfected cells or endothelial cells infected
with other herpesviruses (herpes simplex virus [HSV] 1 or 2) for the expression
of P2 receptors such as P2Y1, P2Y2, or P2X7. For comparison, cells stimulated
with nonspecific agents were also studied. RESULTS: A strong upregulation of the
P2 receptors tested was shown, exclusively in CMV-infected cells. Stimulation
with either HSV-1 or HSV2, nonspecific stimulants, or various cytokines did not
affect the expression of these P2 receptors significantly. CONCLUSION: Infection
of endothelium with CMV causes significant upregulation of the P2 receptors
studied. As these receptors may potentially be able to concentrate nucleotides
along the ectonucleotidases of the endothelial cell membrane, rapid local
hydrolysis of adenosine triphosphate and adenosine diphosphate may be facilitated
by enhanced P2 receptor expression. Such a CMV induced mechanism might enable the
virus to escape from an important host defense response, such as local
microthrombus formation.
PMID- 18049121
TI - Studies on glycolipid antigens in small intestine and pancreas from alpha1,3
galactosyltransferase knockout miniature swine.
AB - BACKGROUND: To avoid hyperacute rejection of xeno-organs, alpha1,3
galactosyltransferase knockout (GalT-KO) pigs have been produced. Galalpha1,3Gal
determinant elimination may expose cryptic carbohydrate antigens and/or generate
new antigens. This is the first biochemical study of carbohydrate antigens in
GalT-KO pig organs. METHODS: Neutral and acidic glycolipids were isolated from
small intestine and pancreas of two GalT-KO and one wild-type (WT) pig.
Glycolipid immune reactivity was tested on thin-layer chromatograms. Small
intestine neutral glycolipids were separated by high-performance liquid
chromatography and selected fractions were analyzed by proton nuclear magnetic
resonance spectroscopy. Total gangliosides were quantified on thin-layer
chromatograms and in microtiter wells. RESULTS: Using Galalpha1,3nLc4 glycolipid
reference, total Galalpha1,3Gal glycolipid antigens in the WT animal was
estimated at about 30 microg (small intestine) and 3 microg (pancreas) per gram
of dry tissue. Galalpha1,3Gal determinants were not detected in GalT-KO tissues
at a detection limit of less than 0.25% (small intestine) and 0.5% (pancreas) of
the WT tissues. Isoglobotriaosylceramide (iGb3) was absent but trace amounts of
Fuc-iGb3 was found in both GalT-KO and WT pig small intestine. Blood group H type
2 core saccharide compounds were increased in GalT-KO pancreas. Total amount of
gangliosides was decreased in GalT-KO tissues. The alpha1,3-galactosyltransferase
acceptor, N-acetyllactosamine determinant, was not increased in GalT-KO tissues.
Human serum antibodies reacted with WT organ Galalpha1,3Gal antigens and
gangliosides, of which the ganglioside reactivity remained in GalT-KO tissues.
CONCLUSIONS: Knockout of porcine alpha1,3-galactosyltransferase gene results in
elimination of Galalpha1,3Gal-terminated glycolipid compounds. GalT-KO genetic
modification did not produce new compensatory glycolipid compounds reactive with
human serum antibodies.
PMID- 18049122
TI - Acute insulin responses to glucose and arginine as predictors of beta-cell
secretory capacity in human islet transplantation.
AB - Islet transplantation for type 1 diabetes can enable the achievement of near
normal glycemic control without severe hypoglycemic episodes. How much an islet
(beta-cell) graft may be contributing to glycemic control can be quantified by
stimulatory tests of insulin (or C-peptide) secretion. Glucose-potentiation of
arginine-induced insulin secretion provides a measure of functional beta-cell
mass, the beta-cell secretory capacity, as either AIR(pot) or AIR(max), but
requires conduct of a hyperglycemic clamp. We sought to determine whether acute
insulin responses to intravenous glucose (AIR(glu)) or arginine (AIR(arg)) could
predict beta-cell secretory capacity in islet recipients. AIR(arg) was a better
predictor of both AIR(pot) and AIR(max) (n=10, r2=0.98, P<0.0001 and n=7,
r2=0.97, P<0.0001) than was AIR(glu) (n=9, r2=0.78, P=0.002 and n=6, r2=0.76,
P=0.02). Also, the measures of beta-cell secretory capacity were highly
correlated (n=7, r2=0.98, P<0.0001). These results support the use of AIR(arg) as
a surrogate indicator of beta-cell secretory capacity in islet transplantation.
PMID- 18049123
TI - Liver transplantation in children with progressive familial intrahepatic
cholestasis.
AB - Progressive familial intrahepatic cholestasis (PFIC) is caused by mutations of
the bile salt export pump or the multidrug resistance P-glycoprotein, resulting
in chronic hepatic failure. Partial external diversion of bile or ileal bypass is
effective in some cases and, in others, liver transplantation (OLT) is necessary.
Forty-two children were included in this study. Twenty-six children suffered from
PFIC type 2 and 16 from PFIC type 3. Symptoms included pruritus, cholestasis,
liver cirrhosis, and growth retardation. Seventeen patients received external
biliary diversion. Ten had to undergo OLT in the following course. As of this
report, three of the remaining patients were on the wait list for OLT. Twenty
three children received a liver graft primarily with excellent outcome. Our data
show that OLT is the option of choice in symptomatic PFIC and whenever liver
cirrhosis is present. We suggest a very restrictive recommendation of external
biliary diversion. However, gene therapy may be a future option for children with
PFIC.
PMID- 18049124
TI - A rapid method for skin grafting in mice that greatly enhances graft and
recipient survival.
AB - A streamlined method of skin grafting in mice is described. This procedure
eliminates bandages, sutures, and dressings. The elimination of bandages renders
the overall procedure fast and easy to learn. More importantly, the elimination
of bandaging results in an increased survival of engrafted mice and a drastic
reduction in graft displacement. Finally the lack of bandages also makes it
possible to monitor the graft even in the earliest stages after engraftment.
PMID- 18049125
TI - Negative and positive co-signaling with anti-BTLA (PJ196) and CTLA4Ig prolongs
islet allograft survival.
AB - The novel coinhibitory receptor B and T lymphocyte attenuator (BTLA) has been
implicated in the regulation of autoimmune and may potentially play a role in
alloimmune responses. An anti-BTLA monoclonal antibody has been reported to
prolong fully major histocompatibility complex-mismatched cardiac allograft
survival, and we test the hypothesis that anti-BTLA monoclonal antibody PJ196 may
synergize with cytotoxic T lymphocyte antigen-4 immunoglobulin (CTLA4Ig)
costimulatory blockade in islet transplantation. We investigated the potential of
PJ196, and show that it did not deplete BTLA expressing cells, but it caused down
regulation of BTLA on the surface of lymphocytes and accumulation of cells with
regulatory phenotype at the graft site, promoting islet allograft acceptance
together with CTLA4Ig. The combination of BTLA coinhibitory modulation and
CTLA4Ig costimulatory blockade may be an effective adjunctive strategy for
inducing long-term allograft survival.
PMID- 18049126
TI - Giant necrotizing abscess of a liver transplant after gunshot injury.
PMID- 18049127
TI - Successful Hepatitis C Eradication With Preservation of Renal Function in a
Liver/kidney Transplant Recipient Using Pegylated Interferon and Ribavirin.
PMID- 18049128
TI - The critical role of histology in an era of genomics and proteomics: a commentary
and reflection.
AB - The role of histologic examination in lymphoma diagnosis has been called into
question by proponents of new technologies, such as genomics and proteomics. We
review the history and salient features of morphologic evaluation in lymphoid
diseases, and discuss the general and specific limitations of mature ancillary
techniques, such as immunohistochemistry, flow cytometry, and molecular studies.
We then speculate on the future relationship between morphology and the new
genomic and proteomic technologies as they become integrated into clinical
practice.
PMID- 18049129
TI - Anatomy of the anterior prostate and extraprostatic space: a contemporary
surgical pathology analysis.
AB - Over 25 years ago, McNeal described a model of prostatic zonal anatomy based on
autopsy dissections in various planes. As opposed to the cone-shaped organ seen
in vivo, radical prostatectomy specimens are typically spherical, owing to tissue
contraction at surgical removal and subsequent processing. Sectioning from apex
to base yields topography at the Surgical Pathology "sign-out" that may vary from
McNeal's descriptions. There are no in depth studies of anterior prostatic
anatomic variability, including the periurethral region, peripheral (PZ) and
transition (TZ) zones, anterior fibromuscular stroma (AFMS), and anterior
extraprostatic space (EPS) using modern prosecting techniques. Detailed analysis
of 197 entirely submitted, whole-mounted radical prostatectomy specimens focused
on differences in zonal anatomy from apex through base, the relationship of AFMS
to PZ and TZ, and the nature of the anterior EPS revealed features that may have
significant impact on determination of zonal origin and pathologic staging of
anteriorly situated prostate cancer. Among these observations are the predominant
nature of the anterior PZ at the apex and the potential for its broad contact
with the AFMS at this location, the differing volumes and location of the TZ in
prostates with and without benign prostatic hyperplasia, and the composition of
the anterior EPS, including adipose tissue, blood vessels, and skeletal muscle at
the apex varying to include medium to large smooth muscle bundles at the base.
PMID- 18049130
TI - The role of tissue microarrays in prostate cancer biomarker discovery.
AB - Tissue microarrays (TMAs) offer the potential to rapidly translate genomics and
basic science research findings to practical clinical application. This is
particularly true in the field of cancer biomarker research, where TMAs can be
used for candidate biomarker validation and association with patient clinical,
pathologic, and outcomes parameters. In this review, we examine the effect of TMA
use on prostate cancer biomarker research, focusing on the types of TMAs that
have been used, and the biomarkers that have been examined. The results
demonstrate that TMAs have been very effective in screening candidate biomarkers
for subsequent, extended evaluation in large patient populations. In addition,
the use of TMAs in multiple biomarker series allows for the statistical analysis
of sets of biomarkers as diagnostic or prognostic tests. The processes used here
can be applied to any tumor type to improve patient diagnosis, prognosis, and
treatment response prediction.
PMID- 18049131
TI - Triple negative breast carcinoma and the basal phenotype: from expression
profiling to clinical practice.
AB - Triple negative breast carcinomas (TNBCs) are a group of primary breast tumors
with aggressive clinical behavior. Most TNBCs possess a basal phenotype (BP) and
show varying degrees of basal cytokeratin and myoepithelial marker expression.
The importance of recognizing these tumors came to light largely as the result of
gene expression profiling studies that categorized breast cancer into 3 major
groups. Two of these groups are defined by their respective expression of
estrogen receptor and HER2. TNBCs represent a third group and are defined by
negativity for hormone receptors and HER2. TNBCs currently lack effective
targeted therapies and are frequently resistant to standard chemotherapeutic
regimens. These tumors tend to occur in premenopausal women and members of
specific ethnic groups and a subset are associated with heritable BRCA1
mutations. For patients with sporadic TNBCs and BP tumors, BRCA1 dysfunction
seems to play a major role in the development and progression of disease. The
pathologist's role in the diagnosis and characterization of TNBCs and BP tumors
is currently being defined as we are acquiring knowledge of the biologic and
genetic underpinnings that drive this heterogeneous group of diseases. This
review will provide a historical prospective on TNBCs and tumors that express
basal cytokeratins and myoepithelial makers. Additionally, we will discuss the
molecular biologic, genetic and pathologic aspects of these tumors. Guidelines
will be provided on how to best approach the diagnosis of these cases and on what
input pathologists should provide clinicians to help develop optimal therapeutic
and preventative strategies against this aggressive group of breast cancers.
PMID- 18049132
TI - Gastrointestinal malignancies in HIV-infected or immunosuppressed patients:
pathologic features and review of the literature.
AB - The gastrointestinal (GI) tract is a common internal organ to be involved by
human immunodeficiency virus (HIV)-related malignancies. It is the second most
common site for Kaposi sarcoma after skin, and the commonest visceral site, for
Kaposi sarcoma in AIDS patients. GI lymphomas have been documented in
approximately 25% of AIDS patients with systemic lymphomas. Moreover, GI
involvement of AIDS-lymphoma has been associated with poor prognosis and short
survival. Several other malignancies that occur in the GI tract are also closely
related to HIV-infected or immunosuppressed individuals; these include
posttransplant lymphoproliferative disorder, Epstein-Barr virus-associated smooth
muscle tumors, anal precancerous lesions, and squamous cell carcinoma. As a
result of active antiretroviral therapy, patients infected with HIV are living
longer and are consequently at increased risk for development of cancer.
Therefore, it is possible that the number of AIDS-associated malignancies will
rise and the pattern of tumors may change in the future. In this paper, the
clinicopathologic features of GI malignancies associated with AIDS patients are
reviewed and the differential diagnosis with other mimic lesions is discussed.
PMID- 18049134
TI - State-of-the-science on postacute rehabilitation: setting a research agenda and
developing an evidence base for practice and public policy: an introduction.
AB - The Rehabilitation Research and Training Center on Measuring Rehabilitation
Outcomes and Effectiveness along with academic, professional, provider,
accreditor, and other organizations, sponsored a 2-day State-of-the-Science of
Post-Acute Rehabilitation Symposium in February 2007. The aim of this symposium
was to serve as a catalyst for expanded research on postacute care (PAC)
rehabilitation so that health policy is founded on a solid evidence base. The
goals were to (1) describe the state of our knowledge regarding utilization,
organization, and outcomes of postacute rehabilitation settings, (2) identify
methodologic and measurement challenges to conducting research, (3) foster the
exchange of ideas among researchers, policy makers, industry representatives,
funding agency staff, consumers, and advocacy groups, and (4) identify critical
issues related to setting, delivery, payment, and effectiveness of rehabilitation
services. Plenary presentation and state-of-the-science summaries were organized
around four themes: (1) the need for improved measurement of key rehabilitation
variables and methods to collect and analyze this information, (2) factors that
influence access to postacute rehabilitation care, (3) similarities and
differences in quality and quantity of services across PAC settings, and (4)
effectiveness of postacute rehabilitation services. The full set of symposium
articles, including recommendations for future research, appear in Archives of
Physical Medicine and Rehabilitation.
PMID- 18049135
TI - Modified cold pressor test by cold application to the foot after spinal cord
injury: suggestion of hemodynamic control by the spinal cord.
AB - OBJECTIVE: Study hemodynamic responses to cold application to the foot (CAF) to
explore the autonomic cardiovascular control by the spinal cord. DESIGN:
Controlled experimental study. Hemodynamic variables were measured or calculated
for 13 healthy subjects, 10 patients with traumatic T4-T6 paraplegia, and 11
patients with traumatic C4-C7 tetraplegia. Subjects were continuously monitored
for heart rate (HR), blood pressure (BP), and cerebral blood-flow velocity (CBFV)
from 5 mins before to 5 mins after 40-120 secs of CAF by ice water foot
immersion. The recorded signals were digitized online and analyzed offline in the
time and frequency domains. RESULTS: During CAF, HR increased in the control
group but decreased in patients (P < 0.001). BP increased significantly in the
control and tetraplegia groups (P < 0.001) and nonsignificantly in the paraplegia
group. HR and BP spectral components (LF, HF, LF/HF) did not change
significantly. CBFV increased significantly in the patient groups (P < 0.05) but
not in the control subjects. The cerebrovascular resistance increased
significantly in the control and tetraplegia groups (P < 0.001), but not in the
paraplegia group. CONCLUSIONS: The findings support the presence of hemodynamic
autonomic control by the spinal cord and show that responses to CAF can be used
to assess its integrity.
PMID- 18049136
TI - Ultrasonographic examination on patients with chronic wrist pain: a retrospective
study.
AB - OBJECTIVE: To describe the results of ultrasonographic examination in a series of
patients with chronic wrist pain and to define the proportion of occult carpal
ganglion in these patients. DESIGN: A retrospective study including 57 patients
with wrist pain consecutively referred for sonographic examination. The inclusion
criteria for this study were a history of wrist pain longer than 3 mos with no
wrist trauma, and no palpable mass at the wrist. Ultrasound examination with a 10
MHz linear transducer was used to detect wrist pathology. A well-demarcated
anechoic mass with posterior enhancement and without vascularity within the mass
on sonography was defined as a ganglion cyst. RESULTS: Thirty-three of the 57
patients (58%) were diagnosed by sonographic examination as having a ganglion in
the wrist joint. The size of the ganglion demonstrated on sonographic imaging
ranged from 2 x 5 mm to 10 x 9 mm on a longitudinal scan of the wrist (with a
mean of 4 x 7 mm.). Surgical excision was carried out in 12 patients who had
ganglions diagnosed by sonographic examination; in all cases, the mucin content
of the specimen was demonstrated. Eight patients underwent local aspiration
followed by steroid injection under the guidance of ultrasound. The aspirated
content was a jelly-like substance. In these 20 treated patients, symptoms of
wrist pain improved after intervention. CONCLUSIONS: The prevalence of occult
carpal ganglion is common in chronic wrist pain patients. High-resolution
sonographic examination facilitates early detection of occult carpal ganglion.
PMID- 18049137
TI - Reports from spinal cord injury patients: eight months after the 2003 earthquake
in Bam, Iran.
AB - OBJECTIVE: The World Health Organization defines disaster as a sudden ecologic
phenomenon of sufficient magnitude to require external assistance. On December
26, 2003, the Bam earthquake left more than 200 spinal cord injury (SCI)
patients. Our study of these SCI patients and the rehabilitation of disabled
persons in Bam may assist in the organization of rehabilitation programs during
future disasters. DESIGN: Eight months after the disaster, we planned to visit
the SCI patients in Bam. We visited 61 patients in Bam, Baravat, and surrounding
villages. We completed a questionnaire during our visit. RESULTS: The patients'
mean age was 31.9 +/- 9.6 yrs. Twenty-nine (53.7%) patients were female, and 25
(46.3%) were male. Fifty-two (96.3%) patients had pain syndromes, which had
started from 3 days to 8 mos after injury. Thirty-three (61%) patients used clean
intermittent catheterization, and 29 (53.7%) did not have bowel programs.
Nineteen (35.2%) patients had pressure sores. CONCLUSIONS: All aspects of
disasters should be considered seriously by all countries. The special needs of
people with disabilities during and long after any disaster are important. The
impact of disasters on disabled people is magnified because of their condition,
so special attention must be paid to this group.
PMID- 18049138
TI - Gender differences regarding career issues and promotion in academic physical
medicine and rehabilitation.
AB - OBJECTIVE: To assess gender differences in academic progress and attitudes toward
promotion in academic physical medicine and rehabilitation (PM&R). DESIGN: A
survey was sent to members of the Association of Academic Physiatrists (AAP).
Questions addressed demographics, job description, hours worked, childcare
responsibilities, publications, career aspirations, mentoring, and familiarity
with promotion and tenure policies. Respondents were also asked about the
relative importance of career aspects including the perceived benefits of and
obstacles to promotion. Responses were anonymous. RESULTS: Women spent less time
on scholarly activities. Women considered the fact that they disliked writing and
did not know how to do research to be more important obstacles to promotion than
did men. Women were more likely to have part-time appointments and lower academic
rank. They had fewer children at home but greater responsibility for child care.
Women were less likely to aspire to become full professor, they met less often
with their department chair/supervisor, and they published fewer papers. Men and
women reported equal career satisfaction. CONCLUSIONS: There are several gender
differences in the values, attitudes, and priorities in academic PM&R. Women
respondents were generally less interested in traditional academic pursuits than
were their male counterparts.
PMID- 18049139
TI - Assessment of musculoskeletal examination skills: physiatry residents as
evaluators and models.
AB - OBJECTIVE: To evaluate the musculoskeletal examination (MSKE) skills of junior
(postgraduate year [PGY] 2) physical medicine and rehabilitation (PM&R) residents
and self-confidence with these skills, and to demonstrate changes in self
confidence in the MSKE skills of senior (PGY3 and PGY4) residents, who served as
evaluators and models. DESIGN: Forty-one PGY2-4 residents participated in this
retrospective cohort study, which was conducted within a residency program
affiliated with two medical schools. Senior residents attended an instructional
session in performing and evaluating MSKE skills, taught by a musculoskeletal
physiatrist. The following week, junior residents were tested on their MSKE
skills; nine seniors served as models, and another nine served as evaluators. Six
seniors attended the instructional session only and did not participate in the
evaluation. Juniors received a posttest teaching session on MSKE skills, before
an unannounced repeat evaluation 5 mos later. All residents completed a survey
regarding self-confidence in MSKE skills pre- and posttest teaching sessions.
Performance of MSKE skills (based on PASSOR guidelines) and application of ACGME
core competencies (medical knowledge, professionalism, interpersonal skills) were
measured, and a survey was administered regarding self-confidence in MSKE skills.
RESULTS: Posttest results showed a significant improvement of MSKE skills among
juniors in the shoulder, lumbar spine, and knee examinations (P < 0.008), with
the most robust improvement in the shoulder exam (P < 0.0001). Self-confidence of
juniors in their MSKE skills increased significantly (P < 0.005). There was
significant improvement (P < 0.008) in self-confidence in the MSKE skills of
seniors who served as models and evaluators, but not in those who only attended
the instructional session (P = 0.06). CONCLUSIONS: This evaluation and
instructional method resulted in a significant improvement of MSKE skills of
junior residents on formal testing. Using senior residents as evaluators and
models improved their confidence in their own MSKE skills.
PMID- 18049140
TI - Pedicle stress reaction in a teenage baseball pitcher.
PMID- 18049141
TI - Coping with ethical dilemmas in the NICU.
PMID- 18049148
TI - Implementation and evaluation of a best practice initiative. Venipuncture in the
well baby.
AB - Venipuncture is now the standard method of phlebotomy for well newborn infants at
Kingston General Hospital (KGH), Canada. Newborn infants require at least one
blood sample for mandatory genetic screening. Some will require additional
samples for monitoring of hyperbilirubinemia or other laboratory tests. A change
from capillary heel sticks to venipuncture was implemented when the lancets in
use were discontinued and a suitable replacement could not be found at the time.
A review of the literature discovered a Cochrane Neonatal Review that supported
newborn venipuncture as a safe, pain-reducing practice when performed by trained
phlebotomists. As a result, a quality improvement project was developed to
implement the practice of venipuncture for the well newborn. The implementation
and evaluation included lectures, demonstrations, return demonstrations, and
eventual integration into clinical practice. Process and summative evaluation
demonstrated a willingness of staff to learn a new procedure, particularly when
they had identified the need for change. In addition, infants were not subjected
to multiple, ineffective blood draws.
PMID- 18049149
TI - Literature review. Outcomes associated with postnatal exposure to polychlorinated
biphenyls (PCBs) via breast milk.
AB - Forty years ago manufacturers commonly used polychlorinated biphenyls (PCBs) in a
wide variety of products. In the late 1970s, following research demonstrating
neurotoxicity in animals, even at low levels, PCBs were banned internationally.
Today PCBs are widespread environmental contaminants and may be isolated from
breast milk of women worldwide. This article provides an overview of the current
research on the relationship between PCBs in breast milk and their effects on
breastfed children with regard to neurological effects, growth and maturity,
potential mitigating effects of breastfeeding, and immunologic effects. The vast
majority of results from this body of research indicate that despite higher PCB
loads, breastfed children continue to fare better than their formula-fed peers.
At this point, there is no evidence of a threshold among the general population
beyond which the risks of breastfeeding outweigh the benefits, nor is there any
evidence demonstrating a clinically significant negative effect of postnatal
exposure to PCBs via breast milk. To date the majority of studies conclude that
despite substantially higher PCB loads among breastfed infants, breastfeeding is
still preferable to formula feeding.
PMID- 18049150
TI - A case report of a premature infant with coxsackie B1 meningitis.
AB - This case report describes a 36-week gestational age infant diagnosed with
coxsackie B1 meningitis at 20 days of age. A head ultrasound 5 days after
diagnosis was consistent with cystic periventricular leukomalacia. The scientific
literature does not clearly elucidate differences between bacterial and viral
infections in infants. When difficulties arise, it is pertinent to consider a
viral etiology for the underlying illness and obtain a detailed maternal and
infant history focusing on clinical symptoms, seasonality, geographic location,
exposure, and incubation period. Polymerase chain reaction is a rapid and
sensitive diagnostic test for the identification of enteroviruses in
cerebrospinal fluid, blood, urine, and throat specimens and should be performed
as part of the general workup in the evaluation of a febrile infant with sepsis.
In retrospect, it may have established an earlier diagnosis of meningitis,
consequently preventing the unnecessary use of antibiotics, potentially
decreasing the length of hospitalization, and eliminating the need for more
detailed investigations to rule out other etiological factors. In addition,
treatment with pleconaril may have affected the severity of the encephalitis.
This article reviews the pathogenesis, clinical manifestations, and differential
diagnoses of enteroviral infections, specifically focusing on the prevention,
treatment, and prognosis of the disease and the implications for clinical
practice.
PMID- 18049151
TI - The ABCs of CMV.
AB - Most infants exposed to cytomegalovirus (CMV) in utero will not be symptomatic;
however, infants born with symptomatic CMV will have more severe consequences and
poorer prognosis than will asymptomatic infants. The timing of infection during
pregnancy largely affects the expected outcomes and consequences to the fetus. It
is possible for a fetus to acquire congenital CMV infection from a nonprimary
infection, although this accounts for a small number of cases. The presence of
microcephaly, intrauterine growth restriction, petechiae, encephalitis,
hepatosplenomegaly, and deafness are some of the physical characteristics of a
congenital CMV infection. Treatment options remain limited at this time, so no
routine screening has been recommended. The need for a vaccine or preventative
treatment has been identified as a priority in the United States.
PMID- 18049153
TI - Recorded maternal voice for preterm neonates undergoing heel lance.
AB - PURPOSE: To determine if a recording of a mother's voice talking soothingly to
her baby is useful in diminishing pain in newborns born between 32 and 36 weeks'
gestational age (GA) during routine painful procedures. BACKGROUND: While
maternal skin-to-skin contact has been proven efficacious for diminishing
procedural pain in both full-term and preterm neonates, it is often not possible
for mothers to be present during a painful procedure. Because auditory
development occurs before the third trimester of gestation, it was hypothesized
that maternal voice could substitute for maternal presence and be effective in
diminishing pain response. SUBJECTS: Preterm infants between 32 and 36 weeks' GA
(n = 20) in the first 10 days of life admitted to 2 urban university-affiliated
neonatal intensive care units. DESIGN AND METHODS: Crossover design with random
ordering of condition. Following informed consent, an audio recording of the
mother talking soothingly to her baby was filtered to simulate the mother's voice
traveling through amniotic fluid. A final 10-minute recording of repetition of
mothers' talking was recorded with maximum peaks of 70 decibels (dB) and played
at levels ranging between 60 and 70 ambient decibels (dbA), selected above
recommendations of the American Academy of Pediatrics in order to be heard over
high ambient noise in the settings. This was played to her infant by a portable
cassette tape player 3 times daily during a 48-hour period after feedings
(gavage, bottle, or breast). At the end of 48 hours when blood work was required
for clinical purposes, using a crossover design, the infant underwent the heel
lancing with or without the recording being played. The order of condition was
randomized, and the second condition was within 10 days. The Premature Infant
Pain Profile (PIPP) was used as primary outcome. This is a composite measure
using heart rate, oxygen saturation, 3 facial actions, behavioral state, and
gestational age. This measure has demonstrated reliability and validity indexes.
RESULTS: There were no significant differences between groups on the PIPP or any
of the individual components of the PIPP except a lower oxygen saturation level
in the voice condition following the procedure. The second condition, regardless
of whether it was voice or control, had higher heart rate scores and lower oxygen
saturation scores even in the prelance baseline and warming phases. Order did not
affect PIPP scores or facial actions. CONCLUSIONS: Different modalities of
maternal presence would appear to be necessary to blunt pain response in infants,
and recorded maternal voice alone is not sufficient. The loudness of the
recording may have obliterated the infant's ability to discern the mother's voice
and may even have been aversive, reflected in decreased oxygen saturation levels
in the voice condition. Preterm neonates of 32 to 36 weeks' gestation may become
sensitized to painful experiences and show anticipatory physiological response.
PMID- 18049154
TI - NANN Position Statement 3015. NICU Nurse Involvement in Ethical Decisions
(Treatment of Critically Ill Newborns).
PMID- 18049155
TI - Commentary on NANN Position Statement 3015. NICU Nurse Involvement in Ethical
Decisions (Treatment of Critically Ill Newborns).
PMID- 18049157
TI - Cancer risk in Barrett's oesophagus.
AB - (Table is included in full-text article.)Barrett's oesophagus results from the
replacement of the normal squamous lining of the oesophagus by a columnar
epithelium. It is the sole known premalignant condition for oesophageal
adenocarcinoma. The annual cancer incidence of 1% in Barrett's oesophagus,
calculated from published series, has been recently considered an overestimation
owing to publication bias, and a 0.5% risk was proposed. The prerequisite of the
presence of intestinal metaplasia for the diagnosis of Barrett's oesophagus,
although widely accepted, is questioned by some authors. How adenocarcinoma
incidence is influenced by requiring or not intestinal metaplasia for Barrett's
oesophagus diagnosis is unknown. Most of the published studies included only (or
preferentially) patients with long segments. Data on adenocarcinoma incidence in
short segments (<3 cm) are very scarce, but it is believed to be lower than in
long segments. The magnitude of cancer risk influences cost effectiveness of
surveillance of Barrett's oesophagus. Frequently, therapeutic intervention is
performed when high-grade dysplasia is diagnosed, preventing progression to
adenocarcinoma. This could lead to an underestimation of cancer risk in Barrett's
surveillance studies.
PMID- 18049158
TI - Liver transplantation for nonsteroidal anti-inflammatory drug-induced liver
failure: nimesulide as the first implicated compound.
AB - Among industrialized countries, the rate of drug-induced liver failure varies
widely accounting for about 1-12% of the indications for liver transplantation.
Nonsteroidal anti-inflammatory drugs (NSAIDs) are with antibiotics the most
frequently involved compounds. In this single-center series of 57 consecutive
cases of acute liver failure treated by orthotopic liver transplantation, five
were related to NSAIDs-induced liver damage, three being due to nimesulide use.
This has to be taken as a further warning about the potential for this compound
to induce liver failure.
PMID- 18049159
TI - Anti-hepatitis A virus seroprevalence among patients with chronic viral liver
disease in Korea.
AB - BACKGROUND/OBJECTIVE: It is generally recommended that patients with chronic
viral hepatitis should be vaccinated against hepatitis A virus (HAV) infection.
We intended to evaluate the prevalence of IgG anti-HAV according to age in
patients chronically infected with hepatitis B virus or hepatitis C virus in
Korea. METHODS: From June to October 2006, 303 patients (226 male, 77 female)
with chronic hepatitis, liver cirrhosis, or hepatocellular carcinoma were
recruited (mean age 50.8+/-14.4 years; range 16-84). The sera were tested for
antibodies to HAV, and overall and age-specific seroprevalence of anti-HAV was
assessed. RESULTS: Hepatitis B virus infection was the etiology of liver diseases
in 267 patients (88.1%), with hepatitis C virus infection in 36 (11.9%). The
distribution of clinical diagnosis was chronic hepatitis in 86 patients (28.4%),
liver cirrhosis in 36 (11.9%), and hepatocellular carcinoma in 181 (57.9%). The
patients were categorized by decade of age and the distribution was as follows:
nine patients (2.5%) in their teens, 23 (6.2%) in their 20s, 36 (12.4%) in their
30s, 78 (25.7%) in their 40s, 72 (24.1%) in their 50s, and 85 (29%) >or=61 years.
The overall seroprevalence of anti-HAV was 87.8% (266/303), and no difference was
observed in sex (86.7 vs. 90.9%, P=0.42). The seroprevalence in each age group
was 22.2, 26.1, 72.2, 97.4, 100 and 98.8%, respectively, showing marked increase
in those over 40 years of age (P<0.001). CONCLUSION: Our study demonstrates that
most Korean patients over 40 years of age with chronic liver disease have already
been exposed to HAV.
PMID- 18049160
TI - Response to antiviral therapy and hepatic expression of cyclooxygenases in
chronic hepatitis C.
AB - OBJECTIVES: The aims of this study were to investigate the expression of
cyclooxygenase-1 and cyclooxygenase-2 (COX-1 and COX-2) in chronic hepatitis C
(CHC) by immunohistochemistry, based on the hypothesis that COXs expression could
vary according to genotype, viral load, liver steatosis, BMI and response to
therapy and to determine whether the addition of selective COX inhibitors could
have a rationale in increasing the efficacy of antiviral therapy. METHODS: We
used 35 formalin-fixed, paraffin-embedded liver tissue samples obtained by needle
biopsy from patients with CHC (17F/18M) with one of two types of genotype (1b and
3a). The presence of COX-1 and COX-2 in the cytoplasm of hepatocytes was scored
on the basis of: (i) maximum intensity; (ii) dominant intensity; and (iii)
extent. RESULTS: No significant differences were found in COX-1 and COX-2
expression in CHC patients divided according to genotype or according to the type
of response to combination therapy with pegylated-interferon and ribavirin. The
only significant correlations were observed between the dominant intensity of COX
2 and the presence of histological steatosis (P<0.01) and an inverse correlation
between COX-2 extent and the viral load (P<0.02). CONCLUSIONS: The lack of
correlation between COXs tissue expression and response to antiviral treatment
suggests that there is no rationale to adding selective COX inhibitors to
increase the efficacy of antiviral therapy, although further studies on larger
patient populations are needed. On the contrary, there is a potential application
for their use in the prevention and treatment of liver steatosis.
PMID- 18049161
TI - Postmarketing hepatic adverse event experience with PEGylated/non-PEGylated
drugs: a disproportionality analysis.
AB - OBJECTIVE: To compare reporting frequencies of hepatic adverse events between
PEGylated and non-PEGylated formulations of active medicinal compounds in
spontaneous reporting systems using a data mining algorithm (DMA). METHODS:
Statistical DMAs are being promoted as a means of identifying drug-event
combinations that are disproportionately reported in large spontaneous reporting
systems databases, a critical data source for pharmacovigilance. After a review
of case reports of hepatotoxicity with PEGylated drugs possibly associated with
the polyethylene glycol moiety, we carried out a retrospective disproportionality
analysis of WHO's multinational drug safety database for events related to
hepatic dysfunction comparing PEGylated versus non-PEGylated formulations of four
active moieties. A threshold of posterior interval (PI) 95% lower limit >0 was
used to define a signal of disproportionate reporting with a drug and an event
and 90% PIs of the information component were compared to identify statistical
differences between the two compounds. RESULTS: On the basis of a total of 18 477
cases containing at least one of the drug pairs, we found disproportionate
reporting for hepatic-related events with both PEGylated and non-PEGylated
formulations. Overlapping of 90% PIs of the information components, however,
suggested that there was no statistically significant difference between the
frequency of hepatic injury reported with PEGylated versus non-PEGylated drug
formulations. CONCLUSION: We did not find significant indicators of differential
reporting of hepatic injury between PEGylated and non-PEGylated drug formulations
in this exploratory analysis using one DMA. The analysis also suggests that
comparative disproportionality methodology although not in itself determinative,
could be one useful component of a risk management plan for monitoring the
postmarketing experience of drug delivery systems that uses multiple methods and
data streams.
PMID- 18049162
TI - Gradual improvement of liver function after administration of ursodeoxycholic
acid in an infant with a novel ABCB11 gene mutation with phenotypic continuum
between BRIC2 and PFIC2.
AB - OBJECT: The authors report the case of a boy with PFIC type 2 or BRIC type 2 who
suffered from liver dysfunction at 2 months after birth. METHODS AND RESULTS: A
liver biopsy specimen revealed mild liver cirrhosis, and the findings resembled
those observed in Byler disease. Genetic examination revealed a normal familial
intrahepatic cholestasis-1 gene, but a heterozygous mutation for the ABCB11,
C1620A (F540L), was observed. Therefore, the patient was initially diagnosed with
PFIC type 2. For 3 years after the diagnosis, he had severe pruritus, an
increased serum bile acid, and normal serum values of gamma-glutamyl
transaminase. At the age of 2, treatment with administration of ursodeoxycholic
acid was started; subsequently, a gradual improvement in his liver function was
observed. At the age of 3, he suffered from massive intestinal and pulmonary
hemorrhage, which improved immediately after the administration of vitamin K. He
was then admitted to our hospital for liver transplantation. At 1 month after the
admission, his liver dysfunction showed further improvement, except for a mild
increase in the serum bile acid level. This condition did not show any change
during the 5-year follow-up period. In addition, the patient showed severe growth
failure and was diagnosed with growth hormone deficiency. Hence, he receives
growth hormone administration. CONCLUSION: The patient could be genetically
diagnosed with bile salt export pump disease of PFIC type 2 or BRIC type 2.
Various clinical features are observed in PFIC or BRIC patients with ABCB11
mutation.
PMID- 18049163
TI - Association of CTLA4 single nucleotide polymorphisms with viral but not
autoimmune liver disease.
AB - BACKGROUND: CTLA4 is an inhibitory receptor expressed on a subset of T
lymphocytes. Single nucleotide polymorphisms of the CTLA4 gene have been
implicated in autoimmune diseases, including autoimmune hepatitis and primary
biliary cirrhosis. In reverse form, CTLA4 variations are associated with chronic
infections such as chronic hepatitis B. METHODS: CTLA4 variations -318C>T and
+49A>G were analyzed in 2366 patients with chronic liver disease of various
etiologies, including 323 patients with chronic hepatitis B virus (HBV)
infection, 1181 patients with chronic hepatitis C virus infection, 180 patients
with primary biliary cirrhosis, and 127 patients with autoimmune hepatitis, as
well as 202 healthy control individuals. Genotyping was performed by melting
curve analysis. RESULTS: The -318C>T variation was underrepresented in patients
with chronic HBV infection compared with healthy controls (14.6 vs. 25.7%,
P=0.002) and with patients with chronic liver disease of other origin (14.6 vs.
20.7%, P=0.011). Patients with cryptogenic cirrhosis also showed a lower
frequency of the -318T allele than healthy controls (12.0 vs. 25.7%, P=0.014). No
association of the +49G>A variation was found with any diagnosis, including
autoimmune hepatitis and primary biliary cirrhosis. CONCLUSION: We describe the
association of the CTLA4 -318C>T variation with chronic HBV infection and
cryptogenic cirrhosis but find no association of the +49G>A variation with
autoimmune liver disease.
PMID- 18049164
TI - DNA methyltransferase 1 knockdown induces silenced CDH1 gene reexpression by
demethylation of methylated CpG in hepatocellular carcinoma cell line SMMC-7721.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is one of the most common causes of
cancer-related mortality in the world; however, the molecular mechanisms leading
to hepatocyte transformation, especially in epigenetic mechanisms (such as DNA
methylation) are still poorly understood. DNA methyltransferase 1 (DNMT1) is the
predominant maintenance methyltransferase gene required to maintain DNA
methylation patterns in mammalian cells. AIM AND METHODS: To explore the role of
DNMT1 in the regulation of expression of tumor-related genes in human HCC cells
via DNA methylation of the regulatory CpG islands, we stably transfected
expression constructs containing small interfering RNA (siRNA) of DNMT1 into the
human HCC cell line, SMMC-7721. RESULTS: RNA interference knocked down specific
DNMT1 protein expression, resulting in the demethylated promoter of CDH1 and the
reexpression of CDH1 in 7721-pMT1. By contrast, promoter methylation and lack of
gene expression were maintained when the cell lines had control constructs. Knock
down of DNMT1 expression by siRNA induced the promoter of CDH1 demethylation and
upregulated CDH1 transcription. High-density oligonucleotide gene expression
microarrays were used to examine the effects of DNMT1 knock down on human HCC
cells (SMMC-7721); these showed that a number of genes were induced in the DNMT1
knock down cell lines, including some important tumor-related genes such as
PDCD4, DCN and PTGES except CDH1. Only approximately 78% of the induced genes
have CpG islands within their 5' regions, suggesting that certain genes activated
by DNMT1 siRNA might not have resulted from the direct inhibition of promoter
methylation. CONCLUSION: In hepatocellular carcinoma, DNMT1 is necessary to
maintain the methylation of CpG islands in certain tumor-related genes.
PMID- 18049165
TI - Analysis of apoptosis and cell proliferation after high intensity-focused
ultrasound ablation combined with microbubbles in rabbit livers.
AB - OBJECTIVE: To analyze apoptosis and expression of proliferating cell nuclear
antigen (PCNA) sequentially in the rabbit liver tissue after high-intensity
focused ultrasound (HIFU) ablation combined with microbubbles. METHODS: Fifty
rabbits were divided into two groups randomly. Rabbits in group I received
injections with ultrasound contrast agent Sonovue, before HIFU ablation, on their
livers and those in group II were ablated by a single HIFU exposure without
microbubbles. Rabbits were killed on days 0, 1, 3, 7 and 14 after HIFU ablation.
The livers were excised for light microscopic examination with hematoxylin and
eosin staining, immunohistochemical staining for PCNA expression and terminal
deoxynucleotidyl transferase-mediated biotin-dUTP nick end labeling (TUNEL)
staining for apoptosis. RESULTS: A fibra tissue band composed of fibrocytes and
capillary vessels, which was detected by light microscope in the rim of the
targeted area after 3 days in group I, was wider than that in group II. The
apoptosis index (the number of apoptotic events divided by the total cell number
in the same field) and PCNA-positive index (the number of PCNA-positive cells
divided by the total cell number in the same field) in group I on days 0, 1, 3, 7
and14 after HIFU ablation were higher than those in group II. CONCLUSION:
Microbubbles can improve the apoptosis and cell proliferation in zones
surrounding coagulated necrosis areas after HIFU ablation, which may be explored
to benefit enhanced HIFU treatment.
PMID- 18049166
TI - Short segment columnar-lined oesophagus: an underestimated cancer risk? A large
cohort study of the relationship between Barrett's columnar-lined oesophagus
segment length and adenocarcinoma risk.
AB - OBJECTIVE: Longer columnar-lined oesophagus (CLO) segments have been associated
with higher cancer risk, but few studies have demonstrated a significant
difference in neoplastic risk stratified by CLO segment length. This study
establishes adenocarcinoma risk in CLO by segment length. METHODS: This is a
multicentre retrospective observational study. Medical records of 1000 patients
registered from six centres were examined and data extracted on demographic
factors, endoscopic features and histopathology of oesophageal biopsies.
Adenocarcinoma incidence was evaluated for patients stratified by their
diagnostic segment length. RESULTS: Seven hundred and eighty-one patients had
biopsy-proven CLO and a segment length recorded. Four hundred and ninety patients
had at least 1 year of follow-up, providing 2620 patient-years of follow-up for
incidence analysis. The overall annual adenocarcinoma incidence was 0.62%/year
(95% confidence interval: 0.36-1.01). The annual incidence in the segment length
groups was 0.59% (0.19-1.37) in short segment (3 6 9 cm;
P=0.004. CONCLUSION: This study demonstrates that the neoplastic risk of CLO
varies according to segment length, and that overall, the risk of adenocarcinoma
development is similar in short-segment and long-segment (>3 cm) CLO. The highest
adenocarcinoma risk was found in the longest CLO segments and lowest risk in
segments >3 38 degrees C with
rigor and right upper quadrant pain which he had suffered from for 5 days. The
ultrasonographic computed tomography and MRI findings were not diagnostic, and we
performed a needle biopsy from the lesion that was consistent with inflammatory
pseudotumor (of liver, mixed fibrous tissue and chronic inflammatory cell
infiltration). The patient was treated with nonsteroidal anti-inflammatory drugs
and had an uneventful clinical course. During follow-up, the lesion subsequently
shrank to completely vanish 1 year later.
PMID- 18049175
TI - Type 1 and 2 gastric carcinoid tumors: long-term follow-up of the efficacy of
treatment with a slow-release somatostatin analogue.
AB - Little is known about the long-term results of treating gastric carcinoid tumors
with a slow-release somatostatin analogue. We report three patients with type 1
and 2 gastric carcinoid tumors who were treated in the above mentioned way and
followed for 27-50 months. In all cases, alternative endoscopic or surgical
management was considered but deemed inappropriate. Treatment with a slow-release
somatostatin analogue was begun in light of a favorable recent report. The result
was regression or complete disappearance of macroscopic fundal tumors. No side
effects were reported and, most notably, none of the patients developed
gallstones. This small study may help define the optimal duration, dose, and
administration interval of the treatment. Slow-release somatostatin analogue is a
safe and efficacious treatment for type 1 and 2 gastric carcinoid tumors, and can
be used when tumors are growing rapidly. Slow-release somatostatin analogue
represents an alternative to repeated endoscopic treatment or high-risk surgery.
PMID- 18049176
TI - Cystic lymphangioma of the mesentery and hyposplenism in celiac disease.
AB - Celiac disease is characterized by intestinal inflammation and mucosal atrophy
that improves on a gluten-free diet. Delayed diagnosis can result in diet
refractory disease known as refractory sprue, which is linked to other disorders
(intestinal lymphoma, ulcerative jejunoileitis, mesenteric lymph-node cavitation,
collagenous sprue, malignancy) and carries a poor prognosis. Here we report the
case of a young woman diagnosed with Marsh stage 3C celiac disease with
intestinal occlusion due to intra-abdominal cystic lymphangioma mimicking
mesenteric lymph-node cavitation, and hyposplenism. Despite a number of
prognostically negative features, the disease has been managed successfully for
the past 2 years with a gluten-free diet. The pathogenesis, prognosis and
therapeutic implications are discussed.
PMID- 18049177
TI - Clinical pattern of elderly cirrhosis: an Indian experience.
PMID- 18049178
TI - Critical flicker test: yet another tool for minimal hepatic encephalopathy.
PMID- 18049179
TI - The Millennium Development Goals: a challenge to epidemiologists.
PMID- 18049180
TI - Why epidemiologists cannot afford to ignore poverty.
AB - Epidemiologists cannot afford to ignore poverty. To do so would, first, wrongly
obscure the devastating impact of poverty on population health, and, second,
undercut our commitment to scientific rigor. At issue is doing correct science,
not "politically correct" science. Blot poverty and inequity from view, and not
only will we contribute to making suffering invisible but our understanding of
disease etiology and distribution will be marred. To make this case, I address
current debates about the causal relationships between poverty and health, and
provide examples of how failing to consider the impact of socioeconomic position
has biased epidemiologic knowledge and harmed the public's health. By definition,
the people we study are simultaneously social beings and biologic organisms-and
we cannot study the latter without taking into account the former. It is the
responsibility of all epidemiologists, and not only social epidemiologists, to
keep in mind the connections between poverty and health.
PMID- 18049181
TI - Poverty, environment, and health: the role of environmental epidemiology and
environmental epidemiologists.
AB - International attention is focusing increasingly on environmental concerns, from
global warming and extreme weather to persistent chemical pollutants that affect
our food supplies, health and well-being. These environmental exposures
disproportionately affect the poor and those residing in developing countries,
and may partly explain the persistent social gradients in health that exist
within and between nations. We support recent calls for environmental
epidemiologists to play a more active role in furthering the global agenda for
sustainability, environmental health and equity. We further suggest that the
discipline of environmental epidemiology, as well as relevant funding agencies,
broaden their focus to include rigorous research on the upstream, larger-scale
societal factors that contribute to inequitable patterns of exposure and health
outcomes. By widening the scope of our vision and increasing the strength and
breadth of the evidence base about how poverty and environment together affect
health, we can better participate in efforts to promote social justice and
responsible use and protection of the environment, and thus reduce health
inequities. That is both a primary mode and rationale for achieving
sustainability.
PMID- 18049182
TI - Measuring progress towards equitable child survival: where are the
epidemiologists?
AB - The fourth Millennium Development Goal (MDG) is to achieve a two-thirds reduction
in the mortality of under the age of 5 years children between 1990 and 2015. Only
7 of the 60 priority countries are currently on track towards the goal, and
intensified efforts are required both globally and nationally. Tackling
inequities is essential for reaching this goal, because children from poor
families are consistently at higher risk of dying. Efforts should be concentrated
on achieving high and equitable coverage with low-cost, effective, off-the-shelf
interventions, and on monitoring progress among different social groups.
Measuring inequities in mortality, morbidity, nutritional status, and coverage,
however, is fraught with methodologic difficulties in countries where routine
statistics are unreliable -- a group that includes all high-mortality countries.
Key methodologic challenges are discussed, with arguments for greater involvement
of epidemiologists in measurement exercise that so far has been led by
demographers, statisticians, and economists.
PMID- 18049183
TI - Armed conflict and poverty in Central America: the convergence of epidemiology
and human rights advocacy.
AB - Several armed conflicts took place in Central America during the last 3 decades
of the 20th century. In this commentary, we discuss (1) studies describing the
interrelationships among health, violence, and poverty during and after these
conflicts and (2) some important lessons learned from these studies. We hope that
those lessons help epidemiologists and others who must confront, and describe,
similar situations elsewhere.
PMID- 18049184
TI - Depressive symptoms in low-income women in rural Mexico.
AB - BACKGROUND: Depression is a leading cause of death and disability worldwide. This
paper reports a cross-sectional analysis of demographic, socioeconomic, physical,
and psychosocial factors associated with depressive symptoms among poor women in
rural Mexico. METHODS: A cross-sectional study of 5457 women, age 20-70 years,
were interviewed from a random sample of households from 279 poor communities
with fewer than 2500 inhabitants in 7 rural Mexican states. Depressive symptoms
were assessed using the Spanish translation of the Center for Epidemiologic
Studies-Depression scale. Several other individual- and household-level variables
were also obtained. Using hierarchical modeling, linear regression analysis, and
population intervention model parameters, we explored correlates of depressive
symptoms in this population. RESULTS: Most of the variation in depressive
symptoms was at the individual level. Psychosocial factors were most strongly
correlated with depressive symptoms; perceived stress, lack of personal control
or social support, and low social status exhibited the strongest associations.
Using the US-based standard Center for Epidemiologic Studies-Depression cutoff
score of 16, 51% of this population fall into the category "at risk" for clinical
depression; however, this cutoff may not be the most appropriate in this context.
CONCLUSION: This sample of low-income women in rural Mexico reported a relatively
high prevalence of depressive symptoms. The analyses suggest that reducing
perceived stress would have the largest potential impact on depressive symptoms
in this population. However, any interventions should take into account the broad
context of the population's overall health. The alleviation of poverty,
improvement of educational opportunities, and other interventions to address root
causes of poor mental health must also be considered.
PMID- 18049185
TI - Air pollution, social deprivation, and mortality: a multilevel cohort study.
AB - BACKGROUND: It is becoming increasingly evident that exposure to air pollution
and its adverse effects are not equitably distributed. Our goal was to
investigate the role of social deprivation in explaining the effect of
neighborhood differences in level of air pollution fine particulates (PM2.5) on
mortality when the indicators of social deprivation are measured at both
individual level and at neighborhood level. METHODS: All inhabitants registered
in Oslo, Norway on 1 January 1992 in the age group 50-74 years (n = 105,359)
constitute the study base. We used an air dispersion model (AirQUIS) to estimate
levels of exposure in the period 1992-1995 in all 470 administrative
neighborhoods. These data were linked to Census, educational, and death
registries. Deaths were recorded in the period 1992-1998. MAIN RESULTS: PM2.5 was
associated with most neighborhood-level indicators of deprivation, as was most
clearly seen for type of dwelling and ownership of dwelling. The effect of PM2.5
on mortality was to some extent explained by these indicators independently of
the corresponding individual-level indicators. CONCLUSIONS: Findings from this
study suggest that socially deprived neighborhoods have higher exposure to air
pollution. Deprivation at both the individual and neighborhood level is
associated with air pollution, accounting for some of the excess mortality
associated with air pollution in these neighborhoods.
PMID- 18049186
TI - Papanicolaou smears induce partial immunity against sexually transmitted viral
infections.
AB - BACKGROUND: In a case-control study of hormonal contraceptives and invasive
cervical cancer, an unexpected finding was a substantial decline in the
prevalence of high-risk human papillomavirus (HPV) infection according to the
lifetime number of Pap smears received. Here we assess the risk of 3 sexually
transmitted viral infections -- herpes simplex virus 2 (HSV2), HPV, and human
immunodeficiency virus (HIV) 1 and 2 -- in relation to the lifetime receipt of
Pap smears. METHODS: Stored sera taken from 1540 controls were tested for HSV2
and HIV; cervical scrapings were tested for HPV. Confounder-adjusted odds ratios
for the lifetime receipt of Pap smears were estimated, relative to never having
had a Papanicolau test. RESULTS: For ever-receipt of a Papanicolau test, the odds
ratios for HSV2 and HPV were 0.7 (95% confidence interval = 0.5-0.9) and 0.5 (0.3
0.7), respectively, and there were dose-response trends according to the lifetime
number of Pap smears received (test for trend P = 0.02 and 0.04, respectively).
For HSV2 the odds ratios according to last receipt declined from 0.8 for 10 or
more years previously to 0.4 for <1 year previously (trend P = 0.002). For HPV
the ORs were 0.4 (0.3-0.7) for last receipt 5-9 years previously and 0.5 (0.4
0.8) for less than 5 years previously; for HIV the odds ratio for last receipt
less than 5 years previously was 0.4 (0.3-0.9). For HSV2 and HIV the crude odds
ratio estimates were systematically lower than the adjusted estimates, and
residual confounding cannot be ruled out. In particular, the true number of
sexual partners may have been under-reported, and there was no information on the
sexual activity of the male partners, or on other health behaviors of the women
or their partners. CONCLUSION: We hypothesize that Pap smears may provoke a short
term immune response against sexually transmitted viral infections.
PMID- 18049187
TI - Breast-feeding, adipokines, and childhood obesity.
AB - One mechanism by which breast-feeding may protect against the development of
childhood obesity is through the activity of components of breast milk. In an
article published in this issue of Epidemiology, Weyermann et al found that
overweight at age 2 years was associated with higher levels of adiponectin, a
hormone secreted by fat cells, in the breast milk of mothers who breast-fed their
infants for at least 6 months. This finding is surprising for several reasons: it
is doubtful that infants absorb ingested adiponectin; prior literature suggests
that adiponectin would reduce, rather than increase, risk for overweight; and the
authors did not find associations with breast milk leptin, another adipokine. It
is possible that adipokine exposure in infancy determines later weight status,
but fundamental research is needed on associations of circulating adipokines with
excess weight gain and on determinants of adipokine levels.
PMID- 18049188
TI - The brave new world of lives sacrificed and saved, deaths attributed and avoided.
AB - Attributable risks are routinely estimated in "risk-factor" epidemiology. Often,
these risks are interpreted as the numbers of deaths caused by (or numbers of
lives lost by) exposure to the factor of interest. It is then often surmised that
removal of exposure will avoid deaths and save lives. This reasoning leads to
confusion because of 2 underlying assumptions. One is that removal of the
exposure will reduce permanently the annual number of deaths by the number
attributed to the factor. In reality, deaths are merely postponed and lives are
merely prolonged; estimating the effect of exposure on life expectancy is both
more straightforward and of greater public health interest. The other misleading
premise is that the deaths attributed to a certain risk factor can be identified.
While such identification may be possible for certain immediate external causes
of death (eg, accidents), it is not usually feasible for deaths attributed to
factors that merely contribute to development of chronic disease and ultimately
death. For such exposures, it is more reasonable to suggest that they contribute
to death in all who are exposed - more so in some people than in others. Again,
it is more appropriate to calculate the average loss of life expectancy
associated with exposure from follow-up studies; the years of life lost by
individuals who are exposed then varies around this average. The "real age"
concept popularized in lifestyle Web sites and television programs may be more
useful than calculations of the numbers of attributable deaths for communicating
individual as well as public health risks associated with common environmental,
occupational and lifestyle risk factors.
PMID- 18049189
TI - Probing STROBE.
PMID- 18049190
TI - Is there a dark phase of this STROBE?
AB - Suggestions for improving the reporting of observational epidemiologic studies
are to be encouraged, but we believe the required use in reports of a checklist
of design and analytic features relevant to study quality would have detrimental
consequences.
PMID- 18049191
TI - Suggestions for STROBE recommendations.
AB - The STROBE initiative is an excellent approach to improving observational
epidemiologic studies. Our concerns include: 1) the need for further emphasis on
presenting a clear definition of the hypothesis, its biologic rationale, and its
implication to the health of the public; 2) correction of the glaring omission in
the STROBE guidelines of the necessity to consider the incubation periods for
risk factors and diseases and to review other biologically relevant issues that
often have a major impact on the plausibility of the observed association; 3) the
essential importance of guidance about a careful definition of host factors,
including a clear statement of results specific to race, sex and ethnicity rather
than merely stating: "The interaction term was not significant"; 4) the
importance of specifying that all studies should present the actual rates or
numbers of events in relation to the size of the population, including the actual
numbers for each independent variable in a multiple regression analysis, rather
than solely presenting a hazards ratio; and 5) the need to restrict the P value
only to those hypotheses that were generated prior to the data analysis,
reserving retrospective analyses to point estimates and confidence limits.
PMID- 18049192
TI - Some guidelines on guidelines: they should come with expiration dates.
AB - The STROBE guidelines (for Strengthening the Reporting of OBservational Studies
in Epidemiology) add to a lengthy catalog of attempts to keep epidemiologists on
more or less straight and more or less narrow paths charted by guideline authors.
STROBE has an ambitious goal, and may prove highly useful for some. It raises
concern, however, about a problem generic to guidelines, namely how long they
will be useful. Guidelines may be inevitable, but they can foster ossification
and be counterproductive. Who today would be happy with epidemiology guidelines
issued in, say, 1960, 1970, 1980, or even, 1990? One solution is to offer each
set of guidelines with an expiration date, beyond which it would cease to apply.
Such a policy would at least prompt revisions. We propose that the STROBE
guidelines might expire on 31 December 2010 or 3 years after any revision.
PMID- 18049193
TI - The making of STROBE.
AB - This commentary gives a personal view of drafting the "Strengthening the
Reporting of Observational Studies in Epidemiology" (STROBE) statement, by one of
its authors. My initial wariness about guidelines for observational research was
overcome by focusing on clarity of reporting, rather than on how research should
be done. Areas of tension that arose when drafting STROBE include the problem of
finding common ground among researchers with different research backgrounds,
questions of the intended audience (professional epidemiologists or statisticians
vs. all researchers who use epidemiologic study designs), and the fine line
between encouraging clarity of reporting vs. prescribing how to do research.
STROBE is not an instrument to evaluate the quality of research: research can be
reported clearly or not, irrespective of its intrinsic quality. However, the
ultimate benefit of STROBE might be that more comprehensive reporting allows for
better discussions about published observational research, which may lead to
better decisions about what new analyses or new studies are needed to solve a
problem.
PMID- 18049194
TI - The Strengthening the Reporting of Observational Studies in Epidemiology (STROBE)
statement: guidelines for reporting observational studies.
AB - Much biomedical research is observational. The reporting of such research is
often inadequate, which hampers the assessment of its strengths and weaknesses
and of a study's generalizability. The Strengthening the Reporting of
Observational Studies in Epidemiology (STROBE) Initiative developed
recommendations on what should be included in an accurate and complete report of
an observational study. We defined the scope of the recommendations to cover
three main study designs: cohort, case-control and cross-sectional studies. We
convened a 2-day workshop in September 2004, with methodologists, researchers,
and journal editors to draft a checklist of items. This list was subsequently
revised during several meetings of the coordinating group and in e-mail
discussions with the larger group of STROBE contributors, taking into account
empirical evidence and methodological considerations. The workshop and the
subsequent iterative process of consultation and revision resulted in a checklist
of 22 items (the STROBE Statement) that relate to the title, abstract,
introduction, methods, results, and discussion sections of articles. 18 items are
common to all three study designs and four are specific for cohort, case-control,
or cross-sectional studies. A detailed "Explanation and Elaboration" document is
published separately and is freely available on the web sites of PLoS Medicine,
Annals of Internal Medicine, and Epidemiology. We hope that the STROBE Statement
will contribute to improving the quality of reporting of observational studies.
PMID- 18049195
TI - Strengthening the Reporting of Observational Studies in Epidemiology (STROBE):
explanation and elaboration.
AB - Much medical research is observational. The reporting of observational studies is
often of insufficient quality. Poor reporting hampers the assessment of the
strengths and weaknesses of a study and the generalizability of its results.
Taking into account empirical evidence and theoretical considerations, a group of
methodologists, researchers, and editors developed the Strengthening the
Reporting of Observational Studies in Epidemiology (STROBE) recommendations to
improve the quality of reporting of observational studies. The STROBE Statement
consists of a checklist of 22 items, which relate to the title, abstract,
introduction, methods, results and discussion sections of articles. Eighteen
items are common to cohort studies, case-control studies and cross-sectional
studies and four are specific to each of the three study designs. The STROBE
Statement provides guidance to authors about how to improve the reporting of
observational studies and facilitates critical appraisal and interpretation of
studies by reviewers, journal editors and readers.This explanatory and
elaboration document is intended to enhance the use, understanding, and
dissemination of the STROBE Statement. The meaning and rationale for each
checklist item are presented. For each item, one or several published examples
and, where possible, references to relevant empirical studies and methodological
literature are provided. Examples of useful flow diagrams are also included. The
STROBE Statement, this document, and the associated web site (http://www.strobe
statement.org) should be helpful resources to improve reporting of observational
research.
PMID- 18049196
TI - Mort Levin and the emergence of chronic disease epidemiology.
PMID- 18049197
TI - Irritable bowel syndrome and hysterectomy: a sequence symmetry analysis.
PMID- 18049198
TI - Analyses of genome-wide association scans for additional outcomes.
PMID- 18049199
TI - Do time-series studies contain residual confounding by risk factors for acute
health events?
PMID- 18049201
TI - Frequency of changing suction canisters and suction tubing: a descriptive study.
AB - There is little evidence to suggest the appropriate frequency for changing
suction canisters and tubing in the gastroenterology setting. This survey was
initiated to determine whether there was a community standard for this issue. A
diverse geographic response from attendees at the 2006 Society of
Gastroenterology Nurses and Associates revealed that there is no current
"standard of practice." Findings were diverse and are reported on the basis of
the size of the facility, type of facility, number of endoscopic procedure rooms,
and number of endoscopic procedures per month. The majority of respondents (91%)
used disposable suction devices. The authors recommend the need for well
designed, systematic studies to determine the appropriate frequency for changing
suction canisters and tubing in the endoscopic setting.
PMID- 18049202
TI - Complications of percutaneous endoscopic and fluoroscopic gastrostomy tube
insertion procedures in 378 patients.
AB - Gastrostomy tubes are used for primary and supplemental feeding and gastric
decompression. The purpose of the study was to compare the complication rate of
gastrostomy tube placement by either endoscopic or fluoroscopic technique.
Between 1996 and 2004, the surgical and radiological services at a Level I trauma
hospital placed gastrostomy tubes in 378 patients (endoscopy=268,
fluoroscopy=110). The percutaneous gastrostomy group comprised 71% of the cohort
with a mean age of 51+/-21 (range: 1-93 years of age), and the percutaneous
radiographic gastrostomy group comprised the remaining cohort (29%) with a mean
age of 57+/-19 (range: 17-95 years of age). Fifty-eight percent of the
percutaneous gastrostomy group were female (n=155) and 42% were male (n=113),
whereas the gender distribution for the percutaneous radiographic gastrostomy
group was 33% female (n=36) and 67% male (n=74). There was an overall
complication rate of 36% (22% and 70% for the endoscopic and fluoroscopic
methods, respectively). The most frequent complication in both types of
techniques was tube dislodgement (endoscopic=32% [19/268]; fluoroscopic=27%
[21/110]). There were very few serious complications. Women had a higher rate of
postprocedure complications than did men, at 35% versus 27%. We conclude that
gastrostomy tube placement by either endoscopic or fluoroscopic methods results
in a number of complications, though most of them are minor. Patients must
therefore be informed that this is not a complication-free procedure.
PMID- 18049203
TI - Methemoglobinemia and benzocaine.
AB - Benzocaine administration to facilitate upper endoscopic procedures can result in
the relatively uncommon but potentially fatal complication known as
methemoglobinemia. For this reason, the Veterans Health Administration (VA)
announced on February 8, 2006, that they would stop using benzocaine-containing
sprays for procedures involving the mouth and throat. Methemoglobinemia should be
considered in any patient who demonstrates cyanosis, respiratory distress,
headache, lightheadedness, and a dark, chocolate-colored blood after receiving
pharyngeal anesthesia. Prompt recognition of this rare (but potentially fatal)
condition is important. Once identified, treatment is generally rapid with
methylene blue. The patient should be monitored in the intensive care setting for
recurrence. Knowledge of this adverse medication reaction is essential for all
gastroenterology nurses.
PMID- 18049205
TI - Helicobacter pylori strikes again: gastric mucosa-associated lymphoid tissue
(MALT) lymphoma.
AB - Infection with Helicobacter pylori is common. Over 50% of the world's population
is estimated to be colonized with the bacteria. The association between
Helicobacter pylori and gastric mucosa-associated lymphoid tissue (MALT) lymphoma
is well documented. Anti-Helicobacter pylori treatment and the successful
eradication of the bacteria can potentially cure patients who test positive for
the bacteria and who are diagnosed with low-grade gastric MALT lymphoma. The
purpose of this article is to review the evidence implicating Helicobacter pylori
as a causal pathogen for the development of gastric MALT lymphoma and to
determine that anti-Helicobacter pylori therapy is an effective first-line
treatment. The clinical presentation, endoscopic findings, diagnosis, staging,
treatment, and follow-up of patients with gastric MALT lymphoma who are treated
with anti-Helicobacter pylori therapy are also discussed.
PMID- 18049207
TI - Dietary intake and body composition of Japanese ulcerative colitis patients in
remission.
AB - The purpose of this pilot study (N=26) was to investigate dietary intake and body
composition and problems associated with dietary intake (such as unnecessary
dietary restriction) in Japanese patients in remission from ulcerative colitis.
Findings revealed the macronutrient intake and dietary fiber (absolute amount)
were lower than the national average in men, but this may have been due to
differences in the methods used to measure dietary intake. Although the fat
intake (%E) of ulcerative colitis patients in this study was similar to that of
the Japanese population, it differed greatly from that of people in Western
countries. No significant differences in weight, height, or body mass index were
found when comparing the sample of individuals living with ulcerative colitis
with the general Japanese population. Seventeen patients (65.4%) reported being
cautious about what they ate even though in remission, and 6 patients were even
more cautious about what they ate now than they had been in the past. The authors
suggest further research to clarify the problems that unnecessary dietary
restriction cause for ulcerative colitis patients who are in remission.
PMID- 18049209
TI - Who will take your place?
PMID- 18049210
TI - The great gluten escape: a camp for children with celiac disease.
PMID- 18049211
TI - Are your measurements reliable?
PMID- 18049214
TI - Lauriston S. Taylor lecture: fifty years of scientific research: the importance
of scholarship and the influence of politics and controversy.
AB - Over the past 50 years our laboratory has performed and published many studies in
the fields of teratology, radiation biology and radiation embryology. The early
work took place when I was a research employee at the University of Rochester
Manhattan Project in 1944 and where I had my introduction to embryology and
genetics. Over the years our lab has provided consultations dealing with the
risks of various environmental toxicant exposures during pregnancy. With the
advent of the Internet, consulting has become more rapid and efficient. In the
past year our pregnancy Web site of the Health Physics Society received
approximately 154,000 hits, of which over a thousand contacts were still quite
anxious after reading the Web site answers and requested a personal consultation.
From this extensive experience we have learned that many physicians and other
counselors are not prepared to counsel patients concerning radiation risks.
Approximately 8% of the patient contacts who have consulted a professional have
been provided with inaccurate information that would have resulted in an
unnecessary interruption of a wanted pregnancy. There are five areas of radiation
embryology that are considered to be controversial. 1) Can the fetus be harmed by
ionizing radiation if the fetus is not directly exposed? 2) Is the production of
mental retardation from radiation during pregnancy a threshold phenomenon? 3)
Does fractionation and protraction of radiation decrease the magnitude of the
reproductive and developmental risks? 4) Is there a period during pregnancy when
radiation will result in an increased mortality but not an increase in
malformations? 5) How sensitive is the fetus to the oncogenic effects of
radiation? We utilize the scientific information obtained from studies in these
five areas to counsel patients concerning pregnancy radiation risks. The
willingness and persistence of scientists to debate the controversial aspects of
this research and apply the best available scientific information to assist
patients in turmoil about the risks of radiation to themselves and their
offspring has saved thousand of lives and changed family histories.
PMID- 18049216
TI - Third annual Warren K. Sinclair keynote address: retrospective analysis of
impacts of the Chernobyl accident.
AB - The accident at the Chernobyl Nuclear Power Plant in 1986 was the most severe in
the history of the nuclear industry, causing a huge release of radionuclides over
large areas of Europe. The recently completed Chernobyl Forum concluded that
after a number of years, along with reduction of radiation levels and
accumulation of humanitarian consequences, severe social and economic depression
of the affected regions and associated psychological problems of the general
public and the workers had become the most significant problem to be addressed by
the authorities. The majority of the >600,000 emergency and recovery operation
workers and five million residents of the contaminated areas in Belarus, Russia,
and Ukraine received relatively minor radiation doses which are comparable with
the natural background levels. An exception is a cohort of several hundred
emergency workers who received high radiation doses and of whom 28 persons died
in 1986 due to acute radiation sickness. Apart from the dramatic increase in
thyroid cancer incidence among those exposed to radioiodine at a young age and
some increase of leukemia in the most exposed workers, there is no clearly
demonstrated increase in the somatic diseases due to radiation. There was,
however, an increase in psychological problems among the affected population,
compounded by the social disruption that followed the break-up of the Soviet
Union. Despite the unprecedented scale of the Chernobyl accident, its
consequences on the health of people are far less severe than those of the atomic
bombings of the cities of Hiroshima and Nagasaki. Studying the consequences of
the Chernobyl accident has made an invaluable scientific contribution to the
development of nuclear safety, radioecology, radiation medicine and protection,
and also the social sciences. The Chernobyl accident initiated the global nuclear
and radiation safety regime.
PMID- 18049217
TI - Chernobyl radionuclide distribution and migration.
AB - The accident at Unit No. 4 of the Chernobyl Nuclear Power Plant on 26 April 1986
presented severe challenges in radiation protection. Early activity measurements
defined the contaminated areas in order to determine what persons should be
evacuated on the basis of the exposure limit at that time of 100 mSv (10 rem) for
accidents. The immediate definition of these areas was accomplished with
specially equipped aircraft capable of measuring external gamma-exposure rate and
radionuclide spectra. Over time, maps of 137Cs contamination (the most important
long-lived radionuclide) have become more and more sophisticated and have been
used for further determinations of the control of the consequences of the
accident. About 70% of the total release of 137Cs was deposited in Belarus, the
Russian Federation, and Ukraine; but there was also widespread deposition
throughout the countries of Western Europe. Two atlases of contamination
throughout Europe were prepared, and the Russian atlas included data on other
radionuclides and on external gamma-exposure rates. The radiocesiums behaved as
volatile radionuclides because of the volatility of cesium. In contrast to the
typical pattern after nuclear weapons tests, 90Sr behaved only as a refractory
element, as its volatile precursors krypton and rubidium had already decayed
within the reactor. Nearly all of the refractory elements (strontium, plutonium,
etc.) released by the accident were confined to the 30-km zone around the
reactor. A proposal is made to develop a more complete atlas of 137Cs deposition
from the accident that would include the entire Northern Hemisphere. Water was
not an important vector of exposure to human beings following the accident.
PMID- 18049218
TI - Chernobyl radionuclide distribution, migration, and environmental and
agricultural impacts.
AB - The distribution and migration of radionuclides released into the environment
following the Chernobyl accident in 1986 are described. The Chernobyl disaster
resulted in the consumption of farm products containing radionuclides as a source
of irradiation of the population due to the prevalence of a rural type of human
nutrition in the affected region. Economic and radiologic importance of
countermeasures for reducing the impacts of the accident are described. The basic
radioecological problem is described in which the area where direct radiation
contamination of biota was observed is considerably smaller than the zone where
concentrations of radionuclides through the food chain exceeded the permissible
standards. The radiation-induced effects in biota in the affected area are
described. In the long-term post-accident period, the radionuclide distribution
between components of ecosystems (including humans) and doses are considered in
comparison to a technologically normal situation of nuclear power plant
operation. This analysis demonstrates that if radiation standards protect humans,
then biota are also adequately protected against ionizing radiation.
PMID- 18049219
TI - Radiation-induced effects on plants and animals: findings of the United Nations
Chernobyl Forum.
AB - Several United Nations organizations sought to dispel the uncertainties and
controversy that still exist concerning the effects of the Chernobyl accident. A
Chernobyl Forum of international expertise was established to reach consensus on
the environmental consequences and health effects attributable to radiation
exposure arising from the accident. This review is a synopsis of the subgroup
that examined the radiological effects to nonhuman biota within the 30-km
Exclusion Zone. The response of biota to Chernobyl irradiation was a complex
interaction among radiation dose, dose rate, temporal and spatial variation,
varying radiation sensitivities of the different taxons, and indirect effects
from other events. The radiation-induced effects to plants and animals within the
30-km Exclusion Zone around Chernobyl can be framed in three broad time periods
relative to the accident: an intense exposure period during the first 30 d
following the accident of 26 April 1986; a second phase that extended through the
first year of exposure during which time the short-lived radionuclides decayed
and longer-lived radionuclides were transported to different components of the
environment by physical, chemical and biological processes; and the third and
continuing long-term phase of chronic exposure with dose rates<1% of the initial
values. The doses accumulated, and the observed effects on plants, soil
invertebrates, terrestrial vertebrates and fish are summarized for each time
period. Physiological and genetic effects on biota, as well as the indirect
effects on wildlife of removing humans from the Chernobyl area, are placed in
context of what was known about radioecological effects prior to the accident.
PMID- 18049220
TI - Radioactive waste management and environmental contamination issues at the
Chernobyl site.
AB - The destruction of the Unit 4 reactor at the Chernobyl Nuclear Power Plant
resulted in the generation of radioactive contamination and radioactive waste at
the site and in the surrounding area (referred to as the Exclusion Zone). In the
course of remediation activities, large volumes of radioactive waste were
generated and placed in temporary near-surface waste storage and disposal
facilities. Trench and landfill type facilities were created from 1986-1987 in
the Chernobyl Exclusion Zone at distances 0.5-15 km from the nuclear power plant
site. This large number of facilities was established without proper design
documentation, engineered barriers, or hydrogeological investigations and they do
not meet contemporary waste-safety requirements. Immediately following the
accident, a Shelter was constructed over the destroyed reactor; in addition to
uncertainties in stability at the time of its construction, structural elements
of the Shelter have degraded as a result of corrosion. The main potential hazard
of the Shelter is a possible collapse of its top structures and release of
radioactive dust into the environment. A New Safe Confinement (NSC) with a 100 y
service life is planned to be built as a cover over the existing Shelter as a
longer-term solution. The construction of the NSC will enable the dismantlement
of the current Shelter, removal of highly radioactive, fuel-containing materials
from Unit 4, and eventual decommissioning of the damaged reactor. More
radioactive waste will be generated during NSC construction, possible Shelter
dismantling, removal of fuel-containing materials, and decommissioning of Unit 4.
The future development of the Exclusion Zone depends on the future strategy for
converting Unit 4 into an ecologically safe system, i.e., the development of the
NSC, the dismantlement of the current Shelter, removal of fuel-containing
material, and eventual decommissioning of the accident site. To date, a broadly
accepted strategy for radioactive waste management at the reactor site and in the
Exclusion Zone, and especially for high level and long-lived waste, has not been
developed.
PMID- 18049221
TI - Physical dosimetry of chernobyl cleanup workers.
AB - This paper presents a critical review of dosimetric monitoring practices during
Chernobyl cleanup from 1986 to 1990. Dosimetric monitoring is considered in time
evolution with respect to legislative background (including dose limits), methods
of dose assessment, and coverage of workers with radiation monitoring programs as
well as availability of data on individual doses of liquidators. Four large
independent dosimetry services (Administration of Construction No. 605, Chernobyl
Nuclear Power Plant, Production Association "Combinat," and the troops) had
operated in Chernobyl covering different cohorts of cleanup workers with
dosimetric monitoring of variable quality and comprehension. Extremes in this
range were presented by the highly professional dosimetry service of the
Administration of Construction No. 605 (USSR Ministry of Medium Machinery), which
had provided total coverage of workers with high quality individual
thermoluminescent dosimeter monitoring, and military (troops of the USSR Ministry
of Defense) who had received the least precise group dosimetry, which, however,
had covered the whole population of military cleanup workers. The main groups of
liquidators are considered from the point of view of completeness and quality of
their dosimetric data. Main gaps in dosimetric data and limitations of existing
dose records are identified. The issues of evolution of dose limits and problems
of monitoring internal and beta exposure are considered from the point of view of
significance of these components and the need for missing information.
PMID- 18049222
TI - Health effects in those with acute radiation sickness from the Chernobyl
accident.
AB - The Chernobyl accident resulted in almost one-third of the reported cases of
acute radiation sickness (ARS) reported worldwide. Cases occurred among the plant
employees and first responders but not among the evacuated populations or general
population. The diagnosis of ARS was initially considered for 237 persons based
on symptoms of nausea, vomiting, and diarrhea. Ultimately, the diagnosis of ARS
was confirmed in 134 persons. There were 28 short term deaths of which 95%
occurred at whole body doses in excess of 6.5 Gy. Underlying bone marrow failure
was the main contributor to all deaths during the first 2 mo. Allogenic bone
marrow transplantation was performed on 13 patients and an additional six
received human fetal liver cells. All of these patients died except one
individual who later was discovered to have recovered his own marrow and rejected
the transplant. Two or three patients were felt to have died as a result of
transplant complications. Skin doses exceeded bone marrow doses by a factor of 10
30, and at least 19 of the deaths were felt to be primarily due to infection from
large area beta burns. Internal contamination was of relatively minor importance
in treatment. By the end of 2001, an additional 14 ARS survivors died from
various causes. Long term treatment has included therapy for beta burn fibrosis
and skin atrophy as well as for cataracts.
PMID- 18049223
TI - Late cancer and noncancer risks among Chernobyl emergency workers of Russia.
AB - The presented work summarizes data on estimated radiation risks among Chernobyl
emergency workers of the Russian Federation. In 1991-1998, the excess relative
risk (ERR) of death from malignant neoplasm was statistically significant: excess
relative risk per 1 Gy (ERR/Gy)=2.11 with 95% confidence interval (CI) (1.31
2.92). In 1991-2001, the ERR estimation for incident solid cancers gives a
positive, but statistically insignificant value: ERR/Gy=0.34 with 95% CI (-0.39;
1.22). In 1986-2003, radiation risk for leukemia incidence was investigated.
During the first 10 y after the Chernobyl accident (1986-1996) the relative risk
(RR) of leukemia (excluding chronic lymphocytic leukemia) was statistically
significant: RR=2.2 with 95% CI (1.3-3.8) for emergency workers with doses>0.15
Gy in comparison with less exposed workers. In 1986-2000, a statistically
significant dose response was observed for incident cerebrovascular diseases:
ERR/Gy=0.39, 95% CI=(0.004; 0.77). For doses>0.15 Gy a statistically significant
risk of cerebrovascular diseases as a function of mean daily dose was observed:
ERR per 0.1 Gy d(-1)=2.17 with 95% CI=(0.64; 3.69). Different but overlapping
cohorts of Russian emergency workers were used for these estimations. No
adjustments were made for recognized risk factors for cerebrovascular diseases.
All results should be considered as preliminary.
PMID- 18049224
TI - Worker health and safety issues in reinforcing the entombment of the Chernobyl
reactor.
AB - The paper provides an overview of current work involving the strengthening of the
Sarcophagus over the Chernobyl Nuclear Power Plant destroyed 4th unit. It
includes a brief summary of the types of work being performed, the radiological
environment, the medical surveillance program, the protective measures being
implemented, and the internal and external radiation monitoring program for the
workers. Also, it presents a summary of the workplace monitoring data and the
internal and external dosimetry data, and estimates of the total effective doses
received by the workers during the work. The primary contribution to worker
radiation doses was from external exposure. The maximum total effective doses
received are 9.2 mSv mo(-1) and 17.4 mSv y(-1).
PMID- 18049226
TI - Thyroid cancer incidence among people living in areas contaminated by radiation
from the Chernobyl accident.
AB - As a result of the Chernobyl nuclear power plant accident, massive amounts of
radioactive materials were released into the environment and large numbers of
individuals living in Belarus, Russia, and Ukraine were exposed to radioactive
iodines, primarily 131I. Iodine-131 concentrated in the thyroid gland of
residents of the contaminated areas, with children and adolescents being
particularly affected. In the decade after the accident, a substantial increase
in thyroid cancer incidence was observed among exposed children in the three
affected countries, and compelling evidence of an association between pediatric
thyroid cancer incidence and radiation exposure to the thyroid gland accumulated.
The data currently available suggest that both the magnitude and patterns of
thyroid cancer risk are generally consistent with those reported following
external exposure. Based on data from case-control studies, iodine deficiency
appeared to enhance the risk of developing thyroid cancer following exposure from
Chernobyl. Results from a recent large cohort study, however, did not support
these findings. Data on adult exposure are limited and not entirely consistent.
Similarly, information on thyroid cancer risks associated with in utero exposure
is insufficient to draw conclusions. The lack of information on these two
population groups indicates an important gap that needs to be filled. Twenty
years after the accident, excess thyroid cancers are still occurring among
persons exposed as children or adolescents, and, if external radiation can be
used as a guide, we can expect an excess of radiation-associated thyroid cancers
for several more decades. Since considerable uncertainties about the long-term
health effects from Chernobyl remain, continued follow-up of the exposed
populations should provide valuable information.
PMID- 18049225
TI - Radiation dosimetry for highly contaminated Belarusian, Russian and Ukrainian
populations, and for less contaminated populations in Europe.
AB - The explosions at the Chernobyl Nuclear Power Plant (CNPP) in Ukraine early in
the morning of 26 April 1986 led to a considerable release of radioactive
materials during 10 d. The cloud from the reactor spread many different
radionuclides, particularly those of iodine (131I) and cesium (134Cs and 137Cs),
over the majority of European countries, but the greatest contamination occurred
over vast areas of Belarus, the Russian Federation and Ukraine. As the major
health effect of Chernobyl is an elevated thyroid cancer incidence in children
and adolescents, much attention has been paid to the thyroid doses resulting from
intakes of 131I, which were delivered within 2 mo following the accident. The
thyroid doses received by the inhabitants of the contaminated areas of Belarus,
Russia, and Ukraine varied in a wide range, mainly according to age, level of
ground contamination, milk consumption rate, and origin of the milk that was
consumed. Reported individual thyroid doses varied up to approximately 40,000
mGy, with average doses of a few to 1,000 mGy, depending on the area where people
were exposed. In addition, the presence in the environment of long-lived 134Cs
and 137Cs has led to a relatively homogeneous exposure of all organs and tissues
of the body via external and internal irradiation, albeit at low rates. Excluding
the thyroid doses, the whole-body (or effective) dose estimates for the general
population accumulated during 20 y after the accident (1986-2005) range from a
few millisieverts (mSv) to some hundred mSv with an average dose of approximately
10 mSv in the contaminated areas of Belarus, Russia, and Ukraine. In other
European countries, both the thyroid and the effective doses are, on average,
much smaller.
PMID- 18049227
TI - Leukemia following the Chernobyl accident.
AB - The accident at the Chernobyl Nuclear Power Plant in Ukraine in 1986 led to a
substantial increase of thyroid cancer among those exposed as children. The other
cancer that is the most sensitive to the effects of ionizing radiation is
leukemia, and this paper evaluates the evidence relating exposure to Chernobyl
radioactivity and leukemia risk. Two types of objectives are identified, namely,
scientific evidence and public health, and two approaches to addressing such
objectives are discussed. Empirical studies in affected populations are
summarized, and it is concluded that, possibly apart from Russian cleanup
workers, no meaningful evidence of any statistical association between exposure
and leukemia risk as yet exists. However, it is important to carry on with such
studies to satisfy various public health objectives.
PMID- 18049228
TI - Psychological and perceived health effects of the Chernobyl disaster: a 20-year
review.
AB - The mental health impact of Chernobyl is regarded by many experts as the largest
public health problem unleashed by the accident to date. This paper reviews
findings reported during the 20-y period after the accident regarding stress
related symptoms, effects on the developing brain, and cognitive and
psychological impairments among highly exposed cleanup workers. With respect to
stress-related symptoms, the rates of depressive, anxiety (especially post
traumatic stress symptoms), and medically unexplained physical symptoms are two
to four times higher in Chernobyl-exposed populations compared to controls,
although rates of diagnosable psychiatric disorders do not appear to be elevated.
The symptom elevations were found as late as 11 y after the accident. Severity of
symptomatology is significantly related to risk perceptions and being diagnosed
with a Chernobyl-related health problem. In general, the morbidity patterns are
consistent with the psychological impairments documented after other toxic
events, such as the atomic bombings of Hiroshima and Nagasaki, the Three Mile
Island accident, and Bhopal. With respect to the developing brain of exposed
children who were in utero or very young when the accident occurred, the World
Health Organization as well as American and Israeli researchers have found no
significant associations of radiation exposure with cognitive impairments.
Cognitive impairments in highly exposed cleanup workers have been reported by
Ukrainian researchers, but these findings have not been independently confirmed.
A seminal study found a significant excess death rate from suicide in cleanup
workers, suggesting a sizable emotional toll. Given the magnitude and persistence
of the adverse mental health effects on the general population, long-term
educational and psychosocial interventions should be initiated that target
primary care physicians, local researchers, and high risk populations, including
participants in ongoing cohort studies.
PMID- 18049229
TI - Rehabilitation of living conditions in territories contaminated by the Chernobyl
accident: the ETHOS project.
AB - The ETHOS Project, supported by the radiation protection research program of the
European Commission (EC), was implemented in the mid-1990's with the support of
the Belarus authorities as a pilot project to initiate a new approach for the
rehabilitation of living conditions in the contaminated territories of the
Republic. This initiative followed a series of studies performed in the context
of the EC Community of Independent States cooperation program to evaluate the
consequences of the Chernobyl accident (1991-1995), which clearly brought to the
fore that a salient characteristic of the situation in these territories was the
progressive and general loss of control of the population on its daily life.
Furthermore, due to the economic difficulties during the years following the
breakdown of the USSR, the population was developing private production and, in
the absence of know-how and adequate means to control the radiological quality of
foodstuffs, the level of internal exposure was rising significantly. The aim of
the project was primarily to involve directly the population wishing to stay in
the territories in the day-to-day management of the radiological situation with
the goal of improving their protection and their living conditions. It was based
on clear ethical principles and implemented by an interdisciplinary team of
European experts with specific skills in radiation protection, agronomy, social
risk management, communication, and cooperation in complex situations, with the
support of local authorities and professionals. In a first phase (1996-1999), the
ETHOS Project was implemented in a village located in the Stolyn District in the
southern part of Belarus. During this phase, a few tens of villagers were
involved in a step-by-step evaluation of the local radiological situation to
progressively regain control of their daily life. In a second phase (1999-2001),
the ETHOS Project was extended to four other localities of the District with the
objective to evaluate the feasibility of the application of the ETHOS approach by
local professionals and authorities. The ETHOS experience has shown that the
direct involvement of the population in the day-to-day management of the
radiological situation was a necessary approach to complement the rehabilitation
program implemented by public authorities in contaminated territories. It also
demonstrated that to be effective and sustainable, this involvement must rely on
the dissemination of a "practical radiological protection culture" within all
segments of the population, and especially among professionals in charge of
public health and education. This paper discusses the post-Chernobyl context in
the early- and mid-1990's, which led Belarus authorities to look for new
approaches to protect the population residing in the long-term contaminated
territories of the Republic. It then describes the ETHOS methodology and its main
results. It also summarizes the general conclusions that can be drawn from the
ETHOS Project.
PMID- 18049230
TI - Lessons learned from Chernobyl and other emergencies: establishing international
requirements and guidance.
AB - In the past 25 years, nuclear and radiological emergencies have occurred that
cover much of the range of causes and types that had been anticipated by experts.
Experience from response to these emergencies has clearly demonstrated the
importance of an efficient response system that includes, among other components,
emergency plans, procedures, and internally consistent operational criteria. An
analysis of lessons identified from recent responses has shown that a lack of
crucial components in the emergency response system could result in major
radiological and nonradiological consequences at the national level. A rigorous
examination of the response to past emergencies has shown that there is a need
for additional consistent international guidance on taking protective and other
response actions and for placing the guidance in a context that is both
comprehensive for the decision makers and can be explained to the public. This
paper briefly describes the lessons learned from past radiation emergencies and
how these lessons are used by the International Atomic Energy Agency in
developing the international guidance in the area of emergency preparedness and
response. In particular, the paper discusses the proposed extended framework of
emergency response criteria. The framework is composed of two fundamental parts:
(1) generic reference levels and (2) a plain language explanation for each
generic reference level to assist the decisions makers and the public in making
informed decisions on the actions they should take when this level is reached.
The system of generic reference levels covers the full range of potential and
actual exposures, from those levels that can result in severe deterministic
health effects down to those that allow for a return to normality.
PMID- 18049231
TI - Long-term health implications of the Chernobyl accident and relevant projects of
the World Health Organization.
AB - The past two decades have witnessed dramatic changes in public health governance
and international cooperation on the Chernobyl Nuclear Power Plant accident,
especially after the end of the Cold War. The World Health Organization (WHO) has
committed itself deeply to the public health issues around Chernobyl and has
participated in various health projects such as health monitoring and cancer
screening. WHO has also been engaged in research activities such as the Chernobyl
Tissue Bank, in close collaboration with the Ministries of Health in Belarus,
Russia, and Ukraine. In addition to the official report of the Chernobyl Forum
"Health Expert Groups" in 2005, the task of WHO is to not only analyze and
clarify the global burden of Chernobyl-related illness, but also to promote the
well-being of the local residents who suffered chronic low-level radiation
exposure from radiation fallout.
PMID- 18049232
TI - Current status and epidemiological research needs for achieving a better
understanding of the consequences of the Chernobyl accident.
AB - Twenty years after the Chernobyl accident, there is no clearly demonstrated
increase in the incidence of cancers in the most affected populations that can be
attributed to radiation from the accident, except for the dramatic increase in
thyroid cancer incidence among those exposed in childhood and adolescence.
Increases in the incidence of cancers and other diseases have been reported in
Belarus, the Russian Federation, and Ukraine, but much of the increase appears to
be due to other factors, including improvements in diagnosis, reporting, and
registration. Recent findings indicate a possible doubling of leukemia risk among
Chernobyl liquidators and a small increase in the incidence of premenopausal
breast cancer in the very most contaminated districts. Increased risks of
cardiovascular diseases and cataracts have also been reported. These findings,
however, need confirmation in well-designed analytical epidemiological studies
with careful individual dose reconstruction. The absence of demonstrated
increases in cancer risk--apart from thyroid cancer--is not the proof that no
increase has in fact occurred. Based on the experience of atomic bomb survivors,
and assuming that there is a linear, no-threshold dose-response relationship
between exposure to ionizing radiation and the development of cancer in humans, a
small increase in the relative risk of cancer is expected, even at the low to
moderate doses received. Given the large number of individuals exposed, the
absolute number of cancer cases caused could be substantial, particularly in the
future. It is therefore essential to continue to use population registries to
monitor trends in disease morbidity and mortality in the most contaminated areas,
as well as among liquidators, in order to assess the public health impact of the
accident. Studies of selected populations and diseases are also essential in
order to study the real effect of the accident and compare it to predictions.
Careful studies may in particular provide important information on the effect of
exposure rate and exposure type in the low to medium dose range and on factors
that may modify radiation effects. As such, they may have important consequences
for the radiation protection of patients and of the general population in case of
further nuclear emergencies.
PMID- 18049233
TI - New reactor technology: safety improvements in nuclear power systems.
AB - Almost 450 nuclear power plants are currently operating throughout the world and
supplying about 17% of the world's electricity. These plants perform safely,
reliably, and have no free-release of byproducts to the environment. Given the
current rate of growth in electricity demand and the ever growing concerns for
the environment, nuclear power can only satisfy the need for electricity and
other energy-intensive products if it can demonstrate (1) enhanced safety and
system reliability, (2) minimal environmental impact via sustainable system
designs, and (3) competitive economics. The U.S. Department of Energy with the
international community has begun research on the next generation of nuclear
energy systems that can be made available to the market by 2030 or earlier, and
that can offer significant advances toward these challenging goals; in
particular, six candidate reactor system designs have been identified. These
future nuclear power systems will require advances in materials, reactor physics,
as well as thermal-hydraulics to realize their full potential. However, all of
these designs must demonstrate enhanced safety above and beyond current light
water reactor systems if the next generation of nuclear power plants is to grow
in number far beyond the current population. This paper reviews the advanced
Generation-IV reactor systems and the key safety phenomena that must be
considered to guarantee that enhanced safety can be assured in future nuclear
reactor systems.
PMID- 18049234
TI - Future challenges for nuclear power plant development research, and for
radiological protection sciences.
AB - The promise of the future shines brightly for nuclear energy technology and
production, yet also holds many challenges. Focus on new reactor designs is
currently aiming at what is termed the fourth generation of reactors, which will
come into operation after 2030. The 10 countries participating in the Generation
IV International Forum to develop the new generation of reactors have designated
six reactor designs that will be studied. This paper will briefly discuss some of
these challenges in new reactor designs in general. In addition to the challenges
posed by new reactor designs, radiation protection is also faced with a series of
challenges for the future. These are borne from experience with the
implementation of the current system of radiological protection, from the
evolution of radiation biological research, and from changes in society in the
area of radiological risk assessment and management. This paper will address all
of these emerging challenges, and point towards approaches to resolve them in the
future.
PMID- 18049235
TI - Moving to a low-carbon future: perspectives on nuclear and alternative power
sources.
AB - This paper summarizes key findings from climate science to make the case that the
United States (and ultimately the world) will need to dramatically reduce carbon
dioxide emissions from the energy system over the next few decades. While
transportation energy is an important consideration, the focus of this paper is
on electric power. Today, the United States generates just over half of its
electric power from coal. The average size-weighted age of the fleet of U.S. coal
plants is 35 y, and many will have to be replaced in the next few years. If that
capacity were to be replaced with new conventional coal plants, it would commit
the nation (and the world) to many more decades of high carbon-dioxide emissions,
or it would make the cost of meeting a future carbon-dioxide emission constraint
much higher than it needs to be. A range of low- and no-carbon energy
technologies offers great potential to create a portfolio of options that can
dramatically reduce emissions. A few of the advantages and disadvantages of these
technologies are discussed. Policy and regulatory advances that will be needed to
move the energy system to a low-carbon future are identified.
PMID- 18049236
TI - Chernobyl vis-a-vis the nuclear future: an international perspective.
AB - The paper aims to provide an international perspective on the consequences of the
Chernobyl accident vis-a-vis the future development of the use of nuclear energy
for peaceful purposes. It describes the major international initiatives that were
undertaken over the years in order to quantify the consequences of the Chernobyl
accident, and also analyzes the impact of the accident on the development of
nuclear energy taking account of the perception of its consequences. The paper
revisits the historical saga flowing since the fateful explosion at the Chernobyl
Nuclear Power Plant in the Ukraine 20 y ago. It looks at some of the
misunderstandings about the consequences of the accident and explores the
worldwide nuclear stagnation that followed Chernobyl, surveying the negative
public reaction and also the possibilities of a nuclear revival. It finally
searches for a way forward, concluding that an effective international nuclear
safety regime is urgently needed with the purpose of preventing catastrophes like
Chernobyl from occurring and also that the Chernobyl consequences need to be
readdressed properly. The paper concludes with an appeal to the radiation
protection community to resolve once and for all the difficult issues of
attributing health effects to low-level radiation exposure.
PMID- 18049242
TI - RSO Interview with Thomas Morgan by Rene Michel.
PMID- 18049243
TI - Release limits and decontamination efficacy for tritium: lessons learned outside
nuclear power operations.
AB - Various pieces of equipment in use by the Canadian Department of National Defence
(DND) contain radiation-emitting components. One such piece is a sight knob used
on light artillery. At the request of the DND's Director General Nuclear Safety
(DGNS-DND's internal nuclear regulatory agency), the authors were contacted to
remove the luminous tritium-impregnated paint strip from over 300 sight knobs.
This paper discusses the physical description of the sight knobs, the protocol
developed for decontaminating the sight knobs, the rationale for the release
limits used, and experience gained in using and modifying the decontamination
protocol.
PMID- 18049244
TI - An Overview of 137Cs Contamination in a Southeastern Swamp Environment.
AB - In the early 1960's, an area of privately owned swamp adjacent to the Savannah
River Site was contaminated by site operations. Studies conducted in 1974
estimated that approximately 925 GBq of Cs and 37 GBq of Co were deposited in the
swamp. Subsequently, a series of surveys was initiated to characterize the
contaminated environment. These surveys-composed of 52 monitoring locations-allow
for continued monitoring at a consistent set of locations. Initial survey results
indicated maximum Cs concentrations of 19.5 Bq g in soil and 8.7 Bq g in
vegetation. By the 2004-2005 surveys, maximum concentrations had declined to 1-2
Bq g in soil and 0.4 Bq g in vegetation.
PMID- 18049245
TI - Aerosol collection of the (Bladewerx Corporation) breathing zone monitor and
portable workplace monitor.
AB - The Radiation Protection Group at the Los Alamos National Laboratory has a wind
tunnel capable of measuring the aerosol collection efficiencies of air sampling
devices. In the fall of 2005, the group received an internal Los Alamos request
to perform aerosol collection efficiency tests on two air samplers manufactured
by the Bladewerx Corporation (Rio Rancho, NM). This paper presents the results
from tests performed in the wind tunnel facility at a test velocity of 0.5 m s.
The SabreAlert (Portable Workplace Monitor) and the SabreBZM (Breathing Zone
Monitor) are both designed to detect and measure the presence of alpha emitting
isotopes in atmospheres. The SabreAlert was operated at two test air flow rates
of 6 and 45 liters per minute (LPM), and the SabreBZM was operated at two test
air flow rates of 3 and 19 LPM. The aerosol collection efficiencies of both
samplers were evaluated with oleic acid (monodisperse) liquid droplet aerosols
tagged with sodium fluorescein tracer. These test aerosols varied in size from
about 2.3 to 17.2 microns (aerodynamic equivalent diameter). The SabreAlert was
roughly 100% efficient in aerosol collection at a flow rate of 6 LPM, and had an
aerodynamic cutpoint diameter of 11.3 microns at the 45 LPM flow rate. The
SabreBZM had an aerodynamic cutpoint diameter of 6.7 microns at the 3 LPM flow
rate, but the SabreBZM aerosol collection efficiency never exceeded 13.6% at the
19 LPM test flow rate condition.
PMID- 18049246
TI - Nondestructive characterization of radioactive waste drums by gamma spectrometry:
a Monte Carlo technique for efficiency calibration.
AB - A semi-empirical non-destructive technique to assay radioactive waste drums is
presented. The technique is based on gamma spectrometry performed using a
portable NaI detector and Monte Carlo simulations using the MCNP code in order to
derive the gamma ray detector efficiency for the volume source. The derivation of
detector efficiency was performed assuming homogeneous distribution of the source
activity within the matrix material. Moreover, the MCNP model was used to examine
the effect of inhomogeneities in activity distribution, variation of matrix
material density, and drum filling height on the accuracy of the technique, and
to estimate the measurement bias. The technique was verified by estimating
radioactivity levels in 25 drums containing ion exchange resin waste, and
comparing the results of the non-destructive method against the analytical
results of samples obtained from each drum. Satisfactory agreement between the
two assay techniques was observed. The discussed technique represents a cost
effective technology that can be used to assay low-activity, low-density waste
drums provided the contribution to the gamma ray spectrum can be resolved.
PMID- 18049247
TI - The Human Monitoring Laboratory's whole body counter: monitoring the liquid
nitrogen level as a quality control tool.
AB - The Human Monitoring Laboratory (HML) has developed a method to measure the
liquid nitrogen boil-off rate from the whole body counter's single dewar as a
function of time. The device consists of a commercially available instrument that
was modified to fit the HML's whole body counter's dewar; unfortunately, the
modification was not perfect requiring an alternative approach to using the
maximum fill value. The boil-off rate is now measured by taking two measurements
and calculating the loss rate. Resulting boil-off rates are plotted on a control
chart so that long-term trends can be easily assessed.
PMID- 18049248
TI - Field evaluations of digital radon detectors.
AB - Recently, digital radon detectors were made available on the market for
homeowners at a cost comparable to that charged by some service providers for a
single radon test. Digital radon detectors provide an easy and less expensive way
for homeowners to monitor radon levels in their homes. In order to answer a
frequently asked question regarding the performance of such low-cost electronic
radon detectors, field evaluations were conducted. Evaluation results are
reported here.
PMID- 18049249
TI - The roles of medical health physicists in a medical radiation emergency.
AB - Medical health physicists working in a clinical setting will have a number of key
roles in the event of a nuclear or radiological emergency, such as a terrorist
attack involving a radiological dispersal device or an improvised nuclear device.
Their first responsibility, of course, is to assist hospital administrators and
facility managers in developing radiological emergency response plans for their
facilities and train staff prior to an emergency. During a hospital's response to
a nuclear or radiological emergency, medical health physicists may be asked to
(1) evaluate the level of radiological contamination in or on incoming victims;
(2) help the medical staff evaluate and understand the significance to patient
and staff of the levels of radioactivity with which they are dealing; (3) orient
responding medical staff with principles of dealing with radioactive
contaminants; (4) provide guidance to staff on decontamination of patients,
facilities, and the vehicles in which patients were transported; and (5) assist
local public health authorities in monitoring people who are not injured but who
have been or are concerned that they may have been exposed to radioactive
materials or radiation as a result of the incident. Medical health physicists may
also be called upon to communicate with staff, patients, and the media on
radiological issues related to the event. Materials are available from a number
of sources to assist in these efforts. The Centers for Disease Control and
Prevention (CDC) is developing guidance in the areas of radiological population
monitoring, handling contaminated fatalities, and using hospital equipment for
emergency monitoring. CDC is also developing training and information materials
that may be useful to medical health physicists who are called upon to assist in
developing facility response plans or respond to a nuclear or radiological
incident. Comments on these materials are encouraged.
PMID- 18049250
TI - Infection prevention and control.
PMID- 18049251
TI - Turning caring into business: the nuts and bolts of starting a private-duty home
care business.
AB - As baby boomers age and home care grows in popularity as an alternative to
institutionalized care, opportunities abound for entrepreneurs to meet the demand
through professional private-duty businesses. This article examines the nuts and
bolts of launching and operating a successful private-duty agency.
PMID- 18049252
TI - Netting the hospice butterfly: politics, policy, and translation of an ideal.
AB - This article presents a historical analysis of the American hospice movement. It
examines the social and political factors that created an environment for the
movement to emerge. In doing so, it explores the processes by which
multidisciplinary teams came together to advance hospice as a necessary
healthcare reform and the challenges they faced in doing so.
PMID- 18049253
TI - Comparison of three single-person manual patient techniques for bed-to-wheelchair
transfers.
AB - The uniqueness of the home environment still requires home health clinicians to
lift and transfer patients manually, tasks that are known to cause back injuries.
Three manual patient transfers were evaluated to establish the technique with the
least risk to the low back. Patient and worker perceptions as well as
preferences, exposure duration, and a biomechanical low back evaluation are
presented, together with transfer technique recommendations.
PMID- 18049254
TI - Managing multidrug-resistant organisms in home care and hospice: surveillance,
prevention, and control.
AB - Multidrug-resistant organisms (MDROs), including methicillin-resistant
Staphylococcus aureus, vancomycin-resistant enterococci, and certain gram
negative bacilli, are transmitted most frequently in acute care facilities.
However, these MDROs have important infection control implications for care
provided in the home setting. Little is known about the actual occurrence of
MDROs among home care and hospice patients. To date, no published reports have
described transmission of an MDRO from care provided in the home setting.
Nonetheless, all providers of care in the home should be aware of MDROs and the
potential for their transmission from one patient to another. The Centers for
Disease Control and Prevention's (CDC) Guideline on the Management of Multidrug
Resistant Organisms in Healthcare Settings, 2006 provides recommendations for
preventing and controlling the transmission of MDROs. This article discusses the
Guideline's recommendations and how they may be implemented in the home setting
by home health agencies, home infusion providers, hospices, and providers of
durable medical equipment.
PMID- 18049256
TI - Biofilms on medical devices.
AB - Biofilm consists of microorganisms with altered phenotypes living in a self
organized, cooperative community attached to surfaces and each other and embedded
in a self-produced matrix of exopolymer saccharides. Biofilms are relevant for
home care and hospice clinicians because they are related to the majority of
infectious diseases. Colonization of medical devices plays a key role in the
problem of healthcare-associated infections. This article aims to provide an
overview of the science of biofilms. Understanding biofilms and the risks
associated with them is the first step toward prevention of biofilm formation and
the potentially serious outcomes of infections.
PMID- 18049257
TI - Code Flu: common-sense steps to the development of an agency pandemic flu plan
for home care.
AB - Calling a code is a common way to sound the alarm of an emergency. Many kinds of
codes exist: for patients who arrest, for fires, and for babies who have gone
missing, to name a few. This article proposes a new code, Code Flu, which will
alert staff, patients, cooperating and referring agencies, and members of the
community that they are to operate under the preplanned pandemic flu plan.
Suggestions for developing a Code Flu plan are outlined.
PMID- 18049258
TI - Dangers of used sharps in household trash: implications for home care.
AB - Between 8 and 9 million Americans are self-injecting medication at home, and the
majority of the needles used are being thrown into the household trash. It is up
to all stakeholders, including healthcare professionals, to help change the way
these dangerous needles and other sharps are discarded. Are you giving your
patients the correct information?
PMID- 18049263
TI - A study of deaths associated with anesthesia and surgery. 1954.
PMID- 18049264
TI - The contribution of anesthesia to surgical mortality.
PMID- 18049265
TI - Experimental pharmacology and measurement of the subjective response. 1952.
PMID- 18049266
TI - Pain and subjective responses.
PMID- 18049267
TI - Surgery as placebo: a quantitative study of bias. 1961.
PMID- 18049268
TI - Quintessential Beecher: Surgery as placebo: a quantitative study of bias. J Am
Med Assoc. 1961;176:1102-1107.
PMID- 18049269
TI - Ethics and clinical research. From the anaesthesia laboratory of the Harvard
Medical School at the Massachusetts General Hospital. 1966.
PMID- 18049270
TI - Recruiting allies for reform: Henry Knowles Beecher's "Ethics and clinical
research".
PMID- 18049271
TI - From LSD to the IRB: Henry Beecher's psychedelic research and the foundation of
clinical ethics.
PMID- 18049272
TI - A definition of irreversible coma. 1968.
PMID- 18049273
TI - Defining brain death: motivations and future directions.
PMID- 18049274
TI - Henry K. Beecher--his life in part: the Kansas years.
PMID- 18049277
TI - Efferent visual dysfunction from multiple sclerosis.
PMID- 18049278
TI - Historical perspective and future prospective for retinal nerve fiber loss in
optic neuritis and multiple sclerosis.
PMID- 18049279
TI - Optic neuropathy from bacteria.
PMID- 18049280
TI - Optic neuropathy from viruses and spirochetes.
PMID- 18049281
TI - Neuro-ophthalmologic manifestations of sarcoidosis.
PMID- 18049282
TI - Orbital inflammatory disease.
PMID- 18049283
TI - Ocular myasthenia gravis.
PMID- 18049284
TI - Giant cell arteritis.
PMID- 18049285
TI - Prion disease.
PMID- 18049286
TI - Uveo-meningeal syndromes.
PMID- 18049287
TI - The use of biologics and other immunosuppressants in the treatment of common
inflammatory diseases in neuro-ophthalmology.
PMID- 18049289
TI - 10-year research update review: psychiatric problems in children with epilepsy.
AB - OBJECTIVE: To critically review literature published from 1996 to 2007 on
psychopathology in children with epilepsy (CWE). METHOD: Using Ovid, we searched
Medline and PsychInfo databases for original studies on epidemiology, risk
factors, clinical characteristics, treatment, and outcome of psychopathology in
CWE, ages 0 to 18 years, using the terms "psychopathology," "emotional and
behavioral problems," and "mental health problems." We selectively present the
findings of studies that are clinically relevant to mental health professionals.
RESULTS: Psychopathology occurs in 37% to 77% of CWE, and attention,
internalizing, and thought problems may be specific to epilepsy. Cognitive and
linguistic deficits, as well as family factors, have moderating effects on
psychopathology in CWE. The association of epilepsy-related variables, including
antiepileptic drugs, with psychopathology is inconsistent in cognitively normal
CWE. Children with symptomatic epilepsy and devastating epilepsy syndromes have
high rates of global developmental delay, hyperactivity, and autistic symptoms.
The treatment of psychopathology in CWE integrates standard psychiatric
practices. CONCLUSIONS: Epilepsy is a neuropsychiatric disorder characterized by
seizures, psychopathology, cognitive, and linguistic problems. Improved early
identification of CWE at risk for psychopathology, evidence-based psychiatric
treatment, and multidisciplinary management strategies would advance clinical
practice in this highly complex field of pediatric neuropsychiatry.
PMID- 18049290
TI - Cognitive-behavioral therapy for adolescent depression: a meta-analytic
investigation of changes in effect-size estimates.
AB - OBJECTIVE: To explicate differences between early and recent meta-analytic
estimates of the effects of cognitive-behavioral therapy (CBT) for adolescent
depression. METHOD: Meta-analytic procedures were used to investigate whether
methodological characteristics moderated mean effect sizes among 11 randomized,
controlled trials of CBT focusing on adolescents meeting diagnostic criteria for
unipolar depression. RESULTS: Cumulative meta-analyses indicated that effects of
CBT have decreased from large effects in early trials, and confidence intervals
have become narrower. Effect sizes were significantly smaller among studies that
used intent-to-treat analytic strategies, compared CBT to active treatments, were
conducted in clinical settings, and featured greater methodological rigor based
on CONSORT (Consolidated Standards of Reporting Trials) criteria. The mean
posttreatment effect size of 0.53 was statistically significant. CONCLUSIONS:
Differences in estimates of the efficacy of CBT for depressed adolescents may
stem from methodological differences between early and more recent
investigations. Overall, results support the effectiveness of CBT for the
treatment of adolescent depression.
PMID- 18049291
TI - Is stacking intervention components cost-effective? An analysis of the Incredible
Years program.
AB - OBJECTIVE: Research demonstrates that interventions targeting multiple settings
within a child's life are more effective in treating or preventing conduct
disorder. One such program is the Incredible Years Series, which comprises three
treatment components, each focused on a different context and type of daily
social interaction that a child encounters. This article explores the cost
effectiveness of stacking multiple intervention components versus delivering
single intervention components. METHOD: The data involved 459 children, ages 3 to
8, who participated in clinical trials of the Incredible Years Series. Children
randomized to one of six treatment conditions received one or more of the three
following program components: a child-based program, a parent training program,
and a teacher-based program instructing teachers in classroom management and in
the delivery of a classroom-based social skills curriculum. RESULTS: Per-child
treatment costs and child behavior outcomes (observer and teacher reported) were
used to generate cost-effectiveness acceptability curves; results suggest that
stacking intervention components is likely cost-effective, at least for
willingness to pay above $3,000 per child treated. CONCLUSIONS: Economic data may
be used to compare competing intervention formats. In the case of this program,
providing multiple intervention components was cost-effective.
PMID- 18049293
TI - Executive function in adolescents with ADHD.
AB - OBJECTIVE: The aim of this study was to clarify executive function weakness in
attention-deficit/hyperactivity disorder (ADHD) during adolescence and determine
the specificity of executive function weakness to ADHD symptom domains. METHOD: A
total of 182 adolescents (105 boys), ages 13 to 17 years, completed a multistage
diagnostic assessment; 85 were diagnosed with ADHD: 43 primarily Inattentive type
(ADHD-PI) and 42 Combined type (ADHD-C). Participants completed the Stop, Trail
Making, Wisconsin Card Sort, and Stroop tasks. RESULTS: The ADHD group exhibited
impaired performance compared with the non-ADHD group on executive function
measures (multivariate p < .05); there were no ADHD subtype differences. A
composite executive function factor was significantly related to inattentive but
not hyperactive-impulsive symptoms. CONCLUSIONS: Executive function weakness in
adolescent ADHD is specifically related to symptoms of inattention
disorganization. Results are congruent with a dual-pathway model of ADHD
cognitive mechanisms.
PMID- 18049292
TI - DSM-IV diagnoses and obstructive sleep apnea in children before and 1 year after
adenotonsillectomy.
AB - OBJECTIVE: Obstructive sleep apnea, a common indication for adenotonsillectomy in
children, has been linked to behavioral morbidity. We assessed psychiatric
diagnoses in children before and after adenotonsillectomy and examined whether
baseline sleep apnea predicted improvement after surgery. METHOD: Subjects of
this prospective cohort study were children ages 5.0 to 12.9 years old who had
been scheduled for adenotonsillectomy (n = 79) or care for unrelated surgical
conditions (n = 27, among whom 13 had surgery after baseline assessment). Before
intervention and 1 year later, subjects underwent structured diagnostic
interviews and polysomnography. The main outcome measure was frequency of DSM-IV
attention and disruptive behavior disorder diagnoses at baseline and follow-up.
RESULTS: At baseline, attention and disruptive behavior disorders were diagnosed
in 36.7% of adenotonsillectomy subjects and 11.1% of controls (p < .05);
attention-deficit/hyperactivity disorder was found in 27.8% and 7.4%,
respectively (p < .05). One year later, group differences were nonsignificant;
attention and disruptive behavior disorders were diagnosed in only 23.1% (p <
.01), and 50% of subjects with baseline attention-deficit/hyperactivity disorder
no longer met diagnostic criteria. Obstructive sleep apnea on polysomnography at
baseline did not predict concurrent psychiatric morbidity or later improvement.
CONCLUSIONS: Attention and disruptive behavior disorders, diagnosed by DSM-IV
criteria, were more common before clinically indicated adenotonsillectomy than 1
year later. Surgery may be associated with reduced morbidity, even among subjects
lacking polysomnographic evidence of obstructive sleep apnea.
PMID- 18049294
TI - Methylphenidate in the treatment of children and adolescents with bipolar
disorder and attention-deficit/hyperactivity disorder.
AB - OBJECTIVE: To examine the short-term efficacy of methylphenidate in the treatment
of youths with bipolar disorder (BD) and comorbid attention deficit/hyperactivity
disorder (ADHD). METHOD: A 4-week double-blind, placebo-controlled trial in
youths ages 5 to 17 years was conducted. Subjects met DSM-IV criteria for bipolar
disorder and ADHD, were currently receiving a stable dose of at least one
thymoleptic, and while euthymic continued to have clinically significant symptoms
of ADHD. Patients received 1 week each of placebo, methylphenidate 5 mg twice
daily, methylphenidate 10 mg twice daily, and methylphenidate 15 mg twice daily
using a crossover design. Subjects were randomly assigned to receive one of six
possible dosing orders. At study's end, and before the blind being broken, a
"best dose week" for each subject was determined. The primary outcome measure was
the total score on the parent-completed ADHD Rating Scale-IV. RESULTS: Sixteen
patients, with a mean age of 10.43 (SD 3.14) years completed the trial. Lower
scores during best dose treatment compared to the week of placebo treatment were
found on the ADHD Rating Scale-IV (p < .05), suggesting a therapeutic benefit. A
large effect size (Cohen's d = 0.90) was found for methylphenidate. Treatment was
generally well tolerated. CONCLUSIONS: Euthymic youths with bipolar disorder and
ADHD may benefit from short-term concomitant treatment with methylphenidate.
PMID- 18049295
TI - Maternal stress during pregnancy predicts cognitive ability and fearfulness in
infancy.
AB - OBJECTIVE: To examine the effects of prenatal stress on cognition and behavioral
fearfulness in infants. METHOD: Mothers were recruited at amniocentesis at Queen
Charlotte's and Chelsea Hospital, London, between 2001 and 2005, and recalled
when their children were 14 to 19 months to assess cognitive development using
the Bayley Scales and fearfulness using the Lab-TAB. Measures of prenatal and
postnatal life events and current psychological state were collected at the
postnatal visit. RESULTS: Prenatal stress predicted both mental development (rs =
-0.39, n = 123 p < .0001) and observed fearfulness (rs = 0.33, n = 106, p <
.001); the magnitude of effect was essentially unchanged after covarying
postnatal stressors, maternal education and psychological state, exposures to
medications and substances during pregnancy, and birth outcomes. Prenatal stress
accounted for 17% of the variance in cognitive ability and 10% of the variance in
observed fearfulness. The correlation between mental development and fearfulness
was minimal (r = -0.06, not significant). Prenatal partner relationship strain
accounted for 73.5% and 75.0% of the prenatal stress related variance on infant
cognitive and fearfulness scores, respectively. CONCLUSIONS: These findings
strengthen previous research that suggests that fetal programming can be
important for neurodevelopmental and psychiatric outcomes. They imply that the
mechanisms by which mental development and fearfulness are affected are different
and that prenatal stress due to relationship strain may warrant particular
attention.
PMID- 18049296
TI - Selective mutism and social anxiety disorder: all in the family?
AB - OBJECTIVE: To examine the history of lifetime psychiatric disorders in the
parents of children with selective mutism (SM) compared to parents of children in
a control group. METHOD: Seventy parent dyads (n = 140) of children with lifetime
SM and 31 parent dyads (n = 62) of children without SM were interviewed with the
Structured Clinical Interview for DSM-IV (IV and II) anxiety disorders, mood
disorders, avoidant personality disorder, and schizoid personality disorder
modules via telephone. Interviewers were blind to proband status. The NEO
Personality Inventory was also administered. RESULTS: Lifetime generalized social
phobia was present in 37.0% of SM parents compared to 14.1% of control parents
(chi2 = 10.98; p < .001; odds ratio 3.6, 95% confidence interval 1.6-7.9).
Avoidant personality disorder was present in 17.5% of the SM parents compared to
4.7% of control parents (chi2 = 6.18; p < .05; odds ratio 4.3, 95% confidence
interval 1.3-14.9). The proportion of parents with other psychiatric disorders
was not different between groups. SM parents had higher neuroticism and lower
openness scores on the NEO Personality Inventory than control parents.
CONCLUSIONS: These results support earlier uncontrolled findings of a familial
relationship between generalized social phobia and SM.
PMID- 18049297
TI - Long-term associations of childhood suicide ideation.
AB - OBJECTIVE: We investigated in a prospective longitudinal population-based study
whether childhood suicide ideation is associated with negative mental health
outcome in adulthood. METHOD: A total of 1,022 Dutch children who were 11 years
or younger in 1983 were prospectively followed over 10 to 14 years into
adulthood. Parent reports of suicide ideation in childhood (11 years or younger;
n = 20) were examined in relation to mental health in adulthood assessed with a
structured psychiatric interview (mood disorder, anxiety disorder, alcohol
abuse/dependence, and externalizing disorder) and self-reported suicide ideation
and history of suicide attempt. RESULTS: Childhood suicide ideation was highly
predictive of suicide ideation in adulthood (odds ratio 10.70, 95% confidence
interval 3.26-35.09), and lifetime history of suicide attempt (odds ratio 5.80,
95% confidence interval 1.53-22.02). Childhood suicide ideation was associated
with an increased likelihood of mood disorder and anxiety disorder in adulthood
and to a lesser extent externalizing disorder, although these effects decreased
considerably after adjusting for childhood internalizing and externalizing
behavior. CONCLUSIONS: Suicide ideation in childhood may be a stable
characteristic with worrying consequences in adulthood. Children with parent
reported suicide ideation at a young age may require additional resources, age
appropriate intervention, and careful monitoring into adulthood.
PMID- 18049298
TI - Validation of the Children's Interview for Psychiatric Syndromes (ChIPS) with
psychiatrically hospitalized adolescents.
AB - OBJECTIVE: To examine the concurrent validity of the Children's Interview for
Psychiatric Syndromes (ChIPS) for adolescent inpatients. METHOD: Participants
included 97 adolescents ages 12 to 18 admitted to an adolescent inpatient unit.
Participants were administered the ChIPS and the Schedule for Affective Disorders
and Schizophrenia for School-Age Children-Present and Lifetime version (present
questions only). Participants also completed self-report measures of adjustment
(e.g., the Reynolds Adolescent Depression Scale-2). RESULTS: More diagnoses were
made with the ChIPS (mean 4.44) compared to the Schedule for Affective Disorders
and Schizophrenia for School-Age Children-Present and Lifetime version (mean
3.04; p <.001). The percentage of agreement ranged from 59% to 98%. Kappa
coefficients indicated agreement ranging from slight for oppositional defiant
disorder (kappa = .18) to substantial for substance use (kappa = .66); the
majority of kappa values ranged from .26 to.60. When ChIPS endorsements were
examined relative to construct-specific self-report measures of impairment,
adolescents diagnosed by the ChIPS with a disorder scored significantly higher
than adolescents who were not diagnosed with a disorder. CONCLUSIONS: The
findings indicate moderate agreement between ChIPS diagnoses and Schedule for
Affective Disorders and Schizophrenia for School-Age Children-Present and
Lifetime version diagnoses. ChIPS diagnoses appear consistent with self-report
measures of adjustment.
PMID- 18049299
TI - Multidisciplinary management of pediatric nonepileptic seizures.
PMID- 18049300
TI - Practice parameter for the assessment and treatment of children and adolescents
with depressive disorders.
AB - This practice parameter describes the epidemiology, clinical picture,
differential diagnosis, course, risk factors, and pharmacological and
psychotherapy treatments of children and adolescents with major depressive or
dysthymic disorders. Side effects of the antidepressants, particularly the risk
of suicidal ideation and behaviors are discussed. Recommendations regarding the
assessment and the acute, continuation, and maintenance treatment of these
disorders are based on the existent scientific evidence as well as the current
clinical practice.
PMID- 18049301
TI - Short patent lives jeopardize drug and patient safety.
AB - Exposure of patients to new medications carries potential safety hazards during
widespread clinical practice. These are often detected only after a substantial
period of use. Thus, there is considerable need for measures reducing drug
related morbidity and mortality, such as adequate, active postmarketing drug
safety surveillance systems with obligatory follow-up studies of suspected safety
problems, or even an additional "Phase IV" safety study before marketing.
However, drug development processes erode substantially into the useful patent
life of a new drug. Therefore, we suggest that the potential benefits of patent
life prolongation should be considered, under certain conditions for the sake of
patient safety.
PMID- 18049302
TI - Analysis of vasodilator responses to peroxynitrite in the hindlimb vascular bed
of the cat.
AB - The free radical peroxynitrite (ONOO-) is formed in biological systems from the
reaction of nitric oxide (NO) with superoxide (O2-) and can react with protein
and nonprotein thiol groups to produce tissue injury. However, these pathologic
actions of (ONOO-) may have been overemphasized, in that (ONOO-) has vasorelaxant
properties through activation of soluble guanylate cyclase; inhibits leukocyte
endothelial cell interactions; and reduces ischemia-reperfusion injury in the
heart, lung, and liver. It has been reported that tolerance develops to the
vasodilator actions of (ONOO-) and that (ONOO-) impairs vascular function.
However, little, if anything, is known about responses to (ONOO-) in the hindlimb
circulation of the cat. To better understand the effects of (ONOO-) on responses
to vasoactive agonists and the mechanism by which (ONOO-) induces vasodilation,
the effects of short-term exposure to (ONOO-) were investigated under constant
flow conditions in the hindlimb vascular bed of the cat. In these studies, direct
intraarterial injections of (ONOO-) produced dose-dependent decreases in
hindquarters perfusion pressure. The vasodilator responses to (ONOO-) were rapid
in onset, were short in duration, and could be repeated without exhibiting
tachyphylaxis. Vasodilator responses to (ONOO-) were not changed in the presence
of inhibitors of nitric-oxide synthase, cyclooxygenase, or K+-ATP (adenosine
triphosphate-sensitive potassium) channels. Furthermore, responses to (ONOO-)
were enhanced in duration by the type 5-cGMP (cyclic guanosine monophosphate)
phosphodiesterase inhibitor zaprinast, whereas rolipram, a type 4-cGMP
phosphodiesterase inhibitor, was without effect. Repeated administration of (ONOO
) had no significant effect on responses to vasoconstrictor or to vasodilator
agents including acetylcholine. These results show that (ONOO-) has significant
vasodilator activity in the hindlimb vascular bed of the cat and suggest that the
response is mediated by a cGMP- dependent mechanism. The results of experiments
with repeated injections of (ONOO-) indicate that (ONOO-) does not impair
vasoconstrictor and endothelium-dependent or endothelium-independent vasodilator
responses. Furthermore, tolerance did not develop with repeated short-term
exposure to (ONOO-). Moreover, the results of experiments with inhibitors suggest
that responses to (ONOO-) are not dependent on K-ATP (adenosine triphosphate
sensitive potassium) channel activation, increased NOS activity, or the formation
of products in the cyclooxygenase pathway. The results of these studies are
consistent with the hypothesis that (ONOO-) is rapidly converted in the hindlimb
circulation to a substance that has the properties of an NO donor. These studies
suggest that under physiologic conditions, the cytotoxic effects of (ONOO-) on a
short-term basis may have been overemphasized.
PMID- 18049303
TI - Telmisartan improves endothelial function in patients with essential
hypertension.
AB - BACKGROUND: : Hypertension is a cardiovascular risk factor commonly associated
with endothelial dysfunction and increased renal vascular resistance. Angiotensin
receptor blockers (ARBs) may beneficially affect these parameters via antagonism
of angiotensin type 1 (AT1) receptor-mediated vasoconstriction and vascular
superoxide production. We therefore investigated whether the new ARB telmisartan
improves endothelial function and renal vascular resistance in patients with
essential hypertension. METHODS: : Thirty-seven patients with essential
hypertension were randomized to receive telmisartan, the calcium channel blocker
nisoldipine, or their combination for 6 weeks in a prospective, parallel group
study. Brachial artery flow-mediated (endothelium-dependent) dilation (FMD) and
renal vascular resistance index (RVRI) were evaluated using high-resolution
ultrasound before, at 3 weeks (low dose), and at 6 weeks (high dose) after
initiation of treatment. RESULTS: : At baseline, FMD and RVRI did not
significantly differ between treatment groups. After 3 weeks of treatment neither
treatment significantly changed FMD or RVRI. After 6 weeks of treatment, patients
randomized to receive telmisartan alone or the combination, but not those treated
with nisoldipine alone, displayed a significantly improved FMD, whereas RVRI
values again were not significantly different as compared to those at baseline.
CONCLUSION: : In our study cohort of patients with essential hypertension,
treatment with telmisartan improved FMD but did not change RVRI. Future studies
will demonstrate whether this telmisartan-induced effect may contribute to a
blood pressure-independent reduction in cardiovascular morbidity.
PMID- 18049304
TI - Angiotensin II type 2 receptor vasoactivity in internal mammary arteries of
patients with coronary artery disease.
AB - BACKGROUND: Several animal studies suggested that the angiotensin II type 2 (AT2)
receptor subtype mediates vasodilation, yet the results in human arteries are
less well described and more inconsistent. Therefore, we evaluated the role of
the AT2 receptor stimulation on the vasotonus of human internal mammary arteries.
METHODS: Internal mammary arteries were obtained from 50 patients undergoing
coronary bypass surgery. The expression of angiotensin II type 1 (AT1) receptor
and AT2 receptor mRNA was determined by using real-time polymerase chain
reaction. In addition, angiotensin II and CGP42112A concentration-response curves
(concentration range: 10(-10) M to 10(-6) M) were constructed in absence or
presence of candesartan (10(-5) M) and/or the AT2 receptor-antagonist PD-123319
(10(-6) M) and/or the alpha receptor antagonist phentolamine. RESULTS: Both AT1
and AT2 receptor protein and mRNA were detected, and higher AT2 receptor mRNA
expression levels were associated with increased contractile response to
angiotensin II. Angiotensin II caused vasoconstriction up to 41.1 +/- 6.5% of the
maximal response to phenylephrine, and PD123319 significantly reduced this
response (28.6 +/- 9.6%, P < 0.001). Candesartan completely blocked the
angiotensin II-mediated response (1.4 +/- 3.1%, P < 0.001 versus control), and
additional blockade of the AT2 receptor with PD123319 did not change this effect
(1.8 +/- 5.1%). Phentolamine (10(-5) M) caused attenuation and rightward shift of
the angiotensin II concentration response curves. The AT2 receptor agonist
CGP42112A did not induce a significant response. CONCLUSION: Although AT2
receptor mRNA is present in human internal mammary arteries, AT2 receptor
stimulation does not mediate vasodilation in these arteries.
PMID- 18049305
TI - Tamoxifen stimulates calcium entry into human platelets.
AB - The anti-estrogenic drug tamoxifen, which is used therapeutically for treatment
and prevention of breast cancer, can lead to the development of thrombosis. We
found that tamoxifen rapidly increased intracellular free calcium [Ca2+]i in
human platelets from both male and female donors. Thus 10 microM tamoxifen
increased [Ca2+]i above the resting level by 197 +/- 19%. Tamoxifen acted
synergistically with thrombin, ADP, and vasopressin to increase [Ca2+]i. The anti
estrogen ICI 182780 did not attenuate the effects of tamoxifen to increase
[Ca2+]i; however, phospholipase C inhibitor U-73122 blocked this effect. 4
hydroxytamoxifen, a major metabolite of tamoxifen, also increased [Ca2+]i, but
other tamoxifen metabolites and synthetic derivatives did not. Three hydroxylated
derivatives of triphenylethylene (corresponding to the hydrophobic core of
tamoxifen) which are transitional structures between tamoxifen (Ca agonist) and
diethylstilbestrol (Ca antagonist) increased [Ca2+]i slightly (6% to 24%) and
partially inhibited thrombin-induced [Ca2+]i elevation (68% to 79%). Therefore
the dimethylaminoethyl moiety is responsible for tamoxifen being a Ca agonist
rather than antagonist. 4-Hydroxytamoxifen and polymer-conjugated derivatives of
4-hydroxytamoxifen increased [Ca2+]i, with similar efficacy. The ability of
tamoxifen to increase [Ca2+]i in platelets, leading to platelet activation, and
its ability to act synergistically with other platelet agonists may contribute to
development of tamoxifen-induced thrombosis.
PMID- 18049306
TI - Adverse balance of nitric oxide/peroxynitrite in the dysfunctional endothelium
can be reversed by statins.
AB - Vascular endothelial dysfunction is a complex phenomenon that might be caused by
a deficiency of nitric oxide (NO) and an overproduction of peroxynitrite (ONOO-).
This study used a nanotechnological approach to monitor the in vitro effect of
statins on the [NO]/[ONOO-] balance in normal and dysfunctional endothelial
cells. NO and (ONOO-) were measured by electrochemical nanosensors in a single
human umbilical vein endothelial cell (HUVEC) treated with atorvastatin or
simvastatin for 24 hours in the presence or absence of 50 microg/mL oxidized-LDL.
An imbalance between [NO]/[ONOO-] concentrations was used as an indicator of
endothelial dysfunction and correlated with endothelial nitric oxide synthase
(eNOS) expression. Ox-LDL induced dysfunction of the endothelium by uncoupling
eNOS. NO concentration decreased from 300 +/- 12 to 146 +/- 8 nmol/L and (ONOO-)
increased from 200 +/- 9 to 360 +/- 13 nmol/L. The [NO]/[ONOO-] balance decreased
from 1.50 +/- 0.04 (control) to 0.40 +/- 0.03 for cells co-incubated with ox-LDL.
Treatment with statins reversed eNOS uncoupling, induced by oxidized-LDL and
significantly increased the [NO]/[ONOO-] balance to 1.2 +/- 0.1. These results
demonstrate that statins can restore endothelial function by increasing eNOS
expression, decreasing eNOS uncoupling, reducing the (ONOO-) level (nitroxidative
stress), and shifting the [NO]/[ONOO-] balance towards NO.
PMID- 18049307
TI - Therapeutic concentrations of tacrolimus do not interfere with endothelial nitric
oxide synthesis in rat thoracic aortas and coronary arteries.
AB - This study aimed to investigate the potential effect of in vivo administration of
immunosuppressive agent FK-506 (tacrolimus) on the endothelial function of rat
thoracic aortas with respect to nitric oxide (NO) synthesis. In vitro effect of
the drug on NO synthesis in cultured rat coronary microvascular endothelial cells
(CMEC) was also studied.In vivo administration of tacrolimus (1 mg/kg/d,
intramuscular) to rats for 14 days resulted in decreased relaxant responses to
the higher concentrations (1 to 30 muM) of acetylcholine in the aortas; however,
responses to calcium ionophore A23187, sodium nitroprusside, L-arginine, and L
NAME did not change significantly. No changes were observed in phenylephrine
induced contractions in endothelium-denuded or -intact preparations.
Administration of the vehicle for 14 days did not affect these parameters. In
order to evaluate the in vitro effect of tacrolimus on NO release, CMEC isolated
from rat hearts were incubated with either tacrolimus (0.01, 0.1 microM) or the
vehicle. Basal, calcium ionophore-stimulated, or interleukin-1 beta-induced NO
synthesis was determined by measuring total nitrite in the media. Neither
tacrolimus nor the vehicle changed nitrite accumulation. It has been concluded
that therapeutic concentrations of tacrolimus do not alter NO production in rat
thoracic aorta or cultured CMEC; however, it impairs relaxant responses of rat
aorta induced by higher concentrations of acetylcholine, possibly through changes
in the downstream of receptor activation or through an imbalance between
endothelium-dependent relaxant and contracting factors within the endothelium in
favor of the contracting factor(s).
PMID- 18049308
TI - Pharmacokinetics and pharmacology of hirulog-like peptide.
AB - Hirulog-like peptide (HLP), a new thrombin peptide inhibitor, effectively reduces
neointimal formation or restenosis in rat and rabbit vascular injury models. The
present study investigated the pharmacokinetics and pharmacology of HLP in
Sprague-Dawley (SD) rats. The input response of HLP in rats was studied using
radioisotopic tracing method. Male SD rats were intravenously injected with a
single dose of I-HLP (3.2, 6.4, or 12.8 mg/kg) for pharmacokinetic analysis. The
concentration-time curve of I-HLP following bolus injection fitted a 3
compartment model. The half-life of HLP in rats was between 25 and 31 min
following 3.2 to 12.8 mg/kg of bolus injection. Radioactivity of I-HLP was
detected in all tested tissues and was most abundant in kidneys or stomachs.
Blood pressure, respiratory frequency, and heart rates were not significantly
altered during continuous intravenous infusion with saline or 1.6 to 3.2 mg/kg/h
of HLP for 4 h. Bleeding time and activated partial thromboplastin time were
significantly prolonged in rats infused with HLP compared to vehicle. ADP-induced
platelet aggregation was significantly reduced in the HLP-treated groups compared
with controls. The results suggest that HLP possesses first-order kinetic
characteristics. HLP is secreted mainly through kidneys. Beside its anticoagulant
activity, no other adverse effect was detected in SD rats receiving HLP.
PMID- 18049309
TI - Effective pharmacotherapy against oxidative injury: alternative utility of an ATP
sensitive potassium channel opener.
AB - Cardiomyocyte viability following ischemia-reperfusion critically depends on
mitochondrial function. In this regard, potassium channel openers (KCOs)
targeting mitochondria have emerged as powerful cardioprotective agents when
applied at the onset of ischemia. However, it is controversial whether openers
are still protective when applied at the onset of reoxygenation. Here, H9c2
cardiomyocytes and mitochondria isolated from the rat heart ventricle were
subjected to ischemia-reoxygenation or oxidative stress in the absence or
presence of 100 microM diazoxide, a potassium channel opener. Ischemia
reoxygenation or oxidative stress significantly reduced cell viability, induced
structural damage in association with increased mitochondrial protein release,
and impaired oxidative phosphorylation. However, treatment with diazoxide before
anoxia or at the onset of reoxygenation, as well as during oxidative stress,
prevented cell death and mitochondrial dysfunction and preserved cellular and
mitochondrial structural integrity. These protective effects were blocked by 5
hydroxydecanoate. Thus, treatment with potassium channel openers even at the time
of reoxygenation may provide a significant protection of the myocardium. The
protective mechanism is at least in part endogenous to the mitochondria because
protection was also observed in isolated mitochondria.
PMID- 18049310
TI - Preconditioning with levosimendan prevents contractile dysfunction due to H2O2
induced oxidative stress in human myocardium.
AB - We studied the inotropic and possible antioxidant effects of levosimendan in
human atrial strips, before and after induction of oxidative stress induced by
H2O2. Levosimendan (10(-9) to 10(-6) M) increased contractions induced by
electrical stimulation (ES) in human atrial strips. The maximal positive
inotropic effect of levosimendan was 145.6 +/- 4.6% of predrug values. H2O2 (10(
6) to 10(-3) M) significantly reduced contractions induced by ES. The maximum
inhibition by H2O2 on the ES induced contraction was 47.2 +/- 3.5%. Levosimendan
significantly increased the isometric contractions induced by ES when compared
with the values obtained in the presence of 10 M H2O2 by 89.0 +/- 4.7%, 98.9 +/-
3.4%, and 111.2 +/- 3.7% at 10(-8), 10(-7), and 10(-6) M concentrations,
respectively. In concentrations of 10(-7) and 10(-6) M levosimendan, the maximum
responses to ES increased when compared with the values obtained in the presence
of 10(-3) M H2O2 by 87.1 +/- 3.6% and 95.1 +/- 5.3%, respectively. The
cumulatively applied H2O2 (10(-6)-10(-3) M) did not change the positive inotropic
response to levosimendan. In conclusion, levosimendan reverses the myocardial
dysfunction induced by oxidative stress in human right atrial strips.
Levosimendan prevents myocardial dysfunction if administered before oxidative
stress.
PMID- 18049311
TI - Therapeutic effects of autologous bone marrow cells and metabolic intervention in
the ischemic hindlimb of spontaneously hypertensive rats involve reduced cell
senescence and CXCR4/Akt/eNOS pathways.
AB - Peripheral arterial disease (PAD) is a major health problem, especially when
associated with severe hypertension. Administration of autologous bone marrow
cells (BMCs) is emerging as a novel intervention to induce neoangiogenesis in
ischemic limb models and in patients with PAD. This study evaluates the
neovascularization capacity of BMCs alone or in combination with metabolic
cotreatment (0.8% vitamin E, 0.05% vitamin C, and 5% of L-arginine) in a rat
model of ischemic hindlimbs of spontaneously hypertensive rats (SHR) and
normotensive Wistar-Kyoto rats (WKY). Molecular mechanisms were investigated in
bone marrow-derived endothelial progenitor cells (BM-EPC) derived from rats. BMC
therapy increased blood flow and capillary densities and Ki67 proliferative
marker, and it decreased interstitial fibrosis. These effects were amplified by
metabolic cotreatment, an intervention that induces vascular protection at least
partly through the nitric oxide (NO)/endothelial nitric oxide synthase (eNOS)
pathway, reduction of systemic oxidative stress, and macrophage activation. In
addition, BMC therapy alone and, more consistently, in combination with metabolic
treatment, ameliorated BM-EPC functional activity via decreased cellular
senescence and improved homing capacity by increasing CXCR4-expression levels.
These data suggest potential therapeutic effects of autologous BMCs and metabolic
treatment in hypertensive PAD patients.
PMID- 18049312
TI - Inhibitory effect of GSPE on RAGE expression induced by advanced glycation end
products in endothelial cells.
AB - Advanced glycation end products' (AGEs) engagement of a cell-surface receptor for
AGEs (RAGE) has been causally implicated in the pathogenesis of diabetic vascular
complications via induction of reactive oxygen species (ROS) and subsequent
alteration of many gene expressions, including RAGE itself. Grapeseed
proanthocyanidin extract (GSPE), which is a naturally occurring polyphenolic
compound, has been reported to possess potent radical-scavenging and antioxidant
properties and to display significant cardiovascular protective action. In this
study, we investigated whether GSPE could inhibit AGE-induced RAGE expression
through interference with ROS generation in human umbilical-vein endothelial
cells (HUVECs). AGE-modified bovine serum albumin (AGE-BSA) was prepared by
incubating BSA with high-concentration glucose. Stimulation of cultured HUVECs
with 200 microg/mL of AGE-BSA significantly enhanced intracellular ROS formation
and subsequently upregulated the protein and mRNA expression of RAGE; unmodified
BSA and GSPE alone had no effect. However, GSPE preincubation markedly
downregulated AGE-induced surface expression of RAGE in a time- and concentration
dependent manner. In AGE-stimulated HUVECs, GSPE also dose-dependently decreased
RAGE mRNA levels and inhibited AGE-induced ROS generation at defined time
periods. These results demonstrate that GSPE can inhibit enhanced RAGE expression
in AGE-exposed endothelial cells by suppressing ROS generation, thereby limiting
the AGE-RAGE interaction. Hence, GSPE may have therapeutic potential in the
prevention and treatment of vascular complications in diabetic patients.
PMID- 18049313
TI - Role of tyrosine phosphorylation in U46619-induced vasoconstriction of pulmonary
vasculature and its modulation by genistein, daidzein, and equol.
AB - We compared the effects of genistein with its structural derivatives daidzein and
equol on excitation of pulmonary artery and vein. The concentration of genistein
necessary to inhibit contractions evoked by U46619 (1nM-100 microM) ranged from
10 to 100 microM. Genistein (55 microM) reduced KCl-responses by approximately
50% and essentially abolished those evoked by U46619. Daidzein was much less
effective against either agonist, and equol was ineffective against U46619.
A23187-evoked contractions were markedly reduced by all 3 isoflavones, but
caffeine-evoked contractions were not. Using the Western blot technique, we found
many proteins were tyrosine phosphorylated within 30 seconds after stimulation
with U46619, reaching a peak at 120 seconds and then falling at 300 seconds. One
band at 110 kD was increased nearly 300% above baseline, while 3 others ranging
from 60 to 80 kD were more than doubled in intensity. Genistein had little effect
on baseline levels of phosphorylation but largely prevented the U46619-induced
change; daidzein was much less effective in this respect, and equol did not
significantly affect this phosphorylation. We conclude that these isoflavones
provide powerful tools in the study of excitation-contraction coupling of
pulmonary vasculature and that inhibition of tyrosine kinase activity may be
useful clinically against pulmonary hypertension.
PMID- 18049315
TI - Comparison of the efficacies of five different statins on inhibition of human
saphenous vein smooth muscle cell proliferation and invasion.
AB - Statins (HMG-CoA reductase inhibitors) exhibit beneficial effects on the
vasculature independently of their cholesterol-lowering properties. These
pleiotropic effects underlie the ability of statins to reduce intimal hyperplasia
in saphenous vein (SV) bypass grafts by attenuating smooth muscle cell (SMC)
invasion and proliferation. Although all statins can effectively lower
cholesterol, the pleiotropic effects of individual statins may well differ. We
therefore compared the concentration-dependent effects of 4 lipophilic statins
(simvastatin, atorvastatin, fluvastatin, and lovastatin) and 1 hydrophilic statin
(pravastatin) on the proliferation and invasion of SMC cultured from SV of 9
different patients undergoing coronary artery bypass grafting (CABG). The
lipophilic statins inhibited SV-SMC proliferation over a 4-day period with an
order of potency of fluvastatin > atorvastatin > simvastatin > lovastatin (IC50
range = 0.07 to 1.77 microM). Similarly, these statins also inhibited SV-SMC
invasion through an artificial basement membrane barrier (fluvastatin >
atorvastatin > simvastatin >> lovastatin; IC50 range = 0.92 to 26.9 microM). In
contrast, the hydrophilic pravastatin had no significant effect on SV-SMC
proliferation at concentrations up to 10 microM, nor did it attenuate SV-SMC
invasion (up to 30 microM). Our data provide strong evidence that individual
statins possess differential pleiotropic effects on SV-SMC function. This may be
of clinical relevance in the selection of individual statins for the treatment of
CABG patients.
PMID- 18049314
TI - Redox state of dipyridamole is a critical determinant for its beneficial
antioxidant and antiinflammatory effects.
AB - Dipyridamole, a well-known inhibitor of cGMP-dependent phosphodiesterase and the
adenosine transporter, reportedly possesses antioxidant properties and attenuates
reactive oxygen species (ROS) formation in platelet and endothelial cells. The
relevance of the redox status of this compound or the mechanism for its redox
dependent effects is unknown. Oxidation of dipyridamole by horseradish peroxidase
and hydrogen peroxide diminished its fluorescence and attenuated dipyridamole
mediated DPPH and ferric ferrozine reduction. Oxidation also led to elimination
of dipyridamole's redox-sensitive properties, including inhibiting Cu (II)
induced LDL oxidation and ROS generation. Attenuation of activation- induced
platelet release of soluble CD40 ligand (sCD40L) was diminished after
dipyridamole oxidation. Dipyridamole but not oxidized dipyridamole effectively
inhibited platelet adhesion to collagen-coated slides under flow conditions. By
Western blot analysis, dipyridamole enhanced stimulation-induced platelet VASP
phosphorylation, whereas oxidized dipyridamole caused attenuation. Using
luciferase assays and nuclear translocation studies with confocal microscopy and
Western blot analysis, native dipyridamole diminished TNF alpha or thrombin
induced NF kappa B activation and I kappa B alpha phosphorylation. Oxidized
dipyridamole had no effect on TNFalpha-mediated NF kappa B activation. These
results indicate: (1) the redox state of dipyridamole regulates its antioxidant
properties; (2) dipyridamole's platelet inhibitory effects are manifested by
enhanced VASP phosphorylation and platelet adhesion on collagen; and (3)
dipyridamole's antioxidant effects in vascular cells are at least partially
mediated via suppression of inflammatory NF kappa B signaling.
PMID- 18049316
TI - Modulating role of alcohol and acetaldehyde on neutrophil and monocyte functions
in vitro.
AB - Moderate alcohol intake lowers coronary heart disease risk. Because
polymorphonuclear neutrophils (PMN) and monocytes (Mo) play a role in
atherosclerotic plaque destabilization we investigated in vitro effects of
clinically relevant concentrations of ethanol (0.05, 0.125, 0.25, and 0.5%) and
its metabolite acetaldehyde (0.0625, 0.125, and 0.5 mM) on human PMN and Mo
phagocytic functions. PMN and Mo from healthy volunteers were separated and
purified according standard methods and the following parameters were determined:
phagocytic activity (percent of phagocytes with at least one ingested particle),
ingestion index (number of ingested particles per 100 phagocytic cells), and
intracellular killing (percent of dead ingested particles per 100 phagocytes)
using acridine orange method and living yeast cells as targets. Reactive oxygen
species (ROS) formation of ethanol-treated PMN and Mo was evaluated using 2,7
dichlorofluorescin method and results were expressed as percent of fluorescence
positive cells. Ethanol and acetaldehyde significantly reduced PMN phagocytic
functions, with the exception of phagocytic activity, starting at 0.125% for
ethanol and 0.0625 mM for acetaldehyde. Mo ingestion and microbicidity were
decreased at ethanol concentrations of 0.5% without effect on Mo phagocytic
activity. Acetaldehyde impaired Mo ingestion ability starting at 0.0625 mM and
phagocytic activity at 0.5 mM while was without effect on Mo microbicidity. ROS
production was significantly increased at ethanol concentrations 0.25 and 0.5% in
PMN and at 0.5% in Mo. These results might partly explain the beneficial role of
moderate use of alcohol on cardiovascular disease.
PMID- 18049317
TI - Racial differences in parental reports of attention-deficit/hyperactivity
disorder behaviors.
AB - OBJECTIVE: Accurate assessment of racial disparities in attention
deficit/hyperactivity disorder (ADHD) depends on measurement that is equally
valid for all groups. This study examines differences among African American and
white children in ADHD measurement with a widely used parental report instrument,
the Diagnostic Interview Schedule for Children (DISC). METHODS: Data come from
1070 children in the Fast Track Project, a longitudinal study of predominantly
low-income children at risk of emotional and/or behavioral problems. Item
Response Theory (IRT) methodology is used to determine whether ADHD screening
items provide comparable information for African American and white children or
whether differential item function (DIF) exists. IRT scores and race/ethnicity
are entered in logistic regression models predicting use of ADHD medication.
RESULTS: Seven of 39 DISC items performed differently among African Americans and
whites. In most cases, parents of white children were more likely to endorse
these items than were parents of African American children at comparable
underlying levels of children's hyperactivity. When items exhibiting differential
functioning were deleted, race disparities predicting underlying need as
indicated by ADHD medication use decreased and were no longer statistically
significant. CONCLUSIONS: Perceptions of ADHD-related symptoms among parents of
African American children appear to differ in important ways from those of
parents of white children, and screening instruments relying on parent report may
yield different results for African American and white children with similar
underlying treatment needs. Gathering information from additional sources
including teachers and school counselors can provide a more complete picture of
the behavioral functioning and therapeutic needs of children in all race/ethnic
groups.
PMID- 18049318
TI - Cerebral blood flow velocity asymmetry, neurobehavioral maturation, and the
cognitive development of premature infants across the first two years.
AB - OBJECTIVE: Premature infants are at risk of adverse developmental outcomes even
with no demonstrable neurological damage. Neonatal physiological measures that
can serve as indicators of later development are therefore important for early
evaluation and intervention. METHODS: We followed the development of 51 low birth
weight, premature infants across the first 2 years. Mean systolic cerebral blood
flow velocity (CBFV) in the left and right middle cerebral arteries was measured
at 37 weeks gestational age, neurobehavioral maturation was assessed with the
Neonatal Behavior Assessment Scales (NBAS), and cognitive development was
evaluated at 6, 12, and 24 months. RESULTS: Different patterns emerged for CBFV
in the right versus left middle cerebral artery. Greater absolute values of right
systolic CBFV were related to poorer performance on the habituation and
orientation scales of the NBAS, whereas greater left systolic CBFV absolute
values were related to better Mental Development Index (MDI) scores at 24 months.
Right systolic CBFV asymmetry was related to poor neonatal orientation and low
MDI score at 24 months. On the other hand, infants with left systolic CBFV
asymmetry showed a more rapid increase in cognitive skills from 12 to 24 months
and better cognitive performance at 2 years. CONCLUSION: Measurement of systolic
CBFV in the neonatal period may assist in identifying infants at risk of poor
developmental outcome.
PMID- 18049319
TI - Psychiatric features and parenting stress profiles of subtypes of attention
deficit/hyperactivity disorder: results from a clinically referred Taiwanese
sample.
AB - OBJECTIVE: This study attempts to evaluate whether there are attention deficit/
hyperactivity disorder (ADHD) subtype differences regarding psychiatric features,
comorbidity pattern and parenting stress profiles in an Asian population.
METHODS: A total of 182 ADHD children and their primary caretakers recruited from
a university-affiliated hospital were surveyed. Subjects were two groups of
preadolescent (6 to 12 years old) ADHD children: children with ADHD-inattentive
subtype (n=58) and ADHD-combined subtype (n=124). Various information was
collected and compared, including the child's characteristics (current age,
gender, number of family members, age at ADHD diagnosis, duration of
pharmaceutical intervention, psychiatric comorbidities, and intelligence
quotient); the primary caretaker's characteristics, and profiles obtained with
the Parenting Stress Index (PSI). RESULTS: Group comparison showed that these two
subtypes were statistically distinguishable from each other in total scores on
the PSI, four subscale scores on the PSI, the child's age at diagnosis, and
comorbidity profiles (all p<.05). Parents of children of the combined subtype
experienced higher parenting stress and felt their children displayed qualities
that made it difficult for them to fulfill their parenting roles. School failure
(p=.001) and anxiety disorders (p=.022) were significantly more prevalent in the
inattentive subtype children, while oppositional defiant disorder was
significantly more present in the combined subtype children (p=.000).
CONCLUSIONS: Our findings supported the cross-cultural equivalence of the
nosological distinction in ADHD subtypes. The need for specific clinical
intervention according to the subtype difference was stressed.
PMID- 18049320
TI - Neurocognitive correlates of problem behavior in environmentally at-risk
adolescents.
AB - OBJECTIVE: This study prospectively examines the correlation between
neurocognitive (NC) functioning and problem behavior in early adolescence.
METHODS: As part of a longitudinal study, African American urban youths of lower
socioeconomic status, mean age 12.1 years (SD=1.2, n=111), were administered a
battery of 16 NC tasks assessing eight NC systems (two tasks per system)
including four systems primarily associated with frontal cortex and four
primarily associated with nonfrontal cortex. The former systems included (1)
executive cognitive functioning (ECF), (2) cognitive control, (3) working memory,
and (4) reward processing. The latter systems included (5) receptive language,
(6) spatial cognition, (7) visual cognition, and (8) memory. The Teacher's Report
Form of the Achenbach System of Empirically Based Assessment was performed
approximately at the same age that the NC assessments were performed. Bivariate
correlations were calculated between the eight NC system composite scores and the
externalizing scores. RESULTS: Significant negative relationships were found
between ECF and receptive language ability and externalizing behavior. Further
analyses, using linear regression, showed that receptive language was more
predictive of externalizing behavior than ECF. CONCLUSION: Based on these results
we conclude that (1) NC functioning, specifically in ECF and in receptive
language systems, was associated with adolescent problem behavior and (2)
receptive language was more strongly associated with problem behavior than ECF.
PMID- 18049321
TI - Examining the effects of maternal chronic illness on child well-being in single
parent families.
AB - OBJECTIVE: Chronic illness is highly prevalent among adults with children. It is
therefore important to understand how parental illness may or may not have an
impact on affected families. Findings thus far have suggested that differences
between children with and without a sick parent are minimal, but there are
individual and familial moderators of outcome. It is unclear whether these
results are generalizable to single-parent families. The purpose of the present
study was to examine whether maternal chronic illness affects multiple aspects of
child functioning in a large, ethnically diverse sample of single-parent families
compared to those not affected by illness. Potential moderators of differences,
including maternal distress, parenting variables (aggravation and warmth),
functional impairment related to illness, and demographic characteristics were
also tested. METHODS: Using data from the Child Development Study (CDS), 812
mother-child pairs were studied. Mothers completed measures of child
internalizing, externalizing, and positive behaviors, while children completed a
measure of depression. RESULTS: The results indicated that overall there were no
differences between children with or without a sick mother on the measures of
well-being. Higher symptom levels among both cohorts were associated with
maternal distress and aggravation in parenting only. However, children with a
sick mother were more likely to have a consultation due to emotional
difficulties. CONCLUSION: Several areas for future work on how illness affects
single-parent families were identified such as prospectively studying illnesses
with a variable course and determining which protective factors promote
resiliency for children in this difficult situation.
PMID- 18049323
TI - The effect of educational interventions with siblings of hospitalized children.
AB - OBJECTIVE: Research has demonstrated that siblings of chronically ill children
can experience significant emotional and behavior changes; however, few studies
have looked at the specific impact of pediatric hospitalization on the
nonhospitalized child. Studies also indicate that children who receive age
appropriate information are better equipped to handle the stress and anxiety
often associated with hospitalization.This study explored whether siblings of
hospitalized children who received educational interventions had lower anxiety
levels compared to siblings who did not receive interventions. METHODS: A pretest
posttest experimental design was used with 50 subjects, ages 6-17 years,
recruited from a children's hospital within a university medical center. Subjects
were matched according to age, sex, and race, with 25 siblings each in the
experimental and control groups. Siblings assigned to the experimental group
received interventions from a standardized educational intervention protocol
developed by the researcher. Interventions focused on teaching the sibling about
hospitalization, illness or injury, and treatment for the patient, based on
cognitive stages of development. All interventions were conducted by child life
specialists on staff at the hospital with extensive training and experience in
preparation and procedural teaching. RESULTS: Results shows that siblings who
received educational interventions had significantly lower anxiety levels after
interventions, compared to siblings who did not receive interventions.
CONCLUSION: These findings have significant impact on children's health care and
supporting family needs when a child is hospitalized.
PMID- 18049324
TI - Interactive music as a treatment for pain and stress in children during
venipuncture: a randomized prospective study.
AB - OBJECTIVE: The experience of venipuncture is seen by children as one of the most
fearful experiences during hospitalization. Children experience anxiety both
before and during the procedure. Therefore, any intervention aiming to prevent or
reduce distress should focus on the entire experience of the procedure, including
waiting, actual preparation, and conclusion. This study was designed to determine
whether the presence of musicians, who had attended specific training to work in
medical settings, could reduce distress and pain in children undergoing blood
tests. METHODS: Our sample population was composed of 108 unpremedicated children
(4-13 years of age) undergoing blood tests. They were randomly assigned to a
music group (n=54), in which the child underwent the procedure while interacting
with the musicians in the presence of a parent or to a control group (n=54), in
which only the parent provided support to the child during the procedure. The
distress experienced by the child before, during and after the blood test was
assessed with the Amended Form of the Observation Scale of Behavioral Distress,
and pain experience with FACES scale (Wong Baker Scale) only after the
venipuncture. RESULTS: Our results show that distress and pain intensity was
significantly lower (p<.001; p<.05) in the music group compared with the control
group before, during, and after blood sampling. CONCLUSIONS: This controlled
study demonstrates that songs and music, performed by "professional" musicians,
have a beneficial effect in reducing distress before, during, and after blood
tests. This study shows, moreover, that the presence of musicians has a minor,
but yet significant, effect on pain due to needle insertion.
PMID- 18049325
TI - The struggle to assure equal treatment for all children with ADHD.
AB - This commentary considers the impact of applying IRT to a parent-report measure
of ADHD for the purposes of reducing racial disparities in the instrument's
performance. It dwells on the difficulties of assessing complex, dynamic
conditions such as ADHD and the particular problems presented by cultural
variations in how the condition presents itself and informants view the behaviors
tied to it.
PMID- 18049326
TI - Disruptive and oppositional behavior in an 11-year old boy.
PMID- 18049327
TI - Biological systems and the development of self-regulation: integrating behavior,
genetics, and psychophysiology.
AB - Self-regulation is the ability to control inner states or responses with respect
to thoughts, emotions, attention, and performance. As such, it is a critical
aspect of development and fundamental to personality and behavioral adjustment.
In this review, we focus on attentional, cognitive, and emotional control as we
discuss the genetic mechanisms and brain mechanisms that contribute to individual
differences in self-regulation. We conclude with a discussion of the implications
for deviations in the development of this complex construct and suggestions for
future research.
PMID- 18049330
TI - In vivo vaccination with tumor cell lysate plus CpG oligodeoxynucleotides
eradicates murine glioblastoma.
AB - Dendritic cell (DC) vaccines have shown antitumor activity in experimental glioma
models and in human glioma patients. The typical approach has been to generate
the vaccine ex vivo, by pulsing DCs with tumor lysate or peptides, then
administering the DCs back into the patient. This process requires significant
expertise and expenses in DC generation. Immature DCs which present antigens to T
cells in the absence of appropriate costimulatory signals can lead to induction
of immune tolerance. Recent studies have shown that coadministration of toll-like
receptor 9 agonists, CpG oligodeoxynucleotides, can promote DC vaccines to break
immune tolerance to tumor antigens. We investigated the therapeutic efficacy of
in vivo DC activation, by directly administering glioma cell lysate with CpG
oligodeoxynucleotides (CpG/lysate), in glioma-bearing mice. Subcutaneous
vaccination with CpG/lysate induced a significant increase (P<0.05) in the number
of total T cells and activated DCs in lymph nodes draining the vaccination site
as compared to mice treated with CpG or tumor lysate alone. Mice vaccinated with
CpG/lysate exhibited over 2 times greater median survival than mice in the
control groups (P<0.05). Up to 55% of mice vaccinated with CpG/lysate were
rendered tumor-free as assessed by survival and bioluminescent imaging.
Splenocytes taken from mice vaccinated with CpG/lysate elaborated significantly
more IFN-gamma production and displayed greater tumor cell lysis activity
compared with the control groups (P<0.05). These results suggest direct
vaccination with CpG/lysate provides an alternative and effective approach to
induce host antitumor immunity and warrants clinical investigation in the
immunotherapy of cancer.
PMID- 18049331
TI - Strictly target cell-dependent activation of T cells by bispecific single-chain
antibody constructs of the BiTE class.
AB - Bispecific antibodies have been extensively studied in vitro and in vivo for
their use in redirected tumor cell lysis. A particular challenge of bispecific
antibody constructs that recognize the invariant CD3 signaling complex is a
controlled polyclonal activation of T cells that, ideally, is exquisitely
dependent on the presence of target cells. Otherwise, overt production of
inflammatory cytokines and secondary reactions may occur as side effects, as can
be observed with constitutively T-cell activating monoclonal antibodies to CD3 or
CD28, and with bispecific antibodies bearing Fc gamma portions. Here we analyzed
2 distinct bispecific single-chain antibody constructs of the BiTE class, called
MT110 and MT103 (or MEDI-538), for conditional T-cell activation. In the presence
of target-expressing cell lines, low picomolar concentrations of the BiTE
molecules were sufficient to stimulate a high percentage of peripheral human T
cells to express cytokines and surface activation markers, enter into cell cycle,
and induce redirected lysis of target cells. However, in the absence of target
cells, the 2 BiTE molecules even at high concentrations did not detectably
activate T cells. Our data show that T cell activation by monomeric forms of
MT110 and MT103 is highly conditional in that it is strictly dependent on the
presence of cells expressing the proper target antigen. BiTE molecules therefore
qualify for a highly controlled polyclonal T-cell therapy of cancer.
PMID- 18049332
TI - Generation of a tumor-specific systemic response after intratumoral injection of
IL-12 and IL-18-loaded polylactic acid microspheres.
AB - We evaluated the impact and mechanism of interleukin (IL)-18 alone or in
combination with IL-12 or tumor necrosis factor-alpha when delivered
intratumorally via polylactic acid microspheres (PLAMs). C57BL6 mice with
established B16 melanomas underwent a single intratumoral injection of IL-12,
tumor necrosis factor-alpha, or IL-18 PLAM, alone or in combination. Tumor
draining lymph nodes and splenocytes were assessed for specific antitumor
response by FACS analysis and IFN-gamma release assay and enzyme-linked
immunosorbent spot. Mice with established pulmonary metastases were killed for
enumeration of pulmonary metastatic nodules after treatment of the primary tumor.
Intratumoral treatment with IL-12 in combination with IL-18 led to significant
tumor suppression compared with either cytokine alone. FACS analysis revealed the
combination of IL-12 and IL-18 resulted in an increase in the percentage of CD3+
cells within the tumor draining lymph node, attributable to increases in both
CD4+ and CD8+ T cells. Both IFN-gamma release assay and enzyme-linked
immunosorbent spot demonstrated a significant and substantial increase in tumor
specific response with the combination. Treatment of the primary tumor with IL-12
and IL-18 PLAM led to a significant decrease in pulmonary metastases and
improvement in survival compared with either cytokine alone. The systemic effects
were abrogated after depletion of CD8+ or natural killer cells, but not CD4+
cells. IL-12 and IL-18, when released intratumorally in a sustained fashion as
can be accomplished through the use of PLAM, demonstrate both local effects on
tumor growth and the generation of a tumor-specific response capable of
eradicating distant disease.
PMID- 18049333
TI - Generation of EBV-specific T cells for adoptive immunotherapy: a novel protocol
using formalin-fixed stimulator cells to increase biosafety.
AB - Adoptive immunotherapy with in vitro generated Epstein-Barr virus (EBV)-specific
T cells is a safe and effective treatment in patients with EBV-related
complications after transplantation. More frequent use of EBV-specific T cells is
held back by their cost and time-intensive generation under good manufacturing
practice (GMP) conditions. Currently, EBV-specific T cells are produced by
repetitive stimulation of peripheral blood mononuclear cells with EBV-infected
lymphoblastoid cell lines (LCLs), a protocol that requires several open GMP
handling steps. The aim of the present study was to improve T-cell generation
under GMP conditions. We introduce a novel generation protocol that replaces
repetitive with short-term LCL stimulation of PMBCs. Vital and formalin-fixed
LCLs were used to further increase biosafety. Stimulated T cells were selected by
the clinically approved cytokine secretion assay followed by nonspecific
expansion. Sufficient numbers of EBV-specific T-cell lines were generated with
all protocols. Specific recognition and killing of EBV-infected targets was found
and was independent of the generation protocol applied. The novel protocol based
on formalin-fixed cells, selection, and expansion reduced open GMP-handling steps
and increased biosafety. Furthermore, fixation will allow the use of transgenic
LCLs (eg, with cytomegalovirus or tumor antigens) and thereby facilitate the
generation of antigen-specific T cells directed against pathogens other than EBV.
PMID- 18049335
TI - Monocyte-derived IL-10 expression predicts prognosis of stage IV melanoma
patients.
AB - There are no standard methods to predict response to treatment or outcome of
stage IV melanoma. Our previous assessment of peripheral blood mononuclear cells
(PBMC) from immunized patients demonstrated that interleukin (IL)-10 expression
might be associated with prognosis. However, PBMC are a mixture of CD4+ cells,
CD8+ cells, and monocytes. This study identified the subset of PBMC responsible
for IL-10 expression and evaluated the prognostic value of IL-10 expression in
immunized stage IV patients. Eighty-seven patients with stage IV melanoma were
randomly selected from our database. All patients had received an allogeneic
melanoma whole-cell vaccine (Canvaxin) after complete resection of clinical
disease. Blood samples had been collected serially during Canvaxin administration
and cryopreserved. Intracellular IL-10 expression was assessed by double staining
fluorescence-activated cell sorter. CD14+ monocytes are the predominant PBMC
producing IL-10. Sixteen weeks after treatment (week 16), IL-10 levels were
significantly (P=0.02) higher in poor-survival patients than those with favorable
outcomes. Patients were separated into 2 groups on the basis of the CD14+
monocyte IL-10 response: either increasing or decreasing IL-10 expression from
preimmunization (week 0) to week 16 blood draws. Patients with increasing IL-10
levels had significantly shorter survival than those whose IL-10 levels decreased
at week 16 (P<0.0001). Multivariate analysis demonstrated that trends in IL-10
levels inversely correlated with survival (P<0.0001). We conclude that CD14+
monocytes are the dominant cellular source of IL-10 among PBMC and that changes
in IL-10 expression may serve as an immunologic-based surrogate for predicting
outcome for stage IV patients after surgical resection.
PMID- 18049334
TI - Ipilimumab (anti-CTLA4 antibody) causes regression of metastatic renal cell
cancer associated with enteritis and hypophysitis.
AB - The inhibitory receptor CTLA4 has a key role in peripheral tolerance of T cells
for both normal and tumor-associated antigens. Murine experiments suggested that
blockade of CTLA4 might have antitumor activity and a clinical experience with
the blocking antibody ipilimumab in patients with metastatic melanoma did show
durable tumor regressions in some patients. Therefore, a phase II study of
ipilimumab was conducted in patients with metastatic renal cell cancer with a
primary end point of response by Response Evaluation Criteria in Solid Tumors
(RECIST) criteria. Two sequential cohorts received either 3 mg/kg followed by 1
mg/kg or all doses at 3 mg/kg every 3 weeks (with no intention of comparing
cohort response rates). Major toxicities were enteritis and endocrine
deficiencies of presumed autoimmune origin. One of 21 patients receiving the
lower dose had a partial response. Five of 40 patients at the higher dose had
partial responses (95% confidence interval for cohort response rate 4% to 27%)
and responses were seen in patients who had previously not responded to IL-2.
Thirty-three percent of patients experienced a grade III or IV immune-mediated
toxicity. There was a highly significant association between autoimmune events
(AEs) and tumor regression (response rate=30% with AE, 0% without AE). CTLA4
blockade with ipilimumab induces cancer regression in some patients with
metastatic clear cell renal cancer, even if they have not responded to other
immunotherapies. These regressions are highly associated with other immune
mediated events of presumed autoimmune origin by mechanisms as yet undefined.
PMID- 18049336
TI - Phase I/II trial of outpatient PEG-interferon with interleukin-2 in advanced
renal cell carcinoma: a cytokine working group study.
AB - A phase I/II trial was undertaken to determine the maximum tolerated dose of
polyethylene glycol interferon-alpha-2b (PEG-IFN) with interleukin-2 (IL-2), and
to evaluate the efficacy and toxicity in patients with metastatic renal cell
carcinoma. Patients initially received subcutaneous PEG-IFN, 3.0 mcg/kg/wk,
combined with IL-2, but owing to unexpected toxicity a revised phase I schedule
ensued. Patients received 1.0, 1.5, 2.0, or 3.0 mcg/kg/wk of PEG-IFN on days 1,
8, 15, and 22; subcutaneous IL-2 was given at a dose of 5 x 10 IU/m2 every 8
hours x 3 on day 1, followed daily at 5 x 10 IU/m2 days 2, 3, 4, and 5 of week 1,
then 5 times per week for 3 weeks, followed by 2 weeks off. The maximum tolerated
dose of PEG-IFN was 2.0 mcg/kg/wk. Fifty-four patients were enrolled. Frequent
grade III/IV cardiac and neurologic toxicities led to an expanded phase I trial.
Eleven serious events in 33 patients in the phase II portion led to early
termination. No patient died from treatment. The overall response rate in 53
evaluable patients was 30.2% (95% confidence interval 20.5-39.9), with 2 complete
responses and 14 partial responses and at least 1 response at each dose level.
The median duration of response was 11 months (range, 2 to 65+ mo); median
survival was 20 months (range, 2 to 71+ mo); median time to progression was 4
months. Despite clinical efficacy, the study was closed prematurely owing to
excess toxicity. Although all serious adverse events resolved, this degree of
toxicity is unacceptable for an outpatient treatment regimen.
PMID- 18049337
TI - Immunization with a recombinant MAGE-A3 protein after high-dose therapy for
myeloma.
AB - MAGE-A3 is frequently expressed in high-risk multiple myeloma (MM). We immunized
a healthy donor with MAGE-A3 protein formulated in AS02B to transfer immunity to
her identical twin, diagnosed with MAGE-A3-positive MM. After a melphalan 200
mg/m syngeneic peripheral blood stem cell transplant, primed donor cells
collected after immunizations were transferred and followed by repeated patient
immunizations. MAGE-A3 immunizations were well tolerated. Strong MAGE-A3-specific
antibody, cytotoxic T-lymphocyte (CTL), and T-helper responses were induced in
both twins. A humoral response was transferred to the patient with the donor
peripheral blood stem cells and increased by booster immunization. The CTL
response targeted a previously undescribed HLA-A*6801 binding MAGE-A3115-123
peptide. MAGE-A3115-123 CTLs were detected in the patient more than 1 year after
the last immunization. Multiple T-helper cellular responses were detected with
the dominant response to an HLA-DR11 restricted MAGE-A3 epitope. The patient
remains in remission 2.5 years after the second transplant. This report shows for
the first time that immunization of a healthy donor with a defined cancer-testis
protein induces immune responses that can be transferred and expanded
posttransplant in the recipient. MAGE-A3 immunization may be a useful adjunct to
high dose melphalan-based peripheral blood stem cell transplant, providing a new
therapeutic option for high-risk MM.
PMID- 18049339
TI - Language access and Latino health care disparities.
PMID- 18049340
TI - National trends in ethnic disparities in mental health care.
AB - OBJECTIVE: To compare trends in office-based treatment of mental disorders
between Hispanics and non-Hispanics. DESIGN, SETTING, AND PARTICIPANTS: Analysis
of a nationally representative sample of visits to office-based physicians
conducted between 1993 and 2002 (N = 251,905). Visits were grouped into 3
discrete time periods, 1993-1996, 1997-1999 and 2000-2002. MAIN OUTCOME MEASURES:
Rate of diagnosis, type of mental health visit, type of treatment received
(medication or psychotherapy), rate of psychotropic medications prescription, and
specialty of the treating physician. RESULTS: From 1993-1996 to 2000-2002, the
proportion of office visits in which mental health care was provided decreased
for Hispanics from 12.2% to 11.7% while it increased from 13.1% to 15.7% for non
Hispanics (P < 0.05). Visits with a diagnosis of mental disorder decreased from
5.2% to 5.1% in Hispanics but increased from 6.0% to 8.8% in non-Hispanics (P <
0.05). Visits resulting in prescription of a psychotropic medication decreased
from 10.2% to 9.3% in Hispanics, while they increased from 10.2% to 12.5% in non
Hispanics (P < 0.05). Psychotherapy visits decreased from 2.4% to 1.3% in
Hispanics (P < 0.05), whereas they remained constant (2.5%) in non-Hispanics.
Visits to a psychiatrist decreased from 2.5% to 1.3% in Hispanics (P < 0.05),
while they increased (nonsignificantly) from 3.1% to 3.5% for non-Hispanics. Most
differences persisted after adjusting for age and insurance status. CONCLUSIONS:
From 1993 to 2002, there was an increase in mental health care disparities
between Hispanics and non-Hispanics treated by office-based physicians.
Improvement of the mental health care for Hispanics continues to be an important
public health priority, with clear opportunities and challenges for health care
policy-makers and practitioners.
PMID- 18049341
TI - Association between language proficiency and the quality of primary care among a
national sample of insured Latinos.
AB - BACKGROUND: Latinos experience substantial barriers to primary care. Limited
English language proficiency may be a mechanism for these deficiencies, even for
Latinos with health coverage. OBJECTIVE: To determine the relationship between
English language proficiency and the experience of primary care reported by
insured Latinos. DESIGN, SETTING, PARTICIPANTS: Analysis of the National Latino
and Asian American Study, a nationally representative household survey, 2002
2003. This analysis was restricted to Latinos who reported current health
insurance (n= 1792), and included information on ethnic subgroups. MAIN OUTCOME
MEASURES: Four outcomes addressed different aspects of the quality of primary
care: (1) not having a regular source of care or lacking continuity of care, (2)
difficulty getting an appointment over the phone, (3) long waits in the waiting
room, and (4) difficulty getting information or advice by phone. RESULTS: English
language proficiency was associated with the experience of primary care for 3 of
the 4 outcomes. Insured Latinos with poor/fair English language proficiency were
more likely than those with good/excellent proficiency to report not having a
regular source of care or lacking continuity [odds ratio (OR) 2.20, 95%
confidence interval (CI) 1.60-3.02], long waits (OR, 1.88; CI, 1.34-2.64), and
difficulty getting information/advice by phone (OR, 1.76; 95% CI, 1.25-2.46).
CONCLUSIONS: Among insured Latinos, low English language proficiency is
associated with worse reports of the quality of primary care. These results
suggest that interventions to address limited English proficiency may be
important to improving the quality of primary care for this rapidly growing
population.
PMID- 18049342
TI - Development and validation of a short-form, rapid estimate of adult literacy in
medicine.
AB - BACKGROUND: Although prior studies used the 66-item Rapid Estimate of Adult
Literacy in Medicine (REALM instrument) for literacy assessment, researchers may
require a shorter, validated instrument when designing interventions for clinical
contexts. OBJECTIVE: To develop and validate a very brief literacy assessment
tool, the REALM-Short Form (REALM-SF). PATIENTS: The model development,
validation, and field testing validation samples included 1336, 164, and 50
patients, respectively. SETTING: General medicine and subspecialty clinics and
medicine inpatient wards. DESIGN: For development and validation samples,
indicator variables for REALM instrument items were evaluated as potential
predictors of REALM instrument score by stepwise multiple regression analysis
with subsequent bootstrap and confirmatory factor analysis of selected items.
Pearson correlations compared REALM-SF and REALM instrument scores and kappa
analyses compared grade level assignments. For the field testing validation
sample, Pearson correlations compared Wide Range Achievement Test and REALM-SF
scores. RESULTS: The REALM-SF included 7 items with stable model coefficients and
1 underlying linear factor. REALM-SF and REALM instrument scores were highly
correlated in development (r = 0.95, P < 0.001) and validation (r = 0.94, P <
0.001) samples. There was excellent agreement between REALM-SF and REALM
instrument grade-level assignments when dichotomized at the 6th grade
(development: 97% agreement, K = 0.88, P < 0.001; validation: 88% agreement, K =
0.75, P < 0.001) and 8th grade levels (development: 94% agreement, K = 0.78, P <
0.001; validation: 84% agreement, K = 0.67, P < 0.001). REALM-SF and Wide Range
Achievement Test scores were highly correlated (r = 0.83, P < 0.001) in field
testing validation. CONCLUSIONS: The REALM-SF provides researchers a brief,
validated instrument for assessing patient literacy in diverse research settings.
PMID- 18049343
TI - Attitudes toward health care providers, collecting information about patients'
race, ethnicity, and language.
AB - BACKGROUND: Experts recommend that health care providers (HCPs) collect patients'
race/ethnicity and language, but we know little about public attitudes towards
this. OBJECTIVES: To determine attitudes towards HCPs collecting race/ethnicity
and language data. PARTICIPANTS: A telephone survey was held with 563
Californians, including 105 whites, 97 blacks, 199 Hispanics (162 Spanish
speaking), 129 Asians (73 Chinese-speaking), and 33 multiracial individuals.
MEASURES: Attitudes towards HCPs asking patients their race/ethnicity and
preferred language, concerns about providing their own information, reactions to
statements explaining the rationale for data collection, and attitudes towards
possible policies. RESULTS: Most (87.8%) somewhat or strongly agreed that HCPs
should collect race/ethnicity information and use this to monitor disparities,
and 73.6% supported state legislation requiring this. Support for collection of
patients' preferred language was even higher. However, 17.2% were uncomfortable
(score 1-4 on 10-point scale) reporting their own race/ethnicity, and 46.3% of
participants were somewhat or very worried that providing information could be
used to discriminate against them. In addition, 35.9% of Hispanics were
uncomfortable reporting their English proficiency. All statements explaining the
rationale for data collection modestly increased participants' comfort level; the
statement that this would be used for staff training increased comfort the most.
CONCLUSIONS: Although most surveyed believe that HCPs should collect information
about race/ethnicity and language, many feel uncomfortable giving this
information and worry it could be misused. Statements explaining the rationale
for collecting data may assuage concerns, but community engagement and
legislation to prevent misuse may be needed to gain more widespread trust and
comfort.
PMID- 18049344
TI - Ethnicity/race and outcome in the treatment of depression: results from STAR*D.
AB - OBJECTIVES: This secondary analysis of data from the Sequenced Treatment
Alternatives to Relieve Depression (STAR*D) study compared rates of remission and
response for blacks (n = 495), whites (n = 1853), and Hispanics (n = 327) with
nonpsychotic major depressive disorder who were treated with citalopram. METHODS:
STAR*D included representative outpatients treated in 23 psychiatric and 18
primary care centers. Participants received flexible doses of citalopram for up
to 14 weeks, with dosage adjustments based on routine clinical assessments.
Efforts were made to achieve remission, using a measurement-based care approach
with adjustments based on symptoms and side effects assessed at each visit.
RESULTS: There were significant differences among groups on many baseline
demographic, sociocultural, and clinical variables. Blacks and Hispanics were
more socially disadvantaged and had more comorbidity than whites. Before
adjusting for differences, blacks had lower remission rates than whites, with
Hispanics intermediate between the 2. After adjustments, remission rates for
groups were not significantly different on the 17-item Hamilton Rating Scale for
Depression (HRSD), but remained lower for blacks compared with whites with the 16
item Quick Inventory of Depressive Symptomatology-Self Report (QIDS-SR). Blacks
took longer to achieve remission or response, though this did not remain after
adjusting for baseline differences. CONCLUSIONS: Overall, black and to a lesser
extent Hispanic participants had a poorer response to citalopram. After adjusting
for baseline differences, the remission rates seemed to be more similar on the
HRSD, but remained worse for blacks on the QIDS-SR. We discuss the possible
biologic and sociocultural factors that may underlie these findings.
PMID- 18049345
TI - The cumulative effects of quality improvement for depression on outcome
disparities over 9 years: results from a randomized, controlled group-level
trial.
AB - BACKGROUND: Quality improvement (QI) programs for depression can improve outcomes
of care and reduce outcome disparities; but cumulative effects on mental health
outcome disparities have seldom been evaluated. OBJECTIVE: To estimate cumulative
effects over many years of short-term QI programs for depression in primary care
on mental health outcome disparities, and to develop an interpretation for
annualized, cumulative mental health outcome scores. DESIGN: : We conducted a
group-level, randomized controlled trial in 6 US healthcare organizations. The QI
programs supported provider and patient education in depression treatment and
resources for medication management (QI-Meds) or access to evidence-based
psychotherapy (QI-Therapy). Sites were selected to oversample minorities.
PATIENTS: Results were extrapolated to 1188 initially enrolled and living
patients depressed at baseline. MAIN OUTCOME: Psychologic well-being (MHI-5)
estimated as cumulative outcomes and outcome disparities (minority-whites) over 9
years, and annualized. RESULTS: Across analyses there was a significant
interaction of intervention status and ethnicity [lowest F(2,160) = 4.96, P =
0.008]. QI-therapy improved cumulative outcomes among minorities (mean, 37.92
44.29 MHI-5 points) and reduced outcome disparities for the whole sample relative
to usual care (UC) (by mean, 39.44-59.01 MHI-5 points) and relative to QI-Meds
(by mean, 53.90-74.41 MHI-5 points), lowest t(103) = 3.12, P = 0.002. By
comparison, UC patients who lost a loved one in the year after baseline had lower
psychologic well being by 6.18 MHI-5 scale points compared with similar UC
patients without such a loss [t(15)=2.52, P = 0.02]. CONCLUSIONS: QI programs
incorporating support for evidence-based psychotherapy offer an approach to
substantially reduce cumulative outcome disparities for depressed primary care
patients.
PMID- 18049346
TI - Identification and treatment of mental and substance use conditions: health plans
strategies.
AB - BACKGROUND: Mental health and substance use conditions are under-recognized and
under-treated. Private health plans may be able to affect the extent of screening
and, thus, identification of enrollees who need treatment. OBJECTIVES: The goals
of this study were to determine strategies used by health plans to identify
mental health and substance use conditions; and describe the characteristics of
health plans associated with use of these strategies. METHODS: In 2003, we
conducted a nationally representative survey of private health plans regarding
behavioral health services. A total of 368 health plans (83% response rate)
provided information about their managed care products: health maintenance
organization (HMO), point-of-service (POS), or preferred provider organization
(PPO) products (812 in total). MEASURES: We asked whether plans verify primary
care providers' screening for mental health or substance use conditions, screen
outside of primary care, and distribute practice guidelines. We characterized
each product in terms of "carve-out" to a specialty behavioral health vendor, tax
status, and region and market area population. RESULTS: Thirty-four percent of
products verify primary care providers' screening for mental health, but only 8%
verify alcohol or drug screening. Outside of primary care, 31% conduct screening
through the mail, phone, or internet. Depression guidelines are distributed to
primary care providers by 78% of managed care products: alcohol or drug
guidelines are distributed by 33%. In multivariate analyses, specialty
contracting was positively associated, and PPO product type was negatively
associated with these strategies. CONCLUSIONS: Most health plans use multiple
strategies to improve identification of behavioral health conditions, but use of
such strategies was greater for mental health than for substance use conditions.
PMID- 18049347
TI - Explaining racial and ethnic differences in children's use of stimulant
medications.
AB - OBJECTIVES: To document and explain racial/ethnic differences in the use of
stimulant drugs among US children. DATA AND METHODS: We use a nationally
representative sample of children ages 5-17 years old from the Medical
Expenditure Panel Survey (MEPS) for the years 2000-2002. We estimate race
specific means and regressions to highlight differences across groups in
individual/family characteristics that may affect stimulant use and differences
in responses to these characteristics. Then, we use Oaxaca-Blinder decomposition
methods to quantify the portion of differential use explained by differences in
individual/family characteristics. Finally, we use pooled regressions with
race/ethnicity interactions to formally test the hypothesis that responses to
perceived mental health and behavioral problems vary across groups. RESULTS:
White children are about twice as likely to use stimulants as either Hispanic or
Black children. Differences in individual/family characteristics account for
about 25% of the difference between whites and Hispanics, but for none of the
difference between whites and blacks. Pooled regressions show that racial/ethnic
gaps in stimulant use persist among children with otherwise similar reported
mental health conditions. CONCLUSIONS: Our finding that the majority of
racial/ethnic differences in children's stimulant use is explained by differences
in responses to individual/family characteristics highlights the importance of
further research to examine the reasons for these differences. It is striking
that children with otherwise similar reports of mental health problems have such
different outcomes in terms of stimulant use. Potential explanations range from
discrimination to cultural differences by race/ethnicity or community.
PMID- 18049348
TI - Receiving advice about child mental health from a primary care provider: African
American and Hispanic parent attitudes.
AB - BACKGROUND: Primary care providers (PCPs) play a critical role in the
identification and treatment of child and adolescent mental health problems but
few studies have examined parents' attitudes on receiving advice about child
mental health from a PCP and whether attitudes are associated with race or
ethnicity. OBJECTIVE: To determine if race and ethnicity were associated with
parents' attitudes on receiving advice about child mental health from a PCP.
SUBJECTS: Data were collected during 773 visits to 54 PCPs in 13 diverse clinics.
Families were 56.5% white, 33.3% African American, and 10.1% Hispanic. MEASURES:
The parent reported attitudes associated with receiving advice about child mental
health from the PCP. The parent completed the Strengths and Difficulties
Questionnaire to report youth mental health. PCPs completed measures of
psychosocial orientation, confidence in mental health treatment skills, and the
accessibility of mental health specialists. RESULTS: Hispanics were more likely
than Non-Hispanics to agree that PCPs should treat child mental health and were
more willing to allow their child to receive medications or visit a therapist for
a mental health problem if recommended by the PCP. African Americans were
significantly less willing than whites and Hispanics to allow their child to
receive medication for mental health but did not differ in their willingness to
visit a therapist. CONCLUSIONS: Race and ethnicity were associated with parents'
attitudes on receiving advice about child mental health from a PCP. Primary care
may be a good point of intervention for Hispanic youth with mental health needs.
PMID- 18049349
TI - Is lower 30-day mortality posthospital admission among blacks unique to the
Veterans Affairs health care system?
AB - BACKGROUND: Several studies have reported lower risk-adjusted mortality for
blacks than whites within the Veterans Affairs (VA) health care system,
particularly for those age 65 and older. This finding may be a result of the VA's
integrated health care system, which reduces barriers to care through subsidized
comprehensive health care services. However, no studies have directly compared
racial differences in mortality within 30 days of hospitalization between the VA
and non-VA facilities in the US health care system. OBJECTIVE: To compare risk
adjusted 30-day mortality for black and white males after hospital admission to
VA and non-VA hospitals, with separate comparisons for patients younger than age
65 and those age 65 and older. RESEARCH DESIGN: Retrospective observational study
using hospital claims data from the national VA system and all non-VA hospitals
in Pennsylvania and California. SUBJECTS: A total of 369,155 VA and 1,509,891 non
VA hospitalizations for a principal diagnosis of pneumonia, congestive heart
failure, gastrointestinal bleeding, hip fracture, stroke, or acute myocardial
infarction between 1996 and 2001. MEASURES: Mortality within 30 days of hospital
admission. RESULTS: Among those under age 65, blacks in VA and non-VA hospitals
had similar odds ratios of 30-day mortality relative to whites for
gastrointestinal bleeding, hip fracture, stroke, and acute myocardial infarction.
Among those age 65 and older, blacks in both VA and non-VA hospitals had
significantly reduced odds of 30-day mortality compared with whites for all
conditions except pneumonia in the VA. The differences in mortality by race are
remarkably similar in VA and non-VA settings. CONCLUSIONS: These findings suggest
that factors associated with better short-term outcomes for blacks are not unique
to the VA.
PMID- 18049350
TI - Effect of increased copayments on pharmacy use in the Department of Veterans
Affairs.
AB - OBJECTIVES: In February 2002, the Department of Veterans Affairs (VA) raised
medication copayments from $2 to $7 per 30-day supply of medication for certain
veteran groups. We examined the impact of the copayment increase on medication
acquisition from VA. METHODS: This was a retrospective cohort study using data
from national VA databases from February 2001 through February 2003. We took a
random sample of over 5% of male VA users in 2001. Of 149,107 veterans sampled,
19,504 (13%) had copayments for no drugs, 101,410 (68%) had copayments for some
drugs, and 28,193 (19%) had copayments for all drugs. We used multivariable count
models to examine changes in the number of 30-day medication supplies after the
increase. RESULTS: After the copayment increase, veterans subject to copayments
for all drugs received 8% fewer 30-day supplies of medication annually relative
to veterans with no copayments (P < 0.001). The effect of the copayment increased
as the number of different medications veterans received increased. Among
veterans subject to copayments for all drugs, acquisition of lower-cost drugs
fell by 36%, higher-cost medications fell by 6%, over-the-counter medications
fell by 40%, and prescription-only medications fell by 4% relative to veterans
with no drug copayments. CONCLUSIONS: The number of medications veterans obtained
from VA decreased after the copayment increase. There were relatively larger
impacts on veterans with higher medication use and on lower-cost and over-the
counter medications.
PMID- 18049351
TI - Are surname telephone oversamples an efficient way to better understand the
health and healthcare of minority group members?
AB - OBJECTIVES: Surname oversamples are commonly used in health research to increase
the number of persons from minority racial and ethnic groups represented in
general population surveys. This article considers the sample design efficiency
in the use of Hispanic, Hmong, and Asian surname samples. METHODS: The study uses
3 state surveys (Alabama, Missouri, and Minnesota) that used surname oversamples
to increase the proportion of Hispanic, Hmong, or Asian respondents included in
the studies. We examine whether surname oversamples lead to more completed
surveys with the targeted minority groups than would have been achieved if
surname oversamples had not been used. We also assess gains in terms of effective
sample sizes from the use of surname oversampling. RESULTS: The sensitivities of
the Hispanic surname list ranged from 46% to 63% across the 3 surveys. The
sensitivity of the Asian survey was 34%, and the sensitivity of the Hmong was
38%. Although the use of surname increased the number of targeted minority group
members in the final study, the increased number had a very minimal impact on the
effective sample size of the minority populations for the key survey estimates of
interest in the 3 health surveys. CONCLUSIONS: The use of surname samples
achieved the goal of having more persons who identify as Hispanic, Hmong, or
Asian in the final sample. However, the use of surname oversamples is inefficient
when considering the statistical power gained for minority group estimates.
PMID- 18049352
TI - Delayed presentation for human immunodeficiency virus (HIV) care among veterans:
a problem of access or screening?
AB - BACKGROUND: Despite the effectiveness of antiretroviral therapy, nearly half of
patients entering human immunodeficiency virus (HIV) care have advanced disease.
Many attribute this delay to poor access to healthcare. Others argue that delays
will persist until routine screening is adopted. The Veterans Health
Administration (VA) is a unique laboratory to examine whether access to
comprehensive health benefits results in earlier entry into HIV care. METHODS:
Retrospective observational study of 4368 HIV-positive patients entering HIV care
during 1998-2002 at VA medical centers nationwide. OUTCOMES OF INTEREST: rates of
acquired immune deficiency syndrome in year of presentation; duration of VA
utilization before HIV presentation; presence of "clinical triggers," signaling
greater risk of HIV infection, before presentation. RESULTS: Fifty-one percent (n
= 2211) of all patients presented with CD4 counts of < 200 cells/mm. Thirty-nine
percent (n = 1697) of all patients used other VA services before presentation for
HIV care, with median duration of 3.6 years (interquartile range 25-75: 2.2-5.1
year) and 6 physician visits [interquartile range (IQR), 25-75: 2-18 visits]
between first utilization and HIV presentation. No difference existed in the
percentage presenting with CD4 counts <200 cells/mm among those with and without
prior VA healthcare (50% vs. 51%, P = 0.76). Only 13% of those with prior VA
healthcare demonstrated a clinical trigger before HIV presentation. CONCLUSIONS:
More than half of veterans entered HIV care with an acquired immune deficiency
syndrome diagnosis at presentation irrespective of whether they had previously
established healthcare in the VA. Access to care does not seem to be the primary
cause of delayed HIV presentation. Widespread HIV screening is needed to improve
rates of early detection.
PMID- 18049353
TI - The effect of integrated medical-substance abuse treatment during an acute
illness on subsequent health services utilization.
AB - BACKGROUND: The acute care hospital provides a context for engaging difficult to
reach patients in substance abuse treatment (SAT); however, little is known
regarding the effects of such engagement on subsequent health services
utilization. We examined whether a structured day hospital (DH) intervention
integrating SAT and medical care during an acute medical hospitalization would
reduce subsequent emergency department (ED) use and rehospitalization compared
with a control group receiving usual medical care and referral to intensive
outpatient SAT. METHODS: Between October 2001 and June 2002, we enrolled 390
hospitalized substance using patients in a nonrandomized clinical trial. Once
stabilized, patients were assigned to either the DH intervention (n = 63) or
usual care control group (n = 327). Baseline interview and chart review collected
data on demographics, substance use, and acute and chronic medical conditions.
Subsequent chart review collected data on ED, hospital and ambulatory care
utilization in the 6 months pre-enrollment and 6 months postdischarge. Univariate
and multiple logistic regression methods were used to assess the independent
effects of the DH intervention on postdischarge health care utilization. RESULTS:
Overall 25%, 48%, and 42% reported > or =3 ED episodes, > or =1
rehospitalization, and > or =1 ambulatory care visit during the postdischarge
period. Subjects who completed the DH intervention were significantly less likely
to have > or =3 ED episodes (AOR = 0.27; 95% CI, 0.08-0.89) and more likely to
have > or =1 ambulatory care visit (AOR = 4.05; 95% CI, 1.44-11.37) than the
usual care group. No similarly beneficial effects were seen for patients who
initiated but did not complete the DH intervention. CONCLUSIONS: : A DH model
that engages acutely ill substance using patients in integrated medical and
substance abuse treatment can positively influence subsequent health care seeking
behavior.
PMID- 18049354
TI - Gender differences in healthcare-seeking behavior for urinary incontinence and
the impact of socioeconomic status: a study of the Medicare managed care
population.
AB - BACKGROUND: Despite the gender difference in etiology, prevalence and management
of urinary incontinence (UI) among community-living older adults, little is known
about the effect of gender on their healthcare-seeking behaviors. OBJECTIVE: To
determine gender differences in professional care seeking, receipt of UI
treatment, and the impact of age and socioeconomic status. RESEARCH DESIGN:
National survey of Medicare managed care enrollees in 2003 and 2004. SUBJECTS: A
total of 28,724 patients who were noninstitutionalized, age 65 and older, and had
self-reported UI problem in the last 6 months. MEASURES: Whether a patient with
UI had discussed the problem with a health provider, and whether a patient having
had such a discussion received treatment. RESULTS: Compared with incontinent men,
incontinent women were less likely to seek professional help for the UI problem
[46.2% vs. 55.7%; adjusted odds-ratio (AOR) = 0.65, P < 0.01], but more likely to
receive treatment (54.8% vs. 51.4%; AOR = 1.12, P < 0.01) after consulting a
health professional. These gender differences varied by age, education, or annual
household income level. For incontinent women, the predicted rate of receiving
treatment decreased with older age, lower education, and lower income level.
CONCLUSIONS: Community-living older women with UI problem are less likely to seek
professional help than their male counterparts, but more likely to be treated
after a health professional is consulted. Patients' socioeconomic status can
affect physician behavior and ultimately, their receipt of treatment of UI,
especially for women. Current efforts to promote awareness and quality-of-care of
UI among older adults should account for gender and other sociodemographic
factors.
PMID- 18049355
TI - Down under optometry takes a big leap.
PMID- 18049357
TI - Bilateral abducens palsies and facial weakness as initial manifestations of a
Chiari 1 malformation.
AB - PURPOSE: Chiari I malformations are rare, congenital anomalies involving the
caudal herniation of the cerebellar tonsils into the upper cervical spinal canal.
Osseous abnormalities of the skull permit the extension of the hindbrain below
the foramen magnum and engender syringohydromyelia formation. Neuroophthalmic
manifestations are not uncommon; nevertheless, this is the first report of
concomitant bilateral abducens palsies and facial nerve impairment as presenting
manifestations of a Chiari I malformation. CASE REPORT: A 30-year-old black
female presented with a recent history of blurred vision and binocular diplopia
in both left and right gazes. Headaches, dizziness, and orofacial sensorimotor
impairments were noted in conjunction with the onset of her diplopia. No history
of surgery, trauma, or systemic illness was elicited. Extraocular motility
testing confirmed a complete bilateral abduction deficit. Additional cranial
nerve testing revealed bilateral facial nerve weakness and tactile hypesthesia in
the perioral region. No taste disturbances were reported. Nuclear magnetic
resonance imaging studies revealed a Chiari I malformation with a
syringohydromyelia formation in the vicinity of the patient's sixth cervical
vertebrae. Foramen magnum decompression surgery was performed. Two months after
surgery, abduction capacity was restored along with resolution of symptoms of
perioral numbness and signs of facial weakness. CONCLUSION: Bilateral sixth nerve
palsies are rare clinical commodities especially when they do not occur in
isolation. Intracranial neoplams, trauma, subarachnoid hemorrhaging,
demyelinating disease, and meningeal infarcts have all been shown to induce mixed
cranial neuropathies. The concomitant bilateral abducens palsy and concomitant
facial nerve weakness reported in this case represents an atypical and previously
unreported presentation of a Chiari I malformation.
PMID- 18049358
TI - Racial differences in macular thickness in healthy eyes.
AB - PURPOSE: The relationship between race and macular thickness remains unknown.
This relationship may be important for early and accurate diagnosis of macular
disease and glaucoma, and may also provide insight into disease mechanisms. In
this study, we compared macular thickness in healthy eyes of black and white
subjects using optical coherence tomography (Stratus OCT). METHODS: This study
used a matched, cross-sectional design. Subjects underwent OCT macular thickness
map scanning in each eye, four-field, 45-degree digital retinal imaging in each
eye, and blood pressure measurement. Retinal images were evaluated for absence of
posterior pole disorders, including macular and optic nerve disease. Retinal
thickness was evaluated in the central fovea, and in rings placed at 1, 3, and 6
mm from fixation. RESULTS: Compared with whites (n = 7), blacks (n = 7) had
significantly thinner total foveal thickness (TFT, retinal thickness in the
central 1 mm diameter area; OD: p < 0.03; OS: p < 0.02; OU average: p < 0.02),
and thinner total macular thickness (TMT, retinal thickness in 6mm diameter area
excluding central foveal thickness; OS: p < 0.02; OU average: p < 0.03). There
was a trend for central foveal thickness (retinal thickness at fixation) to be
thinner in blacks than whites (OD: p = 0.12; OS: p = 0.08). There was no
significant difference in macular thickness between right and left eyes.
CONCLUSIONS: Retinal thickness as measured by Stratus OCT in the fovea and macula
is significantly thinner in blacks compared with age-matched whites. Larger
multiracial prospective studies are needed to confirm these results and to
evaluate the need for race-specific normative values.
PMID- 18049359
TI - Estimating variability in placido-based topographic systems.
AB - PURPOSE: To describe a new software tool for the detailed presentation of corneal
topography measurements variability by means of color-coded maps. METHODS:
Software was developed in Visual Basic to analyze and process a series of 10
consecutive measurements obtained by a topographic system on calibration spheres,
and individuals with emmetropic, low, high, and irregular astigmatic corneas.
Corneal surface was segmented into 1200 segments and the coefficient of variance
of each segment's keratometric dioptric power was used as the measure of
variability. The results were presented graphically in color-coded maps
(Variability Maps). Two topographic systems, the TechnoMed C-Scan and the TOMEY
Topographic Modeling System (TMS-2N), were examined to demonstrate our method.
RESULTS: Graphic representation of coefficient of variance offered a detailed
representation of examination variability both in calibration surfaces and human
corneas. It was easy to recognize an increase in variability, as the irregularity
of examination surfaces increased. In individuals with high and irregular
astigmatism, a variability pattern correlated with the pattern of corneal
topography: steeper corneal areas possessed higher variability values compared
with flatter areas of the same cornea. Numerical data permitted direct
comparisons and statistical analysis. CONCLUSIONS: We propose a method that
permits a detailed evaluation of the variability of corneal topography
measurements. The representation of the results both graphically and
quantitatively improves interpretability and facilitates a spatial correlation of
variability maps with original topography maps. Given the popularity of
topography based custom refractive ablations of the cornea, it is possible that
variability maps may assist clinicians in the evaluation of corneal topography
maps of patients with very irregular corneas, before custom ablation procedures.
PMID- 18049360
TI - The impact of lipid on contact angle wettability.
AB - PURPOSE: To analyze the effect of in vitro lipid doping on conventional hydrogel
(CH) and silicone hydrogel (SH) lens wettability, assessed by sessile drop
contact angle (CA) measurement. METHODS: Nine contact lens materials, five SHs
and four CH, were incubated with two different lipid tear solutions (LTS)
containing cholesterol, cholesteryl oleate, oleic acid, oleic acid methyl ester,
and triolein. The first LTS was a "low" concentration solution, which was close
to human values, and the second was a "high" concentration. Lenses were soaked in
the two LTS types for 2 or 5 days and compared with lenses soaked in phosphate
buffered saline (PBS) only. After soaking, advancing CAs were measured on a
customized computerized device using a sessile drop method. RESULTS: Compared
with PBS, CAs for untreated SHs were unaffected by soaking in the LTS, with
typical CA values of >95 degrees (p > 0.05). The surface-treated SH materials
exhibited markedly reduced CAs after lipid exposure, with the high concentration
LTS reducing the CA to <5 degrees (p < 0.01). The CH materials all exhibited
lower CAs after soaking, with values typically decreasing to 35 degrees , which
was significantly lower than that seen with PBS (p < 0.01). CONCLUSION: Exposure
to lipid may improve the wettability of certain SH and CH materials, particularly
those SH materials that are surface treated. This may help to explain why certain
SH materials appear to improve in comfort for some patients during the first few
hours or days of wear.
PMID- 18049361
TI - Biocompatibility and light transmission of liposomal lenses.
AB - PURPOSE: To validate the biocompatibility and transmittance properties of contact
lenses bearing intact liposomes. These liposomal lenses loaded with therapeutics
can be used as ophthalmic drug delivery systems. METHODS: The biocompatibility of
soft contact lenses, coated with liposomes was evaluated through in vitro direct
and indirect cytocompatibility assays on human corneal epithelial cells, on
reconstructed human corneas and on ex vivo rabbit corneas. The direct and
indirect transmission spectra of liposome-covered lenses were also evaluated to
test if they transmit all wavelengths of the ultraviolet-visible spectrum, to
thereby fulfill their optical function, without gross alteration of the colors
perception and with a minimum of light dispersion. RESULTS: Contact lenses
bearing layers of stable liposomes did not induce any significant changes in cell
viability and in cell growth, compared with lenses bearing no liposome. Elution
assays revealed that no cytotoxic compound leaks from the lenses whether bearing
liposomes or not. Histological analyses of reconstructed human corneas and ex
vivo rabbit corneas directly exposed to liposomal lenses revealed neither
alteration to the cell nor to the tissue structures. Contact lenses bearing
layers of liposomes did not significantly affect light transmission compared with
control lenses without liposome at the wavelength of maximal photopic
sensitivity, i.e., 550 nm. In addition, the contact lenses afford more eye
protection in the ultraviolet spectrum, compared with the control lenses.
CONCLUSIONS: Liposomal contact lenses are biocompatible and their transmittance
properties are not affected in the visible light range.
PMID- 18049362
TI - Visual acuity with spherical and toric soft contact lenses in low- to moderate
astigmatic eyes.
AB - PURPOSE: To evaluate the visual acuity of myopic, astigmatic eyes, with spherical
and toric soft contact lenses. METHODS: A randomized, masked, cross-over study
was conducted to compare acuity with soft spherical and toric contact lenses on
patients with between -0.75 and -2.00 D of refractive astigmatism. A total of 15
patients (30 eyes) were fitted with four brands of spherical and toric lenses
(Acuvue Advance, Biomedics 55, Frequency 55, and SofLens 66), in random order. An
initial visit was conducted to fit the lenses and an over-refraction (OR) was
performed to determine the best prescription. At the measurement visit, patients'
pupils were dilated with 1.0% tropicamide and 2.5% phenylephrine to allow
wavefront aberration measurements, and a final OR was performed. A 6-mm aperture
was held in front of the eye to measure visual acuity through just the contact
lens and the contact lens with the final OR. Acuity was measured monocularly,
under photopic and mesopic conditions with high- and low-contrast logMAR charts
at distance. Differences in acuity were analyzed using repeated measures analysis
of variance. RESULTS: Visual acuity with soft spherical contact lenses was not
significantly different between lens brands. For toric contact lenses, acuity was
significantly better with Biomedics 55 and SofLens 66 than with Acuvue Advance;
and acuity with SofLens 66 was significantly better than with Frequency 55. Low
astigmatic eyes gained between 3 and 5.5 letters of acuity with toric contact
lenses vs. spherical lenses; and moderate-astigmatic eyes gained between 8 and
12.5 letters. CONCLUSIONS: Both low- and moderate-astigmatic eyes showed
improvements in acuity with toric contact lenses. Lens brand had a measurable
effect on acuity for toric contact lenses. Further investigation of aberrations
induced by contact lens design is warranted to explain the observed differences
in visual performance.
PMID- 18049363
TI - The development of validated bulbar redness grading scales.
AB - PURPOSE: To develop a perceptually and physically based bulbar redness grading
scale. METHODS: Digital conjunctival hyperemia photographs were taken using a
photo-slit lamp at controlled exposures. Nine participants arranged 25 images on
a tabletop over a range of 1.5 m, using separation to represent changes in
redness. The position of each image was recorded and normalized for a 0 to 100
scale, and compared to chromaticity of each image obtained using a
spectrophotometer. The performance of two versions of the scale (5 and 10 images)
and a continuous grading scale was evaluated based on repeatability data
collected from nineteen observers who used each scale twice to grade 30 randomly
presented images of bulbar redness. RESULTS: Psychophysical scaling was highly
correlated between single observers (Pearson's r >or= 0.92, p < 0.05). The
averaged subjective grades significantly correlated with chromaticity (r = 0.95
and r = 0.99, p < 0.001 for CIE u* and log u*, respectively). Across all
observers, test and retest ratings were highly correlated with either scale (r
>or= 0.98), and showed high levels of repeatability expressed by intraclass
correlation coefficients (ICC >or= 0.98), correlation coefficients of concordance
(CCC >or= 0.96), and coefficients of repeatability (COR 0.05).
Exposure to HIV-related services was associated with condom use with clients (OR
= 1.3-2.8, P < 0.05). CONCLUSIONS: Higher sexual risk behaviors were found among
FSWs who were also IDUs, when compared with those who were non-IUDs. A double
risk bridging population for HIV transmission thereby exists.
PMID- 18049425
TI - Herpes simplex virus-2 and HIV among noninjecting drug users in New York city.
AB - OBJECTIVE: To examine the relationship between herpes simplex virus 2 (HSV-2)
seroprevalence and human immunodeficiency virus (HIV) seroprevalence among
noninjecting heroin and cocaine users in New York City. METHODS: Four hundred
sixty-two noninjecting cocaine and heroin users were recruited from a drug
detoxification program in New York City. Smoking crack cocaine, intranasal use of
heroin, and intranasal use of cocaine were the most common types of drug use. A
structured interview was administered and a serum sample was collected for HIV
and HSV testing. RESULTS: HIV prevalence was 19% (95% CI 15%-22%) and HSV-2
seroprevalence was 60% (95% CI 55%-64%). The adjusted risk ratio for the
association between HSV-2 and HIV was 1.9 (95% CI 1.21%-2.98%). The relationship
between HSV-2 and HIV was particularly strong among females, among whom 86% were
HSV-2 seropositive, 23% were HIV seropositive, and all HIV seropositives were
also HSV-2 seropositive. CONCLUSIONS: HSV-2 appears to be an important factor in
sexual transmission of HIV among noninjecting cocaine and heroin users in New
York City, especially among females. The estimated population attributable risk
for HIV infection attributable to HSV-2 infection in this sample was 38%.
Programs to manage HSV-2 infection should be developed as part of comprehensive
HIV prevention for noninjecting drug users.
PMID- 18049426
TI - Evaluation of hawthorn extract on immunomodulatory biomarkers in a pressure
overload model of heart failure.
AB - BACKGROUND: Hawthorn extract (Crataegeus sp.) a botanical complementary and
alternative medicine is often used to treat heart failure. The mechanism(s) by
which hawthorn extract may treat heart failure is unknown but may include,
theoretically, immunological effects. Therefore, the purpose of this study is to
determine the effect of hawthorn extract on the immunomodulatory response in a
pressure overload model of heart failure. MATERIAL/METHODS: A total of 62 male
Sprague-Dawley rats were randomized to either aortic constriction + vehicle (AC;
n=15), aortic constriction + hawthorn 1.3 mg/kg (HL, n=17), aortic constriction +
hawthorn 13 mg/kg (HM, n=15), or aortic constriction + hawthorn 130 mg/kg (HH,
n=15). Six months after surgical procedure animals were sacrificed and plasma
samples obtained for the measurement of the following immunomodulatory markers:
interleukin (IL) IL-1ss, IL-2, IL-6, IL-10; and leptin. RESULTS: The mortality
rate following 6 months of aortic constriction was 40% in the AC group compared
to 41%, 60%, and 53% for the HL, HM, and HH groups respectively (P>0.05 compared
to AC). Aortic constriction produced a similar increase in the left
ventricle/body weight ratio for all groups. Hawthorn extract had no effect on the
immunomodulatory markers measured in this study, although there appeared to be a
trend suggesting suppression of IL-2 plasma concentrations. CONCLUSIONS: In this
animal model of heart failure, hawthorn extract failed to significantly affect
the immunomodulatory response characterized after 6 months of pressure overload
at a time when approximately 50% mortality was exhibited. Mechanisms other than
immunological may better define hawthorn's effect in treating heart failure.
PMID- 18049427
TI - The role of osteoactivin-derived peptides in osteoblast differentiation.
AB - BACKGROUND: In our previous studies, we found that osteoactivin (OA) plays an
important role in the regulation of osteoblast differentiation in vitro. Our
studies also suggested that the region of OA protein that contains an RGD motif
might play a vital role in the function of OA in osteoblast differentiation. In
this study, we examined the functional role of OA-derived peptide containing the
RGD motif (OA-D) in osteoblast differentiation. MATERIAL/METHODS: For this
purpose, we designed another peptide, termed OA-E, that has sequence similar to
OA-D but with glutamic acid (E) instead of aspartic acid (D). The effect of OA-E
peptide on osteoblast differentiation was examined. Interestingly, OA-E peptide
induced osteoblast differentiation in a manner similar to OA-D peptide. These
data suggested that the effect of OA-derived peptides is RGD independent and it
could be dependent on other features in the amino acid sequence of these
peptides. RESULTS: OA-D peptide treatment markedly induced osteoblast
differentiation markers in vitro compared to cultures treated with negative
control peptide (NCP). Interestingly, OA-E peptide induced osteoblast
differentiation in a manner similar to OA-D peptide. These data suggested that
the effect of OA-derived peptides is RGD independent and it could be dependent on
other features in the amino acid sequence of these peptides. Since
phosphorylation of amino acid residues in proteins and peptides plays a major
role in biological systems, the phosphorylation pattern of amino acid sequences
of OA-derived peptides and OA protein family members were examined using
bioinformatic analysis tools. We found that OA-derived peptides and OA protein
family members have serine residue, close to c-terminus and might be
phosphorylated with casein kinase II. Casein kinase II is known to phosphorylate
many osteoblast-related proteins that regulate osteoblast development and
differentiation such as osteopontin and vitronectin. CONCLUSIONS: Collectively,
these data showed that both OA-D and OA-E peptides significantly induced
osteoblast differentiation in vitro and that effect is RGD independent.
PMID- 18049428
TI - Protective effect of lysozyme chloride on gastric oxidative stress and
hemorrhagic ulcers in severe atherosclerotic rats.
AB - BACKGROUND: Calcium and cholesterol play major roles in the formation of
atherosclerosis. Whether severe atherosclerosis induced by co-administration of a
mixture containing vitamin D2 (vit D2) and cholesterol can result in gastric
hemorrhagic damage is unknown. Gastric oxidative stress and hemorrhagic
ulceration in rats with atherosclerosis induced by co-administration of vit D2
and cholesterol and the protective effect of lysozyme chloride on this ulcer
model were investigated. MATERIAL/METHODS: Male Wistar rats were challenged
intragastrically once daily for 9 days with 1.0 ml/kg of corn oil containing vit
D2 and cholesterol to induce atherosclerosis. Control rats received the same
volume of corn oil only. After 24-h fasting followed by gastric surgery, the rat
stomachs were irrigated for 3 h with simulated rat gastric juice or normal
saline. Various gastric mucosal ulcerogenic factors (acid back-diffusion, lipid
peroxides, histamine concentration, and hemorrhagic ulcers) and defensive
substances (mucosal glutathione and mucus secretion) were determined. RESULTS:
Augmentation of serum calcium concentration, total cholesterol, and low-density
lipoprotein was observed in atherosclerotic rats. Greater mucosal ulcerogenic
parameters and lower defensive substances were achieved in these rats. High
correlation between decreased mucosal glutathione and ulceration as well as
between increased mucosal lipid peroxide levels and ulceration was also found in
the atherosclerotic rats. Daily intragastric lysozyme chloride dose-dependently
protected gastric mucosal hemorrhagic damage in the atherosclerotic rats.
CONCLUSIONS: Atherosclerosis induced by co-administration of vit D2 and
cholesterol could produce gastric oxidative stress and hemorrhagic ulcer that was
ameliorated by lysozyme chloride in rats.
PMID- 18049429
TI - CD30 (Ber-H2) expression by thymocytes and thymic epithelial cells during the
late first and second trimester of gestation: an immunohistochemical and in situ
hybridization (ISH) study.
AB - BACKGROUND: The exact biological function of CD30 in the thymus during
development has been only partially elucidated, although data indicate it may be
involved in negative selection. This study was prompted by the observation of a
positive reaction of thymic epithelial cells (TECs), Hassall's corpuscles, and
thymocytes with the monoclonal antibody CD30 during the late first and second
trimester. MATERIAL/METHODS: Twenty paraffin-embedded fetal thymus specimens at
the late first and second trimester were investigated by conventional histology
and immunohistology for CD30 expression. To provide additional information on the
nature and localization of CD30+ thymocytes and CD30+ TECs, in situ hybridization
(ISH) was performed on the specimens. RESULTS: 1) In the medulla, a statistically
significant difference between CD30+ thymocytes from the late first trimester and
those from the second trimester (p<0.0001, t-test) was demonstrated. No
significant difference was found concerning CD30+ thymocytes in the cortex. 2)
Many medullary TECs and Hassall's corpuscles showed high expression of CD30
during the second trimester, whereas small numbers of CD30+ TECs were found
during the late first trimester. No statistically significant difference was
found concerning CD30+ TECs in the cortex. CD30 was expressed by ISH in many
cells in the medulla and along the septa, whereas the cortex showed little if any
expression. Accordingly, a higher CD30 expression was found in medullary than in
cortical thymocytes. CONCLUSIONS: Comparison of CD30 expression by TECs and
thymocytes during the late first trimester and second trimester suggests an
important role for CD30 in thymic selection.
PMID- 18049430
TI - Effect of turmeric and curcumin on oxidative stress and antioxidant enzymes in
streptozotocin-induced diabetic rat.
AB - BACKGROUND: There is increasing evidence that complications related to diabetes
are associated with increased oxidative stress. Curcumin, an active principle of
turmeric, has several biological properties, including antioxidant activity. The
protective effect of curcumin and turmeric on streptozotocin (STZ)-induced
oxidative stress in various tissues of rats was studied. MATERIAL/METHODS: Three
month-old Wistar-NIN rats were made diabetic by injecting STZ (35 mg/kg body
weight) intraperitoneally and fed either only the AIN-93 diet or the AIN-93 diet
containing 0.002% or 0.01% curcumin or 0.5% turmeric for a period of eight weeks.
After eight weeks the levels of oxidative stress parameters and activity of
antioxidant enzymes were determined in various tissues. RESULTS: STZ-induced
hyperglycemia resulted in increased lipid peroxidation and protein carbonyls in
red blood cells and other tissues and altered antioxidant enzyme activities.
Interestingly, feeding curcumin and turmeric to the diabetic rats controlled
oxidative stress by inhibiting the increase in TBARS and protein carbonyls and
reversing altered antioxidant enzyme activities without altering the
hyperglycemic state in most of the tissues. CONCLUSIONS: Turmeric and curcumin
appear to be beneficial in preventing diabetes-induced oxidative stress in rats
despite unaltered hyperglycemic status.
PMID- 18049431
TI - Insulin treatment reduces pre-prandial plasma ghrelin concentrations in children
with type 1 diabetes.
AB - BACKGROUND: Ghrelin is well recognized as a key factor in regulating appetite and
energy homeostasis. The aim of the present study is to characterize the plasma
ghrelin concentrations in children with type 1 diabetes at the time of diagnosis
and to determine the effect of metabolic control after insulin therapy on
circulating ghrelin levels. Also, the relationship between the simultaneous blood
glucose concentrations and fasting plasma ghrelin concentrations was explored.
MATERIAL/METHODS: This prospective study assessed the changes in pre-prandial
plasma ghrelin levels after treatment of type 1 diabetes with insulin. RESULTS:
The study comprised 19 children with new onset diabetes mellitus. Mean plasma
ghrelin levels declined by 29% in diabetic children post insulin treatment
(p=0.007). There was a significant correlation between plasma ghrelin and body
mass index (BMI) in children with type 1 diabetes at diagnosis (r=-0.54), but not
at follow up. The difference in ghrelin at diagnosis and at 3 month follow up
demonstrated an inverse relationship to difference in plasma glucose (r=-0.48).
CONCLUSIONS: Plasma ghrelin concentrations could be suppressed in untreated type
1 diabetic children by improved glycemic control following insulin replacement.
PMID- 18049432
TI - Association of angiotensin-converting enzyme/DD genotype with sarcoidosis
susceptibility in Slovenian patients.
AB - BACKGROUND: Sarcoidosis is a multisystemic chronic inflammatory disorder of
unknown etiology with multifactorial genetic predisposition. An elevated ACE
serum level is considered to be the activity marker of the disease. The
involvement of the ACE I/D polymorphism in sarcoidosis susceptibility has been
investigated in different populations, but results have been inconclusive. The
purpose of this study was to evaluate the possible association of angiotensin
converting enzyme (ACE) gene insertion (I)/deletion (D) polymorphism with
sarcoidosis in the Slovene population. MATERIAL/METHODS: In 105 sarcoidosis
patients (69 female, 36 male, mean age: 41+/-1 years) and in 80 sex- and age
matched control subjects, genotyping for the ACE gene I/D polymorphism was
performed by PCR and restriction enzyme digestion. RESULTS: An increased
frequency of DD homozygotes vs. II homozygotes + ID heterozygotes was found in
the group of sarcoidosis patients compared with the control group (OR: 2.19,
95%CI: 1.12-4.26, p=0.02). No differences in genotype frequencies were found in
the group of sarcoidosis patients when considering the clinical course or
presentation of the disease. CONCLUSIONS: These results indicate that the ACE
gene I/D polymorphism might be a risk factor for sarcoidosis susceptibility in
the Slovene population and imply the possible role of population origin in the
modulation of the influence of ACE gene variability in the pathophysiology of
sarcoidosis.
PMID- 18049433
TI - Alcohol craving, limbic irritability, and stress.
AB - BACKGROUND: Recent findings indicate that craving during alcohol withdrawal, also
in abstinent patients, can relate to kindling phenomena caused by sensitization
in temporo-limbic structures. Because limbic structures are involved in stress,
anxiety, and emotional processing, kindling and temporolimbic seizure-like
activity are also closely related to various psychiatric symptoms frequently
presented without seizure disorders. Recent findings also show that these seizure
like processes are related to limbic irritability, which may also be
significantly influenced by stressful life events. MATERIAL/METHODS: The
hypothesis tested in the present study is that limbic irritability could be
closely related to craving symptoms. Therefore, limbic irritability and craving
were assessed using psychometric measures in 40 alcohol-dependent patients and
the results were compared with those of 40 healthy controls. RESULTS: Statistical
analysis showed highly significant correlation (r=0.75, p<0.0000001) between
limbic irritability (LSCL-33) and craving (ACQ-R) and highly increased limbic
irritability scores in the patients compared with the healthy controls.
CONCLUSIONS: The results indicate that craving symptoms are related to the
kindling process presented in the form of cognitive, affective, sensory, somatic,
behavioral, and memory symptoms linked to limbic irritability and temporo-limbic
seizure-like activity.
PMID- 18049434
TI - Changes in intra-abdominal pressure and central venous and brain venous blood
pressure in patients during extracorporeal circulation.
AB - BACKGROUND: The aim was to analyze IAP changes and the relationships between IAP,
CVP, and brain venous blood pressure, which are still unknown, in patients
undergoing coronary artery bypass grafting (CABG) with extracorporeal
circulation. MATERIAL/METHODS: Twenty-five male patients (aged 53-67 years)
underwent CABG under general anesthesia with normovolemic hemodilution. IAPs were
measured in the urinary bladder, CVP by left internal jugular vein cannulation,
and brain venous blood pressure by retrograde cannulation of the right jugular
vein bulb (JVP, jugular vein pressure) at seven time-points: 1) after induction
of anesthesia before the operation, 2) during internal thoracic artery
preparation, 3) 10 minutes after heart-lung machine disconnection, 4) after
procedure completion, before sending the patient to the intensive postoperative
care unit, 5) one hour after the procedure, 6) 6 hours after the procedure, and
7) 18 hours after the procedure. RESULTS: IAP increased from points 3 to 6. CVP
increased from points 3, 4, and 5 and decreased at point 7. Similar changes were
noted in JVP. There were significant correlations between IAP and CVP at points
1, 2, 3, 4, and 5, IAP and JVP at points 3, 4, and 5, and CVP and JVP at all
points. The overall analysis showed correlations between IAP and CVP and JVP and
very strong correlation between CVP and JVP. CONCLUSIONS: 1) CABG with
extracorporeal circulation resulted in increases in IAP, CVP, and brain venous
blood pressure. 2) The changes in CVP and brain venous blood pressure correlated
with intra-abdominal pressure.
PMID- 18049435
TI - The effect of Nigella sativa L. (black cumin seed) on intractable pediatric
seizures.
AB - BACKGROUND: Despite the availability and use of numerous antiepileptic drugs
(AEDs), nearly 15% of childhood epilepsy cases are resistant to treatment.
However, in traditional medicine, Nigella Sativa L. ("black cumin seed") has been
known for its anticonvulsant effects. This plant is naturally distributed in Iran
and has been widely used as a natural remedy for a long time. In this study the
efficacy of this agent in reducing the frequency of seizures in childhood
refractory epilepsy was assessed. MATERIAL/METHODS: In this double-blinded
crossover clinical trial conducted on children with refractory epilepsy, the
aqueous extract of black seed was administered as an adjunct therapy and the
effects were compared with those of a placebo. Twenty-three children were entered
in the study and 20 remained in the study (13 months to 13 years old, 10 boys and
10 girls). All patients were receiving constant treatment for at least one month
before the study. They received extract (40 mg/kg/8 h) or placebo for a period of
four weeks and between these periods for two weeks they received only their pre
existing anti-epileptic drugs (AEDs). RESULTS: The mean frequency of seizures
decreased significantly during treatment with extract (p<0.05). CONCLUSIONS: It
can be concluded that the water extract of Nigella sativa L. has antiepileptic
effects in children with refractory seizures.
PMID- 18049436
TI - Biochemical changes after a qigong program: lipids, serum enzymes, urea, and
creatinine in healthy subjects.
AB - BACKGROUND: The aim of the present study was to analyze the effects of a qigong
training program on blood biochemical parameters. MATERIAL/METHODS: Twenty-nine
healthy subjects participated in the study of whom 16 were randomly assigned to
the experimental group and 13 to the control. The experimental subjects underwent
daily qigong training for one month. Blood samples for the quantification of
biochemical parameters (total cholesterol, HDL, LDL, triglycerides,
phospholipids, GOT, GPT, GGT, urea, creatinine) were taken before and after the
training program. As statistical analysis, ANCOVA was performed. RESULTS:
Statistically significant differences were found showing that the experimental
group had lower serum levels of GOT (glutamic-oxaloacetic transaminase), GPT
(glutamic-pyruvic transaminase), and urea and that there was a trend towards
significance in GGT (gamma-glutamyltransferase). CONCLUSIONS: This study
demonstrates that after practicing qigong for the short period of one month,
noteworthy changes in several blood biochemical parameters were induced. While it
is tempting to speculate on the relevance and implications of these biochemical
variations, further investigation is needed to elucidate the scope of these
findings.
PMID- 18049437
TI - Is there any relationship between streptococcal infection and multiple sclerosis?
AB - BACKGROUND: Multiple sclerosis (MS) is an immune-mediated inflammatory
demyelinating disease of uncertain etiology. Although the mechanisms of inducting
autoimmunity by some of the infectious agents have been investigated, there is
not yet enough research on streptococcal infections. MATERIAL/METHODS: To
understand the effect of past group A streptococcal infection on MS,
antistreptolysin O (ASO) and antideoxyribonuclease B (ADNase B) were measured in
21 patients with relapsing-remitting MS and 21 healthy blood donors by
nephelometric assay. RESULTS: ADNase B levels in the patients with MS were found
to be significantly higher than in the controls (p<0.001); however, ASO levels
were similar in both groups. CONCLUSIONS: These findings indicate that a
relationship between multiple sclerosis and streptococcal infections may exist,
but to acquire a better understanding of the role of group A streptococci in the
pathogenesis of multiple sclerosis, more studies with animal models are
necessary.
PMID- 18049438
TI - Low-grade inflammation in white-coat hypertension.
AB - BACKGROUND: C-reactive protein (CRP), a marker of systemic low-grade
inflammation, is frequently elevated in essential hypertension and predicts
cardiovascular prognosis independently of conventional risk factors. The risk
profile of white-coat hypertension is not yet completely clear. The aim of this
study was to determine the levels of high-sensitivity CRP (hs-CRP) in white-coat
hypertensive subjects. MATERIAL/METHODS: Thirty-six age-, sex-, and body mass
index-matched white-coat hypertensive subjects, 36 essential hypertensive
patients, and 36 normotensive subjects were included in the study. RESULTS: Hs
CRP levels were significantly higher in the essential hypertensive and white-coat
hypertensive groups than in the normotensive group (0.66+/-0.29, 0.47+/-0.32, and
0.27+/-0.22 mg/dl, respectively, p<0.001). It was also higher in the essential
hypertensive group than in the white-coat hypertensive group (p=0.014).
CONCLUSIONS: Our data show that patients with white-coat hypertension have higher
hs-CRP levels than normotensive patients and this may be an indication of
increased risk.
PMID- 18049439
TI - The role of echocardiography and its comparison with NT-proBNP measurements in
patients with acute myocardial infarction.
AB - BACKGROUND: This study investigated the use of echocardiography in the early
detection of regional wall motion abnormalities (RWMA) in patients presenting
with acute myocardial infarction (AMI). The relationship between RWMA and
mechanical complications, as assessed by two-dimensional echocardiography, and
admission levels of amino terminal fragment of pro-brain natriuretic peptide (NT-
proBNP) was also examined. MATERIAL/METHODS: The study population comprised 226
patients admitted to hospital with a diagnosis of AMI. Echocardiography and NT
proBNP measurements were performed on all patients. RESULTS: Sixty-eight percent
of the patients with AMI were found to have RWMA on echocardiography.
Significantly more patients had RWMA within any given range of ejection fraction
(EF) (p<0.001), but this difference was most pronounced in those with left
ventricular (LV) dysfunction. Mitral regurgitation was the most common
complication (48%) seen on echocardiography. The majority of patients (84%) had
elevated NT-proBNP levels on admission; this was evident in all categories of EF
(p=0.003). In those with normal EF on echocardiography (58%), more patients had
elevated levels regardless of the presence of RWMA. CONCLUSIONS: This study
showed that echocardiography is useful in the detection of RWMA in the early
stages of AMI. No significant relationship was demonstrated between NT-proBNP
levels and RWMA in patients with normal or abnormal LV function. Admission plasma
NT-proBNP may, however, be considered as an additional marker in the diagnosis of
AMI, especially in those without RWMA.
PMID- 18049440
TI - The effects of medical treatment and rehabilitation in a patient with adult
tethered cord syndrome in the late postoperative period.
AB - BACKGROUND: The possibility of functional tethered cord syndrome (TCS) should be
considered when adolescent patients present with unexplained lumbar, buttock, and
leg symptoms. Symptoms progress if untreated. Success depends on early diagnosis,
the complete untethering of the spinal cord, and rehabilitation. A rare case of
adult TCS is reported. CASE REPORT: A 42-year-old female patient with lower back
and leg pain, severe flexor reflex responses, and a walking disorder was
evaluated. Gabapentin and tizanidine were given to treat the neuropathic pain and
flexor reflex responses. An exercise program was applied to improve the walking
disorder. Finally, the patient's complaints of pain considerably decreased,
flexor reflex responses were reduced, and a more comfortable walking motion was
observed. CONCLUSIONS: A rehabilitative approach is very important and a
multidisciplinary follow-up and treatment procedure is required in the clinical
healing process of all patients presenting with a tethered cord condition,
whether surgical intervention is carried out or not.
PMID- 18049441
TI - Paraganglioma of the larynx: a case report.
AB - BACKGROUND: Paragangliomas arise from paraganglion cells as part of the diffuse
neuroendocrine system. These tumors are generally considered benign. They appear
rarely in the larynx and most of them are supraglottic. CASE REPORT: We present
the case of a 45-year-old female patient who presented with a history of
hoarseness for two months and difficulties in swallowing for twenty days.
Fiberoptic endoscopic examination showed a submucosal mass involving the left
side of the larynx. The subglottic area of the larynx was normal as were the base
of the tongue and pharynx. The mobility of the true vocal cords was normal. There
was no stridor or signs of airway obstruction and no neck lemphadenopathy. The
patient underwent a direct microlaryngoscopy under general anesthesia. The tumor
was entirely removed along with the left aryepiglottic fold with respect to the
surrounding tissues. Histopathological examination showed a benign laryngeal
paraganglioma. CONCLUSIONS: Paragangliomas of the larynx are rare neuroendocrine
tumors. Most of them are supraglottic. The differential diagnosis of laryngeal
paraganglioma includes typical carcinoid, atypical carcinoid, small-cell
neuroendocrine carcinoma, malignant melanoma, and medullary carcinoma of the
thyroid gland. Although these tumors are generally considered benign, their
surgical removal with respect to the surrounding tissues and maximal possible
preservation of laryngeal function is the treatment of choice.
PMID- 18049442
TI - Effects of Yoga and an Ayurveda preparation on gait, balance and mobility in
older persons.
PMID- 18049443
TI - Piezosurgery in the excision of middle-ear tumors: effects on mineralized and non
mineralized tissues.
AB - BACKGROUND: Piezosurgery is a new instrument able to cut bone without necrosis
and non-mineralized tissue damage. The aim of this study was to test the
Piezosurgery as a new and alternative method for the excision of middle-ear
tumors and to analyze its effects on soft tissues. MATERIAL/METHODS: The
Piezosurgery device was used to excise eight glomus tympanicum tumors and two
primary B-cell lymphomas of the middle ear. The piezoelectric device uses low
frequency ultrasonic waves (24.7-29.5 kHz); the applied power can be modulated
between 2.8 and 16 W and is programmed in accordance to the density of the bone.
The equipment consists of two hand-pieces, two inserts, and two peristaltic
pumps. The microvibrations that are created in the piezoelectric hand-piece cause
the inserts to vibrate linearly by between 60 and 210 microm. RESULTS:
Piezosurgery provided excellent control in all the patients, without bleeding and
harmful effects on the adjacent structures of the middle and inner ear.
CONCLUSIONS: Piezosurgery is a new and revolutionary osteotomy technique
utilizing the microvibrations of scalpels at ultrasonic frequency, so that soft
tissue will not be damaged even upon accidental contact with the cutting tip. The
vibration frequency of Piezosurgery is optimal for mineralized tissue and does
not cut the adjacent soft tissue, minimizing the risk of harming the adjacent
tissues. This renders the piezoelectric device ideal for application at the
border between hard and soft tissues as in the excision of a middle-ear tumor.
PMID- 18049444
TI - Mandatory neonatal male circumcision in Sub-Saharan Africa: medical and ethical
analysis.
AB - The majority of those infected with HIV in sub-Saharan Africa do not have access
to antiretroviral therapy, which is known to prolong the lives of HIV-positive
persons in industrialized countries. Although the availability of antiretroviral
therapy for those infected with HIV has increased worldwide, the infection rate
out surpasses those started on such treatment. Without an AIDS vaccine or
curative treatment, and given the difficulty in getting persons at risk to adopt
healthy sexual behaviors, alternative approaches to decrease the spread of HIV
infection are urgently needed. Three recent randomized controlled trials
undertaken in Kisumu, Kenya, Raki District, Uganda and Orange Farm, South Africa
have confirmed that male circumcision reduces the risk of heterosexually acquired
HIV infection in men by approximately 51% to 60%. These three studies provide a
solid evidence-base for future health policy. The procedure for adolescents and
adults is expensive compared to abstinence, condoms or other methods; and the
surgery is not without serious risks if performed by traditional healers using
unsterilized blades as often happens in rural Africa. However, neonatally, the
procedure is relatively inexpensive and the risks diminish considerably.
Mandating neonatal male circumcision is an effective therapy that has minimal
risks, is cost efficient and will save human lives. To deny individuals access to
this effective therapy is to deny them the dignity and respect all persons
deserve. Neonatal male circumcision is medically necessary and ethically
imperative.
PMID- 18049445
TI - Acetylcholinesterase and butyrylcholinesterase as possible markers of low-grade
systemic inflammation.
AB - Plasma levels of C-reactive protein, interleukin-6, tumor necrosis factor-alpha,
and lipid peroxides are high whereas those of endothelial nitric oxide are low in
insulin resistance, obesity, type 2 diabetes mellitus, hypertension,
hyperlipidemias, metabolic syndrome X, and Alzheimer's disease suggesting that
these diseases are characterized by low-grade systemic inflammation. Recent
studies showed that the plasma and tissue activities of enzymes
butyrylcholinesterase and acetylcholinesterase are elevated in patients with
Alzheimer's disease, and diabetes mellitus, hypertension, insulin resistance, and
hyperlipidemia. As a result of this increase in the activities of enzymes
acetylcholinesterase and butyrylcholinesterase, the plasma and tissue levels of
acetylcholine (ACh) will be low. The "cholinergic anti-inflammatory pathway"
mediated by acetylcholine acts by inhibiting the production of tumor necrosis
factor, interleukin-1, macrophage migration inhibitory factor, and high mobility
group box-1 and suppresses the activation of nuclear factor-kappa B expression.
ACh is a neurotransmitter and regulates the levels and activities of serotonin,
dopamine and other neuropeptides and thus, modulates both immune response and
neurotransmission. Hence, both acetylcholinesterase and butyrylcholinesterase by
inactivating acetylcholine may enhance inflammation. This suggests that increased
plasma and tissue activities of acetylcholinesterase and butyrylcholinesterase
seen in various clinical conditions could serve as a marker of low-grade systemic
inflammation.
PMID- 18049446
TI - Persistence of evolutionary memory: primordial six-transmembrane helical domain
mu opiate receptors selectively linked to endogenous morphine signaling.
AB - Biochemical, molecular and pharmacological evidence for two unique six
transmembrane helical (TMH) domain opiate receptors expressed from the micro
opioid receptor (MOR) gene have been shown. Designated micro3 and micro4
receptors, both protein species are Class A rhodopsin-like members of the
superfamily of G-protein coupled receptors but are selectively tailored to
mediate the cellular regulatory effects of endogenous morphine and related
morphinan alkaloids via stimulation of nitric oxide (NO) production and release.
Both micro3 and micro4 receptors lack an amino acid sequence of approximately 90
amino acids that constitute the extracellular N-terminal and TMH1 domains and
part of the first intracellular loop of the micro1 receptor, but retain the
empirically defined ligand binding pocket distributed across conserved TMH2,
TMH3, and TMH7 domains of the micro1 sequence. Additionally, the receptor
proteins are terminated by unique intracellular C-terminal amino acid sequences
that serve as putative coupling or docking domains required for constitutive NO
synthase activation. Because the recognition profile of micro3 and micro4
receptors is restricted to rigid benzylisoquinoline alkaloids typified by
morphine and its extended family of chemical congeners, it is hypothesized that
conformational stabilization provided by interaction of extended extracellular N
terminal protein domains and the extracellular loops is required for binding of
endogenous opioid peptides as well as synthetic flexible opiate alkaloids.
PMID- 18049447
TI - Loss-of-function mutations in the filaggrin gene and allergic contact
sensitization to nickel.
AB - Allergic contact dermatitis is one of the most frequent dermatological problems
affecting 7% of the general population. Impaired skin barrier function
facilitates the penetration of contact allergens and irritants into the epidermal
layer and is regarded as an important cofactor promoting the process of allergic
contact sensitization. Filaggrin is crucial for the maintenance of the skin
barrier function. Loss-of-function mutations within the filaggrin (FLG) gene are
associated with skin barrier diseases such as ichthyosis vulgaris and atopic
eczema (AE). To assess the impact of FLG on allergic contact sensitization and
plausible intermediate traits, the two prevalent FLG mutations R501X and 2282del4
were typed in 1,502 individuals of the KORA C population-based cohort with
extensive dermatologic phenotyping. Associations of FLG mutations with AE could
be replicated. Strong associations were seen with dry skin, palmar
hyperlinearity, and keratosis pilaris. In addition, an association with contact
sensitization to nickel and contact sensitization to nickel combined with
intolerance to fashion jewelry, but not with other contact allergens, was
observed. From these data, we conclude that a genetically determined FLG
deficiency manifests as dry skin and features of ichthyosis vulgaris. In
addition, FLG deficiency may also represent a risk factor for contact
sensitization to allergens.
PMID- 18049448
TI - Propionibacterium acnes stimulates pro-matrix metalloproteinase-2 expression
through tumor necrosis factor-alpha in human dermal fibroblasts.
AB - Propionibacterium acnes (P. acnes) is a commensal microorganism found in sebum
rich skin and plays a role in acne inflammation by stimulating keratinocyte to
produce a number of proinflammatory cytokines. However, the role of P. acnes in
the dermis of acne lesions, where tissue remodeling after inflammation eventually
takes place, is not known. In this study, we investigated whether P. acnes
induces matrix metalloproteinase (MMP), a key enzyme involved in matrix
remodeling in human dermal fibroblasts (hDF). We found that P. acnes increased
expression of pro-matrix metalloproteinase (proMMP)-2 mRNA/protein in hDF, but
not that of proMMP-9. Concomitantly, P. acnes induced tumor necrosis factor-alpha
(TNF-alpha) mRNA/protein expression in hDF, which in turn increases both proMMP-2
mRNA and protein expression. P. acnes induced such changes through the activated
NF-kappaB pathway. Doxycycline was found to inhibit the expression of proMMP-2
induced either by P. acnes or TNF-alpha. These results suggest that P. acnes
stimulates hDF to produce TNF-alpha, which mediates the expression of proMMP-2
through the NF-kappaB pathway. The secretion of proMMP-2 from hDF upon P. acnes
stimulation may contribute to the pathogenesis of tissue remodeling in acne skin.
PMID- 18049449
TI - KRT14 haploinsufficiency results in increased susceptibility of keratinocytes to
TNF-alpha-induced apoptosis and causes Naegeli-Franceschetti-Jadassohn syndrome.
AB - Naegeli-Franceschetti-Jadassohn syndrome (NFJS) is a rare autosomal dominant
disorder characterized by loss of dermatoglyphics, reticulate hyperpigmentation
of the skin, palmoplantar keratoderma, abnormal sweating, and other developmental
anomalies of the teeth, hair, and skin. We recently demonstrated that NFJS is
caused by heterozygous nonsense or frameshift mutations in the E1/V1-encoding
region of KRT14, but the mechanisms for their deleterious effects in NFJS remain
elusive. In this study, we further expand the spectrum of NFJS-causing mutations
and demonstrate that these mutations result in haploinsufficiency for keratin 14
(K14). As increased apoptotic activity was observed in the epidermal basal cell
layer in NFJS patients and as previous data suggested that type I keratins may
confer resistance to tumor necrosis factor-alpha (TNF-alpha)-induced apoptosis in
epithelial tissues, we assessed the effect of down-regulation of KRT14 expression
on apoptotic activity in keratinocytes. Using a HaCaT cell-based assay, we found
that decreased KRT14 expression is associated with increased susceptibility to
TNF-alpha-induced apoptosis. This phenomenon was not observed when cells were
cultured in the presence of doxycycline, a known negative regulator of TNF-alpha
dependant pro-apoptotic signaling. Collectively, our results indicate that NFJS
results from haploinsufficiency for K14 and suggest that increased susceptibility
of keratinocytes to pro-apoptotic signals may be involved in the pathogenesis of
this ectodermal dysplasia syndrome.
PMID- 18049450
TI - Polymorphisms of TP53 Arg72Pro, but not p73 G4C14>A4TA4 and p21 Ser31Arg,
contribute to risk of cutaneous melanoma.
PMID- 18049451
TI - The epidermal growth factor receptor system in skin repair and inflammation.
AB - The epidermal growth factor (EGF) family comprises multiple mediators such as
transforming growth factor-alpha, amphiregulin, heparin binding-EGF, and
epiregulin, which are crucially involved in the tissue-specific
proliferation/differentiation homeostasis. Typically, they act in an autocrine
and paracrine manner on their specific cell membrane receptor and mount an
effective reparative response to any attack to biophysical integrity. In
addition, the EGFR can be activated by transactivation from a variety of G
protein-coupled receptors, integrins, and cytokine receptors, so that it acts as
the major transducer of disparate cell functions, including changes in
proliferation rate, cellular shape, attachment and motility, and regulation of
proinflammatory activation. However, numerous experimental observations indicate
that the different EGFR ligands are not redundant, but may rather provide
distinct and specific contributions to keratinocyte functions. Importantly,
increasing evidence now suggests that the EGFR pathway has a major impact on the
inflammatory/immune reactions of the skin, in the apparent effort of enhancing
innate immune defense while opposing overactivation of keratinocyte pro
inflammatory functions. This review covers the molecular mechanisms and functions
activated by this major growth factor system in the regulation of keratinocyte
biology and focuses on the complex contribution of EGFR signaling to the
inflammatory processes in the skin.
PMID- 18049452
TI - Development of atopic dermatitis in mice transgenic for human apolipoprotein C1.
AB - Mice with transgenic expression of human apolipoprotein C1 (APOC1) in liver and
skin have strongly increased serum levels of cholesterol, triglycerides, and free
fatty acids, indicative of a disturbed lipid metabolism. Importantly, these mice
display a disturbed skin barrier function, evident from increased transepidermal
water loss, and spontaneously develop symptoms of dermatitis including scaling,
lichenification, excoriations, and pruritus. Histological analysis shows
increased epidermal thickening and spongiosis in conjunction with elevated
numbers of inflammatory cells (eosinophils, neutrophils, mast cells, macrophages,
and CD4+ T cells) in the dermis. In addition, affected mice have increased serum
levels of IgE and show abundant IgE(+) mast cells in the dermis. Partial
inhibition of disease could be achieved by restoration of the skin barrier
function with topical application of a lipophilic ointment. Furthermore, the
development of atopic dermatitis in these mice was suppressed by corticosteroid
treatment. These findings in APOC1(+/+) mice underscore the role of skin barrier
integrity in the pathogenesis of atopic dermatitis.
PMID- 18049453
TI - Pseudoxanthoma elasticum: oxidative stress and antioxidant diet in a mouse model
(Abcc6-/-).
AB - Pseudoxanthoma elasticum (PXE), a multisystem disorder characterized by ectopic
mineralization of soft connective tissues, is caused by mutations in the ABCC6
gene. The pathomechanistic details of the mineralization process are largely
unknown, but oxidative stress has been suggested to play a role. In this study,
we tested Abcc6(-/-) mice, which serve as a model of PXE, for markers of the
oxidative stress in the liver and serum. The total antioxidant capacity as well
as markers of protein oxidation and lipid peroxidation suggested the presence of
chronic oxidative stress. Feeding these mice for 5 months with a diet
supplemented with antioxidants (vitamins C and E, selenium, and N-acetylcysteine)
countered the oxidative stress but did not modify the ectopic mineralization
process. These results suggest that the Abcc6(-/-) mice suffer from chronic
oxidative stress but this does not contribute to connective tissue
mineralization, the hallmark of PXE.
PMID- 18049454
TI - Glove-derived foreign proteins induce allergen-specific IgE in a mouse model.
AB - Currently, most medical gloves are produced with a low content of natural rubber
latex (NRL) protein. However, they may be substituted by proteins of foreign
origin to maintain specific properties of the material. The aim of this study was
to investigate the allergenicity and immunogenicity of unexpected proteins (i.e.,
soy and casein) compared with NRL proteins in a murine model in BALB/c mice. All
respective allergen sources (extracts from three brands of NRL gloves, soy, and
casein) were able to induce significant allergen-specific IgE and IgG(1)
responses. On average, the highest IgE induction occurred after immunization with
NRL, followed by soy and casein. Certain individuals from each treatment group
exhibited levels of specific IgE as high as due to NRL. To analyze further
specific IgE responses on a single allergen level, we established a microarray
based on recombinant allergens for allergen-specific murine IgE detection.
Besides specific IgE against rHev b 3, -6, -7, -8, and -11, specific IgE against
kappa-casein could be detected in mice immunized with NRL glove extract,
indicating a sensitization potential of the contained foreign protein. The
substitution of genuine latex proteins by proteins of foreign origin may lead to
a shift and de novo increase in sensitization to the finished products.
PMID- 18049455
TI - Coding and non-coding polymorphisms in alcohol dehydrogenase alters protein
expression and alcohol-associated erythema.
AB - Ethanol (EtOH), isopropyl alcohol (IPA), and propylene glycol (PG) increase
topical drug delivery, but are sometimes associated with erythema. A potential
genetic basis for alcohol-associated erythema was investigated as the function of
polymorphisms in coding and non-coding regions of class IB alcohol dehydrogenase
(ADHIB) and evaluated for altered gene expression in vitro and metabolic activity
in vivo via altered skin blood flow (Doppler velocimeter) and erythema
(reflectance colorimeter a*) following topical challenge to 5 M EtOH, IPA, PG,
and butanol (ButOH). Promoter polymorphisms G-887A and C-739T and exon G143A form
eight ADHIB haplotypes with different frequencies in Caucasians vs Asians and
exhibit variable gene expression and metabolic activity. Polymorphisms C-739T and
G-887A independently alter gene expression, which is further increased by IPA and
PG, but not EtOH or ButOH. EtOH and ButOH increase erythema as a function of skin
blood flow. IPA increases skin blood flow without erythema and PG increased
erythema with decreased skin blood flow, all as a function of ADHIB haplotype. PG
induced erythema was uniquely associated with tumor necrosis factor-alpha
expression. Thus, erythema following alcohol exposure is alcohol type specific,
has a pharmacogenetic basis related to ADHIB haplotype and can be functionally
evaluated via Doppler velocimetry and reflectance colorimetry in vivo.
PMID- 18049456
TI - A novel DSF-like signal from Burkholderia cenocepacia interferes with Candida
albicans morphological transition.
AB - In addition to producing lethal antibiotics, microorganisms may also use a new
form of antagonistic mechanism in which signal molecules are exported to
influence the gene expression and hence the ecological competence of their
competitors. We report here the isolation and characterization of a novel
signaling molecule, cis-2-dodecenoic acid (BDSF), from Burkholderia cenocepacia.
BDSF is structurally similar to the diffusible signal factor (DSF) that is
produced by the RpfF enzyme of Xanthomonas campestris. Deletion analysis
demonstrated that Bcam0581, which encodes an RpfF homologue, was essential for
BDSF production. The gene is highly conserved and widespread in the Burkholderia
cepacia complex. Exogenous addition of BDSF restored the biofilm and
extracellular polysaccharide production phenotypes of Xanthomonas campestris pv.
campestris DSF-deficient mutants, highlighting its potential role in inter
species signaling. Further analyses showed that Candida albicans germ tube
formation was strongly inhibited by either coculture with B. cenocepacia or by
exogenous addition of physiological relevant levels of BDSF, whereas deletion of
Bcam0581 abrogated the inhibitory ability of the bacterial pathogen. As B.
cenocepacia and C. albicans are frequently encountered human pathogens,
identification of the BDSF signal and its activity thus provides a new insight
into the molecular grounds of their antagonistic interactions whose importance to
microbial ecology and pathogenesis is now becoming evident.
PMID- 18049457
TI - Effect of earthworms on the community structure of active methanotrophic bacteria
in a landfill cover soil.
AB - In the United Kingdom, landfills are the primary anthropogenic source of methane
emissions. Methanotrophic bacteria present in landfill biocovers can
significantly reduce methane emissions via their capacity to oxidize up to 100%
of the methane produced. Several biotic and abiotic parameters regulate methane
oxidation in soil, such as oxygen, moisture, methane concentration and
temperature. Earthworm-mediated bioturbation has been linked to an increase in
methanotrophy in a landfill biocover soil (AC Singer et al., unpublished), but
the mechanism of this trophic interaction remains unclear. The aims of this study
were to determine the composition of the active methanotroph community and to
investigate the interactions between earthworms and bacteria in this landfill
biocover soil where the methane oxidation activity was significantly increased by
the earthworms. Soil microcosms were incubated with 13C-CH4 and with or without
earthworms. DNA and RNA were extracted to characterize the soil bacterial
communities, with a particular emphasis on methanotroph populations, using
phylogenetic (16S ribosomal RNA) and functional methane monooxygenase (pmoA and
mmoX) gene probes, coupled with denaturing gradient-gel electrophoresis, clone
libraries and pmoA microarray analyses. Stable isotope probing (SIP) using 13C
CH4 substrate allowed us to link microbial function with identity of bacteria via
selective recovery of 'heavy' 13C-labelled DNA or RNA and to assess the effect of
earthworms on the active methanotroph populations. Both types I and II
methanotrophs actively oxidized methane in the landfill soil studied. Results
suggested that the earthworm-mediated increase in methane oxidation rate in the
landfill soil was more likely to be due to the stimulation of bacterial growth or
activity than to substantial shifts in the methanotroph community structure. A
Bacteroidetes-related bacterium was identified only in the active bacterial
community of earthworm-incubated soil but its capacity to actually oxidize
methane has to be proven.
PMID- 18049458
TI - Effects of aboveground grazing on coupling among nitrifier activity, abundance
and community structure.
AB - The influence of switches in grassland management to or from grazing on the
dynamics of nitrifier activity, as well as the abundance of ammonia-oxidizing
bacteria, AOB and ammonia-oxidizing archeae, AOA, was analyzed for two years
after changing management. Additionally community structure of AOB was surveyed.
Four treatments were compared in mesocosms: grazing on previously grazed
grassland (G-G); no grazing on ungrazed grassland (U-U); grazing on ungrazed
grassland (U-G) and cessation of grazing on grazed grassland (G-U). Nitrifier
activity and abundance were always higher for G-G than U-U treatments and AOB
community structure differed between these treatments. AOA abundance was in the
same range as AOB abundance and followed the same trend. Grazing led to a change
in AOB community structure within <5 months and a subsequent (5-12 months)
increase in nitrifier activity and abundance. In contrast, cessation of grazing
led to a decrease in nitrifier activity and abundance within <5 months and to a
later (5-12 months) change in AOB community structure. Activity in G-U and U-G
was similar to that in U-U and G-G, respectively, after 12 months. Sequence
analysis of 16S rRNA gene clones showed that AOB retrieved from soils fell within
the Nitrosospira lineage and percentages of AOB related to known Nitrosospira
groups were affected by grazing. These results demonstrate that AOB and AOA
respond quickly to changes in management. The selection of nitrifiers adapted to
novel environmental conditions was a prerequisite for nitrification enhancement
in U-G, whereas nitrification decrease in G-U was likely due to a partial
starvation and decrease in the abundance of nitrifiers initially present. The
results also suggest that taxonomic affiliation does not fully infer functional
traits of AOB.
PMID- 18049459
TI - Physiology and genetic traits of reverse osmosis membrane biofilms: a case study
with Pseudomonas aeruginosa.
AB - Biofilm formation of Pseudomonas aeruginosa on the surface of a reverse osmosis
(RO) membrane was studied using a synthetic wastewater medium to simulate
conditions relevant to reclamation of secondary wastewater effluent. P.
aeruginosa biofilm physiology and spatial activity were analyzed following growth
on the membrane using a short-life green fluorescent protein derivative expressed
in a growth-dependent manner. As a consequence of the limiting carbon source
prevailing in the suspended culture of the RO unit, a higher distribution of
active cells was observed in the biofilm close to the membrane surface, likely
due to the higher nutrient levels induced by concentration polarization effects.
The faster growth of the RO-sessile cells compared to the planktonic cells in the
RO unit was reflected by the transcriptome of the two cultures analyzed with DNA
microarrays. In contrast to the findings recently reported in gene expression
studies of P. aeruginosa biofilms, in the RO system, genes related to stress,
adaptation, chemotaxis and resistance to antibacterial agents were induced in the
planktonic cells. In agreement with the findings of previous P. aeruginosa
biofilm studies, motility- and attachment-related genes were repressed in the RO
P. aeruginosa biofilm. Supported by the microarray data, an increase in both
motility and chemotaxis phenotypes was observed in the suspended cells. The
increase in nutrient concentration in close proximity to the membrane is
suggested to enhance biofouling by chemotaxis response of the suspended cells and
their swimming toward the membrane surface.
PMID- 18049460
TI - Comparison of lysogeny (prophage induction) in heterotrophic bacterial and
Synechococcus populations in the Gulf of Mexico and Mississippi River plume.
AB - Lysogeny has been documented as a fundamental process occurring in natural marine
communities of heterotrophic and autotrophic bacteria. Prophage induction has
been observed to be prevalent during conditions of low host abundance, but
factors controlling the process are poorly understood. A research cruise was
undertaken to the Gulf of Mexico during July 2005 to explore environmental
factors associated with lysogeny. Ambient physical and microbial parameters were
measured and prophage induction experiments were performed in contrasting
oligotrophic Gulf and eutrophic Mississippi plume areas. Three of 11 prophage
induction experiments in heterotrophic bacteria (27%) demonstrated significant
induction in response to Mitomycin C. In contrast, there was significant
Synechococcus cyanophage induction in seven of nine experiments (77.8%). A strong
negative correlation was observed between lysogeny and log-transformed activity
measurements for both heterotrophic and autotrophic populations (r=-0.876,
P=0.002 and r=-0.815, P=0.025, respectively), indicating that bacterioplankton
with low host growth favor lysogeny. Multivariate statistical analyses indicated
that ambient level of viral abundance and productivity were inversely related to
heterotrophic prophage induction and both factors combined were most predictive
of lysogeny (rho=0.899, P=0.001). For Synechococcus, low ambient cyanophage
abundance was most predictive of lysogeny (rho=0.862, P=0.005). Abundance and
productivity of heterotrophic bacteria was strongly inversely correlated with
salinity, while Synechococcus was not. This indicated that heterotrophic
bacterial populations were well adapted to the river plume environments, thus
providing a possible explanation for differences in prevalence of lysogeny
observed between the two populations.
PMID- 18049461
TI - Interactions between bacterial carbon monoxide and hydrogen consumption and plant
development on recent volcanic deposits.
AB - Patterns of microbial colonization and interactions between microbial processes
and vascular plants on volcanic deposits have received little attention. Previous
reports have shown that atmospheric CO and hydrogen contribute significantly to
microbial metabolism on Kilauea volcano (Hawaii) deposits with varied ages and
successional development. Relationships between CO oxidation and plant
communities were not clear, however, since deposit age and vegetation status
covaried. To determine plant-microbe interactions in deposits of uniform ages, CO
and hydrogen dynamics have been assayed for unvegetated tephra on a 1959 deposit
at Pu'u Puai (PP-bare), at the edge of tree 'islands' within the PP deposit (PP
edge) and within PP tree islands (PP-canopy). Similar assays have been conducted
for vegetated and unvegetated sites on a 1969 Mauna Ulu (MU) lava flow. Net in
situ atmospheric CO uptake was highest at PP-edge and PP-bare sites (2.2+/-0.5
and 1.3+/-0.1 mg CO m(-2) day(-1), respectively), and least for PP-canopy (-3.2+/
0.9 mg CO m(-2) day(-1), net emission). Respiration rates, microbial biomass and
maximum CO uptake potential showed an opposing pattern. Comparisons of
atmospheric CO uptake and CO(2) production rates indicate that CO contributes
significantly to microbial metabolism in PP-bare and MU-unvegetated sites, but
negligibly where vegetation is well developed. Nonetheless, maximum potential CO
uptake rates indicate that CO oxidizer populations increase with increasing plant
biomass and consume CO actively. Some of these CO oxidizers may contribute to
elevated nitrogen fixation rates (acetylene reduction) measured within tree
islands, and thus, support plant successional development.
PMID- 18049464
TI - Retraction: Reduced semen quality in chronic prostatitis patients that induce the
release of apoptotic protein Omi/HtrA2 from spermatozoa.
PMID- 18049462
TI - Report from London.
PMID- 18049465
TI - Protein engineers turned evolutionists.
AB - When generating novel tailor-made proteins, protein engineers routinely apply the
principles of 'Darwinian' evolution. However, laboratory evolution of proteins
also has the potential to test evolutionary theories and reproduce evolutionary
scenarios, thus reconstructing putative protein intermediates and providing a
glimpse of 'protein fossils'. This commentary describes research at the interface
of applied and fundamental molecular evolution, and provides a personal view of
how synergy between fundamental and applied experiments indicates novel and more
efficient ways of generating new proteins in the laboratory.
PMID- 18049466
TI - Dissecting protein structure and function using directed evolution.
AB - To characterize the contributions of individual amino acids to the structure or
function of a protein, researchers have adopted directed evolution approaches,
which use iterated cycles of mutagenesis and selection or screening to search
vast areas of sequence space for sets of mutations that provide insights into the
protein of interest.
PMID- 18049467
TI - Switching parasite proteins on and off.
PMID- 18049468
TI - Illuminating aggregate heterogeneity in neurodegenerative disease.
PMID- 18049469
TI - High-resolution insertion-site analysis by linear amplification-mediated PCR (LAM
PCR).
AB - Integrating vector systems used in clinical gene therapy have proven their
therapeutic potential in the long-term correction of immunodeficiencies. The
integration loci of such vectors in the cellular genome represent a molecular
marker unique for each transduced cell and its clonal progeny. To gain insight
into the physiology of gene-modified hematopoietic repopulation and vector
related influences on clonal contributions, we have previously introduced a
technology--linear amplification-mediated (LAM) PCR--for detecting and sequencing
unknown DNA flanking sequences down to the single cell level (Supplementary Note
online). LAM-PCR analyses have enabled qualitative and quantitative measurements
of the clonal kinetics of hematopoietic regeneration in gene transfer studies,
and uncovered the clonal derivation of non-leukemogenic and leukemogenic
insertional side effects in preclinical and clinical gene therapy studies. The
reliability and robustness of this method results from the initial
preamplification of the vector-genome junctions preceding nontarget DNA removal
via magnetic selection. Subsequent steps are carried out on a semisolid
streptavidin phase, including synthesis of double complementary strands,
restriction digest, ligation of a linker cassette onto the genomic end of the
fragment and exponential PCR(s) with vector- and linker cassette-specific
primers. LAM-PCR can be adjusted to all unknown DNA sequences adjacent to a known
DNA sequence. Here we describe the use of LAM-PCR analyses to identify 5' long
terminal repeat (LTR) retroviral vector adjacent genomic sequences.
PMID- 18049471
TI - The war against influenza: discovery and development of sialidase inhibitors.
AB - The threat of a major human influenza pandemic, in particular from highly
aggressive strains such as avian H5N1, has emphasized the need for therapeutic
strategies to combat these pathogens. At present, two inhibitors of sialidase
(also known as neuraminidase), a viral enzyme that has a key role in the life
cycle of influenza viruses, would be the mainstay of pharmacological strategies
in the event of such a pandemic. This article provides a historical perspective
on the discovery and development of these drugs--zanamivir and oseltamivir--and
highlights the value of structure-based drug design in this process.
PMID- 18049472
TI - Interferons at age 50: past, current and future impact on biomedicine.
AB - The family of interferon (IFN) proteins has now more than reached the potential
envisioned by early discovering virologists: IFNs are not only antivirals with a
spectrum of clinical effectiveness against both RNA and DNA viruses, but are also
the prototypic biological response modifiers for oncology, and show effectiveness
in suppressing manifestations of multiple sclerosis. Studies of IFNs have
resulted in fundamental insights into cellular signalling mechanisms, gene
transcription and innate and acquired immunity. Further elucidation of the
multitude of IFN-induced genes, as well as drug development strategies targeting
IFN production via the activation of the Toll-like receptors (TLRs), will almost
certainly lead to newer and more efficacious therapeutics. Our goal is to offer a
molecular and clinical perspective that will enable IFNs or their TLR agonist
inducers to reach their full clinical potential.
PMID- 18049473
TI - The way forward in HCV treatment--finding the right path.
AB - Infection with the hepatitis C virus (HCV) represents an important health-care
problem worldwide. The prevalence of HCV-related disease is increasing, and no
vaccine is yet available. Since the identification of HCV as the causative agent
of non-A, non-B hepatitis, treatment has progressed rapidly, but morbidity and
mortality rates are still predicted to rise. Novel, more efficacious and
tolerable therapies are urgently needed, and a greater understanding of the viral
life cycle has led to an increase in the number of possible targets for antiviral
intervention. Here we review the specific challenges posed by HCV, and recent
developments in the design of vaccines and novel antiviral agents.
PMID- 18049474
TI - The design of drugs for HIV and HCV.
AB - Since the discovery of the human immunodeficiency virus (HIV) in 1983, dramatic
progress has been made in the development of novel antiviral drugs. The HIV
epidemic fuelled the development of new antiviral drug classes, which are now
combined to provide highly active antiretroviral therapies. The need for the
treatment of hepatitis C virus (HCV), which was discovered in 1989, has also
provided considerable impetus for the development of new classes of antiviral
drugs, and future treatment strategies for chronic HCV might involve combination
regimens that are analogous to those currently used for HIV. By considering the
drug targets in the different stages of the life cycle of these two viruses, this
article presents aspects of the history, medicinal chemistry and mechanisms of
action of approved and investigational drugs for HIV and HCV, and highlights
general lessons learned from anti-HIV-drug design that could be applied to HCV.
PMID- 18049475
TI - Caenorhabditis elegans WNK-STE20 pathway regulates tube formation by modulating
ClC channel activity.
AB - WNK kinases are a small group of unique serine/threonine protein kinases that are
conserved among multicellular organisms. Mutations in WNK1-4 cause
pseudohypoaldosteronism type II-a form of hypertension. WNKs have been linked to
the STE20 kinases and ion carriers, but the underlying molecular mechanisms by
which WNKs regulate cellular processes in whole animals are unknown. The
Caenorhabditis elegans WNK-like kinase WNK-1 interacts with and phosphorylates
germinal centre kinase (GCK)-3--a STE20-like kinase--which is known to inactivate
CLH-3, a CIC chloride channel. The wnk-1 or gck-3 deletion mutation causes an Exc
phenotype, a defect in the tubular extension of excretory canals. Expression of
the activated form of GCK-3 or the clh-3 deletion mutation can partly suppress
wnk-1 or gck-3 defects, respectively. These results indicate that WNK-1 controls
the tubular formation of excretory canals by activating GCK-3, resulting in
downregulation of CIC channel activity.
PMID- 18049476
TI - Che-1 activates XIAP expression in response to DNA damage.
AB - X-linked inhibitor of apoptosis protein (XIAP) is a member of the inhibitor of
apoptosis proteins family that selectively binds and inhibits caspase-3, -7 and
9. As such, XIAP is an extremely potent suppressor of apoptosis and an attractive
target for cancer treatment. Che-1 is an antiapoptotic agent involved in the
control of gene transcription and cell proliferation. Recently, we showed that
the checkpoint kinases ATM/ATR and checkpoint kinase 2 physically and
functionally interact with Che-1 and promote its phosphorylation and accumulation
in response to DNA damage. These Che-1 modifications induce transcription of p53,
and Che-1 depletion strongly sensitizes tumor cells to anticancer drugs. Here we
show that Che-1 activates XIAP expression in response to DNA damage. This effect
is mediated by Che-1 phosphorylation and requires NF-kappaB. Notably, we found
that XIAP expression is necessary for antiapoptotic activity of Che-1 and that in
vivo downregulation of Che-1 by small interference RNA strongly enhanced the
cytotoxicity of anticancer drugs.
PMID- 18049477
TI - Identification and expansion of the tumorigenic lung cancer stem cell population.
AB - Lung carcinoma is often incurable and remains the leading cancer killer in both
men and women. Recent evidence indicates that tumors contain a small population
of cancer stem cells that are responsible for tumor maintenance and spreading.
The identification of the tumorigenic population that sustains lung cancer may
contribute significantly to the development of effective therapies. Here, we
found that the tumorigenic cells in small cell and non-small cell lung cancer are
a rare population of undifferentiated cells expressing CD133, an antigen present
in the cell membrane of normal and cancer-primitive cells of the hematopoietic,
neural, endothelial and epithelial lineages. Lung cancer CD133(+) cells were able
to grow indefinitely as tumor spheres in serum-free medium containing epidermal
growth factor and basic fibroblast growth factor. The injection of 10(4) lung
cancer CD133(+) cells in immunocompromised mice readily generated tumor
xenografts phenotypically identical to the original tumor. Upon differentiation,
lung cancer CD133(+) cells acquired the specific lineage markers, while loosing
the tumorigenic potential together with CD133 expression. Thus, lung cancer
contains a rare population of CD133(+) cancer stem-like cells able to self-renew
and generates an unlimited progeny of non-tumorigenic cells. Molecular and
functional characterization of such a tumorigenic population may provide valuable
information to be exploited in the clinical setting.
PMID- 18049478
TI - Fold up or perish: unfolded protein response and chemotherapy.
PMID- 18049479
TI - Rap1 is involved in the signal transduction of myelin-associated glycoprotein.
AB - Myelin-associated glycoprotein (MAG) is a well-characterized axon growth
inhibitor in the adult vertebrate nervous system. Several signals that play roles
in inhibiting axon growth have been identified. Here, we report that soluble MAG
induces activation of Rap1 in postnatal cerebellar granule neurons (CGNs) and
dorsal root ganglion (DRG) neurons. The p75 receptor associates with activated
Rap1 and is internalized in response to MAG. After MAG is applied to the distal
axons of the sciatic nerves, the activated Rap1, internalized p75 receptor, and
MAG are retrogradely trafficked via axons to the cell bodies of the DRG neurons.
Rap1 activity is required for survival of the DRG neurons as well as CGNs when
treated with MAG. The transport of the signaling complex containing the p75
receptor and Rap1 may play a role in the effect of MAG.
PMID- 18049480
TI - Notch1 activation reduces proliferation in the multipotent hematopoietic
progenitor cell line FDCP-mix through a p53-dependent pathway but Notch1 effects
on myeloid and erythroid differentiation are independent of p53.
AB - Signaling mediated by activation of the transmembrane receptor Notch influences
cell-fate decisions, differentiation, proliferation, and cell survival. Activated
Notch reduces proliferation by altering cell-cycle kinetics and promotes
differentiation in hematopoietic progenitor cells. Here, we investigated if the
G(1) arrest and differentiation induced by activated mNotch1 are dependent on
tumor suppressor p53, a critical mediator of cellular growth arrest. Multipotent
wild-type p53-expressing (p53(wt)) and p53-deficient (p53(null)) hematopoietic
progenitor cell lines (FDCP-mix) carrying an inducible mNotch1 system were used
to investigate the effects of proliferation and differentiation upon mNotch1
signaling. While activated Notch reduced proliferation of p53(wt)-cells, no
change was observed in p53(null)-cells. Activated Notch upregulated the p53
target p21(cip/waf) in p53(wt)-cells, but not in p53(null)-cells. Induction of
the p21(cip/waf) gene by activated Notch was mediated by increased binding of p53
to p53-binding sites in the p21(cip/waf) promoter and was independent of the
canonical RBP-J binding site. Re-expression of p53(wt) in p53(null) cells
restored the inhibition of proliferation by activated Notch. Thus, activated
Notch inhibits proliferation of multipotent hematopoietic progenitor cells via a
p53-dependent pathway. In contrast, myeloid and erythroid differentiation was
similarly induced in p53(wt) and p53(null) cells. These data suggest that Notch
signaling triggers two distinct pathways, a p53-dependent one leading to a block
in proliferation and a p53-independent one promoting differentiation.
PMID- 18049481
TI - A molecular chaperone inducer protects neurons from ER stress.
AB - The endoplasmic reticulum (ER) stress response is a defense system for dealing
with the accumulation of unfolded proteins in the ER lumen. Recent reports have
shown that ER stress is involved in the pathology of some neurodegenerative
diseases and cerebral ischemia. In a screen for compounds that induce the ER
mediated chaperone BiP (immunoglobulin heavy-chain binding protein)/GRP78 (78 kDa
glucose-regulated protein), we identified BiP inducer X (BIX). BIX preferentially
induced BiP with slight inductions of GRP94 (94 kDa glucose-regulated protein),
calreticulin, and C/EBP homologous protein. The induction of BiP mRNA by BIX was
mediated by activation of ER stress response elements upstream of the BiP gene,
through the ATF6 (activating transcription factor 6) pathway. Pretreatment of
neuroblastoma cells with BIX reduced cell death induced by ER stress.
Intracerebroventricular pretreatment with BIX reduced the area of infarction due
to focal cerebral ischemia in mice. In the penumbra of BIX-treated mice, ER
stress-induced apoptosis was suppressed, leading to a reduction in the number of
apoptotic cells. Considering these results together, it appears that BIX induces
BiP to prevent neuronal death by ER stress, suggesting that it may be a potential
therapeutic agent for cerebral diseases caused by ER stress.
PMID- 18049482
TI - Firework-related eye injury in the UK.
PMID- 18049483
TI - Retinoblastoma presenting as Coats' disease.
PMID- 18049484
TI - The influence of the new general ophthalmic services (GOS) contract in
optometrist referrals for glaucoma in Scotland.
AB - PURPOSE: To assess the quality of referrals from community optometrists in the
northeast of Scotland to the hospital glaucoma service before and after the
implementation of the new General Ophthalmic Services (GOS) contract in Scotland.
METHODS: Retrospective study encompassing two 6-month periods, one before the
implementation of the new GOS (Scotland) contract in April 2006 (from June to
November 2005), and the other after (from June to November 2006). The community
optometrist referral forms and hospital glaucoma service notes were reviewed.
Comparisons were performed using the t-test and chi (2)-test. RESULTS: In all,
183 referrals were made during the first 6-month period from June to November
2005, and 120 referrals were made during the second 6-month period from June to
November 2006. After the introduction of the new GOS contract, there was a
statistically significant increase in true-positive referrals (from 18.0 to
31.7%; P=0.006), decrease in false-positive referrals (from 36.6 to 31.7%;
P=0.006), and increase in the number of referrals with information on applanation
tonometry (from 11.8 to 50.0%; P=0.000), dilated fundal examination (from 2.2 to
24.2%; P=0.000), and repeat visual fields (from 14.8 to 28.3%; P=0.004) when
compared to the first 6-month period. However, only 41.7% of referrals fulfilled
the new GOS contract requirements, with information on applanation tonometry the
most commonly missing. CONCLUSIONS: After the implementation of the new GOS
(Scotland) contract in April 2006, there has been an improvement in the quality
of the glaucoma referrals from the community optometrists in the northeast of
Scotland, with a corresponding reduction in false-positive referrals. Despite the
relatively positive effect so far, there is still scope for further improvement.
PMID- 18049485
TI - Bilateral basal cell carcinoma of the lower eyelids following radium treatment
for blepharitis.
PMID- 18049486
TI - Amfenac increases the radiosensitivity of uveal melanoma cell lines.
AB - PURPOSE: To evaluate the proliferation rates of five human uveal melanoma (UM)
cell lines after treatment with amfenac, a cyclooxygenase (COX)-2 inhibitor, and
subsequent radiation exposure. METHODS: Five human UM cell lines (92.1, SP6.5,
MKT-BR, OCM-1, and UW-1) and one human fibroblast cell line (BJ) were incubated
with amfenac. Treated and non-treated cell lines were then exposed to various
doses of gammaradiation: 0, 2, 4, 6, and 8 Gy. Sulphorhodamine-B assay was used
to assess proliferation rates 48 h post-radiation. RESULTS: Treatment of UM cell
lines with amfenac prior to radiation led to a marked reduction in proliferation
rates. This difference was statistically significant in all cell lines at every
radiation dose (P<0.005), with the exception of 92.1 at 2 Gy (P=0.157).
Fibroblasts treated with amfenac showed significantly higher proliferation rates
after 2 and 8 Gy, with no significant differences at 0, 4, and 6 Gy. CONCLUSIONS:
The radiosensitivity of UM cell lines was increased by the administration of
amfenac, the active metabolite of nepafenac. There appears to be a
radioprotective effect of amfenac on human fibroblasts. The topical
administration of nepafenac may decrease tumour recurrence and radiation-induced
complications while broadening the indications for radiotherapy by treating
larger tumours.
PMID- 18049487
TI - Papillophlebitis and uveitis as a manifestation of post-streptococcal uveitis
syndrome.
PMID- 18049489
TI - Efficacy of regulatory T-cell immunotherapy: are inflammatory cytokines key
determinants?
PMID- 18049490
TI - [CO2 + H2O = true].
PMID- 18049491
TI - [Nobel Prize for genetic modification].
PMID- 18049492
TI - [Multimodal treatment in colorectal cancer].
PMID- 18049493
TI - [Food intolerance--a diagnostic challenge].
PMID- 18049494
TI - [Evaluation of the genetic lactose intolerance test].
AB - BACKGROUND: Lactose intolerance afflicts 5-10% of the population in western
Europe, but is very common (up to 90%) in the southern hemisphere. Traditional
analysis methods are based on lactose intake followed by determination of blood
glucose concentration or exhaled H 2 and CH 4 . In many diagnostic laboratories,
single nucleotide polymorphism (SNP) analysis on C/T-13910 has been introduced as
a replacement for the traditional lactose intolerance testing. Homozygozity for
the C-allele of this SNP results in very low or absent lactase enzyme activity.
We have compared our present routine test (blood glucose measurements) to genetic
SNP testing for C/T-13910. MATERIAL AND METHODS: Blood glucose measurements
(after intake of lactose) and genotyping of C/T-13910 were performed on 137 adult
participants after they had given informed consent. The maximal difference from
fasting blood glucose was compared with real-time PCR analysis of C/T-13910.
RESULTS AND INTERPRETATION: Lactose intolerance using blood glucose was positive
for 20.4% of those tested; for the genetic test the corresponding result was
17.5%. The correlation between the methods was strong (90%) with a kappa
statistics index of 0.67 (0.51 - 0.83, 95% CI). Our results indicate that the
genetic test for C/T-13910 complements the traditional phenotype measurements.
PMID- 18049495
TI - [Borreliosis as the cause of disability pensions in Norway].
AB - BACKGROUND: Borreliosis is a bacterial infection transferred by tick-bites.
Neuroborreliosis is the most frequent disseminated form of the disorder in
Norway. Registers exist in Norway on all reported communicable diseases (The
Norwegian Surveillance System for Communicable Diseases [MSIS]) and disability
pension diagnoses (The Norwegian Directorate of Labour and Welfare). MATERIAL AND
METHODS: Geographic distributions of borreliosis and changes over time are
presented. Disability pensions (coded by International Classification of Diseases
[ICD]) in the period 1998-2005, in which borreliosis was used as the primary or
secondary diagnosis (ICD-10), were compared with MSIS-data for borreliosis on
municipal and county levels. RESULTS: Borreliosis was the cause of disability
pensions in 55 cases. The Vestfold and Agder counties had the highest number of
cases. Larvik municipality had 9 cases, Arendal had four and Kristiansand had
nine cases. The annual rates of new disability pensions caused by borreliosis
were low but increasing in the period 1998-2005. The disability pension rates
tended to reflect changes in the number of MSIS-reported cases, with pensions
changing 1-2 years after MSIS-changes. Most MSIS-reported cases are in the Agder
and Telemark counties. INTERPRETATION: Disability pension are rarely caused by
borreliosis. The annual incidence of disability pensions seems to reflect the
number of MSIS-reported cases of borreliosis. The Agder and Vestfold counties
have the highest incidence.
PMID- 18049496
TI - [Migraine--mechanisms and consequences for treatment].
AB - BACKGROUND: Migraine is a common headache syndrome. The understanding of
processes in the brain during a migraine attack has increased significantly
during recent years. MATERIAL AND METHODS: The article is based on literature
retrieved from PubMed, and on own knowledge of older and more recent migraine
literature. RESULTS AND INTERPRETATION: Patients with migraine probably have
increased cortical excitability. Attacks may be initiated by the
neurophysiological phenomenon "cortical spreading depression". This may again
lead to meningeal inflammation and irritation of pain-sensitive fibres in the
trigeminal nerve. There is compelling evidence that an episodic dysfunction of
the brain stem plays an important role, either as a primary generator of or a
secondary contributor to, migraine attacks. Patients often report allodynia as an
expression for central sensitization. Activity in pain-modulating mechanisms is
disturbed, and serotonin agonists efficiently stop attacks. The tendency to have
repetitive migraine attacks is probably due to a genetic predisposition.
PMID- 18049497
TI - [Dialysis treatment of infants with end stage failure].
AB - BACKGROUND: End stage renal failure in infants is rare, and was until recently
regarded as untreatable. Advancements in dialysis techniques and other renal
replacement therapy, have now made lifesaving treatment possible. MATERIAL AND
METHODS: Three infants who developed end stage renal failure shortly after birth
and were subsequently treated with long-term dialysis (as a bridge to
transplantation) are presented and their results are compared with those from
other dialysis centres. RESULTS AND INTERPRETATION: All three patients were
successfully dialysed until transplantation, two with peritoneal dialysis and one
with haemodialysis. Complications were rare and manageable. The results are in
accordance with findings from previous studies. Long-term dialysis in infants
with chronic renal failure should no longer be considered experimental and is now
a real alternative until the child is big enough to have a transplantation.
Treatment outcome is affected by co-morbidity. The treatment requires a
multidisciplinary approach with specialists from many fields including
paediatrics, paediatric surgery, nephrology, nutrition and dialysis. In addition
it is essential to cooperate with the parents, as the treatment is demanding for
the family as well as for the medical personnel.
PMID- 18049498
TI - [Classification of drug-related problems].
AB - BACKGROUND: Drug-related problems are prevalent and cause considerable patient
morbidity and in some cases death, as well as increased health care expenditures.
A classification system may contribute to identify such problems, and further to
resolve and prevent them. MATERIAL AND METHODS: A draft classification was
circulated to a panel of physicians and pharmacists and comments were requested.
Consensus was achieved after two subsequent hearing rounds where the structure,
content and relevance of the draft were discussed. By means of mini cases the
classification was validated concerning various professionals' understanding and
interpretation of the problem categories. RESULTS: The classification has a
hierarchical structure with 6 main categories (drug choice, dosing, adverse
reaction, interaction, wrong use and other) and 12 subcategories. The system is
relevant for hospitals, general practices, nursing homes and pharmacies.
Validation of the system revealed that a majority would assign identical
categories to 9/10 cases. INTERPRETATION: We propose a validated Norwegian
classification system for drug-related problems. The systems may facilitate
better and more systematic documentation and communication on such problems.
PMID- 18049499
TI - [Limbic encephalitis--a diagnostic challenge].
AB - BACKGROUND: The etiology of limbic encephalitis (LE) is often autoimmune.
Autoimmune LE is often paraneoplastic and should be suspected in patients with
subacute confusional states. Subgroups of LE require different treatment, and the
prognosis is variable; making the correct diagnosis is therefore essential for a
good outcome. MATERIAL AND METHODS: The article is based on the authors' clinical
experience with these patients and a review of recent literature retrieved from
PubMed with the key words limbic encephalitis, limbic inflammation,
paraneoplastic encephalitis, limbic and cancer, ion channel antibodies and viral
encephalitis. Two case reports illustrate important clinical points. RESULTS AND
INTERPRETATION: Patients with LE constitute a heterogenous group with
neuropsychiatric features, seizures and amnesia as the main symptoms. An early
diagnosis is essential to limit sequelae. Viral encephalitis and Wernicke
Korsakoff Syndrome are important differential diagnoses. Presence of an
onconeural antibody in serum is a specific tumour marker that should be used for
screening all patients for malignancy. Not all cases of LE are paraneoplastic;
ion channel antibodies can be an important marker for good response to
immunosuppressive therapy in this group.
PMID- 18049500
TI - [Treatment of gastroesophageal reflux disease].
PMID- 18049501
TI - [Radiotherapy of rectal cancer].
AB - BACKGROUND: Of the approximately 1,100 new cases of rectal cancer in Norway
annually, many can be cured by surgery alone, but a large group of patients need
supplemental treatment. We here present the national consensus for radiotherapy
of rectal cancer. MATERIAL AND METHODS: This review is based on relevant
publications up to April 2007, the authors' own research and clinical
experiences, data from The Norwegian Colorectal Cancer Register and guidelines
from The Norwegian Gastrointestinal Cancer Group. RESULTS AND INTERPRETATION: It
is important to discuss these patients in multidisciplinary teams (surgeon,
oncologist, radiologist and preferably pathologist). Indications for preoperative
radiotherapy are T4-tumours, tumours independent of the T-stadium that threaten
the mesorectal fascie (3 mm or less from the tumour) or a pathologic lymph node
in mesorectum. The indication for postoperative radiotherapy is perioperative
perforation of a tumour or a R1-resection, i.e. histologically verified
circumferential resection margin less than 2 mm. The radiotherapy is given in 2
Gy fractions over 25 days concomitant with chemotherapy.
PMID- 18049502
TI - [Adjuvant chemotherapy for colon cancer].
AB - BACKGROUND: Radical resection is the main treatment for adenocarcinoma of the
colon. Adjuvant chemotherapy may reduce the recurrence rate of the disease. It is
therefore important that those who may benefit from such therapy are offered the
optimal regimens in due time. The background for adjuvant chemotherapy of colon
cancer is presented. MATERIAL AND METHODS: The manuscript is based on a survey of
randomized clinical trials (from 1990 to 2007) retrieved from PubMed, and on own
clinical experience. RESULTS AND INTERPRETATION: Cure rates after curative
resections of colon cancer (stage III) are improved by about 12% if patients are
treated with adjuvant chemotherapy with oxaliplatin combined with 5-fluoruracil
and folinat (or capecitabine) for 6 months. Certain subgroups of stage II (Dukes'
stage B) are also likely to benefit from adjuvant chemotherapy.
PMID- 18049503
TI - [Treatment of locally recurrent rectal cancer].
AB - BACKGROUND: It has not been common practice to operate local recurrence after
rectal cancer and the treatment has received little attention in the J Norw
Medical Ass. MATERIAL AND METHODS: This overview is based on literature retrieved
from PubMed and own experience during 16 years. RESULTS AND INTERPRETATIONS: Type
of local recurrence and the treatment related to it are classified and described.
Most recurrences will benefit from preoperative irradiation. The difficulties
caused by the confusion of postoperative fibrosis and recurrent cancer with
regard to diagnosis, operability, the demand for multivisceral resection and the
operative technique are discussed. The operations will often require cooperation
between rectal surgeons, urologists, orthopaedists as well as plastic surgeons
and gynaecologists. Our hospital has one of the largest published series, most
other materials are small (< 70 patients). The results vary considerably
regarding both survival and re-recurrences. This may be caused by differences in
patient selection, oncological treatment and surgical aggressiveness. The
surgical radicality is the most important prognostic factor. After a microscopic
radical resection (R0 resection) the survival is similar to that for resection of
Dukes C-grade primary colorectal cancer. The prognosis can probably be improved
by improving the preoperative chemoradiation. This treatment should only be
offered in a few centres in Norway because of the specific requirements.
PMID- 18049504
TI - [Recurrence of rectal cancer--treatment in Norwegian hospitals].
AB - BACKGROUND: The aim of this study was to examine if Norwegian surgeons had a
passive attitude to treatment of patients with local recurrence of rectal cancer
after primary treatment and if attitudes to treatment differed between hospitals.
MATERIAL AND METHODS: All 46 departments for gastrointestinal surgery in Norway
answered a questionnaire detailing their policy for workup, referral and
treatment of patients with pelvic recurrence after surgery for rectal cancer.
RESULTS: All hospitals used MRI for evaluation of the pelvis. Most clinics
initially considered the patients potentially curable. Many wished to refer the
patients to hospitals with more competence. Most patients in Norway are treated
in eight different departments. Most of the surgeons give preoperative
chemoradiotherapy before surgical resection of the local recurrence.
INTERPRETATION: There seems to be a reasonable consensus among Norwegian surgeons
about treatment of recurrent rectal cancer. The doctors themselves have to a
certain degree centralized the treatment. Patients with recurrent rectal cancer
should be discussed in multidisciplinary teams.
PMID- 18049505
TI - [Fewer new drugs from drug industry].
PMID- 18049506
TI - [Knowledge-based assessment of health risk].
PMID- 18049507
TI - [Good choice of action, medical students!].
PMID- 18049508
TI - [Women and blood pressure--an non-prioritized field].
PMID- 18049510
TI - [Not only nirvana].
PMID- 18049512
TI - Spatial interference of coherent atomic waves by manipulation of the internal
quantum state.
AB - A trapped >(87)Rb Bose-Einstein condensate is initially put into a superposition
of two internal states. Under the effect of gravity and by means of a second
transition, we prepare two vertically displaced condensates in the same internal
state. These constitute two coherent sources of matter waves with adjustable
spatial separation. Fringe patterns, observed after free expansion, are
associated with the interplay between internal and external degrees of freedom
and substantially agree with those for a double-slit experiment.
PMID- 18049513
TI - Tapered holey fibers for spot-size and numerical-aperture conversion.
AB - Adiabatically tapered holey fibers are shown to be potentially useful for guided
wave spot-size and numerical-aperture conversion. Conditions for adiabaticity and
design guidelines are provided in terms of the effective-index model. We also
present finite-difference time-domain calculations of downtapered holey fiber,
showing that large spot-size conversion factors are obtainable with minimal loss
by use of short, optimally shaped tapers.
PMID- 18049514
TI - Comparative study of large-mode holey and conventional fibers.
AB - Little information exists regarding how large-mode holey fibers compare, in
practical terms, with their conventional counterparts. We present what is to our
knowledge the first experimental study of mode area and bend loss for a range of
large-mode holey and conventional fibers. It is demonstrated here that large-mode
holey fibers exhibit mode areas and bending losses that are comparable to those
of conventional fibers at 1.55mu . However, the novel wavelength dependence of
the numerical aperture in a holey fiber offers a significant advantage for
broadband and short-wavelength applications in which single-mode operation is
required.
PMID- 18049515
TI - Four-wave mixing in microstructure fiber.
AB - We report what we believe to be the first experimental demonstration of
nondegenerate four-wave mixing in a microstructure fiber. The effect of the
chi((3)) nonlinearity is enhanced in such a fiber because of the small core area,
and we achieve phase matching by operating near the zero-dispersion wavelength
(?750 nm) . We have observed parametric gains of more than 13 dB in 6.1-m-long
fiber with a pump peak power of only 6 W. We compare our experimental gain
results with those predicted by theory and explore the effects of Raman shift and
(or) amplification and cascaded nonlinear mixing.
PMID- 18049516
TI - Whispering-gallery-mode laser at 1.56 mum excited by a fiber taper.
AB - We report the observation of whispering-gallery-mode lasers based on Er(3+)
doped ZBLAN microspheres coupled with half-tapered and tapered optical fibers. A
multimode lasing effect is observed at 1.56mu when the taper diameters are
properly adjusted so that their fundamental propagation constants match those of
the resonant modes of interest. Overlap between the pump and the laser
geometrical modes is optimized by pumping at 1.48mu .
PMID- 18049517
TI - All-fiber tunable ytterbium-doped double-clad fiber ring laser.
AB - We have designed and realized a cw all-fiber tunable Yb-doped double-clad fiber
laser in a unidirectional ring cavity. The side-pumping V-groove technique is
used, yielding very good launching efficiency of the high-power pumping laser
diode, together with a compact configuration. The fiber laser delivers as much as
800 mW of power and is tunable in the spectral range 1.04-1.10 microm . The laser
linewidth is ~0.1 nm .
PMID- 18049518
TI - Eye-safe actively Q-switched microchip laser with an electro-absorbing
semiconductor modulator.
AB - We present what we believe to be the first actively Q -switched monolithic
microchip laser with a wavelength of 1.55 microm that uses an electro-absorbing
semiconductor modulator. At an absorbed pump power of 130 mW and a switching
voltage of 2.2 V, the laser produces 470-nJ pulses at a repetition rate of 10
kHz. The output is a stable single longitudinal mode with a center wavelength of
1.553 microm, and the transverse beam profile is close to an ideal Gaussian, with
an M(2) value of 1.15.
PMID- 18049519
TI - Instability in a laser-diode-pumped microchip Nd:YAG laser in a n-ary product
scheme.
AB - Dynamical instability, chaotic pulsations, and generalized bistability have been
observed in a laser-diode-pumped microchip Nd:YAG laser operating in a double
transition scheme in which lasing occurs on two transitions with overlapping gain
profiles, F(3/2)(4)(2)? I(11/2)(4)(3) and F(3/2)(4)(1)? I(11/2)(4)(2), and
simultaneously involves excited Nd atoms from different sublevels of the upper
manifold. The modeling of the experimental results requires rate equations that
include cross-gain coupling among oscillating modes that belong to different
transitions whose population inversion densities are determined by the Boltzmann
distribution.
PMID- 18049520
TI - Optical scatter imaging: subcellular morphometry in situ with Fourier filtering.
AB - We demonstrate a quantitative optical scatter imaging (OSI) technique, based on
Fourier filtering, for detecting alterations in the size of particles with
wavelength-scale dimensions. We generate our scatter image by taking the ratio of
images collected at high and low numerical aperture in central dark-field
microscopy. Such an image spatially encodes the ratio of wide to narrow angle
scatter and hence provides a measure of local particle size. We validated OSI on
sphere suspensions and live cells. In live cells, OSI revealed biochemically
induced morphological changes that were not apparent in unprocessed differential
interference contrast images. Unlike high-resolution imaging methods, OSI can
provide size information for particles smaller than the camera's spatial
resolution.
PMID- 18049521
TI - Photon-transport forward model for imaging in turbid media.
AB - A photon-transport forward model for image reconstruction in turbid media is
derived that treats weak inhomogeneities through a Born approximation of the
Boltzmann radiative transfer equation. This model can conveniently replace the
commonly used diffusion approximation in optical tomography. An analytical
expression of the background Green's function is obtained from the cumulant
solution of the Boltzmann equation. Our model provides the correct behavior of
photon migration at early times and reduces at long times to the center-moved
diffusion approximation. Numerical comparisons between this model and the
standard and center-moved diffusion models are presented.
PMID- 18049522
TI - Simplified method for polarization-sensitive optical coherence tomography.
AB - We report a method for extracting the birefringence properties of biological
samples with micrometer-scale resolution in three dimensions, using a new form of
polarization-sensitive optical coherence tomography. The method measures net
retardance, net fast axis, and total reflectivity as a function of depth into the
sample. Polarization sensing is accomplished by illumination of the sample with
at least three separate polarization states during consecutive acquisitions of
the same pixel, A scan, or B scan. The method can be implemented by use of non
polarization-maintaining fiber and a single detector. In a calibration test of
the system, net retardance was measured with an average error of 7.5 degrees
(standard deviation 2.2 degrees ) over the retardance range 0 degrees to 180
degrees , and a fast axis with average error of 4.8 degrees over the range 0
degrees to 180 degrees .
PMID- 18049523
TI - Three-dimensional total internal reflection microscopy.
AB - We investigate the inverse-scattering problem that arises in total internal
reflection microscopy. An analytic solution to this problem within the weak
scattering approximation is used to develop a novel form of three-dimensional
microscopy with subwavelength resolution.
PMID- 18049524
TI - Real-time full-color three-dimensional display with a micromirror array.
AB - We designed, realized, and tested what is believed to be the first real-time,
full-color, autostereoscopic three-dimensional (3-D) display with a micromirror
array. Compared with the diffractive partial pixel architecture [Opt. Lett. 20,
1418 (1995)], this approach has certain advantages:(1) Micromirrors are
reflective and thus achromatic (panchromatic) and (2) a variety of displays can
be used as the image source. We used backlit transparencies to test the system
and then used an ordinary color CRT to show several computer-generated full-color
3-D animations.
PMID- 18049525
TI - Acousto-optic lens with very fast focus scanning.
AB - We propose and experimentally demonstrate an acousto-optic cylindrical lens with
a very fast (400-kHz) focal scanning. The lens is realized by use of two adjacent
acousto-optic scanners with counterpropagating acoustic waves that have the same
frequency modulation but a pi phase difference. This scheme completely suppresses
the lateral scan but adds the linear chirp of the two waves and thus functions as
a fast focal-scan lens. We also demonstrate the use of this scanning lens in a
very fast confocal profilometer.
PMID- 18049526
TI - Dendrimer molecules with record large two-photon absorption cross section.
AB - We report what is to our knowledge a record high value for an intrinsic two
photon absorption (TPA) cross section, sigma(2) = 11 x 10(-47)> cm>(4)> s photon(
1) molecule(-1), measured with femtosecond pulses in a new dendrimer molecule
comprising 29 repeat units of 4, 4(?)-bis(diphenylamino)stilbene chromophore. We
measure the dependence of TPA on excitation wavelength in three consecutive
generations of the dendrimer and show that the maximum sigma(2) value increases
faster than the total number of stilbene chromophores. This result indicates that
it is possible to obtain even larger sigma(2) values in higher generations of
this dendrimer family.
PMID- 18049527
TI - Spatial patterns induced in a laser beam by thermal nonlinearities.
AB - Stable spatial laser patterns were observed in a high-finesse Fabry-Perot cavity
containing up to 2 atm of CO(2) and O(2). The gases displayed the same sequence
of patterns that obey a scaling law of the form P(beta)p(2), where P is the power
stored in the cavity, p is the pressure of the gas, and beta is a material
dependent parameter.
PMID- 18049528
TI - Wide-bandwidth 25-dB amplitude noise suppression in a pump-and-signal-resonant
optical parametric oscillator.
AB - We present a pump-and-signal-resonant optical parametric oscillator that provides
25 dB of amplitude noise suppression of the transmitted pump beam from dc to 20
kHz. The upper frequency range of the optical limiter increases as the pump power
is increased, up to 1 MHz with 580 mW of input power. The amount of noise
suppression is limited by pump-depletion effects. The upper frequency range is
limited by the temporal response of the device. We present a numerical analysis
that predicts this behavior.
PMID- 18049529
TI - Temporal solitary waves due to optical rectification and the electro-optic
effect.
AB - Temporal solitary waves that are due to the mutual interaction of optical
rectification and the electro-optic effect in the presence of a second-order
nonlinearity are studied. It is found that a two-parameter family of solitons
exists. Analytical solutions are found for special cases. Numerical soliton
solutions of the system of equations include single- and multiple-hump solitary
waves.
PMID- 18049530
TI - Dark and bright photovoltaic spatial solitons in photorefractive crystals with
positive refractive-index perturbation.
AB - We have demonstrated, for what is believed to be the first time, that in a
photorefractive crystal with positive refractive-index perturbation a two
dimensional dark or bright photovoltaic spatial soliton can be formed in the same
crystal by a signal beam and a background beam of different wavelengths. We
discuss the conditions on the effective Glass constants and the absorption
coefficients of the background and signal beams in determining the formation of
the spatial soliton.
PMID- 18049531
TI - Retardation-induced plasmon resonances in coupled nanoparticles.
AB - We study the coupling induced by retardation effects when two plasmon-resonant
nanoparticles are interacting. This coupling leads to an additional resonance,
the strength of which depends on a subtle balance between particle separation and
size. The scattering cross section and the near field associated with this
coupled resonance are studied for cylindrical particles in air and in water.
Implications for surface-enhanced Raman scattering and nano-optics are discussed.
PMID- 18049532
TI - Sequence influence of nonidentical InGaAsP quantum wells on broadband
characteristics of semiconductor optical amplifiers--superluminescent diodes.
AB - Extremely broadband emission is obtained from semiconductor optical amplifiers
superluminescent diodes with nonidentical quantum wells made of InGaAsP/InP
materials. The well sequence is experimentally shown to have a significant
influence on the emission spectra. With the three In(0.67) Ga(0.33) As(0.72)
P(0.28) quantum wells near the n -cladding layer and the two In(0.53) Ga(0.47) As
quantum wells near the p -cladding layer, all bounded by In(0.86) Ga(0.14)
As(0.3)P(0.7) barriers, the emission spectrum could cover from less than 1.3 to
nearly 1.55 microm, and the FWHM could be near 300 nm.
PMID- 18049533
TI - Photonic crystal tapers for ultracompact mode conversion.
AB - We have studied the coupling of a classic ridge waveguide with a two-dimensional
photonic crystal (PC) waveguide, using finite-difference time-domain
calculations. The ridge waveguide exhibits only a weak refractive-index
confinement of light, as it is commonly used in buried-heterostructure or ridge
waveguide lasers. The light is coupled to a PC waveguide that consists of one
missing row along the ?K direction in a triangular lattice of air cylinders in
AlGaAs. We compare various designs for PC tapers with that of a classic taper and
for butt coupling. The calculation yields high coupling efficiency that exceeds
80% for a 2.5-microm-long PC taper. In addition, the dependence of the efficiency
on the PC air-fill factor and on alignment tolerances is analyzed.
PMID- 18049534
TI - Direct detection of ultrafast thermal transients by use of a chirped,
supercontinuum white-light pulse.
AB - An experimental technique is demonstrated that permits direct optical measurement
of ultrafast material transients during a single excitation-relaxation cycle.
Reflection of a linearly chirped, supercontinuum optical pulse from a gold film
with changing surface temperature induced by an ultrafast pump pulse allows the
thermal transients to be encoded onto the spectrum of the probe pulse.
Calibrating the chirp of the probe pulse and the wavelength sensitivity of the
sample permits mapping of the measured transient into the time domain.
Measurements are completed over the course of 100 ps with subpicosecond time
resolution. Results obtained with this technique are compared with similar
measurements obtained with conventional pump-probe correlation techniques.
PMID- 18049535
TI - Exponentially convergent lattice sums.
AB - For any oblique incidence and arbitrarily high order, lattice sums for one
dimensional gratings can be expressed in terms of exponentially convergent
series. The scattering Green's function can be efficiently evaluated also in the
grating plane. Numerical implementation of the method is 200 times faster than
for the previous best result.
PMID- 18049536
TI - Phase quantization of a grating without altering the diffraction pattern.
AB - Quantization of the phase-delay profile of a diffractive optical element often
leads to unwanted deviations of considerable extent in the diffraction pattern. A
method for avoiding this flaw for periodic phase gratings is described. The idea
is to forgo strict periodicity and use the new degrees of freedom thus obtained
to compensate for quantization-related deviations. The method is demonstrated for
Fourier-array illuminator gratings designed with an iterative Fourier-transform
algorithm.
PMID- 18049537
TI - Study of spatial-temporal characteristics of optical fiber based on ultrashort
pulse interferometry.
AB - We demonstrate a method for reconstruction of the modal intensity distribution of
light at the output of an optical fiber. Spatial modes of the optical fiber are
separated in time as a result of differences in group velocity and are detected
experimentally by observation of the interference of the modal field distribution
with the time-gating reference field. The detected interference patterns of the
modal fields are analyzed, providing the spatial impulse response of the fiber.
We also use interferometric correlation to determine the spatiotemporal
characteristics of the fiber modes, such as pulse width, linear chirp, and group
velocity, for each mode.
PMID- 18049538
TI - High-order dispersion-managed solitons for dense wavelength-division multiplexed
transmissions.
AB - Optimal dense wavelength-division multiplexed transmission is obtained based on
high-order periodic dispersion-managed solitons in a dispersion-slope-compensated
fiber link.
PMID- 18049539
TI - Effects of precompensation and postcompensation on timing jitter in dispersion
managed systems.
AB - We present an analytic theory of timing jitter in dispersion-managed light-wave
systems that is based on the moment method and the assumption of a chirped
Gaussian pulse. We apply the theory to a soliton system and show that 50%
postcompensation of the accumulated dispersion can reduce the jitter by a factor
of 2. We also apply the theory to a low-power light-wave system employing the
return-to-zero format and find that timing jitter can be minimized along the
fiber link for an optimal choice of precompensation and postcompensation.
PMID- 18049540
TI - Measurements of beat length in short low-birefringence fibers.
AB - We describe a new experimental method of determining low birefringence in fibers,
based on adjusting the fiber twist in a fiber-optic loop mirror. The method
allows simple birefringence measurement in fibers with beat length within the
range 0.05-100 m.
PMID- 18049541
TI - Miniature all-fiber devices based on CO(2) laser microstructuring of tapered
fibers.
AB - A focused carbon dioxide laser beam is used to microstructure fibers that have
already been narrowed by conventional fiber tapering. We describe three new
miniature devices made with this technique: a fused fiber microcoupler with an
interaction length of 200 mum, a long-period grating made from a periodic chain
of microtapers, and a new type of prolate whispering-gallery mode microcavity.
PMID- 18049542
TI - All-optical image processing by means of a photosensitive nonlinear liquid
crystal film: edge enhancement and image addition-subtraction.
AB - We demonstrate two simple yet efficient all-optical image-processing techniques
that use nonlinear photosensitive dye-doped nematic liquid-crystal films, namely,
edge enhancement and image addition-subtraction operations. These films require
no external bias and function at much lower optical powers and shorter response
times than other conventional methods.
PMID- 18049543
TI - Demonstration of optical coherent transient true-time delay at 4 Gbits/s.
AB - Multigigabit-per-second true time delay (TTD) was experimentally demonstrated by
use of optical coherent transient techniques in a Tm(3+):YAG crystal. A delay
accuracy of 1 ps and a delay resolution of 7 ps (both measurement limited) were
achieved. The retrieved data retained good fidelity.
PMID- 18049544
TI - Rainbow holographic camera.
AB - A rainbow holographic camera system is presented. The system uses only a single
laser beam for recording, so an antivibration arrangement is not required. The
slit effect of the rainbow hologram is generated by replication from the slit
master plate. Clear three-dimensional images have been sucessfully recorded by
the system. The system is also useful for holographic interferometery.
PMID- 18049545
TI - Simultaneous multicolor image formation with a single diffractive optical
element.
AB - A design for a novel diffractive optical element (DOE) that can reconstruct three
different intensity patterns when it is illuminated by three different
wavelengths is presented. If the chosen wavelengths are red, green, and blue,
full-color reconstruction capability is obtained. Reconstruction is achieved in
the near field (Fresnel domain). Computer simulation results as well as
experimental evidence are presented, proving the capabilities of this novel DOE
design procedure.
PMID- 18049546
TI - Versatile 7-fs optical parametric pulse generation and compression by use of
adaptive optics.
AB - We have compressed the output from a beta-barium borate noncollinear optical
parametric amplifier to ~7-fs pulse durations, using a micromachined deformable
mirror with an efficient search algorithm. This compression method allows phase
compensation of both material and gain dispersion, which produces an optimized
wavelength-tunable pulse shape for ultrahigh-resolution time-domain spectroscopy.
PMID- 18049547
TI - Pulse compression over a 170-THz bandwidth in the visible by use of only chirped
mirrors.
AB - We report on double-chirped mirrors with custom-tailored dispersion
characteristics over a bandwidth of 170 THz in the visible. The mirrors are used
in a prismless compressor for a noncollinear optical parametric amplifier in the
visible. The compressed pulses, characterized for the what is believed to be
first time by use of the spectral phase interferometry for direct electric field
reconstruction technique, display a nearly flat phase from 510 to 710 nm and have
a duration of 5.7 fs.
PMID- 18049548
TI - Simultaneous generation of spectrally distinct third harmonics in a photonic
crystal fiber.
AB - By coupling femtosecond pulses at lambda - 1.55mum in a short length (Z - 95 cm)
of photonic crystal fiber, we observe the simultaneous generation of two visible
radiation components. Frequency-resolved optical gating experiments combined with
analysis and modal simulations suggest that the mechanism for their generation is
third-harmonic conversion of the fundamental pulse and its split Raman self
shifted component.
PMID- 18049549
TI - Three-dimensional object recognition by use of a photorefractive volume
holographic processor.
AB - We present a photorefractive volume holographic processor for recognition of
three-dimensional (3D) objects. The templates are recorded by use of a volume
hologram in a photorefractive LiNbO(3):Fe crystal located at the Fresnel
diffraction region and correlated in real time with a 3D object illuminated by
coherent light. Experimental results for recognition of 3D objects are presented
and discussed. To the best of our knowledge, this is the first time a
photorefractive volume holographic technique for 3D object recognition has been
reported.
PMID- 18049550
TI - Blind data restoration with an extracted filter function.
AB - A method for performing blind deconvolutions on degraded images and data has been
developed. The technique uses a power law relation applied to the Fourier
transform of the degraded data to extract a filter function. This filter function
closely resembles the point-spread function of the system and can be used to
restore and enhance higher-frequency content. The process is noniterative and
requires only that the point-spread function be space invariant and the transfer
function be real. The algorithm has been validated by direct comparisons by use
of a pseudoinverse filter with known transfer functions.
PMID- 18049551
TI - Nulling interferometry by use of geometric phase.
AB - Nulling interferometry is a method of detecting a faint source near a bright one,
in which destructive interference is realized for the light from the bright
source. A nulling interferometer that makes use of geometric phase (Pancharatnam
phase) is proposed. An experimental setup is constructed to simulate a stellar
interferometer with geometric-phase modulation. We attained extinction of 6 x 10(
5) in white light.
PMID- 18049552
TI - Displacement measurement with adjustable range by use of the photoelectromotive
force effect and a frequency-modulated laser diode.
AB - We demonstrate a scheme for displacement measurement by use of the
photoelectromotive force effect and a frequency-modulated laser diode. The
measurement range can be adjusted by a change in the depth of frequency
modulation, thus making the measurement method both simple and versatile.
PMID- 18049553
TI - All-optical programmable shaping of narrow-band nanosecond pulses with picosecond
accuracy by use of adapted chirps and quadratic nonlinearities.
AB - We experimentally demonstrate pure optical pulse picosecond shaping of narrow
bandwidth nanosecond pulses. The method used is based on the manipulation in the
spectral domain of strongly chirped femtosecond pulses and on the use of either
frequency addition or frequency difference.
PMID- 18049554
TI - Resonant excess quantum noise in focused-gain lasers.
AB - We demonstrate that the transverse eigenmodes in a waveguide that combines a
parabolic index guide with a Gaussian gain guide can be highly nonorthogonal. The
excess-noise factor K that arises from this nonorthogonality exhibits resonant
features with maximum values that can easily reach K approximately 400 . This
simple model applies directly to stable-cavity microchip lasers with focused
gain.
PMID- 18049555
TI - Resonance Raman detection of carotenoid antioxidants in living human tissues.
AB - We have used resonance Raman scattering as a novel noninvasive optical technology
to measure carotenoid antioxidants in living human tissues of healthy volunteers.
By use of blue-green laser excitation, clearly distinguishable carotenoid Raman
spectra superimposed on a fluorescence background are obtained. The Raman spectra
are obtained within less than a minute, and the required laser light exposure
levels are well within safety standards. Our technique can be used for rapid
screening of carotenoid levels in large populations and may have applications for
assessing antioxidant status and the risk for diseases related to oxidative
stress.
PMID- 18049556
TI - Imaging of 1-nm-thick films with 193-nm microscopy.
AB - We have implemented a reflected-light microscope operating in the deep
ultraviolet at 193 nm. Many materials absorb strongly at this wavelength,
providing greatly enhanced contrast compared with visible and near-ultraviolet
microscopes. Polymer films as thin as 1 nm and SiO(2) films as thin as 3 nm have
been imaged with this nonoptimized instrument. We have also calculated image
contrast for several thin-film materials that are important in semiconductor
processing, and we show that 193-nm light provides 60-485x better contrast than
visible light (500 nm) and 4-95x better contrast than near-ultraviolet light (315
nm) for these materials.
PMID- 18049557
TI - Dipole-mode vector solitons in anisotropic nonlocal self-focusing media.
AB - We demonstrate, theoretically and experimentally, that dipole-mode vector
solitons created in biased photorefractive media possess a number of anisotropy
driven properties, such as stability of a selected orientation, wobbling, and
incomplete rotation, owing to the anisotropic nonlocal response of the
photorefractive non-linearity. Such features are found for higher-order
(multipole) vector solitons, and they are carefully verified in an experiment.
PMID- 18049558
TI - Asymmetric transmissive behavior of liquid-crystal diffraction gratings.
AB - We have used computer simulations to predict that the beam-steering efficiency of
a common liquid-crystal diffraction grating will depend on which side is
presented to the incident beam. The finite-difference time-domain method and the
Helmholtz-Kirchhoff diffraction integral were utilized to simulate the
performance of an idealized configuration of the grating.
PMID- 18049559
TI - Mechanisms of ultrasonic modulation of multiply scattered coherent light: a Monte
Carlo model.
AB - A Monte Carlo model of the ultrasonic modulation of multiply scattered coherent
light in scattering media is provided. The model is based on two mechanisms: the
ultrasonic modulation of the index of refraction, which causes a modulation of
the optical path lengths between consecutive scattering events, and the
ultrasonic modulation of the displacements of scatterers, which causes a
modulation of optical path lengths with each scattering event. Multiply scattered
light accumulates modulated optical path lengths along its path. Consequently,
the intensity of the speckles that are formed by the multiply scattered light is
modulated. The contribution from the index of refraction is comparable with the
contribution from displacement when the acoustic-wave vector is less than a
critical fraction of the transport mean free path and becomes increasingly
greater than the contribution from displacement beyond this critical point. This
Monte Carlo model agrees well with an independent analytical model for
isotropically scattering media. Both mechanisms are coherent phenomena, requiring
the use of a coherent light source.
PMID- 18049560
TI - Defective photonic crystals with greatly enhanced second-harmonic generation.
AB - A one-dimensional defective photonic crystal structure is proposed with the aim
of studying its nonlinear optical properties. In such a structure, extremely
enhanced second-harmonic generation with an efficiency ~5 orders of magnitude
higher than that of ordinary films is demonstrated in a numerical simulation.
Extraordinary phase conditions of the process in such a structure were explored,
and efficient forward and backward second-harmonic generation could be achieved
simultaneously. The mechanism of the enhancement is the high field intensity and
efficient wave coupling introduced by light localization in defect states, from
which many other nonlinear processes can also benefit.
PMID- 18049561
TI - Dielectric omnidirectional visible reflector.
AB - We demonstrate the fabrication of an all-dielectric omnidirectional mirror for
visible frequencies. The dielectric reflector consists of a stack of 19
alternating layers of tin (IV) sulfide and silica. Using a combination of thermal
evaporation (for tin sulfide) and thick electron-beam evaporation (for silica),
we have achieved a refractive-index contrast of 2.6/1.46 , one of the highest
refractive-index contrasts demonstrated in one-dimensional photonic bandgap
systems designed for the visible frequency range. The tin sulfide-silica material
system developed allowed the formation of a broadband visible reflector with an
omnidirectional range greater than 10%. Possible applications of the system
include efficient reflectors, high-frequency waveguides for communications and
power delivery, and high- Q cavities.
PMID- 18049563
TI - Fiber Fourier optics.
AB - The Fourier transform of a coherent optical image can be evaluated physically by
use of a single lens plus free-space propagation, thereby providing the basis for
the field of Fourier optics. I point out that one can similarly evaluate the
discrete Fourier transform of a sampled or pixelated optical array physically by
passing the discrete array amplitudes through a network of single-mode fibers or
optical waveguides. A passive optical network that evaluates the fast Fourier
transform of a coherent array can be fabricated by use of (N/2)log(2)[N] optical
3-dB couplers plus small added phase shifts. Implementing such networks in fiber
or integrated optical form could provide the basis for a possible technology of
fiber Fourier optics.
PMID- 18049562
TI - Efficient high-order diffraction of extreme-ultraviolet light and soft x-rays by
nanostructured volume gratings.
AB - We report what is believed to be the first demonstration that volume gratings
diffract extreme-ultraviolet light (EUV) or soft x-rays into high orders
approximately an order of magnitude more efficiently than predicted by classical
thin-grating theory. At the 13-nm wavelength, copolymer grating structures with
200-nm period and aspect ratios of ~10:1 achieved diffraction efficiencies of
11.2%, 15.3%, 11.5%, and 9.1% in the orders m of 2, 3, 4, and 5, respectively. In
addition, the measured transmission spectra are consistent with electrodynamic
calculations by coupled-wave theory. High-order diffraction can now be employed
for substantially improved diffractive EUV and x-ray optics, e.g., highly
resolving diffractive lenses and large-aperture condensers.
PMID- 18049564
TI - Comment on "Rigorous solution for transient propagation of electromagnetic waves
through a medium: causality plus diffraction in time".
AB - In a previous Letter [Opt. Lett. 25, 995 (2000)], Xiao claimed to have found a
"rigorous solution for transient propagation of electromagnetic waves through a
medium." We show that Xiao's results apply strictly only to vacuum, which serves
as a reference medium in classical electromagnetics.
PMID- 18049565
TI - Nonlocal and spontaneous material responses: Reply to comment on "Rigorous
solution for transient propagation of electromagnetic waves through a medium:
causality plus diffraction in time".
AB - I reply to the Comment by Weiglhofer and Lakhtakia on Opt. Lett. 25, 995 (2000).
I agree with the comment to such an extent that it is generally known that
nonlocal effects and material response time have to be considered in using
collective macroscopic material response parameters. However, I believe that the
Comment is incomplete and bears no special connection with the study that I
reported in the original Letter.
PMID- 18049566
TI - Simultaneous occurrence of beam deflection, holographic recording, and self
interference in one lithium niobate crystal.
AB - Coupling of the acousto-optic effect and the photorefractive effect in a
magnesium-doped lithium niobate crystal was studied by a holographic recording
technique. The process of self-interference that is due to the coupling of these
two effects was observed. Our results demonstrate that beam splitting and
deflection, holographic recording, and self-interference can occur simultaneously
in one crystal.
PMID- 18049567
TI - Fiber-optic liquid-level sensor using a long-period grating.
AB - A liquid-level sensor based on the refractive-index sensitivity of long-period
fiber-optic gratings is proposed and demonstrated. The form of the transmission
spectrum of the long-period grating is dependent on the fraction of the length of
the long-period grating that is surrounded by the liquid. The sensor shows a
large linear range, with sensitivity of 4.8% change in transmission per
millimeter, for a long-period grating with a length of 40 mm and a periodicity of
400mu;m .
PMID- 18049568
TI - Generation and dynamics of ghost pulses in strongly dispersion-managed fiber
optic communication systems.
AB - We investigate the nonlinear generation and dynamics of ghost pulses in high
speed strongly dispersion-managed fiber-optic communication systems. Particular
consideration is given to the importance of system parameters for the properties
of the emerging ghost pulses. Conclusions are drawn about the growth rate and the
temporal position of the ghost pulses in different systems.
PMID- 18049569
TI - Low-temperature hypersensitization of phosphosilicate waveguides in hydrogen.
AB - Conventional hydrogen loading of phosphosilicate optical fibers at relatively low
temperatures (80 degrees C) is sufficient to enhance the fiber's photosensitivity
after hydrogen outdiffusion, allowing permanent Bragg grating structures to be
produced. Thermal sensitization is proposed to be a major contributor to stable
index change.
PMID- 18049570
TI - 2R-regenerative all-optical switch based on a highly nonlinear holey fiber.
AB - We report the fabrication of a highly nonlinear holey fiber made from pure silica
with an effective area of just ~2.8mu;m(2) at 1550 nm. We believe this to be the
smallest effective area yet measured for a holey fiber at 1550 nm. We also report
the operation of a 2R regenerative optical switch based on just 3.3 m of the
fiber that is shown to have 30 times the nonlinear figure of merit of previous
devices based on dispersion-shifted fiber.
PMID- 18049571
TI - Control of critical coupling in a ring resonator-fiber configuration: application
to wavelength-selective switching, modulation, amplification, and oscillation.
AB - By controlling the internal loss of a ring resonator near critical coupling, we
demonstrate control of the transmitted power in a fiber that is coupled to the
resonator. We also demonstrate wavelength-selective optical amplification and
oscillation.
PMID- 18049572
TI - Bandpass filters based on pi-shifted long-period fiber gratings for actively mode
locked erbium fiber lasers.
AB - We have fabricated bandpass filters based on pi-shifted long-period gratings for
application in actively mode-locked erbium fiber lasers. Introducing the pi-phase
shift in the middle of the grating opens a bandpass within the core-cladding mode
resonance peaks. With a 22-nm bandwidth filter inserted in an actively mode
locked erbium fiber sigma laser, solitonlike pulses are generated, with a power
dependent duration of approximately 3-5 ps , at a 3-GHz repetition rate. These
all-fiber filters have the advantages of low insertion loss (<0.5 dB) and a wide
bandwidth (10-20 nm), and they do not require that a circulator be inserted into
the laser cavity.
PMID- 18049573
TI - Optical image encryption with multistage and multichannel fractional Fourier
domain filtering.
AB - We present a novel image-encryption algorithm that employs multichannel and
multistage fractional Fourier-domain filtering architecture. We perform the
encryption and decryption by randomly filtering the spatial frequency of the
image and then recombining the information from the algorithm in a multistage
fractional Fourier domain with pure random-intensity-encoded masks and their
complements in a multichannel scheme. The algorithm can be implemented
iteratively in an electro-optical setup. Numerical simulations have verified the
validity of the algorithm.
PMID- 18049574
TI - Demonstration of a radio-frequency spectrum analyzer based on spectral hole
burning.
AB - Spectral hole-burning (SHB) technology is considered for >10-GHz instantaneous
bandwidth signal-processing applications. In this context we report on what is
believed to be the first demonstration of a SHB microwave spectrometer. A set of
gratings engraved in a SHB crystal is used to filter one sideband of the
optically carried microwave signal. The setup is confined to narrow-bandwidth
operation, over a 35-MHz-wide interval. The first findings confirm the validity
of the architecture in terms of spectral resolution, angular channel separation,
and simultaneous detection of multiple spectral lines.
PMID- 18049575
TI - Optical pattern recognition by use of a segmented semiconductor optical
amplifier.
AB - A technique for high-speed, all-optical pattern recognition based on cross
correlation in a segmented semiconductor optical amplifier (SSOA) is presented. A
counterpropagating pump-probe setup is used to perform cross correlation of the
spatial gain-loss pattern in the SSOA with the optical data pattern (pump), and
the result is read out with a counterpropagating probe. Cross correlation of 4
bit patterns at 85 Gbits/s is experimentally demonstrated. Simulations show
reasonable agreement with experimental measurements and are used to address
scalability to higher bit rates and longer data patterns.
PMID- 18049576
TI - Total reflection of light propagating from an isotropic medium to an anisotropic
medium.
AB - We study the propagation of light from an isotropic medium to an anisotropic
medium. It is shown that total reflection can occur only for propagation from a
denser medium to a rarer medium; this result does not agree with that of Lin and
Wu [Opt. Lett. 23, 22 (1998)].
PMID- 18049577
TI - High-pass filters give histograms with positive kurtosis.
AB - If we filter an ensemble of natural images with a high-pass filter, the output is
a random variable. The probability density for this variable will, under fairly
general assumptions, be a Gaussian scale mixture. The kurtosis for this type of
distribution is always positive.
PMID- 18049578
TI - Technique for detecting changes in fluorescence lifetime by means of
optoelectronic circuit auto-oscillation.
AB - We present a new, simple, inexpensive, and highly precise approach to excited
state fluorescence-lifetime-based measurements. The detection system consists of
a closed-loop optoelectronic arrangement containing a radio frequency resonance
amplifier, a fluorescence excitation light source, a fiber-optic delay line, and
a photodetector. The system exhibits auto-oscillations in the form of intensity
modulation. The oscillation frequency varies with the modulation phase shift of
the fluorescent light. This frequency is used as the detection parameter, which
is advantageous because frequency may be measured easily, inexpensively, and with
high precision. This technique is well suited for chemical or biosensor
applications.
PMID- 18049579
TI - Quantitative measurement of low propagation losses at 1.55 mum on planar photonic
crystal waveguides.
AB - The Fabry-Perot resonance technique has been used to determine the propagation
losses of planar photonic crystal (PC) waveguides. The structures are patterned
into a GaInAsP confining layer on an InP substrate. Losses as low as 11 dB/mm
have been measured on a guiding structure with three missing rows. The influence
of the PC guide width and air-filling factor is demonstrated.
PMID- 18049581
TI - Giant birefringence in anisotropically nanostructured silicon.
AB - We performed a study of the in-plane birefringence of anisotropically
nanostructured Si layers, which exhibit a greater difference in the main value of
the anisotropic refractive index than that of natural birefringent crystals. The
anisotropy parameters were found to be strongly dependent on the typical size of
the Si nanowires used to assemble the layers. This finding opens the possibility
of an application of birefringent Si retarders to a wide spectral range for
control of the polarization state of light.
PMID- 18049580
TI - 11-mJ, 15-Hz single-frequency diode-pumped Q-switched Er, Yb:phosphate glass
laser.
AB - A single-frequency diode-pumped Q-switched Er, Yb:phosphate glass laser that
oscillates at an eye-safe 1.54etam wavelength has been developed for use in
coherent Doppler lidar. A maximum TEM(00)-mode Q-switched output energy of 10.9
mJ and a relatively long pulse width of 228 ns were obtained at a repetition rate
of 15 Hz by use of a modified 2-m-long telescopic cavity. Frequency stability of
as high as +/-1.9-MHz standard deviation and a side-mode suppression ratio of
more than 30 dB were also achieved.
PMID- 18049582
TI - Optical processing of light-induced autofluorescence for characterization of
tissue pathology.
AB - We describe an optical processing method for characterizing tissue pathology that
is based on principal-component analysis of light-induced autofluorescence. A set
of optical spectral filters, which are related to the principal-component loading
vectors, is designed to process the autofluorescence signal optically and to
generate principal-component scores from the autofluorescence spectra. The scores
are then correlated with the tissue pathology. An optical processing system is
designed that uses the in vivo fluorescence spectra recorded from nasopharyngeal
tissues. We demonstrate that the system can differentiate nasopharyngeal
carcinoma from normal tissue with a high degree of sensitivity and specificity
and that the optical filters used in the system can be manufactured.
PMID- 18049583
TI - Phase-referenced interferometer with subwavelength and subhertz sensitivity
applied to the study of cell membrane dynamics.
AB - We report a highly sensitive means of measuring cellular dynamics with a novel
interferometer that can measure motional phase changes. The system is based on a
modified Michelson interferometer with a composite laser beam of 1550-nm low
coherence light and 775-nm CW light. The sample is prepared on a coverslip that
is highly reflective at 775 nm. By referencing the heterodyne phase of the 1550
nm light reflected from the sample to that of the 775-nm light reflected from the
coverslip, small motions in the sample are detected, and motional artifacts from
vibrations in the interferometer are completely eliminated. We demonstrate that
the system is sensitive to motions as small as 3.6 nm and velocities as small as
1 nm/s. Using the instrument, we study transient volume changes of a few
(approximately three) cells in a monolayer immersed in weakly hypotonic and
hypertonic solutions.
PMID- 18049584
TI - Fixing multiple waveguides induced by photorefractive solitons: directional
couplers and beam splitters.
AB - We show how to transform multiple real-time photorefractive solitons into
permanent two-dimensional single-mode waveguides impressed into the crystalline
lattice of the host material. We experimentally demonstrate two specific
configurations of such fixed multiple waveguides: directional couplers and
multiple beam splitters.
PMID- 18049585
TI - Efficiency of quadratic soliton generation.
AB - We report what is believed to be the first experimental demonstration of soliton
content as a function of the intensity of input light for multicolor quadratic
solitons. Experiments were conducted with spatial solitons excited with Gaussian
beams in a bulk crystal cut for second-harmonic generation. The effects
introduced by the finite crystal length and by the scheme employed to elucidate
the soliton energy are highlighted.
PMID- 18049586
TI - Micromachined silicon nitride deformable mirrors for focus control.
AB - We have built a 1000mum-diameter silicon nitride deformable mirror for focus
control applications, using micro-optoelectromechanical systems technology. We
achieved variable focal lengths from 36 to 360 mm while maintaining zero primary
spherical aberration, using a maximum control voltage of 100 V. Active control of
spherical aberration of approximately two waves at 660 nm was demonstrated.
PMID- 18049587
TI - Optical-frequency balanced mixer.
AB - Optical signal processing devices based on quasi-phase-matched three-wave mixing
and cascaded three-wave mixing in guided-wave geometries have been demonstrated
to operate efficiently at practical pump-power levels. We describe operation of
such devices in a balanced mode that allows mixing without wavelength offset and
separation of mixed output from pump and signal input without wavelength
selective filters. We present a design for an optical-frequency balanced mixer
using quasi-phase-matched, cascaded second-order nonlinear processes. Using this
design, we fabricated a balanced mixer in periodically poled lithium niobate
waveguides that has the expected linear and nonlinear optical performance.
PMID- 18049588
TI - Influence of nanoscale cutoff in random self-affine fractal silver surfaces on
the excitation of localized optical modes.
AB - We report rigorous numerical calculations of the near field scattered from rough,
one-dimensional self-affine fractal silver surfaces. We show that fractal lower
scale cutoff (decreased to the order of tens of nanometers) has a strong effect
on excitation and strength of localized optical modes, leading to very large
enhancements of the intensity (larger than 10(4)) and fluctuations of the
electric field.
PMID- 18049589
TI - Evidence for particle-shape sensitivity in the correlation between polarization
states of light scattering.
AB - The cross correlation between polarization states of scattered laser speckle as a
function of scattering angle is observed for a range of spherical and
nonspherical particle suspensions. A variation in the degree of correlation
between polarization states is observed, and this information is indicative of
the particle shape. A comparison with a theoretical model for small particles is
made, suggesting that variations in polarization correlation with angle originate
from the nonisotropic polarizability of nonspheres. Experiments are also
performed on large spheroids and random-shaped polydispersions, and the results
indicate that the measurement method has significant potential for nonsphere
detection and characterization.
PMID- 18049590
TI - C-axis Josephson plasma resonance observed in Tl(2)Ba(2)CaCu(2)O(8)
superconducting thin films by use of terahertz time-domain spectroscopy.
AB - We have unambiguously observed the c -axis Josephson plasma resonance (JPR) in
high-critical-temperature (T(c)) cuprate (Tl(2)Ba(2)CaCu(2)O(8)) superconducting
thin films, employing terahertz time-domain spectroscopy in transmission as a
function of temperature in zero magnetic field. These are believed to be the
first measurements of the JPR temperature dependence of a high-T(c) material in
transmission. With increasing temperature, the JPR shifts from 705 GHz at 10 K to
~170 GHz at 98 K, corresponding to an increase in c-axis penetration depth from
22.4+/-0.6mum to 94+/-9mum . The linewidth of the JPR peak increases with
temperature, which indicates an increase in the quasi-particle scattering rate.
We have probed the onset of the c -axis phase coherence to ~0.95T(c) . The JPR
vanishes above T(c) as expected.
PMID- 18049591
TI - Carrier-phase control among subharmonic pulses in a femtosecond optical
parametric oscillator.
AB - We have generated femtosecond subharmonic pulses by using an optical parametric
oscillator. The optical frequencies of the idler and the signal are one third and
two thirds, respectively, of the optical frequency of the pump pulse. The carrier
phase of the signal pulse relative to that of the pump pulse was locked by
electronic feedback. The carrier-envelope phase slip frequency of the signal
pulse relative to that of the pump was locked to F/6 , where F is defined as the
repetition frequency.
PMID- 18049592
TI - Observation of Ramsey fringes in an atomic cell with buffer gas.
AB - Temporal Ramsey fringes that are due to light scattering by coherently prepared
rubidium atoms diffusing through a cell containing neon as a buffer gas have been
observed. The effect leads to increasing magneto-optical rotation of cw light
polarization at weak magnetic fields.
PMID- 18049593
TI - Wideband tunable wavelength conversion of 10-Gbit/s return-to-zero signals by
optical time gating of a highly chirped rectangular supercontinuum light source.
AB - We propose a novel technique for wideband tunable wavelength conversion of return
to-zero signals by optical time gating of a supercontinuum (SC) light source. A
SC pulse generated by nonlinear propagation in a normal-dispersion fiber has a
rectangular shape with highly linear upchirping. By control of the optical time
gating position, the center wavelength of time-gated SC pulse can be precisely
tuned. Error-free 10-Gbit/s wavelength conversion with a tuning range of 27.1 nm
is experimentally demonstrated.
PMID- 18049594
TI - Figure of merit for near-velocity-matched traveling-wave modulators.
AB - We derive a relationship between the bandwidth and active length and a figure of
merit for velocity- and near-velocity-matched lithium niobate traveling-wave
electro-optic modulators. The figure of merit is given by the bandwidth per unit
drive voltage squared and is independent of the length of the device.
Alternatively, this figure of merit can be described by its inverse, which is
proportional to the device's switching energy.
PMID- 18049595
TI - Fiber delivery of femtosecond pulses from a Ti:sapphire laser.
AB - We propose a way to deliver nanojoule-energy, 100-fs pulses at 800 nm through a
few meters of standard optical fiber. Pulses from a mode-locked laser are
compressed temporally, and then spectrally, to produce the desired pulses at the
end of the fiber. Initial experiments agree well with calculations and
demonstrate the benefits of this technique: For an energy of ~0.5 nJ , the
delivered pulses are ~5 times shorter than those delivered by other techniques.
The issues that must be addressed to scale the technique up to delivered pulse
energies of 5 nJ are identified, and the apparatus employs only readily available
components. Thus we expect it to find use in the many applications that would
benefit from fiber delivery of femtosecond pulses.
PMID- 18049596
TI - Algebraic and geometric space-time analogies in nonlinear optical pulse
propagation.
AB - We extend recently developed algebraic space-time analogies for the dispersive
and nonlinear propagation of optical breathers. Geometrical arguments can explain
the similarity of evolutionary behavior between spatial and temporal phenomena
even when strict algebraic translation of solutions may not be possible. This
explanation offers a new set of tools for understanding and predicting the
evolutionary structure of self-consistent Gaussian breathers in nonlinear optical
fibers.
PMID- 18049597
TI - Single-frequency master-oscillator fiber power amplifier system emitting 20 W of
power.
AB - We report a master-oscillator fiber power-amplifier system consisting of a diode
pumped monolithic nonplanar ring laser as the master oscillator and a Yb-doped
large-mode-area double-clad fiber as the power amplifier. The system emits up to
20.1 W of single-frequency radiation at a wavelength of 1064 nm with diffraction
limited beam quality (M(2)=1.3) . The optical emission spectrum and amplitude
noise behavior are investigated. Furthermore, the power-scaling possibilities are
discussed.
PMID- 18049598
TI - Optimized grating-frustrated coupler.
AB - A complete theoretical analysis of a modified grating-frustrated coupler with a
second grating in the input channel is presented. We show that this second
grating allows for a reduction to zero of backreflected light and transmits all
the radiation near the Bragg wavelength to a drop port.
PMID- 18049599
TI - Broadband laser amplifier based on gas-phase dimer molecules pumped by the Sun.
AB - We report the design and experimental realization of a solar-pumped dimer gas
laser amplifier. The amplifying medium is Te(2) gas, which is capable of
amplifying laser signals over a broad spectral range. A gain of 42% was measured
at a wavelength of 632.8 nm. We also present studies of the material
characteristics and a brief review of the study of other candidate materials for
solar pumping.
PMID- 18049600
TI - Perturbation Monte Carlo methods to solve inverse photon migration problems in
heterogeneous tissues.
AB - We introduce a novel and efficient method to provide solutions to inverse photon
migration problems in heterogeneous turbid media. The method extracts derivative
information from a single Monte Carlo simulation to permit the rapid
determination of rates of change in the detected photon signal with respect to
perturbations in background tissue optical properties. We then feed this
derivative information to a nonlinear optimization algorithm to determine the
optical properties of the tissue heterogeneity under examination. We demonstrate
the use of this approach to solve rapidly a two-region inverse problem of photon
migration in the transport regime, for which diffusion-approximation-based
approaches are not applicable.
PMID- 18049602
TI - Polarization coherent anti-Stokes Raman scattering microscopy.
AB - We report polarization coherent anti-Stokes Raman scattering (P-CARS) microscopy
that allows vibrational imaging with high sensitivity and spectral selectivity.
The nonresonant background signals from both Raman scatterers and the solvent are
efficiently suppressed in P-CARS microscopy. We demonstrate P-CARS imaging of
unstained cells based on the contrast of the protein amide I band.
PMID- 18049601
TI - Whole-field five-dimensional fluorescence microscopy combining lifetime and
spectral resolution with optical sectioning.
AB - We report a novel whole-field three-dimensional fluorescence lifetime imaging
microscope that incoporates multispectral imaging to provide five-dimensional (5
D) fluorescence microscopy. This instrument, which can acquire a 5-D data set in
less than a minute, is based on potentially compact and inexpensive diode-pumped
solid-state laser technology. We demonstrate that spectral discrimination as well
as optical sectioning minimize artifacts in lifetime determination and illustrate
how spectral discrimination improves the lifetime contrast of biological tissue.
PMID- 18049603
TI - Transient dark photovoltaic spatial solitons and induced guiding in slab LiNbO(3)
waveguides.
AB - Dark photorefractive photovoltaic spatial solitons are demonstrated at 532 nm in
nominally undoped and slightly Fe-doped LiNbO(3) planar optical waveguides. The
spatial solitons are observed in a transient regime before transverse modulation
instability occurs. Their widths are intensity independent as predicted by
theory. Meanwhile, excited mode distribution and Fe-doping concentration are
shown to influence soliton width. The guiding properties of soliton-induced
waveguides are also presented.
PMID- 18049604
TI - Second-harmonic generation in Ge(20)As(25)S(55) glass irradiated by an electron
beam.
AB - Second-harmonic generation was observed in Ge(20)As(25)S(55) chalcogenide glass
irradiated by an electron beam. The second-harmonic intensity increased with
increasing electron-beam current and accelerating voltage. The second-harmonic
generation in Ge(20)As(25)S(55) glass was caused by the space-charge
electrostatic field that was generated by irradiation of an electron beam. Second
order nonlinearity X((2)) as great as 0.8 pm/V was obtained. The results of
measurements of thermally stimulated depolarization current indicated that the
glass was poled in the thin layers of its surface (several micrometers) and that
the nonlinearity was stable.
PMID- 18049605
TI - Generation of mid-infrared pulses by X(3) difference frequency generation in
CaF(2) and BaF(2).
AB - Tunable mid-IR pulses in the range 1300-4200 cm(-1) (7.7-2.4 microm) are
generated through a phase-matched four-wave mixing process in ordinary mid-IR
window materials such as CaF(2) and BaF(2) . In this process the difference
frequency v(3)=2v(2)-v(1) is generated from pump fields v(1) and v(2) . The
process can be phase matched to different frequencies by adjustment of the angle
between the pump fields.
PMID- 18049606
TI - Experimental demonstration of 1535-1555-nm simultaneous optical wavelength
interchange with a nonlinear photonic crystal.
AB - We present results of what is to our knowledge the first experimental
demonstration of simultaneous optical wavelength interchange by use of a two
dimensional second-order nonlinear photonic crystal. Fabrication and performance
parameters of a 1535-1555-nm wavelength interchange nonlinear photonic crystal
fabricated in lithium niobate are discussed.
PMID- 18049607
TI - White-light supercontinuum generation with 60-ps pump pulses in a photonic
crystal fiber.
AB - The generation of a spatially single-mode white-light supercontinuum has been
observed in a photonic crystal fiber pumped with 60-ps pulses of subkilowatt peak
power. The spectral broadening is identified as being due to the combined action
of stimulated Raman scattering and parametric four-wave-mixing generation, with a
negligible contribution from the self-phase modulation of the pump pulses. The
experimental results are in good agreement with detailed numerical simulations.
These findings demonstrate that ultrafast femtosecond pulses are not needed for
efficient supercontinuum generation in photonic crystal fibers.
PMID- 18049608
TI - Three-dimensional magneto-optic trap for micro-object manipulation.
AB - A magneto-optic trap for micro-objects is described. Magnetic beads were trapped
by optical tweezers while being rotated by a new integrated magnetic manipulator.
Rotation was achieved with eight electromagnets with tip-pole geometry. The time
orbital potential technique was used to achieve rotation of magnetic beads.
Trapping in three dimensions and rotation of magnetic beads on three axes are
demonstrated with forces up to 230 pN and force momenta of up to 10(-16)N m . A
position-detection apparatus based on an interferometric scheme provides
nanometer sensitivities in a few milliseconds.
PMID- 18049609
TI - Sensitivity-bandwidth product for electro-optic modulators.
AB - For lumped-element electro-optic modulators, the sensitivity ( partial
differentialphi/ partial differentialV) of the phase, phi , in response to an
applied voltage V is related to the optical group delay, T(g) , and the
bandwidth, Domega . This relationship imposes a fundamental trade-off between the
sensitivity and the bandwidth of electro-optic modulators: ( partial
differentialphi/ partial differentialV)Domega= (dn/dV)(omega /n) , where n is the
optical index. A similar relationship for traveling-wave modulators sets an upper
limit on ( partial differentialphi/ partial differentialV) that is proportional
to T(g) .
PMID- 18049610
TI - Diffraction-free and dispersion-free pulsed beam propagation in dispersive media.
AB - The diffraction of pulsed beams of light is formulated as an anomalously
dispersive phenomenon. In a dispersive material, the effects of material group
velocity dispersion and diffraction on pulsed beam propagation can mutually
cancel if the transverse profile of the pulse is suitably chosen.
PMID- 18049611
TI - Generation of correlated photons in controlled spatial modes by downconversion in
nonlinear waveguides.
AB - We report the observation of correlated photon pairs generated by spontaneous
parametric downconversion of a 400-nm pump pulse in a quasi-phase-matched
KTiOPO(4) nonlinear waveguide. The highest ratio of coincidence to single-photon
count rates observed near 800 nm exceeds 18%. This suggests that nonlinear
waveguides will be a promising source of correlated photons for metrology and
quantum information processing applications. We also discuss possibilities of
controlling the spatial characteristics of the downconverted photons produced in
multimode waveguide structures.
PMID- 18049612
TI - Low-loss infrared dielectric material system for broadband dual-range
omnidirectional reflectivity.
AB - A material system for broadband thermal IR applications based on branched
polyethylene and tellurium is introduced. This system exhibits low absorption
losses from 3.5 to 35 microm , has a large index contrast, and is readily
deposited as a thin film. These unique features were used to investigate the
formation of an omnidirectional reflector that exhibits two distinct, broadband
omnidirectional ranges at thermal wavelengths. Reflectivity measurements are
presented that confirm the existence of two omnidirectional ranges in the solar
atmospheric windows extending from 8 to 12 microm and from 4.5 to 5.5 microm .
The measurements are in good agreement with simulations.
PMID- 18049613
TI - Spatiotemporal control of ultrashort optical pulses by refractive-diffractive
dispersive structured optical elements.
AB - Structured optical elements that control the spatial and temporal characteristics
of femtosecond light pulses are analyzed and synthesized. We show that unique
spatiotemporal effects can be attained based on the diffraction, refraction, and
dispersive effects that appear in the femtosecond regime. We argue that the
design requirements for ultrafast optics are beyond the achromatization
considerations that are usually applied to incoherent illumination because of the
need to consider coherent effects. Despite fundamental limitations in the space
time control of ultrashort pulses, we show the potential of this technique to
improve simultaneously the spatial and the temporal resolution of a lens and to
generate ultrafast pulse sequences.
PMID- 18049614
TI - White-light frequency comb generation with a diode-pumped Cr:LiSAF laser.
AB - We have created a broad spectrum spanning more than an optical octave by
launching femtosecond pulses from a battery operated Cr:LiSAF laser into a
photonic crystal fiber. Despite the massive broadening in the fiber, the comb
structure of the spectrum is preserved, and this frequency comb is perfectly
suited for applications in optical frequency metrology.
PMID- 18049615
TI - Multiple four-wave mixing-induced modulational instability in highly birefringent
fibers.
AB - Theoretical and experimental results are presented that illustrate efficient
generation of new optical frequencies by means of induced modulational
instability in birefringent fibers for an initially highly phase-mismatched
process. Modulational instability is assisted by multiple four-wave mixing
interactions. This technique relaxes the strict spectral limitations imposed by
the phase-matching conditions on the signal used for frequency conversion by
means of modulational instability.
PMID- 18049616
TI - Detection of multiregion objects embedded in nonoverlapping noise.
AB - The concept of a statistical filter for objects that comprise several regions is
introduced. The process is optimal in the presence of nonoverlapping noise for
the target and may perform independently of variations in the mean value in every
region. The basic performance of the filter is described, and a comparison with
other types of processing is made.
PMID- 18049617
TI - Time-to-frequency Fourier transformation with photon echoes.
AB - We propose to use photon echoes in rare-earth-doped crystals to implement the
Fourier-transform chirp algorithm. The process is considered for application to
spectral analysis of fast radio-frequency signals. Compared with surface acoustic
wave devices, the proposed scheme gives access to the larger bandwidths of rare
earth-doped crystals and greater flexibility. An experimental demonstration of
the proposed process is reported.
PMID- 18049618
TI - Simple trace criterion for classification of multilayers.
AB - The action of any lossless multilayer is described by a transfer matrix that can
be factorized in terms of three basic matrices. We introduce a simple trace
criterion that sorts the multilayers into three classes, each of whose properties
are closely related to one (and only one) of the three basic matrices.
PMID- 18049619
TI - Demonstration of a neonlike argon soft-x-ray laser with a picosecond-laser
irradiated gas puff target.
AB - We demonstrate a neonlike argon-ion x-ray laser, using a short-pulse laser
irradiated gas puff target. The gas puff target was formed by pulsed injection of
gas from a high-pressure solenoid valve through a nozzle in the form of a narrow
slit and irradiated with a combination of long, 600-ps and short, 6-ps high-power
laser pulses with a total of 10 J of energy in a traveling-wave excitation
scheme. Lasing was observed on the 3p (1)S(0)?3s (1)P(1) transition at 46.9 nm
and the 3d (1)P(1)?3p (1)P(1) transition at 45.1 nm. A gain of 11 cm(-1) was
measured on these transitions for targets up to 0.9 cm long.
PMID- 18049620
TI - Phase synchronization in coupled Nd:YAG lasers.
AB - We investigate experimentally the transition to phase synchronization in coupled
Nd:YAG lasers. As the coupling strength increases, the phase difference of two
chaotic laser outputs develops from nonsynchronous to a phase-synchronous state
via +/-2pi phase jumps. We analyze this transition.
PMID- 18049621
TI - Reconstruction of blurred images by controlled formation of spatial solitons.
AB - Diffracted digital images are reconstructed by excitation of spatial solitons in
a bulk chi((2)) crystal, in the regime of single-pass parametric amplification of
the quantum noise.
PMID- 18049622
TI - Broadband infrared generation with noncollinear optical parametric processes on
periodically poled LiNbO(3).
AB - Broadband signal and idler generation based on the spectral retracing behavior in
noncollinear phase matching of optical parametric generation in periodically
poled LiNbO(3) (PPLN) is reported. Using PPLN of 29.5-mum quasi-phase-matching
period and a Q-switched Nd:YAG laser as a pump, we obtained a broad signal
spectrum from 1.66 to 1.96 mum and corresponding idler wavelengths from 2.328 to
2.963 mum. The experimental results were consistent with theoretical predictions.
Circular and elliptical pump beams were also compared.
PMID- 18049623
TI - Periodic mode hopping induced by thermo-optic effects in continuous-wave optical
parametric oscillators.
AB - We show that thermal effects can lead to periodic mode hopping in cw optical
parametric oscillators (OPOs). This mode hopping may occur as soon as two modes
have different intensities at the point where they exchange their stability; this
condition is easily fulfilled in OPOs that are triply resonant, or doubly
resonant with a weakly resonant pump. We have observed such oscillations
experimentally in a type II OPO in both configurations. A simple thermo-optic
multimode model reproduces well the experimental regimes. We expect that
multimode instabilities based on this mechanism can be observed with various
aspects in many experimental setups at high pumping rate.
PMID- 18049624
TI - Adaptative lensing driven by the radiation pressure of a continuous-wave laser
wave upon a near-critical liquid liquid interface.
AB - The bending of a liquid interface by the radiation pressure of a cw laser wave is
generally weak. To strongly enhance the coupling, we investigate lensing in a
near-critical phase-separated liquid mixture. By continuously tuning the softness
of the meniscus by varying the temperature, we observed huge stationary interface
deformations at low beam power that led to an important variation of the
resultant soft lensing. We also illustrate the crucial role played by temperature
in this process to demonstrate its potential use in lens adaptation.
PMID- 18049625
TI - Energy transfer at optical frequencies to silicon-on-insulator structures.
AB - The refractive-index distribution that is intrinsic to the silicon-on-insulator
(SOI) material system makes it possible for optical-frequency guided waves to be
confined by the SOI silicon layer. The same refractive-index distribution is
unusual among nonmetals in that it is possible for those SOI guided waves to
interact strongly with nearby optical-frequency radiators, absorbers, and
scatterers (e.g., atoms, molecules, and nanoparticles). We calculate the guided
mode excitation efficiency for an exterior particle near the SOI surface and show
that it can attain values greater than 80% under appropriate conditions, thus
showing that the SOI waveguide system is an attractive platform for the study of
optical-frequency surface interactions.
PMID- 18049626
TI - Pancharatnam--Berry phase in space-variant polarization-state manipulations with
subwavelength gratings.
AB - We report the appearance of a geometrical phase in space-variant polarization
state manipulations. This phase is related to the classic Pancharatnam-Berry
phase. We show a method with which to calculate it and experimentally demonstrate
its effect, using subwavelength metal stripe space-variant gratings. The
experiment is based on a unique grating for converting circularly polarized light
at a wavelength of 10.6 mum into an azimuthally polarized beam. Our experimental
evidence relies on analysis of far-field images of the resultant polarization.
PMID- 18049627
TI - Compact transmission system using single-sideband modulation of light for quantum
cryptography.
AB - We report a new transmission that can be used for quantum key distribution. The
system uses single-sideband-modulated light in an implementation of the BB84
quantum cryptography protocol. The system is formed by two integrated unbalanced
Mach-Zehnder interferometers and is based on interference between phase-modulated
sidebands in the spectral domain. Experiments show that high interference
visibility can be obtained.
PMID- 18049628
TI - High-resolution Doppler-free molecular spectroscopy with a continuous-wave
optical parametric oscillator.
AB - We present a reliable, narrow-linewidth (100-kHz) continous-wave optical
parametric oscillator (OPO) suitable for high-resolution spectroscopy
applications. The singly resonant OPO with a resonated pump is based on
periodically poled lithium niobate crystal and features a specially designed
intracavity etalon, which permits precise tuning to any desired wavelength in a
wide range. We demonstrate Doppler-free spectroscopy of a rovibrational
transition of methane at 3.39 mum.
PMID- 18049629
TI - Homodyne and heterodyne imaging through a scattering medium.
AB - We introduce a novel two-dimensional (2D) homodyne and heterodyne technique for
imaging objects through or embedded in a scattering medium. Our imaging approach
is based on heterodyning of light with different Doppler broadenings that is
scattered from objects of two different textures or from an opaque object and a
textured scattering medium. We report on the initial demonstration of pulling
signals out of noise for an object hidden behind a scattering medium.
Enhancements of signal-to-noise ratio of the order of 50 have been achieved by
use of a 2D holographic phase-sensitive detector. We also discuss the
experimental feasibility of this approach for objects embedded in a scattering
medium.
PMID- 18049630
TI - Single-shot measurement of carrier-envelope phase changes by spectral
interferometry.
AB - We demonstrated single-shot measurements of spectral interference between a white
light continuum generated in a hollow-fiber and its second harmonic. The
interference has information on the carrier-envelope phase of an input pulse to
the fiber and the time delay of the blue wing of the continuum. By analyzing the
observed spectral interference, we estimated shot-by-shot changes of the carrier
envelope phase. This method is useful for determining the carrier-envelope phase
changes of a low-repetition-rate, high-intensity laser.
PMID- 18049631
TI - Two-element-cavity femtosecond Cr(4+):YAG laser operating at a 2.6-GHz repetition
rate.
AB - A femtosecond Cr(4+):YAG laser with a simple two-element cavity was built. This
laser typically attained a repetition rate of 2.64 GHz with a pulse width of 115
fs at a center wavelength of 1540 nm. Output pulses were characterized by second
harmonic generation frequency-resolved optical gating. Alignment of the two
element cavity for mode-locked operation was easy; moreover, the cavity structure
has the potential to produce an even higher repetition rate because one can
miniaturize it simply by shortening a gain medium length.
PMID- 18049632
TI - Ultrashort-laser-pulse measurement using swept beams.
AB - We demonstrate a frequency-resolved optical gating (FROG) device that uses a
sweepshot geometry that combines the advantages of multishot and single-shot
pulse-measurement devices, has only one moving part, a galvanometer, and requires
no computer control. Like a multishot device, it focuses the beam to a small spot
(rather than a line focus) and has a high intensity in the nonlinear medium. Like
single-shot devices, it makes measurements quickly, generating an entire FROG
trace on a single camera screen (rather than requiring many camera downloads).
PMID- 18049633
TI - Fundamental functions for ultrafast optical routing by temporal frequency-to
space conversion.
AB - We demonstrate fundamental functions for ultrafast optical routing by using an
elemental part of an ultrafast optical technique for conversion of time to two
dimensional space. A preliminary experimental result shows that recognition of
header signals can be achieved at a rate of more than 600 Gbytes/s.
PMID- 18049634
TI - Alloy-ceramic oxide multilayer mirrors for water-window soft x rays.
AB - Alloy-ceramic oxide multilayers of Ni(80)Nb(20)- MgO with 3.56-nm period have
been made by use of the pulsed-laser deposition technique and characterized by
means of grazing-incidence x-ray reflectivity. The interface roughness was found
to be only ~0.35 nm at the two interfaces, Ni(80)Nb(20)- MgO and MgO
Ni(80)Nb(20), leading to a peak reflectivity of ~38% at the first order. The
atomic structure in the two individual layers, Ni(80)Nb(20) and MgO, is found to
be amorphous, in agreement with the deposition conditions. These multilayers can
be used as mirrors for soft x rays in the angular range 18 degrees to 39 degrees
, depending on the actual wavelength of radiation in the water-window region.
PMID- 18049635
TI - Atomic spectral detection of tunable extreme ultraviolet pulses.
AB - A novel method of detecting the spectral width and wavelength of extreme
ultraviolet (XUV) pulses with a minimum number of experimental tools is
demonstrated. The method relies on the photoionization probability of an atom as
a function of the electric field. A tunable laser source in the XUV is used that
is based on higher-harmonic generation of the frequency-doubled output of a 50-fs
Ti:sapphire laser. The bandwidth and the wavelength of the seventh harmonic
(~57nm) are detected with Ne, and the resolving power is lambda/Dlambda=10(5).
PMID- 18049636
TI - Single-beam trapping in front of reflective surfaces.
AB - We show that the optical trapping of dielectric particles by a single focused
beam in front of a weakly reflective surface is considerably affected by
interference of the incident and reflected beams, which creates a standing-wave
component of the total field. We use the two-photon-excited fluorescence from a
trapped dyed probe to detect changes in the distance between the trapped beam
focus as the focus approaches the reflective surface. This procedure enables us
to determine the relative strengths of the single-beam and the standing-wave
trapping forces. We demonstrate that, even for reflection from a glass-water
interface, standing-wave trapping dominates, as far as 5 mum from the surface.
PMID- 18049637
TI - Fundamental-mode cutoff in a photonic crystal fiber with a depressed-index core.
AB - We report a photonic crystal fiber with a depressed-index core doped with
fluorine. The effective index of the cladding matches that of the core at an
antiguiding wavelength, below which the fiber does not guide light at all.
PMID- 18049638
TI - Polarization mode dispersion probability distribution for arbitrary distances.
AB - The probability distribution of the differential group delay (DGD) at any fiber
length is determined by use of a physically reasonable model of the fiber
birefringence. We show that if the fiber correlation length is of the same order
as or larger than the beat length, the DGD distribution approaches a Maxwellian
in roughly 30 fiber correlation lengths, corresponding to a couple of kilometers
in realistic cases. We also find that the probability distribution function of
the polarization dispersion vector at the output of the fiber depends on the
angle between it and the local birefringence vector on the Poincare sphere,
showing that the DGD remains correlated with the orientation of the local
birefringence axes over arbitrarily long distances.
PMID- 18049639
TI - Soliton compression in Brillouin fiber lasers.
AB - Pulse propagation in optical fibers may electrostrictively excite acoustic waves
as a result of cladding Brillouin scattering, transversally propagating with
respect to the fiber axis in the fiber's cladding, and mechanical coating. We
show, for the first time to our knowledge, experimentally and theoretically that
these transverse resonances within finite frequency ranges may cooperatively
couple with the acoustic longitudinal modes of a fiber resonator, giving rise to
stable trains of either spread or compressed three-wave Brillouin solitons and
propose a first stability map for the rich four-wave dissipative dynamics.
PMID- 18049640
TI - Neural network for three-dimensional object recognition based on digital
holography.
AB - We present a two-layer neural network for processing of three-dimensional (3D)
images that are obtained by digital holography. The network is trained with a
real 3D object to compute the weights of the layers. Experiments are presented to
illustrate the system performance. The system is designed to detect a 3D object
in the presence of various distortions. As an example, experiments are presented
to illustrate how the system is able to recognize a 3D object with 360 degrees
out-of-plane rotation.
PMID- 18049641
TI - Three-dimensional display by use of integral photography with dynamically
variable image planes.
AB - A computer-generated integral photography system operating with a variable image
plane is proposed. In this scheme, the gap between a lens array and a display
panel is adjusted in real time. A synchronized elemental image array for real or
virtual mode is integrated in front of or behind the lens array. This integration
gives an observer an enhanced perception of depth. The proposed method can be
applied to animated three-dimensional imaging.
PMID- 18049642
TI - Laser relaxation-oscillation frequency imaging.
AB - We describe a new imaging technique based on modification of laser relaxation
frequency induced by coherent optical feedback from an external target. A direct
comparison (both theoretical and experimental) is made with laser feedback
interferometry techniques, in which there is a modification of the laser's steady
state. We show that, for a laser with a cavity damping rate gamma(c) higher than
the population damping rate, gamma(1) , the modification of the laser relaxation
frequency can be several orders of magnitude more sensitive than the perturbation
of the laser's output power. Application of this technique to imaging is
reported.
PMID- 18049643
TI - Secure communication scheme using chaotic laser diodes subject to incoherent
optical feedback and incoherent optical injection.
AB - We propose a secure communication scheme based on anticipating synchronization of
two chaotic laser diodes, one subject to incoherent optical feedback and the
other to incoherent optical injection. This scheme does not require fine tuning
of the optical frequencies of both lasers as is the case for other schemes based
on chaotic laser diodes subject to coherent optical feedback and injection. Our
secure communication scheme is therefore attractive for experimental
investigation.
PMID- 18049644
TI - Stable route-tracking synchronization between two chaotically pulsing
semiconductor lasers.
AB - Stable route-tracking synchronization is experimentally demonstrated in two
semiconductor lasers with delayed optoelectronic feedback. When the two lasers
are stably synchronized, the receiver laser is observed to track the route to
chaotic pulsing of the transmitter laser. The stability of the route-tracking
synchronization is examined by calculation of the transverse Lyapunov exponents
of the coupled system.
PMID- 18049645
TI - Path-length distribution and path-length-resolved Doppler measurements of
multiply scattered photons by use of low-coherence interferometry.
AB - We report first results of measurements by low-coherence Doppler interferometry
of the path-length distribution of photons undergoing multiple scattering in a
highly turbid medium. We use a Mach-Zehnder interferometer with multimode graded
index fibers and a superluminescent diode as the light source. The path-length
distribution is obtained by recording of the heterodyne fluctuations that arise
from the Brownian motion of particles in an Intralipid suspension as a function
of the optical path length. The experimental path-length distribution is in good
agreement with predictions of Monte Carlo simulations. In the heterodyne
spectrum, an increase of the mean Doppler frequency with path length is observed.
PMID- 18049646
TI - Micromachining of diamond with a near-field scanning optical microscope.
AB - Direct-write laser micromachining of diamond on a submicrometer scale with a near
field scanning optical microscope with an uncoated tapered fiber tip has been
demonstrated. Micromachined structures can be imaged in situ immediately after
modification of the sample. An early stage of the ablation process, which is
believed to be conversion of diamond into graphite, has been visualized.
PMID- 18049647
TI - Information transfer via cascaded collisions of vector solitons.
AB - We demonstrate experimentally the transport of information from one vector
(Manakov-like) spatial soliton to another via collisions with a third,
intermediate soliton.
PMID- 18049648
TI - Focal-shift formula in apodized nontelecentric focusing systems.
AB - A single analytical formulation for evaluating the focal shift in any apodized
nontelecentric focusing setup is reported. The formulation is also useful in the
case of imaged paraxial beams. We show explicitly that the magnitude of the focal
shift is determined by only one parameter that depends on the effective width of
the pupil filter and its axial position. To illustrate our approach we examine
different focusing setups.
PMID- 18049649
TI - Photon antibunching in the fluorescence of a single dye molecule embedded in a
thin polymer film.
AB - We used scanning confocal microscopy to study the fluorescence from a single
terrylene molecule embedded in a thin polymer film of polymethyl methacrylate, at
room temperature, with a high signal-to-background ratio. The photon-pair
correlation function g((2))(tau) exhibits perfect photon antibunching at tau = 0
and a limit of 1.3, compatible with bunching associated with the molecular
triplet state. Application of this molecular system to a triggered single-photon
source based on single-molecule fluorescence is investigated.
PMID- 18049650
TI - Frequency-dependent optical pumping in atomic ?-systems.
AB - We consider the effects of optical pumping on the conversion of laser-frequency
modulation into intensity modulation by an atomic absorption line in a vapor of
alkali atoms driven in a ?-configuration. It is found that, due to optical
pumping in combination with the excited-state hyperfine structure, the absorption
line shape is distorted substantially as the Fourier frequency of the FM is
changed. The most significant effect of the distortion is a shift of the apparent
line center, which depends on how the frequency of the modulation compares with
the optical pumping rate. This shift has implications for locking lasers to
atomic transitions and also for FM-AM noise conversion in atomic vapors.
PMID- 18049651
TI - Homodyne detection in spectral phase interferometry for direct electric-field
reconstruction.
AB - We study and demonstrate a version of spectral phase interferometry for direct
electric-field reconstruction (SPIDER) that uses self-referencing homodyne
detection. This technique has a higher sensitivity than conventional SPIDER, is
self-calibrating, and can be adjusted for a wider range of pulse parameters.
PMID- 18049652
TI - Terahertz reflection imaging using Kirchhoff migration.
AB - We describe a new imaging method that uses single-cycle pulses of terahertz (THz)
radiation. This technique emulates data-collection and image-processing
procedures developed for geophysical prospecting and is made possible by the
availability of fiber-coupled THz receiver antennas. We use a simple migration
procedure to solve the inverse problem; this permits us to reconstruct the
location and shape of targets. These results demonstrate the feasibility of the
THz system as a test-bed for the exploration of new seismic processing methods
involving complex model systems.
PMID- 18049653
TI - Photonic device fabrication in glass by use of nonlinear materials processing
with a femtosecond laser oscillator.
AB - Single-mode X couplers and three-dimensional waveguides are fabricated in
transparent glasses by use of an unamplified femtosecond laser generating
energies of up to 100 nJ. Changing fabrication parameters such as power and
scanning speed permits creation of waveguides with a wide range of structures and
refractive-index difference. Optical coherence tomography shows large refractive
index changes of up to ~10(-2) in the waveguides; these changes are consistent
with guided mode analysis.
PMID- 18049654
TI - Dispersion-managed soliton in a strong dispersion map limit.
AB - A dispersion-managed optical system with stepwise periodic variation of
dispersion is studied in a strong dispersion map limit in the framework of the
path-averaged Gabitov-Turitsyn equation. The soliton solution is obtained by
analytical and numerical iteration of the path-averaged equation. An efficient
numerical algorithm for finding a DM soliton shape is developed. The envelope of
soliton oscillating tails is found to decay exponentially in time, and the
oscillations are described by a quadratic law.
PMID- 18049655
TI - Differential-phase-to-intensity conversion based on injection locking of a
semiconductor laser.
AB - A novel method of optical phase-shift detection using differential-phase-to
intensity conversion (DPIC) based on injection locking of a semiconductor laser
is proposed. We predict DPIC numerically and verify it experimentally. We then
demonstrate detection of OC-48 (2.48832-Gbit/s) phase-modulated signals by use of
DPIC.
PMID- 18049656
TI - Chemical sensing with microbent optical fiber.
AB - We propose and demonstrate the possibility of using a permanently microbent bare
optical fiber for detecting chemical species. Two detection schemes, viz., a
bright-field detection scheme (for the core modes), and a dark-field detection
scheme (for the cladding modes) have been employed to produce a fiber-optic
sensor. The sensor described here is sensitive enough to detect concentrations as
low as nanomoles per liter of a chemical species, with a dynamic range of more
than 6 orders of magnitude.
PMID- 18049657
TI - Analytical method for designing dispersion-managed fiber systems.
AB - Using the equations of motion of pulse width and chirp, we present an analytical
method for designing dispersion-managed (DM) fiber systems without optical
losses. We show that the initial Gaussian pulse considered for the analytical
design of periodically amplified DM fiber systems with losses will propagate as a
proximity fixed point. Then averaging the DM soliton fields obtained from the
slow dynamics of the proximity fixed point will yield the exact fixed point.
PMID- 18049658
TI - Theory of self-focusing in photorefractive InP.
AB - We present a theory of self-focusing and solitons in photorefractive InP,
including the previously unexplained intensity resonance and the resonant
enhancement of the space-charge field.
PMID- 18049659
TI - Synthetic-aperture experiment in the visible with on-axis digital heterodyne
holography.
AB - We have developed a new on-axis digital holographic technique, heterodyne
holography. The resolution of this technique is limited mainly by the amount of
data recorded on two-dimensional photodetectors, i.e., the number of pixels and
their size. We demonstrate that it is possible to increase the resolution
linearly with the amount of recorded data by aperture synthesis as done in the
radar technique but with an optical holographic field.
PMID- 18049660
TI - Graded-index mid-infrared planar optical waveguides made from silver halides.
AB - Since the index of refraction of AgCl(x)Br(1-x) (x<1) is higher than that of
AgCl, by diffusing Br(-) ions into AgCl it was possible to control the index and
thus obtain planar waveguides made from silver chlorobromide (AgClBr) on a AgCl
substrate. Silver halides are transparent in the mid IR, and it was therefore
possible to characterize the waveguides by transmission of 10.6-mum CO(2)-laser
radiation through them. In a typical case, the thickness was optically measured
and was found to be 65mum , and the propagation loss was 16 dB/cm. The output
beam profile distribution was determined experimentally and found to be well
correlated with a numerical analysis simulation based on a ray-tracing model of
the eikonal equation. Planar waveguides that are transparent in the mid IR will
likely be useful in numerous applications.
PMID- 18049661
TI - Experimental observation of traveling waves in the transverse section of a laser.
AB - The selection of a transverse traveling wave by an inhomogeneous pump profile has
been experimentally observed in a class B laser structure. The laser structure
consisted of a wide-aperture edge-emitting laser diode operating in pulsed mode
to avoid thermal guiding effects. The injection current's profile was modified
from the usual top-hat configuration to a Lorentzian-like profile by the
inclusion of a 10-mum p-type expitaxial spreading layer. Spatial dependance of
the far field on the near field was observed. The same behavior is also
demonstrated numerically by use of Maxwell-Bloch equations for semiconductor
lasers.
PMID- 18049662
TI - Fiber laser mode locked by a Sagnac interferometer with nonlinear polarization
rotation.
AB - We describe a new fiber laser configuration based on a nonlinear optical loop
mirror with a symmetrical coupler, a quarter-wave retarder, and highly twisted,
birefringent fiber in the loop. The nonlinear optical loop mirror configuration
operates by nonlinear polarization rotation. We have achieved stable generation
of subpicosecond pulses with milliwatts of average output power.
PMID- 18049663
TI - Reducing the linewidth of a diode laser below 30 Hz by stabilization to a
reference cavity with a finesse above 10(5).
AB - An extended-cavity diode laser operating in the Littrow configuration emitting
near 657 nm is stabilized through its injection current to a reference cavity
with a finesse of more than 10(5) and a corresponding resonance linewidth of 14
kHz. The laser linewidth is reduced from a few megahertz to a value below 30 Hz.
The compact and robust setup appears ideal as a portable optical frequency
standard that uses the calcium intercombination line.
PMID- 18049664
TI - High-peak-power diode-pumped Nd:YAG laser with a Brillouin phase-conjugation-
pulse-compression mirror.
AB - We demonstrate stimulated Brillouin scattering compression of diode-pumped Nd:YAG
laser pulses with a 3-ns duration into 350-ps pulses at a pulse-repetition rate
of 100 Hz for what is believed to be the first time. The output pulse energy was
6.5 mJ, with a beam-quality factor M(2) of 1.15, and after final amplification
the energy reached 36 mJ, with M(2) of 2.5.
PMID- 18049665
TI - Rapid temperature tuning of a 1.4-mum diode laser with application to high
pressure H(2)O absorption spectroscopy.
AB - Enhanced wavelength tuning of a distributed-feedback InGaAsP diode laser is
demonstrated by use of rapid temperature cycling. The laser-active region is
cycled from -10 to +50 degrees C (scanning the output from 1399 to 1403 nm) at
kilohertz rates by pulsed heating with an auxiliary 532-nm laser. Such 4-nm scans
represent a ten-fold increase in the wavelength-scanning range offered by
standard current-tuning techniques and thus extend the capabilities of scan
wavelength sensors and systems. As an example application, we demonstrate
absorption spectroscopy of H(2)O vapor at a pressure of 10 atm.
PMID- 18049666
TI - Influence of the phase function on determination of the optical properties of
biological tissue by spatially resolved reflectance.
AB - Spatially resolved reflectance measurements are widely used for determination of
the optical properties of biological media. However, the influence of the phase
function on these measurements has not been quantified. We show that errors in
the derived reduced scattering and absorption coefficients are as great as 100%
for both absolute and relative spatially resolved reflectance measurements if a
standard solution of the diffusion equation is used in the analysis. In addition,
we investigated nonlinear regressions, using Monte Carlo simulations and an
additional fitting parameter that characterizes the phase function, and found
that the errors in the obtained optical coefficients were =20% .
PMID- 18049667
TI - Spatial solitons in a pumped semiconductor resonator.
AB - Bright and dark spatial solitons are observed in an optically pumped
semiconductor resonator. The pumping allows us to reduce considerably the light
intensity necessary for the existence of the solitons and alleviates thermal load
problems. Experiments are found to agree qualitatively with calculations based on
a simple large-aperture semiconductor resonator model. The role of the signs of
the absorptive and reactive nonlinearities in soliton existence is discussed in
relation to the nonlinear resonance effect, the tilted-wave mechanism of pattern
formation, and the sign of the population inversion.
PMID- 18049668
TI - Collisions between (2+1)D rotating propeller solitons.
AB - We study theoretically the collisions between (2+1)D rotating-dipole-type bimodal
solitons and find that such interactions exhibit many interesting exchanges of
angular momentum.
PMID- 18049669
TI - Ultraviolet upconversion in thulium-doped fluorozirconate fiber observed under
two-color excitation.
AB - Ultraviolet upconversion signals at 293, 351, and 366 nm were observed from
thulium-doped fluorozirconate fiber pumped with a 458-nm Ar(+) laser. The
upconversion signal's intensity was enhanced 5x when the fiber was simultaneously
pumped with a 458-nm Ar(+) laser and a 585-nm dye laser. There is evidence of the
formation of defect centers under simultaneous excitation by visible and near
infrared lasers (458 and 750 nm), and there is no evidence of color-center
formation when both of the exciting beams are in the visible (458 and 585 nm).
PMID- 18049670
TI - Continuous-wave-pumped Raman-assisted fiber optical parametric amplifier and
wavelength converter in conventional dispersion-shifted fiber.
AB - We present a continuous-wave-pumped fiber optical parametric amplifier, operating
near 1539 nm in conventional dispersion-shifted fiber, with maximum on-off gain
and wavelength-conversion efficiency of 13.7 and 13.1 dB, respectively. In
addition, we show a novel configuration based on Raman amplification assistance
in the parametric gain fiber that further increases the gain and wavelength
conversion efficiencies to 16.7 and 16.2 dB, respectively.
PMID- 18049671
TI - Gallium-diffused waveguides in sapphire.
AB - The fabrication and characterization of gallium-diffused planar waveguides in
sapphire are reported. Waveguides were fabricated by diffusion of 60-200-nm-thick
films of gallium oxide into c -cut sapphire at 1600 degrees C for times ranging
from 6 to 16 h. Near-field intensity profiles of the guided modes were measured
at wavelengths from 488 to 850 nm, and the surface-index elevation was estimated
to be up to (0.6+/-0.02)x10(-2) . Potential applications for low-threshold
Ti:sapphire waveguide lasers and for optical integrated circuits with passive and
active elements in sapphire are discussed.
PMID- 18049672
TI - Absolute frequency measurement of the 435.5-nm (171)Yb+-clock transition with a
Kerr-lens mode-locked femtosecond laser.
AB - We have measured the frequency of the 6s(2)S(1/2)(2)-5d D(3/2)(2) electric
quadrupole transition of (171)(Yb) (+) with a relative uncertainty of 1x10(-14) ,
nu(Yb)=688358 979309312Hz +/-6Hz . We used a femtosecond frequency comb generator
to phase-coherently link the optical frequency derived from a single trapped ion
to a cesium-fountain-controlled hydrogen maser. This measurement is one of the
most accurate measurements of optical frequencies ever reported, and it
represents a contribution to the development of optical clocks based on a
(171)Yb(+)-ion standard.
PMID- 18049673
TI - Optimization of ultrashort-pulse squeezing by spectral filtering with the Fourier
pulse-shaping technique.
AB - We propose an adaptive waveform-control approach to optimize photon-number
squeezing by the nonlinear fiber spectral filtering method. More than -8-dB
squeezing of the sech envelope pulse is numerically predicted when the spectral
phase is modulated before the pulse is sent to a fiber. The quantum cross
correlations of the photon-number fluctuation become uniformly negative when the
pulse is optimally shaped.
PMID- 18049674
TI - Far-field radiation from quantum boxes located in pillar microcavities.
AB - Far-field radiation for quantum boxes located in pillar microcavities was
investigated spatially and spectrally at room temperature. We have found that
small-diameter pillars show directional emission for the fundamental cavity mode
together with a spectral behavior dominated by the pillar's discrete modal
structure. These results may be important in the context of single-photon
emitters for quantum communications.
PMID- 18049675
TI - Validity of the paraxial approximation in the focal region of a small-f-number
lens.
AB - For small- f -number lenses, whereas the paraxial approximation cannot be used
for a description of propagation of light from the lens to the focal region, it
is shown that the approximation is still valid for propagation of light over
relatively small distances in the region near the focus.
PMID- 18049676
TI - Vortex revivals with trapped light.
AB - We predict that vortex dipoles nested in light beams trapped in graded-index
media can undergo closed Berry trajectories, yielding periodic vortex
annihilations and revivals along the light-propagation direction. The vortex
revivals from vortex-free wave fronts are mediated by Freund stationary point
bundles that carry the necessary Poincare-Hopf indices. Vortex spiraling and
spontaneous generation of circular-edge dislocations are also found to occur.
PMID- 18049677
TI - Selective transparency of single-mode waveguides with surface scattering.
AB - Random surface scattering in a one-mode waveguide is studied for a surface
profile that has long-range correlations along the waveguide. Analytical
treatment of this scattering shows that, with the proper choice of surface, one
can arrange any desired combination of transparent and nontransparent frequency
windows. We suggest a method for finding such profiles and demonstrate its
effectiveness by making use of direct numerical simulations.
PMID- 18049678
TI - Fourth- and sixth-order polarization aberrations of antireflection-coated optical
surfaces.
AB - For single-layer antireflection-coated (ARC) optical surfaces, the first five
derivatives of reflectance with respect to angle of incidence phi for the p and s
polarizations are zero at normal incidence, whereas the first three derivatives
of differential transmission phase shift D(t)(= D(tp)-D(ts)) with respect to phi
are also zero at phi=0 . Consequently, ARC optical systems with numerical
apertures of <0.7(phi<45 degrees ) exhibit fourth- and sixth-order polarization
aberrations owing to retardance and diattenuation, respectively. Results for ARC
Ge and ZnS surfaces are presented to illustrate these effects.
PMID- 18049679
TI - Photoacoustic monitoring of trace gases by use of a diode-based difference
frequency laser source.
AB - We present a compact mid-infrared laser spectrometer for trace-gas monitoring.
Difference frequency generation in periodically poled LiNbO(3) is used as laser
source, yielding a tuning range 3.2-3.7mum at a linewidth of 154 MHz. The
relatively high average power of 3 to 5 mW favors detection with a small resonant
photoacoustic gas cell. Measurements of methane yield a detection limit in the
low parts in 10(6) by volume concentration range.
PMID- 18049680
TI - Single-shot spectral interferometry with chirped pulses.
AB - We present a method for obtaining time-resolved measurements of the amplitude
modulation and the phase shift of a chirped probe pulse interacting with a
femtosecond-laser-produced plasma. Based on spectral interferometry, the
technique allows for single-shot measurements and keeps the temporal resolution
associated with the full bandwidth of the probe pulse. We demonstrate the
efficiency of this technique by probing femtosecond-laser breakdown of plastic
targets.
PMID- 18049681
TI - All-optical square-pulse generation and multiplication at 1.5 mum by use of a
novel class of fiber Bragg gratings.
AB - A new class of linearly chirped fiber Bragg gratings, suitable for all-fiber
optical multiplication and reshaping of picosecond pulse trains, is proposed and
experimentally demonstrated. Repetition-rate multiplication, based on the
temporal Talbot effect, is achieved by accurate control of the grating chirp, and
a suitable design of the index-modulation depth profile allows for simultaneous
square-pulse shaping. A 50-ps squarelike optical pulse train at 10-GHz repetition
rate is obtained, starting from a 2.5-GHz Gaussian pulse train emitted by a mode
locked Er-Yb laser.
PMID- 18049682
TI - Monitoring the ultrafast electric field change at a mid-infrared plasma Bragg
mirror.
AB - The electric field change of a femtosecond mid-infrared (MIR) pulse reflected by
a new type of Bragg mirror is directly measured by time-resolved cross
correlation spectroscopy. The refractive-index contrast of the plasma Bragg
mirror is achieved by use of different doping levels of only one type of
semiconductor material (n(+) -doped GaAs and undoped GaAs). The direct
measurement of the time dependence of the electric field of a reflected MIR pulse
permits the observation of a noninstantaneous response of a Bragg mirror compared
with a metallic surface, which is due to the penetration of the pulse into the
multilayer structure.
PMID- 18049683
TI - ?erenkov-based radiation from superluminal excitation in microdroplets by
ultrashort pulses.
AB - We demonstrate from a generalized Lorenz-Mie theory that ultrashort pulses can
induce superluminal excitation in microdroplets. A ?erenkov-like effect can thus
be expected for sufficiently intense ultrashort pulses.
PMID- 18049684
TI - Three-dimensional cooling of cesium atoms in a reflecting copper cylinder.
AB - We present what is to our knowledge the first observation of spin-polarized atoms
cooled within a reflecting cylinder in a high-power medium. A low-pressure vapor
of cesium atoms is stored in a glass cell whose volume is 58 cm(3). Cooling laser
light (lambda=852 nm) is injected into the cell by optical fibers and is recycled
by multiple reflections from the walls of the cylinder. The technique used in
this experiment greatly simplifies the generation of laser-cooled atoms. A
maximum of 2.5 x 10(8) cold atoms was detected by a time-of-flight technique. The
damping of atomic motion has lead to temperatures as low as 3.5muK .
PMID- 18049685
TI - Antireflection microstructures fabricated upon fluorine-doped SiO(2) films.
AB - Two-dimensional periodic structures were fabricated upon a fluorine-doped SiO(2)
film in which the fluorine content changed gradually in the direction of film
thickness. The films were deposited by plasma-enhanced chemical-vapor deposition.
The film was periodically patterned into a 1-mum period and an ~1-mum -groove
depth by inductive coupled plasma reactive-ion etching followed by chemical
etching in a diluted HF solution. A surface reflectance of 0.7% was attained at
1.85-mum wavelength, a value that is one fifth as large as the 3.5% Fresnel
reflection of a SiO(2) substrate with a flat surface.
PMID- 18049686
TI - Transform spectrometer based on measuring the periodicity of Talbot self-images.
AB - We demonstrate a compact transform spectrometer based on measuring the
periodicity of Talbot self-images. The system has no moving parts; it contains
only a tilted absorption grating that is imaged onto a CCD camera. The linear
architecture of the system makes it possible to use this design in imaging arrays
of spectrometers. Unlike other transform spectrometers, its resolution is
independent of wavelength.
PMID- 18049687
TI - Synthesis of diffractive axicons for partially coherent light based on asymptotic
wave theory.
AB - A general, noniterative method for designing diffractive axicons is derived. This
new technique clarifies the earlier phenomenological design principle that was
used for coherent light and extends it to the domain of partial coherence. The
approach is based on the method of stationary phase in fluctuating diffracted
wave fields, and it applies to arbitrary axially symmetric radiation of the
Schell-model type. It is shown that the general design equation can be solved
numerically, in a straightforward way, for any reasonable illumination and image
specifications.
PMID- 18049688
TI - Polarizing diffraction-grating triplicators.
AB - We report experimental results with a reflective continuous anisotropic
polarizing diffraction-grating beam splitter that produces three diffraction
orders. The diffraction efficiencies and polarizations of the diffracted orders
are controlled through the incoming polarization state and by use of a wave plate
with arbitrary orientation located between the grating and a mirror. In
particular, we show experimental evidence of a diffraction triplicator-a 100%
efficiency diffractive device that generates three orders with equal power.
PMID- 18049689
TI - Group velocity of solitons.
AB - It is shown that, in addition to the well-known phase accumulation of a traveling
soliton, which may be interpreted as a change of phase velocity as a result of
the Kerr nonlinearity, there is a change in the speed of travel of the envelope,
the group velocity. This analysis is extended to dispersion-managed solitons, for
which it is shown that the discrepancy between phase- and group-velocity changes
is generally smaller.
PMID- 18049690
TI - Residual stress relaxation in the core of optical fiber by CO(2) laser
irradiation.
AB - We observed residual stress relaxation by CO(2) laser irradiation in the cores of
optical fibers by direct stress measurement. It was demonstrated that the
mechanical stress was fully relaxed by CO(2) laser irradiation and that the
remaining stress in the core was thermal stress that was due to a mismatch of the
thermal expansion coefficients of the fiber core and cladding. The net core
stresses after relaxation were 17, 68, and 203 MPa in Ge-B-codoped fibers drawn
at 0.53, 1.38, and 3.48 N, respectively. Changes in the refractive indices of the
cores as a result of residual stress relaxation were also estimated.
PMID- 18049691
TI - Confinement losses in microstructured optical fibers.
AB - We describe a multipole formulation that can be used for high-accuracy
calculations of the full complex propagation constant of a microstructured
optical fiber with a finite number of holes. We show how the imaginary part of
the microstructure, which describes confinement losses not associated with
absorption, varies with hole size, the number of rings of holes, and wavelength,
and give the minimum number of rings of holes required for a specific loss for
given parameters.
PMID- 18049692
TI - Dependence of Gordon-Haus timing jitter on the ratio of the forward and backward
pump powers.
AB - The Gordon-Haus timing jitter of optical pulses in dispersion-managed
communication systems with distributed amplification is studied. The jitter
penalty for equal forward and backward pump powers and a pump spacing of 100 km
is almost 9 dB lower than the jitter penalty for lumped amplification and an
amplifier spacing of 100 km.
PMID- 18049693
TI - High-frame-rate joint Fourier-transform correlator based on Sn(2)P(2)S(6)
crystal.
AB - We present a joint Fourier-transform correlator working at a 10-kHz repetition
rate. It is based on a photorefractive Sn(2)P(2)S(6) crystal operated in the
pulsed direct band-to-band photoexcitation regime at a wavelength of 532 nm and a
pulse length of 50 ns. The intersection of two plane waves with a total pulse
fluence of 100muJ/cm(2) results in a buildup of thin dynamic holograms to a
typical diffraction efficiency of 10(-4) in a time of ~1mus and decay again in
less than 10mus . The correlator was tested by a fast image sequence generated by
the pulsed readout of a holographic memory system. Successful correlation at a
rate of 10 kHz has already been achieved for a pulse energy of only 200 nJ in the
template images.
PMID- 18049694
TI - Thermal effects in a dual-clad ytterbium fiber laser.
AB - We present experimental results of temperature tuning in a dual-clad ytterbium
fiber laser. We varied the temperature of the fiber from 0 to 100 degrees C and
found significant changes in operating wavelength, power, and threshold. Over
this range, the wavelength shifted at a rate of 0.2 nm/ degrees C, and the lasing
threshold increased by a factor of 2.
PMID- 18049695
TI - Yb:YAG power oscillator with high brightness and linear polarization.
AB - A diode-pumped Yb:YAG laser with a novel end-pumped zigzag slab architecture has
been developed. This architecture provides uniform transverse pump profiles,
conduction cooling of the laser crystal, mechanical robustness, and ready
scalability to higher powers. At room temperature the laser emits 415 W of cw
power with 30% optical conversion efficiency. An image-inverting stable resonator
permits a high-brightness output of 252 W with linear polarization and an average
M(2) beam quality of 1.45. Q-switched pulse energies of as much as 20 mJ and
average Q-switched powers of as much as 150 W were obtained while M(2) was
maintained at <1.5.
PMID- 18049696
TI - Room-temperature Tm, Ho:LuLiF(4) laser with a novel quasi-end-pumping technique.
AB - A novel diode-pumped, efficient, quasi-end-pumping scheme that uses two lens
ducts to achieve homogeneous pumping in a gain medium was proposed and evaluated.
With this technique, room-temperature laser action at a pulse repetition
frequency up to 20 Hz was demonstrated in 5%Tm, 0.5%Ho:LuLiF(4), which we grew by
the Czochralski method. At 10 Hz a long-pulse laser output energy in excess of 24
mJ and a slope efficiency with respect to the incident pump energy of 10.7% were
obtained.
PMID- 18049697
TI - Laser emission in highly doped Nd:YAG crystals under (4)F(5/2) and (4)F(3/2)
pumping.
AB - A comparison of laser emission in highly doped (2.4-and 3.5-at. %) Nd:YAG
crystals is made for conventional 808-nm pumping in F(5/2)(4) and resonant
pumping at 885 nm in the band that collects the hot transitions Z(2)?R(1) and
Z(3)?R(2) of I(9/2)(4)?F(3/2)(4) absorption. A systematic improvement of the
laser parameters (slope efficiency and emission threshold) in absorbed power
under hot-band pumping is observed, as expected from the reduction of the pump's
quantum defect. Together with the expected reduction of heat generation, resonant
hot-band pumping in concentrated components shows prospect for greatly increasing
the emission capabilities of the Nd:YAG lasers.
PMID- 18049698
TI - Ultrashort-laser-pulse-induced persistent spectral hole burning of Eu(3+) in
sodium borate glasses.
AB - We have observed persistent spectral hole burning (PSHB) in Eu(3+) -doped sodium
borate glasses irradiated with near-IR femtosecond laser pulses. As-prepared
glasses, i.e., glasses melted in air, do not show PSHB even at low temperatures
(~77K) , but room-temperature PSHB occurs in the irradiated glasses. The exposure
to IR radiation causes both the reduction of Eu(3+) to Eu(2+) and the formation
of intrinsic defects. We propose that the photoinduced redistribution of electric
charges between Eu(3+) to Eu(2+) is responsible for the occurrence of PSHB.
PMID- 18049699
TI - Multiple-objective microscopy with three-dimensional resolution near 100 nm and a
long working distance.
AB - The resolution of microscopes is limited by the sizes of their point-spread
functions. The invention of confocal, theta, and 4Pi microscopes has permitted
the classic Abbe limit to be exceeded. We propose the use of a combination of 4Pi
and theta microscopy to decrease resolution by using four illumination objectives
and two detection objectives. Using middle numerical aperture, long-working
distance objectives yielded a resolution near 100 nm in the three dimensions,
which opens the possibility of exploring large volumes with a high resolution.
PMID- 18049700
TI - Second-harmonic generation in a near-field optical-fiber probe.
AB - Second-harmonic generation (SHG) in a near-field optical-fiber probe was
observed. The tip of the probe consists of a triple-tapered fiber with an
aluminum coating. For a fiber probe with an aperture size of 100 nm, the SHG
conversion factor was 2.0 x 10(-11)cm(2)/W , which is as large as that of a 5-mm
KDP crystal. In a probe-to-probe experiment, we demonstrated that SHG took place
at the aluminum coating on the fiber probe.
PMID- 18049701
TI - Signal readdressing by steering of spatial solitons in bulk nematic liquid
crystals.
AB - Fully three-dimensional spatial solitons in bulk nematic liquid crystals form
self-consistent waveguides that are able to confine a weak, collinear copolarized
signal at different wavelengths and with large trapping angles. We use a
milliwatt cw source to generate a soliton and, by angular steering of the
soliton, spatially readdress the guided signal.
PMID- 18049702
TI - Periodically poled BaMgF(4) for ultraviolet frequency generation.
AB - Ferroelectric domain inversion has been demonstrated in BaMgF(4) . Transparency
has been measured to <140nm, and no change in transmission was measured under 157
nm irradiation for >1.1x10(9) shots at 2mJ/cm(2) per pulse. First-order quasi
phase-matched generation of 157 nm is predicted by use of grating periods as long
as 1.5mum. This material should permit shorter-wavelength chi((2)) frequency
mixing processes than with any other crystalline material.
PMID- 18049703
TI - Compensation for self-focusing by use of cascade quadratic nonlinearity.
AB - We demonstrate theoretically and experimentally compensation for positive Kerr
phase shifts with negative phases generated by cascade quadratic processes.
Experiments show correction of small-scale self-focusing and whole-beam self
focusing in the spatial domain and self-phase modulation in the temporal domain.
PMID- 18049704
TI - Theoretical upper limits and experimental overestimates for molecular
hyperpolarizabilities: a symbiosis.
AB - A recently developed theory concerning the physical limits on optical
hyperpolarizabilities is shown to be useful in the theoretical prediction of
contributions of multiphoton fluorescence to experimentally determined
hyperpolarizability values. Recent experimental results are compared with the
theoretical limit. Without correction for the fluorescence contribution, results
for the first hyperpolarizability in the forbidden region can be obtained. Proper
correction of the systematic error shifts these values to the theoretical limit.
Hence, experimental values above the theoretical upper limit should be suspect,
but an appropriate fluorescence suppression technique is available. Reversing the
argument, the observation that artificially overestimated values for the first
hyperpolarizability are reduced from the forbidden region to exactly this upper
limit is found to corroborate the limiting theory.
PMID- 18049705
TI - Reflection-type polarization holograms in bacteriorhodopsin films for low-light
recording.
AB - Reflection-type polarization holograms with phase-conjugated readout are very
useful for low-light recording with bacteriorhodopsin (BR) films. The dependence
of reflection-type holograms with parallel and orthogonal recording beams on
their intensity ratio (1:1-1:20) was investigated. It was found that for
orthogonally polarized beams the phase-conjugated signal depends significantly
less on the beam intensity ratio than predicted by coupled-wave theory. This
finding is of particular relevance for recording of very low object-beam
intensities with BR films, e.g., in interferometry, where signals with a high
signal-to-noise ratio, owing to the different polarizations of the scattered
light and the signal, and with low dependence of the diffraction efficiency on
the ratio between the reference and object-beam intensities are obtained. With
this asymmetric recording process, holograms were recorded successfully in BR
films with a good signal-to-noise ratio at exposures (from the object side) as
low as 50muJ/cm(2) . These exposures are in the range of those typically used for
silver halide films.
PMID- 18049707
TI - Propagation of nonparaxial beams with a modified Arnoldi method.
AB - We have developed a modified Arnoldi method that includes a complex square-root
approximation, which excels at modeling the propagation of highly diverging beams
in various media. Simulations of one transverse dimensional beam with an
ultranarrow width and of cylindrical Gaussian beams with various divergence
angles reveal the strength of this nonparaxial-beam propagation method.
PMID- 18049706
TI - Near fields and far fields generated by sources in the presence of dielectric
structures with cylindrical symmetry.
AB - A full-vectorial integral equation method is presented for calculating near
fields and far fields generated by sources in the presence of general finite
sized dielectric structures with cylindrical symmetry. The method is relevant for
modeling of a class of antenna designs and some optical components with
cylindrical symmetry, e.g., vertical-cavity surface-emitting lasers, microdisk
lasers, and light-emitting diodes.
PMID- 18049708
TI - Spatial Fourier-transform polarimetry using space-variant subwavelength metal
stripe polarizers.
AB - A novel method for rapid polarization measurement is suggested. The method is
based on a periodic space-variant polarizer that can be realized by use of
subwavelength metal-stripe gratings. The Stokes parameters of the incident beam
are determined by Fourier analysis of the space-variant intensity transmitted
through the grating, thus permitting real-time polarization measurement. We
discuss the design and realization of such polarizers and demonstrate our
technique with polarization measurements of CO(2)-laser radiation at a wavelength
of 10.6mum.
PMID- 18049709
TI - Ultrasensitive pulsed, balanced homodyne detector: application to time-domain
quantum measurements.
AB - A pulsed, balanced homodyne detector has been developed for precise measurement
of the electric field quadratures of pulsed optical quantum states. A high level
of common mode suppression (>85 dB) and low electronic noise (730 electrons per
pulse) provide a signal-to-noise ratio of 14 dB for measurement of the quantum
noise of individual pulses. Measurements at repetition rates as high as 1 MHz are
possible. As a test, quantum tomography of the coherent state was performed, and
the Wigner function and the density matrix were reconstructed with 99.5%
fidelity. The detection system can be used for ultrarsensitive balanced detection
in cw mode, e.g., for weak absorption measurements.
PMID- 18049710
TI - Ellipsometric measurements by use of photon pairs generated by spontaneous
parametric downconversion.
AB - We present a novel interferometric technique for performing ellipsometric
measurements. This technique relies on the use of a nonclassical optical source,
namely, polarization-entangled twin photons generated by spontaneous parametric
downconversion from a nonlinear crystal, in conjunction with a coincidence
detection scheme. Ellipsometric measurements acquired with this scheme are
absolute; i.e., they do not require source and detector calibration.
PMID- 18049711
TI - Amplitude-squeezed emission from a transverse single-mode vertical-cavity surface
emitting laser with weakly anticorrelated polarization modes.
AB - We discuss the polarization-resolved intensity noise characteristics of a
transverse single-mode vertical-cavity surface-emitting laser (VCSEL).
Measurements by a sensitivity-enhanced lock-in amplifier detection scheme yield
only an imperfect anticorrelation between the strong and the weak orthogonally
polarized fundamental modes. Yet the total emission shows amplitude squeezing of
0.4 dB below the shot-noise level. Unlike for transverse two-mode emission, a
perfect anticorrelation between the two polarization modes is not necessary for
generation of amplitude-squeezed emission in a transverse single-mode VCSEL, in
agreement with theoretical predictions.
PMID- 18049712
TI - Diode-pumped Kerr-lens mode-locked Yb:KY(WO(4))(2) laser.
AB - A self-starting Kerr-lens mode-locked Yb:KY(WO(4))(2) laser directly end pumped
by two 1.6-W diodes is demonstrated for what is to our knowledge the first time.
Pulses as short as 71 fs with 120-mW average output power, at a center wavelength
of 1057 nm, were obtained at a repetition rate of 110 MHz. A 10-nm tuning range
was achieved with longer pulses and higher average output power.
PMID- 18049713
TI - Structural changes in fused silica after exposure to focused femtosecond laser
pulses.
AB - Using in situ Raman scattering in a confocal microscopy setup, we have observed
changes in the network structure of fused silica after modifying regions inside
the glass with tightly focused 800-nm 130-fs laser pulses at fluences of 5-200 J
cm(-2). The Raman spectra show a large increase in the peaks at 490 and 605cm(
1), owing to 4- and 3-membered ring structures in the silica network, indicating
that densification occurs after exposure to the femtosecond laser pulses. The
results are consistent with the formation of a localized plasma by the laser
pulse and a subsequent microexplosion inside the glass.
PMID- 18049714
TI - Phase-locked, time-delayed, harmonic pulses for high spectral resolution in the
extreme ultraviolet: errata.
PMID- 18049715
TI - Differential regulation of neuregulin 1 expression by progesterone in astrocytes
and neurons.
AB - Glial-neuronal interactions are crucial processes in neuromodulation and synaptic
plasticity. The neuregulin 1 family of growth and differentiation factors have
been implicated as bidirectional signaling molecules that are involved in
mediating some of these interactions. We have shown previously that neuregulin 1
expression is regulated by the gonadal hormones progesterone and 17beta-estradiol
in the CNS, which might represent a novel, indirect mechanism of the
neuromodulatory actions of these gonadal hormones. In the present study, we
sought to determine the effects of progesterone and 17beta-estradiol on
neuregulin 1 expression in rat cortical astrocytes and neurons in vitro. We
observed that progesterone increased the expression of neuregulin 1 mRNA and
protein in a dose-dependent manner in cultured astrocytes, which was blocked by
the progesterone receptor antagonist RU-486. In contrast, 17beta-estradiol did
not increase either neuregulin 1 mRNA or protein in astrocytes. We observed no
effect of either progesterone or 17beta-estradiol on neuregulin 1 mRNA and
protein in rat cortical neurons in vitro. Finally, we observed that treatment of
cortical neurons with recombinant NRG1-beta1 caused PSD-95 to localize in puncta
similar to that observed following treatment with astrocyte-conditioned medium.
These results demonstrate that progesterone regulates neuregulin 1 expression,
principally in astrocytes. This might represent a novel mechanism of progesterone
mediated modulation of neurotransmission through the regulation of astrocyte
derived neuregulin 1.
PMID- 18049716
TI - Laterality in Maternal Cradling and Infant Positional Biases: Implications for
the Development and Evolution of Hand Preferences in Nonhuman Primates.
AB - Left-sided maternal cradling has been widely reported in human populations. In
this paper, I review the evidence of laterality in maternal cradling and infant
positional biases in non-human primates. The review revealed some evidence of
population-left sided cradling in great apes but little consistency in bias was
found among Old and New World monkeys. Very little data have been reported in
prosimians. I further describe how asymmetries in either maternal cradling or
infant positional biases may explain individual and species differences in hand
preference.
PMID- 18049717
TI - Potential Role of the AMP-activated Protein Kinase in Regulation of Insulin
Action.
AB - Because of the predominant role of skeletal muscle in insulin-stimulated
clearance of blood glucose, understanding mechanisms for increasing the ability
of muscle to respond to insulin could potentially lead to novel strategies for
treatment or prevention of diabetes. Recently, the AMP activated protein kinase
(AMPK) has emerged as a promising candidate for potentiation of insulin action.
Several antidiabetic drugs have been shown to activate AMPK, cellular stresses
such as exercise that increase AMPK activity also increase insulin action, and
several downstream targets of AMPK seem to be involved in regulation of insulin
action. Although the picture is currently incomplete, it seems possible that AMPK
or one of its effectors is a positive regulator of insulin-stimulated glucose
transport. In addition to discussion of the latest literature regarding AMPK and
insulin action, this review includes a non-technical summary for students,
academics from other fields, interested professionals, and the general public.
PMID- 18049719
TI - Epilepsy: being ill in more ways than one.
AB - The majority of patients with epilepsy suffer from one or more psychiatric or
somatic comorbid conditions, whose nature and prevalence vary with age and
sociodemographic factors. In these patients, comorbid conditions have a major
adverse effect on overall health and quality of life and substantially increase
health care costs. Although there is an understanding of epidemiological aspects
of the comorbidity of epilepsy, little is known about causal relationships,
clinical interventions to prevent comorbidities, or the management of patients
with multiple coexisting conditions. Both the effects of epilepsy therapies on
comorbidities and the effects of comorbidities on the efficacy of epilepsy
treatments warrant further study.
PMID- 18049720
TI - ApoE, MemorE, and EpilepsE.
PMID- 18049721
TI - Transcranial magnetic stimulation and sleep deprivation as experimental tools:
when sleep deprivation is too exciting.
PMID- 18049722
TI - Retigabine: has the orphan found a home?
PMID- 18049723
TI - To treat or not to treat...Is it still the question?
PMID- 18049724
TI - Hippocampal cell loss in posttraumatic human epilepsy.
PMID- 18049725
TI - Animal modeling of poststroke seizures and epilepsy: 5-year update.
AB - Poststroke seizures and epilepsy have been described in numerous clinical and
epidemiological studies over many years. In contrast, the pathophysiological
events occurring in injured brain that establish poststroke epileptogenesis and
epilepsy are not known. However, in the last several years, animal modeling has
made significant inroads toward an improved understanding of the progressive
biochemical, anatomical, and physiological changes associated with both early and
late seizures following stroke. A review of animal studies of poststroke seizures
and epilepsy is presented.
PMID- 18049726
TI - Does one neonatal seizure alter synaptic plasticity and cause lifelong cognitive
impairment?
PMID- 18049727
TI - Go "West," young man...The quest for animal models of infantile spasms (West
syndrome).
PMID- 18049728
TI - GABA excites and sculpts immature neurons well before delivery: modulation by
GABA of the development of ventricular progenitor cells.
PMID- 18049730
TI - Facile synthesis of hydrophobic fluoroalkyl functionalized silsesquioxane
nanostructures.
AB - New fluorinated polyhedral oligomeric silsesquioxane (F-POSS) structures
possessing a high degree of hydrophobicity have been prepared via a facile corner
capping methodology.
PMID- 18049729
TI - Carboranyl thymidine analogues for neutron capture therapy.
AB - Neutron capture therapy (NCT) is a binary radio-chemotherapeutic modality for the
treatment of cancer. A major focus of NCT-related research is the development of
novel tumor-selective agents that serve as the chemical component in NCT.
Thymidine analogues substituted with a boron-containing carborane cluster at the
N3 position, designated 3CTAs (3-carboranyl thymidine analogues), constitute one
class of these new improved NCT agents. Their chemical, structural and biological
properties are discussed in this Feature Article.
PMID- 18049731
TI - Construction of a small-molecule-integrated semisynthetic split intein for in
vivo protein ligation.
AB - A new split intein-based protein ligation tool that is synthetically accessible
and can be used for protein semisynthesis on the cell surface and potentially
inside cells has been constructed.
PMID- 18049732
TI - Low valent phosphorus in the molecular anions [P5Se12]5- and beta-[P6Se12]4-:
phase change behavior and near infrared second harmonic generation.
AB - The caesium salts of the novel molecular anions [P5Se12]5- and [P6Se12]4- are
phase change materials and exhibit near infrared, non-linear optical second
harmonic generation; [P5Se12]5- is a coordination complex with an octahedral P3+
center chelated by two [P2Se6]4- ligands whereas [P6Se12]4- features a [P2]4+
dimer chelated by two [P2Se6]4- ligands.
PMID- 18049733
TI - Highly crystalline anisotropic superstructures via magnetic field induced
nanoparticle assembly.
AB - A magnetic field is successfully utilized to induce the fabrication of size
controllable one-dimensional (1-D) supercrystals which are composed of a highly
crystalline assembly of fcc-packed cobalt nanoparticles; the anisotropy
associated supercrystal magnetism is enhanced with four times higher coercivity
than that of randomly aggregated nanoparticles.
PMID- 18049734
TI - Monodisperse water-soluble magnetite nanoparticles prepared by polyol process for
high-performance magnetic resonance imaging.
AB - A new class of monodisperse water-soluble magnetite nanoparticles was prepared by
a simple and inexpensive method based on a polyol process, and their potential as
MRI contrast agents was investigated.
PMID- 18049735
TI - Syntheses and structures of mononuclear lutetium imido complexes with very short
Lu-N bonds.
AB - The reaction of 1,3-bis(2,6-diisopropylphenyl)imidazolin-2-imine (ImDippNH) with
trimethylsilylmethyllithium and anhydrous lutetium trichloride affords the imido
complex [LuCl2(ImNDipp)(THF)3], which, on further reaction with dipotassium
cyclooctatetraenide, K2(C8H8), leads to the half-sandwich cyclooctatetraenyl
complex [(eta8-C8H8)Lu(ImNDipp)(THF)2]; both complexes contain very short Lu-N
bond lengths, which are shorter than any previously reported Lu-N distances.
PMID- 18049736
TI - Effective anion sensing based on the ability of copper to affect electron
transport across self-assembled monolayers.
AB - The ability of copper ions to affect the charge-transfer resistance of self
assembled monolayers (SAMs) of a tris-(2-pyridylmethyl)amine-based ligand on to
gold electrodes is used to create a novel, sensitive and selective
electrochemical cyanide sensor.
PMID- 18049737
TI - A hamburger-shaped helical stacking of disk-shaped ligands mediated by silver(II)
ions.
AB - We describe a hamburger-shaped helical structure of chiral and achiral C3
symmetric disk-shaped ligands mediated by silver ions.
PMID- 18049738
TI - Preferential separation of fullerene[84] from fullerene mixtures by
encapsulation.
AB - The encapsulation of fullerenes with a cyclotriveratrylene derivative, capable to
self assemble into a dimer by means of three strong 4-ureidopyrimidinone
quadruple hydrogen bonds is described. The system shows preference for C84,
allowing its easy enrichment directly from fullerene mixtures.
PMID- 18049739
TI - Electrochemical detection of kinase-catalyzed thiophosphorylation using gold
nanoparticles.
AB - An electrochemical biosensor for kinase-catalyzed reactions is coupled with the
thiophosphorylation of the substrate peptide using adenosine 5'-[gamma-thio]
triphosphate (ATP-S) as the co-substrate.
PMID- 18049740
TI - A photocatalytic water splitting device for separate hydrogen and oxygen
evolution.
AB - A two-compartment Plexiglas cell has been set up and tested for separate hydrogen
and oxygen production from photocatalytic water splitting on a thin TiO2 layer
deposited by magnetron sputtering on a flat Ti electrode inserted between the two
cell compartments.
PMID- 18049741
TI - A diastereoselective carbocyclisation of allene-hydrazones through the
intramolecular allylic transfer reaction.
AB - A diastereoselective synthesis of cyclic hydrazines was achieved from a
carbocyclisation of allene-hydrazones by the Pd-catalyzed distannylation of an
allene moiety, followed by the transmetallation of allylic stannane intermediates
with TiCl4.
PMID- 18049742
TI - A multifunctional nanoassembly of mesogen-bearing amphiphiles and porphyrins for
the simultaneous photodelivery of nitric oxide and singlet oxygen.
AB - We report a molecular nanoassembly able to supply simultaneously, in the same
region of space and under the exclusive control of visible light, nitric oxide
and singlet oxygen, two species playing a key role in the therapy of cancer; the
considerable fluorescence of this nanoaggregate and its reduced size (ca. 40 nm)
represent additional advantages that make this photoactive vehicle an appealing
candidate to be tested in biological systems.
PMID- 18049743
TI - Entangled palladium nanoparticles in resin plugs.
AB - Palladium nanoparticles were entrapped within resin plugs and used in a range of
ligand-free cross-coupling reactions; the convenient modular format of the resin
plug enhanced resin handling and allowed the catalysts to be easily recovered and
multiply reused.
PMID- 18049744
TI - Iridium catalysed synthesis of piperazines from diols.
AB - A green and atom-economical method has been developed for the synthesis of
piperazines by cyclocondensation of diols and amines in aqueous media in the
presence of a catalytic amount of [Cp*IrCl2]2.
PMID- 18049745
TI - Tetravalent cerium carbene complexes.
AB - The tetravalent organometallic cerium complex [CeL4] is readily accessible from
the oxidation of the trivalent [CeL3], L=a bidentate N-heterocyclic carbene
alkoxide ligand, [C{(NPri)CHCHN}CH2CMe2O]. The [CeL4] complex should behave like
the [UL4] analogue, but the two complexes show significantly different
structures, highlighting the differences between 4f and 5f metals.
PMID- 18049746
TI - The hydrothermal synthesis of tetragonal tungsten bronze-based catalysts for the
selective oxidation of hydrocarbons.
AB - Mixed metal oxides with tetragonal tungsten bronze (TTB) structure, showing high
activity and selectivity for the gas phase partial oxidation of olefins, have
been prepared by hydrothermal synthesis from Keggin-type heteropolyacids.
PMID- 18049747
TI - Strong inhibition of cholera toxin binding by galactose dendrimers.
AB - Galactose-containing dendrimers with long spacer arms inhibit cholera toxin
binding as strongly as the natural ganglioside GM1 oligosaccharide does.
PMID- 18049748
TI - Homoleptic Cu-phosphorus and Cu-ethene complexes.
AB - Stable salts of the first homoleptic Cu-phosphorus and Cu-ethene complexes,
[Cu(eta2-P4)2]+ and [Cu(eta2-C2H4)3]+, isolated by the aid of the weakly
coordinating anion (WCA) [Al(OC(CF3)3)4]-, were obtained.
PMID- 18049749
TI - Bismesitylmagnesium: a thermally stable and non-nucleophilic carbon-centred base
reagent for the efficient preparation of silyl enol ethers.
AB - Bismesitylmagnesium has been established as an accessible, practical, convenient,
and non-nucleophilic carbon-centred base reagent for efficient access to silyl
enol ethers from a series of ketone substrates at readily utilisable
temperatures.
PMID- 18049750
TI - Unique formation of two high-nuclearity metallamacrocycles from a mononuclear
complex [Zn(dmpzdtc)2] (dmpzdtc=3,5-dimethylpyrazole-1-dithiocarboxylate) via CS2
elimination.
AB - Dissolution of a mononuclear complex [Zn(dmpzdtc)2] in BrCH2CH2Br or DMF
saturated with water followed by CS2 elimination led to the formation of two
unique high-nuclearity metallamacrocyclic complexes, [Zn4(micro-dmpz)6(micro
OH)2]2 and [Zn4(micro-dmpz)6(micro-OH)2]4.
PMID- 18049751
TI - Copper(I) mediated oligomerisation of a phosphaalkyne.
AB - The oligomerisation of tert-butylphosphaalkyne, tBuC[triple bond, length as m
dash]P, mediated by Cu(I) complexes yields an unprecedented C4P5 cage compound,
which is stabilised in a matrix of copper(I) iodide.
PMID- 18049752
TI - Bicyclic guanidine-catalyzed enantioselective phospha-Michael reaction: synthesis
of chiral beta-aminophosphine oxides and beta-aminophosphines.
AB - Chiral bicyclic guanidine has been found to catalyze the phospha-Michael
reactions of diaryl phosphine oxide to nitroalkenes with high
enantioselectivities, offering a direct methodology to prepare chiral beta
aminophosphine oxides and beta-aminophosphines.
PMID- 18049753
TI - Regioregular poly(3-hexyl)selenophene: a low band gap organic hole transporting
polymer.
AB - The synthesis of regioregular poly(3-hexyl)selenophene is reported, and its
optical and electrical properties are compared to those of regioregular poly(3
hexyl)thiophene.
PMID- 18049754
TI - Asymmetric synthesis of (+)-cis-nemorensic acid from a chiral Diels-Alder adduct
of 2,5-dimethylfuran.
AB - (+)-cis-Nemorensic acid was synthesized from a chiral Diels-Alder adduct prepared
by a catalytic enantioselective Diels-Alder reaction with 2,5-dimethylfuran and
2,2,2-trifluoroethyl acrylate.
PMID- 18049755
TI - Ionic strength mediated hydrophobic force switching of CF3-terminated ethylene
glycol self-assembled monolayers (SAMs) on gold.
AB - We have synthesised novel oligo(ethylene glycol), CF3-terminated switching self
assembled monolayers, which allow the force experienced by a hydrophobic object
to be controlled via the ionic strength of the environment.
PMID- 18049756
TI - Ligand-free Pd/C-catalyzed Suzuki-Miyaura coupling reaction for the synthesis of
heterobiaryl derivatives.
AB - We have developed a mild and efficient protocol for the ligand-free and
heterogeneous Pd/C-catalyzed hetero Suzuki-Miyaura coupling reaction that allows
for the synthesis of both heteroaryl-aryl and heteroaryl-heteroaryl derivatives
in good to excellent yields.
PMID- 18049757
TI - Rapid intramolecular heterolytic dihydrogen activation by a four-membered
heterocyclic phosphane-borane adduct.
AB - A four-membered cyclic intramolecular phosphane-borane adduct activates
dihydrogen to yield the respective ethylene-bridged zwitterionic phosphonium
hydridoborate system, which reduces benzaldehyde.
PMID- 18049758
TI - Liquid crystals carrying stereodefined vicinal difluoro- and trifluoro- alkyl
motifs.
AB - The synthesis of the stereospecifically fluorinated difluoro- and trifluoro- rac
3 and rac-4 is described where the fluorine atoms are positioned adjacent/vicinal
to each other and the physical characteristics of these candidate liquid crystals
including negative dielectric anisotropy are measured and rationalised.
PMID- 18049759
TI - Umbrella motion in aziridines: use of simple chemical inputs to reversibly
control the rate of pyramidal inversion.
AB - The molecular motion associated with atomic inversion at an aziridine nitrogen
can be essentially halted by metal complexation; addition of a second chemical
input that decomplexes the metal from the aziridine restores fast inversion (k=40
s(-1) at 303 K).
PMID- 18049760
TI - Ansa-tris(allyl) complexes of alkali metals: tripodal analogues of
cyclopentadienyl and ansa-metallocene ligands.
AB - Alkali metal complexes of two types of ansa-tris(allyl) ligand are reported; a
monoanionic ansa-tris(allyl) ligand containing tin(II) is formally valence
isoelectronic to the cyclopentadienyl ligand and a trianionic ansa-tris(allyl)
ligand containing silicon(IV) is formally valence isoelectronic to an ansa
metallocene ligand; the potential wider use of these tripodal ligands in
coordination chemistry is discussed.
PMID- 18049761
TI - Macropolyhedral boron-containing cluster chemistry. Novel intercluster linkages
from the reaction of [Pt(cod)Cl2] and [PtMe2(PMe2Ph)2] with 6,6'-(B10H13)2O.
AB - 6,6'-(B10H13)2O with [Pt(cod)Cl2] gives the [(B10H13OB10H11)Pt-{(B10H10OB10H12)]2
anion in which, uniquely, the units are held together by a B-O-B linkage in
combination with a B-B linkage; with [PtMe2(PMe2Ph)2] it gives [(PMe2Ph)2PtB10H10
O,H-B10H11Pt(PMe2Ph)] in which, uniquely, the units are held together by an
unsupported hydrogen-to-metal linkage as well as a B-O-B linkage.
PMID- 18049762
TI - The unprecedented ring transformation from thiazoline-spiro-thiophene to
thieno[2,3-b]pyrazine involved in the reaction of 2-thiocarbamoyl thiazolium
salts with dimethyl acetylenedicarboxylate.
AB - Reaction of 2-thiocarbamoyl thiazolium salts with dimethyl acetylenedicarboxylate
proceeded via a tandem [3+2] cycloaddition and a unprecedented ring
transformation to produce functionalized thieno[2,3-b]pyrazine derivatives in
good to excellent yields.
PMID- 18049763
TI - Removal of amorphous carbon for the efficient sidewall functionalisation of
single-walled carbon nanotubes.
AB - The sidewall functionalisation of carbon nanotubes using the standard nitric acid
treatment can be greatly enhanced by first removing the amorphous carbon present
in the sample.
PMID- 18049764
TI - New water-soluble polyanionic dendrimers and binding to acetylcholine in water by
means of contact ion-pairing interactions.
AB - A new water-soluble polyanionic dendrimer containing 81 benzoate termini
(diameter: 11+/-1 nm from DOSY NMR spectroscopy) has been synthesized; it
interacts with acetylcholine cations in water-soluble assemblies in which each
carboxylate terminus reversibly forms contact ion pairs and aggregates at the
tether termini, as shown by 1H NMR spectroscopy.
PMID- 18049765
TI - Synthesis of 5'-amino-5'-deoxyguanosine-5'-N-phosphoramidate and its enzymatic
incorporation at the 5'-termini of RNA molecules.
AB - 5'-amino-5'-deoxyguanosine-5'-N-phosphoramidate (GNHP) was synthesized in four
steps from guanosine and was found to initiate T7 RNAP-promoted transcriptions to
afford 5'-H2N-RNA that can be conjugated to activated esters.
PMID- 18049767
TI - Understanding water quality trading: the basics.
AB - The United States has entered a new era in water quality protection: the era of
market-based incentives. In January 2003, the United States Environmental
Protection Agency (EPA) issued its National Water Quality Trading Policy (Trading
Policy) (USEPA, 2003). This action has generated greater interest in water
quality trading and has prompted EPA to develop tools and training to assist
interested parties in understanding what water quality trading is and what
constitutes a successful trading program.
PMID- 18049768
TI - Aquatic environmental nanoparticles.
AB - Researchers are now discovering that naturally occurring environmental
nanoparticles can play a key role in important chemical characteristics and the
overall quality of natural and engineered waters. The detection of nanoparticles
in virtually all water domains, including the oceans, surface waters,
groundwater, atmospheric water, and even treated drinking water, demonstrates a
distribution near ubiquity. Moreover, aquatic nanoparticles have the ability to
influence environmental and engineered water chemistry and processes in a much
different way than similar materials of larger sizes. This review covers recent
advances made in identifying nanoparticles within water from a variety of
sources, and advances in understanding their very interesting properties and
reactivity that affect the chemical characteristics and behaviour of water. In
the future, this science will be important in our vital, continuing efforts in
water safety, treatment, and remediation.
PMID- 18049769
TI - Application of flow cytometry and cell sorting to the bacterial analysis of
environmental aerosol samples.
AB - Flow cytometry (FCM) combined with viability staining is a useful tool in
discerning viable bacteria in environmental samples where traditional culture
methods may fail. Contamination of aerosol samples with dust and other non
biological particles can interfere with accurate sample analysis and therefore
there is a desire to exclude those particles from analysis. Particles were sorted
according to their light scattering properties, cultured and isolates obtained.
Isolates were cultured in suspension and reanalyzed by flow cytometry. The
isolates were also analyzed and identified by DNA sequence analysis. Isolates
with statistically similar light scattering properties shared common sequence
identification. Isolates exhibited distinct light scattering profiles that
roughly correlated with their originating gate, but often the peak of the profile
was outside that gate.
PMID- 18049770
TI - A novel biomonitoring system using microbial fuel cells.
AB - A novel biomonitoring system using microbial fuel cells for detecting the inflow
of toxic substances into water systems has been developed for the purpose of on
site and on-line monitoring. The characteristics of electric current generation
by electrochemically-active bacteria were conveniently monitored using a
microbial fuel cell format and a computer-controlled potentiometer. When toxic
substances (an organophosphorus compound, Pb, Hg, and PCBs) were added to the
microbial fuel cell, rapid decreases in the current were observed. The inhibition
ratios caused by inflow of these toxic substances (1 mg l(-1)) were 61%, 46%, 28%
and 38%, respectively, when compared to the control, and generally increased in
proportion to the addition time and concentration of toxic substances. When real
wastewater was applied from a local wastewater treatment plant, more significant
current decreases and higher inhibition ratios were observed following the
introduction of toxic substances than in the laboratory tests. For example, the
inhibition ratio was 76% on addition of a 1 mg l(-1) Cd and 1 mg l(-1) Pb
mixture. Application of the microbial fuel cell for pollutant biomonitoring is
discussed.
PMID- 18049771
TI - Determination of organophosphorus fire retardants and plasticizers in wastewater
samples using MAE-SPME with GC-ICPMS and GC-TOFMS detection.
AB - Determination of organophosphorus fire retardants and plasticizers at trace
levels in wastewater is described. In this work, microwave assisted extraction
(MAE) and solid-phase microextraction (SPME) are used for sample preparation to
extract and preconcentrate the analytes, followed by analysis by gas
chromatography coupled to inductively coupled plasma mass spectrometry (GC-ICP
MS) for phosphorus-specific detection. Gas chromatography coupled to time of
flight mass spectrometry (GC-TOF-MS) was used to confirm the organphosphorus fire
retardants in wastewater. The detection limits of organophosphorus fire
retardants (OPFRs) were 29 ng L(-1) for tri-n-butyl phosphate (TnBP), 45 ng for
L(-1) for tris(2-butoxyethyl)phosphate (TBEP), and 50 ng L(-1) for tris(2
ethylhexyl)phosphate (TEHP). Optimized extraction conditions were performed at 65
degrees C for 30 min and with 10% NaCl. Application of MAE during the sample
preparation prior to the SPME allowed the detection of tris(2-ethylhexyl)
phosphate, which has been difficult to determine in previous work. Application of
the method to wastewater samples resulted in detecting 3.1 microg L(-1) P from
TnBP, 5.0 microg L(-1) P from TBEP, and 4.0 microg L(-1) P from TEHP. The
presence of these compounds were also confirmed by SPME-GC-TOF-MS.
PMID- 18049772
TI - Monitoring terbutryn pollution in small rivers of Hesse, Germany.
AB - Four small river systems in Hesse, Germany, were investigated with respect to
seasonal and spatial concentrations of the herbicide terbutryn [2-(t-butylamino)
4-(ethylamino)-6-(methylthio)-s-triazine]. Despite introduction of a ban on its
use as a herbicide in July 2003, terbutryn was still present in the rivers during
the whole sampling period from September 2003 to September 2006, and there was no
trend of decreasing concentration during this time. In the Weschnitz and Modau
river systems the mean terbutryn concentration exceeded the German drinking water
ordinance threshold value for single biocides. Maximum concentrations of up to
5.6 microg l(-1) were determined in the Weschnitz River. Higher terbutryn
concentrations in summer are suggested to originate from agricultural sources, as
well as from sediment redissolution. Effluents of two sewage treatment plants had
high terbutryn concentrations, indicating that terbutryn enters the rivers from
this source. Sources other than agriculture must explain terbutryn occurrence in
the rivers during winter, when farm pesticide application typically ceases. The
potential for mobilization of terbutryn from sediments and leaching from soils
are discussed.
PMID- 18049773
TI - Catalytic hydrogenation of polyaromatic hydrocarbon (PAH) compounds in
supercritical carbon dioxide over supported palladium.
AB - A series of supported palladium catalysts were evaluated for their ability to
mediate the complete hydrogenation of polycyclic aromatic hydrocarbon (PAH)
compounds. Benzo[a]pyrene (B[a]P) or phenanthrene (Phe) in hexane was merged with
a hydrogen-carbon dioxide [5% (w/w) H(2)/CO(2)] stream and transferred to a flow
through mini-reactor (capacity ca. 1 g) that was maintained at 90 degrees C under
a back-pressure of 20.68 MPa. Effluent from the reactor trapped in hexane was
monitored/quantified by gas chromatography-mass spectrometry. Catalyst
formulations supported on iron powder, high density polyethylene (HDPE) or gamma
alumina were prepared and compared in terms of hydrogenation activity as measured
by the quantity of substrate per unit time that could be perhydrogenated to
toxicologically innocuous products. Both of the Pd preparations supported on
gamma-alumina were more efficient than a commercial Pd(0) (5% w/w) on gamma
Al(2)O(3) formulation or preparations supported on HDPE or the iron powder.
Bimetallic mixtures with Pd increased the hydrogenation activity when co
deposited with Cu or Ni but not with Ag or Co. However, increases in
hydrogenation activity by increasing the loading of Pd (or bimetallic mixture) on
this surface were limited. Despite using supercritical carbon dioxide (scCO(2))
to swell the surfaces of the polymer, the deposition of nanoparticles within the
polyethylene formulation was appreciably less active than either the oxidic or
the Fe(0) formulations.
PMID- 18049774
TI - Performance of an electrochemical COD (chemical oxygen demand) sensor with an
electrode-surface grinding unit.
AB - An electrochemical COD (chemical oxygen demand) sensor using an electrode-surface
grinding unit was investigated. The electrolyzing (oxidizing) action of copper on
an organic species was used as the basis of the COD measuring sensor. Using a
simple three-electrode cell and a surface grinding unit, the organic species is
activated by the catalytic action of copper and oxidized at a working electrode,
poised at a positive potential. When synthetic wastewater was fed into the
system, the measured Coulombic yields were found to be dependent on the COD of
the synthetic wastewater. A linear correlation between the Coulombic yields and
the COD of the synthetic wastewater was established (10-1000 mg L(-1)) when the
electrode-surface grinding procedure was activated briefly at 8 h intervals. When
various kinds of wastewater samples obtained from various sewage treatment plants
were measured, linear correlations (r(2)> or = 0.92) between the measured EOD
(electrochemical oxygen demand) value and COD of the samples were observed. At a
practical wastewater treatment plant, the measurement system was successfully
operated with high accuracy and good stability over 3 months. These experimental
results show that the application of the measurement system would be a rapid and
practical method for the determination of COD in water industries.
PMID- 18049775
TI - Reproducibility and imputation of air toxics data.
AB - Ambient air quality datasets include missing data, values below method detection
limits and outliers, and the precision and accuracy of the measurements
themselves are often unknown. At the same time, many analyses require continuous
data sequences and assume that measurements are error-free. While a variety of
data imputation and cleaning techniques are available, the evaluation of such
techniques remains limited. This study evaluates the performance of these
techniques for ambient air toxics measurements, a particularly challenging
application, and includes the analysis of intra- and inter-laboratory precision.
The analysis uses an unusually complete-dataset, consisting of daily measurements
of over 70 species of carbonyls and volatile organic compounds (VOCs) collected
over a one year period in Dearborn, Michigan, including 122 pairs of replicates.
Analysis was restricted to compounds found above detection limits in > or =20% of
the samples. Outliers were detected using the Gumbell extreme value distribution.
Error models for inter- and intra-laboratory reproducibility were derived from
replicate samples. Imputation variables were selected using a generalized
additive model, and the performance of two techniques, multiple imputation and
optimal linear estimation, was evaluated for three missingness patterns. Many
species were rarely detected or had very poor reproducibility. Error models
developed for seven carbonyls showed median intra- and inter-laboratory errors of
22% and 25%, respectively. Better reproducibility was seen for the 16 VOCs
meeting detection and reproducibility criteria. Imputation performance depended
on the compound and missingness pattern. Data missing at random could be
adequately imputed, but imputations for row-wise deletions, the most common type
of missingness pattern encountered, were not informative. The analysis shows that
air toxics data require significant efforts to identify and mitigate errors,
outliers and missing observations, and that these steps are essential and should
be performed prior to using these data in receptor, exposure, health and other
applications.
PMID- 18049776
TI - Adsorption of domoic acid to marine sediments and clays.
AB - Conditional solid-water distribution coefficients (K(d)) for the adsorption of
domoic acid (DA) to a series of complex sediments and clays were determined in
artificial seawater. K(d) ranged from 5.11 L g(-1) to 0.97 L g(-1), with a
corresponding ranking of: kaolinite > Gulf of Mexico sediment > Santa Barbara
Basin sediment > Bread and Butter Creek sediment > poorly crystallized kaolin >
Ca-montmorillonite > Na-montmorillonite > well crystallized kaolin > diatomaceous
earth. Adsorption correlated with the anion exchange capacity of the clays tested
(R(2) = 0.98), but not the more structurally complex sediments. The effect of
added transition metals (Fe(iii), Cu(ii), Al(iii)) and terrestrially derived
dissolved organic matter (Suwannee River DOM, SRDOM) on DA adsorption to Na
montmorillonite, well crystallized kaolin, and Gulf of Mexico sediment, was also
tested. The addition of transition metals led to increased adsorption to all
surfaces by a factor of 2-7, presumably by enabling the adsorption of DA-metal
complexes. SRDOM enhanced DA adsorption by a factor of approximately 2.5. The
release of adsorbed DA from sediments was also examined. Under our conditions,
adsorbed DA equilibrated with the overlying aqueous phase within minutes with
approximately 50% release.
PMID- 18049777
TI - Effects of marine biofouling on gas sensor membrane materials.
AB - The use of underwater gaseous sensors has increased rapidly in the last 10 years.
The majority of such sensors employ a thin membrane through which the gas
diffuses. These sensors are potentiometric gas-sensing probes and essentially
they are ion-selective electrodes. The deployment time of these membranes is
curtailed by the formation of biofouling on the membrane leading to erroneous
results. The physical properties of a variety of commonly used membranes were
investigated using SEM and AFM. This showed that there were differences in
topography between the PTFE membranes, such as pore sizes and surface roughness,
which may be attributed to the manner in which they are manufactured. The pore
size of the PTFE membranes varied greatly, ranging from circular pores with a
diameter of 500 nm to elongated pores measuring 1 x 22 microm. The contact angle
of each membrane showed that they were all hydrophobic. The amount of fouling on
each was also observed and its affect on oxygen diffusion was monitored. Fouling
slowed down the response of the instrument and caused reduced diffusion through
the membranes. The amount of fouling varied between the membranes with the YSI
membrane fouling least. Some of the membranes tested did foul less than others
and there could be lifetime advantages of choosing a membrane with a smoother
surface and a small pore size.
PMID- 18049778
TI - Investigation of 15-year-old municipal solid waste deposit profiles by means of
FTIR spectroscopy and thermal analysis.
AB - Five profiles of a 15-year-old bank containing over three weeks composted
municipal solid waste were characterized by means of different parameters
habitually applied in waste management (loss on ignition, total organic carbon,
total nitrogen, NH(4)-N, pH), and in addition by humic acid determination, FTIR
spectroscopy and thermal analysis. Stabilization processes are revealed by humic
acid contents. Over the 15 year period organic matter had developed in various
ways. Highest humic acid contents were found at 0.5 m below the surface. Below
1.0-1.5 m anaerobic conditions dominated causing a strong decline of humic acid
concentrations. Despite similar contents of organic matter at 0.5 m and at 3.0 m
organic matter quality differed. These differences were verified by infrared
spectroscopic investigations and thermal analyses (differential scanning
calorimetry DSC). The spectral pattern of 15-year-old profile samples (municipal
solid waste including the biogenic fraction) was compared to current municipal
solid waste and abandoned landfill materials. Current municipal solid waste
samples comprised different degradation stages from fresh materials to stabilized
waste, suitable for landfilling according to Austrian standards. Municipal solid
waste originating from abandoned landfills closed in the seventies represented
stable material. Principal component analysis was performed to detect
similarities and differences. It is evident that the profile samples constitute a
particular group in between municipal solid waste and abandoned landfill
material. Some differences can be attributed to the divergent composition of
municipal solid waste in the eighties when the organic fraction was not
separated. Otherwise, landfill materials from the seventies with the same
composition regarding the organic fraction were deposited together with
construction waste. Heat flow curves (DSC profiles) of municipal solid waste,
representing different decomposition stages, illustrate the development of
enthalpies and reveal the status of the profile samples. It is evident that
mechanical-biological pretreatment leads to a faster stabilization of waste
organic matter.
PMID- 18049779
TI - Clear and present danger? The use of a yeast biosensor to monitor changes in the
toxicity of industrial effluents subjected to oxidative colour removal
treatments.
AB - Discharges of coloured effluents into surface waters provide conspicuous evidence
of the impact of industry on the environment. The textile industry is an obvious
candidate for sources of such discharges. Conventional treatment methods appear
to alleviate this situation by removing colour, however the affect on toxicity is
less obvious. The objective of this study was to examine the changes in effluent
toxicity during the course of two alternative wastewater treatment methods,
ozonation and electrochemical oxidation, using a novel toxicity biosensor,
GreenScreen EM. The biosensor is capable of measuring both general acute toxicity
(cytotoxicity), and more specifically genotoxicity, that is damage to a cell's
DNA structure, replication or distribution, caused by substances that may be
mutagenic and/or carcinogenic. The biosensor utilises a modified strain of the
brewers yeast Saccharomyces cerevisiae, incorporating a gene encoding green
fluorescent protein (GFP) linked to the inducible promoter of the DNA damage
responsive RAD54 gene. Upon exposure to a genotoxin, the production of GFP is up
regulated in parallel with RAD54, and the resulting cellular fluorescence
provides a measure of genotoxicity. Acute toxicity is simultaneously determined
by monitoring relative total growth of the cell culture during incubation. The
results presented in this paper show that a reduction in colouration does not
necessarily correspond to a reduction in effluent toxicity.
PMID- 18049780
TI - Gaseous and particulate polycyclic aromatic hydrocarbons (PAHs) emissions from
commercial restaurants in Hong Kong.
AB - Commercial cooking emissions are important air pollution sources in a heavily
urbanized city. Exhaust samples were collected in six representative commercial
kitchens including Chinese restaurants, Western restaurants, and Western fast
food restaurants in Hong Kong during peak lunch hours. Both gaseous and
particulate emissions were evaluated. Eight gaseous and twenty-two particulate
polycyclic aromatic hydrocarbons (PAHs) were quantified in this study. In the
gaseous phase, naphthalene (67-89%) was the most abundant PAH in all of the
exhaust samples. The contribution of acenaphthylene in the gaseous phase was
significantly higher in emissions from the Chinese restaurants, whereas fluorene
was higher in emissions from the Western cooking style restaurants (i.e., Western
restaurants and Western fast-food restaurants). Pyrene is the most abundant
particulate PAH in the Chinese restaurants (14-49%) while its contribution was
much lower in the Western cooking style restaurants (10-22%). Controlled cooking
conditions were monitored in a staff canteen to compare the emissions from
several different local cooking styles, including deep frying, steaming, and
mixed cooking styles (combination of steaming and frying). Deep frying produced
the highest amount of total gaseous PAHs, 6 times higher than the steaming.
However, steaming produced the highest particulate emissions. The estimated
annual gaseous PAH emissions for the Chinese restaurants, Western restaurants,
and Western fast-food restaurants were 255, 173, and 20.2 t y(-1) whereas 252,
1.9, and 0.4 t y(-1) were estimated for particulate phase PAH emissions. The
study provides useful information and estimates for PAH emissions from commercial
cooking exhaust in Hong Kong.
PMID- 18049781
TI - Source to sink tracking of selected human pharmaceuticals from two Oslo city
hospitals and a wastewater treatment works.
AB - The occurrence of twenty pharmaceutical compounds was quantitatively determined
in effluents from two major Oslo city hospitals, Rikshospitalet and Ulleval,
along with influent, sludge and final effluent from the city's VEAS wastewater
treatment works (WTW). Composite hospital effluents were collected over a twelve
week period and were showed to contain paracetamol, metoprolol, diclofenac,
ibuprofen, 17beta-Estradiol, estriol, estrone, oxytetracycline, tetracycline,
doxycycline, chlorotetracycline, demeclocycline, trimethoprim, ciprofloxacin,
sulfamethoxazole, cyclophosphamide and ifosfamide. Three pharmaceuticals were not
detected above the limit of detection; cefuroxime, 17alpha-ethinylestradiol and
meclocycline. Composite influent, sludge and effluent samples were collected from
VEAS WTW over a seven week period. The influent into VEAS WTW contained all of
the same selected substances detected in the hospital effluents, except for
oxytetracycline, chlorotetracycline, demeclocycline, cyclophosphamide and
ifosfamide. The percentage of pharmaceuticals entering the works from the
hospitals was <10% for all of the selected compounds. VEAS sludge samples
contained a different profile of substances reflecting their physico-chemical
properties. Hydrophobic antibiotics, such as oxytetracycline, tetracycline and
ciprofloxacin, were detected in all of the collected sludge samples. Their
absence in the collected influent samples suggests that they enter the works
bound to effluent particles, with the dissolved fraction observed in the hospital
effluents partitioning onto particulate matter within the sewerage network. The
final effluent from VEAS WTW contained reduced concentrations of many
pharmaceuticals, including paracetamol, ibuprofen and sulfamethoxazole. For other
compounds, such as metoprolol, diclofenac and trimethoprim, there were often
higher concentrations in the effluent than the influent. These effluent
concentrations represent median inputs varying from low g day(-1) (e.g.
paracetamol and ibuprofen) to nearly 200 g day(-1) (e.g. metoprolol and
trimethoprim) into Oslofjord. A simple risk assessment showed that the antibiotic
ciprofloxacin may at times pose an acute risk to the Oslofjord aquatic
environment.
PMID- 18049782
TI - Phthalate levels in Norwegian indoor air related to particle size fraction.
AB - Phthalates are found in numerous consumer products, including interior materials
like polyvinyl chloride (PVC). Several studies have identified phthalates in
indoor air. A recent case-control study demonstrated associations between
allergic symptoms in children and the concentration of phthalates in dust
collected from their homes. Here we have analyzed the content of selected
phthalates in particulate matter (PM): PM(10) and PM(2.5) filter samples
collected in 14 different indoor environments. The results showed the presence of
the phthalates di-n-butyl phthalate (DBP), butyl benzyl phthalate (BBP),
dicyclohexyl phthalate (DCHP) and diethyl hexyl phthalate (DEHP) in the samples.
The dominating phthalate in both PM(10) and PM(2.5) samples from all locations
was DBP. More than a 10-fold variation in the mean concentration of total
phthalates between sampling sites was observed. The highest levels of total
phthalates were detected in one children's room, one kindergarten, in two primary
schools, and in a computer room. The relative contribution of total phthalates in
PM(10) and PM(2.5) was 1.1 +/- 0.3% for both size fractions. The contribution of
total phthalates in PM(2.5) to total phthalates in PM(10) ranged from 23-81%,
suggesting different sources. Of the phthalates that were analyzed in the PM
material, DBP was found to be the major phthalate in rubber from car tyres.
However, our analyses indicate that tyre wear was of minor importance for indoor
levels of both DBP as well as total phthalates. Overall, these results support
the notion that inhalation of indoor PM contributes to the total phthalate
exposure.
PMID- 18049783
TI - Interpretation of standard leaching test BS EN 12457-2: is your sample hazardous
or inert?
AB - A slag sample from a lead refiner has been obtained and given to two analytical
laboratories to determine the release of trace elements from the sample according
to BS EN 12457-2. Samples analysed by one laboratory passed waste acceptance
criteria, leading it to be classified as an inert material; samples of the same
material analysed by the other laboratory failed waste acceptance criteria and
were classified as hazardous. It was found that the sample preparation procedure
is the critical step in the leaching analysis and that the effects of particle
size on leachability should be taken into account when using this standard. The
purpose of this paper is to open a debate on designing a better defined standard
leaching test and making current waste acceptance criteria more flexible.
PMID- 18049785
TI - [2007 Nobel Prize in medicine. Knock-out mice revolutionize genetics].
PMID- 18049786
TI - Polybrominated diphenyl ethers and polybrominated biphenyls in sediment and
floodplain soils of the Saginaw River watershed, Michigan, USA.
AB - Despite known historical release of polybrominated biphenyls (PBBs; brominated
flame retardants) into the Pine River (St. Louis, MI, USA), a tributary of the
Tittabawassee River which subsequently forms the Saginaw River and flows into
Saginaw Bay-Lake Huron, little is known about spatial patterns of sediment
contamination by PBBs in this watershed. In this study, concentrations of two
groups of brominated flame retardants, polybrominated diphenyl ethers (summation
PBDE; BDE-28, -47, -66, -100, -99, -85, -154, -153, -138, and -209) and PBBs were
measured in more than 120 floodplain soil samples, surface sediment samples, and
sediment cores collected in 2004 from the Shiawassee River, the Saginaw River,
and Saginaw Bay, Michigan. In addition, sediment samples collected in 2002 from
the Pine River and the Tittabawassee River were analyzed, to elucidate riverine
transport and attenuation of PBBs and PBDEs in this watershed. The mean
concentration of summation PBDE decreased from upstream to downstream, from the
Shiawassee River and the Tittabawassee River to Saginaw Bay. BDE-209 was the
predominant congener, accounting for 79% of the total PBDE concentration in the
Shiawassee River and 90% in the Saginaw River. BDE-209 was followed, in order of
decreasing abundance, by BDE-47, -99, and -100. The proportions of BDE congeners
varied by water body, and by sample type, whether floodplain soil or sediment.
High summation PBDE concentrations were found in floodplain soil collected from
the Shiawassee River near Chase Lake (55 ng/g, dry weight) and in sediment from
the Saginaw River near Middleground Island (49 ng/g, dry weight). There was a
significant positive correlation between summation (9)PBDE (tri- to hexa-BDE) and
BDE-209 in samples collected from the Shiawassee River and Saginaw Bay, but not
in samples from the Saginaw River. Among PBBs, bromobiphenyl congener 153 (BB
153) was found in sediments from the Saginaw River but not in sediments from the
Shiawassee River. An elevated concentration of BB-153 (13.5 ng/g, dry weight) was
found in floodplain soil collected from the Pine River. The BB-153 concentration
in sediment decreased by two to three orders of magnitude, from the Pine River
downstream to Saginaw Bay. An elevated concentration of BB-153 (4.7 ng/g) was
found in sediment collected from the mouth of the Saginaw River. Surface
sediments collected near the mouth of the Saginaw River contained higher
concentrations of both PBDEs and PBBs than did the subsurface sediments at these
locations.
PMID- 18049787
TI - Overlapping covered stents to exclude a postcoarctation stenting aortic aneurysm.
AB - An 18-year-old boy who underwent coil occlusion of a patent ductus arteriosus and
stenting for aortic coarctation at 13 years of age had evidence of re-stenosis.
Cardiac catheterization and angiography showed a 25-mmHg gradient across the
stent and a large aneurysm originating at midstent level. The aneurysm measured 2
cm in width and 4.3 cm in length. At a subsequent catheterization procedure, two
4.5-cm-long overlapping Cheatham platinum covered stents were implanted,
completely excluding the aneurysm. Computed tomographic (CT) angiography 2 years
later showed no evidence of endoleak or re-stenosis.
PMID- 18049788
TI - When the third degree is necessary: do pediatricians obtain enough information to
detect patients at risk for HCM?
AB - This study was designed to see if pediatricians are collecting sufficient data in
family histories to be able to ascertain whether children are at risk for
hypertrophic cardiomyopathy (HCM). Surveys were returned by 326 general
pediatricians who were members of the Second Chapter of the American Academy of
Pediatrics. The majority of pediatricians (98.2%) reported taking family
histories; however, only 51.2% reported that this information was updated on a
regular basis. Only 29.8% of the pediatricians reported including all five risk
factors for HCM in a medical family history. Although almost all of the
pediatricians reported including first-degree relatives in medical family
histories, only 40.5% reported including all second-degree relatives. Female
physicians were found to take more thorough medical family histories than male
physicians, and foreign medical school graduates were found to take more thorough
medical family histories than US medical school graduates. Additionally,
graduates of foreign medical schools reported updating medical family histories
more often than graduates of US medical schools. This study suggests that
pediatricians might not be identifying risks pertinent to the identification of
HCM.
PMID- 18049789
TI - Relationship of inferior gluteal nerves and vessels: target for application of
stimulation devices for the prevention of pressure ulcers in spinal cord injury.
AB - A study was carried out to determine whether the location of the inferior gluteal
nerve could be reliably predicted using external anatomy or vascular imaging.
This study was motivated by our group's development of an electrical stimulation
system to provide direct gluteal stimulation in paralyzed individuals, in
particular those with spinal cord injury (SCI). Pressure ulcers are a common
complication for many individuals with reduced mobility. Numerous approaches have
been employed to treat and prevent pressure ulcers; however no procedure or
nursing care regimen has been successful in eradicating them completely. Our
group seeks to prevent skin breakdown in susceptible patients by direct
electrical stimulation of the paralyzed gluteal muscle, leading to improved
circulation and increased muscle mass (hypertrophy) in the treated area.
Currently, percutaneous electrodes are placed through an extensive probing
process to select the motor point of the target muscle. We examined 15 cadaver
gluteal regions to identify the relationship between the internal anatomy of the
inferior gluteal artery and nerve as well as the relationship to external
anatomic landmarks. The cadavers displayed variability with regard to the
morphology of the branches of both nerve and artery. Furthermore, there did not
appear to be any relationship between the relative positions of the nerve and
artery. However, the potential target area of the proximal origin of the inferior
gluteal nerve could reliably be predicted from the external bony anatomy of the
lower pelvis.
PMID- 18049790
TI - Radiographic and microscopic anatomy of the mid-palatal suture in the elderly.
AB - In a previous radiological study of the mid-palatal suture, it has been
demonstrated that its obliteration was occurring during adult life and varied. In
order to determine the histological status of mid-palatal suture in elderly men,
20 human palates aged more than 70 were examined by occlusal radiographs and
histological study of the suture. In all palates the suture was ossified in the
anterior thirds and made of conjunctive tissue in the posterior third. This
particular evolution could be correlated to the mastication forces acting on the
maxillary bones during the entire life.
PMID- 18049791
TI - Does the maxillary division of the trigeminal nerve traverse the cavernous sinus?
An anatomical study and review of the literature.
AB - AIM: Many authors have included the V2 segment of the trigeminal nerve as a
component of the cavernous sinus. However, many authorities have stated that this
part of the fifth cranial nerve is not within this intracranial venous sinus.
MATERIALS AND METHODS: To further elucidate this potentially important
relationship, 10 fresh cadaveric heads underwent injection of the cavernous sinus
with blue latex or a cresyl-violet solution. Subsequent sectioning in the coronal
plane in 1 cm sections from the level of the trigeminal ganglia anteriorly to the
level of the superior orbital fissure was made. Observations were then made
between the relationships of the cavernous sinus and the V2 nerve. RESULTS: On
all 20 sides, the V2 segment of the trigeminal nerve did not have filling of
latex or cresyl-violet mixture lateral to it thus implying that this part of the
trigeminal nerve is not found within the cavernous sinus. Two sides did
demonstrate an emissary vein that traveled with the V2 part of the trigeminal
nerve through the foramen rotundum toward the cavernous sinus. CONCLUSIONS: Based
on our findings, the V2 part of the trigeminal nerve is not found bathed with
venous blood within the cavernous sinus. Reports that state the contrary may have
confused laterally positioned emissary veins as being part of the cavernous sinus
and therefore erroneously concluded that V2 was within this cranial venous sinus.
These data may prove useful to neurosurgeons that operate in the region of the
cavernous sinus or to radiologists who interpret imaging of this area.
PMID- 18049792
TI - Occurrence of genetic bottlenecks during citrus tristeza virus acquisition by
Toxoptera citricida under field conditions.
AB - In this study, we address the involvement of T. citricida in strain segregation
and genetic bottleneck events by comparing the nucleotide diversity of CTV coat
protein (CP) gene variants present in field-grown trees with that of variants
retrieved from single apterous aphids. Plant material and aphids were collected
in orange orchards in the northern part of Portugal. Shoots from two trees that
were found to be positive using ELISA and twenty-four apterous aphids from these
same trees were selected for individual molecular assays. CTV was detected in 60%
of the aphids by amplification of a 417-bp fragment of the CP gene. Analysis of
molecular variance (AMOVA) of this fragment revealed that most of the variation
of the virus was found among individual aphids (FSC: 0.766) within each location.
Nucleotide diversity comparison between the pool of sequences obtained from a
given shoot and sequences obtained from individual aphids present on that shoot
showed a reduction of more than one order of magnitude in most cases. Computer
simulations of random virus acquisition by single aphids showed that in 54% of
the cases only a single CP gene phylogenetic group was acquired. However, a small
number of aphids (e.g. 6) was enough to acquire the full complement of
phylogenetic groups present.
PMID- 18049793
TI - Lack of association of single nucleotide polymorphism in LRCH1 with knee
osteoarthritis susceptibility.
AB - A genetic association of knee osteoarthritis (OA) and a C/T transition single
nucleotide polymorphism (SNP) (rs912428) located in intron 1 of the LRCH1 gene
has recently been reported in European Caucasians; however, the results are
inconsistent. Our objective was to evaluate the association in different knee OA
populations. Three case-control association studies were conducted in Han
Chinese, Japanese, and Greek Caucasian populations. The LRCH1 SNP was genotyped
in patients who had primary symptomatic knee OA with radiographic confirmation
and in matched controls, and the association was examined. We performed a meta
analysis for the studies together with results of two previous papers using the
DerSimonian-Laird procedure and calculated the power of the pooled studies by the
software R. A total of 1,145 OA patients and 1,266 controls were genotyped. No
significant difference was detected in genotype or allele frequencies between
knee OA and control groups in the three populations (all P > 0.05). Association
was not observed even after stratification by gender and Kellgren/Lawrence (K/L)
scores. Meta-analysis also supported the lack of association between LRCH1 and
knee OA. The strong heterogeneity between original and replication studies was
detected in Caucasian populations. However, a tendency for the increase of TT
genotype was observed in the European populations (OR = 1.46, P = 0.06). The
powers for European and Asian replication studies were less than 0.8. Our results
suggest that there is no association between LRCH1 and knee OA. However, lack of
association should be concluded by further replication studies.
PMID- 18049794
TI - Submucosal glycerol injection-assisted laser surgical treatment of oral lesions.
AB - Recently, we modified laser surgery for superficial lesions in the oral cavity by
using submucosal glycerol injection. This procedure was based on a technique for
endoscopic mucosal resection (EMR) in the gastrointestinal tract. The aim of this
study was to evaluate the effectiveness of the modified laser surgery assisted by
a submucosal glycerol injection. Eleven superficial oral lesions in ten patients
were treated with diode laser (continuous wave mode, 3 W) after a submucosal
injection of glycerol solution. Injection of glycerol solution created mucosal
expansion, which enabled the procedures to be done without bleeding, over
cutting, over coagulation and unintended irradiation. The surface of the wounds
showed little carbonization, resulting in good healing. Submucosal glycerol
injection for laser treatment in the oral cavity is a promising technique for
treating superficial oral lesions by virtue of less invasion and good results.
PMID- 18049795
TI - Comparison of the effects of pretreatment with tirofiban, clopidogrel or both on
the inhibition of platelet aggregation and activation in patients with acute
coronary syndromes.
AB - OBJECTIVE: We sought to compare platelet inhibition produced by three
antiplatelet regimens. METHODS AND RESULTS: Sixty NSTE-ACS patients undergoing
coronary angiography treated with aspirin and enoxaparin were randomised to
receive tirofiban 0.4 microg/kg/min over 30 min plus 0.15 microg/kg/min over 24 h
(A), clopidogrel 600 mg (B), clopidogrel 300 mg plus tirofiban (C); blood samples
were taken at baseline and 2, 6 and 24 h after the drug administration, and were
analyzed by light transmission aggregometry and flow cytometry. Treatment with
clopidogrel 600 mg significantly reduced P-selectin expression in comparison with
tirofiban alone at all time points (group B vs. A: P < 0.0001). However tirofiban
inhibited platelet aggregation significantly more than clopidogrel 600 mg during
the first 6 h (group A vs. B: P < 0.0001), and the addition of clopidogrel 300 mg
did not inhibit platelet aggregation any more than tirofiban alone throughout the
24 h (group C vs. A: P = NS). All of the changes over time within each group were
highly significant (P < 0.0001). CONCLUSIONS: Tirofiban leads to greater early
inhibition of platelet aggregation but less suppression of P-selectin expression
than clopidogrel 600 mg. The addition of clopidogrel to tirofiban does not add
any anti-aggregatory effect, but reduces P-selectin expression, thus likely
adding a significant biological and clinical protective effect and providing a
rationale for the combined use of the two drugs.
PMID- 18049796
TI - Functional analysis of rice HOMEOBOX4 (Oshox4) gene reveals a negative function
in gibberellin responses.
AB - The homeodomain-leucine zipper (HD-Zip) putative transcription factor genes are
divided into 4 families. In this work, we studied the function of a rice HD-Zip I
gene, H OME O BO X4 (Oshox4). Oshox4 transcripts were detected in leaf and floral
organ primordia but excluded from the shoot apical meristem and the protein was
nuclear localized. Over-expression of Oshox4 in rice induced a semi-dwarf
phenotype that could not be complemented by applied GA3. The over-expression
plants accumulated elevated levels of bioactive GA, while the GA catabolic gene
GA2ox3 was upregulated in the transgenic plants. In addition, over-expression of
Oshox4 blocked GA-dependent alpha-amylase production. However, down-regulation of
Oshox4 in RNAi transgenic plants induced no phenotypic alteration. Interestingly,
the expression of YAB1 that is involved in the negative feedback regulation of
the GA biosynthesis was upregulated in the Oshox4 over-expressing plants. One
hybrid assays showed that Oshox4 could interact with YAB1 promoter in yeast. In
addition, Oshox4 expression was upregulated by GA. These data together suggest
that Oshox4 may be involved in the negative regulation of GA signalling and may
play a role to fine tune GA responses in rice.
PMID- 18049797
TI - Molecular genetics of puroindolines and related genes: regulation of expression,
membrane binding properties and applications.
AB - Kernel texture of wheat is a primary determinant of its technological properties.
Soft kernel texture phenotype results when the Puroindoline a and Puroindoline b
genes are present and encode the wild-type puroindolines PINA and PINB,
respectively, and various mutations in either or both gene(s) result in hard
phenotypes. A wealth of information is now available that furthers our
understanding regarding the spatial and temporal regulation of expression of
Puroindoline genes. Through the use of model membranes and synthetic peptides we
also have a clearer understanding of the significance of the cysteine backbone,
the tryptophan-rich domain (TRD) and the helicoid tertiary structures of PIN
proteins in relation to their membrane-active properties. Many studies suggest
individual yet co-operative modes of action of the PIN proteins in determining
kernel texture, and significant evidence is accumulating that the proteins have
in vivo and in vitro antimicrobial activities, shedding light on the biological
roles of this unique ensemble of proteins. The puroindolines are now being
explored for grain kernel texture modifications as well as antimicrobial
activities.
PMID- 18049798
TI - Molecular genetics of puroindolines and related genes: allelic diversity in wheat
and other grasses.
AB - The hardness or texture of cereal grains is a primary determinant of their
technological and processing quality. Among members of the Triticeae, most
notably wheat, much of the variation in texture is controlled by a single locus
comprised of the Puroindoline a, Puroindoline b and Grain Softness Protein-1 (Gsp
1) genes. Puroindolines confer the three major texture classes of soft and hard
common wheat and the very hard durum wheat. The protein products of these genes
interact with lipids and are associated with the surface of isolated starch (as a
protein fraction known as 'friabilin'). During the past ten years a great
diversity of alleles of both Puroindoline genes have been discovered and
significant advances made in understanding the relationship between the gene
presence/absence, sequence polymorphism and texture of cereal grains. Efforts
have also focussed on Puroindoline and Gsp-1 genes in diploid progenitors, other
Triticeae grasses and synthetic wheats in order to understand the evolution of
this gene family and find potentially useful variants. The puroindoline
homologues in other cereals such as rye and barley are also receiving attention.
This work summarises new developments in molecular genetics of puroindolines in
wheat and related Triticeae grasses, and the related genes in other cereals.
PMID- 18049799
TI - The association between selected risk factors for pancreatic cancer and the
expression of p53 and K-ras codon 12 mutations.
AB - BACKGROUND: Pancreatic cancer is a major contributor to cancer mortality. Studies
suggest that a few risk factors, including cigarette smoking, body mass index,
having a relative with pancreatic cancer, and diabetes may be related to
pancreatic cancer risk. AIM OF THE STUDY: We conducted a case-control study in
southeastern Michigan to examine the relation between the above mentioned risk
factors and mutations of the K-ras oncogene and p53 tumor suppressor gene.
METHODS: Two hundred forty-five patients with newly diagnosed pancreatic cancer
and 420 general population controls were enrolled in the study. For this
analysis, all case subjects were restricted to the pancreatic cancer patients
that had tissue blocks available for study (n = 51). In-person interviews were
conducted to ascertain information on demographic and lifestyle factors. Adjusted
logistic regression analyses were conducted to compare various subject
characteristics of pancreatic cancer patients with K-ras and p53 mutations and
their subtypes to the characteristics of the general population controls.
RESULTS: Smoking (adjusted odds ratio [aOR] = 2.0; 95% confidence interval
[95%CI] = 0.9-4.3) and diabetes diagnosed 5 or more years before interview (aOR =
3.4; 95%CI = 1.3-8.8) were associated with pancreatic cancer patients positive
for K-ras codon 12 mutations, but not with pancreatic cancer patients negative
for K-ras codon 12 mutations. On the other hand, none of the examined risk
factors were meaningfully related to patients with p53 mutations. CONCLUSIONS:
This study suggests that some recognized risk factors for pancreatic cancer may
also be associated with K-ras codon 12 mutations. However, further large-scale
studies are warranted.
PMID- 18049800
TI - Cloning and sequencing of an original gene encoding a maltogenic amylase from
Bacillus sp. US149 strain and characterization of the recombinant activity.
AB - A gene encoding maltogenic amylase from acidic Bacillus sp. US149 (maUS149) was
cloned, sequenced and over-expressed in Escherichia coli. The nucleotide sequence
analysis revealed an open reading frame (ORF) of 1749 bp encoding a protein of
582 residues. The alignment of deduced amino acid sequence revealed a relatively
low homology with the already reported maltogenic amylases. In fact, its highest
identity, of only 60%, was found with the maltogenic amylase of Thermus sp.
IM6501. The recombinant enzyme (MAUS149) was found to be intracellular and was
purified to homogeneity from the cell crude extract with a yield of 23%.
According to PAGE analysis, under reducing and non-reducing conditions, the
recombinant enzyme has an apparent molecular weight of 135 kDa and is composed of
two identical subunits of 67.5 kDa each. The maximum activity was obtained at 40
degrees C and pH 6.5. MAUS149 could be classified as a maltogenic amylase since
it produces mainly maltose from starch, maltose and glucose from beta
cyclodextrin, and panose from pullulan.
PMID- 18049804
TI - Founding the first hernia center in Turkey.
AB - For years, centers dedicated to hernia surgery have been operating in North
America and Europe. However, such centers have not been available to patients in
most other countries, including Turkey. In 2006, the first Turkish center devoted
to hernia surgery, the "Ankara Hernia Center", was opened. In this paper, we
present general information about the center's construction, staff, practice,
patient profiles, and future goals.
PMID- 18049805
TI - ATP synthesis by decarboxylation phosphorylation.
AB - Adenosine triphosphate (ATP) is used as a general energy source by all living
cells. The free energy released by hydrolyzing its terminal phosphoric acid
anhydride bond to yield ADP and phosphate is utilized to drive various energy
consuming reactions. The ubiquitous F(1)F(0) ATP synthase produces the majority
of ATP by converting the energy stored in a transmembrane electrochemical
gradient of H(+) or Na(+) into mechanical rotation. While the mechanism of ATP
synthesis by the ATP synthase itself is universal, diverse biological reactions
are used by different cells to energize the membrane. Oxidative phosphorylation
in mitochondria or aerobic bacteria and photophosphorylation in plants are well
known processes. Less familiar are fermentation reactions performed by anaerobic
bacteria, wherein the free energy of the decarboxylation of certain metabolites
is converted into an electrochemical gradient of Na(+) ions across the membrane
(decarboxylation phosphorylation). This chapter will focus on the latter
mechanism, presenting an updated survey on the Na(+)-translocating decarboxylases
from various organisms. In the second part, we provide a detailed description of
the F(1)F(0) ATP synthases with special emphasis on the Na(+)-translocating
variant of these enzymes.
PMID- 18049806
TI - [HPV and skin neoplasia].
AB - Human papillomaviruses (HPV) induce benign and malignant tumors of skin and
mucosa. Non-melanoma skin cancer (NMSC) is the most frequent malignancy in fair
skinned populations, particularly frequent in countries with high sun exposure
and in immunosuppressed patients. The high prevalence of Beta-HPV in skin tumors
renewed interest in a possible etiologic role of HPV. In contrast to cervical
cancer, the presence of HPV is probably not mandatory for maintenance of the
malignant phenotype of skin cancer cells, since only low copy numbers of HPV DNA
persist in skin cancers. Higher viral loads in actinic keratoses are compatible
with a carcinogenic role of cutaneous HPV in early phases of NMSC development.
There is some evidence from case-control studies for an increased risk of
cutaneous squamous cell carcinoma related to beta-HPV infection. HPV8 is clearly
carcinogenic in transgenic mice. At the molecular level, oncogenic activities of
beta-HPV have been attributed to effective inhibition of apoptosis and
interference with DNA repair pathways by viral E6 proteins. In addition E7
proteins deregulate the cell cycle and enhance invasive growth.
PMID- 18049807
TI - [Minimally invasive unicondylar knee arthroplasty with simultaneous ACL
reconstruction : treatment of medial compartment osteoarthritis and cruciate
ligament defect].
AB - BACKGROUND: Low postoperative pain level, decreased length of hospital stay and
accelerated rehabilitation are the major benefits of unicondylar knee
arthroplasty. Especially in comparably young, not yet retired and still active
patients with an isolated medial gonarthrosis, these prostheses offer many
advantages. However, one important requirement to be treated with such implants
is a well functioning stability system of the muscles and ligaments. Thus in
patients with degenerated or destroyed anterior cruciate ligaments it is
contraindicated to use this method. In order to still take advantage of this
therapy for treatment of isolated arthrosis, reestablishment of the
proprioceptive structures through simultaneous or staged ACL reconstruction is
mandatory. PATIENTS AND METHODS: Pursuing this goal we performed unicondylar knee
arthroplasty with simultaneous ACL reconstruction on eligible patients. Between
2003 and 2006 we treated 32 knees with this combined surgery and followed them
for a mean of 31 months (range: 10-38). RESULTS: The mean Knee Society Score
significantly improved from 83.2 (44-103) to 167.6 (145-177) at a mean follow-up
of 31 months (10-38). CONCLUSIONS: Preliminary results of this short-term follow
up are promising. Especially the predominant number of patients who were able to
return to work soon after rehabilitation and the significantly improved score
postoperatively reflect the benefits of this prosthesis system in select
patients. However, long-term follow-up and larger case numbers are necessary to
confirm these encouraging results in the future.
PMID- 18049808
TI - [Varicocele and pubertal testicular growth. A prospective study].
AB - BACKGROUND: We prospectively assessed male students in the 4th and 8th forms to
see whether varicoceles affect testicular growth. PARTICIPANTS AND METHODS:
Ultrasound measurements were done in 778 boys to define testicular size according
to the different pubertal stages. Seven hundred boys had a left or bilateral
varicocele; 257 children and 287 adolescents with unilateral varicoceles were
assessed for testicular size discrepancies in relationship to the varicocele size
and the Doppler grades of venous reflux in the upright and supine positions.
RESULTS: Rapid testicular growth occurred at puberty. By comparing the
frequencies and extent of ipsilateral growth failures in adolescents, we noted
significant differences among the varicocele grades. A volume loss of >or=15% was
associated with the higher varicocele grades and the reflux grades in the supine
position. CONCLUSION: Varicoceles may impair testicular growth at puberty. The
severity of volume loss depends on the varicocele size and the related
alterations in circulatory flow.
PMID- 18049809
TI - [The role of lubrication in transurethral electrical resection].
AB - Transurethral resection inevitably leads to thermal as well as mechanical stress
on the urethra, and it is important to reduce both. This can be achieved by using
a suitable lubricant. Measurements in saline irrigation fluid as long as 30 years
ago showed that the distribution of high-frequency current in the region of the
urethra during transurethral electrical resection depends on the different
resection systems, i.e. the varying placement of the neutral electrode.
Application of a lubricant with appropriate conductivity makes it possible to
avoid dangerous current surges in the region of the urethra. When it is used for
reduction of the mechanical stress on the urethra, the consistency and amount of
the lubricant used and also the frequency of application are important.
Theoretically, even in the case of the so-called bipolar transurethral resection
procedure in saline used today, the possibility that the electric current will
cause thermal damage to the urethra is as great as when a conventional monopolar
resection procedure using nonconductive irrigation fluid is performed.
PMID- 18049810
TI - Attenuation by methyl mercury and mercuric sulfide of pentobarbital induced
hypnotic tolerance in mice through inhibition of ATPase activities and nitric
oxide production in cerebral cortex.
AB - This study is aimed at exploring the possible mechanism of hypnosis-enhancing
effect of HgS or cinnabar (a traditional Chinese medicine containing more than
95% HgS) in mice treated with pentobarbital. We also examined whether the effect
of HgS is different from that of the well-known methyl mercury (MeHg). After a
short period (7 days) of oral administration to mice, a nontoxic dose (0.1 g/kg)
of HgS not only significantly enhanced pentobarbital-induced hypnosis but also
attenuated tolerance induction; while a higher dose (1 g/kg) of HgS or cinnabar
exerted an almost irreversible enhancing effect on pentobarbital-hypnosis similar
to that of MeHg (2 mg/kg) tested, which was still effective even after 10 or 35
days cessation of administration. To study comparatively the effects of different
mercury forms from oral administration of MeHg and HgS on membrane ATPase
activities of experimental mice, analysis of the Hg content in the cerebral
cortex revealed that correlated with the decrease of Na(+)/K(+)-ATPase and Ca(2+)
ATPase activities. Furthermore, NO levels of blood but not that of cerebral
cortex were also decreased by mercuric compounds. Although pentobarbital alone
enhanced cytochrome p450-2C9 in time dependent manner, all of mercurial compounds
tested had no such effect. All of these findings indicated that the mercurial
compounds including cinnabar, HgS and MeHg exert a long-lasting enhancing
hypnotic activity without affecting pentobarbital metabolism, which provides
evidence-based sedative effect of cinnabar used in Chinese traditional medicine
for more than 2,000 years. The nontoxic HgS dosing (0.1 g/kg/day) for consecutive
7 days is perhaps useful for delaying or preventing pentobarbital-tolerance.
PMID- 18049811
TI - Does context influence the duration of locomotor sensitization to ethanol in
female DBA/2J mice?
AB - RATIONALE: Repeated exposure to ethanol produces a progressive increase in
locomotor sensitivity, referred to as locomotor sensitization. Locomotor
sensitization may persist for some time after termination of repeated drug
exposure, and context appears to facilitate expression of the behavioral
phenomenon. However, many unanswered questions remain concerning the persistence
of and degree to which context influences locomotor sensitization to alcohol
(ethanol). OBJECTIVES: The goal of the present work was to determine the duration
of locomotor sensitization to ethanol and the degree to which context dependence
positively influences the induction, expression, and persistence of the
behavioral phenomenon in female DBA/2J mice. MATERIALS AND METHODS: Sensitized
(with or without ethanol-paired exposure to the testing chamber) and non
sensitized saline control mice were left undisturbed in their home cages until
subsequent ethanol challenge and testing in the locomotor activity testing
chambers 7, 14, 21, 28, 42, 56, and/or 70 days after cessation of the ethanol
sensitization procedure. Retro-orbital sinus bloods were sampled to determine
whether the sensitization procedure had altered blood ethanol clearance rates.
RESULTS: Locomotor sensitization persisted through post-sensitization day 14, and
repeated paring of the drug and testing context prolonged the expression of this
phenomenon through at least post-sensitization day 28. Blood ethanol
concentrations did not differ. CONCLUSIONS: Locomotor sensitization to ethanol
persists for some time after cessation of repeated ethanol exposure, and the
association of contextual cues with the ethanol experience lengthens this
persistence. The present data lay the groundwork for investigations into the
neuroadaptive changes that underlie locomotor sensitization to ethanol in mice.
PMID- 18049813
TI - The study of surface properties of an IgE-sensitive aptasensor using an acoustic
method.
AB - We applied the acoustic transverse shear mode (TSM) method for study of the
surface properties of a DNA aptasensor that specifically binds human
immunoglobulin E (IgE). The biotinylated 45-mer DNA aptamers were immobilized on
the surface of a self-assembled layer composed of a mixture of polyamidoamine
dendrimers of the fourth generation with 1-hexadecanetiol covered by neutravidin.
Using the TSM method, we studied the kinetics of changes of the series resonant
frequency, f(s), and the motional resistance, R(m), of a quartz crystal
transducer, used as a support for formation of the sensing layer. We have shown
that attachment of the biotinylated DNA aptamers onto the surface covered by
neutravidin results in a decrease of f(s), but in an increase of R(m). Similar
changes of f(s) and R(m) were observed following addition of IgE. This suggests
the contribution of friction forces to the crystal oscillation, which was taken
into account in the calculation of the mass changes at the sensor surface
following binding processes.
PMID- 18049812
TI - Hippocampal endocannabinoids inhibit spatial learning and limit spatial memory in
rats.
AB - RATIONALE: As exogenous cannabinoid agonists impair memory formation, could it be
that antagonists have opposing effects and act as memory-enhancing drugs?
OBJECTIVES: Here, we studied the effects of the cannabinoid antagonist SR141716A
(SR; Rimonabant) on spatial learning and memory formation and assessed the
possible involvement of hippocampal CB(1) receptor in these actions. MATERIALS
AND METHODS: In the water maze, spatial reference memory was probed using
different training protocols followed by assessment of behavioral flexibility.
The CB(1) receptor antagonist SR (3 mg/kg) was intraperitoneally administered
before or immediately after training in experiment 1, or via minipumps
intrahippocampally (0.89 ng and 0.089 ng/day) either during or after spatial
learning, or subcutaneously in experiment 2. RESULTS: In experiment 1, systemic
SR impaired spatial learning when given intraperitoneally (ip) before training
coincident with increasing swim speed and thigmotaxis. Pretraining before drug
treatment eliminated these effects while post-training injections had no effect.
In experiment 2, intrahippocampal infusion of 0.089 ng SR during training
enhanced acquisition learning, but did not affect long-term consolidation of
spatial memory. In contrast, subcutaneous infusion of SR via minipumps had no
effect. Post-training infusion of SR did not affect reversal learning, but short
term memory (1 h post-training) was weaker, and long-term memory for the reversal
platform location was enhanced. CONCLUSIONS: Systemic Rimonabant-induced deficits
are due to anxiogenic properties of the drug. The difference between
administration regimes is discussed in terms of CB(1) receptor blockade in
multiple non-memory and memory-related brain regions and the possibility that
selective inactivation of hippocampal CB(1) receptors may be memory enhancing.
PMID- 18049814
TI - (239, 240, 241)Pu fingerprinting of plutonium in western US soils using ICPMS:
solution and laser ablation measurements.
AB - Sector field inductively coupled plasma mass spectrometry (SF-ICPMS) has been
used with analysis of solution samples and laser ablation (LA) of
electrodeposited alpha sources to characterize plutonium activities and atom
ratios prevalent in the western USA. A large set of surface soils and attic dusts
were previously collected from many locations in the states of Nevada, Utah,
Arizona, and Colorado; specific samples were analyzed herein to characterize the
relative contributions of stratospheric fallout vs. Nevada Test Site (NTS)
plutonium. This study illustrates two different ICPMS-based analytical strategies
that are successful in fingerprinting Pu in environmental soils and dusts. Two
specific datasets have been generated: (1) soils are leached with HNO3-HCl,
converted into electrodeposited alpha sources, counted by alpha spectrometry,
then re-analyzed using laser ablation SF-ICPMS; (2) samples are completely
dissolved by treatment with HNO3-HF-H3BO3, Pu fractions are prepared by
extraction chromatography, and analyzed by SF-ICPMS. Optimal laser ablation and
ICPMS conditions were determined for the re-analysis of archived alpha
spectrometry "planchette" sources. The best ablation results were obtained using
a large spot size (200 microm), a defocused beam, full repetition rate (20 Hz)
and scan rate (200 microm s(-1)); LA-ICPMS data were collected with a rapid
electrostatic sector scanning experiment. Less than 10% of the electroplated
surface area is consumed in the LA-ICPMS analysis, which would allow for multiple
re-analyses. Excellent agreement was found between (239+240)Pu activities
determined by LA-ICPMS vs. activity results obtained by alpha spectrometry for
the same samples ten years earlier. LA-ICPMS atom ratios for 240Pu/239Pu and
241Pu/239Pu range from 0.038-0.132 and 0.00034-0.00168, respectively, and plot
along a two-component mixing line (241Pu/239Pu = 0.013 [240Pu/239Pu] - 0.0001; r2
= 0.971) with NTS and global fallout end-members. A rapid total dissolution
procedure, followed by extraction chromatography and SF-ICPMS solution Pu
analysis, generates excellent agreement with certified (239+240)Pu activities for
standard reference materials NIST 4350b, NIST 4353, NIST 4357, and IAEA 385.
(239+240)Pu activities and atom ratios determined by total dissolution reveal
isotopic information in agreement with the LA-ICPMS dataset regarding the
ubiquitous mixing of NTS and stratospheric fallout Pu sources in the regional
environment. For several specific samples, the total dissolution method reveals
that Pu is incompletely recovered by simpler HNO3-HCl leaching procedures, since
some of the Pu originating from the NTS is contained in refractory siliceous
particles.
PMID- 18049815
TI - Selection of fluorescent aptamer beacons that light up in the presence of zinc.
AB - In order to generate nucleic acid biosensors that could undergo a reversible
conformation change in the presence of the metal zinc, a random sequence pool of
single-stranded DNA was immobilized on an oligonucleotide affinity column. In the
presence of zinc, those species that underwent a conformational change were
released from the column, collected, and amplified. A series of negative and
positive selections refined the metal specificity of the selected aptamer
beacons. Since the aptamer beacons contained a fluorophore, while the bound
oligonucleotide contained a quencher, zinc binding also resulted in an increase
in fluorescence. One of the selected beacons, Zn-6m2, bound zinc in the low
micromolar range, gave a dose-dependent fluorescence signal, and showed an
approximately sixfold increase in fluorescence on zinc binding. While some cross
reactivity with cadmium was observed, it should nonetheless prove possible to use
the novel selection method to generate and tune the specificity of a variety of
reversible metal biosensors. Such biosensors could potentially be used for
continuous monitoring of metals in environmental samples.
PMID- 18049816
TI - Evidence for direct projections from the basal nucleus of the amygdala to
retrosplenial cortex in the Macaque monkey.
AB - The role of the primate retrosplenial cortex (RSC) in memory processing and
spatial navigation has been well established. Recently, processing emotionally
salient information has been attributed to the RSC as well. Little anatomical
data, however, exist linking the RSC with known emotional processing centers
within the brain. The amygdala has been implicated as a substrate for modulating
memory for emotionally salient events; yet no study to date has demonstrated that
this area has a direct connection in the primate brain. With modern retrograde
tracer injections into the RSC and adjacent cortical areas of the monkey (Macaca
fascicularis), we demonstrate that there are efferent projections from the basal
nucleus of the amygdala to the RSC and area 31. These projections offer
anatomical data supporting the hypothesis that the RSC might receive emotionally
salient input directly from the amygdala and suggest a role for the RSC as a node
within a neural system potentially capable of integrating emotional information
for use in memory or other cognitive processes.
PMID- 18049817
TI - Estimation of binding parameters for the protein-protein interaction using a site
directed spin labeling and EPR spectroscopy.
AB - Sensitivity of the electron paramagnetic resonance (CW EPR) to molecular tumbling
provides potential means for studying processes of molecular association. It uses
spin-labeled macromolecules, whose CW EPR spectra may change upon binding to
other macromolecules. When a spin-labeled molecule is mixed with its liganding
partner, the EPR spectrum constitutes a linear combination of spectra of the
bound and unbound ligand (as seen in our example of spin-labeled cytochrome c(2)
interacting with cytochrome bc(1) complex). In principle, the fraction of each
state can be extracted by the numerical decomposition of the spectrum; however,
the accuracy of such decomposition may often be compromised by the lack of the
spectrum of the fully bound ligand, imposed by the equilibrium nature of
molecular association. To understand how this may affect the final estimation of
the binding parameters, such as stoichiometry and affinity of the binding, a
series of virtual titration experiments was conducted. Our non-linear regression
analysis considered a case in which only a single class of binding sites exists,
and a case in which classes of both specific and non-specific binding sites co
exist. The results indicate that in both models, the error due to the unknown
admixture of the unbound ligand component in the EPR spectrum causes an
overestimation of the bound fraction leading to the bias in the dissociation
constant. At the same time, the stoichiometry of the binding remains relatively
unaffected, which overall makes the decomposition of the EPR spectrum an
attractive method for studying protein-protein interactions in equilibrium. Our
theoretical treatment appears to be valid for any spectroscopic techniques
dealing with overlapping spectra of free and bound component.
PMID- 18049819
TI - Transient activation of tumor-associated T-effector/memory cells promotes tumor
eradication via NK-cell recruitment: minimal role for long-term T-cell immunity
in cure of metastatic disease.
AB - Local delivery of IL-12 and GM-CSF to advanced primary tumors results in T- and
NK-cell-dependent cure of disseminated disease in a murine spontaneous lung
metastasis model. Post-therapy functional dynamics of cytotoxic T- and NK-cells
were analyzed in primary and metastatic tumors to determine the specific roles of
each subset in tumor eradication. Time-dependent depletion of CD8+ T and NK-cells
demonstrated that CD8+ T-cells were critical to eradication of metastatic tumors
within 3 days of treatment, but not later. In contrast, NK-cells were found to be
essential to tumor regression for at least 10 days after cytokine delivery.
Analysis of tumor-infiltrating lymphocyte populations in post-therapy primary
tumors demonstrated that treatment resulted in the activation of tumor-associated
CD8+ T-cells within 24 h as determined by IFNgamma and perforin production. T
cell activity peaked between days 1 and 3 and subsided rapidly thereafter.
Activation was not accompanied with an increase in cell numbers suggesting that
treatment mobilized pre-existing T-effector/memory cells without inducing
proliferation. In contrast, therapy resulted in a > or = 3-fold enhancement of
both the quantity and the cytotoxic activity of NK-cells in primary and
metastatic tumors on day 3 post-therapy. NK-cell activity was also transient and
subsided to pre-therapy levels by day 5. Depletion of CD4+ and CD8+ T-cells prior
to treatment completely abrogated NK-cell infiltration into primary and
metastatic tumors demonstrating the strict dependence of NK-cell recruitment on
pre-existing T-effector/memory cells. Treatment failed to induce significant NK
cell infiltration in IFNgamma-knockout mice establishing the central role of
IFNgamma in NK-cell chemotaxis to tumors. These data show that transient
activation of tumor-associated T-effector/memory and NK-cells, but not long-term
CD8+ T-cell responses, are critical to suppression of metastatic disease in this
model; and reveal a novel role for preexisting adaptive T-cell immunity in the
recruitment of innate effectors to tumors.
PMID- 18049818
TI - Trans-species polymorphism and evidence of selection on class II MHC loci in tuco
tucos (Rodentia: Ctenomyidae).
AB - Balancing selection acting over the evolutionary history of a lineage can result
in the retention of alleles among species for longer than expected under neutral
evolution. The associated pattern of trans-species polymorphism, in which similar
or even identical alleles are shared among species, is often used to infer that
balancing selection has occurred. The genes of the major histocompatibility
complex (MHC) are thought to be subject to balancing selection that maintains
alleles associated with response to specific pathogens. To explore the role of
balancing selection in shaping MHC diversity in ctenomyid rodents, we examined
allelic variability at the class II DRB and DQA loci in 18 species in the genus
Ctenomys. Previous studies of four of these species had revealed significant
within-population evidence of positive selection on MHC loci. The current study
expands upon these analyses to (1) evaluate among-species evidence of positive
selection and (2) explore the potential for balancing selection on MHC genes.
Interspecific nucleotide sequence variation revealed significant evidence of
positive selection on the DRB and DQA loci. At the same time, comparisons of
phylogenetic trees for these MHC loci with a putative species tree based on
mitochondrial sequence data revealed multiple examples of trans-specific
polymorphism, including sharing of identical DRB and DQA alleles among distantly
related species of Ctenomys. These findings suggest that MHC genes in these
animals have historically been subject to balancing selection and yield new
insights into the complex suite of forces shaping MHC diversity in free-living
vertebrates.
PMID- 18049821
TI - Phonotactic response of female crickets on the Kramer treadmill: methodology,
sensory and behavioural implications.
AB - Since population-level variation in female mating preferences can shape
intraspecific communication systems within the context of sexual selection it is
essential to quantify these preferences and their sources of variation. We
calculated individual female response functions for four male calling song traits
in the field cricket Gryllus bimaculatus, by performing untethered phonotaxis
measurements on a spherical locomotor compensator (Kramer treadmill). Firstly, we
quantify the population-level sources of phonotactic variation and correct for
factors that adversely affect this measurement. Secondly, we develop methodology
for the characterisation of individual female phonotactic response functions
suitable for population-level analyses and demonstrate the applicability of our
method with respect to recent literature on Orthopteran acoustic communication.
Phonotaxis towards a preferred stimulus on different occasions is highly
repeatable, with lower repeatabilities away from the most preferred signal
traits. For certain male signal traits, female preference and selectivity are
highly repeatable. Although phonotactic response magnitude deteriorated with age,
preference functions of females remained the same during their lifetimes.
Finally, the limitations of measuring phonotaxis using a spherical locomotor
compensator are described and discussed with respect to the estimation of the
selectivity of female response.
PMID- 18049822
TI - Primary pleural synovial sarcoma presenting as a multiloculated cyst in an
adolescent.
AB - Primary pleural synovial sarcoma (PPSS) is a rare pleural malignancy with a grave
prognosis. Most cases present as a well-circumscribed mass with foci of
haemorrhage and necrosis. We present an unusual case in a Nepalese boy that
presented as a multiloculated cyst mimicking hydatid disease. The diagnosis was
confirmed by histology and cytogenetic analysis.
PMID- 18049823
TI - Nonlinearities make a difference: comparison of two common Hill-type models with
real muscle.
AB - Compared to complex structural Huxley-type models, Hill-type models
phenomenologically describe muscle contraction using only few state variables.
The Hill-type models dominate in the ever expanding field of musculoskeletal
simulations for simplicity and low computational cost. Reasonable parameters are
required to gain insight into mechanics of movement. The two most common Hill
type muscle models used contain three components. The series elastic component is
connected in series to the contractile component. A parallel elastic component is
either connected in parallel to both the contractile and the series elastic
component (model [CC+SEC]), or is connected in parallel only with the contractile
component (model [CC]). As soon as at least one of the components exhibits
substantial nonlinearities, as, e.g., the contractile component by the ability to
turn on and off, the two models are mechanically different. We tested which model
([CC+SEC] or [CC]) represents the cat soleus better. Ramp experiments consisting
of an isometric and an isokinetic part were performed with an in situ cat soleus
preparation using supramaximal nerve stimulation. Hill-type models containing
force-length and force-velocity relationship, excitation-contraction coupling and
series and parallel elastic force-elongation relations were fitted to the data.
To test which model might represent the muscle better, the obtained parameters
were compared with experimentally determined parameters. Determined in situations
with negligible passive force, the force-velocity relation and the series elastic
component relation are independent of the chosen model. In contrast to model
[CC+SEC], these relations predicted by model [CC] were in accordance with
experimental relations. In conclusion model [CC] seemed to better represent the
cat soleus contraction dynamics and should be preferred in the nonlinear
regression of muscle parameters and in musculoskeletal modeling.
PMID- 18049824
TI - Characteristic phenotype of immortalized periodontal cells isolated from a Marfan
syndrome type I patient.
AB - The periodontal ligament (PDL) is situated between the tooth root and alveolar
bone, thereby supporting the tooth, and is composed of collagen and elastic
system fibers. Marfan syndrome type I (MFS1, MIM #154700) is caused by mutations
in FBN1 encoding fibrillin-1, which is a major microfibrillar protein of elastic
system fibers. MFS1 is characterized by tall stature, aortic/mitral valve
prolapse, and ectopia lentis and is occasionally accompanied by severe
periodontitis. Since little is known about the biological functions of elastic
system fibers in PDLs and the pathogenesis of the periodontitis in MFS1, PDL
cells were isolated from an MFS1 patient with a heterozygous missense mutation in
a calcium-binding epidermal-growth-factor-like domain of FBN1. Isolated PDL cells
were immortalized by transducing a retrovirus carrying genes for the human
Polycomb group protein, Bmi-1, and human telomerase reverse transcriptase.
Immortalized PDL cells from the MFS1 patient (termed M-HPL1) and those of a
healthy volunteer (termed HPDL2) both expressed various PDL-related genes. The
growth and attachment of M-HPL1 and HPDL2 to hydroxyapatite particles were
comparable. However, when M-HPL1 were transplanted with hydroxyapatite particles
into immunodeficient mice, disorganized cell alignment and irregular microfibril
assembly were noted. The activation of the signaling of transforming grwoth
factor-beta (TGF-beta) is thought to cause the pathogenesis for lung and
cardiovascular abnormalities in MFS1. Interestingly, M-HPL1 shows a higher level
of activated TGF-beta than HPDL2. Thus, M-HPL1 represent a powerful tool for
clarifying the biological roles of elastic system fibers in PDL and the
pathogenesis of periodontitis in MFS1. Our findings also suggest that FBN1
regulates cell alignment and microfibril assembly in PDLs.
PMID- 18049825
TI - Leaf litter input mediates tadpole performance across forest canopy treatments.
AB - Understanding the mechanisms limiting the distributions of organisms is necessary
for predicting changes in community composition along habitat gradients. In many
areas of the USA, land originally cleared for agriculture has been undergoing a
process of reforestation, creating a gradient of canopy cover. For small
temporary wetlands, this gradient can alter abiotic conditions and influence the
resource base of wetland food webs by affecting litter inputs. As distributions
of amphibians and many other temporary wetland taxa correlate with canopy cover,
we experimentally manipulated shade levels and litter types in pond mesocosms to
explore mechanisms limiting species performance in wetlands with canopy cover.
Most differences between ponds were mediated by litter type rather than direct
effects of shading. Although all three amphibian species tested are open-canopy
specialists, spring peepers were the only species to show decreased survival in
shaded ponds. Pond litter type generally had strong effects on growth and
development rates, with tadpoles of two species in grass litter ponds growing to
twice the size of, and metamorphosing 7 days earlier than, those in leaf litter
ponds. Contrary to our initial hypothesis, shade level and litter type showed
very few significant interactions. Our results indicate that the effects of
shading cannot be considered in isolation of vegetation changes in pond basins
when evaluating the effects of forest succession on temporary pond communities.
PMID- 18049826
TI - Stem and leaf hydraulics of congeneric tree species from adjacent tropical
savanna and forest ecosystems.
AB - Leaf and stem functional traits related to plant water relations were studied for
six congeneric species pairs, each composed of one tree species typical of
savanna habitats and another typical of adjacent forest habitats, to determine
whether there were intrinsic differences in plant hydraulics between these two
functional types. Only individuals growing in savanna habitats were studied. Most
stem traits, including wood density, the xylem water potential at 50% loss of
hydraulic conductivity, sapwood area specific conductivity, and leaf area
specific conductivity did not differ significantly between savanna and forest
species. However, maximum leaf hydraulic conductance (K (leaf)) and leaf
capacitance tended to be higher in savanna species. Predawn leaf water potential
and leaf mass per area were also higher in savanna species in all congeneric
pairs. Hydraulic vulnerability curves of stems and leaves indicated that leaves
were more vulnerable to drought-induced cavitation than terminal branches
regardless of genus. The midday K (leaf) values estimated from leaf vulnerability
curves were very low implying that daily embolism repair may occur in leaves. An
electric circuit analog model predicted that, compared to forest species, savanna
species took longer for their leaf water potentials to drop from predawn values
to values corresponding to 50% loss of K (leaf) or to the turgor loss points,
suggesting that savanna species were more buffered from changes in leaf water
potential. The results of this study suggest that the relative success of savanna
over forest species in savanna is related in part to their ability to cope with
drought, which is determined more by leaf than by stem hydraulic traits.
Variation among genera accounted for a large proportion of the total variance in
most traits, which indicates that, despite different selective pressures in
savanna and forest habitats, phylogeny has a stronger effect than habitat in
determining most hydraulic traits.
PMID- 18049827
TI - Tree fern trunks facilitate seedling regeneration in a productive lowland
temperate rain forest.
AB - Seedling regeneration on forest floors is often impaired by competition with
established plants. In some lowland temperate rain forests, tree fern trunks
provide safe sites on which tree species establish, and grow large enough to take
root in the ground and persist. Here we explore the competitive and facilitative
effects of two tree fern species, Cyathea smithii and Dicksonia squarrosa, on the
epiphytic regeneration of tree species in nutrient-rich alluvial forests in New
Zealand. The difficulties that seedlings have in establishing on vertical tree
fern trunks were indicated by the following observations. First, seedling
abundance was greatest on the oldest sections of tree fern trunks, near the base,
suggesting that trunks gradually recruited more and more seedlings over time, but
many sections of trunk were devoid of seedlings, indicating the difficulty of
establishment on a vertical surface. Second, most seedlings were from small
seeded species, presumably because smaller seeds can easily lodge on tree fern
trunks. Deer browsing damage was observed on 73% of epiphytic seedlings growing
within 2 m of the ground, whereas few seedlings above that height were browsed.
This suggests that tree ferns provide refugia from introduced deer, and may slow
the decline in population size of deer-preferred species. We reasoned that tree
ferns would compete with epiphytic seedlings for light, because below the tree
fern canopy photosynthetically active radiation (PAR) was about 1% of above
canopy PAR. Frond removal almost tripled %PAR on the forest floor, leading to a
significant increase in the height growth rate (HGR) of seedlings planted on the
forest floor, but having no effects on the HGRs of epiphytic seedlings. Our study
shows evidence of direct facilitative interactions by tree ferns during seedling
establishment in plant communities associated with nutrient-rich soils.
PMID- 18049828
TI - Do secondary compounds inhibit microbial- and insect-mediated leaf breakdown in a
tropical rainforest stream, Costa Rica?
AB - We examined the hypothesis that high concentrations of secondary compounds in
leaf litter of some tropical riparian tree species decrease leaf breakdown by
inhibiting microbial and insect colonization. We measured leaf breakdown rates,
chemical changes, bacterial, fungal, and insect biomass on litterbags of eight
species of common riparian trees incubated in a lowland stream in Costa Rica. The
eight species spanned a wide range of litter quality due to varying
concentrations of nutrients, structural and secondary compounds. Leaf breakdown
rates were fast, ranging from 0.198 d(-1 )(Trema integerrima) to 0.011 d(-1)
(Zygia longifolia). Processing of individual chemical constituents was also
rapid: cellulose was processed threefold faster and hemicellulose was processed
fourfold faster compared to similar studies in temperate streams. Leaf toughness
(r = -0.86, P = 0.01) and cellulose (r = -0.78, P = 0.02) were the
physicochemical parameters most strongly correlated with breakdown rate. Contrary
to our initial hypothesis, secondary compounds were rapidly leached (threefold
faster than in temperate studies), with all species losing all secondary
compounds within the first week of incubation. Cellulose was more important than
secondary compounds in inhibiting breakdown. Levels of fungal and bacterial
biomass were strongly correlated with breakdown rate (fungi r = 0.64, P = 0.05;
bacteria r = 0.93, P < 0.001) and changes in structural compounds (lignin r =
0.55, P = 0.01). Collector-gatherers were the dominant functional group of
insects colonizing litterbags, in contrast to temperate studies where insect
shredders dominate. Insect biomass was negatively correlated with breakdown rate
(r = -0.70, P = 0.02), suggesting that insects did not play an important role in
breakdown. Despite a wide range of initial concentrations of secondary compounds
among the eight species used, we found that secondary compounds were rapidly
leached and were less important than structural compounds in determining
breakdown rates.
PMID- 18049830
TI - Echocardiography in leiomyomatosis of the uterus: how to guide your surgeon.
PMID- 18049831
TI - Effect of statin therapy on reperfusion arrhythmia in patients who underwent
successful primary angioplasty.
AB - BACKGROUND: In animal models, pretreatment with statin can prevent reperfusion
arrhythmia. In the observational study, we investigated whether pretreatment with
statin may prevent reperfusion arrhythmia in patients who underwent primary
coronary intervention for acute myocardial infarction (AMI). METHOD AND RESULTS:
A total of 226 consecutive patients who underwent successful primary angioplasty
for a first AMI were studied. Reperfusion arrhythmias were defined as all
arrhythmias that occurred within 2 h after successful primary angioplasty. The
reperfusion arrhythmia was found in 130 of 226 patients. There were no
significant differences in clinical characteristics between the patients with and
without statin pretreatment. However, the 41 patients receiving statin treatment
before admission had lower incidence of the reperfusion arrhythmia than those
without it (19.5% and 65.9%, P < 0.01). Multivariable logistic regression
analysis revealed that absence of statin pre-treatment was a significant
predictor of the reperfusion arrhythmia along with absence of pre-infarction
angina and inferior AMI. CONCLUSION: Pre-treatment with statin could reduce the
reperfusion arrhythmias after acute myocardial infarction in human.
PMID- 18049832
TI - Heart rate recovery after exercise is associated with resting QTc interval in
young men.
AB - Heart rate recovery (HRR) after exercise and spectral decomposition of heart rate
variability (HRV), measures of autonomic nervous system function, are predictors
of cardiovascular morbidity/mortality. QT interval, an index of ventricular
depolarization and repolarization attained from surface ECG, is also associated
with morbidity/mortality and is strongly influenced by autonomic tone. The
purpose of this study was to assess the association between HRR after exercise,
resting HRV and resting rate corrected QT interval in young healthy men. HRR was
assessed in 37 men (23.3 +/- 0.6 years) 1 minute after a graded exercise test.
Resting QT interval was derived from ECG recordings and rate corrected using five
formulae (Bazett, Fridericia, Hodges, Framingham, and the nomogram method of
Karjalainen). Resting HRV was spectrally decomposed using an autoregressive
approach. A negative correlation was detected for QTc interval and HRR for each
method (r = -0.36 to -0.48, P < 0.05). There was no correlation between high
frequency power of HRV (a marker of parasympathetic modulation) and QTc interval.
There was a negative relationship between absolute LF power (a marker of both
sympathetic and parasympathetic modulation) and QTc interval for Karjalainen,
Framingham, and Bazett correction methods (r = -0.33 to -0.47, P < 0.05). Resting
LF power of HRV and HRR after exercise are inversely associated with resting QTc
interval in young healthy men, supporting a relationship between cardiac
autonomic nervous system function and ventricular depolarization and
repolarization.
PMID- 18049833
TI - Skin autonomic reactivity to thermoalgesic stimuli.
AB - OBJECTIVE: Quantitative sensory testing (QST) is a subjective method of assessing
thermal sensation, but it does not provide an objective measure of physiological
changes. Our aim was to establish whether fluctuations in sudomotor autonomic
activity correlate with warmth and heat pain (thermoalgesic) perception. METHODS:
We conducted various thermal stimuli protocols in 22 healthy volunteers and
recorded the visual analog scale (VAS) and sudomotor activity using surface
electrodes attached to the hand. Sympathetic skin responses (SSR) and the mean
level of electrodermal activity (EDA) were measured. EDA was analyzed by looking
at the four VAS-based phases, which were divided into segments defined by the
relevant psychophysical markers. RESULTS: In all stimuli paradigms, the mean
delay time of the SSR was 1.6 s after the warmth or pain sensation. There was an
association between the low amplitude (predominantly negative) SSR and warm
stimuli, and the large amplitude (predominantly positive) SSR and heat pain
stimuli (chi-square; P < 0.05). Mean EDA was significantly higher during the pain
phase in comparison with pre-perception, warmth and post-perception phases.
INTEPRETATION: Thermoalgesic stimuli induce reflex changes in sudomotor activity
that correlate with subjective perception of warmth and heat pain sensations.
This association may be useful in clinical practice.
PMID- 18049834
TI - Exercise training improves cardiovascular and autonomic profiles in HIV.
AB - OBJECTIVES: Human immunodeficiency virus (HIV) is associated with cardiovascular
(CV) and autonomic dysfunction, however the effects of fitness on vascular and
autonomic mechanisms in HIV disease are unknown. METHODS: We studied forty-eight
subjects (40.4 +/- 4.2 years) in a cross-sectional design matched for age,
gender, BMI, and fitness. Participants were assigned to 1 in 4 groups: 1) Healthy
Unfit (HU), 2) Healthy Fit (HF), 3) HIV Positive Unfit (HPU), and 4) HIV Positive
Fit (HPF). Fitness was assessed via open-circuit spirometry; arterial compliance
and autonomic modulations were measured via applanation tonometry and power
spectral analysis, respectively, and baroreflex sensitivity was obtained using
the alpha index. RESULTS: Arterial compliance was augmented in HPF vs. HPU [7.4
+/- 1.9 mmHg x second vs. 4.4 +/- 1.7 mmHg x second (P = 0.006)]. Parasympathetic
modulation was higher in HPF vs. HPU [2244.5 +/- 2997.6 msecond(2) vs. 489.1 +/-
552.9 msecond(2) (P < 0.05)]. Sympathetic modulation was lower in HPF vs. HU [4.7
+/- 5.0 mmHg(2) vs. 12.9 +/- 9.7 mmHg(2) (P < 0.05)]. Baroreflex sensitivity was
higher in HPF vs. HPU [17.3 +/- 10.2 msecond/mmHg vs. 7.4 +/- 3.8 msecond/mmHg (P
= 0.003)], and HPF vs. HU [17.3 +/- 10.2 msecond/mmHg vs. 6.2 +/- 3.0
msecond/mmHg (P = 0.004)]. CONCLUSIONS: Augmentations in arterial compliance and
baroreflex sensitivity associated with fitness portent an improved CV and
autonomic profile for HIV-positive individuals. Physical activity may be an
adjuvant method to enhance the overall vascular health in HIV-compromised
individuals.
PMID- 18049835
TI - Renal artery stump to inferior vena cava fistula: unusual clinical presentation
and transcatheter embolization with the Amplatzer vascular plug.
AB - Fistulous communication between the renal artery stump and inferior vena cava
following nephrectomy is rare. We describe the case of a 52-year-old man with a
fistula detected on investigation for hemolytic anemia in the postoperative
period. The patient had had a nephrectomy performed 2 weeks prior to presentation
for blunt abdominal trauma. The fistula was successfully occluded percutaneously
using an Amplatzer vascular plug. The patient recovered completely and was
discharged 2 weeks later.
PMID- 18049836
TI - Chromosome X modulates incidence of testicular germ cell tumors in Ter mice.
AB - Germ cell tumor development in humans has been proposed to be part of testicular
dysgenesis syndrome (TDS), which manifests as undescended testes, sterility,
hypospadias, and, in extreme cases, as germ cell tumors. Males of the Ter mouse
strain show interesting parallels to TDS because they either lack germ cells and
are sterile or develop testicular germ cell tumors. We found that these defects
in Ter mice are due to mutational inactivation of the Dead-end (Dnd1) gene. Here
we report that chromosome X modulates germ cell tumor development in Ter mice. We
tested whether the X or the Y chromosome influences tumor incidence. We used
chromosome substitution strains to generate two new mouse strains: 129-Ter/Ter
that carry either a C57BL/6J (B6)-derived chromosome (Chr) X or Y. We found that
Ter/Ter males with B6-Chr X, but not B6-Chr Y, showed a significant shift in
propensity from testicular tumor development to sterile testes phenotype. Thus,
our studies provide unambiguous evidence that genetic factors from Chr X modulate
the incidence of germ cell tumors in mice with inactivated Dnd1.
PMID- 18049837
TI - Genotype of bovine sterol regulatory element binding protein-1 (SREBP-1) is
associated with fatty acid composition in Japanese Black cattle.
AB - To investigate genetic factors that affect fatty acid composition in beef
carcass, we previously investigated genetic profiles of stearoyl-CoA desaturase
(SCD) and their effect on fatty acid composition in fat tissue of cattle. It has
been known that sterol regulatory element binding protein (SREBP) is a
transcription factor that regulates gene expression levels of SCD and other genes
relevant to lipid and fatty acid metabolism in tissue. Therefore, we determined
the full-length sequence of bovine SREBP-1 cDNA and then surveyed polymorphisms
in whole exons and introns in the bovine genome. Large 84-bp insertion (long
type: L) and deletion (short type: S) were found in intron 5 of bovine SREBP-1 in
Japanese Black cattle, although there was no notable mutation in exon regions.
The associations between the SREBP-1 genotypes and fatty acid compositions/fat
melting points were analyzed by using genomic DNA with carcass trait information
from 606 Japanese Black cattle. The S type contributed to 1.3% higher
monounsaturated fatty acid (MUFA) proportion and 1.6 degrees C lower melting
point in intramuscular fat. Genotyping of bovine SREBP-1 is considered to reflect
a genetic variation which is associated with physiologic characteristics of fat
tissue in Japanese black cattle.
PMID- 18049838
TI - Novel repeat polymorphisms of the dopaminergic neurotransmitter genes among dogs
and wolves.
AB - Genetic polymorphisms of the neurotransmission systems are intensively studied in
the human because of a possible influence on personality traits and the risk of
psychiatric disorders. The investigation of genetic variations of the dog genome
has recently been a promising approach, as a considerable similarity can be
observed between dogs and humans, in both genetic and social aspects, suggesting
that the dog could become an appropriate animal model of human behavioral genetic
studies. The aim of our study was the identification and analysis of variable
number of tandem repeats polymorphisms (VNTRs) in the genes of the dopaminergic
neurotransmitter system of dogs. The in silico search was followed by the
development of PCR-based techniques for the analysis of the putative VNTRs.
Highly variable repetitive sequence regions were found in the tyrosine
hydroxylase (TH), dopamine transporter (DAT), and dopamine beta-hydroxylase (DBH)
genes. Allele frequency and genotype distribution of these novel polymorphisms
together with the exon 3 and exon 1 VNTR of the dopamine D4 receptor gene were
determined in a large sample involving four dog breeds (German Shepherd, Belgian
Tervueren, Groenandael, and Malinois) and European Grey Wolves. A significant
difference of allele and genotype frequencies was demonstrated among the analyzed
breeds; therefore, an association analysis was also carried out between the
activity-impulsivity phenotype and the described VNTRs. Preliminary findings are
presented that polymorphisms of the DRD4, DBH, and DAT genes can be associated
with attention deficit among Belgian Tervuerens.
PMID- 18049839
TI - Transperineal rectocele repair with polyglycolic acid mesh: a case series.
AB - PURPOSE: This study was designed to evaluate the outcome of transperineal
rectocele repair using polyglycolic acid mesh. METHODS: Eighty-three consecutive
females with predominant, symptomatic Stage II or Stage III rectocele underwent
transperineal rectocele repair using polyglycolic acid (Soft PGA Felt(R)) mesh
and finished their six-month follow-up. No additional interventions, including
levatoroplasty or perineorraphy, were performed. The preoperative and
postoperative symptom scores and stages of the posterior vaginal wall prolapse
were recorded. The end points were reassessed at six months, postoperatively.
RESULTS: Preoperatively, 39 patients had Stage II and 44 patients had Stage III
rectocele. The mean total symptom score was 9.87 +/- 1.93, which was reduced to
1.62 +/- 0.59 postoperatively (P < 0.0001). Objective evaluation of anatomic
repair revealed that 74 patients (89.2 percent) had anatomic cure. Surgical
complications were seen in a total of seven patients (8.4 percent), including
hemorrhage (3.6 percent) and wound infection (4.8 percent). Mesh erosion, mesh
infection, or worsening of sexual function was not noted. CONCLUSIONS:
Transperineal repair of rectocele with the polyglycolic acid mesh is an efficient
therapy for patients with rectocele. It is highly successful in eliminating
symptoms of obstructed defecation, and it is free of significant complications.
PMID- 18049840
TI - Pancreatic cancer cell genetics and signaling response to treatment correlate
with efficacy of gemcitabine-based molecular targeting strategies.
AB - INTRODUCTION: Pancreatic cancer is a deadly cancer with limited sensitivity to
gemcitabine. Molecular targeting of critical signaling pathways [nuclear factor
kappa-B (NF-kappaB), PI3K/AKT, and mitogen-activated protein kinase (MAPK)] in
combination with gemcitabine may improve sensitivity. We hypothesize that
pancreatic cancer cell genetics and signaling response to treatment correlate
with efficacy of gemcitabine-based molecular targeting strategies. MATERIALS AND
METHODS: PANC-1, PaCa-2, and BxPC-3 cells were treated with curcumin, LY294002,
or PD325901 alone or in combination with gemcitabine. Proliferation was measured
by cell counts and enzyme activity by Western blot and electrophoretic mobility
shift assay. RESULTS: Each agent dose-dependently decreased proliferation. All
cells decreased NF-kappaB activity with curcumin(24 h) except PaCa-2, MEK
activity with PD325901(24 h), and PI3Kinase with LY294002(3 h). However, PI3K
rebounded to(PaCa-2) or above (Panc-1,BxPC-3) basal in LY294002-treated cells (24
h). Combinations with gemcitabine resulted in at least additive effects on
proliferative inhibition. For PANC-1, curcumin + gemcitabine was nearly
synergistic, correlating with gemcitabine-induced NF-kappaB activity. LY294002 +
gemcitabine was nearly synergistic in PaCa-2 cells, which showed a lower
induction of PI3Kinase activity with LY294002. Finally, gemcitabine + PD325901
was only effective in BxPC-3, which exhibited increased MEK activity with
gemcitabine. CONCLUSIONS: These results demonstrate differences in treatment
efficacy, which correlate with the cell's signaling response to treatment.
Signaling profiles of each tumor may be necessary to determine an optimal
chemotherapy for pancreatic cancer.
PMID- 18049842
TI - Gender differences in early outcomes following hand-assisted laparoscopic Roux-en
Y gastric bypass surgery : gender differences in bariatric surgery.
AB - BACKGROUND: Male gender has been associated with a higher morbidity and mortality
rate after bariatric surgery including laparoscopic and open procedures. This
study focused on hand-assisted laparoscopic Roux-en-Y gastric bypass and
morbidity and mortality among genders. METHODS: Hand-assisted laparoscopic Roux
en-Y gastric bypass operations (N = 319) were evaluated from October 2003 to
March 2006. Comparison between males (N = 54) and females (N = 265) were
conducted using t test or Fishers exact test and chi-square analysis. RESULTS:
Patients' average age was 42.3 +/- 10.3 and the average body mass index (BMI) was
49.2 +/- 7.9. There was no significant difference between males and females in
age or BMI. Males had a significantly greater average weight than females (p <
0.001) and were significantly more likely to experience sleep apnea (p = 0.006)
and have heart disease (p = 0.017). For operative risk factors, males had a
significantly longer anesthesia time (p = 0.003), operative time (p = 0.027), and
length of roux limb (p = 0.038). At 6 and 12 months postsurgery, there was no
significant difference between males and females with complications. Although BMI
did not differ significantly, males continued to weigh significantly more than
females and lost significantly more pounds than did females at both 6 and 12
months postoperation. CONCLUSION: Given their larger size and tendency to
accumulate fat in the abdominal compartment that increases the technical
difficulty of the procedure, males are historically associated with a higher
morbidity and mortality following bariatric surgery. Based on the current study,
however, there is no difference in outcome among genders following hand-assisted
laparoscopic Roux-en-Y gastric bypass.
PMID- 18049841
TI - Increase in ghrelin levels after weight loss in obese Zucker rats is prevented by
gastric banding.
AB - BACKGROUND: Gastric banding is thought to decrease appetite in addition to the
mechanical effects of food restriction, although this has been difficult to
demonstrate in human studies. Our aim was to investigate the changes in
orexigenic signals in the obese Zucker rat after gastric banding. METHODS: Obese
Zucker rats (fa/fa) were submitted to gastric banding (GBP), sham gastric banding
fed ad libitum (sham), or sham operation with food restriction, pair-fed to the
gastric banding group (sham-PF). Lean Zucker rats (fa/+) were used as additional
controls. Body weight and food intake were daily recorded for 21 days after
surgery when epididymal fat was weighed and fasting ghrelin and hypothalamic NPY
mRNA expression were measured. RESULTS: Gastric banding in obese Zucker rats
resulted in a significant decrease of cumulative body weight gain and food
intake. Furthermore, gastric banded rats were leaner than Sham-PF, as expressed
by a significantly lower epididymal fat weight. Ghrelin levels of gastric banded
rats were not increased when compared to sham-operated animals fed ad libitum and
were significantly lower than the levels of weight matched sham-PF rats (1116.9
+/- 103.3 g GBP vs 963.2 +/- 54.3 g sham, 3,079.5 +/- 221.6 sham-PF and 2,969.9
+/- 150.9 g lean rats, p < 0.001); hypothalamic NPY mRNA expression was not
increased in GBP when compared to sham-operated rats. CONCLUSION: In obese Zucker
rats, GBP prevents the increase in orexigenic signals that occur during caloric
deprivation. Our data support the hypothesis that sustained weight loss observed
after gastric banding does not depend solely on food restriction.
PMID- 18049851
TI - Feasibility of the fast-track recovery program after cardiac surgery in Japan.
AB - OBJECTIVES: The purpose of this study was to determine if a fast-track recovery
protocol that is applied in other countries can be used in the present Japanese
medical system. Second, we wanted to evaluate the differences if the protocol was
adapted from the viewpoint of cost saving, postoperative hospital stay, and
adverse complications. METHODS: We retrospectively analyzed 94 consecutive
patients who underwent cardiovascular surgery with conventional techniques on
cardiac arrest requiring cardiopulmonary bypass between July 1, 2004 and June 30,
2006. We started our fast-track recovery protocol from July 1, 2005. We compared
the results of the conventional group (before July 1, 2005) and the fast-track
recovery protocol group (after July 1, 2005). Moreover, we used a unique
questionnaire and investigated how the patients in the fast-track group felt
about the short hospital stay postoperatively. RESULTS: The mean postoperative
hospital stay was 36.7 +/- 6.0 days for the conventional group and 15.0 +/- 12.4
days for the fast-track group, with a statistically significant difference (P =
0.01). The mean cost fell by almost half, from 712545 yen to 383268 yen (P =
0.038). The difference in complication rates was not statistically significant.
CONCLUSION: A fast-track recovery protocol can be safely adapted to patients in
the Japanese system without increasing the mortality or morbidity rate. Based on
our unique questionnaires, the most important factor was sufficient and repeated
explanations preoperatively to the patients and their family members. Second,
good pain control with routine use of acetaminophen and sporadic morphine orally
has a great effect on the patients' recovery.
PMID- 18049852
TI - Barium-enhanced imaging of the coronary vasculature of the porcine myocardium:
empirical investigation into the theory of the ventricular myocardial band.
AB - OBJECTIVE: The ventricular myocardium is thought to exist as a single continuous
muscle band that extends from the pulmonary artery to the aorta, wrapped into a
double helical coil Torrent-Guasp's theory of the ventricular myocardial band
(VMB). The purpose of this study was to examine the coronary blood supply to the
VMB and to evaluate the effect of coronary blood systems on structure-function
relations in the myocardium. METHODS: VMBs of nine swine hearts were unwrapped
after postmortem barium coronary angiography. Unwrapped VMBs underwent
radiography, and vascular images of barium remaining in the VMBs were evaluated.
RESULTS: We were able to achieve a single longitudinal and stretched myocardial
band in all nine porcine hearts. The corresponding regions supplied by each
coronary artery were clearly distinguishable in the VMBs. The right segment of
the basal loop was supplied by the right coronary artery. The left segment of the
basal loop was supplied by the left circumflex artery. Most of the descending
segment of the apical loop was supplied by the left anterior descending artery,
with an inferior portion supplied by the right coronary artery. Most of the
ascending segment of the apical loop was supplied by the left anterior descending
artery, with a posterior portion supplied by the left circumflex artery.
CONCLUSION: Understanding the trinity of structure, function, and coronary blood
supply from the viewpoint of the VMB should facilitate development of more
effective surgical treatment for severe ischemic heart disease.
PMID- 18049853
TI - Pulmonary metastasis from colorectal carcinoma with hepatic metastasis.
AB - OBJECTIVE: Although some beneficial effects of surgical treatments for pulmonary
or hepatic metastases from colorectal carcinoma have been reported, identifying
candidates for these aggressive surgical procedures is controversial. In this
study, patients with pulmonary metastases from colorectal carcinoma, particularly
those with pulmonary and hepatic metastases, were retrospectively analyzed.
METHODS: Forty-six patients who had undergone complete resection for pulmonary
metastases from colorectal carcinoma were retrospectively analyzed. RESULTS: The
median follow-up period after pulmonary resection was 26 months, and the 5-year
postoperative survival rate was 34%. The 5- and 10-year survival rates of
patients with pulmonary metastasis alone, metachronous pulmonary metastasis after
liver metastasis, and synchronous metastasis to the liver and lung were 75%, 75%,
and 25% and 25%, 38%, and 0%, respectively, when calculated from the time of
primary colorectal resection (P < 0.01). Patients with synchronous metastases had
a poorer prognosis than did the patients in the other two groups. CONCLUSIONS:
Surgical treatments for patients with pulmonary metastasis alone or metachronous
metastasis can provide a beneficial outcome. Patients with synchronous metastasis
have a poor prognosis, and effective pre- and postoperative systemic treatments
should be considered to prolong their survival.
PMID- 18049854
TI - Salvage esophagectomy following definitive chemoradiotherapy.
AB - OBJECTIVES: To evaluate the outcome of salvage surgery following definitive
chemoradiotherapy (CRT) for locally advanced esophageal cancer. METHODS: We
reviewed patients undergoing salvage esophagectomy from August 2000 through April
2006 at the National Cancer Center Hospital East, following 5-fluorouracil and
cisplatinum chemotherapy with concurrent radiotherapy over 50 Gy.
Clinicopathological backgrounds, complications, and survival were analyzed.
RESULTS: Forty-six patients (42 men, all with squamous cell carcinoma) underwent
salvage surgery after full-dose concurrent chemoradiotherapy. The median age was
61 years (range, 43-72). Thirteen patients had a relapse after complete response;
26 patients partial response; 4 patients progressive disease; 3 patients NC to
CRT. Salvage surgery consisted of transthoracic esophagectomy, three-field node
dissection, and reconstruction with the colon or stomach with vascular
restoration. Operation time ranged from 257 to 602 min. Postoperative
complications were pneumonia in 5; anastmotic leakage in 10; wound infection in
3; anastomotic stenosis in 2; recurrent nerve palsy in 4; pyothorax in 2;
multiple organ failure in 1; myocardial infarction in 1; trachea necrosis in 1.
There were four 30-day operative deaths and three more hospital deaths. The
median survival time from salvage surgery was 12 months and that from CRT was 22
months. The 3-year survival rate was 17%. Three patients are surviving more than
3 years and their diseases were pathological NO. CONCLUSION: Mobidity and
mortality rates were high among patients undergoing salvage esophagectomy.
However, there are some long-term survivors, and highly selected patients should
be indicated for salvage surgery.
PMID- 18049855
TI - Neurally mediated syncope after thoracic surgery diagnosed in the intensive care
unit.
AB - We report a postoperative patient who developed neurally mediated syncope, which
was diagnosed using head-up tilt testing after the patient developed syncope in
the intensive care unit. The patient had been misdiagnosed as having epilepsy
since her childhood. Therefore, in patients with presumed epilepsy who present
with syncope and have a nondiagnostic electroencephalogram, cardiac causes of
syncope, such as neurally mediated syncope, should be considered.
PMID- 18049856
TI - Pulmonary Paragonimus westermani with false-positive fluorodeoxyglucose positron
emission tomography mimicking primary lung cancer.
AB - We report the case of a 66-year-old woman with pulmonary Paragoniumus westermani
showing false-positive fluorodeoxyglucose positron emission tomograpy (FDG-PET).
Based on chest computed tomography and FDG-PET findings, we could not rule out
the possibility of primary lung cancer. She underwent right middle and lower
lobectomies as a primary lung cancer. As a possibility in the differential
diagnosis of a pulmonary nodule with FDG-PET positive findings, paragonimiasis
should be considered, although it is rarely seen.
PMID- 18049857
TI - Total arch replacement through re-median sternotomy after coronary surgery.
AB - We report the case of 78-year-old-man who required graft replacement of an aortic
arch aneurysm. He previously had undergone off-pump coronary artery bypass
grafting with the right internal thoracic artery bypassed to the left anterior
descending artery. For this difficult case, we successfully performed total arch
replacement using selective antegrade cerebral perfusion through a re-median
sternotomy without injuring the right internal thoracic artery, which was the
only blood source for the left and right coronary arteries.
PMID- 18049858
TI - Bronchopulmonary foregut malformation in an adult.
AB - A 50-year-old man with repeated episodes of right epigastric pain was seen in our
hospital. Chest computed tomography and angiography revealed several arteries
feeding an enhanced large mass located in the right lower lobe region. A right
lower lobectomy was done with a provisional diagnosis of an intralobar
sequestration. A 5-mm duct that was lined with esophageal mucous membrane that
tracked from the lower esophagus toward the sequestrated lung was detected. A
bronchopulmonary foregut malformation (BPFM) was diagnosed based on the
histological finding that the duct was composed of ciliated epithelium and smooth
muscle layers. BPFM is a subgroup of pulmonary sequestrations that communicate
with the gastrointestinal tract. In contrast to pulmonary sequestrations, 75% of
BPFMs are located on the right side. Thus, a BPFM should be considered in
patients with right-sided pulmonary sequestrations, and their gastrointestinal
tracts should be examined.
PMID- 18049859
TI - N-acetylmuramic acid 6-phosphate lyases (MurNAc etherases): role in cell wall
metabolism, distribution, structure, and mechanism.
AB - MurNAc etherases cleave the unique D-lactyl ether bond of the bacterial cell wall
sugar N-acetylmuramic acid (MurNAc). Members of this newly discovered family of
enzymes are widely distributed among bacteria and are required to utilize
peptidoglycan fragments obtained either from the environment or from the
endogenous cell wall (i.e., recycling). MurNAc etherases are strictly dependent
on the substrate MurNAc possessing a free reducing end and a phosphoryl group at
C6. They carry a single conserved sugar phosphate isomerase/sugar phosphate
binding (SIS) domain to which MurNAc 6-phosphate is bound. Two subunits form an
enzymatically active homodimer that structurally resembles the isomerase module
of the double-SIS domain protein GlmS, the glucosamine 6-phosphate synthase.
Structural comparison provides insights into the two-step lyase-type reaction
mechanism of MurNAc etherases: beta-elimination of the D-lactic acid substituent
proceeds through a 2,3-unsaturated sugar intermediate to which water is
subsequently added.
PMID- 18049861
TI - An evaluation study of trace element content in colorectal liver metastases and
surrounding normal livers by X-ray fluorescence.
AB - BACKGROUND: Trace elements are involved in many key pathways involving cell cycle
control. The levels of trace metals such as iron, copper, and zinc in colorectal
liver metastases have not previously been assessed. METHODS: The trace element
content in snap-frozen cancerous liver tissue from patients who underwent liver
resection for colorectal liver metastases was compared with the normal
surrounding liver (distant from the cancer) using X-ray fluorescence (XRF).
RESULTS: X-ray fluorescence was performed on a total of 60 samples from 30
patients. Of these 29 matched pairs (of cancer and normal liver distant from
cancer from the same patient) were eligible for univariate analysis. Iron
(0.00598 vs. 0.02306), copper (0.00541 vs. 0.00786) and zinc (0.01790 vs.
0.04873) were statistically significantly lower in the cancer tissue than the
normal liver. Iron, copper, and zinc were lower in the cancer tissue than in the
normal liver in 24/29 (82.8%), 23/29 (79.3%), and 28/29 (96.6%) of cases
respectively. Multivariate analysis of the 60 samples revealed that zinc was the
only trace element decreased in the cancer tissue after adjusting for the other
elements. Zinc levels were not affected by any of the histopathological
variables. CONCLUSION: Iron, copper, and zinc are lower in colorectal liver
metastases than normal liver. An investigation into the pathways underlying these
differences may provide a new understanding of cancer development and possible
novel therapeutic targets.
PMID- 18049860
TI - Darier's disease: a calcium-signaling perspective.
AB - Ca(2+) influx evoked across the plasma membrane upon internal store depletion is
essential for a myriad of cellular functions including gene expression, cell
proliferation, differentiation and even apoptosis. Darier's disease (DD), an
autosomal dominant inherited disorder of the skin, arising due to mutations in
the isoform 2 of the sarco (endo) plasmic reticulum Ca(2+) ATPase (SERCA2),
exemplifies an anomaly of Ca(2+) signaling disturbances. Owing to loss of
function mutations in SERCA2, keratinocytes in DD patients have a reduced pool of
endoplasmic reticulum (ER) Ca(2+). Importantly, the status of ER Ca(2+) is
critical for the activation of a class of plasma membrane Ca(2+) channels
referred to as store operated Ca(2+) channels (SOCs). The widely expressed
transient receptor potential (TRP) family of channels is proposed to be SOCs. In
this review we discuss DD from the viewpoint of Ca(2+) signaling and present a
potential role for TRPC1 in the disease pathogenesis.
PMID- 18049862
TI - Using metastasis suppressor proteins to dissect interactions among cancer cells
and their microenvironment.
AB - Cancer metastasis is a complex, dynamic process that begins with dissemination of
cells from the primary tumor and culminates in the formation of clinically
detectable, overt metastases at one or more discontinuous secondary sites.
Evidence from in vivo video microscopy as well as PCR and immunohistochemical
studies suggest that cancer cell dissemination is an early event in tumor
progression and that cells may persist in a potentially dormant state for a
prolonged period. Similarly, the mechanisms by which these disseminated cells
initiate growth and complete the process of metastatic colonization remain
largely unknown. Understanding signal transduction pathways regulating this final
step of metastasis is therefore critical for successful clinical management.
While genetic mutations or epigenetic changes may be required for a cell or group
of cells to separate and survive distant from the primary tumor, the
microenvironment within secondary tissues plays a substantial role in influencing
whether disseminated cells survive and proliferate. Our work is focused on using
metastasis suppressor proteins to gain insight into why the majority of
disseminated cells, which should be fully malignant, do not proliferate
immediately at secondary sites. The translational goal of this work is to
identify targets for inhibiting metastatic growth and prolonging disease-free
survival.
PMID- 18049863
TI - Osteopontin: regulation in tumor metastasis.
AB - Osteopontin is a secreted phosphoprotein that has been implicated as an important
mediator of tumor metastasis and has been investigated for use as a biomarker for
advanced disease and as a potential therapeutic target in the regulation of
cancer metastasis. The OPN DNA sequence is highly conserved and the protein
contains several important functional domains including alpha(v)beta integrin and
CD44 binding sites. High levels of OPN expression correlate with tumor invasion,
progression or metastasis in multiple cancer. Studies demonstrate that
osteopontin mediates the molecular mechanisms which determine metastatic spread,
such as prevention of apoptosis, extracellular matrix proteolysis and remodeling,
cell migration, evasion of host-immune cells and neovascularization.
Transcriptional regulation of OPN is complex and involves multiple pathways,
including AP-1, Myc, v-Src, Runx/CBF, TGF-B/BMPs/Smad/Hox, and Wnt/ss
catenin/APC/GSK-3ss/Tcf-4. The current state of knowledge of OPN biology suggests
that it is an attractive target for therapeutic modulation of metastatic disease.
PMID- 18049864
TI - Effect of siRNA targeted against MKK4 on myostatin-induced downregulation of
differentiation marker gene expression.
AB - The c-Jun N-terminal kinase (JNK) pathway was reported to be involved in
myostatin signaling and MKK4 was suggested as the only upstream kinase for
myostatin-induced JNK activation, implying that MKK4 is a suitable target of RNA
interference (RNAi) for blocking myostatin activity. The aim of this study was to
evaluate the effect of small interfering RNA (siRNA) targeted against MKK4 on
myostatin-induced downregulation of differentiation marker gene expression. Real
time quantitative PCR revealed that the level of MKK4 expression was efficiently
reduced by MKK4-specific siRNA. Western blot assays showed that knockdown of MKK4
attenuated the myostatin-induced downregulation of MyoD and myogenin expression.
PMID- 18049865
TI - Secretory cargo composition affects polarized secretion in MDCK epithelial cells.
AB - Polarized epithelial cells secrete proteins at either the apical or basolateral
cell surface. A number of non-epithelial secretory proteins also exhibit
polarized secretion when they are expressed in polarized epithelial cells but it
is difficult to predict where foreign proteins will be secreted in epithelial
cells. The question is of interest since secretory epithelia are considered as
target tissues for gene therapy protocols that aim to express therapeutic
secretory proteins. In the parathyroid gland, parathyroid hormone is processed by
furin and co-stored with chromogranin A in secretory granules. To test the
secretion of these proteins in epithelial cells, they were expressed in MDCK
cells. Chromogranin A and a secreted form of furin were secreted apically while
parathyroid hormone was secreted 60% basolaterally. However, in the presence of
chromogranin A, the secretion of parathyroid hormone was 65% apical, suggesting
that chromogranin can act as a "sorting escort" (sorting chaperone) for
parathyroid hormone. Conversely, apically secreted furin did not affect the
sorting of parathyroid hormone. The apical secretion of chromogranin A was
dependent on cholesterol, suggesting that this protein uses an established
cellular sorting mechanism for apical secretion. However, this sorting does not
involve the N-terminal membrane-binding domain of chromogranin A. These results
suggest that foreign secretory proteins can be used as "sorting escorts" to
direct secretory proteins to the apical secretory pathway without altering the
primary structure of the secreted protein. Such a system may be of use in the
targeted expression of secretory proteins from epithelial cells.
PMID- 18049866
TI - Effect of SPLUNC1 protein on the Pseudomonas aeruginosa and Epstein-Barr virus.
AB - Short palate, lung and nasal epithelium clone 1 (SPLUNC1) gene coded a secreted
protein found at the surface of nasopharyngeal epithelium, which may be an innate
immunity defensive molecular and a risk factor for nasopharyngeal carcinoma
(NPC). Here, we observed the effects of SPLUNC1 on the Gram negative bacteria
Pseudomonas aeruginosa, evaluated the ability of SPLUNC1 protein binding to
lipopolysaccharide. To observe the effect of SPLUNC1 protein on Epstein-Barr
virus (EBV), we raised three EBV-transformed B-lymphocyte lines and treated the
cells by SPLUNC1 protein; cellular disruption, apoptosis, EBV DNA content, and
viral oncogene expression were analyzed. We found that SPLUNC1 protein can bind
to bacterial lipopolysaccharide, inhibit the growth of P. aeruginosa, enhance the
disruption and apoptosis of EBV-infected B-lymphocytes, downregulate protein
expression of EBV latent membrane protein 1, while upregulate protein expression
of EBV envelope glycoprotein gp350/220. The total EBV DNA in the culture medium
was decreased significantly after 7 days of treatment by SPLUNC1. This study
shows that SPLUNC1 not only has the role of antibacteria and antivirus, but also
inhibits the potential oncogenicity of EBV in respiratory epithelium.
PMID- 18049867
TI - Pitx3 promoter directs Cre-recombinase specifically in a human neuroblastoma cell
line.
AB - The Pitx3 gene is a homeobox transcription factor. This gene is expressed only in
midbrain dopaminergic-neurons in the central nervous system, where its expression
is important for development and survival of mesencephalic-dopaminergic neurons.
The promoter region of the Pitx3 gene is not yet completely delimited. We used
the Cre-loxP system to demonstrate the efficiency and specificity of a 4.2-kbp
sequence in the 5'-flanking region of the Pitx3-gene promoter inserted in the 5'
terminus of the Cre-recombinase gene. A Cre-recombinase-reporter assay indicated
that this 5'-flanking region possesses promoter activity. The cell-specific gene
regulation of the Pitx3 promoter in neurons was demonstrated by a reverse
transcription polymerase chain reaction (RT-PCR) and Western blot detection of
Cre-recombinase in SH-SY5Y cells but not in MCF7 cells. Functionality of the Cre
recombinase was confirmed in vitro. The Pitx3-promoter-Cre cassette here
described can be used to develop transgenic mice with the specific expression of
Cre-recombinase in midbrain-dopaminergic neurons to elucidate the gene function
in which the conventional knockout leads to an early lethal phenotype. Such
specific expression of the Pitx3 promoter may be used for gene therapy studies of
Parkinson's disease.
PMID- 18049868
TI - The effect of maternal and cord-blood vitamin C, vitamin E and lipid peroxide
levels on newborn birth weight.
AB - Background Newborn birth weight has been shown to significantly correlate with
the blood levels of vitamin C. Objective This study was planned to answer the
question of why vitamin C levels correlate with birth weight; does such
correlation reflect a protective effect of vitamin C on fetal growth, by its
antioxidant characteristics or does it correspond to the nutritional status of
both the mother and the fetus. We examined the hypothesis that maternal blood
levels of vitamin C, but not vitamin E influence newborn birth weight. We
determined maternal and newborn blood levels of vitamin C, vitamin E, and lipid
peroxides (an index of oxidative insult) and the birth weights of full-term
newborns delivered at our hospital. Results Compared with maternal blood levels,
newborns have higher levels of vitamin C and lipid peroxides, but lower levels of
vitamin E. There was a significant correlation in levels between mothers and
their newborns for blood levels of vitamin C (r = 0.82, P < 0.01) and vitamin E
(r = 0.61, P < 0.02) but not for lipid peroxides (r = 0.001). This suggests that
maternal vitamin C and vitamin E intake can influence fetal vitamin C and vitamin
E levels. Linear regression analysis shows a significant positive relationship
between newborn birth weight and maternal plasma vitamin C (r = 0.51, P < 0.02).
Similarly, there was a modest but significant positive relationship between
newborn birth weights and newborn vitamin C levels (r = 0.61, P < 0.05). However,
there was no relationship between maternal or fetal vitamin E or lipid peroxides
levels and the newborn birth weight. Conclusions This study with a small number
of subjects suggests a significant association between newborn birth weight and
maternal and newborn plasma vitamin C levels. Lack of relationship between birth
weight and vitamin E and lipid peroxides suggest that antioxidant function of
vitamin C does not appear to have a major role in the effect of vitamin C on
birth weight.
PMID- 18049869
TI - Preparation of porous apatite granules from calcium phosphate cement.
AB - A versatile method for preparing spherical, micro- and macroporous (micro: 2-10
and macro: 150-550 microm pores), carbonated apatitic calcium phosphate (Ap-CaP)
granules (2-4 mm in size) was developed by using NaCl crystals as the porogen.
The entire granule production was performed between 21 and 37 degrees C. A CaP
cement powder, comprising alpha-Ca3(PO4)2 (61 wt.%), CaHPO4 (26%), CaCO3 (10%)
and precipitated hydroxyapatite, Ca10(PO4)6(OH)2 (3%), was dry mixed with NaCl
crystals varying in size from 420 microm to 1 mm. Cement powder (35 wt.%) and
NaCl (65 wt.%) mixture was kneaded with an ethanol-Na2HPO4 initiator solution,
and the formed dough was immediately agitated on an automatic sieve shaker for a
few minutes to produce the spherical granules. Embedded NaCl crystals were then
leached out of the granules by soaking them in deionized water. CaP granules were
micro- and macroporous with a total porosity of 50% or more. Granules were
composed of carbonated, poorly crystallized, apatitic CaP phase. These were the
first spherical and porous CaP granules ever produced from a self-setting calcium
phosphate cement. The granules reached their final handling strength at the
ambient temperature through the cement setting reaction, without having a need
for sintering.
PMID- 18049870
TI - Nanoscale surface topography enhances cell adhesion and gene expression of madine
darby canine kidney cells.
AB - Substrate topography is one of the key factors that influence cell behavior, such
as cell attachment, adhesion, proliferation and differentiation. In the present
work, nanostructures were produced on polystyrene Petri dish by polarized laser
irradiation with the wavelength of 266 nm and the energy of 3.0 mJ/cm2. Cell
adhesion, growth and gene expression of Madine darby canine kidney (MDCK) cells
cultured on smooth and nanogrooved substrates were investigated. The results
indicated that cells preferred to adhere and grow on nanogrooved substrate. The
distribution of cell cycle for cells on smooth substrates was different from that
on nanogrooved substrate. The percentage of G1 phase cells on nanogrooved
substrate (48.6 +/- 1.4%) was lower than that on smooth substrate (57.6 +/-
4.4%), while the percentage of cells on nanogrooved substrate in S (30.2 +/-
0.5%) and G2/M (21.2 +/- 1.1%) phase was higher than those on smooth substrate
(25.1 +/- 1.5% and 17.3 +/- 3.3%, respectively). Moreover, the gene expression of
cyclin D1 and keratin 18, which was examined by semi-quantitative reverse
transcription polymerase chain reaction (RT-PCR), was significantly enhanced by
nanogrooves, with an increase of cyclin D1 mRNA by 98% and an increase of keratin
18 mRNA by 75%. In conclusion, the nanogrooved surface features on polystyrene
could alter cell cycle and enhance gene expression of cyclin D1 and keratin 18 in
MDCK cells, which partly explained the increased cell adhesion and growth on
nanogrooved substrate.
PMID- 18049871
TI - Plasma polymerized n-butyl methacrylate coating with potential for re
endothelialization of intravascular stent devices.
AB - Rapid re-endothelialization at an atherosclerotic lesion after stent employment
is essential for reducing or preventing local thrombus formation and restenosis.
To prevent these complications via enhanced rapid re-endothelialization, poly n
butyl methacrylate (PPBMA) coating was deposited on the stent surface through a
radio-frequency plasma polymerization process, with oxygen as the carrier gas.
Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron
spectroscopy (XPS) characterization confirmed the occurrence of the plasma
polymerization and the chemistry properties of the PPBMA. Scanning electron
microscopy (SEM) revealed a smooth and dense surface. The wettability of the
polymeric films measured by the contact angle indicated that the surface was more
hydrophilic (2.0 +/- 1 degrees ) than the original surface (24 +/- 1 degrees ) by
the introduction of the PPBMA coating, with a slight decrease even after 4 days.
The results of the culture of human umbilical cord veins endothelial cells
(HUVEC) in vitro showed that compared with the control of 316L stainless steel,
the attachment and growth of cells on the PPBMA-coated surface was significantly
enhanced, and a confluent endothelial cells layer was formed after a 4-day
culture. A platelet adhesion experiment revealed that the blood compatibility of
the substrate surface after PPBMA deposition was also obviously improved. The
PPBMA coating remained intact on the stent surface after expansion according to
the clinic protocol, indicating that the adhesive strength of PPBMA coating was
high enough to withstand the external force in the process of stent expansion.
This in vitro pilot study prior to in vivo experiments suggested that this plasma
PPBMA was promising for coating stent materials for rapid re-endothelialization.
PMID- 18049872
TI - Evaluation of the biocompatibility of a new vascular prosthesis coating by
detection of prosthesis-specific antibodies.
AB - In recent experimental studies, we could demonstrate the occurrence of antibodies
against the prosthesis matrix and coating following implantation of polyester
based vascular grafts. Therefore, this study aimed at evaluating the
biocompatibility of a new absorbable polymer coating by detection of antibodies
against the coating and the polyester matrix. Two polyester vascular prostheses
coated either with the polymer (PP-prosthesis) or with gelatine (PG-prosthesis)
were functionally implanted into sheep (n = 22 per group). Blood was drawn on
days 1 (pre-OP) and 7, 14, 28, 56, 84, 140 (post-OP). Homogenates from both
prostheses (PP-target or PG-target) or from an uncoated prosthesis (P-target)
were used as assay targets in a particle-based immunoassay. The antibody binding
against the P-target was significantly higher in the PP-group than in the PG
group on days 7-56, but not on days 84 and 140. Within both groups, no
significant differences but a significant correlation between the binding against
the P-target and the coated target was found. Therefore, the absorbable polymer
did not induce a specific humoral immune response. In conclusion, the overall
immunogenicity of the polymer-coated graft was comparable to the gelatine-coated
graft. The detection of prosthesis-specific antibodies seems to be useful for in
vivo biocompatibility testing.
PMID- 18049873
TI - Effect of hot water and heat treatment on the apatite-forming ability of titania
films formed on titanium metal via anodic oxidation in acetic acid solutions.
AB - Titanium and its alloys have been widely used for orthopedic implants because of
their good biocompatibility. We have previously shown that the crystalline
titania layers formed on the surface of titanium metal via anodic oxidation can
induce apatite formation in simulated body fluid, whereas amorphous titania
layers do not possess apatite-forming ability. In this study, hot water and heat
treatments were applied to transform the titania layers from an amorphous
structure into a crystalline structure after titanium metal had been anodized in
acetic acid solution. The apatite-forming ability of titania layers subjected to
the above treatments in simulated body fluid was investigated. The XRD and SEM
results indicated hot water and/or heat treatment could greatly transform the
crystal structure of titania layers from an amorphous structure into anatase, or
a mixture of anatase and rutile. The abundance of Ti-OH groups formed by hot
water treatment could contribute to apatite formation on the surface of titanium
metals, and subsequent heat treatment would enhance the bond strength between the
apatite layers and the titanium substrates. Thus, bioactive titanium metals could
be prepared via anodic oxidation and subsequent hot water and heat treatment that
would be suitable for applications under load-bearing conditions.
PMID- 18049874
TI - Bioactivity of wollastonite/aerogels composites obtained from a TEOS-MTES matrix.
AB - Organic-inorganic hybrid materials were synthesized by controlled hydrolysis of
tetraethoxysilane (TEOS), methyltrimethoxysilane (MTES), synthetic wollastonite
powders and polydimethylsiloxane (PDMS) in an ethanol solution. Aerogels were
prepared from acid hydrolysis of TEOS and MTES with different volume ratio in
ethanol, followed by addition of wollastonite powder and PDMS in order to obtain
aerogels with 20 wt% of PDMS and 5 wt% of CaO of the total silica. Finally, when
the wet gels were obtained, they were supercritically dried at 260 degrees C and
90 bar, in ethanol. In order to obtain its bioactivity, one method for surface
activation is based on a wet chemical alkaline treatment. The particular interest
of this study is that we introduce hybrid aerogels, in a 1 M solution of NaOH,
for 30 s at room temperature. We evaluate the bioactivity of TEOS-MTES aerogel
when immersed in a static volume of simulated body fluid (SBF). An apatite layer
of spherical-shaped particles of uniform size smaller than 5 microns is observed
to form on the surface of the aerogels after 25 days soaking in SBF.
PMID- 18049875
TI - Porous calcium phosphate ceramic granules and their behaviour in differently
loaded areas of skeleton.
AB - Two kinds of calcium phosphate ceramic (CPC) granules of high porosity (50 +/-
5%) and improved (for such materials) compressive strength (10-25 MPa) consisted
of hydroxyapatite (PHA) and a mixture of hydroxyapatite (HA) and beta-tricalcium
phosphate (beta-TCP) in 60 HA/40 beta-TCP composition (PCPC) were developed. A
comparative study of in vivo behavior of the materials implanted into an almost
unloaded (greater trochanter of femur) and loaded (distal methaphysis of femur)
zones in the skeleton of rabbits was performed. Significant activating influence
of loading on the processes of new bone formation and reconstruction in
macropores of both materials during all periods of implantation (up to 6 months)
was observed. The role of relevant cells in the processes in the unsoluble PHA
and the degradable PCPC (in which the processes was observed to intensify due to
dissolution of the material) was studied and is discussed. Great disturbance in
pore structure of the BCPC was revealed in more late periods of implantation.
After 6 months, presence of large composite fragments located in intertrabecula
spaces of greater trochanter was a characteristic feature of the PCPC crushing.
The developed CPC materials seems to have good perspective for using in bone
defect plasty in some loaded areas of the skeleton.
PMID- 18049876
TI - Time-dependent effects of pre-aging polymer films in cell culture medium on cell
adhesion and spreading.
AB - We have tested the hypothesis that cell adhesion and spreading on polymer films
are influenced by the amount of time that the polymer films are pre-aged in cell
culture medium. Cell adhesion and spreading were assessed after a 6-h culture on
poly(D,L-lactic acid) (PDLLA) films that had been pre-aged in cell culture medium
for 30 min, 1, 3 or 7 d. Cell adhesion and spread area were enhanced as the
duration of pre-aging PDLLA films in cell culture medium was increased. Materials
characterization showed that the hydrophobicity and surface morphology of the
PDLLA films changed with increasing length of pre-aging time. These results
suggest that cell adhesion and spreading are sensitive to the time-dependent
changes in PDLLA hydrophobicity and surface morphology that occur during exposure
of the polymer to cell medium for different lengths of time. These results
demonstrate that cell response to a degradable, biomedical polymer can change as
a function of the amount of time that the polymer is exposed to physiological
medium.
PMID- 18049877
TI - Fabrication of biphasic calcium phosphates/polycaprolactone composites by melt
infiltration process.
AB - Synthesis and characterization of material properties of biphasic calcium
phosphates (BCP)/polycaprolactone (PCL) composites, which were obtained by melt
infiltration of PCL using porous BCP bodies, were investigated. Using 70 vol.% of
poly methyl methacrylate (PMMA) powder as a pore-forming agent, porous BCP bodies
were obtained by pressure less sintering depending on the temperature. The porous
bodies obtained showed interconnected, spherical pores about 200 microm in
diameter. Densification of the pore frame improved and grain growth increased
remarkably as the sintering temperature increased. Molten PCL was infiltrated
into porous BCP bodies to obtain the BCP/PCL composites. The material properties
such as the relative density, hardness, bending strength, and elastic modulus of
BCP/PCL composite, which was sintered at 1200 degrees C, were 95.7%, 11.2 Hv,
31.6 MPa and 10.2 GPa, respectively.
PMID- 18049878
TI - Proangiogenic potential of a collagen/bioactive glass substrate.
AB - PURPOSE: Previous attempts to stimulate angiogenesis have focused on the delivery
of growth factors and cytokines, genes encoding for specific angiogenic inductive
proteins or transcription factors, or participating cells. While high
concentrations of bioactive glasses have exhibited osteogenic potential, recent
studies have demonstrated that low concentrations of particular bioactive glasses
are angiogenic. We hypothesized that a well known bioactive glass (Bioglass 45S5)
possesses proangiogenic potential over a limited range of concentrations.
MATERIALS AND METHODS: Varying amounts of Bioglass were loaded into absorbable
collagen sponges. The proangiogenic potential of Bioglass was determined by
examining the capacity of the soluble products to induce endothelial cell
proliferation, tubule formation in a co-culture, and upregulate vascular
endothelial growth factor (VEGF) production. RESULTS: We determined a range of
Bioglass concentrations which exhibit proangiogenic potential. Furthermore, we
demonstrated that the proangiogenic capacity of this material is related to the
soluble dissolution products of Bioglass and the subsequent production of cell
secreted angiogenic factors by stimulated cells. CONCLUSIONS: These studies
suggest that this bioactive glass possesses a robust proangiogenic potential, and
this strategy may provide an alternative to recombinant inductive growth factors.
PMID- 18049879
TI - Clinical utility of predictors of return-to-work outcome following work-related
musculoskeletal injury.
AB - INTRODUCTION: Clinical expertise is one source of evidence that is generally
under-utilised in the development of an evidence-base in rehabilitation. The
current study aimed to incorporate this valuable clinical expertise in
determining the utility of multiple predictors of return-to-work outcome
following injury. METHODS: Following systematic review of the rehabilitation
literature and review, a total of 85 predictors were evaluated for clinical
relevance by an expert panel of rehabilitation practitioners (n = 12). Each
predictor was rated according the importance of the predictor in rehabilitation,
its potential for modification and its classification into one of seven broad
areas. In addition, practitioners were asked to provide a rationale as to why the
predictor was important to rehabilitation. Analyses were conducted using inter
rater agreement statistics and text analysis. RESULTS: Predictors that were most
commonly reviewed in the literature were not considered to be of greatest
clinical utility, according to the current sample. From the total predictor set,
only nine predictors were identified as clinically useful (i.e., both highly
important and highly modifiable). Text analysis of the qualitative data revealed
that these nine predictors highlighted the significance of time, context and
engagement in rehabilitation practice. CONCLUSION: In the current study,
predictors that were considered most clinically relevant were those that
generally described workplace related processes. The findings confirmed the
underlying supportive and collaborative processes that integrate predictors and
account for their influence on outcome. Future rehabilitation efforts and indeed,
individual outcomes, could benefit by incorporating these key predictors in
targeted programs.
PMID- 18049880
TI - Acid synthesis of luminescent amine-functionalized or erbium-doped silica spheres
for biological applications.
AB - In this work we discuss and investigate the morphological and optical properties
of luminescent silica spheres which can have interesting applications in
bioimaging and biosensing. The spheres are synthesized following an acid route by
the hydrolysis and condensation of tetraethylortosilicate (TEOS) and can be
functionalized by incorporation of aminopropyl-triethoxysilane (APTES) during the
synthesis, inducing a significant luminescence that can be attributed to a
recombination mechanism from localized organic defects related to -NH(2) groups.
It is shown that the acid synthesis route produces very regular spherical
particles, but their diameter vary in the range of 200-4,000 nm. The luminescence
properties have been investigated and optimized by variation of the annealing
temperature for the functionalized spheres, obtaining the most efficient PL
emission after a thermal treatment of 1 h at 600 degrees C in air. Moreover, the
possibility to introduce rare earths like erbium in the spheres was also studied
and the corresponding Er(3) luminescence emission at 1.53 microm is reported in
terms of intensity and lifetime, pointing out that erbium can be easily and
efficiently incorporated during the acid synthesis giving high PL intensity with
a good lifetime of 3.9 ms.
PMID- 18049881
TI - Equilibrium analysis of the DNA binding domain of the ultraspiracle protein
interaction with the response element from the hsp27 gene promoter--the
application of molecular beacon technology.
AB - Ecdysteroids initiate molting and metamorphosis in insects via a receptor which
belongs to the superfamily of nuclear receptors. The ecdysone receptor consists
of two proteins: the ecdysone receptor (EcR) and the ultraspiracle (Usp). The EcR
Usp dimer conducts transcription through a hsp27(pal) response element. Usp acts
as an anchor orienting the whole complex on the DNA. The molecular beacon
methodology was applied to detect the sequence-specific DNA of a natural hsp27
(pal) or mutated protein interaction with the DNA binding domain from the Usp.
The dissociation constant, K(d), of the UspDBD-hsp27 (pal) complex was determined
to be 1.42+/-0.48 nM, whereas K(d) for UspDBD(DeltaA)-hsp27(pal) was 6.6+/-0.5
nM. Mutation of Val-71 for Ala blocks formation of the protein-DNA complex in
contrast to Glu-19 mutation for Ala for which K(d)=4.31+/-1.01 nM. The results
obtained with the molecular beacon technology are related to those obtained by
fluorescence anisotropy titrations.
PMID- 18049882
TI - Two-photon absorption properties of 9,10-disubstituted 2,6-bis(p
dihexylaminostyryl)anthracene derivatives. Effect of 9,10-substituents.
AB - A series of 2,6-bis(p-dihexylaminostyryl)anthracence derivatives having phenyl,
styryl, and phenylethynyl groups at 9,10-positions (1-4) have been synthesized
and their two-photon cross-sections were determined. Overall, the wavelengths of
the longest wavelength absorption band and emission spectra increase with
increase in the conjugation length and the electron withdrawing ability of the
9,10-substituents. All compounds show two-photon cross sections in the range of
740-3940 GM at 780-960 nm, which increase significantly by the donor and acceptor
groups at 9,10-positions. In addition, Ph and phenylethynyl groups are better
when compared to the styryl group at the 9,10-positions in terms of the two
photon action cross section. From a practical perspective, 1a, 2a-c, and 4b
showed significant two-photon action cross-section and are most useful for
applications that use two-photon excited fluorescence.
PMID- 18049883
TI - Study of structural and photoluminescent properties of Ca8Eu2(PO4)6O2.
AB - In this work it is presented for the first time the nanostructured
hydroxyapatites doped with 0.5, 1.0 and 2.0 wt% of Eu(3+) prepared at room
temperature by the mechanical alloying technique. X-ray diffraction powder (XRD),
infrared (IR) and Raman scattering spectroscopy, scanning electron microscopy
(SEM), microhardness measurements as well as luminescent data of Eu(3+) were used
to investigate the structural and optical properties of these nanomaterials. The
electrical and dielectrical analyses were used with the intention of having a
better comprehension about the electromagnetic fields in pure and doped
hydroxyapatites.
PMID- 18049884
TI - Diesel exhaust particle-exposed human bronchial epithelial cells induce dendritic
cell maturation and polarization via thymic stromal lymphopoietin.
AB - Human exposure to air pollutants, including ambient particulate matter, has been
proposed as a mechanism for the rise in allergic disorders. Diesel exhaust
particles, a major component of ambient particulate matter, induce sensitization
to neoallergens, but the mechanisms by which sensitization occur remain unclear.
We show that diesel exhaust particles upregulate thymic stromal lymphopoietin in
human bronchial epithelial cells in an oxidant-dependent manner. Thymic stromal
lymphopoietin induced by diesel exhaust particles was associated with maturation
of myeloid dendritic cells, which was blocked by anti-thymic stromal
lymphopoietin antibodies or silencing epithelial cell-derived thymic stromal
lymphopoietin. Dendritic cells exposed to diesel exhaust particle-treated human
bronchial epithelial cells induced Th2 polarization in a thymic stromal
lymphopoietin-dependent manner. These findings provide new insight into the
mechanisms by which diesel exhaust particles modify human lung mucosal immunity.
PMID- 18049885
TI - A multilevel analysis of state and regional disparities in childhood and
adolescent obesity in the United States.
AB - This study examines state- and regional disparities in obesity prevalence among
46,707 US children and adolescents aged 10-17 years before and after adjusting
for individual socioeconomic and behavioral characteristics and area deprivation
measures. The 2003 National Survey of Children's Health was used to calculate
obesity prevalence in nine geographic regions and in the 50 states and the
District of Columbia (DC). Logistic regression was used to estimate odds of
obesity and adjusted prevalence. OLS regression was used to determine the amount
of variance explained by income inequality, poverty, and violent crime rates. The
prevalence of childhood obesity varied substantially across geographic areas,
with the Southcentral regions of the US having the highest prevalence (> or =18%)
and the Mountain region the lowest prevalence (11.4%). Children in West Virginia,
Kentucky, Texas, Tennessee, and North Carolina (adjusted prevalence >18.3%) had
over twice the odds of being obese than their Utah counterparts (adjusted
prevalence = 10.4%). Geographic disparities in obesity were similar for male and
female children. Individual characteristics such as race/ethnicity, household
socioeconomic status, neighborhood social capital, television viewing,
recreational computer use, and physical activity accounted for 55% of the state
and 25% of the regional disparities in obesity. Area poverty rates accounted for
an additional 18% of the state variance in adjusted obesity prevalence. Although
individual and area level socioeconomic factors are important predictors,
substantial geographic disparities in childhood and adolescent obesity remain.
Prevention efforts targeting individual risk factors as well as contextual social
and environmental factors may reduce geographic disparities in childhood and
adolescent obesity.
PMID- 18049887
TI - The sequence and model structure analysis of three Polish peanut stunt virus
strains.
AB - Peanut stunt virus (PSV) belongs to the Cucumovirus genus of the family
Bromoviridae and is widely distributed worldwide, also in Poland. PSV is a common
pathogen of a wide range of economically important plants. Its coat protein (CP),
similarly as in other viruses, plays an important role in many processes during
viral life cycle and has great impact on the infectivity. In this study, we
present the results of sequence-structure analysis of CP derived from three
Polish strains of PSV: PSV Ag, G, and P. Sequences were determined using RT-PCR
amplification followed by sequencing and compared with each other and also with
CP from other known PSV viruses. We analyzed their phylogenetic relationship,
based on CP sequence, using bioinformatic tools as well as their spatial model
using homology-modeling approach with combination of ROSETTA algorithm for de
novo modeling. We compared our model with those recently obtained for other
cucumoviruses including PSV-Er. Our results have shown that all Polish strains
probably belong to the first subgroup of PSV viruses. Homology level between
strains Ag and G proved very high. Using theoretical modeling approach we
obtained a model very similar to the one resolved previously with the differences
caused by slightly different amino acid sequence. We have also undertaken an
attempt to analyze its distant regions; however, results are not unequivocal.
Analysis of symptoms and their correlation with specific amino acid position was
also performed on the basis of results published elsewhere. The definite
interpretation is impeded by the presence of satellite RNAs in Ag and P strains
modulating symptoms' severity, though.
PMID- 18049886
TI - Complete nucleotide sequence and experimental host range of Okra mosaic virus.
AB - Okra mosaic virus (OkMV) is a tymovirus infecting members of the family
Malvaceae. Early infections in okra (Abelmoschus esculentus) lead to yield losses
of 12-19.5%. Besides intensive biological characterizations of OkMV only minor
molecular data were available. Therefore, we determined the complete nucleotide
sequence of a Nigerian isolate of OkMV. The complete genomic RNA (gRNA) comprises
6,223 nt and its genome organization showed three major ORFs coding for a
putative movement protein (MP) of M r 73.1 kDa, a large replication-associated
protein (RP) of M r 202.4 kDa and a coat protein (CP) of M r 19.6 kDa. Prediction
of secondary RNA structures showed three hairpin structures with internal loops
in the 5'-untranslated region (UTR) and a 3'-terminal tRNA-like structure (TLS)
which comprises the anticodon for valine, typical for a member of the genus
Tymovirus. Phylogenetic comparisons based on the RP, MP and CP amino acid
sequences showed the close relationship of OkMV not only to other completely
sequenced tymoviruses like Kennedya yellow mosaic virus (KYMV), Turnip yellow
mosaic virus (TYMV) and Erysimum latent virus (ErLV), but also to Calopogonium
yellow vein virus (CalYVV), Clitoria yellow vein virus (CYVV) and Desmodium
yellow mottle virus (DYMoV). This is the first report of a complete OkMV genome
sequence from one of the various OkMV isolates originating from West Africa
described so far. Additionally, the experimental host range of OkMV including
several Nicotiana species was determined.
PMID- 18049888
TI - Tat protein vaccination of cynomolgus macaques influences SHIV-89.6P cy243
epitope variability.
AB - In a previous study we showed that vaccination with the native Tat protein
controlled virus replication in five out of seven monkeys against challenge with
the simian human immunodeficiency virus (SHIV)-89.6P cy243 and that this
protection correlated with T helper (Th)-1 response and cytotoxic T lymphocyte
(CTL) activity. To address the evolution of the SHIV-89.6P cy243 both in control
and vaccinated infected monkeys, the sequence of the human immunodeficiency virus
(HIV)-1 Tat protein and the C2-V3 Env region of the proviral-DNA-derived clones
were analyzed in both control and vaccinated but unprotected animals. We also
performed analysis of the T cell epitope using a predictive epitope model taking
into consideration the phylogeny of the variants. Our results suggest that even
though the viral evolution observed in both groups of monkeys was directed toward
variations in the major histocompatibility complex (MHC)-I epitopes, in the
control animals it was associated with mutational escape of such epitopes. On the
contrary, it is possible that viral evolution in the vaccinated monkeys was
linked to mutations that arose to keep high the viral fitness. In the vaccinated
animals the reduction of epitope variability, obtained prompting the immune
system by vaccination and inducing a specific immunological response against
virus, was able to reduce the emergence of escape mutants. Thus the intervention
of host's selective forces in driving CTL escape mutants and in modulating viral
fitness appeared to be different in the two groups of monkeys. We concluded that
in the vaccinated unprotected animals, vaccination with the Tat protein induced a
broad antiviral response, as demonstrated by the reduced ability to develop
escape mutants, which is known to help in the control of viral replication.
PMID- 18049889
TI - The effects of GnRH antagonist on the endometrium of normally menstruating women.
AB - PURPOSE: To study the effects of GnRH antagonist (ganirelix-Orgalutran) on the
endometrium of regularly menstruating women. MATERIALS AND METHODS: Prospective,
self-controlled study. The thirty-five volunteers were studied for two cycles:
one as a control and the other, GnRH antagonist-treated cycles in which ganirelix
0.25 mg/d was given daily for 3 days, starting when the largest follicle reached
15 mm. In both cycles, serum estradiol, LH and endometrial thickness were
measured when the largest follicle was > or =18 mm. Endometrial biopsy was
performed on day 6 after ovulation for histological dating and morphometric
study. RESULTS: No statistical differences between histological dating and the
endometrial thickness in the control and GnRH antagonist-treated cycles. All
morphometric parameters were also not different. Serum estradiol and LH levels
were significantly lower in GnRH antagonist-treated cycles. CONCLUSION: GnRH
antagonist has no effect on the endometrium of regularly menstruating women as
assessed by either histological dating or morphometric analysis.
PMID- 18049890
TI - Single nucleotide polymorphisms of the aromatase gene (CYP19A1), HER2/neu status,
and prognosis in breast cancer patients.
AB - PURPOSE: Estrogen exposure is involved in both breast cancer susceptibility and
the prognosis in patients with breast cancer. Aromatase is involved in the
production of estrogens, and altered expression of it might be associated with
the prognosis. The aim of this study was to examine the effect of single
nucleotide polymorphisms (SNPs) in the aromatase gene, CYP19A1, on the prognosis,
and in relation to tumor and patient characteristics in a cohort of breast cancer
patients. PATIENTS AND METHODS: The cohort analyzed in this study consisted of
1,257 patients with invasive primary breast cancer. Polymorphisms rs10046, rs4646
and rs700519 were genotyped within this group. RESULTS: The variant genotypes of
rs10046 and rs4646 were associated with a lower percentage of HER2-positive
tumors. There was no association of rs700519 and rs4646 with disease-free
survival (DFS) or overall survival (OS). The variant genotype of rs10046 was
significantly associated with a better 5-year DFS (hazards ratio 0.51; 95% CI,
0.32 to 0.81; P=0.004) adjusted for age, nodal status, tumor size grading, and
hormone receptor status. This effect appeared to be determined in the subgroup of
premenopausal patients. CONCLUSION: SNPs rs10046 and rs4646 may influence the
HER2 status of breast cancer tumors, and rs10046 genotypes are associated with an
altered DFS. Genotypes of aromatase polymorphisms may influence the prognosis for
breast cancer patients not only by affecting the extent of estrogen exposure but
also through an alteration in tumor characteristics.
PMID- 18049891
TI - NBS1 variant I171V and breast cancer risk.
AB - The NBS1/p95 protein has a pivotal role in the sensing and repair of chromosome
breaks. A missense mutation in the NBS1 gene, I171V, has recently been associated
with a ninefold increased risk of breast cancer in Polish patients. Positive
associations have also been reported for leukaemia and larynx cancer suggesting
that I171V could be a more general susceptibility factor for malignancies. We
investigated the prevalence of this mutation in two large hospital-based case
control series from Germany and from the Republic of Belarus. The I171V
substitution was detected in 20/1,636 Byelorussian breast cancer patients and in
18/1,014 Byelorussian controls (OR: 0.68; 95%CI: 0.36-1.30, P=0.3). The I171V
substitution was furthermore detected in 10/1,048 German breast cancer patients
and in 7/1,017 German controls (OR: 1.39; 95%CI: 0.53-3.67, P=0.7). There were no
significant differences between I171V carriers and non-carriers among the cases
with regard to age at diagnosis, family history or bilateral occurrence of
disease. A meta-analysis of all hitherto available studies did not reveal a
difference in the prevalence of I171V between breast cancer cases and controls
(OR: 1.05; 95%CI: 0.64-1.74, P=0.9). We conclude that the I171V substitution is
unlikely to constitute a strong risk factor for breast cancer in our study
populations.
PMID- 18049892
TI - Commentary to "Interaction between Abeta peptide and alpha synuclein: molecular
mechanisms in overlapping pathology of Alzheimer's and Parkinson's in dementia
with Lewy body disease".
PMID- 18049893
TI - Antioxidant enzyme activities following acute or chronic methylphenidate
treatment in young rats.
AB - Methylphenidate (MPH) is psychostimulants used to treat Attention
Deficit/Hyperactivity Disorder and can lead to a long-lasting neurochemical and
behavioral adaptations in experimental animals. In the present study, the
cerebral antioxidant enzymatic system, superoxide dismutase (SOD) and catalase
(CAT) was evaluated at in different age following MPH (1, 2 or 10 mg/kg MPH,
i.p.) treatment in young rats. In the acute treatment the SOD activity decreased
in the cerebral prefrontal cortex with opposite effect in the cerebral cortex;
and the CAT activity decreased in hippocampus. In the chronic treatment the SOD
activity increased in the hippocampus and cerebral cortex and decreased in the
striatum. The observed changes on the enzyme activities in rat brain were
dependent on the structure brain region and duration of treatment with MPH.
Probably, the activity of enzymes was not be enough to prevent MPH-induced
oxidative damage in specific regions from brain, such as observed for us in
another recent study.
PMID- 18049894
TI - Screening for postpartum depression in a rural community.
AB - Postpartum depression is a serious mental health issue affecting as many as 10
15% of families during the postpartum period. The current study discusses
implementation of a screening protocol for postpartum depression in a rural
community health setting with a sample of 498 primarily minority women utilizing
the Postpartum Depression Screening Scale. Results indicate that 22.5% of the
sample population demonstrate some symptoms of postpartum depression, with
Hispanic women demonstrating less symptoms than other minority groups. Results
also indicate that variables such as race, feeding method and history of
depression impact scale scores.
PMID- 18049895
TI - Path models of quality of life among people with schizophrenia living in the
community in Hong Kong.
AB - The utilization of quality of life (QOL) in psychiatric community rehabilitation
could be enhanced by developing an appropriate conceptual model of QOL. The focus
of this study was to construct and test, using path analytic techniques, a
pertinent conceptual model of QOL among people with schizophrenia living in the
community. A total of 201 participants with schizophrenia living in the community
were assessed with regard to their clinical characteristics and QOL. Findings
largely supported the proposed model in which community/social functioning was
the strongest predictor of QOL, followed by symptom levels. Important
implications for the design and implementation of appropriate services in
functioning augmentation that resulted in QOL enhancement were highlighted.
Further recommendations on both clinical and environmental interventions to
promote QOL were suggested.
PMID- 18049896
TI - Outcome from a community-based smoking cessation program for persons with serious
mental illness.
AB - Six and 12-month outcomes are reported on 79 mentally ill persons attending
either a 4- or 8-session community-based smoking cessation group. Quit rates at
post, 3-, 6-, and 12-month follow-ups were 16, 19, 16, and 19%, respectively,
with no significant effect of program length. These success rates are comparable
to outcomes reported following group-based treatment with mentally healthy
smokers. The majority of quitters used nicotine replacement therapy. Psychotropic
medication dosages did not vary over time in quitters or non-quitters. No
reductions in smoking were observed among non-quitters. Quitting smoking had no
untoward effects on symptoms of mental illness or general functioning.
PMID- 18049897
TI - Anti-Saccharomyces cerevisiae antibodies in primary biliary cirrhosis.
AB - AIM: The aim of this study was to evaluate, retrospectively, the frequency of
anti-Saccharomyces cerevisiae antibodies (ASCA) in patients with primary biliary
cirrhosis (PBC). METHODS: ASCA, IgG, and IgA, were determined by ELISA in sera of
95 PBC patients; 80 healthy blood donors served as controls. RESULTS: The
frequency of ASCA (IgG or IgA) was significantly higher in PBC patients than in
the control group (24.2% vs 3.7%, P = 0.0001). The frequency of ASCA IgG and ASCA
IgA in PBC patients was also significantly higher than that found in the control
group (18.9% vs 2.5%, P = 0.0006 and 11.6% vs 1.2%, P = 0.007, respectively). Six
patients out of 95 (6.3%) had both ASCA IgG and ASCA IgA; in contrast, none of
the control group had both isotypes (P = 0.02). There was no correlation between
ASCA levels and mitochondrial autoantibodies (AMA) titres in PBC patients.
CONCLUSION: We conclude that ASCA are common in patients with PBC.
PMID- 18049898
TI - The short-term effects of different doses of dexamethasone on the numbers of some
bacteria in the ileum.
AB - Glucocorticoids are known to affect intestinal biota both directly or indirectly.
The aim of the study reported here was to determine the short-term effects of
different doses of dexamethasone on the numbers of various ileal bacteria
populations. Rats were randomly put into groups, and each group was administered
a single-dose injection of dexamethasone at either 0.1, 0.5, 1, 2.5, 5, or 10
mg/kg body weight. At 48-h post-injection, the numbers of total aerobe, anaerobe,
lactobacilli and coliform bacteria in the ileum were determined. The numbers of
total aerobes and lactobacilli were higher in the groups receiving 5 and 10 mg/kg
dexamethasone than in the control and other dose groups (P < 0.01 and P < 0.001,
respectively). The number of ileal anaerobic bacteria was higher in group
receiving 5 mg/kg than in the other groups (P < 0.01). There were more coliform
bacteria in the group receiving 0.1 mg/kg than in the groups receiving 0.5, 1 and
10 mg/kg (P < 0.05). In light of these results, the effects of dose-dependent
increases in the number of different bacterial groups affecting gut functions
have still to be determined in future studies.
PMID- 18049899
TI - Tumour necrosis factor alpha in segmental colitis associated with diverticula.
AB - The pathogenesis of segmental colitis associated with diverticula (SCAD) is
unclear, but tumour necrosis factor alpha (TNF-alpha) has been shown to play a
pivotal role in the pathogenesis of inflammatory bowel diseases. The aim of this
study was to assess TNF-alpha levels in patients with SCAD. In a post hoc
analysis of a prospective multicenter study, tissue samples from 13 patients
diagnosed with SCAD were subjected to histological analyses. The severity of the
inflammation was assessed by means of a histological score and histomorphometry
(number of inflammatory cells/mm2). Immunohistochemical staining with an antibody
against TNF-alpha was performed on all biopsies and the degree of staining
expressed as the percentage of positive stromal cells/1000 counted (TNF-alpha
score). Matched patients with irritable bowel syndrome (IBS) were used as
controls. Over-expression of TNF-alpha was found in all SCAD patients (38.6 +/-
10.4%), and it was associated with a high histological score (2.5 +/- 0.5) and
neutrophil cell count (16.3 +/- 3/mm2). These values were distinctly higher than
those found in the IBS controls. Our data suggest that TNF-alpha activity is
involved in SCAD pathogenesis, similarly to what occurs in Crohn's disease and
ulcerative colitis.
PMID- 18049900
TI - Liver histology changes in nonalcoholic steatohepatitis after one year of
treatment with probucol.
AB - BACKGROUND: Probucol, a lipid-lowering agent with antioxidant effects, is
effective in normalizing liver enzymes in patients with nonalcoholic
steatohepatitis (NASH). We studied changes in the liver histology of patients
with NASH after use of probucol for one year. METHODS: Ten patients with biopsy
proven NASH were included. Subjects were given 500 mg probucol daily. Liver
biopsies were performed before treatment and after one year. RESULTS: Eight
patients completed treatment. The mean alanine aminotransferase (ALT) and
aspartate aminotransferase (AST) levels decreased from 94 and 55 to 41 and 26,
respectively (P = 0.004 and 0.001 respectively). The scores for hepatic steatosis
and necroinflammation decreased from 7.4 to 5.6 (P = 0.03). The fibrosis score
changed from 1.1 to 1.3 (P = 0.79). No adverse drug effects were observed.
CONCLUSION: Probucol is effective in normalizing aminotransferase levels in
patients with NASH. It also significantly reduces the histology grade of
steatohepatitis after one year of treatment.
PMID- 18049901
TI - Simvastatin attenuates trinitrobenzene sulfonic acid-induced colitis, but not
oxazalone-induced colitis.
AB - PURPOSE: To determine whether simvastatin is able to inhibit inflammation in
trinitrobenzene sulfonic acid (TNBS)-induced or oxazalone (OXA)-induced colitis.
RESULTS: In the prophylactic protocol, simvastatin dose-dependently suppressed
the decrease in body weight and inflammatory grade of TNBS-treated mice. In
contrast, in the therapeutic protocol, no significant difference in body weight
reduction was observed between simvastatin-treated and control mice. IFN-gamma
release from LP cells was significantly suppressed in mice receiving high-dose
simvastatin in the prophylactic protocol. In contrast to TNBS colitis, even high
dose prophylactic simvastatin had no suppressive effects on either weight
reduction or the inflammatory grade in OXA colitis. CONCLUSION: Our results
indicate that simvastatin negatively regulates inflammation in TNBS-induced
colitis, but not in OXA-induced colitis. In TNBS-induced colitis, simvastatin
suppressed the Th1-polarized immune response. Our findings suggest that
simvastatin has potential effects as a therapeutic agent in human inflammatory
bowel disease, particularly Crohn's disease.
PMID- 18049902
TI - Characterization of hemodynamic forces induced by mechanical heart valves:
Reynolds vs. viscous stresses.
AB - Bileaflet mechanical heart valves (BMHV) are widely used to replace diseased
heart valves. Implantation of BMHV, however, has been linked with major
complications, which are generally considered to be caused by mechanically
induced damage of blood cells resulting from the non-physiological hemodynamics
environment induced by BMHV, including regions of recirculating flow and elevated
Reynolds (turbulence) shear stress levels. In this article, we analyze the
results of 2D high-resolution velocity measurements and full 3D numerical
simulation for pulsatile flow through a BMHV mounted in a model axisymmetric
aorta to investigate the mechanical environment experienced by blood elements
under physiologic conditions. We show that the so-called Reynolds shear stresses
neither directly contribute to the mechanical load on blood cells nor is a proper
measurement of the mechanical load experienced by blood cells. We also show that
the overall levels of the viscous stresses, which comprise the actual flow
environment experienced by cells, are apparently too low to induce damage to red
blood cells, but could potentially damage platelets. The maximum instantaneous
viscous shear stress observed throughout a cardiac cycle is <15 N/m(2). Our
analysis is restricted to the flow downstream of the valve leaflets and thus does
not address other areas within the BMHV where potentially hemodynamically
hazardous levels of viscous stresses could still occur (such as in the hinge gaps
and leakage jets).
PMID- 18049903
TI - Acetylcholine inhibits long-term hypoxia-induced apoptosis by suppressing the
oxidative stress-mediated MAPKs activation as well as regulation of Bcl-2, c
IAPs, and caspase-3 in mouse embryonic stem cells.
AB - This study examined the effect of acetylcholine (ACh) on the hypoxia-induced
apoptosis of mouse embryonic stem (ES) cells. Hypoxia (60 h) decreased both the
cell viability and level of [3H] thymidine incorporation, which were prevented by
a pretreatment with ACh. However, the atropine (ACh receptor [AChR] inhibitor)
treatment blocked the protective effect of ACh. Hypoxia (90 min) increased the
intracellular level of reactive oxygen species (ROS). On the other hand, ACh
inhibited the hypoxia-induced increase in ROS, which was blocked by an atropine
treatment. Subsequently, the hypoxia-induced ROS increased the level of p38
mitogen activated protein kinase (MAPK) and Jun-N-terminal kinase (JNK)
phosphorylation, which were inhibited by the ACh pretreatment. Moreover, hypoxic
exposure (90 min) increased the level of nuclear factor-kappa B (NF-kappa B)
phosphorylation, which was blocked by a pretreatment with SB 203580 (p38 MAPK
inhibitor) or SP 600125 (JNK inhibitor). However, hypoxia (60 h) decreased the
protein levels of Bcl-2 and c-IAPs (cellular inhibitor of apoptosis proteins) but
increased the level of caspase-3 activation. All these effects were inhibited by
a pretreatment with ACh. In conclusion, ACh prevented the hypoxia-induced
apoptosis of mouse ES cells by inhibiting the ROS-mediated p38 MAPK and JNK
activation as well as the regulation of Bcl-2, c-IAPs, and caspase-3.
PMID- 18049905
TI - Prospective investigation into the influence of various stressors on skin
impedance.
AB - BACKGROUND: The control mechanisms during general anesthesia include circulation
parameters and vegetative reactions. A possible way to quantify vegetative
reactions is to measure the impedance of the skin. An activation of the eccrine
sweat glands via sympathetic sudomotor fibers induces a secretion of sweat, which
generates a drop in skin impedance. The aim of the present study was to
investigate the influence which different stressors and measurement electrodes
have upon skin impedance. MATERIAL AND METHOD: The changes in skin impedance and
were measured after application of various stimuli (T1 value at rest, T2 acoustic
stimulus, T3 visual stimulus, T4 tactile stimulus, T5 pain stimulus, T6 Valsalva
manoeuvre, T7 forced inspiration/expiration). About 62 awake subjects underwent
four standardized test sequences, during which several types of electrodes and
recording sites (palmarly, plantarly) were explored. RESULTS: All physiological
(T6-T7) and external stimuli (T2-T5) led to significant changes in skin impedance
(14.9 +/- 18.2 kOmega) and heart rate. These changes happened independently of
BMI, gender and measurement electrode types. The time it took to react to the
stimuli was significantly shorter for palmar applications than that obtained from
plantar sites. The reaction times were as follows: palmarly 1.2 +/- 0.5 seconds
for solidgel electrodes and 1.15 +/- 0.5 seconds for hydrogel electrodes,
plantarly 2.3 +/- 1.0 seconds for solidgel electrodes and 2.21 +/- 1.2 seconds
for hydrogel electrodes. The forced inspiration and expiration manoeuvres
generated greater variations in skin impedance than did pain stimulus and
acoustic stimulus. Measurements that were performed with solidgel electrodes
revealed significantly greater average decreases in skin impedance following
exposure to a stimulus. CONCLUSION(S): External, but primarily also physiological
stressors, generate direct and reproducible variations in skin impedance.
Solidgel ECG electrodes should be used for all measurements.
PMID- 18049904
TI - Glucocorticoid receptor physiology.
AB - Glucocorticoid action in cells is mediated by a specific receptor protein, the
glucocorticoid receptor (GR). GR is a member of a superfamily of ligand-inducible
transcription factors that control a variety of physiological functions; such as,
metabolism, development, and reproduction. Unliganded GR is predominantly
localized within the cytoplasm but rapidly and efficiently translocates to the
nucleus following hormone binding. This review will focus on the intracellular
signaling pathway utilized by the GR including the mechanisms that control its
intracellular trafficking, hormone binding and transcriptional regulation. Many
receptor-interacting proteins are involved in distinct steps in GR signal
transduction, each with a unique mechanism to regulate receptor action and
providing potential drug targets for the manipulation of cellular responses to
glucocorticoids.
PMID- 18049906
TI - Concentrations and inventories of polycyclic aromatic hydrocarbons and
organochlorine pesticides in watershed soils in the Pearl River Delta, China.
AB - The concentration levels, source, and inventories of polycyclic aromatic
hydrocarbons (PAHs) and organochlorine pesticides (OCPs) in 55 surface vegetable
soils in the watershed of the Pearl River Delta (PRD) were analyzed and compared
with those of the surface sediments in the Pearl River Estuary (PRE) and northern
South China Sea (SCS). The 16 priority PAHs on US EPA list range from 58 to 3,077
microg/kg (average: 315 microg/kg). The concentrations of DDTs and HCHs range
from 3.58 to 831 microg/kg (average: 82.1 microg/kg) and from 0.19 to 42.3
microg/kg (average: 4.42 microg/kg). The ratios of DDT/ (DDD+DDE) are higher than
2 in majority of the soil samples, suggesting that DDT contamination still
exists. The PAH ratios suggest that the source of PAHs is petroleum, and
combustion of fossil fuel, biomass, and coal. The average concentrations of PAHs
and the linear regression slope between PAHs and TOC for the soils and the
sediments are quite similar. It was estimated that the soil mass inventories at 0
20 cm depth are 1,292 metric tons for PAHs and 356 metric tons for OCPs in the
studied region. The average PAHs inventory per unit area for the soil samples
investigated in PRD is about 0.86 time that of surface sediments in the Pearl
River Estuary, and about 2.43 times that of surface sediments in the northern
South China Sea. PAHs in the soils in PRD have similar source to those of the
surface sediments in PRE. All of those may suggest that PAHs in PRE and SCS are
probably mainly inputted from the soils in PRD via soil erosion and river
transport.
PMID- 18049907
TI - The role of atmospheric circulation system playing in coupling relationship
between spring NPP and precipitation in East Asia area.
AB - In many East Asia regions, spring (from March to May) precipitation is an
important restricting factor to vegetation growth, and atmospheric circulation
system may influence spring precipitation patter. It is helpful to under the
response of ecosystem to climate change by studying the influence of atmospheric
circulation system on the coupling relationship between spring net primary
productivity and precipitation. Driving CASA (Carnegie-Ames-Stanford Approach)
NPP (Net Primary Productivity) model, we estimated spring NPP for East Asia area
(70 degrees E-1 70 degrees E, 10 degrees N-70 degrees N) from 1982 to 1999, and
by the method of singular value decomposition we further analyzed the coupling
features of spring NPP with precipitation. The result showed that the response
features of NPP to precipitation were mainly embodied within the leading six NPP
precipitation paired-modes. The interpretation rates of the leading six paired
modes to the covariance of NPP-precipitation were 42.91, 23.29, 9.96, 5.60, 5.04
and 3.95%, respectively, and total to 90.75%. The temporal correlation
coefficients of the leading six paired-modes were 0.830, 0.889, 0.841, 0.747,
0.912 and 0.923, respectively, and all the correlations were significant at
significant level of 0.001. In some high latitude regions, there was no obviously
corresponding relationship between NPP and precipitation in the leading two
paired-modes, and the reason of it may be that spring temperature was the main
restricting factor to NPP. In middle and low latitude regions, the effect of
precipitation on NPP was relatively more notable. Nine atmospheric circulation
factors in spring affected the patterns of NPP and precipitation greatly, and the
regions with interpretation rate over 50% shared 60.41 and 65.58% of the whole
study area, respectively.
PMID- 18049908
TI - Molecular assembly of Zn porphyrin complexes using synthetic light-harvesting
model polypeptides.
AB - Synthetic single alpha-helix hydrophobic polypeptides, which have similar amino
acid sequences to the hydrophobic core in the native light-harvesting 1-beta
polypeptide from Rhodobacter sphaeroides, formed Zn porphyrin complexes on a gold
electrode, as well as in n-octyl-beta-glucoside micelles: this process is
dependent on the structure of the pigments and the polypeptides. Interestingly,
an enhanced photoelectric current was observed when Zn mesoporphyrin monomer
complexed with the synthetic light-harvesting model polypeptide in an alpha
helical configuration was assembled with a defined orientation onto the
electrode. Analog of these light-harvesting model complexes are also useful in
providing insights into the effect of polypeptide structure on the formation of
light-harvesting complexes on and off electrodes.
PMID- 18049909
TI - Shenfu injection suppresses apoptosis by regulation of Bcl-2 and caspase-3 during
hypoxia/reoxygenation in neonatal rat cardiomyocytes in vitro.
AB - Shenfu injection (the major components of which are ginsenosides compound,
extract of Panax ginseng shown to have antioxidant properties) is a well-known
important Chinese traditional medicine used for the treatment of various diseases
especial for cardiac diseases. The precise mechanism of the biological actions of
this plant is not fully understood, in order to elucidate the protection of
cardiomyocytes. The aim of the present study was to investigate the effect of
Shenfu injection on hypoxia/reoxygenation (H/R)-induced apoptosis and the
expression of bcl-2 and caspase-3 in cultured neonatal rat cardiomyocytes in
vitro. Ventricular myocytes were isolated from neonatal rat hearts and were
exposed to 4 h of hypoxia followed by 16 h of reoxygenation. The results
indicated that treatment with different doses of Shenfu injection protected
cardiacmyocyte cultures from hypoxia/reoxygenation-induced apoptosis. Caspase-3
activation was decreased in hypoxic/reoxygenationed cardiomyocytes co-treated
with Shenfu injection when compared to hypoxia/reoxygenation alone treated
cultures. Expression of the Bcl-2 proteins was increased in Shenfu injection
treated cardiomyocytes subjected to hypoxia/reoxygenation. In conclusion,
ginsenosides compound has obviously protective effects on cardiacmyocytes against
apoptosis induced by hypoxia/reoxygenation injury, whose mechanisms probably
involve the inhibition of down-regulation of Bcl-2 protein levels and sequential
activation of caspase-3.
PMID- 18049923
TI - Potential ecological and human health impacts of antibiotics and antibiotic
resistant bacteria from wastewater treatment plants.
AB - The occurrence of antibiotics and other pharmaceuticals in the environment has
become an increasing public concern as recent environmental monitoring activities
reveal the presence of a broad range of persistent pharmaceuticals in soil and
water. Studies show that municipal wastewater treatment plants (WWTPs) are
important point sources of antibiotics and antibiotic-resistant bacteria in the
environment. The fate of antibiotics and other pharmaceuticals in WWTPs is
greatly influenced by the design and operation of treatment systems. Because
knowledge on the fate of antibiotics and resistant bacteria in WWTPs is important
in estimating their potential impacts on ecology and human health, investigations
on occurrence, treatment, and observed effects are reviewed in this article. In
addition, human health risk assessment protocols for antibiotic and resistant
bacteria are described. Although data on other pharmaceutical compounds are also
presented, discussion is focused on antibiotics in the environment because of the
potential link to increased emergence of resistance among pathogenic bacteria.
The applications of modern analytical methods that facilitate the identification
of novel transformation products of pharmaceuticals in environmental matrices are
also included to illustrate that the disappearance of the parent pharmaceuticals
in WWTPs does not necessarily equate to their complete removal.
PMID- 18049911
TI - The extracolonic cancer spectrum in females with the common 'South African' hMLH1
c.C1528T mutation.
AB - Hereditary non-polyposis colorectal cancer (HNPCC) is an autosomal dominant
disease, characterized by the occurrence of predominantly colon and endometrial
cancer and, less frequently, cancer of the small bowel, stomach, hepatobiliary
tract, ureter, renal pelvis, ovaries and brain. The phenotypic diversity may
partially be explained by allelic heterogeneity. The aim of this study was to
investigate the frequency of extracolonic cancers in a cohort of females sharing
the same c.C1528T disease-predisposing mutation in the hMLH1 gene. Data on cancer
history were obtained from 87 mutation-positive females and 121 mutation-negative
sisters, as a control group. Testing for microsatellite instability (MSI) and
expression of the wild-type hMLH1 allele was performed on extra-colonic tumour
tissue blocks of mutation-positive individuals. Extracolonic cancer occurred in
14% (12/87) of mutation-positive females vs. 7% (8/121) of mutation-negative
females (P = 0.10). Multiple primary cancers occurred at a significantly higher
incidence in the first group. Breast cancer, which was the most frequent extra
colonic cancer in mutation positive females (53%), occurred at a young age, and
occurred bilaterally in two out of seven cases. Involvement of the hMLH1 gene was
confirmed in five out of seven cases of breast cancer, two cases of endometrial
cancer, one case of ovarian cancer and one case of renal cell carcinoma, by
detecting immunohistochemical compromise of the gene product. Although the study
might not have been adequately statistically powered (to provide a significant P
value), the noteworthy findings in this study include the confirmation of a range
of Lynch II type cancers in a cohort we previously thought was wholly predisposed
to Lynch I features, and a confirmation of breast cancer as part of the spectrum
of Lynch syndrome cancers affecting women.
PMID- 18049924
TI - A review of Thimerosal (Merthiolate) and its ethylmercury breakdown product:
specific historical considerations regarding safety and effectiveness.
AB - Thimerosal (Merthiolate) is an ethylmercury-containing pharmaceutical compound
that is 49.55% mercury and that was developed in 1927. Thimerosal has been
marketed as an antimicrobial agent in a range of products, including topical
antiseptic solutions and antiseptic ointments for treating cuts, nasal sprays,
eye solutions, vaginal spermicides, diaper rash treatments, and perhaps most
importantly as a preservative in vaccines and other injectable biological
products, including Rho(D)-immune globulin preparations, despite evidence, dating
to the early 1930s, indicating Thimerosal to be potentially hazardous to humans
and ineffective as an antimicrobial agent. Despite this, Thimerosal was not
scrutinized as part of U.S. pharmaceutical products until the 1980s, when the
U.S. Food and Drug Administration finally recognized its demonstrated
ineffectiveness and toxicity in topical pharmaceutical products, and began to
eliminate it from these. Ironically, while Thimerosal was being eliminated from
topicals, it was becoming more and more ubiquitous in the recommended
immunization schedule for infants and pregnant women. Furthermore, Thimerosal
continues to be administered, as part of mandated immunizations and other
pharmaceutical products, in the United States and globally. The ubiquitous and
largely unchecked place of Thimerosal in pharmaceuticals, therefore, represents a
medical crisis.
PMID- 18049925
TI - Environmental chemicals: from the environment to food, to breast milk, to the
infant.
AB - Food is a source of exposure to many environmental chemicals found in human milk
and other biological specimens. Ingestion of foods containing high amounts of
animal fat is the main route of human exposure to lipophilic chemicals, such as
persistent organic pollutants, which tend to bioaccumulate in the lipid
compartment. Bioaccumulation results in increased exposure of these chemicals for
humans, but particularly to breastfeeding infants, who are at the top of the food
chain. The extent to which food contributes to a person's overall exposure
depends on individual dietary habits and the concentrations of chemical residues
in the food. These, in turn, are affected by (1) application methods, (2)
properties and amounts of the chemical, and (3) preparation, handling, and the
properties of the food. Once the food is ingested by the lactating woman, the
chemical's pharmacokinetics and the transport mechanisms producing the movement
of solutes across mammary alveolar cells determine the passage of chemicals from
the blood to the milk. Thus, several factors affect the presence in human milk of
environmental chemicals from dietary sources.
PMID- 18049926
TI - The occurrence and incorporation of copper and zinc in hair and their potential
role as bioindicators: a review.
AB - This article reviews evidence that suggests Cu and Zn concentrations are not
altered significantly by exogenous processes and may be useful in applications of
hair analysis. The review attempts to identify what Cu and Zn concentrations may
actually indicate biogenically and investigates the mechanisms by which they are
incorporated into hair. Associations with specific hair components are proposed
and avenues for development as a bioindicator are identified. Areas of research
that offer promise in application or confirming the use of Cu and Zn are also
indicated. Correlations and relationships with other health disorders are
reviewed. Endogenous blood concentrations may also explain alterations in hair
structure relating to breast cancer.
PMID- 18049927
TI - Noncholinesterase effects induced by organophosphate pesticides and their
relationship to cognitive processes: implication for the action of acylpeptide
hydrolase.
AB - Organophosphate pesticides have been classically described as inhibitors of
acetylcholinesterase (AChE) activity in insects and invertebrates. However, there
is now more evidence supporting the hypothesis that these compounds also act
through noncholinergic pathways, especially those related to cognitive processes.
The enzyme acylpeptide hydrolase was identified as a new target for
organophosphate pesticides. This enzyme is more sensitive than AChE to some
organophosphates (OP), including dichlorvos, which is the parent compound for
metrifonate, a therapeutic agent used in the treatment of cognitive impairment
associated to Alzheimer's disease. Therefore, there is some doubt as to whether
the mechanism of action of this drug is mediated by a potentiation of cholinergic
transmission. However, the direct action of acylpeptide hydrolase in cognitive
processes and the physiological and molecular mechanisms underlying subacute
exposure to OP have yet to be demonstrated. This review deals with evidence
demonstrating the existence of mechanisms of actions of OP, which are independent
of cholinergic pathway potentiation and which have an effect on cognitive
processes. In addition, the possible participation of the enzyme acylpeptide
hydrolase in these processes is also discussed. Finally, the possibility of using
this enzyme activity as a new biomarker for exposure to OP is considered.
PMID- 18049928
TI - A tribute to the legacy of John Bowlby at the centenary of his birth.
PMID- 18049929
TI - Babies and toddlers in non-parental daycare can avoid stress and anxiety if they
develop a lasting secondary attachment bond with one carer who is consistently
accessible to them.
AB - Babies and toddlers will have their attachment seeking response activated in the
absence of the primary or a secondary attachment figure when they are in the
presence of a stranger and in unfamiliar surroundings. Between the ages of about
6 months and 30 months, babies and toddlers can only terminate their attachment
seeking response by reaching proximity to an attachment figure, and unless this
can be achieved their attachment seeking response will remain unterminated. This
is the experience of many babies and toddlers each day during certain forms of
non-parental daycare. Day-care without access to a secondary attachment figure is
more likely to be the case in group settings such as day-nurseries, than when
care is provided by an individual carer such as a childminder, nanny, or
grandmother, who is more likely to be a secondary attachment figure. This paper
discusses the likelihood of babies and toddlers being able to terminate their
attachment seeking response during different forms of non-parental daycare, and
discusses some of the psychological defence processes (including dissociation),
that may be activated when the attachment seeking response remains unterminated
throughout the day. This paper briefly examines a model of non-parental daycare
that actively promotes and monitors long-term secondary attachment bonds between
baby and carer.
PMID- 18049930
TI - Attachment theory and John Bowlby: some reflections.
AB - The 100th anniversary of Edward John Mostyn Bowlby's birth (February 26th, 1907)
was celebrated at the Tavistock Clinic in London by his family and colleagues,
with presentations of ongoing research as well as reflections on both the person
and his theory. My own reflections include the influence of ethological thinking
on the development of attachment theory, Bowlby's focus on observations followed
by explanation, his appreciation of emotional communication as well as behavior,
and his recognition of the role of the family as well as the child/caregiver
dyad. While always remaining open to new avenues of research, John Bowlby was
firmly insistent on the precise use of attachment terminology, and quite rightly
too!
PMID- 18049931
TI - John Bowlby and couple psychotherapy.
AB - The centenary of John Bowlby's birth provides a context for considering the
policy, research and practice legacies that he left for practitioners working in
many different fields supporting couples and families. Part historical, and part
forwardlooking, this paper considers the links between attachment in the infant
parent dyad that was at the heart of Bowlby's concern and the nature of the
affective ties that bind couples together in adult romantic relationships. An
overview of the influence of his theory on family policy and adult attachment
research is followed by an appreciation of its significance for the practice of
couple psychotherapy. The paper concludes with a comment on the implications of
current neuroscience knowledge for therapeutic technique.
PMID- 18049932
TI - John bowlby at the Tavistock.
AB - Bowlby's best know work at the Tavistock Clinic is his foundational research into
attachment relationships. This paper describes his other significant
contributions, as a clinician interested in family dynamics and the impact of
real events in the genesis of childhood anxieties, and as an institution builder
in his role in establishing a psychoanalytically based training in Child
Psychotherapy oriented towards public health.
PMID- 18049933
TI - Accentuating the positive in adult attachments.
AB - This paper proposes that attachment theory, with its emphasis on stability and
security, accentuates the positive aspects of affectional relationships and
suggests a way to look at the process of adult psychotherapy. Attachment-based
research has shown that positive attachment experiences are related to feelings
of joy, comfort, and contentment throughout life. In contrast, experiences that
are hurtful or traumatic, and especially if they are chronic or repeated, can
have negative effects on thoughts and emotions as well as the body. In applying
these findings to psychotherapy, the role of the therapist can be seen as
providing a positive emotional experience within which to examine and gain a new
perspective on the origins and development of distress. Through therapy, the
opportunity to experience a relationship of secure attachment enhances
psychological and physical well-being and the capacity to make and maintain
lasting affectional bonds with others.
PMID- 18049934
TI - A sibling adoption study of adult attachment: the influence of shared environment
on attachment states of mind.
AB - This study extends existing research investigating sibling concordance on
attachment by examining concordance for adult attachment in a sample of 126
genetically unrelated sibling pairs. The Adult Attachment Interview (George,
Kaplan, & Main, 1985; Main, Goldwyn, & Hesse, 2003) was used to assess states of
mind with regard to attachment. The average age of the participants was 39 years
old. The distribution of attachment classifications was independent of adoptive
status. Attachment concordance rates were unassociated with gender concordance
and sibling age difference. Concordance for autonomous/non-autonomous
classifications was significant at 61% as was concordance for primary
classifications at 53%. The concordance rate for not-unresolved/unresolved was
non-significant at 67%. Our findings demonstrate similarity of working models of
attachment between siblings independent of genetic relatedness between siblings
and generations (i.e., parent and child). These findings extend previous research
by further implicating shared environment as a major influence on sibling
similarities on organized patterns of attachment in adulthood. The non
significant concordance for the unresolved classification suggests that
unresolved loss or trauma may be less influenced by shared environment and more
likely to be influenced by post-childhood experiences or genetic factors.
PMID- 18049935
TI - Maternal secure base support and preschoolers' secure base behavior in natural
environments.
AB - Bowlby and Ainsworth's theory of attachment poses that concurrent caregiving
behavior is a key factor in influencing and maintaining a child's organization of
secure-base behavior, and ultimately, security throughout childhood. Empirical
demonstrations of the relation between the constructs after infancy are
relatively scant and research is needed to examine the relation between the
variables across a wide range of contexts, over longer observational periods, and
in developmentally appropriate ways. Two studies of preschoolers and their
mothers were conducted in naturalistic settings. Fifty child-mother middle-class
dyads, predominantly Caucasian, participated in Study 1 and 40 in Study 2. The
mean age for children was 52 months (Study 1) and 36 months (Study 2). In Study
1, a home and a playground visits were conducted. In Study 2, two home and a
playground visits were conducted. Observers used the Maternal Behavior for
Preschoolers Q-Set to provide age-relevant descriptions of maternal behavior, and
the Attachment Q-Set to provide descriptions of child behavior. Overall, findings
indicated that maternal secure base support was significantly related to the
organization of child secure base behavior (r = .31 and .49 for Study 1 and Study
2, respectively). Results are discussed in terms of the importance of specifying
caregiving domains and contexts of assessments, and their implications for
attachment theory.
PMID- 18049936
TI - A decade of swings and arrows.
PMID- 18049938
TI - Influence of menopause on mood: a systematic review of cohort studies.
AB - OBJECTIVE: This systematic evidence review evaluates the independent influence of
the menopausal transition on mood including depression, anxiety, and other
psychological symptoms. METHODS: Community-based, prospective cohort studies of
mid-life women transitioning through menopause that assessed at least one mood
symptom on two or more occasions were identified by searches of MEDLINE (1966
2007) and PsycINFO (1974-2007) databases. Articles were selected based on
predetermined inclusion and exclusion criteria. Each study was quality-rated by
three authors; poor quality studies were excluded. RESULTS: Nine studies met
inclusion criteria. They varied broadly in design, outcome measures, statistical
methodology, and in consideration of and adjustment for important confounders.
Five found no association between the menopausal transition and depression,
negative mood, major depressive disorder, other psychological symptoms, and
general mental health. Three found that women entering or completing the
menopausal transition were more likely than premenopausal women to be depressed.
One found that well-being increased from the early to late menopausal transition.
CONCLUSION: There is no demonstrated pattern of an adverse independent influence
of the menopausal transition on mood symptoms in mid-life women. However, the
available studies are too methodologically diverse to be definitive.
PMID- 18049939
TI - False alarm: postmenopausal hormone therapy and ovarian cancer.
AB - BACKGROUND: In a follow-up study of 948,576 women, based on respective relative
risk (RR) estimates of 1.23 and 1.20 for incident and fatal ovarian cancer among
current users of postmenopausal hormone therapy (HT), the Million Women Study
investigators have estimated that, since 1991, HT has resulted in 1300 additional
cases and 1000 deaths. CRITIQUE: The association was almost entirely confined to
hysterectomized women, some of whom would not have been at risk because their
ovaries had been removed; the findings in that group were uninterpretable. Among
non-hysterectomized women, the RR was 1.12 and compatible with chance. The
response rate to a follow-up questionnaire was only 64%, and HT-exposed women who
developed ovarian cancer may selectively have responded. The risk of ovarian
cancer was no longer increased once women stopped using HT - an effect that was
pathologically and clinically incompatible with causation. Symptoms of as yet
undiagnosed ovarian cancer may have 'caused' HT use, rather than the reverse. The
histological classification of the tumors was not centrally adjudicated. A meta
analysis of nine studies of current HT use, for which the aggregated RR was 1.28,
was acknowledged by the investigators to be defective. CONCLUSIONS: Only the
findings among non-hysterectomized women were to some limited extent
interpretable and, among them, there was virtually no evidence to suggest that
current HT use increases the risk of ovarian cancer. It follows that the
estimated numbers of additional cases of incident and fatal ovarian cancer that
were attributed to HT use were spurious, and arbitrary extrapolation back to
1991, which was many years before the Million Women Study, had no scientific
rationale.
PMID- 18049940
TI - Individual differences in equol production capability modulate blood pressure in
tibolone-treated postmenopausal women: lack of effect of soy supplementation.
AB - OBJECTIVES: Equol, a gut bacterial metabolite of the isoflavone daidzein, has
been associated with beneficial health effects. Recent studies indicate that
women with intestinal capacity to convert daidzein to equol also have the
capacity to alter steroid metabolism and bioavailability of estrogens. METHODS:
We evaluated whether individual equol production capability, while not consuming
soy supplement, was associated with lower blood pressure in postmenopausal women
using tibolone. In addition, in a randomized, placebo-controlled, cross-over
trial we assessed the effect of soy supplementation on blood pressure in both
equol-producing (n = 20) and non-equol-producing (n = 20) women using tibolone.
Blood pressure was recorded with a validated oscillometric technique. RESULTS:
The circulating equol levels rose 20-fold in the equol producers and 1.9-fold in
the non-equol producers. At baseline, systolic blood pressure (129.9 +/- 2.6 vs.
138.5 +/- 3.1 mmHg, p = 0.02), diastolic blood pressure (72.2 +/- 1.5 vs. 76.6 +/
1.3 mmHg, p = 0.01) and mean arterial blood pressure (93.5 +/- 1.7 vs. 99.9 +/-
1.8 mmHg, p = 0.007) were lower in equol producers compared to non-equol
producers. Soy supplementation had no effect on blood pressure in either group,
whereas the baseline differences persisted. CONCLUSIONS: Postmenopausal women
using tibolone characterized as equol producers had lower blood pressure compared
to non-equol producers. Soy supplementation for 2 months had no blood pressure
lowering effect.
PMID- 18049941
TI - The influence of smoking on uterine bleeding during continuous and interrupted
oral hormone therapy.
AB - OBJECTIVE: To study the influence of smoking on uterine bleeding patterns during
continuous and interrupted oral hormone therapy (HT). METHODS: Using a post-hoc
strategy, we included five oral HT groups from three studies. The therapies
consisted of continuous estrogen (estradiol, estradiol valerate or piperazine
estrone sulfate) in combination with continuous progestogen (cyproterone acetate,
gestodene or norethisterone acetate) or in combination with interrupted
progestogen (norethisterone) given on days 4-6, 10-12, 16-18, 22-24 and 28-30. A
total of 145 healthy postmenopausal women (54 smokers and 91 non-smokers), who
had been followed for 2 years, were included in the analyses. Uterine bleeding
data were collected from bleeding calendars. RESULTS: In general, smoking women
experienced significantly less days with uterine bleeding per cycle than non
smoking women during continuous and interrupted HT (0.53 +/- 0.1 vs. 1.6 +/- 0.1;
p < 0.001). Smoking women were also more likely than non-smoking women to be
amenorrheic during these therapies (48.2% vs. 29.7%; p < 0.05). Finally, more
smoking than non-smoking women attained amenorrhea during HT (94.4% vs. 76.9%p <
0.01). CONCLUSIONS: In healthy postmenopausal women, smoking may reduce uterine
bleeding during interrupted and continuous HT regimens containing a broad
selection of estrogens and progestogens. Further study with appropriate
stratification for smoking status is warranted.
PMID- 18049942
TI - Moderate alcohol consumption contributes to women's well-being through the
menopausal transition.
AB - OBJECTIVES: To examine the association of alcohol consumption with well-being in
mid-aged Australian women, taking into account other lifestyle factors. METHODS:
A prospective, observational study was carried out among 438 urban Australian
women aged 45-55 years at baseline. They were assessed annually for 8 years using
a questionnaire on health and lifestyle, including self-ratings of well-being and
daily 'hassles'. Statistical analyses were performed using cluster and repeated
measures analyses. RESULTS: About 80% of the women had consumed alcohol in the
week preceding the interview. Alcohol intake was weakly associated with well
being overall (p = 0.094). Women who consumed alcohol at moderate levels had
higher well-being scores than non-drinkers or heavy drinkers, however, provided
they were also non-smokers and exercised weekly or more (p for interaction =
0.023) (21% of all study participants). Everyday symptoms and stresses had a
negative impact on well-being (all p values < 0.001). CONCLUSIONS: Among women
undergoing menopausal transition, well-being is positively associated with
moderate alcohol consumption along with other lifestyle factors in a synergistic
fashion, rather than any individual factor having a dominant or an independent
effect.
PMID- 18049943
TI - Raloxifene, soy phytoestrogens and endothelial function in postmenopausal women.
AB - OBJECTIVE: To compare the effects of raloxifene and soy phytoestrogens on
endothelial function in healthy, postmenopausal women. DESIGN: Randomized, double
blind, placebo-controlled, cross-over trial. Subjects (n = 22; mean age 58.5
years) underwent endothelial function testing at baseline and following 6 weeks
of daily raloxifene 60 mg, soy phytoestrogens 55 mg, and placebo in random
sequence with intervening 6-week wash-out periods. Endothelial function was
assessed as flow-mediated vasodilatation (FMD) of the brachial artery using high
resolution ultrasound; digital flux was measured with laser Doppler velocimetry.
RESULTS: Baseline (pretreatment) FMD was almost within normal range at 9.6% (+/
6.4). FMD did not change from baseline within any treatment group, and no between
group differences were detected. FMD values following treatment with raloxifene,
soy, and placebo were 10.3% (+/-12.3), 8.3% (+/-7.7), and 9.5% (+/-4.4),
respectively. Area under curve ratios showed no treatment differences for digital
velocimetry. CONCLUSIONS: In this study, neither raloxifene nor soy enhanced
endothelial function in postmenopausal women. However, the cohort had relatively
normal endothelial function at baseline. Further study is required to determine
if particular subgroups of postmenopausal women derive vascular benefit from the
use of selective estrogen receptor modulators or soy phytoestrogens.
PMID- 18049944
TI - Management of cardiovascular risk in the perimenopausal women: a consensus
statement of European cardiologists and gynecologists.
AB - Cardiovascular risk is poorly managed in women, especially during the menopausal
transition when susceptibility to cardiovascular events increases. Clear gender
differences exist in the epidemiology, symptoms, diagnosis, progression,
prognosis and management of cardiovascular risk. Key risk factors that need to be
controlled in the perimenopausal woman are hypertension, dyslipidemia, obesity
and other components of the metabolic syndrome, with the avoidance and careful
control of diabetes. Hypertension is a particularly powerful risk factor and
lowering of blood pressure is pivotal. Hormone replacement therapy is
acknowledged as the gold standard for the alleviation of the distressing
vasomotor symptoms of the menopause, but the findings of the Women's Health
Initiative (WHI) study generated concern for the detrimental effect on
cardiovascular events. Thus, hormone replacement therapy cannot be recommended
for the prevention of cardiovascular disease. Whether the findings of WHI in
older postmenopausal women can be applied to younger perimenopausal women is
unknown. It is increasingly recognized that hormone therapy is inappropriate for
older postmenopausal women no longer displaying menopausal symptoms. Both
gynecologists and cardiovascular physicians have an important role to play in
identifying perimenopausal women at risk of cardiovascular morbidity and
mortality, and should work as a team to identify and manage risk factors, such as
hypertension.
PMID- 18049946
TI - Childhood anxiety sensitivity index factors predict unique variance in DSM-IV
anxiety disorder symptoms.
AB - Anxiety sensitivity (AS) is an established cognitive risk factor for anxiety
disorders. In children and adolescents, AS is usually measured with the Childhood
Anxiety Sensitivity Index (CASI). Factor analytic studies suggest that the CASI
is comprised of 3 lower-order factors pertaining to Physical, Psychological and
Social Concerns. There has been little research on the validity of these lower
order factors. We examined the concurrent and incremental validity of the CASI
and its lower-order factors in a non-clinical sample of 349 children and
adolescents. CASI scores predicted symptoms of DSM-IV anxiety disorder subtypes
as measured by the Spence Children's Anxiety Scale (SCAS) after accounting for
variance due to State-Trait Anxiety Inventory scores. CASI Physical Concerns
scores incrementally predicted scores on each of the SCAS scales, whereas scores
on the Social and Psychological Concerns subscales incrementally predicted scores
on conceptually related symptom scales (e.g. CASI Social Concerns scores
predicted Social Phobia symptoms). Overall, this study demonstrates that there is
added value in measuring AS factors in children and adolescents.
PMID- 18049945
TI - Cognitive factors that maintain social anxiety disorder: a comprehensive model
and its treatment implications.
AB - Social anxiety disorder (SAD) is a common, distressing and persistent mental
illness. Recent studies have identified a number of psychological factors that
could explain the maintenance of the disorder. These factors are presented here
as part of a comprehensive psychological maintenance model of SAD. This model
assumes that social apprehension is associated with unrealistic social standards
and a deficiency in selecting attainable social goals. When confronted with
challenging social situations, individuals with SAD shift their attention toward
their anxiety, view themselves negatively as a social object, overestimate the
negative consequences of a social encounter, believe that they have little
control over their emotional response, and view their social skills as inadequate
to effectively cope with the social situation. In order to avoid social mishaps,
individuals with SAD revert to maladaptive coping strategies, including avoidance
and safety behaviors, followed by post-event rumination, which leads to further
social apprehension in the future. Possible disorder-specific intervention
strategies are discussed.
PMID- 18049947
TI - A quantitative estimate of schema abnormality in socially anxious and non-anxious
individuals.
AB - Although cognitive theories of anxiety suggest that anxious individuals are
characterized by abnormal threat-relevant schemas, few empirical studies have
estimated the nature of these cognitive structures using quantitative methods
that lend themselves to inferential statistical analysis. In the present study,
socially anxious (n = 55) and non-anxious (n = 62) participants completed 3 Q
Sort tasks to assess their knowledge of events that commonly occur in social or
evaluative scenarios. Participants either sorted events according to how commonly
they personally believe the events occur (i.e. "self" condition), or to how
commonly they estimate that most people believe they occur (i.e. "other"
condition). Participants' individual Q-Sorts were correlated with mean sorts
obtained from a normative sample to obtain an estimate of schema abnormality,
with lower correlations representing greater levels of abnormality. Relative to
non-anxious participants, socially anxious participants' sorts were less strongly
associated with sorts of the normative sample, particularly in the "self"
condition, although secondary analyses suggest that some significant results
might be explained, in part, by depression and experience with the scenarios.
These results provide empirical support for the theoretical notion that threat
relevant self-schemas of anxious individuals are characterized by some degree of
abnormality.
PMID- 18049948
TI - Differences in inter-rater reliability and accuracy for a treatment adherence
scale.
AB - Inter-rater reliability and accuracy are measures of rater performance. Inter
rater reliability is frequently used as a substitute for accuracy despite
conceptual differences and literature suggesting important differences between
them. The aims of this study were to compare inter-rater reliability and accuracy
among a group of raters, using a treatment adherence scale, and to assess for
factors affecting the reliability of these ratings. Paired undergraduate raters
assessed therapist behavior by viewing videotapes of 4 therapists' cognitive
behavioral therapy sessions. Ratings were compared with expert-generated
criterion ratings and between raters using intraclass correlation (2,1). Inter
rater reliability was marginally higher than accuracy (p = 0.09). The specific
therapist significantly affected inter-rater reliability and accuracy. The
frequency and intensity of the therapists' ratable behaviors of criterion ratings
correlated only with rater accuracy. Consensus ratings were more accurate than
individual ratings, but composite ratings were not more accurate than consensus
ratings. In conclusion, accuracy cannot be assumed to exceed inter-rater
reliability or vice versa, and both are influenced by multiple factors. In this
study, the subject of the ratings (i.e. the therapist and the intensity and
frequency of rated behaviors) was shown to influence inter-rater reliability and
accuracy. The additional resources needed for a composite rating, a rating based
on the average score of paired raters, may be justified by improved accuracy over
individual ratings. The additional time required to arrive at a consensus rating,
a rating generated following discussion between 2 raters, may not be warranted.
Further research is needed to determine whether these findings hold true with
other raters and treatment adherence scales.
PMID- 18049949
TI - Hierarchical model of vulnerabilities for emotional disorders.
AB - Clark and Watson's (1991) tripartite model of anxiety and depression has had a
dramatic impact on our understanding of the dispositional variables underlying
emotional disorders. More recently, calls have been made to examine not simply
the influence of negative affectivity (NA) but also mediating factors that might
better explain how NA influences anxious and depressive syndromes (e.g. Taylor,
1998; Watson, 2005). Extending preliminary projects, this study evaluated two
hierarchical models of NA, mediating factors of anxiety sensitivity and
intolerance of uncertainty, and specific emotional manifestations. Data provided
a very good fit to a model elaborated from preliminary studies, lending further
support to hierarchical models of emotional vulnerabilities. Implications for
classification and diagnosis are discussed.
PMID- 18049951
TI - Sprouty gene expression is regulated by nerve and FGF6 during regeneration of
mouse muscles.
AB - Sprouty (Spry) proteins were identified as negative regulators of fibroblast
growth factor (FGF) signaling in vertebrates and invertebrates. Given the
importance of the FGFs in myogenesis, we performed cardiotoxin injury-induced
regeneration experiments on soleus muscles of both, adult control and FGF6 ( - /
) mutant mice and analyzed the accumulation of Spry (1, 2 and 4) transcripts
using semi-quantitative and real-time RT-PCR assays and in situ hybridization. We
also analyzed the effects of muscle denervation on the accumulation of Spry
transcripts. The three Spry genes begin to be expressed as early as the first
stages of muscle regeneration and are characterized by distinct expression
patterns. Moreover, Spry gene expression was highly and differentially up
regulated, precociously by the lack of FGF6, and belatedly by muscle denervation
strongly suggesting that the transient rise of Spry mRNA accumulation was
associated to muscle differentiation. Rescue experiments supported the idea of a
specific relationship between FGF6 and Spry 2, both being known for their
particular involvement in myogenesis.
PMID- 18049950
TI - Human brain endothelial cells (HUBEC) promote SCID repopulating cell expansion
through direct contact.
AB - The objective of this study was to re-evaluate the previously published
hematopoietic stem cell (HSC) expansion work using human brain endothelial cells
(HUBEC). The expansion effect of contact and non-contact conditions was reported
to be equivalent by others. However, we report here different results that the
expansion can be achieved only with direct contact. We co-cultured human CD34+
cells with and without HUBEC contact for seven days with cytokines and the
readouts were CD34+ / CD38 - phenotype and SCID repopulating cell (SRC)
frequency. Also tested was the inhibitory effect of Wnt receptor inhibitor Dkk-1
on HUBEC contact ex vivo expansion; whether an increased expression of Wnt3
occurs on the HUBEC surface; and detection of an increased nuclear localization
of beta-catenin in CD34+ / CD38- cells in HUBEC contact culture condition. We
conclude that the successful expansion by HUBEC contact culture is a candidate
explanation based on the Wnt family protein, possibly Wnt3, expression on HUBEC.
PMID- 18049952
TI - Disease-related quantitation of TGF-beta3 in human aqueous humor.
AB - TGF-beta3 has been implicated in the pathology of ocular diseases, but its
concentration in human aqueous humor has never been assessed. In this study, we
established an enzyme-linked immunosorbent assay (ELISA) for TGF-beta3 and
quantitated it in aqueous humor collected from patients with pseudoexfoliation
syndrome (PE), primary open angle glaucoma, chronic angle closure glaucoma and
cataract (as the control). To develop the TGF-beta3 ELISA, we screened antibodies
to identify the best combination, validated the assay for aqueous humor, and
optimized the procedure for preparing activated TGF-beta3. As a result, our ELISA
was highly selective and reproducible. Using our ELISA, we discovered a
significantly elevated concentration of TGF-beta3 in PE eyes. We also developed
new TGF-beta1 and -beta2 ELISAs, and were able, for the first time, to quantitate
all the TGF-beta isoforms in the aqueous humor from a single eye, to assess their
proportional effects on the pathogenesis of ocular diseases.
PMID- 18049953
TI - De novo design of beta-helical polypeptides.
AB - Many proteins, including several growth factor receptors such as the IGF-1R and
EGFR family, contain variants of the beta-helix fold. Inspection of the irregular
protein beta-helices suggested that different families of regular beta-helical
polypeptides can be designed using a series of hinged vectors and the constraints
imposed by the geometry of a peptide backbone. We have conceived beta-helices
with five and six beta-strands per turn and designed, in detail, a series of
regular beta-helices with rhomboidal or triangular cross-sections. Each beta
helix was modeled by threading C(alpha) atoms to follow the vectorial beta-helix
and then creating the H-bonded polypeptide backbone and appropriate side-chain
orientations. The conformational stability of these regular beta-helices was
assessed using molecular dynamics simulations. Several potential repeat amino
acid sequences were identified for different geometries of beta-helix. Regular
beta-helices offer new possibilities for the study of protein folding, the
production of nanofibers, catalysts, inhibitors of growth factor receptors and
drug carriers.
PMID- 18049954
TI - Effects of platelet factors on biodegradation and osteogenesis in metaphyseal
defects filled with nanoparticular hydroxyapatite--an experimental study in
minipigs.
AB - There are no studies on the cellular activity in the early phase of
biodegradation and bone healing of bone substitutes loaded with platelet factors
(PLF). The purpose of this study was to evaluate the cellular effects of PLF in
combination with nanoparticulate hydroxyapatite (HA) on the biodegradation and
bone formation after 20 days. Autogenous PLFs were obtained by centrifugation of
miniature pig blood samples and subsequent degranulation of platelets by calcium
and thrombin. A cylindrical bone defect with a diameter of 8.9 mm was created in
the distal femoral condyle of 20 miniature pigs. Four of the defects were left
empty, 8 were filled with HA with loading and 8 with HA loaded with PLF. The
distal femur was harvested after 20 days and TRAP-staining, cathepsin-K and CD44
staining and scanning electron microscopy were performed for cellular assessment
of biodegradation was done. Histomorphometry of new bone formation and of
biodegradation of HA material was performed. PLF loading of HA led to
statistically significant more TRAP-positive cells with enhanced biodegradation
of the nanoparticulate HA but no statistically enhanced new bone formation
compared to unloaded HA. Furthermore, there was a higher number of CD44 and
cathepsin-K positive cells by PLF-loading. In summary, PLF led to stimulation of
the cellular process of the biodegradation of HA.
PMID- 18049955
TI - Impact of obesity on female reproductive health: British Fertility Society,
Policy and Practice Guidelines.
AB - Obesity has a significant adverse impact on reproductive outcome. It influences
not only the chance of conception but also the response to fertility treatment,
and increases the risk of miscarriage, congenital anomalies and pregnancy
complications in addition to potential adverse effects on long term health of
both mother and infant. Women should aim for a normal BMI before starting any
form of fertility treatment. Treatment should be deferred until the BMI is less
than 35 kg/m2, although in those with more time (e.g., less than 37 years; normal
serum FSH concentration) a weight reduction to a BMI of less than 30 kg/m2 is
preferable. Clinicians should consider deferring treatment to women outside these
guidelines. Women should be provided with assistance to lose weight, including
psychological support, dietary advice, exercise classes and where appropriate,
weight reducing agents or bariatric surgery. Even a moderate weight loss of 5-10%
of body weight can be sufficient to restore fertility and improve metabolic
markers.
PMID- 18049956
TI - Regulation of female fertility by the endocannabinoid system.
AB - The role of endocannabinoids in mammalian reproduction is an emerging concept.
Cannabinoids have been always identified as being harmful drugs, because of their
negative effects on female reproduction. The discovery of endocannabinoids,
endogenous lipids that bind to cannabinoid receptors, and of their involvement in
procreation permitted better understanding of the significance of
cannabinoid/endocannabinoid signalling in fertilization, preimplantation embryo
development, implantation and postimplantation embryonic growth. These studies
have also opened new perspectives in clinical applications, pointing to
endocannabinoid signalling as a new target for correcting infertility, and for
improving reproductive health in humans. This review will present
endocannabinoids, their target receptors and metabolic enzymes, and will discuss
the involvement of these bioactive lipids in female mammalian reproduction.
PMID- 18049957
TI - Infertility and its management in men with cystic fibrosis: review of literature
and clinical practices in the UK.
AB - Cystic fibrosis (CF) is the most common life-shortening autosomal recessive
disorder of Caucasians. Most of the men with CF (>95%) have congenital bilateral
absence of vas deferens (CBAVD), which makes them infertile. However, with
advances in assisted reproductive techniques, it is now potentially possible for
these patients to father their own biological children. Spermatozoa may be
retrieved from either the epididymis or the testes and combined in vitro with
oocytes retrieved from the female partner. Epididymal sperm may be collected
either by microsurgical or percutaneous epididymal sperm aspiration. It is
important to remember that when assisted reproductive techniques are used for
such patients, there is the inevitability of transmitting a mutated cystic
fibrosis transmembrane (CFTR) gene, which increases the risk of producing an
affected child and can have serious long-term implications. It is therefore
mandatory to offer genetic counselling to the men with CF (and CBAVD) and their
partners before carrying out assisted reproductive techniques. In the literature,
there are a very few studies on fertility treatment of these men. However, even
though the reported number of live births in men with CF is small, given the
current technology, there are definitely more opportunities for these men to
become parents.
PMID- 18049958
TI - Anonymity and openness and the recruitment of gamete donors. Part 2: Oocyte
donors.
AB - This invited review paper, the last in a series of two, presents an overview of
the research evidence concerning oocyte donors' views on anonymity and openness.
In the period from 2000 to the present, nine such studies that appeared in
referred journals were located. This research shows that many donors have been
recruited as personal or known donors, and that many of those who were recruited
as anonymous donors were willing to be identified to offspring in the future.
Research from studies of potential donors is presented, and this also indicates
that recruitment of identifiable donors is possible. The implications of this
research evidence, particularly as it relates to professional and clinic
attitudes, the motivations of donors, the demographics of those donors who are
prepared to be identifiable, and to meeting the needs of donors is highlighted
and used as the basis for presenting a strategic approach to the recruitment of
gamete donors--both sperm and oocyte.
PMID- 18049961
TI - Development and evaluation of Mandarin disyllabic materials for speech audiometry
in China.
AB - The purpose of this study is to develop and evaluate disyllabic Mandarin speech
test materials (MSTMs) in order to facilitate wider use of speech audiometry in
Chinese audiology clinics. Phonologically balanced Mandarin disyllabic materials
with high familiarity were designed based on the basic rules for developing
speech materials and the particular characteristics of Mandarin, and recorded
digitally. In order to establish the validity and reliability of these Mandarin
disyllabic materials, equivalence of difficulty between the word lists was
evaluated for a group of 60 subjects (age-range 18-25 years) with normal hearing.
Subsequently, performance-intensity (PI) functions were measured in a group of 30
subjects with normal hearing (age-range 18-25 years), and a group of 35 subjects
with sensineural hearing loss. The nine lists of Mandarin disyllabic materials
were found to have sufficient reliability and validity to be used in clinical
situations.
PMID- 18049962
TI - Prevalence of hearing impairment in an adult population in Southern Taiwan.
AB - The objective of this study was to estimate the prevalence of hearing impairment
in a representative adult population in southern Taiwan and compare the results
to those of similar studies in other countries. A stratified systematic cluster
sample of 1140 residents, aged > or =20 years, of Tainan City was studied from
2001 to 2003. The test battery included otoscopy, pure-tone audiometry, and a
questionnaire covering relevant personal, occupational, and family history. The
hearing threshold level (HTL) was defined as the better ear pure-tone average
(BPTA) (i.e. the average of hearing thresholds at frequencies 500, 1000, 2000,
and 4000 Hz). The prevalence of hearing impairment was 21.4% (95% confidence
interval: 19.3-23.7%) at BPTA > or =25 dB HTL. Middle ear disease was a
significant risk factor for hearing impairment in addition to age and gender. The
overall prevalence of hearing impairment may be higher in Taiwan (17.1%) than in
western populations (11.5%), but differences in the definition of hearing
impairment severity and variation in sex distribution among studies may account
for this higher prevalence.
PMID- 18049963
TI - Presbycusis among older Chinese people in Taipei, Taiwan: a community-based
study.
AB - The purpose of this study was to estimate the prevalence and severity of
presbycusis in older Chinese people in Taipei, Taiwan. Pure-tone audiometry and a
questionnaire were administered to a randomly-recruited cohort of people > 65
years old (n=1221) from a community in Taipei. The study cohort showed pure-tone
thresholds worsening, especially at frequencies >2 kHz, with increasing age. The
mean pure-tone average at speech frequencies (0.5, 1, and 2 kHz) of the better
ear of subjects stratified by five-year age groups ranged from 34.9 dB hearing
level (HL) to 46.4 dB HL. The pure-tone average at speech frequency in women was
slightly higher than that in men in all age groups. The prevalence of presbycusis
(M3 > or = 55 dBHL) was 1.6% (65-69 years), 3.2% (70-74 years), 7.5% (75-79
years), and 14.9% (> or =80 years). Persistent tinnitus was present in 13.9% of
subjects, and 18.8% of subjects had a history of vertigo. Of subjects with a
clinically evident hearing impairment (M3 > or = 55 dB HL), 18.4% used hearing
aids. These data provide estimates of the prevalence and severity of presbycusis
in community-dwelling older persons in Taiwan.
PMID- 18049964
TI - Toward a standard description of hearing loss.
AB - Hearing losses are frequently described by categories that characterize the
configuration, severity, and site of lesion from a pure-tone audiogram. Although
many category descriptors are in common use, there are no standard definitions of
those terms, nor have the category definitions been validated against current
clinical practice. The development and validation of AMCLASStrade mark is
described. To validate the classification method, five expert judges selected
configuration, severity, and site of lesion categories for 231 audiograms that
varied widely in audiometric configuration. Interjudge comparisons indicated that
expert judges frequently disagree on how they describe an audiogram. Category
definitions were adjusted to maximize agreement between AMCLASStrade mark and the
consensus of the judges. The final set of category definitions produced
categories that agreed with the consensus more often than the average agreement
between pairs of judges.
PMID- 18049965
TI - Development of a hearing aid self-efficacy questionnaire.
AB - Discontinued hearing-aid use is caused by a number of factors, most of which may
lead to low hearing-aid self-efficacy (i.e. low confidence in one's ability to B
a successful hearing-aid user). This paper describes the development of the
Measure of Audiologic Rehabilitation Self-Efficacy for Hearing Aids (MARS-HA),
which was constructed in accordance with published recommendations for self
efficacy questionnaire development. The psychometric properties of the MARS-HA
were evaluated with new and experienced hearing-aid users. The results revealed
strong internal consistency and good test-retest reliability in both groups, with
the following subscales identified both for the new users and the experienced
users: (1) basic handling, (2) advanced handling, (3) adjustment to hearing aids,
and (4) aided listening skills. Validity was established through the examination
of expected differences based on group comparisons, training effects, and the
impact of particular hearing aid features. The MARS-HA is a reliable and valid
measure of hearing-aid self-efficacy and can be used to assist clinicians in
identifying areas of low confidence that require additional audiologic training.
PMID- 18049966
TI - Genetic and environmental influences on hearing at different frequencies
separately for the better and worse hearing ear in older women.
AB - The purpose of the present study was to examine the relative contribution of
genetic and environmental effects on the air-conducted hearing threshold levels
at low (0.125-0.5 kHz), mid (1-2 kHz), and high (4-8 kHz) frequencies separately
for the better and worse hearing ear in older women. We also examined the
distribution of audiogram configurations. Data was analysed using quantitative
genetic modelling. As part of the Finnish twin study on aging (FITSA), hearing
was measured in 103 monozygotic and 114 dizygotic female twin pairs aged 63-76
years. Approximately every third subject had a flat type, and two-thirds a
descending type of audiogram configuration. No significant difference was
observed in the distribution of audiogram configurations between zygosity groups.
In the better ear, additive genetic effects accounted for 64%-74% of the total
variance at different frequencies. For the worse ear, environmental effects were
larger. Although overall heritability is rather constant across the frequency
spectrum, it is noteworthy that at low and high frequencies frequency-specific
genetic and environmental effects together accounted for the majority of the
total variance.
PMID- 18049967
TI - The SCAN-C in testing for auditory processing disorder in a sample of British
children.
AB - The SCAN-C is a test for auditory processing disorders in children developed in
the USA. There are concerns that the SCAN-C may over-diagnose auditory processing
disorders in UK children. There are also questions concerning the impact of
language level and interpretation of SCAN-C results. SCAN-C results from 99
Oxfordshire school children aged 6 to 10 were compared to US-based normative
data. Across all age bands, the UK sample scored significantly worse on two
subtests: the filtered words (FW) and auditory figure-ground (AFG) sections as
well as on the composite score. Differences in performance were largely due to
accent effects. Applying US norms to UK children's performance results in a high
rate of over-identification of listening difficulties. However, we show that US
norms can be used provided SCAN-C scores for children in the UK are adjusted by
adding a constant. Using factor analysis, SCAN-C subtests mapped onto two
factors; FW and AFG onto a 'monaural low-redundancy degradation' factor, and CW
and CS onto a 'binaural separation/competition' factor. Implications for use of
the SCAN-C with UK children are discussed.
PMID- 18049968
TI - Communication: access to inclusion.
PMID- 18049969
TI - The impact of partner training on the communicative involvement of students with
multiple and severe disability in special schools.
AB - BACKGROUND: The outcomes of a pilot program of staff development in communication
support in the context of observed changes in student behaviour states and
interactive abilities are reported. Participant reports about the impact of the
program on their professional practices are included. METHOD: Six teachers and
six teacher aides in special (segregated) schools were provided with a short,
intensive training program designed to improve their communicative interactions
with students with multiple and severe disability (MSD) in their classes.
Behaviour state assessment was used for pre- and post-testing of six students.
Teachers and aides completed self-report scales related to their communication
skills, knowledge and concerns prior to and at the conclusion of the training
program. RESULTS: While staff reported improved skills and knowledge, this was
not reflected in improvements in the communicative interactions of the students
as observed in their classrooms. CONCLUSIONS: The training provided may not have
been sufficient to change well-established teaching and interaction processes.
Further research using in-school collaborative mentors is planned.
PMID- 18049970
TI - Presumed competence reflected in the educational programs of students with IDD
before and after the Beyond Access professional development intervention.
AB - BACKGROUND: Judgements about students' competence influence the goals of their
individualised education programs (IEPs), the location of service delivery, and
their placement in general education (GE) as opposed to special education (SE)
classes. The purpose of this study was to describe how presumed competence to
learn the GE curriculum was reflected in the IEPs of students with intellectual
and developmental disabilities (IDD), and in the reported percentage of time that
these students spent in GE classes prior to and following the Beyond Access
professional development intervention. METHOD: Five educational teams of students
with IDD participated in a professional development intervention that emphasised
students' presumed competence to learn grade-level GE curriculum. Students' pre-
and post-intervention IEPs were qualitatively analysed and team member reports of
percentage time spent in GE classes were averaged. RESULTS: Five categories of
presumed competence were identified. Following intervention, emphasis on learning
the GE curriculum, a shift in location of service delivery from outside to within
the GE classroom, and increased time spent in GE classes were reported.
CONCLUSIONS: The Beyond Access intervention shows promise for enhancing views of
the competence of students with IDD to learn the GE curriculum and for increasing
their inclusion in GE classrooms.
PMID- 18049971
TI - "Please listen, it's my turn": instructional approaches, curricula and contexts
for supporting communication and increasing access to inclusion.
AB - BACKGROUND: Four elementary (primary) school students with severe speech and
physical impairments (SSPI) who used augmentative and alternative communication
(AAC) aids were selected to take part in an intensive, 4-week summer intervention
program. The program was designed to explore effective approaches, content and
contexts for supporting communication (including oral language, literacy, and
technology skills) among young people who require AAC. METHOD: Features of the
intervention included (i) using an integrated approach to provide language and
literacy opportunities intended to elicit the students' active involvement in
meaningful, productive and expressive tasks in everyday contexts; (ii) constant
modelling of AAC usage; (iii) requiring family involvement as a criterion for
participation in the program; and (iv) follow-up visits to schools during which
effective instructional strategies were shared with staff. RESULTS: Formative and
summative assessment measures revealed that all four participants made progress
during intervention. However two of the students maintained these gains into the
follow-up period, whereas the other two did not. Each pair seemed to share
certain characteristics, which are illustrated in this paper by two case study
synopses. One relates the story of a child whose successes continued into the
follow-up period, and the other chronicles the experiences of the child whose
successes quickly waned. CONCLUSIONS: This study provides a range of
instructional approaches, curricula and contexts to promote communication for
children with complex communication needs. These instructional supports are more
likely to improve access to inclusion in schools that seek to work in partnership
with parents, plan educational transitions, and implement adequate training and
awareness-raising among their staff.
PMID- 18049972
TI - Developing social interaction and understanding in individuals with autism
spectrum disorder: a groupwork intervention.
AB - BACKGROUND: Difficulties with social interaction and understanding lie at the
heart of the communication disorder that characterises the autism spectrum. This
study sought to improve social communication for individuals with autism spectrum
disorder (ASD) by means of a groupwork intervention focusing on social and
emotional perspective-taking, conversation skills, and friendship skills. It also
aimed to address some of the limitations of previous interventions, including a
lack of generalisation to other settings, so as to maximise inclusion in the
community. METHOD: A group of 46 high functioning children and adolescents with
ASD (38 boys, 8 girls, age range 6-16 years) were allocated to one of 6
intervention groups. Each group met over a period of 12-16 weeks for a minimum of
one 1(1/2)-hour weekly session aimed at promoting key areas of social interaction
and understanding, supported by home-based practice. RESULTS: Significant gains
were achieved in comparison with a normative population, and individual parent
ratings showed marked and sustained changes in the key areas targeted in the
group sessions. CONCLUSION: Social communication in children and adolescents with
ASD can be enhanced through the use of a groupwork intervention addressing social
interaction and understanding.
PMID- 18049973
TI - Vocabulary selection for Australian children who use augmentative and alternative
communication.
AB - BACKGROUND: Augmentative and alternative communication (AAC)1 systems are
commonly used to support children with complex communication needs in Australian
preschools. However, such systems will only be effective if they contain words
and messages that adequately meet these children's communication needs. The aim
of this study was to identify the words most frequently and commonly used by
typically developing Australian preschool-aged children, in order to inform the
selection of vocabulary for their classmates who use AAC. METHOD: Communication
samples were collected from 6 typically developing children during regular
preschool activities. The samples were analysed to determine the number of
different words used by the children, the frequency with which each word was
used, and the commonality of use across children. RESULTS: The children used a
small core vocabulary comprising frequently and commonly used words, together
with large and highly individualised fringe vocabularies. CONCLUSIONS: The
results are consistent with the findings of previous studies, and highlight the
importance of providing both core and fringe vocabulary to preschool-aged
children who use AAC.
PMID- 18049974
TI - Perceptions of communication before and after a speech pathology intervention for
an adult with intellectual disability.
AB - BACKGROUND: The aim of this study was to explore, from the perspective of key
communication partners, the meaning of a communication intervention and
associated changes for an adult with severe intellectual disability (ID). METHOD:
The parents and key support workers of Mark, a 22-year-old man with ID and
complex communication needs, were interviewed. The interviews were conducted
prior to and following a speech pathology intervention and were guided by a
communication inventory based on the concept of social networks. Interview
transcripts were analysed qualitatively, with a focus on the subjective meaning
of communication changes. These changes were explored according to both the
specific information sought through the inventory and additional information
provided. RESULTS: The findings were organised into three key themes relating to
Mark, his familiar communication partners (i.e., parents and disability support
workers), and the community. Information obtained from the interviews indicated
that Mark's reported communication level remained stable across the intervention.
However, an in-depth analysis of the interview transcripts revealed some gains in
his communication skills. From the perspective of Mark's familiar communication
partners, key sub-themes emerged which were related to changes in ascription of
responsibility for developing skills and strategies to enhance Mark's
communication. Furthermore, greater participation by Mark in his home community
was evident. CONCLUSIONS: The findings are discussed in terms of the assessment
of an individual's communication through interactions with communication
partners, and how changes associated with an intervention can be best understood
from analysis of rich data.
PMID- 18049975
TI - An exploration of loneliness: communication and the social networks of older
people with cerebral palsy.
AB - BACKGROUND: There is a large body of research focusing on the experiences of
loneliness of older adults, yet little is known about the loneliness experiences
of older adults with lifelong disability. In this paper, the authors present some
findings from a larger qualitative study on the loneliness experiences of older
people with cerebral palsy. METHOD: Seven older adults with cerebral palsy
participated in in-depth interviews. Analysis of the interviews identified
overarching themes and recurrent topics in the data. These topics were coded and
then grouped under the overarching themes. RESULTS: Six themes were identified.
All participants agreed that the themes of communication and social networks are
most important when considering loneliness. In this paper, the participants'
discussion of these two themes and their related topics are presented.
CONCLUSIONS: The results demonstrate the need to provide support and training in
communication to older people with cerebral palsy who experience communication
difficulty, as well as to their communication partners. They also indicate the
need for policy development to assist older adults with cerebral palsy to develop
and maintain their social networks and form relationships that are rewarding and
enriching.
PMID- 18049977
TI - The 2007 IAAF Consensus Conference on Nutrition for Athletics.
PMID- 18049979
TI - Nutrition for the sprinter.
AB - The primary roles for nutrition in sprints are for recovery from training and
competition and influencing training adaptations. Sprint success is determined
largely by the power-to-mass ratio, so sprinters aim to increase muscle mass and
power. However, extra mass that does not increase power may be detrimental.
Energy and protein intake are important for increasing muscle mass. If energy
balance is maintained, increased mass and strength are possible on a wide range
of protein intakes, so energy intake is crucial. Most sprinters likely consume
ample protein. The quantity of energy and protein intake necessary for optimal
training adaptations depends on the individual athlete and training demands;
specific recommendations for all sprinters are, at best, useless, and are
potentially harmful. However, if carbohydrate and fat intake are sufficient to
maintain energy levels, then increased protein intake is unlikely to be
detrimental. The type and timing of protein intake and nutrients ingested
concurrently must be considered when designing optimal nutritional strategies for
increasing muscle mass and power. On race day, athletes should avoid foods that
result in gastrointestinal discomfort, dehydration or sluggishness. Several
supplements potentially influence sprint training or performance. Beta-alanine
and bicarbonate may be useful as buffering agents in longer sprints. Creatine may
be efficacious for increasing muscle mass and strength and perhaps increasing
intensity of repeat sprint performance during training.
PMID- 18049980
TI - Nutritional strategies to optimize training and racing in middle-distance
athletes.
AB - Middle-distance athletes implement a dynamic continuum in training volume,
duration, and intensity that utilizes all energy-producing pathways and muscle
fibre types. At the centre of this periodized training regimen should be a
periodized nutritional approach that takes into account acute and seasonal
nutritional needs induced by specific training and competition loads. The
majority of a middle-distance athlete's training and racing is dependant upon
carbohydrate-derived energy provision. Thus, to support this training and racing
intensity, a high carbohydrate intake should be targeted. The required energy
expenditure throughout each training phase varies significantly, and thus the
total energy intake should also vary accordingly to better maintain an ideal body
composition. Optimizing acute recovery is highly dependant upon the immediate
consumption of carbohydrate to maximize glycogen resynthesis rates. To optimize
longer-term recovery, protein in conjunction with carbohydrate should be
consumed. Supplementation of beta-alanine or sodium bicarbonate has been shown to
augment intra- and extracellular buffering capacities, which may lead to a small
performance increase. Future studies should aim to alter specific exercise
(resistance vs. endurance) and/or nutrition stimuli and measure downstream
effects at multiple levels that include gene and molecular signalling pathways,
leading to muscle protein synthesis, that result in optimized phenotypic
adaptation and performance.
PMID- 18049981
TI - Nutrition for distance events.
AB - The goal of training is to prepare the distance athlete to perform at his or her
best during major competitions. Whatever the event, nutrition plays a major role
in the achievement of various factors that will see a runner or walker take the
starting line in the best possible form. Everyday eating patterns must supply
fuel and nutrients needed to optimize their performance during training sessions
and to recover quickly afterwards. Carbohydrate and fluid intake before, during,
and after a workout may help to reduce fatigue and enhance performance. Recovery
eating should also consider issues for adaptation and the immune system that may
involve intakes of protein and some micronutrients. Race preparation strategies
should include preparation of adequate fuel stores, including carbohydrate
loading for prolonged events such as the marathon or 50-km walk. Fluid and
carbohydrate intake during races lasting an hour or more should also be
considered. Sports foods and supplements of value to distance athletes include
sports drinks and liquid meal supplements to allow nutrition goals to be achieved
when normal foods are not practical. While caffeine is an ergogenic aid of
possible value to distance athletes, most other supplements are of minimal
benefit.
PMID- 18049982
TI - Nutrition for throwers, jumpers, and combined events athletes.
AB - Throwers, jumpers, and combined events athletes require speed, strength, power,
and a wide variety of technical skills to be successful in their events. Only a
handful of studies have assessed the nutritional needs of such athletes. Because
of this, recommendations for nutritional requirements to support and enhance
training and competition performances for these athletes are made using research
findings from sports and exercise protocols similar to their training and
competitive events. The goals of the preparation cycle of nutrition periodization
for these athletes include attaining desirable body weight, a high ratio of lean
body mass to body height, and improving muscular power. Nutritional
recommendations for training and competition periods include: (1) meeting energy
needs; (2) timing consumption of adequate fluid and electrolyte intakes before,
during, and after exercise to promote adequate hydration; (3) timing consumption
of carbohydrate intake to provide adequate fuel for energy demands and to spare
protein for muscle repair, growth, and maintenance; (4) timing consumption of
adequate protein intake to meet protein synthesis and turnover needs; and (5)
consuming effective nutritional and dietary supplements. Translating these
nutrient and dietary recommendations into guidelines these athletes can apply
during training and competition is important for enhancing performance.
PMID- 18049983
TI - Physique and performance for track and field events.
AB - Evidence of the importance of physique in the athletics disciplines is supported
by the persistence of certain characteristics over long periods, despite marked
secular changes in the source population. These characteristics may also result
in physiological benefits such as effective thermoregulation or a greater power
to-weight ratio. Coaches and athletes are often convinced of weight or fat loss
benefits based on personal or anecdotal experience, intuition, and "trained eye"
observation of successful competitors. This may entice athletes into adopting
unbalanced, erratic or highly restrictive eating patterns that increase the risk
for nutrient deficiencies, and disordered eating. Despite heavy training loads
and often extreme diets, some athletes fall short of their physique goals as
ultimately phenotype is under genetic control. Professionals assisting athletes
with physique management need to be highly skilled in anthropometry and require a
thorough understanding of sports-specific nutrition requirements. Careful
assessment of the risks and benefits of various approaches to weight and fat loss
is required before they are recommended to athletes.
PMID- 18049984
TI - The female athlete triad: components, nutrition issues, and health consequences.
AB - This paper, which was part of the International Association of Athletics
Federations (IAAF) 2007 Nutritional Consensus Conference, briefly reviews the
components of the female athlete triad (Triad): energy availability, menstrual
status, and bone health. Each component of the Triad spans a continuum from
health to disease, and female athletes can have symptoms related to each
component of the Triad to different degrees. Low energy availability is the
primary factor that impairs menstrual dysfunction and bone health in the Triad.
We discuss nutritional issues associated with the Triad, focusing on intakes of
macronutrients needed for good health, and stress fractures, the most common
injury associated with the Triad. Finally, we briefly discuss screening and
treatment for the Triad and the occurrence of the Triad in men.
PMID- 18049985
TI - Nutrition for the young athlete.
AB - Athletics is a popular sport among young people. To maintain health and optimize
growth and athletic performance, young athletes need to consume an appropriate
diet. Unfortunately, the dietary intake of many young athletes follows population
trends rather than public health or sports nutrition recommendations. To optimize
performance in some disciplines, young athletes may strive to achieve a lower
body weight or body fat content and this may increase their risk for delayed
growth and maturation, amenorrhoea, reduced bone density, and eating disorders.
Although many of the sports nutrition principles identified for adults are
similar to those for young athletes, there are some important differences. These
include a higher metabolic cost of locomotion and preferential fat oxidation in
young athletes during exercise. Young athletes, particularity children, are at a
thermoregulatory disadvantage due to a higher surface area to weight ratio, a
slower acclimatization, and lower sweating rate. An appropriate dietary intake
rather than use of supplements (except when clinically indicated) is recommended
to ensure young athletes participate fully and safely in athletics.
PMID- 18049986
TI - Fluid needs for training and competition in athletics.
AB - The diverse nature of the athletic events, together with the varied training
programmes and individuality of athletes taking part, inevitably means that fluid
needs are highly variable--between athletes, perhaps between training and
competition, and with differing environmental conditions and degree of training
and heat acclimatization. There are limited data from athletics on all aspects of
fluid balance, but wherever possible we have focused on this information to draw
conclusions. When appropriate, euhydration will best be ensured by consuming 6-8
ml . kg body mass(-1) of a sodium-containing fluid, or sodium-free fluid together
with food, about 2 h before exercise. The individual sweat responses are so
variable that athletes should assess their own individual sweat losses to
determine if these are likely to be a cause for concern. The volume of drink that
is consumed should never be so much that an athlete gains mass over an event,
unless perhaps there is evidence that they began in a hypohydrated state. This
may be a particular concern in the field events and multi-event disciplines when
competition can be spread over a number of hours and when there are significant
rest periods between activities.
PMID- 18049987
TI - Fatigue and illness in athletes.
AB - Adequate nutrition before, during, and after training and competition is a key
element to maintaining health. During both sprint and endurance exercise, the
availability of glycogen is fundamental to performance and any deficit will lead
to early fatigue. In addition, strategies to offset the negative effects of the
products of metabolism are presented. Although nutritional strategies can
attenuate the immunosuppressive effects of exercise, there remains a period of
susceptibility to infection after a hard exercise session and when this is
repeated without sufficient recovery an athlete can enter a period of
"overtraining" during which performance deteriorates. The aetiology and
identification of this state is not clear and some current ideas are discussed.
Finally, gastrointestinal problems during running can negate any training
benefits and we propose some suggestions to reduce this problem.
PMID- 18049988
TI - The use of dietary supplements by athletes.
AB - Many athletes use dietary supplements as part of their regular training or
competition routine, including about 85% of elite track and field athletes.
Supplements commonly used include vitamins, minerals, protein, creatine, and
various "ergogenic" compounds. These supplements are often used without a full
understanding or evaluation of the potential benefits and risks associated with
their use, and without consultation with a sports nutrition professional. A few
supplements may be helpful to athletes in specific circumstances, especially
where food intake or food choice is restricted. Vitamin and mineral supplements
should be used only when a food-based solution is not available. Sports drinks,
energy bars, and protein-carbohydrate shakes may all be useful and convenient at
specific times. There are well-documented roles for creatine, caffeine, and
alkalinizing agents in enhancing performance in high-intensity exercise, although
much of the evidence does not relate to specific athletic events. There are
potential costs associated with all dietary supplements, including the risk of a
positive doping result as a consequence of the presence of prohibited substances
that are not declared on the label.
PMID- 18049989
TI - Innovations in athletic preparation: role of substrate availability to modify
training adaptation and performance.
AB - World records for athletic events continue to improve and in the search for
superior methods to gain a competitive edge, coaches and athletes are constantly
searching for the latest "magic bullet". Although it is assumed that optimal
adaptation to the demands of repeated training sessions requires a diet that can
sustain muscle energy reserves, this premise does not consider the unsolved
longstanding question of whether it is a lack or a surplus of a substrate that
triggers the training adaptation. As such, recent scientific enquiry has re
focused attention on the role of substrate availability before, during, and after
training to amplify the training adaptation. There has also been a resurgence of
interest in the potential for protein ingestion to improve performance and/or
promote training-induced adaptations in skeletal muscle. Altitude training (real
or simulated) is now an accepted part of competition preparation for many
athletic events, and such interventions attract their own nutritional issues.
These and other diet-training interactions with the potential to alter training
adaptation and performance are discussed.
PMID- 18049990
TI - Nutrition for travel.
AB - The training and competitive programmes of elite athletes incorporate travel
schedules, often long journeys, across multiple time zones. In such cases, travel
causes both transient fatigue and a malaise known as "jet-lag" that persists for
some days. Jet-lag is due to the disturbance of the body's circadian rhythms:
diurnal and performance rhythms are displaced, depending on the direction of
travel and the number of time zones crossed in flight. Attention to diet and
hydration is relevant during the flight and following disembarkation until
adjustment to the new meridian is complete. The consequences of jet-lag on
rhythms in digestion may be compounded if food preparation and hygiene are
inadequate in training camps or competitive venues overseas. The irony of travel
is that it often places athletes at a greater risk of failing to meet their
specific nutrition goals or succumbing to illness, at a time when the demands or
outcomes of performance are of greatest importance. In addition, gastrointestinal
infections related to travelling are frequent among athletes. Fastidious planning
and organization among the support staff is recommended before the journey to
prevent any such problems arising. Equally, athletes often need special education
initiatives to assist them to cope with the challenges of a new and unusual food
supply, or altered access to food.
PMID- 18049991
TI - Air pollution and hospital admissions for pneumonia in a tropical city:
Kaohsiung, Taiwan.
AB - This study was undertaken to determine whether there was an association between
air pollutant levels and hospital admissions for pneumonia in Kaohsiung, Taiwan.
Hospital admissions for pneumonia and ambient air pollution data for Kaohsiung
were obtained for the period of 1996-2004. The relative risk of hospital
admission was estimated using a case-crossover approach, controlling for weather
variables, day of the week, seasonality, and long-term time trends. In the single
pollutant models, on warm days (= 25 degrees C) statistically significant
positive associations were found for all pollutants. On cool days (< 25 degrees
C), all pollutants were also significantly associated with number of pneumonia
admissions. For the two-pollutant model, O3 and CO were significant in
combination with each of the other four pollutants on warm days. On cool days,
PM10 and NO2 remained statistically significant in all the two-pollutant models.
This study provides evidence that higher levels of ambient air pollutants
increase the risk of hospital admissions for pneumonia. The effects of air
pollutants on hospital admissions for pneumonia were temperature dependent.
PMID- 18049992
TI - Gemfibrozil ingestions reported to Texas poison control centers, 2000-2005.
AB - Review of the literature failed to identify any information on potentially
adverse ingestions of the cholesterol-lowering drug gemfibrozil (GEM) reported to
poison control centers. Data from Texas poison control centers were used to
describe the pattern of isolated GEM ingestions reported during 2000-2005. A
total of 118 cases were identified. The mean maximum dose ingested was 2407 mg
(range 300-18,000 mg) or 3.3 tablets/capsules (range 1-30 tablets/capsules). The
patient was male in 55% of the cases. The most common circumstances of the
exposure were unintentional therapeutic error (49%), general unintentional (34%),
and suspected attempted suicide (11%). The management site was on site (84%),
already at/en route to a health care facility (10%), referred to a health care
facility (5%), and other (2%). The ingestion considered potentially toxic in 3%
of the cases and no deaths were reported. A specific adverse clinical effect was
listed for 9% of the cases, being gastrointestinal (5%), neurological (3%), or
cardiovascular (1%). A specific treatment was listed for 54% of the cases, most
frequently decontamination by dilution (39%) or food (15%). Potentially adverse
isolated GEM ingestions reported to poison control centers generally do not
involve serious medical outcomes and are successfully managed at home with a
favorable outcome.
PMID- 18049993
TI - Approaches to acrylamide physiologically based toxicokinetic modeling for
exploring child-adult dosimetry differences.
AB - Dietary exposure to acrylamide is common as a result of its formation during the
cooking of carbohydrate foods. This leads to widespread human exposure in adults
and children alike. Acrylamide is neurotoxic and is metabolized by cytochrome P
450 (CYP) 2E1 to a mutagenic epoxide, glycidamide. This article describes a
modeling framework for assessing acrylamide and glycidamide dosimetry in rats and
human adults and children. The challenges in building a physiologically based
toxicokinetic (PBTK) model that is compatible with existing rat and human data
are described, with an emphasis on calibration against the hemoglobin adduct
database. This exploratory PBTK model was adapted to children by incorporating
life-stage-specific parameters consistent with children's changing physiology and
metabolic capacity for processes involved in acrylamide disposition in terms of
CYP2E1, glutathione conjugation, and epoxide hydrolase. Monte Carlo analysis was
used to simulate the distribution of internal doses to gain an initial
understanding of the range of child/adult differences possible. This analysis
suggests modest dosimetry differences between children and adults, with area
under-the-curve (AUC) doses for the 99th percentile child up to fivefold greater
than the median adult for both acrylamide and glycidamide. Early life
immaturities tended to exert a greater effect on acrylamide than glycidamide
dosimetry because immaturities in CYP2E1 and glutathione counteract one another
for glycidamide AUC, but both lead to greater acrylamide dose. The analysis
points toward glutathione conjugation parameters as being particularly
influential and uncertain in early life, making this a key area for future
research.
PMID- 18049994
TI - A test of association between spatially defined exposure patterns and health
outcome risk contours.
AB - Advances in the availability of geographically referenced health and
environmental quality data of high spatial resolution have created new
opportunities in environmental epidemiology. Novel statistical methods for
linking health, exposure, and hazards are required to underpin the development of
public health tracking. A test for the association between spatial contours of
health risk and exposure is outlined. This test is examined using, as an example,
the spatial contours of congenital malformation risk obtained from a routine
dataset in the vicinity of a landfill site and an exposure model based on
exponential reduction with distance from the site. Spatial contours of risk of
congenital malformation were simulated using the exposure model stated and a
given population pattern. These were compared with the corresponding expected
risk derived from routine birth data to yield relative risk contours. For each
simulation three test statistics were devised: the slope of the regression line
of standardized relative risk on exposure level, the proportion of standardized
relative risks above zero, and the mean standardized relative risk of individuals
not subject to exposure. The distributions of these test statistics (under the
null no exposure from site and alternative hypotheses) were determined from a
simulation exercise. A comparison of receiver operator characteristic (ROC)
curves between those relating to the proposed test and those relating to a widely
used method proposed by Stone (1988) demonstrated our test to be more efficient.
Formal statistical testing of the concordance between spatial contours of risk
and environmental exposure enables optimal use of spatial data.
PMID- 18049995
TI - Hospitalization patterns associated with Appalachian coal mining.
AB - The goal of this study was to test whether the volume of coal mining was related
to population hospitalization risk for diseases postulated to be sensitive or
insensitive to coal mining by-products. The study was a retrospective analysis of
2001 adult hospitalization data (n = 93,952) for West Virginia, Kentucky, and
Pennsylvania, merged with county-level coal production figures. Hospitalization
data were obtained from the Health Care Utilization Project National Inpatient
Sample. Diagnoses postulated to be sensitive to coal mining by-product exposure
were contrasted with diagnoses postulated to be insensitive to exposure. Data
were analyzed using hierarchical nonlinear models, controlling for patient age,
gender, insurance, comorbidities, hospital teaching status, county poverty, and
county social capital. Controlling for covariates, the volume of coal mining was
significantly related to hospitalization risk for two conditions postulated to be
sensitive to exposure: hypertension and chronic obstructive pulmonary disease
(COPD). The odds for a COPD hospitalization increased 1% for each 1462 tons of
coal, and the odds for a hypertension hospitalization increased 1% for each 1873
tons of coal. Other conditions were not related to mining volume. Exposure to
particulates or other pollutants generated by coal mining activities may be
linked to increased risk of COPD and hypertension hospitalizations. Limitations
in the data likely result in an underestimate of associations.
PMID- 18049996
TI - Single-walled carbon nanotubes: geno- and cytotoxic effects in lung fibroblast
V79 cells.
AB - With the development of nanotechnology, there is a tremendous growth of the
application of nanomaterials, which increases the risk of human exposure to these
nanomaterials through inhalation, ingestion, and dermal penetration. Among
different types of nanoparticles, single-walled carbon nanotubes (SWCNT) with
extremely small size (1 nm in diameter) exhibit extraordinary properties and
offer possibilities to create materials with astounding features. Since the
release of nanoparticles in an enclosed environment is of great concern, a study
of possible genotoxic effects is important. Our previous data showed that
pharyngeal aspiration of SWCNT elicited pulmonary effects in C57BL/6 mice that
was promoted by a robust, acute inflammatory reaction with early onset resulting
in progressive interstitial fibrogenic response and the formation of granulomas.
In the present study, the genotoxic potential of SWCNT was evaluated in vitro.
The genotoxic effects of nanoparticles were examined using three different test
systems: the comet assay and micronucleus (MN) test in a lung fibroblast (V79)
cell line, and the Salmonella gene mutation assay in strains YG1024/YG1029.
Cytotoxicity tests showed loss of viability in a concentration- and time
dependent manner after exposure of cells to SWCNT. Results from the comet assay
demonstrated the induction of DNA damage after only 3 h of incubation with 96
microg/cm2 of SWCNT. The MN test indicated some but not significant micronucleus
induction by SWCNT in the V79 cell line at the highest concentrations tested.
With two different strains of Salmonella typhimurium, no mutations were found
following SWCNT exposure.
PMID- 18049997
TI - Genotoxicity of organic extracts of house dust from Shanxi, China.
AB - Indoor combustion of solid fuel such as coal may generate respirable particles
containing polycyclic aromatic hydrocarbons (PAH) that may adhere to settled
dust. Dust might therefore present a major source of PAH exposure in humans. This
study evaluated the in vitro and in vivo genotoxicity of PAH mixtures extracted
from house dust samples. Four dust samples (E1-4) were collected from houses in
Shanxi, China, where coal is heavily used for heating and cooking. For
comparison, a coal sample was also collected from one of the houses and included
in the analyses. The samples were extracted with methylene chloride:acetone (95:5
v/v), dried, and redissolved in appropriate solvents for assessment in
genotoxicity assays. Samples were evaluated for their ability to induce point
mutations in bacteria and DNA adducts in vivo. DNA adduct levels were analyzed by
nuclease P1-enhanced 32P-postlabeling. PAH were quantified using gas
chromatography/mass spectrometry. Based on chemical analysis, sample E1 had the
highest concentration by sampling area of benzo[a]pyrene (BaP) (181 microg/m2)
and total PAH (10100 microg/m2). However, based on the microbial genotoxicity
assay, sample E3, with the highest carcinogenic PAH/total PAH ratio (26%),
produced the greatest number of revertants. In mice, administration of the
extract of coal induced more adducts (9.81 adducts per 10(9) nucleotides) than
dust extracts. The results of this study confirm the presence of genotoxic
chemicals in residential dust. Inhalation of respirable particles containing
similar mixtures of PAH represents a cancer risk for humans.
PMID- 18049998
TI - Evaluating nephrotoxicity of high-molecular-weight organic compounds in drinking
water from lignite aquifers.
AB - High-molecular-weight organic compounds such as humic acids and/or fulvic acids
that are naturally mobilized from lignite beds into untreated drinking-water
supplies were suggested as one possible cause of Balkan endemic nephropathy (BEN)
and cancer of the renal pelvis. A lab investigation was undertaken in order to
assess the nephrotoxic potential of such organic compounds using an in vitro
tissue culture model. Because of the infeasibility of exposing kidney tissue to
low concentrations of organics for years in the lab, tangential flow
ultrafiltration was employed to hyperconcentrate samples suitable for discerning
effects in the short time frames necessitated by tissue culture systems. Effects
on HK-2 kidney cells were measured using two different cell proliferation assays
(MTT and alamarBlue). Results demonstrated that exposure of kidney tissue to high
molecular-weight organics produced excess cell death or proliferation depending
on concentration and duration of exposure.
PMID- 18050000
TI - Prediction of hemispheric asymmetry as measured by handedness from digit length
and 2D:4D digit ratio.
AB - Hemispheric asymmetry is widely theorised as having a basis in prenatal androgen
levels. However, these theories ignore a second round of asymmetrical changes in
the brain, which occur at the same time as post-puberty surges in androgens.
Hemispheric asymmetry in adults might therefore be explained in terms of the
joint effects of prenatal and post-pubertal androgen levels. Evidence is emerging
that the ratio between the length of the second and fourth digits (2D:4D) is
related to prenatal androgen exposure, and that digit length is related to post
puberty levels of androgen exposure. In this study, hemispheric asymmetry is
measured as handedness, prenatal androgen levels as 2D:4D, and post-puberty
androgen levels as digit length. Right-handedness is associated with consistent
prenatal and post puberty androgen release whereas left-handedness is associated
with mixed levels of androgen release. Age, race, and sex effects were explored
but were not significant.
PMID- 18049999
TI - Evaluation of cytotoxicity attributed to thimerosal on murine and human kidney
cells.
AB - Renal inner medullary collecting duct cells (mIMCD3) and human embryonic kidney
cells (HEK293) were used for cytoscreening of thimerosal and mercury chloride
(HgCl2). Thimerosal and HgCl2 acted in a concentration-dependent manner. In
mIMCD3 cells the 24-h LC50 values for thimerosal, thiosalicylic acid, 2,2
dithiosalicylic acid, and 2-sulfobenzoic acid were 2.9, 2200, >1000, and >10,000
microM, respectively. The 24-h LC50 value for HgCl2 in mIMCD3 cells was 40
microM. In HEK293 cells, the 24-h LC50 value for thimerosal was 9.5 microM. These
data demonstrate that the higher cytotoxicity produced by thimerosal on renal
cells with respect to similar compounds without Hg may be related to this metal
content. The present study also establishes mIMCD3 cells as a valuable model for
evaluation of cytotoxicity of nephrotoxic compounds.
PMID- 18050001
TI - Review and theory of handedness, birth order, and homosexuality in men.
AB - Research has repeatedly shown that older brothers increase the odds of
homosexuality in later-born males. This phenomenon has been called the fraternal
birth order effect. The most highly developed explanation of this phenomenon is
the maternal immune hypothesis, which proposes that the fraternal birth order
effect reflects the progressive immunisation of some mothers to male-specific
antigens by each succeeding male foetus and the concomitantly increasing effects
of anti-male antibodies on the sexual differentiation of the brain in each
succeeding male foetus. Recent studies indicate that older brothers increase the
odds of homosexuality in right-handed males but not in non-right-handed males.
The present article explores how the maternal immune hypothesis might be extended
or modified to account for the apparent interaction of older brothers and
handedness. Two possibilities are considered: (1) non-right-handed foetuses are
insensitive to the presence of maternal anti-male antibodies, and (2) mothers of
non-right-handed foetuses do not produce anti-male antibodies.
PMID- 18050002
TI - A new means of measuring index/ring finger (2D:4D) ratio and its association with
gender and hand preference.
AB - Relative finger length can predict a person's gender or their hand preference. We
measured finger length using a new "tubes" test, which required participants to
slide a clear plastic tube over their fingers and read the length from an
attached mm scale. Data collected from 600 students demonstrated that the right
fingers are longer than the left for dextrals, but not for non-dextrals.
Examination of the relative length of the index and ring fingers revealed a clear
gender effect. There was also an effect of hand preference on index/ring finger
ratio whereby non-dextrals showed a more masculine pattern compared to dextrals.
For non-dextrals, prenatal exposure to high testosterone levels may have caused
both a shift away from dextrality and a more masculine pattern of finger ratio.
In the second experiment, finger length was measured by the tubes test and by
photocopying the hands in 124 undergraduates. The tubes test yielded a longer
estimate of ring finger length compared to the photocopy method. Despite this,
there was a strong correlation between the tests and both showed an association
with gender. Finally, test-retest scores for 45 participants showed a high level
of reliability for absolute and relative finger measures. We conclude that the
tubes technique provides an effective and easy-to-use means of measuring finger
length, which can be administered in a classroom setting.
PMID- 18050003
TI - Chimeric faces, visual field bias, and reaction time bias: have we been missing a
trick?
AB - The chimeric faces test is a frequently used behavioural test of lateralisation
for cognitive processing. Performance on this task is typically quantified in
terms of bias towards selecting faces where positive facial emotion is expressed
in the viewer's left visual field, indicating right hemisphere dominance for the
task. This paper examines an alternative measure that may be extracted from
responses to the chimeric faces test: reaction time bias. There was a strong
positive correlation between the two asymmetry measures, which remained even
after controlling for sex and handedness. The possible uses of reaction time bias
in the measurement of cerebral lateralisation are discussed.
PMID- 18050005
TI - Post-operative use of remoulding orthoses following cranial vault remodelling: a
case series.
AB - The use of external orthoses following surgical cranial vault remodelling in
infants with craniosynostosis was first described in the 1980s. While a few
preliminary reports have been published on its use, there are no reports
outlining specific orthotic considerations. The purpose of this paper is to
present the orthotic community with an introduction to the various
craniosynostoses, the resultant cranial morphologies, and specific orthotic
considerations associated with these morphologies, including trigocephaly,
frontal plagiocephly, brachycephaly, scapholocephaly, and occipital
plagiocephaly. For each presentation, guidelines are presented as to where the
cranial remoulding orthosis should maintain contact, thereby discouraging cranial
growth, and where the voids should be established and maintained to allow for
corrective cranial growth. Principles are supported by photographs of
representative cases.
PMID- 18050006
TI - Balance control enhancement using sub-sensory stimulation and visual-auditory
biofeedback strategies for amputee subjects.
AB - Sub-sensory electrical or mechanical stimulation can enhance the sensitivity of
the human somatosensory system to improve the balance control capabilities of
elderly. In addition, clinical studies suggest that visual-auditory biofeedback
can improve sensory compensation for the elderly. This study hypothesizes that
the static balance and gait performance of single leg quiet standing and
treadmill walking could be improved for providing proprioceptive neuromuscular
facilitation using sub-sensory stimulation and visual-auditory biofeedback in
amputee subjects. To test this, a computerized foot pressure biofeedback sensory
compensation system using sub-threshold low-level electrical stimulation combined
with visual-auditory biofeedback was developed. Seven unilateral trans-tibial
amputees who wore prostheses over 2 years were recruited. The subjects performed
multiple single leg quiet standing trials with sub-sensory electrical stimulation
applied at the quadriceps muscle during half of the trials. Static balance
performance was characterized by using a Zebris motion analysis system to measure
the sway distance and duration of the centre of mass on the second sacral (S2) of
the subjects. In addition, multiple treadmill ambulatory trials with or without
visual-auditory biofeedback was performed. Dynamic gait performance was
characterized with a Zebris instrumented insole to measure the temporal responses
of foot pressure sensors. Experimental results showed an improvement in three
balance performance indices (Holding Time Index, HTI, Maximum Sway Distance
Index, MSDI, and Average Sway Distance Index, ASDI) during single leg quiet
standing by applying sub-sensory stimulation. The improvement ratio of these
balance performance indices across subjects for single leg quiet standing tests
resulted in 132.34% in HTI, 44.61% in MSDI, and 61.45% in ASDI. With visual
auditory biofeedback as a cue for heel contact and toe push-off condition during
treadmill ambulation, the improvement of four dynamic gait performance measures
(Double Support Period, DSP, Constant Time Cadence, CTC, Single Support Period,
SSP, and Stance/Swing Ratio, SSR) in amputees was verified. This resulted in
7.89% in DSP (affected side), 5.09% in CTC, 16.67% in SSP (sound side), 45.30% in
SSR (sound side), and 40.30% in SSR (affected side) respectively. These findings
suggest that sub-threshold electrical stimulation and visual-auditory biofeedback
rehabilitation strategies may be effective in compensating sensory loss and
improving static balance and dynamic ambulation performance for amputees.
PMID- 18050007
TI - Results of an Internet survey of myoelectric prosthetic hand users.
AB - The results of a survey of 54 persons with upper limb amputations who anonymously
completed a questionnaire on an Internet homepage are presented. The survey ran
for four years and the participants were divided into groups of females, males,
and children. It was found that the most individuals employ their myoelectric
hand prosthesis for 8 hours or more. However, the survey also revealed a high
level of dissatisfaction with the weight and the grasping speed of the devices.
Activities for which prostheses should be useful were stated to include
handicrafts, personal hygiene, using cutlery, operation of electronic and
domestic devices, and dressing/undressing. Moreover, additional functions, e.g.,
a force feedback system, independent movements of the thumb, the index finger,
and the wrist, and a better glove material are priorities that were identified by
the users as being important improvements the users would like to see in
myoelectric prostheses.
PMID- 18050008
TI - Development of a hinge compatible with the kinematics of the knee joint.
AB - This study aims to present a new concept of a knee hinge based on a crossed four
bar linkage mechanism which has been designed to optimally follow a motion curve
representing the knee kinematics in the position at which the knee hinge should
be placed. The methodology used to determine the optimal knee hinge is based on
the optimization of certain variables of the crossed four-bar mechanism using
genetic algorithms in order to follow a certain motion curve, which was
determined using a biomechanical model of the knee motion. Two current,
commercially available knee hinges have been used to theoretically determine
their motion by means of the path performed by their instantaneous helical axis.
Comparison between these two different knee hinges, Optimal Knee Hinge and the
theoretical motion performed by a human knee reveals that a common monocentric
hinge has a maximum misalignment of up to 27.2 mm; a polycentric hinge has a
maximum misalignment of 23.9 mm. In contrast, the maximum misalignment produced
by the Optimal Knee Hinge is 1.99 mm. The orthotic joint presented significantly
improves the kinematical compatibility and the adjustment between orthotic and
human joint motion, and should provide several advantages in terms of comfort and
safety. Furthermore, the determination of the instantaneous helical axis for a
particular user, by means of human movement measurement techniques, will enable
the optimal crossed four-bar mechanisms to be determined in a customized and
personalized manner. As a consequence, this new concept of orthotic knee joint
design may improve the adaptability of lower limb orthoses for the user, and may
lead to significant advantages in the field of orthotics for the lower limb.
PMID- 18050009
TI - Effect of a shock-absorbing pylon on transmission of heel strike forces during
the gait of people with unilateral trans-tibial amputations: a pilot study.
AB - The primary objective was to test the hypothesis that walking with a shock
absorbing pylon (SAP) decreases the peak magnitude and frequency content of the
heel-strike-initiated shock wave transmitted to the stump. The secondary
hypotheses were that walking with a SAP decreases the heel-strike transient force
between the ground and the foot and increases function as measured by walking
velocity and subjective assessments. Seven people with unilateral trans-tibial
amputations walked at self-selected speeds without and with a SAP. As the primary
outcome measure, accelerometers were used mounted proximally and distally along
the prosthetic pylon to measure the transmitted shock wave. Secondary measures
included ground reaction forces from a force plate, a ten-minute walking test to
determine walking speed and a questionnaire to evaluate gait function and
subjective preference. The SAP provided no significant shock absorption as
indicated by either the mean peak proximal accelerations of 3.19 g and 2.82 g (p
= 0.28) without and with the SAP respectively or the mean difference between the
peak proximal and distal accelerometers, 0.16 g and 0.19 g (p = 0.58). No
significant change in the frequency content was found. Variances were high. There
were no significant differences noted in the secondary measures. Although this
study failed to identify any statistically significant effects due to the SAP,
the magnitude and variance of the data will permit an accurate estimation of the
appropriate sample size for future studies required to determine the efficacy of
SAPs.
PMID- 18050011
TI - Comment on: animal welfare in experiments.
PMID- 18050010
TI - Rasch analysis of the Locomotor Capabilities Index-5 in people with lower limb
amputation.
AB - The aim of this study was to perform a Rasch analysis on the 5-level ordinal
scale version of the Locomotor Capabilities Index (LCI-5), in order to
investigate rating scale quality and conduct reliability and validity
assessments. A questionnaire was mailed to 144 subjects who had undergone lower
limb amputation (LLA) in the previous five years and completed a rehabilitation
and prosthetic training programme. A total of 123 persons (85%) responded to the
questionnaire, a self-report assessment of prosthetic capability and performance
which included the LCI-5, the Mobility Section of the Prosthesis Evaluation
Questionnaire (PEQ-MS) and other variables associated to prosthetic wear and use.
Following Rasch analysis and expert review, the LCI-5 response categories level 1
('yes, if someone helps me') and 2 ('yes, if someone is near me') were combined
into a single category and 4 items were deleted (due to misfitting). The
remaining 10 items fitted the Rasch model (LCI10-4) and demonstrated good
reliability (person separation reliability = 0.94, item separation reliability =
0.98) and internal construct validity. Moreover, the good correlation with the
PEQ-MS (r(s) = 0.77) and with prosthetic wear and use (r(s) range 0.34 - 0.51)
supported the convergent validity of the scale. In conclusion, Rasch analysis
provided the rationale for improving the measurement qualities of the LCI-5,
refining its rating scale (through category diagnostics), identifying those items
most useful for measuring the intended construct (as per the indexes of
unidimensionality and internal construct validity) and showing that one can place
high confidence in the consistency of both the person-ability and item-difficulty
estimates obtained (reliability).
PMID- 18050015
TI - Renal transplant and secondary hyperparathyroidism.
AB - OBJECTIVE: To investigate the impact of mineral metabolism, renal function,
months on dialysis and months since transplant for predicting intact parathyroid
hormone (iPTH) levels in a cohort of patients who had undergone their first renal
graft with estimated glomerular filtration rates (eGFRs) of 30-60 and >60
ml/min/1.73 m2. MATERIAL AND METHODS: One hundred and twenty-eight patients (mean
age 56.0 +/- 14.6 years) with an eGFR of >30 ml/min/1.73 m2 were included. The
median time since transplant was 88.6 months (range 2.8-403.2 months). Blood
samples were collected for measurement of iPTH, 25-hydroxyvitamin D3, 1,25
dihydroxyvitamin D3, calcium, albumin, phosphate and creatinine. The eGFR was
calculated using the formula for the modification of diet in renal disease.
RESULTS: One hundred and three patients (80%) had an elevated level of iPTH, 29
(23%) had hypercalcaemia and 42 (35%) had a 25-hydroxyvitamin D3 level of <40
nmol/L. In stepwise backward regression, eGFR and 25-hydroxyvitamin D3 were
associated with iPTH, as follows: iPTH = 24.91 -(0.06 x 25-hydroxyvitamin D3) -
(0.16 x eGFR) (R2 = 0.14). No associations with these two variables were,
however, detected in patients with an eGFR of >60 ml/min/1.73 m2. Forty patients
(31%) were transplanted pre-emptively, and the iPTH concentrations were
significantly lower in these patients. CONCLUSIONS: Decreasing eGFR was the
single most important variable predicting iPTH level in a cohort of renal
transplant patients with an eGFR of 30-60 ml/min/1.73 m2, but not in patients
with an eGFR of >60 ml/min/1.73 m2. Patients transplanted pre-emptively had a
statistically significantly lower iPTH level compared with patients who had
received dialysis.
PMID- 18050016
TI - Endoscopic treatment of massive rectal bleeding following transrectal ultrasound
guided prostate biopsy.
AB - Rectal bleeding is frequently seen in patients undergoing transrectal ultrasound
guided prostate biopsy. This report details a case of life-threatening rectal
bleeding following this procedure which was successfully treated by means of
endoscopic sclerotherapy. The aim of this report is to share our experience of
the management of massive rectal bleeding following prostate biopsy.
PMID- 18050017
TI - In utero laser treatment of type II vasa previa.
AB - Vasa previa, defined as fetal vessels coursing within the membranes between the
presenting part and the cervix, occurs in approximately 1:2500-5000 pregnancies.
Type II vasa previa consists of fetal vessels crossing over the internal os
connecting a bilobed placenta or a succenturiate lobe with the main placental
mass. These vessels are prone to compression during labor or may tear when
membranes rupture potentially resulting in fetal exsanguination and neonatal
death. This complication could be avoided altogether if the vessels could be
obliterated in utero. The purpose of this communication is to report the
successful in utero laser ablation of type II vasa previa at 22.5 weeks of
gestation. Subsequent ruptured membranes did not result in untoward fetal
consequences. Risks and benefits of this novel procedure are discussed.
PMID- 18050018
TI - Expression of cytokines and chemokines in cervical and amniotic fluid:
relationship to histological chorioamnionitis.
AB - OBJECTIVE: To correlate cervical and amniotic fluid cytokines and macrophage
related chemokines to the development of histological chorioamnionitis (HCA) in
patients with preterm labor (PTL) and preterm prelabor rupture of the membranes
(PPROM). STUDY DESIGN: Cervical and amniotic fluid interleukin (IL)-6, IL-8, IL
18, monocyte chemotactic protein (MCP)-1, MCP-2, and MCP-3 from pregnant women
(at or=140 mg/dL are at risk of perinatal complications. STUDY DESIGN: A
retrospective cohort study of women with singleton pregnancies screened for GDM
between 1988 and 2001 with a 1-hour 50-g GCT. Values of GCT were stratified into
four subgroups: <120, 120-129, 130-139, and >or=140 mg/dL. Perinatal outcomes
were compared using the Chi-square test and multivariable logistic regression
analysis. RESULTS: There were 13 901 women meeting the study criteria. Compared
to women with a GCT of <120 mg/dL, women with a GCT of 130-139 mg/dL and >or=140
mg/dL were more likely to have preeclampsia and operative vaginal or cesarean
deliveries. Neonates born to women with a GCT of 130-139 mg/dL also had higher
odds of having a 5-minute Apgar score <7 (odds ratio (OR) = 1.51, 95% confidence
interval (CI) 1.01-2.29), shoulder dystocia (OR = 2.02, 95% CI 1.16-2.55), birth
trauma (OR = 1.47, 95% CI 1.06-2.02), and composite morbidity (OR = 1.25, 95% CI
1.03-1.51). Women with a GCT of >or=140 mg/dL had higher odds of macrosomia (OR =
1.32, 95% CI 1.13-1.54) and shoulder dystocia (OR = 1.68, 95% CI 1.11-2.55).
CONCLUSION: Women with GCT results of 130-139 mg/dL appear to be at increased
risk for perinatal morbidity. Thus, utilizing a diagnostic test in women with a
GCT above 130 mg/dL should be considered.
PMID- 18050022
TI - [Diagnosis of functional voice disorders by using the high speed recording
technics].
AB - INTRODUCTION: Malfunctions of the human voice with unknown causes are denoted by
"functional voice disorders". The high speed video imaging technique (HVT) allows
the recording of the oscillating vocal folds in real time. A new method is
presented, describing different types of oscillation and their onset
mathematically. A precise classification of the kind of functional voice
disorders is possible. METHODS: For 71 young women, from the HVT recordings two
parameters were mathematically estimated, reflecting the phonation onset
dynamics: The threshold value A(th), corresponding with the myoelastic tone of
the vocal folds and a maximum sound pressure level of speech L(max),
characterising the efficiency of voice. RESULTS: Both values are sensitive for
functional voice disorders and enable a differentiation between these
pathologies. DISCUSSION: Significant differences of the computed parameters
between the pathological groups and normal voices substantiate the presented
method as a stable and objective tool for medical diagnosis.
PMID- 18050024
TI - [Glitazones critically evaluated].
PMID- 18050025
TI - [Knowledge and experience of palliative medicine among general practitioners in
Germany].
AB - BACKGROUND AND OBJECTIVES: Levels of experience and competence in palliative
medicine vary considerably among physicians. The aim of the study was to collect
information from specially interested general practitioners on education, pivotal
lectures and experience regarding the delivery of palliative care. SUBJECT AND
METHODS: 92 general practitioners (41 women and 22 men) attending a basic course
in palliative medicine were asked to fill in a standardized questionnaire
relating to their knowledge and experience of palliative medicine. 63 responded
(68%), 54 in general private practice, nine worked in a hospital. The same number
worked in urban and in rural health care facilities. RESULTS: The majority of
those questioned (53%) gained their first experience in palliative medicine as
junior hospital doctors about a quarter (26%) only after starting in private
practice. Many of the doctors (31%) admitted to taking more interest in
palliative medicine only after having made mistakes, a significant percentage
(20%) after the death of a relative. 28% expressed the view that practical
courses were an important part in learning about palliative medicine.
CONCLUSIONS: The implementation of practice-based c tuition of medical students
and of continuing education of established general practitioners and hospital
physicians in palliative medicine is indispensable.
PMID- 18050026
TI - [Acquired reactive perforating collagenosis associated with diabetes mellitus and
renal insufficiency requiring dialysis].
AB - HISTORY: A 60-year-old man with diabetes mellitus and chronic renal insufficiency
needing hemodialysis was admitted with a 3 months history of multiple
hyperkeratotic papules on the trunk and extremities partly ulcerated with a
keratotic central plug. INVESTIGATIONS: Laboratory tests revealed elevated levels
of blood urea nitrogen, creatinine, and HbA (1c). Histopathology showed vertical
strands of collagen perforating from the ulcerated lesions. COURSE, DIAGNOSIS AND
TREATMENT: The biopsy specimen was consistent with acquired reactive perforating
collagenosis. The progression was stopped and secondary wound healing was
initiated after two weeks of therapy with allopurinol and PUVA. CONCLUSION:
Acquired reactive perforating collagenosis should be considered when ulcera with
oystershell-like keratotic plugs are found especially in patients with
predisposing diseases like diabetes and renal insufficiency. A good
interdisciplinary cooperation between internist and dermatologist is crucial for
the early recognition by histopathology and the immediate treatment.
PMID- 18050027
TI - [Persistent left superior vena cava].
PMID- 18050028
TI - [Therapy with glitazones--a risk for cardiovascular disease?].
AB - INTRODUCTION: Thiazolidinediones significantly reduce fasting plasma glucose and
HbA (1c). These effects are due to improved insulin sensitivity by activating the
nuclear PPAR-gamma (peroxisomal proliferator-activated receptors-gamma) and
affection of different intracellular functions. The objective of this review was
to analyse recently published studies and meta-analyses about thiazolidinediones,
which suggested a significant cardiovascular risk associated with rosiglitazone
therapy. Therefore clinicians have been left uncertain as to whether
rosiglitazone should still be considered for the treatment of type-2-diabetes.
DISCUSSION: An important side-effect of thiazolidinediones is fluid retention and
edema. Therefore, heart failure NYHA I-IV is a contraindication for treatment
with Thiazolidinediones. Pioglitazone shows favourable changes in lipid
parameters like decrease of serum-triglycerides and increase of HDL-cholesterol,
while Rosiglitazone temporarily increases LDL-cholesterol. In patients with type
2-diabetes mellitus and a high cardiovascular risk the PROactive study did not
show a significant effect on the primary endpoint but significantly reduced the
predefined secondary combined endpoint of total mortality, nonfatal myocardial
infarction and stroke. Conversely, recently published meta-analyses suggested an
increased cardiovascular risk and myocardial infarction rate associated with
rosiglitazone therapy. CONCLUSION: Treatment with Rosiglitazone should be
reconsidered because of a potential cardiovascular risk. In high risk patients
without heart failure pioglitazone may be favoured for treatment of type 2
diabetes mellitus.
PMID- 18050029
TI - [Modern endoscopic procedures for diseases of the respiratory tract].
AB - Bronchoscopy is the most important diagnostic procedure for the work-up of
central bronchial processes and parenchymal lung diseases, such as lung cancer,
pneumonias or diffuse lung diseases. Recent progress - ultrasound, navigation
systems or ultrathin bronchoscopes - was made to achieve a better yield in the
diagnosis of peripheral and peribronchial lesions. Bronchial recanalisation by
thermal ablation or stenting is mainly performed with rigid instruments; these
procedures as well as brachytherapy in local anaesthesia should be restricted to
specialized centers. If pleuracentesis does not provide the etiology of pleural
effusion, thoracoscopy in local anaesthesia is the method of choice. The
procedure does not only provide a definite diagnosis for almost all of the
patients, it also allows the immediate option for talcum poudrage in case of
pleural malignancy.
PMID- 18050030
TI - [To disclose or not to disclose? Chances and risks of the publication of medical
quality comparisons].
PMID- 18050031
TI - [Percutaneous liver biopsy].
PMID- 18050032
TI - [What happens in the brain of my patients? Neuroimaging and neurogenetics as
ethical challenges in medicine].
AB - Precise diagnosis of many neurological or psychiatric diseases has for long been
one of the main problems in medicine. New diagnostic procedures like neuroimaging
or neurogenetics seem now able to change thi situation but evoke new ethical
questions. How should we cope with the fact that we may be able to diagnose and
even predict diseases like schizophrenia or Alzheimer's Disease? How should we
deal with incidental findings in neuroimaging? Can and should we use neuroimaging
or neurogenetic procedures to detect certain behavioral dispositions? A new
interdisciplinary research programme, called "neuroethics", can provide an
ethical orientation for such questions.
PMID- 18050033
TI - [Determining the current position regarding the value of pioglitazone for the
therapy of diabetes].
PMID- 18050034
TI - [Dopamine: less important in emergency medicine?].
PMID- 18050035
TI - Stabler's sign revisited in a spontaneously ruptured neuroblastoma of the
newborn.
AB - Retroperitoneal hemorrhage is known to cause bruising of flanks (Turner's sign),
umbilicus (Cullen's sign), pubis and groin (Stabler's sign), upper thigh (Fox's
sign), or scrotum (Bryant's sign). Spontaneous adrenal hemorrhage is the most
common cause of nontraumatic parietal ecchymosis sign in neonates. Only 20 such
neonatal cases have been reported in the literature. Because all of them were of
benign etiology, conservative management is generally recommended in the presence
of this sign. We report the sign for the first time in a case of spontaneously
ruptured adrenal neuroblastoma of newborn. Perinatal physicians need to be aware
of this unusual presentation of neonatal neuroblastoma.
PMID- 18050036
TI - A neonate with microphthalmia and median clefting of the lower lip and mandible.
AB - Median clefts of the lower lip and mandible are very rare congenital anomalies.
We report a female infant born to nonconsanguineous parents with unilateral
microphthalmia and complete median clefting of the lower lip and mandible. This
uncommon constellation of congenital malformations has never been reported in a
liveborn infant.
PMID- 18050037
TI - Effects of repeated courses of antenatal corticosteroids on somatic development
in children 6 to 10 years of age.
AB - This study assessed the effects of repeated courses of antenatal corticosteroids
on biometric characteristics, salivary cortisol, and heart function in children 6
to 10 years of age using a retrospective cohort study. Twenty-nine children whose
mothers had received two or more courses of antenatal corticosteroids were
identified from hospital charts. Eighty-seven children whose mothers did not
receive antenatal corticosteroids were frequency matched with the exposed group
by child's age, sex, and ethnicity. The body development, heart function, and
salivary corticosteroid level were evaluated at 6 to 10 years of age. The
percentiles of body measurements were calculated based on the 2000 Centers for
Disease Control and Prevention growth charts. The general linear models were
applied to assess the observed association. Decreased head circumference (
P=0.017) and body mass index (BMI) ( P=0.047) in children 6 to 10 years of age
were associated with repeated courses of antenatal corticosteroids. Morning
salivary cortisol level was lower in the exposed group than the unexposed group (
P=0.048). No difference was found in height, weight, blood pressure, heart rate,
and echocardiogram measurements between the two groups. Repeated courses of
antenatal corticosteroid therapy are associated with decreased head
circumference, BMI, and salivary cortisol level in children 6 to 10 years of age.
PMID- 18050038
TI - [Experience with the G-DRG-system five years after its introduction, as assessed
by the Society of Pediatric Oncology and Hematology (GPOH)].
PMID- 18050039
TI - Defining leukemia stem cells in MLL-translocated leukemias: implications for
novel therapeutic strategies.
AB - Hematological malignancies and probably many other tumors are dependent on highly
proliferating and self-renewing cancer stem cells. An important question in the
development of novel, less toxic antileukemic strategies specifically targeting
leukemia stem cells is how closely leukemia stem cells are related to normal
hematopoietic stem cells. It has been recently demonstrated that leukemia stem
cells can be derived from different stages in normal hematopoiesis and have
unique phenotypic and genetic features. Introduction of Mixed-lineage leukemia (
MLL)-fusion oncoproteins, frequently found in infant leukemias and therapy
related leukemias, into differentiated hematopoietic progenitor cells results in
the generation of leukemias with a high frequency of leukemia stem cells. The
progenitor-derived leukemia stem cells ectopically express a limited stem cell
program while maintaining the global identity of differentiated myeloid cells.
Development of therapeutic strategies that specifically target the leukemia stem
cell program while sparing normal hematopoietic stem cells may represent a novel
therapeutic approach in human leukemias with high efficacy yet less side effects.
PMID- 18050040
TI - Which clinical and biological tumor markers proved predictive in the prospective
multicenter trial HIT'91--implications for investigating childhood
medulloblastoma.
AB - BACKGROUND: Most recent studies analyzing candidate biological prognostic factors
in childhood medulloblastoma (MB) are limited by small patient numbers due to
dependence on fresh-frozen tumor material. By contrast, large archives of
formalin-fixed, paraffin-embedded MB samples exist from homogeneously treated
patients. PATIENTS AND METHODS: We have optimized RNA and DNA isolation from
formalin-fixed paraffin-embedded MB samples. We then analyzed archived tumor
samples from well-documented patients treated within the prospective randomized
multicenter trial HIT'91 for DNA amplification of c-myc and N-myc, and mRNA
expression of c-myc and trkC. RESULTS: TrkC and c-myc mRNA expression were
identified as independent prognostic factors by multivariate analysis. Three risk
groups were identified: 1) Favorable risk group: All 8 patients (2 metastatic)
with elevated trkC and reduced c-myc mRNA expression (compared to levels of human
cerebellum) remained relapse-free (7-year EFS 100%). 2) Poor risk group: 10 of 15
patients with metastatic disease and high c-myc and low trkC mRNA expression
relapsed (7-year EFS 33%). 3) Intermediate risk group: The 7-year EFS of the
remaining 78 patients was 65%. CONCLUSIONS: While the collection of fresh-frozen
tumor samples is remaining a major challenge in large clinical trials, routinely
processed paraffin-embedded tissue samples can be used to quantitate biological
prognostic factors on the DNA and RNA level. Upon prospective validation of cut
off levels, this may lead to better risk-based stratification systems for
children with medulloblastoma.
PMID- 18050042
TI - First experiences with laparoscopic adjustable gastric banding (LAGB) in the
treatment of patients with childhood craniopharyngioma and morbid obesity.
AB - Craniopharyngiomas are embryogenic malformations which lead to eating disorders
and morbid obesity due to hypothalamic involvement in about 50% of all patients
with pediatric craniopharyngioma. The experience with laparoscopic adjustable
gastric banding (LAGB) in obese craniopharyngioma patients is limited. We are
reporting on four patients with childhood craniopharyngioma diagnosed at age 2,
11, 12, and 21 years. BMI-SDS at diagnosis was +0.9, +4.5, +4.7 and -0.1 SD.
During follow-up, all patients developed morbid obesity (BMI-SDS: +13.9, +10.3,
+11.4, +7.3) so that 11, 6, 9 and 3 years after diagnosis LAGB were performed.
After a follow-up of 4.5, 1.5, 3.0 and 2.5 years BMI decreased or stabilized
continuously in all patients (BMI-SDS at latest visit: +9.9, +9.7, +9.5, +5.9
SD). The eating behavior changed in all patients profoundly. The addiction to
food and especially sweets significantly improved based on self-assessment. In
two patients a dislocation of the LAGB occurred and resulted in weight gain. We
conclude that LAGB could be effective in weight reduction of obese
craniopharyngioma patients with hypothalamic syndrome. Close follow-up is
necessary in order to analyze long-term effects and complications of LAGB in
patients with childhood craniopharyngioma and morbid obesity.
PMID- 18050041
TI - Serial intense chemotherapy combining topotecan, etoposide, carboplatin and
cyclophosphamide (TECC) followed by autologous hematopoietic stem cell support in
patients with high risk soft tissue sarcoma (STS).
AB - In nine patients (pts) with soft tissue sarcoma refractory to conventional
therapy (incomplete response or relapse) intensified chemotherapy was
administered combining 0.75 mg/m (2) topotecan, 100 mg/m (2) etoposide, 100 mg/m
(2) carboplatin and 200 mg/m (2) cyclophosphamide on day 1-5 (TECC). To avoid
prolonged intervals between the serial TECC courses autologous hematopoietic stem
cell supports (median 1.0 x 10(6) CD34+ cells per kg body weight (bw), range 0.5
2.8 x 10(6) CD34+ cells/kg bw, SD 0.6 x 10(6) CD34+ cells/kg bw) were given on
day 7. All pts received granulocyte colony stimulating factor (GCSF) from day 8
in addition. All together 39 TECC courses (minimum 2 courses, maximum 6 courses
per pt) were administered, with a median interval of 32 (range 21-52) days until
recovery. Leukopenia (<1000/microl) occurred 9 days (range 3-13 days; SD 2.4
days) after end of chemotherapy and persisted for 9 (range 3-15 days; SD 3 days)
days. In 31/39 TECC courses readmission to hospital was required for supportive
therapy mainly due to neutropenic fever. In this period pts received 0.83 (range
0-1) red blood cell units and 2.35 (range 1-4) platelet units. C-reactive protein
in neutropenic pts as an indicator for infection after TECC chemotherapy was
detectable after 36 of 39 chemotherapy courses leading to further supportive
therapy (median 10.4 mg/dl, range 1.1-28.3 mg/dl; SD 6.67 mg/dl). Duration of
total inpatient treatment per TECC course including supportive therapy was in
median 13.5 days (range 7-53 days; SD 4.3 days). Only two children had a
prolonged infection (77 and 100 days). Clinical and objective tumor responses,
defined as complete remission, very good partial response and partial response
were observed in 9/9 pts at eight weeks after the last TECC course and were
maintained at six months in 7/9 pts. Median time to progression and median
overall survival time after TECC chemotherapy were 20.3 months and 25.2 months,
respectively. These data provide evidence that in very high risk pts refractory
to standard high risk therapy, a combination of TECC chemotherapy and stem cell
support is feasible in pts with incomplete remission respectively relapsed STS
pts and demonstrates promising antineoplastic activity. Therefore, this regimen
warrants further investigation in prospective trials.
PMID- 18050043
TI - [Results of an endocrinological follow-up of patients with childhood-or
adolescent-onset malignancies].
AB - BACKGROUND: Established reports about endocrine follow-ups in children and
adolescents with cancer were rare. PATIENTS: 53 children were included in the
clinical trial. The mean age was 9.6 years (0.5; 17.2 years), 10 patients died
within the study period. The mean body length was normal with -0.14 SDS (-2.3;
2.5 SDS), as well as the body weight with 0.01 SDS and BMI with a mean of -0.03
SDS. Children and adolescents with different types of malignant tumors were
included. According to the therapy protocol or tumor entity we divided this
population in 5 subgroups (group 1 leukemia with 17 patients, group 2 lymphoma
with 11 patients, group 3 tumor of CNS with 10 patients, group 4 bone and soft
tissue tumors with 8 patients, group 5 different tumors with 7 patients). METHOD:
Anthropometrical and laboratory parameters were analyzed in intervals of 6 months
over 2 years from the time point of diagnosis. RESULTS: We found differences in
body height in children affected by cerebral tumors at the time of diagnosis and
therefore before any therapy was started. These patients were significantly
shorter (-0.6 SDS) than the other children. The body weight increased within the
first year of therapy and was still higher than normal in the second year
(comparison at the time point; from start to the first year+0.5 SDS, to second
year+0.4 SDS) independently from the cortisone administration. Moreover,
significant differences in the growth factor concentrations between the groups
and time points were identified. Interestingly, children who survived their
malignant disease tended to have higher levels of IGF-I and IGFBP-3 concentration
than the patients who died within the study period. Additionally, the thyroid
function was affected, shown as an increase of TSH with a concomitant decrease of
the free thyroxin in 91% of all patients independent from the diagnosis (start
TSH 1.8, fT4 15.6, after first year TSH 2.8, fT4 15.0). Thyroid function was
monitored in 12 children, in 5 patients a short- or long-term substitution with
thyroid hormone was indicated. Endocrine testing was initiated in 4 children, in
2 patients affection of the adrenal gland could be excluded, a suspected
pituitary dysfunction after radiation was confirmed in 2 patients. CONCLUSION: We
could represent that children and adolescents with malignant diseases showed
affection of the endocrine system due to the tumour and the intensive therapy.
The dysregulations in the endocrine system can be diagnosed through closely
spaced monitoring and interdisciplinary cooperation.
PMID- 18050044
TI - From neonates to adolescents--the diagnostic significance of pitted erythrocytes
in hyposplenic and asplenic children.
AB - BACKGROUND: Splenic function may be reduced or absent in a range of medical
conditions in childhood, most prominently in homozygous sickle cell disease,
celiac disease, or after total or partial splenectomy. In neonates and patients
with malignant disease, transient hyposplenia has been reported as well. A simple
method with reliable reference values is required to determine a patient's
splenic function and thereby assess the risk of systemic infection. PATIENTS:
Pitted erythrocytes (pitE) were determined semi-quantitatively in patients up to
20 years of age. This included splenectomized individuals, patients at risk for
hyposplenia (homozygous sickle cell anemia (HbSS), leukemia, nephroblastoma and
Hodgkin's disease after irradiation, patients after stem cell transplantation
(SCT)), term and preterm neonates, and 90 controls (0-20 years of age, no
neonates). METHOD: A capillary blood sample was diluted in buffered
glutaraldehyde. PitE were scored using differential interference contrast
microscopy with Nomarski optics. RESULTS: PitE were <2% in all controls
regardless of age, in splenectomized individuals >18%. In patients with HbSS,
pitE scores ranged from 6.2 to 44%. Scores did not exceed 2% in patients after
SCT, irradiation, or during chemotherapy for leukaemia. In term neonates, pitE
were increased in the perinatal period only. The elevation in preterm neonates
persisted up to 2 months after birth. CONCLUSION: Serial measurement of pitE can
be used to accurately and reliably assess splenic function in children. Except
for neonates, pitE are consistently <2% in healthy individuals. For clinical
purposes, the degree of hyposplenia can be determined to give an estimation of
the risk of severe infection, e.g. in patients with HbSS or after partial
splenectomy.
PMID- 18050045
TI - Severe combined immunodeficiency signalized by eosinophilia and lymphopenia in
rotavirus infected infants.
AB - BACKGROUND: Severe combined immunodeficiency (SCID) is a heterogeneous disease
consisting of several different subtypes. Most subtypes present during infancy
and without treatment, infections usually lead to early death. Diagnosis of SCID
can be difficult as new subtypes are expected to be discovered soon. Late
diagnosis is associated with a poorer outcome. Infections like rotavirus
enteritis cannot be cleared in children with SCID due to impaired immunity. The
aim of our study was to identify clues in children with rotavirus enteritis that
aid to diagnose SCID early. PATIENTS AND METHODS: Total white blood counts in a
cohort of SCID patients with persistent rotavirus infection at diagnosis (n=18)
were compared to total white blood counts in matched control patients without
SCID but with rotavirus infection. RESULTS: Relative and absolute lymphopenia and
eosinophilia were more common in SCID patients (p<0.005). CONCLUSION: In infants
with rotavirus infection, a full blood count should be performed: Eosinophilia
and/or lymphopenia raise a high suspicion of SCID.
PMID- 18050046
TI - [Wegener's granulomatosis in pediatric patients].
AB - Wegener's Granulomatosis (WG) is a disease occurring rarely in childhood and
adolescence. Together with the Churg-Strauss-Syndrome and the microscopic
Polyangiitis it belongs to the vasculitis syndromes associated with ANCA. WG
mostly affects the upper and lower respiratory tract and kidneys. It is
characterized by a chronic development and high tendency to relapse. In cases of
persistent disturbances of the respiratory system which do not have infectious or
allergic geneses differential diagnosis should also consider WG. Although
clinical course and prognosis have improved since the introduction of
immunosuppressive therapy, WG, leading to renal failure in about one third of all
cases, remains a disease which has to be taken seriously. Early treatment has
been proven to improve prognosis. Due to the necessity of longterm treatment less
toxic immunosuppressive therapy should be applied (e.g. Methotrexate).
PMID- 18050047
TI - Diphtheria (D) and tetanus (T) antibody values in children with acute
lymphoblastic leukaemia (ALL) after treatment according to Co-ALL 05/92.
AB - BACKGROUND: Children and adolescents after acute lymphoblastic leukemia are at
risk for a prolonged period of immunodeficiency. Normally within 6 to 9 months
after the end of maintenance treatment an adequate immune recovery is present.
Factors such as immunity against specific antigens prior to disease (applied
baseline vaccination), intensity of treatment and age can play a role in the
appearance of antibodies in serum. Diphtheria (D) and Tetanus (T) antibodies are
known to appear within 3 to 6 months after end of treatment as a sign of immune
recovery and the reinstatement of immunological memory. A number of different
questions are of interest: What differences are seen in the antibodies to D and T
in children of different ages after treatment with a standardized protocol? What
is the influence of post-treatment revaccination with Diphtheria/Tetanus (D/T)
and treatment group on the production of D/T antibodies? PATIENTS AND METHODS:
Out of 142 children and adolescents until the age of 16, treated according to the
Co-ALL 05/92 protocol, 59 patients were eligible for evaluation: 31 Low-Risk (LR)
and 28 High-Risk (HR) patients. Antibodies against Diphtheria (D) and Tetanus
(T) were measured 3-12 months after the end of treatment and after revaccination
in case of low antibody levels against D and/or T. In patients without adequate
response after repeated revaccination the cellular immunity was examined with a
skin test. RESULTS: After the end of treatment, children in the low-risk (LR)
group showed more frequently adequate antibody titres against D and T than
children of the high-risk (HR)-group. Antibodies against T were present in 50% of
all patients. After revaccination antibodies against T were found in nearly all
patients whereas for D this is only the case in some children. Patients without
sufficient antibody levels mainly showed an adequate cellular immunity.
CONCLUSION: In children and adolescents with ALL after therapy antibody levels of
D and T are dependent on treatment intensity. Revaccination leads to an adequate
immunological answer against T in most patients , which is not the case for the
diphtheria vaccination. Prospective multicenter trials starting together with the
ALL-treatment should be able to gain more information about the behavior of
antibody levels and the risk of infection from vaccine-preventable disease in
immunocompromised patients and thus lead to standardized vaccination guidelines
such as immunization with conjugate vaccines already during maintenance
treatment.
PMID- 18050049
TI - [Position paper by the Gesellschaft fur Padiatrische Onkologie und Hamatologie
(GPOH) and the Deutsche Leukamie-Forschungshilfe (DLFH) on psycho-social care for
pediatric oncology and hematology patients in hospital].
AB - Psychosocial Care has been an integral part of the overall treatment in pediatric
oncology for 25 years. The need for it and its effectiveness are well documented
in several reputable studies and psychosocial service has proved its worth in
everyday clinical settings. In order to secure comparable quality standards and
in consequence of reorganisations within the health-care system a position paper
has been prepared and adopted by the Psychosoziale Arbeitsgemeinschaft in der
Padiatrischen Onkologie und Hamatologie (PSAPOH), the Gesellschaft fur
Padiatrische Onkologie und Hamatologie (GPOH) and the Deutsche Leukamie
Forschungshilfe (DLFH). The following article gives a short survey of this
position paper and provides insight into the goals, conditions and outcomes of
psychosocial care in pediatric oncology and hematology.
PMID- 18050048
TI - [A rare inborn error of intracellular processing of cobalamine presenting with
microcephalus and megaloblastic anemia: a report of 3 children].
AB - INTRODUCTION: Defects of methionine synthase or methionine synthase reductase
result in an impaired remethylation of homocysteine to methionine. Patients
present with megaloblastic anemia, failure to thrive and various neurological
manifestations including mental retardation, cerebral atrophy, muscular hypotonia
or hypertonia, ataxia, seizures, nystagmus and visual disturbances. PATIENTS: We
report on three children (two girls, one boy), aged 3.5-7.5 years, who presented
with severe megaloblastic anemia, micro-cephalus and partly nystagmus (2/3) due
to a rare inborn error of remethylation. RESULTS: Methionine synthase reductase
deficiency, cblE type of homocystinuria (OMIM 236270), is a rare autosomal
recessive inherited disorder described only in 14 patients worldwide. Metabolic
hallmarks of the disease are hyperhomocysteinemia (median 98 micromol/l, normal
range <15) without methylmalonic aciduria but often hypomethioninemia. The
patients described here were diagnosed at ages of 2-18 months. The importance of
an early recognition of this possibly underdiagnosed congenital disease is
stressed. Treatment consisted of the application of hydroxocobalamine (1-2 mg
weekly, i.m.), betaine (100-200 mg/kg daily, p.o.), folate (5-10 mg daily, p.o.)
and intensive physical therapy. CONCLUSION: Defects of intracellular processing
of cobalamine must be considered in all patients with neurological symptoms in
combination with megaloblastic anemia. Measurements of homocysteine and
methionine in plasma as well as methylmalonic acid in urine is required for
confirming the diagnosis. Early treatment im-proves the outcome, although mental
disability may not be prevented. Treatment has a positive impact on megaloblastic
anemia but only slight effect on hyperhomocysteinemia. The long-term
cardiovascular risk of hyperhomocysteinemia in cblE deficient patients is not
known yet.
PMID- 18050050
TI - [Diagnostic and differential-diagnostic considerations regarding the assessment
of children's reactions within the scope of psychosocial care in paediatric
oncology and haematology].
AB - Oncological diseases in children and adolescents represent a considerable burden
for the children themselves and for their families. In order to position such
concomitants of a life-threatening disease appropriately among the diagnostic
options of psychosocial cancer care one is repeatedly confronted with the issue
of giving a diagnosis from the area of reactions to burdens and adaptive
disturbances (ICD 10: F: 43 ff). This touches the question of whether or not
confronting a life-threatening disease in and by itself suffices to fully justify
establishing one of the psychiatric diagnoses mentioned, which would imply that
the intensity of the burden experienced justified the assumption of a psychiatric
disturbance in the person affected. Whereas considerable effort is regularly
spent on taking action and giving support and care, and reactions occasionally
exceed the appropriate degree, a fair majority show no pathological processes
that would justify determining a psychiatric diagnosis as a rule. Ultimately, one
would arrive at a false interpretation of actually altogether adequate reactions
of the patient to the burden. The ICD and DSM classification systems, however, do
not provide for diagnoses other than those allocated disease status; it must
therefore be considered whether it may be better in these cases to speak not of a
reaction to a burden or an adaptive disturbance in the sense of the ICD 10,
Section F, but rather of a sustained reaction to a burden. This opinion is
increasingly gaining acceptance in pediatric oncology.
PMID- 18050053
TI - Moment-knee angle relation in well trained athletes.
AB - The purpose of this work was to investigate whether different modes of long-term
competitive physical activity cause functional differences in the moment-knee
angle relation of the M. quadriceps femoris (QF). Therefore, a sample (n = 40) of
young male competitive endurance runners, cyclists, triathletes and tennis
players performed isometric maximal voluntary knee extensions (MVC) with their
stronger leg at six different knee joint angles while keeping the hip joint angle
constant. Muscle activation of QF-muscles during MVC was estimated using surface
electromyography (EMG). Moments and EMG data of each subject were normalized to
the largest value produced at any knee joint position [% Max.]. No significant
differences in the normalized [% Max.] moment-knee angle relation of the QF were
found between endurance runners, cyclists and triathletes. Despite few
unsystematic exceptions, no functional differences in the normalized moment-knee
angle relation of the QF occurred among tennis players and the endurance-oriented
athletic groups. Obtained by curve fitting, the optimal knee joint angle for
moment production was not significantly different among all athletic groups. We
conclude that long-term competitive endurance running, cycling, triathlon and
tennis do not provoke functional differences in the moment-knee angle relation of
the whole QF.
PMID- 18050051
TI - [Assessment of staffing and infrastructures of paediatric oncology and
haematology centres in Germany].
AB - BACKGROUND AND METHODS: At the end of 2003, the Competence Network Paediatric
Oncology and Haematology conducted a survey of paediatric oncology centres in
Germany as one of its measures to support and advance the collaboration of
paediatric oncology centres and trials in science and health care. There was a
lack of key figures to describe their combined position in the health care
system. The survey aimed to quantify existing structures in terms of personnel,
facilities, and patients as well as to collect preliminary information on
patterns of care and on quality assurance. Starting with the largest patient
numbers, 53 German centres were included, which cared for at least 10 patients
under the age of 15 years with a newly diagnosed malignant disease per year. MAIN
RESULTS: 49 (92%) centres contributed to the survey. 40 centres cared for a total
of 1712 patients under the age of 15 years with a first occurrence of a malignant
disease, which corresponds to 83% of all such patients registered in the German
Childhood Cancer Registry in 2003. The total number of patients cared for in
these centers, which also includes those with a relapse and the above 15-year
olds, exceeds the Registry numbers by about 50%. The survey's outcome on staffing
revealed about two work positions per bed (in-patient or day-clinic). A
significant part of this personnel is financed by third-party funds. On average,
the centres responding to the survey were equipped with 7 physician, 21 nursing
and 4,4 psychologist, social worker, medical documentarist, and secretariat posts
to care for a mean of 54 patients or 18 in-patient beds. Including those working
positions financed by third-party funds, the majority of centres scored staffing
as good or excellent. Yet, one out of ten centres scored the staffing of one or
more occupational groups as poor. CONCLUSIONS: The survey provided for the first
time a national assessment of the variable levels of staffing and facilities in
the most relevant German paediatric oncology centres. The data indicate that the
relationship between several key figures such as the Registry patient subset's
numbers and in-patient bed numbers, for example, is weak, whereas physician post
numbers, for example, correlate reasonably well with actual patient numbers.
Further data include the variety of special health care offered and preliminary
provisions for quality assurance per centre. According to a comparison with a
seminal publication on needs in German paediatric oncology health care published
in 1991 and with a needs survey of the UK National Institute for Health and
Clinical Excellence (NICE), there seems to be an insufficient response to the
needs, which is undermined by the centres survey responses. In view of the DRG
reimbursement system being introduced throughout German health care, future
surveys should also focus on key figures related to the DRG system such as case
numbers, but such data should be merged with patient data in order to maintain a
perspective on the course of health care provision to children and young adults
with cancer.
PMID- 18050054
TI - Effect of regular exercise on senile dementia patients.
AB - Dementia population worldwide is considerable in elderly people. Exercise
regulates the brain function, but the mechanism by which it does so is unknown.
The effect of regular exercise on cognitive function and exercise capacity in
senile dementia patients was investigated. Thirty female patients with senile
dementia who participated in the study were divided into two groups: the exercise
group (EG, n = 15) and the control group (CG, n = 15). The exercise group
completed a regular exercise program, and their cognitive function, activities of
daily living and exercise capacity levels were evaluated at baseline, 6 months
and after 12 months. Subjects exercised 30 - 60 minutes per day, 2 - 3 times per
week for 12 months. Mini-mental state examination (MMSE) (pre: 14.53 +/- 5.34,
post: 17.47 +/- 6.90) and ADL (pre: 14.40 +/- 5.32, post: 17.53 +/- 5.46) scores
were significantly enhanced in the exercise group with senile dementia, compared
to those in the control group. Exercise capacities such as cardiopulmonary
function (pre: 128.47 +/- 55.43, post: 184.40 +/- 41.16), muscle strength (pre:
10.07 +/- 3.61, post: 13.7 +/- 3.90), muscular endurance (pre: 8.13 +/- 4.45,
post: 12.13 +/- 5.14), flexibility (- 1.53 +/- .30, post: 2.20 +/- .70, balance
(pre: 1.73 +/- .28, post: 1.20 +/- .77), and agility (pre: 21.80 +/- 3.24, post:
10.87 +/- 2.99) also increased in the exercise group. Our findings showed that
regular exercise can enhance cognitive and functional activity scores in dementia
patients, suggesting that senile dementia may improve by participating in a
regular exercise program.
PMID- 18050055
TI - Reliability of power output during dynamic cycling.
AB - The aims of the present study were to determine the influence of familiarization
on the reliability of power output during a dynamic 30-km cycling trial and to
determine the test-retest reliability following a 6-week period. Nine trained
male cyclists performed five self-paced 30-km cycling trials, which contained
three 250-m sprints and three 1-km sprints. The first three of these trials were
performed in consecutive weeks (Week 1, Week 2 and Week 3), while the latter two
trials were consecutively conducted 6 wk following (Week 9 and Week 10). Subjects
were instructed to complete each sprint, as well as the entire trial in the least
time possible. Reproducibility in average power output over the entire 30-km
trial for Week 2 and 3 alone (coefficient of variation, CV = 2.4 %, intra-class
correlation coefficient, ICC = 0.93) was better than for Week 1 and 2 (CV = 5.5
%, ICC = 0.77) and Week 9 and 10 alone (CV = 5.3 %, ICC = 0.57). These results
indicate that high reliability during a dynamic 30-km cycling trial may be
obtained after a single familiarization trial when subsequent trials are
performed within 7 days. However, if cyclists do not perform trials for six
weeks, the same level of reliability is not maintained.
PMID- 18050056
TI - MMP-2, jumping exercise and nandrolone in skeletal muscle.
AB - Matrix metalloproteinases (MMPs) are crucial to the development and maintenance
of healthy tissue. The aim of this study was to investigate MMP-2 activity in
gastrocnemius, soleus, extensor digitorium longus (EDL) and tibialis anterior
(TA) muscles after exercise associated with an anabolic androgenic steroid (AAS).
Wistar rats were grouped into: sedentary (S); sedentary with AAS (AAS); trained
without (T) and with (AAST) AAS. Exercised groups performed a 7-week water
jumping program. The exercise increased MMP-2 activity in gastrocnemius and
soleus, but not in TA and EDL. The AAS treatment decreased MMP-2 activity of EDL,
as compared to the S group, with no effect in gastrocnemius and soleus. The
association of AAS and training restored the normal MMP activity of EDL. Training
increases tissue turnover in primary motor muscles, which in turn respond
differently to AAS treatment. This data may be useful for a better understanding
of muscular physiology under AAS use.
PMID- 18050057
TI - Discrimination of recombinant and endogenous urinary erythropoietin by
calculating relative mobility values from SDS gels.
AB - Erythropoietin (EPO) promotes the production of red blood cells, the key factor
in the regulation of the oxygen transport, and has been abused by athletes for
performance enhancement in endurance sports. Current methods to detect EPO misuse
are based on isoelectric focussing (IEF), double blotting, and chemiluminescence
detection. A new approach utilizing SDS-PAGE mobilities of target analytes is
presented. Employing two internal standards (novel erythropoiesis stimulating
protein and recombinant rat EPO), the assay provides a tool which allows the
calculation of relative mobility values for endogenous urinary EPO and
recombinant epoetins (e.g., Dynepo) and, thus, the distinction of these analytes
in doping control samples. A reference group of 53 healthy volunteers and samples
originating from a Dynepo (epoetin delta) excretion study conducted with a single
person were analyzed and led to a significant discrimination of endogenous
urinary and recombinant EPO. A clear differentiation was accomplished over a
period of four days post-administration of a single injection of 50 IU/kg body
weight. Hence, the method may be useful as a screening procedure in doping
control or as complementary confirmation tool to the established IEF assay.
PMID- 18050058
TI - Cardiac autonomic responses to standing up and cognitive task in overtrained
athletes.
AB - This study compared the autonomic responses to an active orthostatic test and
Stroop Color Word Test (Stroop) as well as cognitive performance in Stroop in
twelve severely overtrained (OA, 6 men and 6 women) and twelve control athletes
(CA, 6 men and 6 women). RR-intervals were recorded during the orthostatic test,
the Stroop, and a relaxation period succeeding the Stroop. Low frequency power
during standing in the orthostatic test was lower in OA than in CA (1322 +/- 955
ms2 vs. 2262 +/- 1029 ms2, p = 0.030, respectively). During Stroop, OA had higher
relative total power (50 +/- 47 % vs. 19 +/- 14 % of the individual total power
during supine rest after awakening, p = 0.028, respectively) and high frequency
power (38.5 +/- 9.4 % vs. 13.5 +/- 2.3 % of the individual high frequency power
during supine rest after awakening, p = 0.035, respectively) than CA. In the
Stroop, OA made more mistakes than CA (9.7 +/- 6.5 % vs. 5.4 +/- 3.0 %, p =
0.045). The increase in absolute total power from the Stroop to relaxation
correlated negatively with the amount of mistakes in the Stroop (r = - 0.588, p =
0.003). Thus, cardiac autonomic modulation during orthostatic task and responses
to cognitive task and to relaxation, as well as the cognitive performance were
attenuated in severe overtraining.
PMID- 18050059
TI - Seasonal variation of red blood cell variables in physically inactive men:
effects of strength training.
AB - The purpose of this study was to investigate if strength training affects red
blood cell variables in physically inactive men when taking into account seasonal
variations. Seventy-four men aged 20-45 were randomly assigned to training (n =
52) and control (n = 22) groups. Training group underwent 20-week progressive
strength training. Body composition and maximal voluntary contraction (MVC)
during knee extension were measured before and after intervention. Fasting blood
samples were analysed for haematocrit (Hct), count of red blood cells (RBC),
haemoglobin (Hb), mean cell haemoglobin concentration (MCHC), and mean cell
volume (MCV) at baseline, 10-week and 20-week follow-up. MVC and lean body mass
increased in the training group. Hct, Hb and MCHC showed seasonal variation in
the control group. The training group increased their Hct from 44.7 +/- 2.6 % to
45.4 +/- 2.5 % (p = 0.026) while the control group decreased their Hct from 44.3
+/- 2.2 % to 43.1 +/- 2.6 % (p = 0.037) after 20-week intervention. By contrast
to the control group, the training group increased their Hct (p = 0.001), RBC (p
= 0.005) and decreased their MCHC (p < 0.001) from 10-week to 20-week follow-up.
We concluded that strength training could affect seasonal variation patterns of
red cell variables. Unlike "sport anaemia" induced by endurance training, 20-week
strength training elevated Hct.
PMID- 18050060
TI - Hip abductor weakness is not the cause for iliotibial band syndrome.
AB - Muscular deficits in the hip abductors are presumed to be a major factor in the
development of Iliotibial Band Syndrome in runners. No definite relationship
between muscular weakness of the hip abductors and the development of Iliotibial
Band Syndrome or different ratios between hip adduction to abduction have been
reported so far. Isokinetic measurements were taken from 10 healthy runners and
10 runners with Iliotibial Band Syndrome. Primary outcome variables were
concentric, eccentric, and isometric peak torque of the hip abductors and
adductors at 30 degrees/s, and a concentric endurance quotient at the same angle
velocity. Differences in muscle strength of the hip abductors between healthy
(CO) and injured runners (ITBS) were not statistically significant in any of the
muscle functions tested. Both groups showed the same strength differences between
hip adduction and abduction, and increased strength in hip adduction. Weakness of
hip abductors does not seem to play a role in the etiology of Iliotibial Band
Syndrome in runners, since dynamic and static strength measurements did not
differ between groups, and differences between hip abduction and adduction were
the same. Strengthening of hip abductors seems to have little effect on the
prevention of Iliotibial Band Syndrome in runners.
PMID- 18050061
TI - Different diagnostic tools in nonfunctional overreaching.
AB - The current diagnosis of overreaching and overtraining is based on exclusion. In
the present paper, four possible confirmative tools have been examined in three
female speed skaters between 16 and 19 years old. A nonfunctional overreached
(NFO) athlete, an athlete who was recovering from NFO and a healthy athlete were
examined. The NFO athlete showed high stress and low regeneration levels at the
Recovery Stress Questionnaire for Athletes. The recovering athlete showed a more
favorable profile, although she still showed higher stress and lower recovery
than the control athlete. On the Profile of Mood States, the NFO athlete showed
an unfavorable profile. The control athlete showed the typical iceberg profile.
The recovering athlete showed a profile similar to sedentary individuals. Results
on a reaction time task showed decreased performance under pressure for the NFO
but not for the control and the recovering athlete. Hormonal reactions to two
maximal exercise bouts also differed between the three subjects with an
overreaction after the second exercise bout of the NFO athlete as the most
remarkable finding. The Recovery Stress Questionnaire for Athletes, reaction
times and hormonal reactions to exercise showed to be possible tools that can be
used in the diagnostic procedure.
PMID- 18050062
TI - Tibial wedge osteotomy for osteochondral transplantation in talar lesions.
AB - Between 1999 and 2002, 16 patients with osteochondral lesions on the central and
posterior talar dome underwent osteochondral autografting. A new approach with
temporary removal and replacement of a tibial bone block from the anterior tibial
plafond was adopted. Inclusion criteria were joint stability, an age between 18
and 50 years, and osteochondral lesions stages 3 and 4 according to the
radiological classification of Loomer, for which previous arthroscopic treatment
was not successful. All patients underwent clinical and MRI evaluation after 12,
35 and 59 months. The AOFAS Ankle Hindfoot score improved significantly between
the preoperative period and 1 year (p < 0.001), between 1 and 3 years (p <
0.001), but not between 3 and 5 years postoperative (p = 0.37). The score was
independent from patients gender (p = 0.44) and age. The Spearman coefficient of
correlation between clinical outcome and defect size was - 0.79 (p = 0.01),
indicating that patients with small lesions had the best results. Control
radiographs and MRIs showed no reduced joint space and good integration of the
tibial bone block without incongruency. Osteochondral grafting with temporary
removal of a tibial bone block is a successful technique with good midterm
results in osteochondral talar lesions for which arthroscopic excision, curettage
and drilling has failed.
PMID- 18050063
TI - Psychological effects of music tempi during exercise.
AB - The purpose of this study was to investigate the effects of music tempi on music
preference, intrinsic motivation, and flow during long-duration exercise
(approximately 26 min). Subjects (n = 29) selected the music of a single artist
then walked at 70 % of maximum heart rate reserve (maxHRR) on a treadmill under
three experimental conditions (medium tempi, fast tempi, and mixed tempi) and a
no-music control. A music preference item, the Intrinsic Motivation Inventory,
and Flow State Scale-2 were completed after each trial. Data were analyzed using
a mixed-model (Gender x Condition) ANOVA and MANOVA. The Gender x Condition
interaction was nonsignificant in both analyses (p > 0.05). Contrary to
expectations, higher preference scores were recorded for medium tempi than for
mixed tempi (means: 7.8 +/- 1.3 vs. 7.1 +/- 1.1). The medium tempi music also
yielded the highest levels of intrinsic motivation (p < 0.001). Pairwise
comparisons showed that interest-enjoyment was higher for medium tempi when
compared to mixed tempi, 95% CI = 1.80-8.48, p = 0.001, and that each of the
music preference experimental conditions yielded higher scores than the no-music
control. Also, pressure-tension was lower for medium tempi compared to fast
tempi, 95% CI = -3.44-0.19, p = 0.022, and for both medium and mixed tempi
compared to control (95% CI = -5.33-2.89, p = 0.000; 95% CI = -4.24 - 0.64, p =
0.004). A main effect was found for global flow (p = 0.000) with the highest mean
score evident in the medium tempi condition (14.6 +/- 1.5). Follow-up comparisons
indicated that the medium tempi condition yielded higher flow scores than the
control, 95% CI = 1.25-3.60, p = 0.000, as did fast tempi, 95% CI = 0.89-3.14, p
= 0.000, and mixed tempi, 95% CI = 1.36-3.76, p = 0.000. It was concluded that a
medium tempi music program was the most appropriate for an exercise intensity of
70% maxHRR.
PMID- 18050064
TI - Cerebrospinal fluid and serum chitotriosidase levels in patients with aneurysmal
subarachnoid haemorrhage: preliminary results.
AB - AIM: The purpose of this study was to investigate the time course(s) of the
cerebrospinal fluid and serum chitotriosidase changes in patients with aneurysmal
subarachnoid hemorrhage and to show whether cerebrospinal fluid and/or serum
chitotriosidase levels might be used as a specific marker for disease severity.
MATERIAL AND METHODS: Chitotriosidase in the cerebrospinal fluid and serum was
measured within the first 3 days, at Day 5 and at Day 7 after aneurysmal
subarachnoid hemorrhage in 20 patients, and the results were compared to 8
patients with normotensive hydrocephalus. RESULTS: Mean cerebrospinal fluid
chitotriosidase levels were found to be higher on days 5 and 7 of subarachnoid
hemorrhage and the serum levels were always higher than controls at all times in
subarachnoid hemorrhage patients. However, no relationship was found between
elevated chitotriosidase levels and the clinical parameters including symptomatic
vasospasm and outcome at 6 months. CONCLUSION: Results indicate that
chitotriosidase is elevated in the acute stages of subarachnoid hemorrhage but is
not a specific marker of subarachnoid hemorrhage severity.
PMID- 18050065
TI - Simulation of cerebrovascular circulation in the human cadaver for surgical
neuroanatomy training.
AB - OBJECTIVE: The current progress in diagnostic and screening methods and surgical
equipment technologies facilitates the accessibility to numerous anatomic
structures through various interventional approaches. Consequently, the exact
knowledge of the anatomic locations of neurovascular structures and their
interactions may ensure that the surgical intervention is planned in the most
appropriate way and the structures are accessed with the least complication risk
during the intervention. MATERIAL AND METHODS: A decapitated and formalin fixated
whole-head of a male human cadaver kept for educational and research purposes in
the Dokuz Eylul University Department of Anatomy was used in this study. Two
separate reservoirs (for the arterial and the venous system) were connected to
the Truno System 3 labeled perfusion pump. The reservoirs were filled with blue
and red warm tap water. Colored tap water pumped on the right was emptied from
the left. Continuous flow of the water in the closed-circuit arterial and venous
systems was achieved. As the circulation was continuing, pterional craniotomy was
performed and the dura mater was accessed and lifted under the Zeiss dissecting
microscope. CONCLUSION: We believe that this model may contribute to neuroanatomy
education and provide experience for the safe and ethical performance of surgical
interventions during the intraoperative period.
PMID- 18050066
TI - Spontaneously resorbed idiopathic syringomyelia: a case report.
AB - A 28-year-old female patient presented with severe neck and back pain in 2003. No
abnormality was observed at neurological examination. Syringomyelia in the
cervical region was determined at MRI. MRI examination of the cranial, thoracal
and lumbosacral regions was normal. The patient refused surgery and was followed
up. A control cervical MRI 17 months later showed that the syringomyelia had
disappeared. This spontaneously resorbed case of idiopathic syringomyelia,
presenting solely due to neck and back pain and with a normal neurological
examination, was evaluated in the light of syringomyelia pathogenesis as only
three similar cases have been identified in the literature.
PMID- 18050067
TI - Familial syringomyelia in two siblings: case report.
AB - We report on syringomyelia of the thoracic spinal cord in two sisters without a
Chiari or any other malformation. In both cases syringomyelia was determined by
magnetic resonance imaging (MRI). Spinal MRI showed a syringomyelia between T6-T8
levels in the first sibling and between T7- T9 in the second sibling. The cranio
cervical junction was normal and tonsiller ectopia was not present. Neurological
examination of both sisters was normal. They did not undergo surgery as they had
mild symptoms without neurological deficit and the size of the syrinx was small.
Familial syringomyelia is a very rare finding and extremely rare at only the
thoracic level. Genetic and environmental factors seem to be involved in familial
syringomyelia.
PMID- 18050068
TI - A rare cause of "foot drop": spinal epidural brucella granuloma.
AB - Spinal epidural abscess is rare but serious medical condition which may cause
permanent neurological deficits, or even death, if not treated. Staphylococcus
aureus is the responsible microorganism in most spinal abscess cases. However,
rarely other microorganisms like Brucella may be responsible. Brucellosis, a
zoonotic infection endemic in the Mediterranean Region, Middle East; and South
and Central America, most frequently involves the reticuloendothelial and
musculoskeletal systems. Vertebral involvement is rarely seen; and its
differential diagnosis is rather difficult. Spondylodiscitis and rarely spinal
abscess or development of a granuloma are the main pathologies. Spinal epidural
granulomas due to brucellosis may cause neurologic deficits. In this case
presentation, a spinal epidural brucella granuloma causing foot drop is discussed
taking other reports into account.
PMID- 18050069
TI - Intermittent priapism in degenerative lumbar spinal stenosis: case report.
AB - BACKGROUND: Symptomatic lumbar spinal stenosis produces gradually progressive
back and leg pain with standing and walking, relieved by sitting or lying. One of
the uncommon symptoms is involuntary intermittent penile erection due to spinal
canal stenosis. This symptom is very rare and often forgotten when history is
taken. METHODS: In this case report, a patient suffering from intermittent
priapism due to degenerative spinal canal stenosis and spondylolisthesis is
described. On admission his symptoms were intermittent neurogenic claudication
and involuntary erection provoked by walking a short distance. RESULTS: Bilateral
laminectomy and posterior fusion was performed. His symptoms resolved over the
first postoperative days. CONCLUSION: Cauda equina compression due to LSS may
rarely cause intermittent priapism. This rare symptom should not be forgotten
when taking the patient's history and should also be kept in mind during follow
up.
PMID- 18050070
TI - Transsellar transsphenoidal rhino-oral encephalocele.
AB - Transsphenoidal encephaloceles are rare and the transsellar variety is the least
common. We present a 1-year-old male patient with transsellar transsphenoidal
encephalocele which herniated into the oral cavity through the congenital split
palate. The patient was operated on using a combined transcranial and
transpalatal approach without mortality or permanent morbidity. Clinical
findings, imaging reviews, surgical repair techniques and postoperative morbidity
are discussed with the relevant literature. We conclude that repair of a
transsphenoidal encephalocele should be coordinated between a team of
neurosurgeons and otorhinolaryngologists. Our surgical outcome supports a
transcranial approach for the treatment of these difficult lesions, with
transpalatal dissection and exposure.
PMID- 18050071
TI - Lumbar radiculopathy due to unilateral facet hypertrophy following lumbar disc
hernia operation: a case report.
AB - OBJECTIVE: To present a radiculopathy case due to unilateral facet hypertrophy
developing three years after a lumbar disc hernia operation. CASE REPORT: A fifty
two-year-old female patient, who had been operated on for a left L5-S1 herniated
lumbar disc three years ago, was hospitalized and re-operated with a diagnosis of
unilateral facet hypertrophy. She had complaints of left leg pain and walking
restrictions for the last six months. Left Straight Leg Raising test was positive
at 40 degrees , left ankle dorsiflexion muscle strength was 4/5, left Extensor
Hallucis Longus muscle strength was 3/5, and left Achilles reflex was hypoactive.
Lumbar spinal Magnetic Resonance Imaging revealed left L5-S1 facet hypertrophy.
RESULTS AND CONCLUSIONS: Lumbar radiculopathy due to lumbar facet hypertrophy is
a well-known neurological condition. Radicular pain develops during the late
postoperative period following lumbar disc hernia operations that are often
related to recurrent disc herniation or to formation of post-operative scar
tissue. In addition, it can be speculated that unilateral facet hypertrophy,
which may develop after a disc hernia operation, might also be one of the causes
of radiculopathy.
PMID- 18050072
TI - Agenesis of the left internal carotid artery associated with anterior
communicating artery aneurysm: case report.
AB - We present a rare case of agenesis of the left internal carotid artery in a 43
year old woman, associated with an aneurysm of the anterior communicating artery
and presenting with subarachnoid hemorrhage. The left internal carotid artery was
not visualized on the left carotid angiogram. The left middle cerebral artery was
perfused from the basilar artery via the dilated posterior communicating artery
on vertebral angiogram. Absence of the left carotid canal was proven on temporal
bone computed tomography. Absence of the left internal carotid artery was
verified at operation. Absence of internal carotid artery is discussed in
relation to aneurysm formation.
PMID- 18050073
TI - Ultrasound examination in the surgical treatment for upper extremity peripheral
nerve injuries: part I.
AB - AIM: The aim of this clinical study was to evaluate the benefits of
ultrasonographic imaging in the surgical treatment of upper extremity peripheral
nerve lesions. MATERIAL AND METHODS: Peripheral nerves in the upper extremity
were evaluated by using real-time ultrasonographic examination. The capability of
ultrasonography in terms of determination the type of injury, the position of the
proximal and distal nerve stumps, the presence or absence of a neuroma and
perilesional scar tissue were evaluated in cases with peripheral nerve injury.
RESULTS: Thirty-six cases with upper extremity peripheral nerve injury aged
between 24 and 60 years were included in this study. Four (11%) had brachial
plexus injury, 9 (26%) ulnar nerve injury, 6 (16%) radial nerve injury and the
remaining 17 (47%) cases had median nerve injury. The capability of
ultrasonographic examination was satisfactory for all evaluation parameters.
CONCLUSION: Preoperative and intraoperative ultrasonography for upper extremity
peripheral nerve lesions may be used in the description of the degree of injury,
determination of complete or incomplete nerve sectioning, the presence of
hematoma and foreign body, the continuity of the nerve, determination of nerve
stumps and perilesional scar tissue, and the presence of neuroma.
PMID- 18050074
TI - Tarsal tunnel syndrome in a patient on long-term peritoneal dialysis: case
report.
AB - Tarsal tunnel syndrome (TTS) is defined as the entrapment of the posterior tibial
nerve in the tarsal tunnel of the ankle. The etiologies of tarsal tunnel syndrome
are mainly the presence of a ganglion, osseous prominence with tarsal bone
coalition, trauma, varicose veins, neurinoma, hypertrophy of the flexor
retinaculum, or systemic disease (rheumatoid arthritis, ankylosing spondylitis).
However, no specific cause can be identified in some cases. Patients with chronic
renal failure tend to develop peripheral nerve entrapment and carpal tunnel
syndrome is the best-known peripheral entrapment neuropathy among them. Contrary
to carpal tunnel syndrome, tarsal tunnel syndrome is observed less frequently in
chronic renal failure patients. The common presenting symptoms of TTS are
paresthesias and/or pain in the plantar side of the foot. Motor symptoms are
rarely detected. Diagnosis is made primarily by electroneuromyographic studies
and physical examination. Surgery is the treatment of choice and the outcome is
generally favourable. In this report, we present a patient with tarsal tunnel
syndrome complicating peritoneal dialysis.
PMID- 18050075
TI - Extraaxial chloroma of the cerebellopontine angle: case report.
AB - A chloroma or granulocytic sarcoma is an extramedullary leukemia. It can be
encountered at any anatomical location, but until now only three cases have been
reported in the cerebellopontine angle. We present an 8-year old patient with an
extraaxial chloroma of the cerebellopontine angle to highlight this very rare and
malignant pathology in the differential diagnosis of cerebellopontine angle
tumors. The presented case, being the fourth chloroma in the cerebellopontine
angle, occurred in the absence of relapse which is very unusual for these
lesions. Chloroma should be remembered as a very rare and a malignant pathology
in the differential diagnosis of pediatric cerebellopontine angle tumors.
PMID- 18050076
TI - Chronic subdural hematoma after endoscopic third ventriculostomy: case report.
AB - Endoscopic third ventriculostomy (ETV) is an effective and rather safe treatment
for noncommunicating hydrocephalus secondary to aqueductal stenosis and other
obstructive pathologies. It has become a popular alternative to ventricular
shunts for noncommunicating hydrocephalus. Although it is a safe procedure,
several complications related to this procedure have been reported in the
literature. We report a rare case of a large chronic subdural hematoma (ChSDH)
after ETV in a patient with aqueductal stenosis. A 42-year-old female patient
presented with acute symptoms of obstructive hydrocephalus, headaches and
blurring of consciousness. A computerized tomogram (CT) of the patient's brain
revealed marked triventricular supratentorial hydrocephalus and an external
ventricular drainage (EVD) was performed first. After this procedure, magnetic
resonance imaging (MRI) demonstrated hydrocephalus secondary to aqueductal
stenosis. ETV was performed and the EVD removed uneventfully. The patient was
discharged home after a few days without any complications. She then presented
with headaches 4 weeks following ETV. A CT demonstrated chronic subdural hematoma
on the contralateral side. This was treated with burr-hole evacuation.
Postoperatively, her headaches improved. During the follow-up period, she remains
symptom-free and has radiographic evidence of a patent ventriculostomy. This case
confirms chronic subdural hematoma formation is a possible complication following
endoscopic third ventriculostomy.
PMID- 18050077
TI - Idiopathic bilateral trigeminal neuralgia treated by bilateral microvascular
decompression.
AB - Idiopathic trigeminal neuralgia is the most common type of cranial neuralgia.
Trigeminal neuralgia is a form of facial pain characterized by proximal
lancinating pain confined to the somatosensory distribution of the trigeminal
nerve. Bilateral trigeminal neuralgia is a rare situation with an incidence of 1%
to 6% in large trigeminal neuralgia series. There is no single or standard method
for the treatment of bilateral trigeminal neuralgia. Microvascular decompression
for treatment trigeminal neuralgia is an effective and relatively safe method in
older patients. We report a rare case of bilateral trigeminal neuralgia treated
by bilateral microvascular decompression.
PMID- 18050078
TI - A general review of competition genetic effects with an emphasis on swine
breeding.
AB - A review of previous studies is presented on estimates of genetic parameters and
responses to selection with traditional breeding approaches, on correlations
between agonistic behavior and growth performance, and on theoretical frameworks
for selection incorporating interactions among individuals and on practical
methods for incorporating competition effects in breeding programs.
PMID- 18050079
TI - Identification of Glomerella cingulata f. sp phaseoli recombinants by RAPD
markers.
AB - We examined the capacity of strains of Glomerella cingulata f. sp phaseoli fungus
(Colletotrichum lindemuthianum sexual stage) to form recombinants, using random
amplified polymorphic DNA (RAPD). Crosses of all possible combinations between
strains 40, 42, 20, 21, 22, 23, 24, 25, and 26 were made on Petri dishes using M3
culture medium. The 42 x 21 cross produced the largest number of perithecia and
five asci; the respective ascospores were isolated. RAPD analysis was performed
on the parents and descendants. The 62 polymorphic RAPD bands obtained were used
to assess the genetic similarity using the method of Sorence and Dice and
clustering analysis in the form of a dendrogram by the UPGMA method. The RAPD
markers allowed identification of recombinants from the cross between strains 42
and 21 of G. cingulata f. sp phaseoli and 40 ascospores presented 63 and 49%
genetic similarity with parents 2 (strain 42) and 1 (strain 21), respectively.
PMID- 18050080
TI - Abnormal cytokinesis in microsporogenesis of Brachiaria humidicola (Poaceae:
Paniceae).
AB - Microsporogenesis was evaluated in the Brachiaria humidicola collection of the
Embrapa Beef Cattle Center, represented by 60 accessions. One accession (H121)
presented an abnormal pattern of cytokinesis that had never been reported in this
genus. Among 900 meiocytes analyzed in the first division, 10.7% underwent
precocious and multiple cytokinesis in metaphase I, fractionating the genome and
the cytoplasm into two or more parts. The expected cytokinesis after telophase I
did not occur. The abnormal meiocytes from the first division entered the second
division but the second cytokinesis after telophase II was also abnormal. Among
the 857 meiocytes analyzed in the second division, 10.9% presented abnormal,
incomplete or total absence of cytokinesis. Dyads and binucleated microspores
were recorded among the meiotic products. The use of this accession in the
Embrapa breeding program is compromised.
PMID- 18050081
TI - Differentiation of Nijmegen breakage syndrome from Fanconi anemia.
AB - Nijmegen breakage syndrome (NBS) is a rare auto-somal recessive condition with
chromosomal instability. Clinical and biological overlap between Fanconi anemia
and ataxia telangiectasia has been reported. We report two cases of NBS born to
consanguineous parents. Case one had NBS and Falconi anemia clinical features but
relatively little chromosome breakage. The second case had mild NBS features,
while cytogenetic evaluation with mitomycin C induction showed chromosome damage.
Chromosomal analysis of bone marrow cells revealed tetraploidy, which indicates
progression towards leukemia. On the basis of clinical and cytogenetic
evaluation, these two cases were confirmed as NBS. However, detailed molecular
studies are essential for accurate diagnosis and management of this disease.
PMID- 18050082
TI - Cytogenetic characterization of Rhamdia quelen (Siluriformes, Heptapteridae) from
the Bodoquena Plateau, Mato Grosso do Sul, Brazil.
AB - We made a cytogenetic study of the fish Rhamdia quelen collected from the
Bodoquena Plateau, an isolated national park region in Mato Grosso do Sul State,
Brazil. The diploid number was 2n = 58, with 36 metacentric + 16 submetacentric +
6 subtelocentric chromosomes. We found one to three B chromosomes, which were
metacentric and submetacentric and of medium size, showing both intra- and
interindividual variation. The nucleolus organizer region (NOR) was located in
the terminal region of the short arm of submetacentric pair 20. Staining with
CMA3 fluorochrome revealed the NOR location, while there was no evidence of
fluorescent staining with DAPI. C banding revealed heterochromatin mainly in the
terminal regions of the chromosome arms, including the NOR pair. In addition,
metacentric pair 2 showed three heterochromatic blocks in the terminal portions
and in the pericentromeric region. The B chromosomes appeared euchromatic. The CB
+ CMA3 staining combination demonstrated only one chromosome pair with
fluorescence, probably the NOR-bearing one, while CB + DAPI gave various
fluorescent signals, including metacentric pair 2, indicating that these
heterochromatic regions are AT-rich in this population of R. quelen. The R.
quelen population in this isolated region of Brazil is chromosomally distinct
from that of other populations that have been studied.
PMID- 18050083
TI - Vegetative compatibility and parasexual segregation in Colletotrichum
lindemuthianum, a fungal pathogen of the common bean.
AB - The heterokaryotic and vegetative diploid phases of Colletotrichum lindemuthianum
are described using nutritional and biochemical markers. Nitrate non-utilizing
mutants (nit), derived from R2047, R89, R73, R65, and R23 isolates, were paired
in all possible combinations to obtain heterokaryons. Although pairings
R2047/R89, R2047/R73, R65/R73, and R73/R23 showed complete vegetative
incompatibility, prototrophic heterokaryons were obtained from pairings
R2047/R65, R2047/R23, R65/R89, R65/R23, R73/R89, R89/R23, R2047/R2047, R65/R65,
R89/R89, R73/R73, and R23/R23. Heterokaryons gave rise to spontaneous mitotic
segregants which carried markers corresponding to one or the other of the
parental strains. Heterokaryons spontaneously produced prototrophic fast-growing
sectors too, characterized as diploid segregants. Diploids would be expected to
yield auxotrophic segregants following haploidization in basal medium or in the
presence of benomyl. Parental haploid segregants were in fact recovered from
diploid colonies growing in basal medium and basal medium containing the
haploidizing agent. Although barriers to the formation of heterokaryons in some
crosses were detected, the results demonstrate the occurrence of parasexuality
among vegetative compatible mutants of C. lindemuthianum.
PMID- 18050084
TI - Localization of HSP single-copy genes by inexpensive, permanent non-fluorescent
in situ hybridization on meiotic chromosomes of the grasshopper Schistocerca
pallens (Acrididae).
AB - There have been many studies on Schistocerca gregaria and Locusta migratoria,
which are important grasshopper pests in many parts of the world. However, the
main pest grasshopper species in Brazil, S. pallens, Rhammatocerus
schistocercoides and Stiphra robusta, are very poorly characterized genetically.
We adapted a permanent in situ hybridization method to extend the genetic
characterization of S. pallens by mapping the single-copy genes Hsp70, Hsp83,
Hsp27, and Ubi on meiotic chromosomes. Hsp70 was mapped on the L2 chromosome, in
which 82% of the signals were observed. Hsp83 was mapped on a medium-sized
chromosome, on which 81% of the signals were observed, tentatively identified as
M7. The hybridization signals for the Hsp27 gene were detected on the L1
chromosome at a frequency of 58%. The main hybridization site of the Ubi probe
was on the L2 chromosome, with 73% of the signals. All mapped genes also
presented secondary hybridization signals, always at frequencies below 30%. These
are the first single-copy genes mapped for S. pallens and also for the Acrididae
family. Since the Acrididae generally present very similar karyotypes, these data
are useful as new landmarks for chromosome identification and as a tool for
phylogenetic studies on the genus Schistocerca and for comparison with other
insects.
PMID- 18050085
TI - Cytogenetic characterization of Crenicichla (Pisces, Perciformes, Cichlidae) of
the Iguacu River.
AB - Three populations of the genus Crenicichla, namely Crenicichla iguassuensis,
Crenicichla sp 1 and Crenicichla sp 2, from the Iguacu River, were analyzed
cytogenetically, and their nucleolus organizer regions, constitutive
heterochromatin distribution and chromomycin A3 markings were studied. Karyotype
analyses showed a diploid number of 48 chromosomes, made up of 2 metacentric
pairs, 2 submetacentric pairs, 7 subtelocentric pairs, and 13 acrocentric pairs
for the three Crenicichla species and no sexual chromosome differentiation.
Nucleolus organizer regions showed strong interstitial marking on the first
chromosome pair, coincident with a constriction presented by Giemsa and positive
marking by chromomycin. Although constitutive heterochromatin patterns were also
similar, with pericentromeric markings, small differences in the three species
could be observed. Crenicichla sp 2 presented some chromosomes with bitelomeric
markings absent in Crenicichla iguassuensis and Crenicichla sp 1.
PMID- 18050086
TI - DNA extraction from bristles and quills of Chaetomys subspinosus (Rodentia:
Erethizontidae) using a novel protocol.
AB - DNA extraction protocols are as varied as DNA sources. When it comes to
endangered species, it is especially important to pay attention to all details
that ensure the completion of the study goals and effectiveness in attaining
useful data for conservation. Chaetomys subspinosus (Rodentia: Erethizontidae) is
a secretive arboreal porcupine endemic to certain ecosystems of the Brazilian
Atlantic Forest. A multidisciplinary study (including genetic data) was performed
to create a management plan for the conservation of this species. Individuals
from natural populations of the states of Bahia, Espirito Santo and Sergipe were
sampled. To obtain a reliable and abundant amount of starting material, non
destructive methods were tested, extracting DNA from the bristles and quills that
comprise most of this animal's hide. This method has also been innovative in
adapting a DNA extraction protocol traditionally used for plants. Digestion using
proteinase K was followed by protein precipitation with CTAB, a chloroform
isoamyl alcohol cleaning and DNA precipitation with isopropyl alcohol. This
protocol supplies good-quality DNA for genetic analysis with molecular markers
based on PCR.
PMID- 18050087
TI - Laronidase for treating mucopolysaccharidosis type I.
AB - Mucopolysaccharidoses are a group of inherited metabolic diseases caused by the
absence or deficiency of the lysosomal enzymes that are needed for breaking down
glycosaminoglycans (GAGs). Over time, GAGs collect in cells, blood and connective
tissues, and increased amounts are excreted in the urine. The result is permanent
and includes progressive cell damage that affects the individual's appearance,
physical abilities, organ and system functioning and, in certain cases, mental
development. Enzyme replacement therapies are currently in use or are being
tested for at least three different subtypes (I, II and VI). The aim of the
present study was to evaluate the effectiveness and safety of laronidase for
treating mucopolysaccharidosis type I. A systematic review of the literature was
conducted. A computerized electronic search was then conducted using the CENTRAL,
Pubmed, EMBASE, and LILACS databases, to identify any randomized controlled
trials. The last date of the search was June 2006. There was no possibility of
combining the results, because only one study was included. In the pivotal
placebo-controlled trial conducted over a 26-week period, there was a reduction
in the urinary excretion of GAGs among treated patients. Regarding adverse
events, there were no laronidase-related serious adverse events or deaths.
Laronidase seems to be a promising agent for treating mucopolysaccharidosis type
I, as shown by the reduction in the urinary excretion of GAGs and the associated
improvements in vital capacity and in the performance of defined physical tasks.
PMID- 18050088
TI - Genetic variability of Brazilian Indian landraces of Arachis hypogaea L.
AB - The Kayabi Indians who inhabit the Xingu Indigenous Park, located in West Central
Brazil, have grown and managed peanuts for a long time. A great number of
landraces are being maintained by these tribes and some of this germplasm has
morphological traits that exceed the variation described in the taxonomic
literature. Here, we analyzed the genetic variability of these landraces using a
set of microsatellite markers. The analysis showed that, in general, the
indigenous samples grouped according to the villages where they were collected.
The microsatellite markers used in the present study detected high levels of
genetic variation. Similarity groups, genetically distant from each other, were
formed, allowing a more efficient use of the existing genetic variability. The
present study also showed that these materials can extend the genetic variability
available for peanut-breeding programs. Additionally, the microsatellite markers
revealed a large dissimilarity among germplasm accessions representing Arachis
hypogaea varieties so far included in the same subspecies fastigiata
(aequatoriana + peruviana vs fastigiata + vulgaris), a subject that deserves
further investigation. Finally, the Xingu Indigenous Park proved to be an
important center of diversity for peanut.
PMID- 18050089
TI - Computing numerator relationships between any pair of animals.
AB - We describe a simple method to compute the numerator relationship between any or
all pairs of animals in the numerator relationship matrix. The method depends on
output of the MTDFNRM program from the MTDFREML set of programs. An option of the
MTDFNRM program creates a file that includes the inbreeding coefficient for each
animal. The method also makes use of how the inbreeding coefficient is
traditionally calculated: one-half of the relationship between the animal's
parents. To obtain the numerator relationship between any pair of animals, the
original pedigree file is augmented with a dummy animal with an identification
number (ID) greater than for any animal in the original pedigree file. The ID of
the pair of animals for which the relationship is wanted is included as parents.
MTDFNRM is then run with the option to create a file of ordered and original IDs
for animals and their parents along with the inbreeding coefficients. We then
multiply the inbreeding coefficient for a dummy animal by two to obtain the
numerator relationship between the two animals designated as parents.
PMID- 18050090
TI - Genetic mapping of a new set of microsatellite markers in a reference common bean
(Phaseolus vulgaris) population BAT93 x Jalo EEP558.
AB - The present study describes a new set of 61 polymorphic microsatellite markers
for beans and the construction of a genetic map using the BAT93 x Jalo EEP558
(BJ) population for the purpose of developing a reference linkage map for common
bean (Phaseolus vulgaris). The main objectives were to integrate new
microsatellites on the existing framework map of the BJ population, and to
develop the first linkage map for the BJ population based exclusively on
microsatellites. Of the total of 264 microsatellites evaluated for polymorphism,
42.8% showed polymorphism between the genitors. An integrated map was created
totaling 199 mapped markers in 13 linkage groups, with an observed length of 1358
cM and a mean distance between markers of 7.23 cM. For the map constructed
exclusively with microsatellites, 106 markers were placed in 12 groups with a
total length of 606.8 cM and average distance of 6.8 cM. Linkage group
designation and marker order for BM microsatellites generally agreed with
previous mapping, while the new microsatellites were well distributed across the
genome, corroborating the utility of the BJ population for a reference map. The
extensive use of the microsatellites and the availability of a reference map can
help in the development of other genetic maps for common bean through the
transfer of information of marker order and linkage, which will allow comparative
analysis and map integration, especially for future quantitative trait loci and
association mapping studies.
PMID- 18050091
TI - Karyotypic studies of Cratylia argentea (Desv.) O. Kuntze and C. mollis Mart. ex
Benth. (Fabaceae - Papilionoideae).
AB - Cratylia argentea and C. mollis (Fabaceae-Papilionoideae) are legume shrubs
native to the Cerrado and Caatinga, respectively. Both species show great
resistance to drought and high nutritive value, which makes them a valuable
forage resource in tropical regions. Cytogenetic studies were carried out on
accessions of C. argentea and C. mollis from Germplasm Banks of Embrapa Gado de
Leite (Juiz de Fora, MG) and Embrapa Semi-Arido (Petrolina, PE), respectively.
Root tips were treated with 3 mM 8-hydroxyquinoline and slides were made using
the air-dry technique. Karyotype description for each accession took into account
the following features: chromosome number; total length, relative length and arm
ratio of each chromosome; haploid set length, and degree of asymmetry. Mitotic
metaphases in both species showed 2n = 22 chromosomes, where this is the first
report of diploid number for C. mollis. Chromosome length was also quite similar
for the two species, ranging from 5.08 to 2.50 microm in C. argentea and 5.12 to
2.51 microm in C. mollis, with haploid sets of equal size, measuring 38.10 and
37.85 microm, respectively. However, they did not show the same karyotypic
formula, which was 5 m + 4 sm + 2 st for C. argentea and 7 m + 2 sm + 2 st for C.
mollis. This indicates the occurrence of rearrangements within chromosomes I and
VI. Both karyotypes showed a tendency for asymmetry.
PMID- 18050092
TI - Differential characterization of holocentric chromosomes in triatomines
(Heteroptera, Triatominae) using different staining techniques and fluorescent in
situ hybridization.
AB - A comparative study of holocentric chromosomes in the triatomine species
Panstrongylus megistus, Rhodnius pallescens and Triatoma infestans was carried
out in order to characterize heterochromatin, rDNA active sites and nucleolar
proteins. Cytological preparations of seminiferous tubules were stained by silver
impregnation, C banding, fluorochromes cma3/da and dapi/da, and fluorescent in
situ hybridization (FISH) with Drosophila melanogaster 28S rDNA probe. Our
results showed interesting aspects of the organization of chromatin and
chromosomes in the meiotic cells of these insects. In R. pallescens, sex
chromosomes (X, Y) were distinct from autosomes, when submitted to silver
impregnation, C banding, CMA3 staining, and FISH, confirming that these
chromosomes bear nucleolar organizer regions (NORs). In P. megistus, two of the
three sex chromosomes were CMA3/DAPI-; at early meiotic prophase and at
diakinesis, silver impregnation corresponded with FISH signals, indicating that
in this species, two chromosomes (probably a sex chromosome and an autosome) bear
NORs. In T. infestans, silver nitrate and FISH also stained corresponding areas
on meiotic chromosomes. Our data suggest that in triatomines, in general, the
number and location of NORs are species-specific. These regions may be considered
important chromosome markers for comparative studies to improve the understanding
of evolutionary mechanisms in these hematophagous insects.
PMID- 18050093
TI - Identification of nutrient-dependent changes in extracellular pH and acid
phosphatase secretion in Aspergillus nidulans.
AB - The present study was designed to identify nutrient-dependent changes in
extracellular pH and acid phosphatase secretion in the biA1 palC4 mutant strain
of Aspergillus nidulans. The palC4 mutant was selected as lacking alkaline
phosphatase, but having substantially increased acid phosphatase activity when
grown on solid minimal medium under phosphate starvation, pH 6.5. Gene palC was
identified as a putative member of a conserved signaling cascade involved in
ambient alkaline sensing whose sole function is to promote the proteolytic
activation of PacC at alkaline pH. We showed that both poor growth and
conidiation of the palC4 mutant strain on solid medium, alkaline pH, were
relative to its hypersensitivity to Tris (hydroxymethyl) aminomethane buffer.
Also, the secretion of acid phosphatase was repressed when both the wild-type and
palC4 mutant strains were grown in low-phosphate yeast extract liquid medium, pH
5.0, indicating that the secretion of this enzyme is not necessary to regenerate
inorganic phosphate from the organic phosphate pool present in yeast extract.
PMID- 18050094
TI - [Patterns of health resources use and costs in patients with neurological
disorders in primary care].
AB - AIM: To determine the pattern of services use and costs of patients requiring
care for neurological disorders (ND) in primary care in the context of routine
clinical practice. PATIENTS AND METHODS: We performed a retrospective study of
all patients older consulting primary care at least once for ND, attended by five
primary care teams in 2006. A comparative group was formed with the remaining
outpatients without ND. The main measurements were age, gender, case
mix/comorbidity, Charlson index (severity) and health resource utilization and
corresponding outpatient costs (drugs, diagnostic tests and visits). Multiple
logistic regression analysis and ANCOVA models were applied (Bonferroni adjust),
p < 0.05. RESULTS: A total of 80,775 patients were assessed, of which 19,423 had
some type of ND (24%; 95% CI = 23.7-24.3%). Patients consulting for ND had a
greater number of health problems (6.1 vs. 4.3; p < 0.0001) and higher resource
consumption, mainly all-type medical visits/patient/year (9.9 vs. 7.2; p <
0.0001). The mean annual cost per patient was higher for patients with ND (708.25
vs. 443.10 euros, p < 0.0001), and this difference remained significant after
adjusting by age, sex and comorbidities, with adjusts cost of 932.87 vs. 712.38
euros. All components of outpatient management costs were significantly higher in
the ND group. CONCLUSION: Outpatients seeking care for some type of ND had a high
number of comorbidities and showed greater annual cost per patient in the primary
care setting.
PMID- 18050095
TI - [The psychometric properties of the Spanish version of the stroke-adapted 30-item
Sickness Impact Profile (SIP30-AI)].
AB - AIM: To assess the psychometric attributes of the stroke-adapted 30-item version
of the Sickness Impact Profile, Spanish version (SA-SIP30), in stroke survivors.
PATIENTS AND METHODS: 79 patients were evaluated (mean age: 68.1 years) by means
of the modified Rankin Scale (m-RS), Scandinavian Stroke Scale (SSS), Barthel
Index (BI), and the modified 23-item Beck-Hamilton's Depression Rating Scale
(HDRS). Health-related quality of life was evaluated using the MOS-Short Form 36
(SF-36) and the SA-SIP30. RESULTS: SA-SIP30 mean score was 36.8. SA-SIP30 floor
and ceiling effects were 3.8% and 0%. Regarding SA-SIP30 categories, floor effect
ranged from 15.2% (social interaction) to 49.4% (alertness behavior), whereas
ceiling effect ranged from 2.5% (social interaction) to 26.6% (household
management). A floor effect was observed in seven SA-SIP30 categories. The
internal consistency of SA-SIP30 (Cronbach's alpha = 0.87), physical (Cronbach's
alpha = 0.89) and psychosocial (Cronbach's alpha = 0.75) dimensions were
satisfactory. Standard error of measurement (SEM) values for each SA-SIP30
category ranged from 15.9 (household management) to 26.3 (ambulation). SEM values
for overall SA-SIP30, physical and psychosocial dimensions were 8, 10 and 17.3,
respectively. Corrected item-category correlations ranged from 0.17 (item 28) to
0.83 (item 23). A significant correlation (Spearman's correlation coefficient; p
< 0.0001) between SA-SIP30 scores and BI (-0.71), m-RS (0.68), SSS (-0.67), HDRS
(0.52), SF-36 physical (-0.67) and mental components (-0.51) was found. SA-SIP30
mean score significantly increased as m-RS increased (discriminative validity;
Kruskal-Wallis, p < 0.0001). CONCLUSION: The Spanish-version of the SA-SIP30 has
satisfactory internal consistency, convergent validity and discriminative
validity in stroke patients.
PMID- 18050096
TI - [Validity and reliability of the CERAD-Col neuropsychological battery].
AB - INTRODUCTION: Alzheimer's disease (AD) is an important public health problem due
to its disabling character and high individual, familial and social costs. The
CERAD neuropsychological battery has been widely used for evaluation and
diagnosis of the cognitive deficit associated with AD. This instrument has been
adapted to the Colombian culture (CERAD-Col) for the Neurosciences Group.
SUBJECTS AND METHODS: A study was carried out to establish the validity and
reliability of the CERAD-Col in Colombian, Spanish-speaking individuals aged 50
years or more. It included 151 controls and 151 AD patients. Controls were
selected from a convenience sample of 848 adults aged 50 years or more. The
construct validity was determined in three ways: 1) factorial analysis; 2)
correlation with the functional scales FAST and GDS (convergent-type validity)
and, 3) comparison between the two groups. Internal consistency was determined by
means of Cronbach's alpha coefficient. RESULTS: Three factors -memory, language
and praxis- explained 88% of the total variance. Moderate but statistically
significant correlations were found between neuropsychological tests and
functional scales. Internal consistency and test-retest reproducibility were
high. The AD group exhibited significantly lower scores (p < 0.05) than the
control one. CONCLUSION: CERAD-Col is valid and reliable for the diagnosis of AD
in Colombian Spanish-speaking population aged 50 years or more.
PMID- 18050097
TI - [The prevalence of clotting disorders in a series of cases of thrombosis of the
cerebral venous sinuses].
AB - INTRODUCTION: Cerebral venous sinus thrombosis (CVSTs) constitutes an infrequent
location of the venous thrombotic disease. It is caused by diverse factors,
although up to 35% of the CVSTs can remain without aetiologic diagnosis.
Coagulation disorders have been involved in up to 75% of the CVSTs. AIM. To
describe the prevalence of coagulation disorders in a consecutive series of
CVSTs. PATIENTS AND METHODS: We performed a retrospective revision of the
clinical history of all patients with CVST admitted in our service between
January, 1st 1995 and December, 31st 2005. The thrombophilic study was carried
out a posteriori in whatever individual who lacked it. RESULTS: We studied twenty
one cases (7 men and 14 women), within an age range of 16-69 years old
(corresponding to an average of 35,4 +/- 14,4 years old). The thrombophilic study
was carried out in eighteen patients. Five (27,7%) presented some hereditary
coagulation disorder; in four of them, a hormonal cause coexisted. CONCLUSION:
Recent advances in the diagnostic procedures for the detection of thrombophilias
and hereditary prothrombotic mutations have enabled the specialists to be more
precise in the aetiologic diagnosis of suspected CVST. Even so, the apparent
cause for a high percentage of patients with CVST has not been found yet.
PMID- 18050099
TI - [Cap myopathy: a case report].
AB - AIM: To report a new case of a little-known congenital myopathy. Cap myopathy is
a rare congenital disease caused by an alteration in the structure of the fibre,
with disorganised myofibrils at the edges. Since it was first described, only a
few cases have been reported in the literature. CASE REPORT: We describe the case
of a 16-year-old patient with a history of neonatal hypotonia and psychomotor
retardation. At the age of 4 years, the patient presented myopathic facies with
atrophied pectoral muscles, winged scapula and lumbar hyperlordosis. A myopathic
pattern was observed in the electromyogram. A muscle biopsy showed a very marked
predominance of type I fibres, atrophy in part of the population of this type and
20% of them had cap-shaped subsarcolemmal accumulations, which were intensely
positive with DPNH and SDH; in the ultrastructural study they correspond to
disorganised peripheral myofibrils with preservation of the Z band and the
absence of A bands. These alterations are similar to those described in what is
known as cap disease or cap myopathy. Today, the patient has mild proximal
tetraparesis and moderate restrictive respiratory failure.
PMID- 18050098
TI - [Ziconotide: an innovative alternative for intense chronic neuropathic pain].
AB - INTRODUCTION: Intense chronic pain is a very important health problem, as it has
a high prevalence (5-10%), a multifactorial aetiology and its management is very
often a very complex affair. Treatment of severe cases sometimes requires
interventional approaches, such as continuous intrathecal infusion of opioids.
CASE REPORT: We report the case of a 38-year-old female with intense neuropathic
pain in the lower back and the lower limbs secondary to three operations on the
L5-S1 lumbar segment. After implementing several different pharmacological
regimes involving both oral and implanted systems (spinal cord stimulation and
subarachnoid infusion pump with different pharmacological combinations) with no
clinical improvement, intrathecal infusion with ziconotide was included in the
protocol. CONCLUSIONS: Ziconotide is the first specific neuronal blocker that
acts on the calcium channel by blocking the N-type voltage-dependent calcium
channels. It is a new non-opioid analgesic with approved indication in the
treatment of intense chronic pain, in patients who require intrathecal analgesics
and are refractory to other analgesic treatments. Therefore, we shall have to
consider this drug as a therapeutic alternative in patients do not experience
sufficient relief with the pharmacological agents and means currently available
to treat them.
PMID- 18050100
TI - [Brain regulation of food intake and expenditure energy: molecular action of
insulin, leptin and physical exercise].
AB - INTRODUCTION: Overweight and obesity present significant public health concerns
because of the link with numerous chronic health conditions. During the last ten
years, since the discovery of leptin, great advances were obtained in the
characterization oh the hypothalamic mechanisms involved in the control of food
intake and thermogenesis. DEVELOPMENT: This review will present some the most
recent findings in this field. It will be focused on the actions of leptin and
insulin in the hypothalamus and will explore the hypothesis that hypothalamic
resistance to the action of these hormones may play a key role in the development
of obesity. CONCLUSIONS: The physical activity is an important component on long
term weight control. The exercise markedly increased phosphorylation activity of
several proteins involved in leptin and insulin signal transduction in the
hypothalamus. Recently our laboratory showed that physical activity increase in
sensitivity to leptin- and insulin-induced anorexia after enhances interleukin-6
production. These findings provide support for the hypothesis that the appetite
suppressive actions of exercise may be mediated by the hypothalamus.
PMID- 18050101
TI - [Characterisation and prevalence of the psychological and behavioural symptoms in
patients with dementia].
AB - AIM: To assess the prevalence of behavioural and psychological symptoms (BPS's)
in patients with dementia in Spain and their dementia-specific characteristics.
PATIENTS AND METHODS: A cross-sectional and retrospective study of 1025 patients
from 52 specialized dementia care units using the Neuropsychiatric Inventory
(NPI). Patients with a probable diagnosis of Alzheimer's disease (AD), dementia
with Lewy bodies (DLB) and Parkinson's disease and dementia (PDD) were selected
for BPS's characterisation. RESULTS: The global prevalence of BPS's was 66.7%
(684 patients; 95% CI = 63.8-69.6%). BPS's were under-diagnosed in one third of
cases. A total of 668 patients with NPI of 4 or superior and a diagnosis of AD (n
= 380; 56.8%), DLB (n = 156; 23.3%) and PDD (n = 132; 19.7%) had a NPI mean of
21.1 (SD = 14.7), 25.6 (SD = 13.9) and 21.8 (SD = 14.2), respectively. Apathy,
depression and anxiety were the most common BPS's. Delusions and hallucinations
were significantly more prevalent in DLB. Dementia severity was correlated with
the global NPI value and with all the sub-items, but anxiety and euphoria. The
presence of agitation, euphoria or lability was associated with a deficient
therapeutic fulfillment. CONCLUSION: A high prevalence of non-diagnosed BPS's was
observed in the studied population. This has serious negative consequences for
the quality of life of patients and their social environment. Therefore we
propose an active search and subsequent correct treatment of BPS's in all
patients with dementia.
PMID- 18050102
TI - [Relationship between essential tremor, Parkinson's disease and dementia with
Lewy bodies].
AB - INTRODUCTION: Although essential tremor (ET), Parkinson's disease (PD) and
dementia with Lewy bodies (DLB) are considered to be distinct illnesses, there is
a certain overlap between some of their clinical, pathological and genetic
features. AIM: To conduct a critical examination of the evidence for and against
the association between these three pathological conditions. DEVELOPMENT: The
body of evidence supporting the notion of a relation between ET and PD is growing
all the time; the same can be said of the fact that a postural tremor may appear
years before the onset of other extrapyramidal symptoms, the involvement of
common genes in the development of both conditions or the presence of common
pathological findings. In addition, it has also been suggested that there are
several aspects linking PD and DLB, and it has even been claimed that that they
might be part of the clinical spectrum of the same disease. The concept of ET as
a benign single-symptom disease has changed in recent years and, since it has
been related to cognitive disorders and Lewy bodies in the central nervous
system, it is now considered to be a neurodegenerative pathology. CONCLUSIONS.
ET, PD and DLB could represent different points on the same clinical spectrum.
PMID- 18050103
TI - [The origins of occupational therapy in Spain].
AB - INTRODUCTION: Occupational therapy is a young discipline in many aspects, but
since ancient times an important number of reports have appeared in the health
sciences literature and in the early days of neurology and psychiatry that can
shed light on the origins and the fundamental principles that underlie this
profession today. We have gathered examples that show how the philosophy and the
clinical practice of the procedures used in occupational therapy stem from the
process of humanising medical care. AIMS: After analysing the information
currently available to us on the history of the influence of humanism on the
development of medicine in Spain, the authors believe they have found enough
evidence to identify the precursors of occupational therapy at that point in
history. DEVELOPMENT: Our study examines facts that appear to situate the
earliest evidence of occupational therapy at the origins of Spanish neurology and
psychiatry in the 15th century, and which were later to have such a powerful
influence on the birth of certain aspects of Pinel's moral treatment.
CONCLUSIONS: If we accept 'moral treatment' as being one of the main forerunners
of occupational therapy, and if we take into account that the humanitarian way
patients were dealt with in Spanish neurological and psychiatric institutions and
hospitals in the 15th century had a notable influence on the principles that
regulated that 'moral treatment', then it is reasonable to state that the
earliest evidence of occupational therapy is to be found in the development of
humanisation within Spanish medical care.
PMID- 18050105
TI - [Shaken baby syndrome and stroke].
PMID- 18050106
TI - [Botulinum toxin in disabling stuttering].
PMID- 18050107
TI - [Preadmission use of statins as treatment for strokes].
PMID- 18050109
TI - [In memoriam. Cristobal Lamote de Grignon i Nicolau (1913-2006)].
PMID- 18050110
TI - Dry eye syndrome in diabetic children.
AB - PURPOSE: To compare the symptoms, signs, and results of objective tests for dry
eye syndrome (DES) in type 1 diabetes mellitus (T1DM) patients and controls.
METHODS: A total of 104 children with T1DM and 104 age- and sex-matched controls
were compared in terms of the symptoms, signs, and results of objective tests for
DES. Duration of T1DM, presence of diabetic retinopathy, mean hemoglobin A1c
level, pubertal status, and a history of accompanying autoimmune disease were
noted in T1DM group. Analysis of variance, multivariate regression analysis,
Student t, Mann-Whitney U, and chi-square tests were used for statistical
analysis. RESULTS: A total of 15.4% of diabetic children complained of dry eye
symptoms, versus 1.9% of the controls (p=0.029). Dry eye signs were detected in
7.7% of diabetic children, versus 0.96% of controls (p=0.034). Tear break-up time
(TBUT) and Schirmer test results were significantly lower in T1DM group than
controls (p=0.018, p=0.024, respectively). A total of 7.7% of diabetic children
had definite and 0.96% had probable diagnosis of DES, versus none of the controls
(p=0.03). TBUT and Schirmer test results were significantly lower in patients
with more than 10 years duration of T1DM (p<0.001 for both). CONCLUSIONS: The
prevalence of symptoms, signs, and definite diagnosis of DES are higher and basal
tear secretion and tear film stability are lower in diabetic children than
controls. Duration of T1DM is the only disease-related variable which is
associated with basal tear secretion and tear film stability.
PMID- 18050111
TI - Cyclooxygenase-2 expression in primary and recurrent pterygium.
AB - PURPOSE: Pterygium is a proliferative, inflammatory, and invasive ocular surface
disease associated with excessive ultraviolet radiation exposure and has several
tumor-like characteristics. Cyclooxygenase-2 (COX-2) is an inducible enzyme and
recently increased expression of the enzyme was found in many cancers and
premalign lesions. This study was conducted to identify the COX-2 expression in
pterygium tissues. METHODS: Immunohistochemical staining using a primary antibody
for COX-2 was performed on 30 specimens with primary pterygium (20 pterygium
without recurrence and 10 pterygium which recurred during a 12-month follow-up),
11 specimens with recurrent pterygium, and 8 specimens of conjunctival tumor. As
a control we used 10 specimens of normal conjunctiva. Extent and intensity of
cytoplasmic and membranous staining in epithelial cells were evaluated. RESULTS:
Higher expression of COX-2 was detected in conjunctival tumor (87.5%) specimens
and recurrent pterygium specimens (72.7%) compared to the both normal conjunctiva
(30%) and primary pterygium without recurrence (30%). COX-2 expression in primary
pterygium tissues with recurrence (60%) was not different from primary pterygium
without recurrence (p=0.114) and recurrent pterygium (p=0.537). However,
recurrent pterygium tissues were found to express higher COX-2 than primary
pterygium without recurrence (p=0.022). CONCLUSIONS: COX-2 expression is
increased in recurrent pterygium tissues and COX-2 expression may be a marker for
the prediction of recurrence.
PMID- 18050112
TI - Fixation patterns evaluation by means of MP-1 microperimeter in microstrabismic
children treated for unilateral amblyopia.
AB - PURPOSE: The aim of the study was to evaluate the fixation patterns of
microstrabismic children previously treated for unilateral amblyopia. METHODS:
Thirty-three children (mean age 7.3+/-1.5 years) were included in the study.
Visual acuity (VA) was measured using the Early Treatment of Diabetic Retinopathy
Study charts. Fixationwas assessed by MP-1 microperimeter. Differences in
position and stability of fixation between the fellow and the microstrabismic
eyes were calculated by using the percentage of the preferred fixation points
within central fixation and the percentage of the fixation points within target
fixation, respectively. For statistical analysis Mann-Whitney test was used. To
evaluate the influence of age and duration of anti-amblyopic treatment on
microstrabismic eyes fixation, linear regression analysis was performed. RESULTS:
In the microstrabismic eyes VA was significantly reduced when compared to the
fellow eyes (0.1236+/-0.0204 vs 0.0042+/-0.0032 logMAR; p<0.001). Position and
stability of fixation were significantly better in the fellow eyes (93.21+/-0.65%
vs 70.91+/-4.80%; p=0.002, and 89.88+/-0.94% vs 71.73+/-2.94%; p<0.001,
respectively). A significant correlation was found between fixation stability and
both the duration of anti-amblyopic treatment and pretreatment VA (p=0.024 and
p=0.009, respectively) and between fixation centrality and pretreatment VA
(p<0.001). CONCLUSIONS: VA, centrality, and stability of fixation were
significantly impaired in the microstrabismic eyes. Pretreatment VA was a risk
factor for fixation impairment. The severity of fixation stability impairment was
linked to the duration of anti-amblyopic treatment.
PMID- 18050113
TI - In vivo confocal microscopy of corneal grafts shortly after penetrating
keratoplasty.
AB - PURPOSE: To describe the microstructural status of corneal grafts shortly after
penetrating keratoplasty (PK) and to evaluate the efficacy and safety of confocal
microscopy in examining corneal grafts at that time. METHODS: A confocal
microscope with a 40 x front lens was used to examine corneal grafts in 32
patients (32 eyes) 4 days after PK. Images were analyzed, and endothelial cell
density counts were compared with presurgical, eye bank values determined by
specular microscopy. RESULTS: Microstructural alterations of the graft included
epithelial and stromal edema, epithelial degeneration in both superficial and
basal cell layers, dark stromal striae, activated keratocytes, and needle-like
structures in the stroma. Descemet membrane folds were visible in 31 of 32
grafts; in 1 graft, the dense stromal edema did not allow imaging of posterior
layers. Stromal nerve fibers were imaged in 28 grafts (88%). Endothelial cell
density ranged from 1666 to 2548 cells/mm2 (mean+/-SD, 2125+/-283 cells/mm2);
perioperative endothelial cell density loss varied from 0% to 29% (mean, 12%). No
adverse reactions or signs of worsening of clinical condition were observed after
the examination. CONCLUSIONS: White light scanning slit confocal microscopy
permits imaging of a graft's microstructure (including epithelium and stromal
layers), as well as calculation of endothelium cell density, as soon as 4 days
after PK. The most frequently observed morphologic alterations of corneal grafts
shortly after PK include epithelial and stromal edema, epithelial degeneration,
stromal striae, and Descemet membrane folds. Stromal nerves can still be seen in
the graft 4 days after PK.
PMID- 18050114
TI - Air-guided manual deep anterior lamellar keratoplasty: long-term results and
confocal microscopic findings.
AB - PURPOSE: To evaluate the long-term results of air-guided manual deep anterior
lamellar keratoplasty (DALK) and to perform confocal microscopy on postoperative
DALK corneas. METHODS: Seven postoperative consecutive DALK corneas were
evaluated 1 year after suture removal. All patients underwent a complete
ophthalmologic examination evaluating visual acuity, astigmatism, corneal
thickness, and endothelial cell count. Confocal microscopy was performed to
examine the corneas of the seven eyes and to obtain the measured interface depth.
RESULTS: Eighteen months after surgery, the mean postoperative uncorrected visual
acuity was 20/38 and the mean best-corrected visual acuity was 20/23.
Postoperative mean value of residual recipient stroma thickness was 65.57 microm
+/- 28.74. CONCLUSIONS: Maximum depth DALK can lead to significant advantages for
quality of vision when compared to other types of anterior lamellar keratoplasty.
Still, it remains a challenging procedure. These results show that a deep
dissection without baring Descemet membrane makes good visual results possible,
preventing corneal perforation and conversion to penetrating graft.
PMID- 18050115
TI - Behaviour of the phakic iris-claw intraocular lens (Artisan /Verisyse) during
accommodation: an optical coherence biometry study.
AB - PURPOSE: To evaluate variations in the position of the phakic iris claw lens
(Artisan, Verisyse) and the natural crystalline lens during the accommodation
process. METHODS: We measured changes in position of the iris claw lens and the
crystalline lens during the accommodation using optical coherence biometry (AC
Master/Carl Zeiss Meditec, Germany) in 17 patients (28 eyes) with a phakic iris
claw lens implanted for high myopia and/or myopic astigmatism. Accommodative
effort was obtained using an internal optical target within the measuring device.
RESULTS: There was a forward shift of the phakic iris claw lens with a mean of 70
microm (8-178 microm) of the optical path length (OPL). At the same time the
anterior pole of the natural lens showed a forward mean movement of 85 microm (4-
260 microm). CONCLUSIONS: An anterior displacement of the iris-claw phakic lens
was shown in a series of eyes during the accommodation process. As this
displacement goes along with the forward displacement of the anterior pole of the
crystalline lens, the preoperative measurement of the latter might provide some
additional information about the position of the iris claw lens in the
accommodative state after implantation.
PMID- 18050116
TI - Clinical features, complications, and incidence of intraoperative floppy iris
syndrome in patients taking tamsulosin.
AB - PURPOSE: To evaluate the intraoperative findings, complication rates, effect of
intracameral adrenaline, and incidence of intraoperative floppy iris syndrome
(IFIS) in patients using tamsulosin. METHODS: In this prospective nonrandomized
observational study, 858 eyes of 774 patients who had phacoemulsification between
August 2005 and November 2006 were evaluated. Duration and preoperatively
discontinuing time of tamsulosin intake were questioned. Preoperative pupil size,
intraoperative iris behavior, progressive miosis, adrenalin usage, and
complications were recorded. RESULTS: The incidence of IFIS was 1.6% and IFIS was
seen in 14 of the 18 eyes of patients using tamsulosin (77.8%). One eye had
floppy iris only, 2 eyes had floppy iris and intraoperative miosis, 3 eyes had
floppy iris and iris prolapse, and 8 eyes had all three signs of IFIS. IFIS was
seen in 7 of the 10 eyes where intracameral adrenaline was used, and in 7 of the
8 eyes without adrenaline (p=0.588). Intraoperative miosis was seen only in 3 of
the 10 eyes with adrenaline and in 7 of the 8 eyes without adrenaline (p=0.025).
Posterior capsule rupture occurred in 1 of the eyes with IFIS and in 5 eyes focal
iris stromal atrophy caused by prolapse was seen. CONCLUSIONS: IFIS occurred in
1.6% of cases having phacoemulsification. Intracameral adrenaline usage did not
change the IFIS occurrence rate, but it seemed to be effective in preventing
intraoperative miosis. There are still many questions about IFIS, and there is
need for future studies strengthening the understanding of IFIS.
PMID- 18050117
TI - Prevalence of diplopia related to cataract surgery among cases of diplopia.
AB - PURPOSE: To evaluate the prevalence of diplopia in Greece after cataract surgery
among diplopia cases. METHODS: The authors retrospectively reviewed the medical
records of 571 patients with diplopia over an 8-year period in order to evaluate
the prevalence of diplopia related to cataract surgery. The surgical and
anesthetic records were also reviewed. All patients had full orthoptic assessment
including prisms with cover test in all gaze positions. The chi-square and cross
tabulation statistical tests were used for statistical analysis. RESULTS: Thirty
nine cases (6.8%) were having persistent diplopia related to cataract surgery.
Type of anesthesia was peribulbar. Hyaluronidase was not used. Thirteen patients
were men and 26 were women. Left eyes were involved in 22 cases (56.4%), right in
17 cases (43.6%). Mean age was 72.5 years. Patients were divided into four
groups. Group 1 consisted of 29 patients related to surgical trauma due to
anesthesia. Group 2 consisted of 7 patients related to pre-existing disorders.
Group 3 consisted of 2 patients related to aniseikonia or anisometropia. Group 4
with 1 patient related to macular pathology. Vertical diplopia was mostly noted
(28 cases). Twenty-nine patients were corrected with prisms, 9 needed strabismus
surgery, and 1 needed both surgery and prisms. CONCLUSIONS: Cataract surgery is
not a common cause of persistent diplopia. However, this report highlights that
prevalence of diplopia related to cataract is high among cases of diplopia in
general, with diplopia being mostly vertical (p=0.001), affecting females
(p=0.006), and being more common in left eyes, although results did not reach
statistical significance (p=0.133).
PMID- 18050118
TI - Pre- and postoperative C-reactive protein levels in patients with cataract and
age-related macular degeneration.
AB - PURPOSE: To assess whether patients with early or intermediate forms of age
related macular degeneration (AMD) benefit from cataract surgery in terms of
visual acuity and contrast sensitivity, and to determine the levels of high
sensitivity C-reactive protein (hsCRP) as a systemic marker of inflammation
before and after cataract surgery in patients with AMD. METHODS: Three groups of
patients (n=132) were studied at baseline and 8-12 weeks later: 1) a study group
of patients with AMD who underwent cataract surgery (n=47), 2) a control group of
patients without ocular comorbidities who underwent cataract surgery (n=36), and
3) a second control group with AMD and no surgery (n=49). Visual acuity (VA) was
obtained by letter charts and expressed as decimal notations +/- SD. Contrast
sensitivity was measured employing a Ginsburg Box, VSCR-CST-6500. The hsCRP was
measured by means of particle enhanced immunonephelometry on a BN Systems.
RESULTS: Postoperatively in both groups of the operated patients an improvement
of VA (0.23+/-0.17 vs 0.64+/-0.25 and 0.23+/-0.18 vs 0.83+/-0.17, respectively,
p<0.0001) and contrast sensitivity (at different spatial frequencies, from 1.5 to
18 cycles/degree, p<0.05) was determined. At baseline, the hsCRP level in Group 1
patients was higher than the level in controls (2.67+/-2.36 vs 1.67+/-1.36,
p<0.01, or 1.12+/-0.99 mg/L, p<0.0001, respectively). After 8-12 weeks, the hsCRB
level only in Group 1 significantly increased (2.67+/-2.36 vs 3.74+/-3.54 mg/L,
p<0.05), whereas in the controls it did not change. CONCLUSIONS: Patients with
AMD benefit from cataract surgery, both in terms of VA and contrast sensitivity.
The level of hsCRP is significantly higher in patients with AMD and moderate
cataract than in patients with one of these eye disorders. The hsCRP only
increases after cataract surgery in patients with AMD.
PMID- 18050119
TI - Glaucoma drainage implants in the treatment of refractory glaucoma in pediatric
patients.
AB - PURPOSE: The aim of this study is to report the clinical course, effectiveness,
and safety of glaucoma drainage implants (Molteno and Baerveldt devices) in
primary and secondary childhood glaucomas refractory to conventional surgical
treatments and medical therapy. METHODS: This retrospective study included 76
children (76 eyes) younger than 18 years who underwent glaucoma drainage device
(GDD) implantation in our clinic between 1990 and 2004. The mean age at time of
surgery was 6.9+/-5.3 years (range: 4 months to 17.5 years). Intraocular pressure
(IOP), visual acuity, corneal diameter, axial length, intraoperative and
postoperative complications, and number of glaucoma medications were evaluated.
Criteria for success were defined as IOP between 7 and 22 mmHg with or without
glaucoma medications, no further glaucoma surgery, the absence of visually
threatening complications, and no loss of light perception. Results were compared
for children with primary and secondary glaucomas. The mean follow-up was 7.1+/
6.5 years (range: 1.6 to 15.2 years). RESULTS: Mean preoperative and
postoperative IOP was 33.6+/-11.4 mmHg and 17.1+/-6.5 mmHg (p<0.001),
respectively. Kaplan-Meier survival analysis showed cumulative probability of
success: 93% at 6 months, 91% at 1 year, 82% at 2 years, 76% at 3 years, 71% at 4
years, 67% at 5 years, and 65% at 6 years. There was no difference between
patients with primary (n=31 eyes) and secondary glaucoma (n=45 eyes) in terms of
cumulative success (p=0.186), final IOP, number of medications, or length of
follow-up. On average, the GDI surgery was successful for a mean period of 6.7
years. Fourteen eyes of 76 (18.4%) failed: 10 eyes with uncontrolled IOP, 2 eyes
with retinal detachment, and 2 eyes with no light perception. Statistical
regression model did not show influence of gender and previous surgery. Lower age
at the time of surgery was found to be associated with higher probability of
treatment failure. CONCLUSIONS: Molteno and Baerveldt glaucoma drainage implants
surgery seems to be safe and effective treatment for primary and secondary
pediatric glaucoma refractory to the initial surgical procedure and medical
therapy.
PMID- 18050120
TI - Increased vascular endothelial growth factor levels in aqueous humor and serum of
patients with quiescent uveitis.
AB - PURPOSE: Vascular endothelial growth factor (VEGF) and interleukin-8 (IL-8) are
angiogenic mediators that share a significant proinflammatory activity. Both
substances have been suggested to play a key role in uveitis pathogenesis. The
authors analyzed VEGF and IL-8 levels in the aqueous humor and serum of patients
with different types of uveitis during a quiet phase of the disease. METHODS:
Thirteen patients with intermediate uveitis, uveitis associated with ankylosing
spondylitis, Vogt-Koyanagi-Harada disease, Fuchs uveitis syndrome, idiopathic
chronic anterior uveitis, or Behcet disease, as well as 10 normal matched
subjects, were included in the study. VEGF and IL-8 concentrations were measured
in aqueous humor and serum by enzyme-linked immunosorbent assay. RESULTS: VEGF
levels were significantly higher in both the aqueous humor and serum of patients
with uveitis as compared with controls. IL-8 concentrations in aqueous humor were
significantly higher in patients with uveitis with extraocular manifestations
than in those with eye-limited disease. CONCLUSIONS: These findings suggest that
VEGF plays a role in uveitis pathogenesis even during inactive disease and that
IL-8 levels are significantly influenced by the presence of uveitis-associated
extraocular changes.
PMID- 18050121
TI - The effect of complement factor H Y402H polymorphism on the outcome of
photodynamic therapy in age-related macular degeneration.
AB - PURPOSE: Photodynamic therapy (PDT) has been widely used in the treatment of age
related macular degeneration (AMD). The complement cascade has an important role
in the tissue reactions occurring after PDT. The Y402H polymorphism of the
complement factor H (CFH) gene has been identified as a risk factor for AMD.
Since CFH is central in the regulation of the complement system the authors
wanted to analyze whether the CFH Y402H polymorphism modifies the PDT outcome in
AMD. METHODS: A total of 88 patients having been treated with PDT and without
further scheduled PDT sessions were analyzed. Depending on the situation at their
final PDT session the patients were classified retrospectively as PDT-responders
or PDT-nonresponders. All patients were genotyped for the CFH Y402H polymorphism.
RESULTS: The proportion of PDT-responders was 18/26 (69.2%) in patients
homozygous for the CFH Y402H risk allele, 34/50 (68.0%) in heterozygous, and 7/12
(58.3%) in patients with the normal genotype (p=0.520). The median number of PDT
treatments of the PDT-responders was three for all the genotypes. CONCLUSIONS:
The dysfunction of the CFH related to the risk of AMD and caused by the Y402H
polymorphism does not modify the outcome of PDT. Genotyping for CFH Y402H cannot
be used to select patients for this treatment.
PMID- 18050122
TI - Assessment of the contribution of insulin-like growth factor I receptor 3174 G-
>A polymorphism to the progression of advanced retinopathy of prematurity.
AB - PURPOSE: Retinopathy of prematurity (ROP) is a leading cause of blindness in
children with short gestational age and low birthweight. The condition can cause
abnormal vessel development that can lead to retinal detachment and blindness. It
has been recently reported that a low level of insulin-like growth factor I (IGF
I) is associated with ROP. However, the most prevalent polymorphism of IGF-I
receptor (IGF-IR 3174 G-->A) that was reported to be producing low level of IGF-I
was not found to be associated with ROP in a certain population. In order to
reproduce this data in a different cohort and to learn more about the
contribution of IGF-IR polymorphism to ROP, the authors hypothesized that it is
possible that such a polymorphism would occur more frequently in a different
cohort of infants with advanced ROP than those children with mild or no disease.
METHODS: For genetic analysis, eligible patients were selected consecutively by
experienced pediatric ophthalmologists and leukocyte DNA from affected (n=52) and
normal patients (n=33) were amplified by polymerase chain reaction. The amplified
products were subjected to restriction enzyme digestion with 10 units of MnlI
enzyme. The digested products were analyzed by polyacrylamide gel electrophoresis
followed by ethidium bromide staining to visualize the restriction fragment
length polymorphism. RESULTS: The analysis suggests that there is no
statistically significant difference in allelic frequency of the most prevalent
IGF-IR gene polymorphism between normal subjects and patients with ROP in this
cohort. The G-->A polymorphism did not occur more frequently in patients with
ROP. CONCLUSIONS: The results do not support the association of the most
prevalent IGF-IR gene polymorphism and the risk of advanced ROP in a different
cohort, confirming the earlier report.
PMID- 18050123
TI - Extended silicone oil tamponade in primary vitrectomy for complex retinal
detachment in proliferative diabetic retinopathy: a long-term follow-up study.
AB - PURPOSE: To investigate long-term anatomic and functional results of extended
silicone oil (SO) tamponade in primary vitrectomy for patients with proliferative
diabetic retinopathy (PDR) and complex retinal detachment (RD). METHODS: From
January 1999 to June 2005, clinical records of consecutive patients with PDR who
underwent primary vitrectomy with extended SO tamponade for complex RD were
retrospectively reviewed. Main outcome measures included anatomic outcome,
functional outcome, and postoperative complications. Statistical analyses were
used to determine factors affecting anatomic and functional outcomes and to
evaluate the potential adverse effects on vision from long-term oil tamponade.
RESULTS: Fifty-four eyes of 45 patients (18 male and 27 female) were included in
this series. All patients underwent follow-up >or= 12 months (28.2+/-15.1 months;
range: 12 to 69 months). Anatomic success was achieved in 85.2% at the third
month postoperatively and 83.3% at last followup. Breaks with adjacent unreleased
traction was identified as the only variable associated with final anatomic
success (OR=0.173, p=0.024). Best-corrected visual acuity (BCVA) improved or
remained unchanged in 89% at the third month postoperatively and in 78% at last
follow-up. Ambulatory vision was achieved in 41% at the third month postoperative
and 44% at last follow-up. Preoperative BCVA was identified as the only variable
statistically associated with final BCVA (p<0.001) (multivariate analysis). The
change of BCVA from the third month to last follow-up has no correlation with
follow-up duration in the 45 eyes with final anatomic success (Pearson
correlation coefficient = -0.022, p=0.888). Postoperative complications included
peri-silicone oil proliferation in 4 eyes, neovascular glaucoma in 4 eyes, oil
migration into anterior chamber in 9 eyes, and pupillary-block induced IOP
elevation in 5 eyes. CONCLUSIONS: Prolonged SO tamponade may provide anatomic
success and functional stability after primary diabetic vitrectomy. Significant
complications compromising visual prognosis were uncommon with prolonged SO
tamponade.
PMID- 18050124
TI - Ocular manifestations of chronic graft-versus-host disease in patients treated
with extracorporeal photochemotherapy.
AB - PURPOSE: Eye involvement has long been appreciated in patients with chronic graft
versus host disease (cGVHD). In particular, ocular complications are frequent and
can be potentially severe in patients with steroid-refractory cGVHD, and
therefore necessitate close monitoring. This prospective study was designed to
describe eye manifestations of cGVHD in a large series of patients monitoring
them before and after 1 year of extracorporeal photochemotherapy (ECP). ECP is a
relatively new therapeutic approach based on the biological effects of psoralen 8
methoxypsoralen (8-MOP) and ultraviolet A light (UVA) on mononuclear cells
collected by apheresis, and reinfused into the patient. METHODS: Only patients
with steroid-refractory cGVHD under treatment with ECP, who developed cGVHD
related eye symptoms, were selected for the study. Ophthalmologic examination was
repeated every 3 months. Only patients with complete recovery of the ocular
manifestations and symptoms were considered responsive. RESULTS: In our study we
observed eye alterations in 24 out of 140 patients (17%) with cGVHD. After 12
months of ECP, 10 out of 21 patients (48%) completely responded to the therapy.
In all these cases the contribution of ECP was also essential in all the other
organs subject to cGVHD. CONCLUSIONS: Further studies are necessary to clarify
the role of ECP in patients with cGVHD, especially in associated eye
manifestations. Although our experience is limited, it suggests that ECP could be
a safe and effective therapy for steroid-refractory eye manifestations of cGVHD.
PMID- 18050125
TI - Squamous cell carcinoma presenting as an orbital cyst with radiologic evidence of
perineural invasion.
AB - PURPOSE: To report clinical and radiologic findings of cystic squamous cell
carcinoma (SCC) of the orbit with evidence of perineural involvement. METHODS:
Analysis of clinical findings and radiology with a literature review. RESULTS: A
66-year-old man with SCC of the forehead 8 years prior presented with
paraesthesias, diplopia, and proptosis. Magnetic resonance imaging showed a well
defined, cystic mass of the orbit with a single, linear structure running through
its center. Lateral orbitotomy revealed a cyst adherent to adjacent periorbita
containing viscous, clear, yellow substance and a nerve coursing through the
center. Histopathology confirmed poorly differentiated spindle cell carcinoma
with positive staining for cytokeratin markers, consistent with SCC. CONCLUSIONS:
Orbital cysts associated with altered sensation are suggestive of SCC with
perineural spread, requiring prompt investigation and treatment to minimize
morbidity and mortality. The involved nerve may be seen as a single, linear
structure within the mass on imaging.
PMID- 18050126
TI - Unilateral vernal keratoconjunctivitis: a case report.
AB - PURPOSE: A rare case of unilateral vernal keratoconjunctivitis is presented.
METHODS: A 5-year-old boy had itching, foreign body sensation, redness, and
ptosis in his left eye. Impression cytology specimens were taken from both upper
eyelid tarsal conjunctiva. RESULTS: On slit-lamp biomicroscopy, unilateral
cobblestone papillae and a shield ulcer were found in the left eye. On impression
cytology examination, there was a significant increase in inflammation, presence
of a honeycomb pattern, plasma cells, and mucus strands in the upper tarsal
conjunctiva of the specimens. CONCLUSIONS: Giant papillary conjunctivitis must be
considered in differential diagnosis of unilateral vernal conjunctivitis.
Impression cytology method may be combined with the clinical findings in vernal
keratoconjunctivitis diagnosis.
PMID- 18050127
TI - Peribulbar tramadol, clonidine, and ropivacaine in blind and seeing painful eyes.
AB - PURPOSE: To report on the treatment of painful eyes by peribulbar injection of
tramadol, clonidine, and ropivacaine. METHODS: The authors treated a 72-year-old
woman with chronic prephthisical pain in the left eye secondary to graft
rejection after penetrating keratoplasty and an 81-year-old woman with severe
ocular pain in her left eye for neovascular glaucoma secondary to an ischemic
central vein occlusion. They were treated with a medial canthus injection of
tramadol (100 mg/2 mL ampules), clonidine (0.15 mg/1 mL ampules), and 1%
ropivacaine. RESULTS: Both injections were very well tolerated and neither
transient nor lasting complications were reported. Complete pain relief was
obtained in both patients within 1 day and was maintained throughout the follow
up (11 months and 7 months). CONCLUSIONS: Peribulbar tramadol, clonidine, and
ropivacaine injection may represent a safe and effective treatment modality in
the management of chronic ocular pain.
PMID- 18050129
TI - Intravitreal bevacizumab for adult-onset vitelliform dystrophy: a case report.
AB - PURPOSE: Adult-onset foveomacular vitelliform dystrophy (AFVD) is often
misdiagnosed as occult choroidal neovascularization (CNV) secondary to age
related macular degeneration (AMD). The authors report the anatomic and
functional outcome of intravitreal bevacizumab in a case of AFVD associated with
a suspected occult CNV. METHODS: Prospective, interventional, single case report.
One female patient with decreased visual acuity (VA) and metamorphopsia secondary
to AFVD received one single intravitreal injection of bevacizumab 1.25 mg.
RESULTS: The patient reported unchanged VA and decreased metamorphopsia 6 weeks
after the injection. Fluorescein angiography (FA) and optical coherence
tomography (OCT) showed progressive decrease of subretinal fluid until complete
disappearance. VA, OCT, and FA remained unchanged during 10 months follow-up.
CONCLUSIONS: Intravitreal bevacizumab showed a morphologic improvement and stable
VA in a patient with AFVD. Further case series are required to confirm this
observation.
PMID- 18050128
TI - Retinal angiomatous proliferation reactivation 6 months after high-dose
intravitreal acetonide triamcinolone and photodynamic therapy.
AB - PURPOSE: To describe the incidence of retinal angiomatous proliferation (RAP)
reactivation after combined treatment with a high-dose intravitreal triamcinolone
acetonide (IVTA) and photodynamic therapy (PDT) at 1-year follow-up. METHODS: All
patients had undergone a full ophthalmic examination. High-dose IVTA (20 mg) was
injected via pars plana. Four to 7 days later, PDT was delivered. RESULTS:
Fourteen eyes of 13 patients were included. Eight lesions (57%) reopened and
needed retreatment with combined therapy at 6 months follow-up. At 1-year follow
up, the lesion was obliterated in nine cases (64.2%) and best-corrected visual
acuity improved from 0.87 logMar (range, 0.7-1) to 0.79 logMar (range, 0.5-1).
CONCLUSIONS: Combined therapy using high-dose IVTA and PDT is beneficial in
stabilizing RAP. However, a high incidence of RAP reactivation has been observed
at 6 months, even with a high-dose IVTA injection.
PMID- 18050130
TI - Systemic bevacizumab for retinal angiomatous proliferation associated with
retinal pigment epithelial detachment.
AB - PURPOSE: To report the off-label use of systemic bevacizumab in a patient with
stage 3 retinal angiomatous proliferation (RAP) associated with a vascularized
pigmented epithelium detachment (PED). METHODS: Interventional case report.
RESULTS: The patient was treated with systemic bevacizumab after obtaining fully
informed consent. At 3 months post-treatment, the authors observed an improvement
of one line (seven letters) in visual acuity and total regression of the PED on
ocular coherence tomography. No adverse effects were observed. CONCLUSIONS:
Systemic bevacizumab therapy appears to be safe and effective in the treatment of
RAP associated with PED during this short follow-up period of 3 months. The
authors recommend a large trial with long-term follow-up to confirm the promising
results and evaluate the occurrence of adverse effects associated with systemic
bevacizumab.
PMID- 18050132
TI - Intravitreal ranibizumab in a patient with choroidal neovascularization secondary
to multiple evanescent white dot syndrome.
AB - PURPOSE: To report the effect of a single intravitreal injection of ranibizumab
in a patient with choroidal neovascularization (CNV) secondary to multiple
evanescent white dot syndrome (MEWDS). METHODS: A 65-year-old woman with visual
acuity (VA) 20/40, mild vitreous inflammation, optic disc edema, and white deep
retinal round lesions in the right eye underwent fluorescein angiography,
indocyanine green angiography, and optical coherence tomography. The diagnosis of
MEWDS with peripapillary CNV was made and a single injection of ranibizumab (0.5
mg) was administered. RESULTS: At the 6-month follow-up visit, the VA in the
right eye was 20/20, the CNV completely regressed, and the MEWDS findings
disappeared. CONCLUSIONS: Intravitreal ranibizumab appears to be a safe and
effective treatment option in cases of CNV secondary to MEWDS, resulting in fast
resolution of the macular edema and regression of the CNV. On the other hand, it
is unclear whether the administration of ranibizumab contributed to a prompt
regression of MEWDS.
PMID- 18050131
TI - Retinal pigment epithelial tears after intravitreal bevacizumab injection for
predominantly classic choroidal neovascularization.
AB - PURPOSE: To detect retinal pigment epithelium (RPE) tears in predominantly
classic choroidal neovascularization (CNV) secondary to age-related macular
degeneration (AMD) treated with intravitreal bevacizumab injections. METHODS:
Forty consecutive patients with predominantly classic CNV secondary to AMD were
treated with 1.25 mg of intravitreal bevacizumab. Patients were evaluated with
visual acuity (VA) measured with Early Treatment Diabetic Retinopathy Study
charts, optical coherence tomography, and fluorescein angiography. RESULTS: Three
patients developed a RPE tear after the first injection. The first patient had
been treated with verteporfin therapy and VA remained unchanged. In the other two
cases the CNV was naive and VA improved since the foveal center was not involved
by the tear and macular edema was reduced. CONCLUSIONS: RPE tears can occur
following intravitreal bevacizumab injections in patients with predominantly
classic CNV although VA is not always affected.
PMID- 18050133
TI - Phenotypic intrafamilial variability associated with S212G mutation in the
RDS/peripherin gene.
AB - PURPOSE: To describe an Italian family in which two separate phenotypes
(retinitis pigmentosa and adult onset vitelliform macular dystrophy) are
associated with an identical mutation (S212G) in the peripherin/RDS gene. This
mutation has already been reported in patients with retinitis pigmentosa, but it
has never been previously detected in association with adult onset vitelliform
macular dystrophy. METHODS: A 38-year-old woman complained of bilateral mild
metamorphopsias and on ophthalmologic examination she showed the clinical
phenotype of adult onset vitelliform macular dystrophy. Her 62-year-old mother
was clinically diagnosed with a retinitis pigmentosa, with a severe clinical
course. RESULTS: In both patients, molecular genetic analysis revealed a 874A-->G
transition in the exon 2 of the RDS gene leading to the amino acid change of
S212G. CONCLUSIONS: Peripherin/RDS S212G mutation may have damaging effects on
the formation and stability of the photoreceptors' disk structure and may be
associated with different clinical phenotypes, even in the same family.
Intrafamilial phenotypic variability has been reported for other RDS mutations;
this supports the possible influence of modifier genes or environmental factors
in the clinical expression of RDS gene variants. Moreover, it suggests that in
patients with retinal degeneration and peripherin/RDS mutation, caution should be
taken both in using molecular genetic results to predict the clinical course of
the disease and in offering genetic counseling.
PMID- 18050134
TI - Protein S deficiency and retinal arteriolar occlusion in pregnancy.
AB - PURPOSE: To report two cases of retinal arteriolar occlusion in pregnant women
with transient protein S (PS) deficiency. METHODS: Observational case reports.
RESULTS: Two pregnant women in their 30s presented with a paracentral scotoma in
their right and left eye, respectively. In both cases the only risk factor for
vascular occlusion was pregnancy. Systemic diseases were excluded. Free and
functional PS activity was physiologically reduced in both patients. In Case 1,
free PS was 47% and functional PS was 22%. In Case 2, free PS was 43% and
functional PS was 25%. These levels of PS seem to be lower than those published
for normal pregnancy. CONCLUSIONS: There might be a special relationship between
PS deficiency and the development of arterial occlusion in pregnant women. A
direct effect may be possible. Although the prevalence and incidence of
vasoocclusive disease in these patients are low, PS deficiency should be
considered as another risk factor. Further studies are necessary to evaluate
changes in PS and to assess its relationship with thromboembolic events during
pregnancy.
PMID- 18050135
TI - Correlates of vitamin A deficiency among Indian rural preschool-age children.
AB - PURPOSE: In India, 52,000 children go blind every year on account of vitamin A
deficiency. The purpose of the study was to determine the correlates of vitamin A
deficiency among 4,205 preschool-age children. METHODS: Case-control study in
Bihta Primary Health Center area, Bihar, India. Main outcome measures were
dietary habits, maternal literacy, and birth order. RESULTS: Vitamin A deficiency
was found to be significantly higher (p<0.01) in children on a vegetarian diet
(7.14%) (OR 5.32). Children born to a literate mother had a prevalence of only
1.35% in relation to a corresponding value of 4.11% in children born to
illiterate mothers (p<0.01) (OR 3.15). Birth order of preschool-age children was
significantly related to vitamin A deficiency. In birth order less than or equal
to three, the prevalence was 2.81%, in comparison to those with birth order four
or more, in whom the magnitude was significantly higher (p<0.01) at 5.61% (OR
2.08).
PMID- 18050136
TI - The impact of meta-analyses on clinical practice: the benefits.
AB - Meta-analyses are frequently criticized because in most cases they are compiled
from quite heterogeneous studies. In spite of this limitation meta-analyses are
increasingly published because in many areas of clinical research the results of
individual studies are devoid of statistical power and end up with conflicting
results. Meta-analyses, if performed with a rigorous and exhaustive search of all
accountable information on a specific topic, have the potential of overcoming the
drawbacks of single studies and, in addition, of adjusting for publication bias
and interstudy variability. These strengths of meta-analyses can be exploited to
provide conclusive answers on diagnostic and therapeutic issues being debated,
which in turn may help guide doctors toward more rational decisions.
PMID- 18050137
TI - Therapeutic implications of recent megatrials in hypertension: in favor of new
drugs.
AB - Blood pressure reduction represents a key priority for any preventive strategy in
hypertension. However, one of the issues that has been raised repeatedly over the
last few years is whether blood pressure reduction is all that matters in the
treatment of hypertension, or if other properties related to antihypertensive
drugs may be relevant for cardiovascular and renal outcomes. In this view, a long
debate has emerged in the scientific and medical community, whether the newer
classes of antihypertensive agents have additional properties beyond blood
pressure control and, further, are superior to traditional antihypertensive
drugs. The evidence accumulated over the last 20 years have consistently
demonstrated that "new" drugs are as effective as "old" drugs in terms of blood
pressure-lowering effect and in several clinical trials even more effective than
old drugs on major cardiovascular outcomes. In addition, they have been
demonstrated to effectively antagonize the progression of the hypertensive
disease, as monitored through the development of intermediate end points.
Finally, in view of the need for using combination therapy to effectively achieve
blood pressure control in the clinical management of hypertension, the
antihypertensive combinations based on new drugs, especially those using
angiotensin-converting enzyme (ACE) inhibitors and angiotensin II receptor
blockers with a low-dose thiazide diuretic or those using calcium channel
blockers and ACE inhibitors, are now considered more effective and safer than
those using beta-blockers and low-dose thiazide diuretic, especially in view of
their better metabolic profile and their better tolerability.
PMID- 18050138
TI - Early renal dysfunctions in type 1 diabetes and pathogenesis of diabetic
nephropathy.
AB - Diabetic nephropathy develops in only a subgroup of patients affected by type 1
diabetes. Once established, this complication is quite difficult to control, and
consequently its prevention is presently considered the first target to achieve.
As hyperglycemia has immediate effects on renal function and structure, it is
reasonable to say that, in predisposed individuals, the pathogenesis of diabetic
nephropathy also begins with the onset of diabetes. Two major hypotheses have
been put forward to explain how the renal abnormalities that characterize the
first years of diabetes - i.e., hyperfiltration and hypertrophy - will later lead
to the appearance of microalbuminuria and end-stage renal disease. The first
hypothesis considers hyperfiltration as the driving dysfunction and hypertrophy
as the consequence. Conversely, the second points to proximal tubule hypertrophy
as the starting point and hyperfiltration as the result. Whatever the case, tight
glucose control from the very first days of disease seems to be the only
established therapy to prevent future complications in type 1 diabetes.
PMID- 18050139
TI - Emerging therapeutic strategies in diabetic nephropathy.
AB - Diabetic nephropathy is one of the main causes of end-stage renal disease (ESRD)
and is associated with elevated cardiovascular morbidity and mortality. Current
renoprotective treatment for diabetic nephropathy includes strict glycemic and
optimal blood pressure control, proteinuria/albuminuria reduction and the use of
renin-angiotensin-aldosterone system (RAAS) blocking agents. However, the
renoprotection provided by these treatments is only partial, and many patients
still have progressive disease, thus suggesting that a more effective approach is
urgently needed. This review examines emerging strategies for the treatment of
diabetic nephropathy, including aggressive RAAS blockade, statins,
pentoxifylline, glitazones, ruboxistaurin, as well as sulodexide. Pilot studies
that used surrogate end points, mainly albuminuria, will be discussed. New
insights suggest that treating microalbuminuric diabetic patients with statins,
pentoxifylline, glitazones and sulodexide could be a new approach for reducing
the incidence of clinical proteinuria. In diabetic patients with overt
nephropathy, the administration of statins, pentoxifylline, sulodexide or
aggressive RAAS inhibition, including RAAS dual blockade (i.e., angiotensin
converting enzyme [ACE] inhibitors plus angiotensin receptor blockers or
aldosterone antagonists plus ACE inhibitors or angiotensin receptor blockers),
seems to be a promising way to preserve renal function and to prevent progression
to ESRD. Results of ongoing, long-term trials on major renal and cardiovascular
clinical outcomes, as well as on mortality are needed to establish whether the
current standard of care of diabetic nephropathy might be improved with these new
strategies.
PMID- 18050140
TI - Treating anemia at different stages of renal disease.
AB - Cardiovascular disease is the leading cause of the poor long-term survival of
patients with chronic kidney disease (CKD). Anemia, which is a frequent and early
complication of CKD, not only impairs patients' quality of life, but also is an
independent risk factor for adverse cardiovascular outcomes. This may be due to
its impact on cardiac function leading to the development of left ventricular
hypertrophy. Starting from the clear association between higher hemoglobin levels
and better outcomes found in observational surveys, a number of interventional
studies have been designed to verify whether correcting anemia fully improves
patient outcomes. The results have not indicated any major effect, although the
majority of the studies were not primarily designed to test the effect of anemia
correction on mortality. This is especially true in the case of CKD patients not
undergoing dialysis. Many of these studies have also suffered from relatively
short follow-up periods and from the fact that their statistical power was
reduced because the difference in achieved hemoglobin levels between the
experimental and control groups was often less than expected. Further studies
aimed at better investigating the complex mechanisms underlying responsiveness to
erythropoiesis-stimulating agents will probably help to explain the disagreement
between observational studies and randomized clinical trials.
PMID- 18050141
TI - The endothelium as a target in renal diseases.
AB - The endothelium is a fundamental layer in the arterial wall both for the local
regulation of flow to critical organs like the heart, brain and kidney, and for
the protection of the vascular system from atherogenic insults. Inhibition of
nitric oxide (NO) synthesis has profound effects at systemic and renal levels.
Low NO bioavailability may occur in essential hypertension and in a variety of
conditions associated with high cardiovascular risk. High oxidative stress and
low availability of the substrate of NO-synthase, l-arginine, as well as an
increase of endogenous NO inhibitors such as asymmetric dimethylarginine (ADMA)
may engender endothelial dysfunction. This alteration is very frequent in
patients with chronic kidney disease (CKD) and may contribute to accelerated
progression of CKD, hypertension and cardiovascular complications. The kidney not
only reabsorbs but also synthesizes l-arginine and appears to be a central organ
for the catabolism of ADMA, mainly because it is richly endowed with the enzyme
that degrades ADMA, dimethylarginine dimethylaminohydrolase (DDAH). Recent
studies demonstrated that ADMA accumulation predicts both progression to end
stage renal disease and death in patients with CKD, again further suggesting that
ADMA is a potentially important treatment target in clinical trials aimed at
reducing the rate of loss of renal function in these patients.
PMID- 18050142
TI - Therapeutic implications of recent megatrials: in favor of old drugs.
AB - Data from controlled clinical studies comparing active drugs versus placebo or
comparing different classes of drugs and their meta-analysis seem to indicate
that although more subtle differences in the effects of various antihypertensive
drugs cannot be ruled out, the protective effects against cardiovascular
morbidity and mortality of all classes of drugs, including conventional therapy
based on diuretics and beta-blockers and their combination, are largely explained
by the extent of blood pressure (BP) reduction. Therefore BP control is still the
main target of antihypertensive therapy. The benefits of diuretics have been well
documented, particularly when these drugs are used at appropriate and/or optimal
doses to achieve the optimal antihypertensive effect with the least occurrence of
side effects, including negative metabolic effects. Therefore they must still be
included among first line antihypertensive drugs. By contrast, beta-blockers seem
recently to have lost their previously favored status as initial therapy for
hypertension owing to their lower preventive effects against cardiovascular
events as compared with diuretics in elderly hypertensive patients and against
stroke as compared with other treatments, and to their negative metabolic
effects. However, although evidence-based data must be taken into account, the
choice of antihypertensive therapy aimed at BP control in individual patients
must be made according to clinical characteristics. We believe that a wide range
of choices among various antihypertensive drugs, above all diuretics and also
beta-blockers, offers an appropriate possibility of selecting the right drug and
the right combinations according to the clinical profile of the individual
patient.
PMID- 18050143
TI - Arterial stiffness: a new surrogate end point for cardiovascular disease?
AB - Measurement of arterial stiffness is assuming an increasing role in the clinical
assessment of patients. Indeed, arterial stiffness and wave reflections are now
well accepted as the most important determinants of increasing systolic and pulse
pressure in our aging community, and thus as the cause of cardiovascular (CV)
complications and events, including stroke and myocardial infarction. Carotid
femoral pulse wave velocity (PWV), which is a direct measure of arterial
stiffness, is the gold standard, since it requires little technical expertise and
is supported by the greatest amount of epidemiological evidence. Indeed, aortic
stiffness has independent predictive value for all-cause and CV mortality, CV
disease, fatal and nonfatal coronary events and fatal strokes in patients with
various levels of CV risk. Carotid pulse pressure and augmentation index are only
indirect, surrogate measures of arterial stiffness. However, they provide
additional information concerning wave reflections. They have demonstrated their
predictive value in patients with end-stage renal disease (ESRD). Carotid
stiffness was predictive of CV events in a small number of patients with ESRD or
following renal transplantation, but had no independent predictive value in a
larger number of patients with manifest arterial disease or in the healthy
elderly patients of the Rotterdam study. To be not only an intermediate end point
but also a surrogate end point, aortic stiffness has to demonstrate that its
attenuation has an effect on CV mortality, coronary events and stroke. This
remains to be established in populations at low to medium CV risk Eth i.e., with
hypertension, dyslipidemia, diabetes and moderate chronic kidney disease.
PMID- 18050144
TI - Improving cardiovascular risk stratification: the case for redefining
microalbuminuria.
AB - Large epidemiological studies have pointed out that regardless of the degree of
hypertension, the cost-effectiveness of antihypertensive treatment increases in
parallel with the global burden of risk. Therefore, there has been growing
interest in developing sensitive and easy-to-perform ways to accurately and
inexpensively identify patients at high cardiovascular risk. Numerous studies
over the past years have provided evidence that microalbuminuria is a concomitant
of extrarenal signs of hypertensive organ damage, as well as a strong,
independent predictor of cardiovascular and cerebrovascular events. Recent
clinical data indicate that the risk of cardiovascular morbidity and mortality is
linearly related to the degree of urinary albumin excretion, with no identifiable
threshold or plateau. Furthermore, it has been demonstrated that a reduction in
albuminuria under antihypertensive treatment is paralleled by changes in
cardiovascular risk. Therefore, the routine search for microalbuminuria could
lead to a significant improvement in the evaluation and treatment of patients
with primary hypertension.
PMID- 18050145
TI - Microalbuminuria, endothelial dysfunction and inflammation in primary
hypertension.
AB - We investigated the relationship between microalbuminuria (an indicator of
systemic and renal endothelial dysfunction), inflammation (high-sensitivity C
reactive protein [CRP]) and endothelial function (hemodynamic response to
acetylcholine [ACh] in the forearm) in 110 never-treated subjects with
uncomplicated essential hypertension and serum creatinine within the normal
range. Microalbuminuria was associated with the hemodynamic response to ACh
(r=0.27, p=0.006) and with serum creatinine (r=0.34, p<0.001), and these
associations held true in multivariate analyses. On the other hand,
microalbuminuria was largely independent of serum CRP. Since microalbuminuria,
response to ACh and serum CRP are all considered risk factors for renal
insufficiency and since these factors were significantly related to creatinine at
univariate analysis, we tested their association with creatinine in a multiple
regression model including also the full set of Framingham risk factors. In this
analysis, serum CRP and microalbuminuria maintained a significant association
with serum creatinine, while the hemodynamic response to ACh lost substantial
predictive value for serum creatinine. In conclusion, microalbuminuria in
essential hypertension is weakly related to the vasodilatory response to ACh and
unrelated to inflammation but maintains an independent link with serum
creatinine. Collectively, these associations suggest that microalbuminuria
reflects a local (renal) endothelial dysfunction and that it may contribute to
renal impairment independently of inflammation and hemodynamic endothelial
dysfunction in hypertensive patients.
PMID- 18050146
TI - Blood pressure load, vascular permeability and target organ damage in primary
hypertension.
AB - BACKGROUND: Target organ damage (TOD) is an often reversible subclinical
condition that may precede major cardiovascular events in primary hypertensive
patients. Furthermore, TOD has been shown to be a complex, multifactorial process
which does not depend on blood pressure (BP) reduction alone. We therefore
investigated the relationship between BP load, vascular permeability and the
occurrence of TOD. PATIENTS AND METHODS: Thirty-seven never-treated, nondiabetic
hypertensive patients were enrolled. Albuminuria was measured as the albumin to
creatinine ratio (ACR), left ventricular mass index (LVMI) was assessed by
echocardiography, systemic vascular permeability was evaluated by transcapillary
escape rate of albumin (TERalb), and BP was measured by means of 24-hour
ambulatory BP monitoring. RESULTS: Patients with microalbuminuria showed higher
levels of body mass index (BMI) (p<0.034), 24-hour systolic BP levels (p<0.001),
left ventricular mass index (LVMI) (p=0.003) and capillary permeability to
albumin (p<0.005), as compared with normoalbuminurics. Increased BP load and
vascular permeability were associated with higher LVMI (p=0.012) and with an
increased risk of having microalbuminuria and/or left ventricular hypertrophy
(Chi square=17.4; p<0.001). Logistic regression analysis showed that the risk of
having at least 1 sign of TOD was 10-fold higher in patients with TERalb above
the median, and almost 5-fold higher for each 10 mm Hg increase in systolic blood
pressure. CONCLUSIONS: Abnormal vascular permeability and increased BP load are
potentially modifiable risk factors that are independently associated with the
development of subclinical cardiac and renal damage.
PMID- 18050147
TI - The adoption of hospital information systems.
AB - This paper empirically examines the diffusion of hospital information systems
(ISs), specifically, pharmacy, laboratory, and radiology systems. Given the
policy significance of health IS and the widespread perception that it's
diffusion is slow, a better understanding of the mechanisms driving IS adoption
is needed. A novel data set incorporating both IS adoption and hospital
characteristics was constructed. These data follow the behavior of 1965 hospitals
for the years 1990-2000. Hypotheses pertaining to hospital characteristics,
hospital competition, and strategic behavior are tested utilizing proportional
hazard models. I find that IS adoption is related to multi-hospital system
membership, payer mix, and hospital scale. The role of scale, however,
significantly diminishes throughout the time period, likely reflecting improved
personal computer performance and improved IT scalability. Conversely, I find
little that strategic behavior or hospital competition affects IS adoption.
Likewise, hospital ownership does not affect the adoption of these systems.
Overall, these results suggest that hospital IS diffusion has not been
normatively slow.
PMID- 18050148
TI - Hospital costs estimation and prediction as a function of patient and admission
characteristics.
AB - The present work analyzed the association between hospital costs and patient
admission characteristics in a general public hospital in the city of Rio de
Janeiro, Brazil. The unit costs method was used to estimate inpatient day costs
associated to specific hospital clinics. With this aim, three "cost centers" were
defined in order to group direct and indirect expenses pertaining to the clinics.
After the costs were estimated, a standard linear regression model was developed
for correlating cost units and their putative predictors (the patients gender and
age, the admission type (urgency/elective), ICU admission (yes/no), blood
transfusion (yes/no), the admission outcome (death/no death), the complexity of
the medical procedures performed, and a risk-adjustment index). Data were
collected for 3100 patients, January 2001-January 2003. Average inpatient costs
across clinics ranged from (US$) 1135 [Orthopedics] to 3101 [Cardiology]. Costs
increased according to increases in the risk-adjustment index in all clinics, and
the index was statistically significant in all clinics except Urology, General
surgery, and Clinical medicine. The occupation rate was inversely correlated to
costs, and age had no association with costs. The (adjusted) per cent of
explained variance varied between 36.3% [Clinical medicine] and 55.1% [Thoracic
surgery clinic]. The estimates are an important step towards the standardization
of hospital costs calculation, especially for countries that lack formal hospital
accounting systems.
PMID- 18050149
TI - Interventions to improve recall of medical information in cancer patients: a
systematic review of the literature.
AB - This systematic review investigates which interventions are effective to improve
recall of medical information in cancer patients. A literature research was done
in PubMed, PsychINFO, CINAHL and Cochrane Library, following the guidelines of
the Cochrane Collaboration. The methodological quality of selected studies was
assessed independently by two reviewers. The results were synthesized with a Best
Evidence Synthesis. Of initially 5173 found publications, 10 met all selection
criteria. The results indicate that an audiotape of the patients' own
consultation has added value upon oral information only. However, providing
patients with a general audiotape does not improve recall of information and
might even inhibit patients' recall. Furthermore, there is scientific evidence,
although limited, that the use of a question prompt sheet (QPS) has a positive
effect on recall of information, provided that the physician actively endorses
this sheet. No evidence was found for an effect of providing patients with a
summary letter of the consultation on recall, although research on this subject
is scarce. In conclusion, the review suggests that interventions that are
tailored to the individual cancer patient, such as an audiotape of the
consultation or a QPS, are most effective. Further research needs to be done to
establish robust results.
PMID- 18050150
TI - Pharmaceutical expenditure, total health-care expenditure and GDP.
AB - This paper analyses the evolution of pharmaceutical expenditure with respect to
GDP for a group of the most important OECD economies. We find that this
relationship is not stable across the sample considered (1960-2003), and
heterogeneity is found in the temporal evolution of the variables and across
countries. Furthermore, we can see differences in the income elasticity
estimation when we disaggregate pharmaceutical expenditure into its private and
government components or when the total health-care expenditure (Total HCE) is
disaggregated into its pharmaceutical and non-pharmaceutical components. We
conclude that the changes in the elasticity of pharmaceutical expenditure and in
the Total HCE elasticity are due to the private component and the pharmaceutical
expenditure behaviour, respectively.
PMID- 18050151
TI - Ignorability and stability assumptions in neighborhood effects research.
AB - Two central assumptions concerning causal inference in the potential outcomes
framework for estimating neighborhood effects are examined. The stable unit
treatment value assumption in the context of neighborhood effects requires that
an individual's outcome does not depend on the treatment assigned to
neighborhoods other than the individual's own neighborhood. The assumption is
important in that it makes estimation feasible, although some progress can be
made even when the assumption is relaxed. Some discussion is given concerning the
contexts in which the neighborhood-level stable unit treatment value assumption
is likely to hold. The ignorability assumption allows the researcher to move from
conclusions about association to conclusions about causation. In the context of
neighborhood-wide interventions, the ignorability assumption for the individual
level potential outcomes framework can be easily adapted for neighborhood
effects.
PMID- 18050152
TI - Extending social health insurance to the informal sector in Kenya. An assessment
of factors affecting demand.
AB - This paper contributes to analysing and understanding the demand for (social)
health insurance of informal sector workers in Kenya by assessing their
perceptions and knowledge of and concerns regarding health insurance and the
Kenyan National Hospital Insurance Fund (NHIF). It serves to explore how informal
sector workers could be integrated into the NHIF. To collect data, focus group
discussions were held with organized groups of informal sector workers of
different types across the country, backed up by a self-administered
questionnaire completed by heads of NHIF area branch offices. It was found that
the most critical barrier to NHIF enrollment is the lack of knowledge of informal
sector workers about the NHIF, its enrollment option and procedures for informal
sector workers. Inability to pay is a critical factor for some, but people were,
in principle, interested in health insurance, and thus willing to pay for it. In
sum, the mix of demand-side determinants for enrolling in the NHIF is not as
complex as expected. This is good news, as these demand-side determinants can be
addressed with a well-designed strategy, focusing on awareness raising and
information, improvement of insurance design features and setting differentiated
and affordable contribution rates.
PMID- 18050153
TI - The impact of cancer and quality of life for long-term survivors.
AB - This study reports on health status and quality of life (QOL) outcomes in long
term cancer survivors, and potential correlates including cancer type, age at
diagnosis, health status, and key sociodemographic variables. METHOD: One hundred
and ninety-three cancer survivors 5-10 years post-diagnosis completed a mailed
survey assessing physical and mental health status, QOL, post-traumatic growth,
and impact of cancer (IOC). RESULTS: Respondents were comparable to population
norms on physical and mental health status. After controlling for
sociodemographic and medical status variables, older respondents reported better
overall QOL (p=0.004) and mental health (p<0.001), but worse physical health
(p=0.04). Survivors reporting low income (p=0.02) and comorbidities (p=0.003)
indicated worse physical functioning. A higher negative IOC score was associated
with worse physical functioning (p<0.0001), worse mental health (p<0.0001), and
lower overall QOL (p<0.0001). A higher positive IOC score was associated with
better mental health (p=0.0004) and better overall QOL (p=0.005). CONCLUSION:
Perceptions of how cancer has affected survivors' lives in both positive and
negative ways may influence, or be influenced by, their functional abilities and
QOL.
PMID- 18050154
TI - INCA: new statistic for estimating the number of clusters and identifying
atypical units.
AB - This paper presents a solution to two problems that arise in the classification
of data such as types of tumor, samples of gene expression profiles or general
biomedical data. First, to estimate the real number of clusters in a data set and
second to decide whether a new unit belongs to one of these previously identified
clusters or it is an outlier or atypical unit. We propose a new statistic which
allows us to solve these problems. As our approach is based on a measure of
distance or dissimilarity between any pair of units, it can be applied to any
kind of multivariate data (continuous, binary or multi-attribute data) and it has
applications in many biomedical fields. We validated the approach in simulated
examples and applied it to the diagnosis of dermal diseases and to the analysis
of lymphatic cancer data, showing the good performance of our approach.
PMID- 18050155
TI - Neurostatistics: applications, challenges and expectations.
AB - Brain function and its relations to cognition and behavior can be elucidated only
by the use of various complementary methods. Over the past 20 years, we have been
studying the brain mechanisms underlying spatial processes using different
methods, including the recording of single cell activity in behaving monkeys,
functional magnetic resonance imaging (fMRI) and magnetoencephalography (MEG) in
human subjects, all performing the same tasks. These methods provide partially
overlapping perspectives, resulting in a gain in knowledge beyond the province of
the individual method. A common aspect in this endeavor is the statistical
analysis of the data acquired by different methods, especially regarding the
encoding of information in unitary elements (single cell activity in
neurophysiology, blood oxygenation level-dependent (BOLD) activation of voxels in
fMRI, magnetic field strength in MEG) and the decoding of information from
ensembles. In this paper we illustrate the various approaches, their data
analysis and possible applications to medicine in the context of operations in
space.
PMID- 18050156
TI - 14N NQR study of nicotinamide and related compounds.
AB - 14N nuclear quadrupole resonance (NQR) frequencies have been measured in
picolinamide, nicotinamide, isonicotinamide, 2,6-pyridine dicarboxamide, and
acetamide by double resonance. The 14N NQR spectra in picolinamide, nicotinamide,
isonicotinamide, and 2,6-pyridine dicarboxamide show the presence of two distinct
nitrogen positions: the ring position with the quadrupole coupling constant about
4,5 MHz and the amide position with the quadrupole coupling constant about 2.6
MHz. The NQR data are related to the structure of the investigated compounds and
to the N--H...O hydrogen bonds.
PMID- 18050161
TI - New EU regulations: towards biosecurity but not censorship.
PMID- 18050162
TI - Running to stand still: BCR-like signaling suppresses type I IFN responses in
pDC.
AB - Plasmacytoid dendritic cells (pDC) specialize in the secretion of type I
interferon (IFN). Although multiple pathways that modulate type I IFN responses
have been described they are inadequately defined. In this issue of the European
Journal of Immunology, evidence for a B cell receptor (BCR)-like signaling
cascade in pDC that suppresses type I IFN production is provided.
PMID- 18050163
TI - Autoreactive B cells get activated in extrafollicular sites.
AB - Autoreactive B cells are prevented from producing autoantibodies that may cause
pathogenicity in autoimmune diseases by the induction of tolerance. When
autoreactive B cells escape regulation in autoimmune-prone individuals, large
amounts of autoantibodies are produced with somatic mutations in their variable
regions. In this issue of the European Journal of Immunology, a new and very
useful model is presented that induces activation and hypermutation of
autoreactive B cells upon injection of chromatin-containing immune complexes. The
differentiation and hypermutation of autoreactive B cells takes place at
extrafollicular sites.
PMID- 18050165
TI - Vasculitides associated with malignancies: analysis of sixty patients.
AB - OBJECTIVE: To describe characteristics and outcomes of vasculitides associated
with malignancies. METHODS: The requirement for inclusion in this retrospective,
10-year study was development of vasculitis in patients with a progressing
malignancy. Malignancies secondary to immunosuppressants used to treat vasculitis
were excluded. The main characteristics of vasculitides were analyzed and
compared according to the type of malignancy. RESULTS: Sixty patients were
included (male/female sex ratio 2.53, mean age 62.4 years). Mean followup
duration was 45.2 months. Vasculitides were cutaneous leukocytoclastic (45%),
polyarteritis nodosa (36.7%), Wegener's granulomatosis (6.7%), microscopic
polyangiitis (5%), and Henoch-Schonlein purpura (5%). Malignancies were
distributed as follows: hematologic in 63.1%, myelodysplastic syndrome (MDS) in
32.3%, lymphoid in 29.2%, and solid tumor in 36.9%. Vasculitides were diagnosed
concurrently with malignancy in 38% of the cases. Manifestations of vasculitides
were fever (41.7%), cutaneous involvement (78.3%), arthralgias (46.7%),
peripheral neuropathy (31.7%), renal involvement (23.3%; 11.7%
glomerulonephritis, 11.7% microaneurysms, 6.7% renal insufficiency), and
antineutrophil cytoplasmic antibody (20.4%). Vasculitis treatments were
corticosteroids (78.3%) and immunosuppressant(s) (41.7%). Vasculitis was cured in
65% of patients, but 58.3% died, with 1 death secondary to vasculitis.
Independent of subtype, patients with vasculitides associated with MDS more
frequently had renal manifestations (P = 0.02) and steroid dependence (P = 0.04)
and achieved complete remission less often (P = 0.04) than patients with
vasculitides associated with other malignancies. Patients with vasculitides
associated with a solid tumor more frequently had peripheral neurologic
involvement (P = 0.05). Patients with vasculitides associated with lymphoid
malignancy had less frequent arthralgias (P = 0.01) and renal involvement (P =
0.02). CONCLUSION: Vasculitides occurring during malignancies present distinctive
features according to the vasculitis subtype and nature of the malignancy.
PMID- 18050166
TI - Atherosclerosis in patients with biopsy-proven giant cell arteritis.
AB - OBJECTIVE: To examine the presence of atherosclerosis in a series of giant cell
arteritis (GCA) patients attended to in a community hospital and to determine
whether clinical features or steroid therapy might be associated with the
development of atherosclerotic disease. METHODS: Forty consecutive patients
diagnosed with biopsy-proven GCA, periodically followed at the rheumatology
outpatient clinic of Hospital Xeral-Calde, Lugo (Spain), who had ended steroid
therapy and had at least 3 years of followup were assessed for the presence of
atherosclerosis by determination of the carotid intima-media thickness (IMT) and
carotid plaques using high-resolution B-mode ultrasound. Forty matched controls
were also studied. RESULTS: GCA patients exhibited less carotid artery IMT than
did matched controls (mean +/- SD 1.01 +/- 0.16 mm versus 1.13 +/- 0.20 mm; P =
0.005; difference in means 0.12, 95% confidence interval 0.04-0.20). Patients who
required steroid therapy for >2 years had greater mean +/- SD carotid IMT (1.04
+/- 0.17 mm versus 0.95 +/- 0.15 mm) but the difference was not statistically
significant (P = 0.10). A positive correlation between age at the time of the
study and the carotid artery IMT in GCA patients was observed (r = 0.673, P <
0.001). However, adjusting for age, sex, and classic atherosclerosis risk
factors, no significant correlation between carotid IMT and the routine
laboratory markers of inflammation assessed at the time of disease diagnosis,
disease duration, or cumulative prednisone dose was found. CONCLUSION: The
present study demonstrates that atherosclerotic macrovascular disease is not
increased in patients with GCA.
PMID- 18050167
TI - A systematic review of secondary thromboprophylaxis in patients with
antiphospholipid antibodies.
AB - OBJECTIVE: To systematically review the efficacy and safety data of different
therapeutic approaches in patients with antiphospholipid antibodies (aPL) and
thrombosis. METHODS: The Medline database and references from selected reports
and review articles were used. Randomized controlled trials, prospective and
retrospective cohort studies, and subgroup analysis (n > 15) that focused on the
secondary thromboprophylaxis in patients with aPL were selected. RESULTS: Sixteen
studies were selected. Patients with venous events and a single test for aPL
showed a low recurrence rate while receiving oral anticoagulation at a target
international normalized ratio (INR) of 2.0-3.0. Patients with stroke and a
single positive aPL test had no increased risk compared with those without aPL.
Recurrence rates in patients with definite antiphospholipid syndrome (APS) and
previous venous thromboembolism were lower than in patients with arterial and/or
recurrent events, both with and without therapy. Only 3.8% of recurrent events
occurred at an actual INR >3.0. Mortality due to recurrent thrombosis was higher
than mortality due to bleeding (18 patients versus 1 patient reported).
CONCLUSION: For patients with definite APS, we recommend prolonged warfarin
therapy at a target INR of 2.0-3.0 in APS patients with first venous events and
>3.0 for those with recurrent and/or arterial events. For patients with venous
thromboembolism or stroke and a single positive aPL test, we recommend further
testing to determine if they have a persisting antibody. If they do not, the same
therapy as for the general population should be used (warfarin at a target INR of
2.0-3.0 and low-dose aspirin, respectively).
PMID- 18050168
TI - Hypomagnesemia associated with chondrocalcinosis: a cross-sectional study.
AB - OBJECTIVE: To determine an association between magnesium (Mg) depletion and
chondrocalcinosis, which has been reported but not investigated in a cross
sectional study. METHODS: Prevalence of chondrocalcinosis was investigated in 144
individuals: 72 patients receiving home parenteral nutrition (HPN) compared with
72 age- and sex-matched controls. Presence of chondrocalcinosis was assessed by
knee radiographs. Blood serum and globular Mg levels and 24-hour urinary Mg
content were compared. RESULTS: Mean +/- SD age for both patients and controls
was 51 +/- 17 years, and 51% in both groups were women. Mean duration of HPN was
6.4 years. Prevalence of chondrocalcinosis was markedly higher in patients
receiving HPN than controls (16.6% versus 2.7%; P = 0.006, odds ratio [OR] 7.0,
95% confidence interval [95% CI] 1.45-66.1). Mean +/- SD serum and globular Mg
levels were significantly lower in patients than controls (serum: 0.75 +/- 0.09
mmoles/liter versus 0.81 +/- 0.08 mmoles/liter, P = 0.0006; globular Mg: 1.8 +/-
0.31 mmoles/liter versus 2.0 +/- 0.35 mmoles/liter, P = 0.0003). Twenty-four-hour
urinary Mg level was lower in patients than controls (mean +/- SD 3.85 +/- 1.50
mmoles versus 5.37 +/- 3.71 mmoles; P = 0.001). Prevalence of chondrocalcinosis
was significantly higher in patients with a low serum Mg level (OR 13.5, 95% CI
2.76-127.3, P < 0.0001), with a similarly high but not significant occurrence of
chondrocalcinosis in patients with a low globular Mg level (OR 4.09, 95% CI 0.603
20.26, P = 0.08) and in patients with a low 24-hour urinary Mg level (OR 3.9, 95%
CI 0.77-16.34, P = 0.05). CONCLUSION: Long-lasting Mg depletion is strongly
associated with chondrocalcinosis.
PMID- 18050169
TI - Osteoporosis disease management for fragility fracture patients: new
understandings based on three years' experience with an osteoporosis care
service.
AB - OBJECTIVE: To review the 3-year performance of an established osteoporosis care
service and consider further improvements in an effort to reduce fragility
fractures. METHODS: Osteoporosis care has been coordinated for all willing and
able patients with orthopedic fragility fractures in our health system by a nurse
and medical director since 2003, using a guideline-based care algorithm and task
management software. Patients were followed by telephone for 2 years to monitor
their status and optimize adherence to treatment. Demographics, management
recommendations, clinical data, and adherence to treatment were reviewed for the
2003-2005 patient population. RESULTS: Of 1,019 patients with fragility
fractures, 61% underwent osteoporosis evaluation and treatment. The remainder
included 15% who refused to participate and 24% who were unable to participate
for various logistical and health reasons. More patients age >80 years were
unwilling or unable to participate. Bone densities (dual x-ray absorptiometry
[DXA]) were normal, low, or osteoporotic in 24%, 55%, and 21% of patients,
respectively, and 60% of the osteoporotic group had > or = 1 abnormal metabolic
bone laboratory result. Only 17% of the total reported a previous fracture, and
47% had ever undergone DXA. Few experienced bone loss, a new fracture, or
bisphosphonate intolerance during treatment. CONCLUSION: An osteoporosis care
service has coordinated care for every willing and able fragility fracture
patient with positive outcomes. In addition, the results suggest a high priority
for earlier proactive diagnosis and intervention of the at-risk population if
fractures are to be reduced.
PMID- 18050170
TI - High titer of anti-phosphatidylserine-prothrombin complex antibodies in patients
with cutaneous polyarteritis nodosa.
AB - OBJECTIVE: To investigate possible correlations between cutaneous polyarteritis
nodosa (CPN) and antiphospholipid syndrome-associated antibodies. METHODS:
Sixteen patients were referred with CPN features. To investigate the possible
role of antiphospholipid antibodies (aPL) in CPN, we measured serum lupus
anticoagulant (LAC), IgG and IgM anticardiolipin (aCL) and anti
phosphatidylserine-prothrombin complex (anti-PS/PT) antibodies, and anti-beta(2)
glycoprotein I-dependent cardiolipin (anti-beta(2)GPI/CL) antibodies in the 16
CPN patients, 8 microscopic polyangiitis (MPA) patients, 33 systemic lupus
erythematosus (SLE) patients, and 23 healthy controls. LAC was determined
according to the Subcommittee on Lupus Anticoagulant/Phospholipid Dependent
Antibody guidelines. Anti-PS/PT, aCL, and anti-beta(2)GPI/CL antibodies were
measured by enzyme-linked immunosorbent assay. RESULTS: Anti-PS/PT antibodies
and/or LAC were detected in all CPN patients, but not in any controls. Serum IgM
anti-PS/PT antibody was found in 13 (81.3%) CPN patients. The mean +/- SD serum
anti-PS/PT IgM level (19.9 +/- 12.4 units/ml) in CPN patients was significantly
elevated compared with SLE patients (5.7 +/- 5.9 units/ml). IgG anti-PS/PT
antibody was detected in 5 (31.3%) CPN patients, but not in any controls. The IgG
PS/PT antibody titers were similar in CPN patients (12.3 +/- 12.0 units/ml) and
SLE patients (13.8 +/- 14.3 units/ml). Three (18.8%) CPN patients were positive
for IgG aCL antibody and 2 (12.5%) for IgM aCL antibody. No MPA patients had aPL.
CPN skin manifestations included livedo reticularis (14 [87.5%]). Direct
immunofluorescence (DIF) revealed C3 within the affected vessels in 7 (77.8%) of
9 CPN patients. CONCLUSION: Our study demonstrated that presence of anti-PS/PT
antibodies and/or LAC could serve as markers in CPN patients. CPN could be
dependently associated with the presence of anti-PS/PT antibody. Clinicians need
to recognize these titers to permit early accurate diagnosis and treatment. We
believe that anti-PS/PT antibodies will become widely recognized as a new factor
when diagnosing CPN.
PMID- 18050171
TI - Treatment of refractory polymyalgia rheumatica with etanercept: an open pilot
study.
AB - OBJECTIVE: To investigate whether etanercept has a steroid-sparing effect in the
treatment of patients with relapsing polymyalgia rheumatica (PMR). METHODS: The
study group comprised patients with relapsing PMR who were not able to reduce
their prednisone dosage below 7.5-10 mg/day and who had experienced
corticosteroid-related side effects. Patients received injections of etanercept
25 mg twice weekly for 24 weeks, and were followed up for 3 additional months
after treatment withdrawal. Patients regularly underwent clinical assessment,
measurement of erythrocyte sedimentation rate and C-reactive protein level, and
ultrasound (US) examination of the shoulders during the 9 months of the followup
period. RESULTS: All 6 enrolled patients responded to etanercept with sustained
remission (improvement of at least 70% according to European League Against
Rheumatism response criteria for PMR in 4 patients and at least 50% in 2
patients) and were able to significantly reduce their median prednisone daily
dosage without experiencing a disease relapse (8.75 mg versus 2.5 mg; P = 0.026)
at the end of the 9-month study period. US shoulder examination performed at the
end of followup demonstrated a parallel reduction of glenohumeral and
periarticular inflammation. A significant reduction in the cumulative prednisone
dose 9 months before versus the 9-month study period was observed (mean +/- SD
1,767 +/- 524 mg versus 730 +/- 182 mg; P = 0.028). Three patients developed
nonsevere side effects: bacterial cystitis in 2 and influenza in 1. CONCLUSION:
These results, which should be confirmed in a controlled study, suggest that
etanercept may be a safe and useful corticosteroid-sparing agent in relapsing
PMR.
PMID- 18050172
TI - Diagnostic and prognostic relevance of neuromuscular biopsy in primary Sjogren's
syndrome-related neuropathy.
AB - OBJECTIVE: To evaluate the clinicobiologic presentation in patients with primary
Sjogren's syndrome (SS)-related peripheral neuropathy, the histologic results of
neuromuscular biopsy (NMB), and clinical outcome, and to identify prognostic
factors. METHODS: We retrospectively studied clinical and biologic presentation
of 40 patients with primary SS-related neuropathy who underwent NMB. Prognostic
factors of clinical outcome were assessed by univariate and multivariate
analysis. RESULTS: Patients with vasculitis (lymphocytic [n = 8] or necrotizing
[n = 14]) had a higher prevalence of acute-onset neuropathy, multiple
mononeuropathy, sensorimotor involvement, vascular purpura, general symptoms,
increased C-reactive protein level, positivity for rheumatoid factor,
hypocomplementemia, and monoclonal gammopathy compared with those without
vasculitis (n = 18). Comparison between patients with necrotizing or lymphocytic
vasculitis did not reveal significant differences in clinical or biologic
presentation except for the presence of general symptoms and rheumatoid factor.
Regarding clinical evolution, the results of NMB (P < 0.0001), in particular the
presence of necrotizing vasculitis (P < 0.001), an acute neuropathy onset (P <
0.0001), general symptoms (P < 0.0001), multiple mononeuropathy (P = 0.0007),
presence of sensorimotor involvement (P = 0.002), and increased C-reactive
protein level (P = 0.008), were significantly associated with a better outcome in
univariate analysis. In multivariate analysis, NMB resulting in the
identification of patients with necrotizing vasculitis was the only variable that
remained significantly associated with a better outcome (P = 0.01). CONCLUSION:
NMB is necessary to identify patients with necrotizing vasculitis, who have a
better response to immunosuppressive therapy. NMB might therefore have both a
diagnostic and prognostic relevance in primary SS-related neuropathy.
PMID- 18050173
TI - The Rasch measurement model in rheumatology: what is it and why use it? When
should it be applied, and what should one look for in a Rasch paper?
PMID- 18050174
TI - Internal construct validity of the Oxford Knee Scale: evidence from Rasch
measurement.
AB - OBJECTIVE: Symptomatic knee osteoarthritis (OA) is present in 1 in 8 patients age
>60 years and is associated with significant activity limitation. Several tools
have been devised to assess knee problems. The goal of this study was to evaluate
the Oxford Knee Scale (OKS) against strict modern psychometric standards through
application of the Rasch measurement model. METHODS: A total of 224 OKS
assessments were included from patients with a clinical diagnosis of knee OA.
Data from the OKS were fitted to the Rasch measurement model. We examined the
validity of the item scoring functions, the presence of item bias or differential
item functioning, the fit of data to model expectations, and whether or not the
item set formed a unidimensional scale, thus giving a valid summed score.
RESULTS: The mean age of the 224 patients was 61 years (range 26-90) and 61.5%
were women. After rescoring some items, the scale showed good fit to the Rasch
model, with a chi-square interaction statistic of 42.663 (36 df, P = 0.206).
Overall targeting of the scale (to the patients) was good, with high reliability.
CONCLUSION: Data from the OKS were consistent with the expectations of the
unrestricted (partial credit) derivation of the Rasch model. The targeting of the
instrument shows good coverage of thresholds across the whole construct and has
good reliability (internal consistency) with a high patient separation.
Consequently, this scale can be used in confidence with the knowledge that it is
a unidimensional scale largely free of bias.
PMID- 18050175
TI - Prognosis of hip pain in general practice: a prospective followup study.
AB - OBJECTIVE: Hip pain in the elderly is the main feature of osteoarthritis of the
hip. In this prospective followup study, we wanted to determine which patients
with hip pain show disease progression, and what the incidence of total hip
replacement (THR) is in this group of patients after 3 and 6 years of followup.
METHODS: Within general practices in the area of Rotterdam (The Netherlands),
persons age > or = 50 years with incident hip pain were included. After 3 and 6
years, progression of hip pain was assessed. RESULTS: A total of 224 patients
were included. After 3 years disease progressed in 29 (15%) patients and 23 (12%)
received a THR. After 6 years disease progressed in 45 (28%) patients and 36
(22%) received a THR. The prognostic variables for a THR after 3 and 6 years
related to history taking were age > or = 60 years, morning stiffness, and pain
in the groin/medial thigh; variables related to physical examination were
decreased extension/adduction, painful internal rotation, and a body mass index <
or = 30 kg/m(2); and the variable related to radiologic findings was a
Kellgren/Lawrence grade of 2 or higher. CONCLUSION: In this study population,
approximately 12% of patients presenting with hip pain to their general
practitioner will undergo a THR within 3 years, and approximately 22% after 6
years. Using the variables obtained from history taking, physical examination,
and radiologic findings enables better identification of persons at high risk for
a THR.
PMID- 18050176
TI - Long-term outcome following total hip arthroplasty: a controlled longitudinal
study.
AB - OBJECTIVE: To assess long-term outcome and predictors of prognosis following
total hip arthroplasty (THA) for osteoarthritis (OA). METHODS: We studied 282
patients from 2 English health districts approximately 8 years after THA, along
with 295 controls selected from the general population. Baseline data were
collected by interview and examination, on sex, age, comorbidity, body mass index
(BMI), and Short Form 36 (SF-36) functional status, and preoperative radiographic
severity of OA was graded. Functional status was reassessed at followup by postal
questionnaire. Predictors of change in physical functioning were analyzed by
linear regression. RESULTS: Over followup, cases who had THA reported a median
improvement of 10 points in SF-36 score for physical functioning, whereas in
controls there was a median deterioration of 10 points (P < 0.0001). Mental
health improved by a median of 12 points in both cases and controls. Change in
physical functioning was significantly worse in women and at older ages among
both cases and controls. In cases, Croft grade 5 OA was associated with a
physical functioning score improvement 19.4 points (95% confidence interval 7.7,
31.2) greater than the improvement in grades 0-3, but BMI was unrelated to change
in physical functioning. CONCLUSION: Improvements in physical functioning
following THA for OA are sustained in the long term and are more frequent in
patients with more severe radiographic features preoperatively. We found no
indication that patients who are overweight benefit less from THA, but further
evidence is needed on the prognostic influence of more severe obesity.
PMID- 18050177
TI - Factors associated with restricted mobility outside the home in community
dwelling adults ages fifty years and older with knee pain: an example of use of
the International Classification of Functioning to investigate participation
restriction.
AB - OBJECTIVE: To investigate the links between knee pain characteristics and
restricted mobility outside the home, and how these are influenced by mobility
specific activity limitation, age, sex, socioeconomic status, environmental
factors, and comorbidity. METHODS: We conducted a cross-sectional survey of
community-dwelling adults age > or = 50 years. A total of 2,252 responders
reporting pain in and around the knee in the last year were eligible. The primary
outcome was self-reported restricted mobility outside the home in the previous 4
weeks (dichotomized as present or absent). RESULTS: Knee pain severity was
strongly associated with restricted mobility outside the home, an association
largely mediated by perceived limitation in walking. After adjusting for
demographic and socioeconomic characteristics, individual contributions from
selected comorbidities, knee pain severity, limitation in walking, and specific
environmental factors remained. These environmental factors included perceived
need of aids and assistance (adjusted odds ratio [OR] 3.1, 95% confidence
interval [95% CI] 2.2-4.4), poor access to public transportation (adjusted OR
2.3, 95% CI 1.4-3.9), and having no access to a car (adjusted OR 1.6, 95% CI 1.1
2.4). CONCLUSION: There are a range of potential health and social targets that,
if addressed, might reduce restricted mobility outside the home in middle-aged
and older individuals with knee pain. Our results suggest that, in addition to
treating the knee symptoms, such targets might include comorbidity, walking
ability, and environmental barriers such as poor access to public transportation.
Moreover, removing environmental barriers may reduce immobility outside the home
even in the continued presence of osteoarthritis symptoms and specific activity
limitations.
PMID- 18050178
TI - Case management of arthritis patients in primary care: a cluster-randomized
controlled trial.
AB - OBJECTIVE: To assess whether providing information on arthritis self-management
through general practitioners (GPs) increases the quality of life in patients
with osteoarthritis and whether additional case management provided by practice
nurses shows better results. METHODS: We conducted a pragmatic, cluster
randomized, controlled, 3-arm trial that included 1,021 patients from 75 primary
care practices in Germany. GPs were randomized to intervention group I, group II,
or a control group. GPs of both intervention groups participated in 2 peer group
meetings. In intervention group II, additional case management was conducted via
telephone by a practice nurse. The primary outcome was change in quality of life,
assessed by the German version of the Arthritis Impact Measurement Scales Short
Form (AIMS2-SF). Secondary outcomes were health service utilization,
prescriptions, and physical activity. Data were controlled for depression using
the Patient Health Questionnaire 9 as a potential confounder. RESULTS: Of 1,125
administered questionnaires, 1,021 were analyzed. Compared with the control
group, no significant changes occurred in intervention group I with respect to
the primary outcome. Performed radiographs decreased significantly (P = 0.050),
whereas prescriptions of acetaminophen increased significantly (P < 0.001). In
intervention group II, significant changes in the AIMS2-SF dimensions social (P <
0.001), symptom (P = 0.048), and lower body (P = 0.049) were identified.
Radiographs (P = 0.031) and orthopedic referrals (P = 0.044) decreased whereas
prescriptions of pain relievers increased significantly. CONCLUSION: Improving
the quality of life in patients with arthritis using arthritis self-management
seems challenging. Simply providing this information through GPs is not
sufficient but combining it with case management seems to be a promising
approach.
PMID- 18050179
TI - Reproducibility of the measurement of knee joint proprioception in patients with
osteoarthritis of the knee.
AB - OBJECTIVE: To estimate the inter- and intrarater reliability and agreement of
instrumented knee joint proprioception measurement in subjects with knee
osteoarthritis (OA) and healthy subjects; to assess the effect of variations in
the measurement procedure on agreement parameters. METHODS: Proprioception was
measured by a computer-controlled knee angular motion-detecting device in a
movement-detecting task. The angular displacement between the starting position
and the position at the instant of movement detection by the subject was
recorded. Two raters independently assessed knee joint proprioception. After 14
days the assessment was repeated. Complete data were obtained from 24 subjects
with knee OA and 26 healthy subjects. The inter- and intrarater reliability
coefficients (intraclass correlation coefficients [ICC]) and inter- and
intrarater agreement measures (standard error of measurement [SEM] and minimal
detectable difference [MDD]) were calculated. Additionally, the effect of
changing the velocity of angular displacement and applying headphone music during
the measurement on the absolute error (i.e., SEM and MDD) was estimated at the
second occasion. RESULTS: Interrater reliability was good in subjects with knee
OA and healthy subjects (ICC 0.91 and 0.89, respectively). Interrater agreement
was higher in subjects with knee OA than in healthy subjects (SEM 2.13 degrees
versus 0.43 degrees , MDD 5.90 degrees versus 1.19 degrees ). Intrarater
reliability was good in subjects with knee OA and healthy subjects (ICC 0.91 and
0.86, respectively). Intrarater agreement (SEM and MDD) was 2.26 degrees and 6.26
degrees in subjects with knee OA and 0.39 degrees and 1.08 degrees in healthy
subjects. The original measurement and the 2 variations in measurement showed
comparable measurement errors for subjects with knee OA and healthy subjects.
CONCLUSION: In knee OA subjects and healthy subjects, knee proprioception
measurement shows adequate intra- and interreliability. However, the absolute
measurement error is rather high. Therefore, this measurement has limited value
in the assessment of individual subjects, but can be recommended for scientific
research in groups of individuals.
PMID- 18050180
TI - Health-related quality of life in women with symptomatic hand osteoarthritis: a
comparison with rheumatoid arthritis patients, healthy controls, and normative
data.
AB - OBJECTIVE: Data on the burden of disease and impact on health-related quality of
life (HRQOL) in hand osteoarthritis (OA) are limited. The goal of this study was
to compare HRQOL in patients with hand OA with HRQOL in patients with rheumatoid
arthritis (RA), healthy controls, and normative data from the general population.
METHODS: A total of 190 women with hand OA were compared with 194 women with RA
and 144 healthy women of the same age. Health status was measured using the Short
Form 36 (SF-36), Short Form 6D (SF-6D), modified Health Assessment Questionnaire
(M-HAQ), pain and fatigue visual analog scales, and grip strength. Scores were
compared by analysis of variance and a multivariate analysis of covariance,
adjusting for age, number of comorbidities, and years of education. Gaps between
patients and population subjects were assessed by calculating S scores on all
dimensions of the SF-36. RESULTS: Hand OA and RA patients had worse scores on all
assessed dimensions of subjective health compared with healthy controls. RA
patients showed poorest general health (SF-36), poorest physical function (M-HAQ,
SF-36 physical, grip strength), and highest level of fatigue compared with hand
OA patients. Hand OA patients reported poorer mental health. Mean utility scores
(SF-6D) in hand OA and RA were 0.64 and 0.63, respectively, with a mean
difference compared with healthy controls of 0.13 in hand OA and 0.14 in RA
patients. S scores confirmed a marked disparity between individuals with a
rheumatic diagnosis (hand OA, RA) and population subjects. CONCLUSION: This study
illustrates that patients with hand OA experience a broad impact on HRQOL
compared with healthy controls. Fatigue and physical function are worse in RA
than hand OA.
PMID- 18050181
TI - Hylan versus hyaluronic acid for osteoarthritis of the knee: a systematic review
and meta-analysis.
AB - OBJECTIVE: To compare the effectiveness and safety of intraarticular high
molecular hylan with standard preparations of hyaluronic acids in osteoarthritis
of the knee. METHODS: We performed a systematic review and meta-analysis of
randomized controlled trials comparing hylan with a hyaluronic acid in patients
with knee osteoarthritis. Trials were identified by systematic searches of
Central, Medline, EMBase, Cinahl, the Food and Drug Administration, and Science
Citation Index supplemented by hand searches of conference proceedings and
reference lists (last update November 2006). Literature screening and data
extraction were performed in duplicate. Effect sizes were calculated from
differences in means of pain-related outcomes between treatment and control
groups at the end of the trial, divided by the pooled standard deviation. Trials
were combined using random-effects meta-analysis. RESULTS: Thirteen trials with a
pooled total of 2,085 patients contributed to the meta-analysis. The pooled
effect size was -0.27 (95% confidence interval [95% CI] -0.55, 0.01), favoring
hylan, but between-trial heterogeneity was high (I(2) = 88%). Trials with blinded
patients, adequate concealment of allocation, and an intent-to-treat analysis had
pooled effect sizes near null. The meta-analyses on safety revealed an increased
risk associated with hylan for any local adverse events (relative risk [RR] 1.91;
95% CI 1.04, 3.49; I(2) = 28%) and for flares (RR 2.04; 95% CI 1.18, 3.53; I(2) =
0%). CONCLUSION: Given the likely lack of a superior effectiveness of hylan over
hyaluronic acids and the increased risk of local adverse events associated with
hylan, we discourage the use of intraarticular hylan in patients with knee
osteoarthritis in clinical research or practice.
PMID- 18050182
TI - Delay in consultation with specialists for persons with suspected new-onset
rheumatoid arthritis: a population-based study.
AB - OBJECTIVE: Care in rheumatoid arthritis (RA) is optimized by involvement of
rheumatologists. We wished to determine whether patients suspected of having new
onset RA in Quebec consulted with a rheumatologist, to document any delay in
these consultations, and to determine factors associated with prompt
consultation. METHODS: Physician reimbursement administrative data were obtained
for all adults in Quebec. Suspected new-onset cases of RA in the year 2000 were
defined operationally as a physician visit for RA (based on the International
Classification of Diseases, Ninth Revision diagnostic codes), where there had
been no prior visit code to any physician for RA in the preceding 3 years. For
those patients who were first diagnosed by a nonrheumatologist, Cox regression
modeling was used to identify patient and physician characteristics associated
with time to consultation with a rheumatologist. RESULTS: Of the 10,001 persons
coded as incident RA by a nonrheumatologist, only 27.3% consulted a
rheumatologist within the next 2.5-3.5 years. Of those who consulted, the median
time from initial visit to a physician for RA to consultation with a
rheumatologist was 79 days. The strongest predictors of shorter time to
consultation were female sex, younger age, being in a higher socioeconomic class,
and having greater comorbidity. CONCLUSION: Our data suggest that the vast
majority of patients suspected of having new-onset RA do not receive rheumatology
care. Further action should focus on this issue so that outcomes in RA may be
optimized.
PMID- 18050183
TI - Influence of -308 A/G polymorphism in the tumor necrosis factor alpha gene on
etanercept treatment in rheumatoid arthritis.
AB - OBJECTIVE: To determine whether the -308 A/G tumor necrosis factor alpha
(TNFalpha) gene polymorphism can predict the outcome of etanercept therapy in 86
patients with rheumatoid arthritis (RA), as already observed in patients treated
with infliximab. METHODS: Eighty-six RA patients treated with etanercept were
genotyped for -308 A/G TNFalpha gene polymorphism by polymerase chain reaction
and melting curve analysis, using specific gene primers and probes. Patients were
subdivided into group A (G/A genotype) and group G (G/G genotype). We compared
clinical responses to etanercept between groups A and G after 6 months, using the
Disease Activity Score in 28 joints (DAS28). After 12-month treatment, 48 of 86
patients were evaluated again. RESULTS: Of 86 patients, 18 (21%) belonged in
group A and 68 (79%) belonged in group G. After 6-month treatment, 55.6% of
patients in group A and 82.4% of patients in group G had DAS28 improvement >1.2
(P = 0.027 by chi-square). The mean +/- SD DAS28 improvement was 1.69 +/- 1.31 in
group A and 2.23 +/- 1.19 in group G (P = 0.098 by t-test). After 1-year
treatment 48 patients were tested again: 10 (21%) belonged in group A and 38
(79%) belonged in group G. Forty percent of patients in group A and 87% in group
G had DAS28 improvement >1.2 (P = 0.005 by chi-square). The mean +/- SD DAS28
improvement was 1.334 +/- 1.37 in group A and 2.29 +/- 1.47 in group G (Mann
Whitney U test = 115, P = 0.0057). CONCLUSION: RA patients with a -308 G/G
TNFalpha genotype respond to etanercept better than patients with a -308 A/G
genotype.
PMID- 18050184
TI - The risk of herpes zoster in patients with rheumatoid arthritis in the United
States and the United Kingdom.
AB - OBJECTIVE: To determine whether the incidence of herpes zoster is elevated in
patients with rheumatoid arthritis (RA) and whether herpes zoster is associated
with use of disease-modifying antirheumatic drugs (DMARDs) in patients with RA.
METHODS: Two retrospective cohort studies were conducted using data from a US
integrated managed care database (PharMetrics claims database) from 1998-2002 and
the UK General Practice Research Database (GPRD) between 1990-2001. Rates of
herpes zoster among patients with RA and randomly sampled non-RA patients were
compared. A nested case-control analysis was performed within each RA cohort to
examine the effect of current treatment on herpes zoster risk. RESULTS: A total
of 122,272 patients with RA from the PharMetrics database and 38,621 from the
GPRD were included. The adjusted hazard ratios of herpes zoster for patients with
RA compared with non-RA patients were 1.91 (95% confidence interval [95% CI] 1.80
2.03) in the PharMetrics database and 1.65 (95% CI 1.57-1.75) in the GPRD. In the
PharMetrics database, current use of biologic DMARDs alone was associated with
herpes zoster (odds ratio [OR] 1.54, 95% CI 1.04-2.29), as was current use of
traditional DMARDs alone (OR 1.37, 95% CI 1.18-1.59). In the GPRD, current use of
traditional DMARDs was associated with herpes zoster (OR 1.27, 95% CI 1.10-1.48).
In both data sources, use of oral corticosteroids was associated with herpes
zoster regardless of concomitant therapies. CONCLUSION: Data from 2 large
databases suggested that patients with RA are at increased risk of herpes zoster.
Among patients with RA, DMARDs and/or use of oral corticosteroids appeared to be
associated with herpes zoster.
PMID- 18050185
TI - Prevalence of and annual ambulatory health care visits for pediatric arthritis
and other rheumatologic conditions in the United States in 2001-2004.
AB - OBJECTIVE: To estimate the prevalence of and the annual number of ambulatory
health care visits for pediatric arthritis and other rheumatologic conditions.
METHODS: We used physician office visit, outpatient department visit, and
emergency department visit data from the 2001-2004 National Ambulatory Medical
Care Survey and 2001-2004 National Hospital Ambulatory Medical Care Survey to
estimate annual visits for the International Classification of Diseases, Ninth
Revision, Clinical Modification codes thought to represent significant pediatric
arthritis and other rheumatologic conditions (SPARC). We converted visit
estimates into prevalence estimates using data on the number of prior annual
visits per patient. Synthetic estimates for states were produced using national
rates. RESULTS: The average annualized estimate of the number of children with
SPARC was 294,000 (95% confidence interval [95% CI] 188,000-400,000). The
annualized number of ambulatory health care visits for SPARC was 827,000 (95% CI
609,000-1,044,000). CONCLUSION: Pediatric arthritis estimates have varied widely
because it is an umbrella term for which there are many definitions and because
it is a relatively uncommon condition from a population surveillance perspective.
Our estimates suggest that arthritis-related health care visits impose a
substantial burden on the pediatric health care system. One advantage of this
surveillance paradigm is that it has established a starting point for tracking
the national prevalence of arthritis and rheumatologic conditions in children on
an ongoing basis using existing infrastructure rather than expensive new surveys.
This surveillance system will help us monitor and predict the health care needs
of patients with these conditions.
PMID- 18050186
TI - Reliability of exercise testing and functional activity questionnaires in
children with juvenile arthritis.
AB - OBJECTIVE: To determine the reliability of formal exercise testing and the
reliability of functional and activity questionnaires in children with juvenile
idiopathic arthritis (JIA). METHODS: Children with JIA of any subtype ages 8-16
years who were recruited to a randomized trial comparing different exercise
therapies participated in 2 preintervention sessions of exercise testing 2-6
weeks apart. Exercise testing included 1) submaximal oxygen uptake (VO(2submax)),
2) peak VO(2) (VO(2peak)), and 3) anaerobic power using modified Wingate tests
(W(ant)). Two physical function questionnaires (the Childhood Health Assessment
Questionnaire [C-HAQ] and Revised Activity Scale for Kids [ASK]) and 1 daily
physical activity questionnaire (the Habitual Activity Estimation Scale [HAES])
were also completed at these times. Test-retest reliability was assessed using
type 3, intrarater intraclass correlation coefficient (ICC(3,1)) and Bland and
Altman plots were used to determine limits of agreement. RESULTS: Data were
available for 74 patients (58 girls). VO(2submax), VO(2peak), and W(ant)
demonstrated high reliability (ICC(3,1) 0.82, 0.91, and 0.94, respectively). C
HAQ and ASK questionnaires also had very high reliability (ICC(3,1) 0.82 and
0.91, respectively). The HAES demonstrated low reliability for total activity
score (ICC(3,1) 0.15) and moderate reliability when the number of very active
hours was analyzed separately (ICC(3,1) 0.59). CONCLUSION: Results of this
investigation suggest that exercise testing and functional questionnaires in
children with JIA are consistent and reliable. Reliability of the HAES total
score was poor, but moderate when the very active hours subscale score was used.
PMID- 18050187
TI - Impact of memory impairment on employment status in persons with systemic lupus
erythematosus.
AB - OBJECTIVE: To assess the specific contribution of memory impairment to employment
status in persons with systemic lupus erythematosus (SLE). METHODS: A total of
832 patients with SLE were surveyed and data collected on demographics, SLE
symptoms and activity, health status, depression, medications, health resource
utilization, and current employment status. Participants underwent screening for
memory impairment and based on their scores were categorized to 3 levels of
memory function: intact, mild-moderate impairment, and severe impairment.
Employment status was compared across impairment levels using multivariate
logistic regression, adjusting for sociodemographic characteristics (i.e., age,
sex, race, education, and marital status), employment status at year of
diagnosis, disease activity, disease duration, and depression. RESULTS: In the
intact memory function group, 54.2% were employed, versus 40.6% in the mild
moderate impairment group and 31.0% in the severe impairment group. In the intact
memory function group, 29.2% were unable to work, versus 40.6% in the mild
moderate impairment group and 56.3% in the severe impairment group. After
multivariate adjustment, increasing levels of memory impairment predicted a
decreased likelihood of being employed: odds ratio (OR) 0.70, 95% confidence
interval (95% CI) 0.48-1.02 for the mild-moderate impairment group and OR 0.57,
95% CI 0.32-1.00 for the severe impairment group. Participants with memory
impairment were more likely to report being unable to work: OR 1.36, 95% CI 0.90
2.04 for the mild-moderate impairment group, and OR 1.99, 95% CI 1.12-3.55 for
the severe impairment group. These findings were statistically significant only
in the severe impairment groups. CONCLUSION: The findings suggest that severe
memory impairment is an important factor associated with employment status in
persons with SLE.
PMID- 18050188
TI - General and specific factors associated with severity of cognitive impairment in
systemic lupus erythematosus.
AB - OBJECTIVE: To determine factors affecting the severity of cognitive impairment in
systemic lupus erythematosus (SLE) and to analyze its anatomic location. METHODS:
Fifteen cognitive functions grouped into 8 domains were evaluated in 52 patients
with SLE and 20 with rheumatoid arthritis. Patients were classified according to
severity of impairment as normal, mild, or moderate/severe. Multivariate analysis
was performed to identify the main factors affecting severity of cognitive
deficits. The most likely anatomic site of damage according to neuropsychological
performance was compared with the lesion's location on magnetic resonance imaging
(MRI). RESULTS: In SLE patients, a stepwise regression analysis showed that the
number of impaired functions (dependent variable) was associated with
antiphospholipid antibody positivity (aPL+; P = 0.04), the Systemic Lupus
International Collaborating Clinics/American College of Rheumatology Damage Index
(SDI; P = 0.001), hypertension (P = 0.032), and was inversely related to
educational level (P = 0.021). Including MRI, the number of impaired functions
was associated with severity of MRI (P < 0.001), the SDI (P = 0.013), and the
presence of Raynaud's phenomenon (P = 0.04). The contemporary presence of aPL+
and Raynaud's phenomenon resulted in a higher probability to develop
moderate/severe cognitive deficits (P = 0.015). Two logistic multiple regression
analyses identified hypertension (P < 0.05), the SDI (P < 0.01), and
moderate/severe MRI findings as main predictors of moderate/severe impairment
(dependent variable). The damage site hypothesized through neuropsychological
testing corresponded with MRI findings in 71.7% of SLE patients K = 0.42, P =
0.005). CONCLUSION: Hypertension, aPL+, accumulated damage, and MRI lesions are
the main factors affecting severity of cognitive impairment in SLE. The
hypothesized sites of central nervous system involvement according to
neuropsychological testing correlated with MRI findings in most patients.
PMID- 18050189
TI - Treatment of early rheumatoid arthritis: a randomized magnetic resonance imaging
study comparing the effects of methotrexate alone, methotrexate in combination
with infliximab, and methotrexate in combination with intravenous pulse
methylprednisolone.
AB - OBJECTIVE: To compare the effects of methotrexate (MTX), alone or in combination
with intravenous (IV) methylprednisolone (MP) or infliximab, on magnetic
resonance imaging (MRI)-detected synovitis, bone edema, and erosive changes in
patients with early rheumatoid arthritis (RA). METHODS: Forty-four patients with
early RA were randomized to receive MTX alone (MTX group), MTX plus IV MP (IV MP
group), or MTX plus infliximab (infliximab group), infused on day 0 and weeks 2,
6, 14, 22, 30, 38, and 46. Gadolinium-enhanced MRI scans of the
metacarpophalangeal joints, wrists, and metatarsophalangeal joints were performed
at baseline, week 18, and week 52. RESULTS: Scores for MRI-detected synovitis and
bone edema improved over time in the 3 groups, with significantly lower synovitis
scores in the infliximab group compared with the MTX group and significantly
lower bone edema scores in the infliximab group compared with the MTX and the IV
MP groups. Scores for MRI-detected erosion significantly increased over time in
all groups. There were no differences in erosion scores between the MTX group and
the other groups. It is of note that patients treated with IV MP showed more
significant progression in MRI-detected erosions compared with patients treated
with infliximab. At week 22, response rates according to the American College of
Rheumatology 20% improvement criteria (ACR20), the ACR50, and the ACR70 were
significantly higher in both the IV MP group and the infliximab group compared
with the MTX group. At week 52, remission was achieved in 40% of patients in the
MTX group and in 70% of patients in the IV MP and infliximab groups. Health
Assessment Questionnaire scores improved significantly over time in all groups,
with patients receiving IV MP experiencing significantly more improvement
compared with patients treated with MTX alone. No severe side effects were
observed, except 1 case of MTX-related pneumonitis. CONCLUSION: The combination
of MTX and infliximab is superior to MTX alone for reducing MRI-detected signs of
synovitis and bone edema in patients with early RA. Progression of MRI-detected
erosion was greater in patients treated with MTX plus IV MP compared with that in
patients who received MTX plus infliximab.
PMID- 18050190
TI - Clinical images: A rheumatoid arthritis patient with swelling of the shoulder.
PMID- 18050191
TI - Type IV collagen alpha-chain composition in synovial lining from trauma patients
and patients with rheumatoid arthritis.
AB - OBJECTIVE: Normal synovial lining is composed of macrophage-like type A and
fibroblast-like type B lining cells. This sheet-like structure lacks a basement
membrane, but its intercellular substance contains some basement membrane
components, including type IV collagen. We undertook this study to determine the
alpha-chain composition of type IV collagen in normal and arthritic synovial
lining, using monoclonal alpha-chain antibodies. METHODS: Samples were analyzed
using avidin-biotin-peroxidase complex staining for the presence of collagen
alpha1/2(IV), alpha3(IV), alpha4(IV), alpha5(IV), alpha6(IV), matrix
metalloproteinase 2 (MMP-2), and MMP-9, and the enzyme activity was detected
using gelatin zymography. Double immunofluorescence was performed for type IV
collagen/MMP-9 and type IV collagen/CD68. Synovial fibroblasts were studied using
quantitative reverse transcriptase-polymerase chain reaction. RESULTS: In mildly
inflamed synovium from 5 trauma patients, alpha1/2(IV) chains were strongly
stained, but alpha5(IV) and alpha6(IV) chains were weakly stained. Coding
messenger RNA was shown in cultured synovial fibroblasts. Basement membranes of
blood vessels contained all alpha(IV) chains and served as useful positive sample
controls. In the synovial lining from 5 patients with rheumatoid arthritis (RA),
all alpha-chains were absent/very weakly stained. This was coupled with numerous
type A lining cells containing MMP-9 (type IV collagenase), also found in
synovial fluid. CONCLUSION: Synovial lining has a unique and very limited alpha
chain composition, different from that of the vascular basement membrane, which
contains all alpha-chains. This special composition and lack of nidogen are
probably of relevance for the bidirectional translining diffusion. Such tentative
alpha-chain-dependent adhesive and transport-regulating properties seem to be
deranged in RA, probably in part due to type IV collagenases produced in the
lining and/or released by transmigrating or synovial fluid neutrophils.
PMID- 18050192
TI - Beneficial effect of galectin 9 on rheumatoid arthritis by induction of apoptosis
of synovial fibroblasts.
AB - OBJECTIVE: To compare the expression of galectin 9 (Gal-9) in synovial tissue
(ST) from rheumatoid arthritis (RA) patients and osteoarthritis (OA) patients and
to evaluate the effects of Gal-9 on fibroblast-like synoviocytes (FLS) in these
patients. METHODS: The expression of Gal-9 in ST and FLS was compared using
immunohistochemical techniques. Apoptotic cells in RA and OA ST samples were
detected by TUNEL assay. Apoptosis of FLS was analyzed by the sub-G(1) method in
vitro. The in vivo suppressive effects of Gal-9 on collagen-induced arthritis
(CIA) in a mouse model were also elucidated. RESULTS: The percentage of Gal-9
positive cells in ST samples and the amount of Gal-9 in synovial fluid samples
were significantly higher in patients with RA than in patients with OA,
suggesting the involvement of Gal-9 in the development of RA. Compared with the 2
wild-type Gal-9 forms, stable Gal-9, a mutant protein resistant to proteolysis,
significantly induced apoptosis of FLS from RA patients. In contrast, other
galectins, such as Gal-1, Gal-3, and Gal-8, did not induce apoptosis or suppress
the proliferation of human RA FLS. Stable Gal-9 preferentially induced apoptosis
and suppressed the proliferation of RA FLS in vitro. It also induced apoptosis of
cells in RA ST implanted into SCID mice in vivo. In a mouse model of CIA,
apoptotic cells were detected in the joints of stable Gal-9-treated mice, but not
phosphate buffered saline-treated mice, and suppressed CIA characterized by
pannus formation with inflammatory cell infiltration and bone/cartilage
destruction. CONCLUSION: Gal-9-induced apoptosis of hyperproliferative RA FLS may
play a critical role in the suppression of RA.
PMID- 18050193
TI - Determination of N-glycosylation sites and site heterogeneity in a monoclonal
antibody by electrospray quadrupole ion-mobility time-of-flight mass
spectrometry.
AB - This paper presents an improved analytical method for glycosylation structural
characterizations of a monoclonal antibody (mAb) using a newly developed
quadrupole ion-mobility time-of-flight (ESI-Q-IM-TOF) mass spectrometer. Using
this method, high-resolution mass spectra were acquired to produce the overall
glycosylation profile of the mAb. Additionally, the light and heavy chains from
the reduced antibody were separated in the gas phase by the ion mobility
functionality of the instrument, allowing accurate mass measurement of each
subunit. Furthermore, the glycan sequences, as well as the glycosylation site,
were determined by a two-step sequential fragmentation process using the unique
dual-collision-cell design of the instrument, thus providing detailed
characterizations of the glycan structures.
PMID- 18050194
TI - Analysis of transition-metal acetylacetonate complexes by matrix-assisted laser
desorption/ionization time-of-flight mass spectrometry.
AB - Transition-metal acetylacetonate complexes of the form Metal(acac)(2), where
Metal = Fe(II), Co(II), Ni(II), Cu(II), and Zn(II), and Metal(acac)(3), where
Metal = V(III), Cr(III), Mn(III), Fe(III), and Co(III), were investigated by
matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOFMS). The data was acquired using the aprotic, electron transfer matrix,
2-[(2E)-3-(4-tert-butylphenyl)-2-methylprop-2-enylidene]malononitrile (DCTB), and
the observation of positive radical ions is shown clearly to depend on the metal
element and the oxidation state it occupies. The ionization energy of DCTB was
calculated to be 8.08 eV by density functional theory methods, which is notably
lower than the experimental value, but within the range of other computational
values. This value is very close to those of the analytes, so the existing
electron transfer mechanism which is based on the ionization energies of the
matrix and analyte, cannot be used predictively. Similarly, the data neither
proves nor disproves the validity of the existing electron transfer ionization
mechanism, with respect to metal coordination complexes without strong
chromophores. In this case, periodic trends may be more useful in explaining the
observed species and the prediction of species from sets of similar complexes.
The addition of a sodium salt benefits the MALDI-TOFMS characterization of
certain compounds studied, but the benefit of the addition of ammonium or silver
salts is negligible.
PMID- 18050195
TI - Rates of infiltration by macrophages and dendritic cells and expression of
interleukin-18 and interleukin-12 in the chronic inflammatory lesions of
Sjogren's syndrome: correlation with certain features of immune hyperactivity and
factors associated with high risk of lymphoma development.
AB - OBJECTIVE: To evaluate the expression profile of infiltrating macrophages and
dendritic cells (DCs) as well as of interleukin-18 (IL-18) and IL-12 in the minor
salivary gland (MSG) lesions of patients with Sjogren's syndrome (SS), and to
assess the relationship of these factors with disease parameters. METHODS:
Macrophages, DCs, T cells, B cells, proIL-18, mature IL-18, and IL-12 were
detected by single- and double-labeling immunohistochemistry in MSG specimens
from 21 patients with primary SS (13 of 21 tested for IL-12), 7 patients with
secondary SS, and 9 disease control patients. Expression profiles were assessed
for correlations with various disease parameters, including adverse predictors of
lymphoma development. RESULTS: MSGs from patients with SS (but not from disease
controls) manifested increased infiltration by macrophages and DCs, strong
expression of IL-18 by macrophages (particularly in B cell-rich areas and in
germinal center-like structures in primary SS), and expression of IL-12 by
mononuclear cell infiltrates. In primary SS, high infiltration by macrophages
correlated with SG enlargement (P = 0.01). The DC infiltration rate correlated
positively with the macrophage infiltration rate (P = 0.04), occurrence of SG
enlargement (P = 0.03), and presence of C4 hypocomplementemia (P = 0.05), and
inversely with serum C4 complement levels (P = 0.001). The rate of infiltration
by IL-18-expressing cells correlated positively with biopsy focus scores (P <
0.001), larger infiltrates of macrophages (P = 0.01), DCs (P = 0.01), and B cells
(P = 0.02), and SG enlargement (P = 0.02), and negatively with serum C4
complement levels (P = 0.02). The rate of infiltration by IL-12-expressing cells
correlated inversely with that by IL-18-expressing cells (P = 0.001), biopsy
focus scores (P = 0.003), and SG enlargement (P = 0.01), and positively with
serum C4 complement levels (P = 0.05). CONCLUSION: In patients with primary SS,
infiltration of the SG by macrophages and DCs and expression of IL-18 and IL-12
appear to play active roles in the expansion and organization of infiltrative
injuries and have a correlation with certain predictors of lymphoma development.
PMID- 18050197
TI - Association of an IRF5 gene functional polymorphism with Sjogren's syndrome.
AB - OBJECTIVE: Interferon regulatory factor 5 (IRF-5) is a transcription factor
involved in the regulation of the host defense. Previous studies have
demonstrated a significant association of various IRF5 gene polymorphisms with
systemic lupus erythematosus (SLE) in Caucasians. The purpose of this case
control study was to investigate whether IRF5 polymorphisms are involved in the
genetic predisposition to primary Sjogren's syndrome (SS), an autoimmune disease
closely related to SLE. METHODS: We analyzed IRF5 rs2004640, rs2070197,
rs10954213, and rs2280714 polymorphisms in a cohort of 212 primary SS patients
and 162 healthy blood donors, all of whom were of Caucasian origin. The 4
polymorphisms examined were genotyped by competitive allele-specific polymerase
chain reaction using fluorescence resonance energy transfer technology. RESULTS:
The IRF5 rs2004640 GT or TT genotype (T allele carriers) was identified in 87% of
primary SS patients compared with 77% of controls (P = 0.01, odds ratio [OR] 1.93
[95% confidence interval (95% CI) 1.15-3.42]). The IRF5 rs2004640 T allele was
found on 59% of chromosomes from primary SS patients compared with 52% of
chromosomes from controls (P = 0.04, OR 1.36 [95% CI 1.01-1.83]). No significant
association of primary SS with rs2070197, rs10954213, or rs2280714 was seen when
they were analyzed independently. Nevertheless, haplotype reconstructions based
on the 4 polymorphisms examined suggest that various allele combinations of
rs2004640 and rs2070197 could define susceptibility or protective haplotypes.
CONCLUSION: This study is the first to demonstrate a significant association
between primary SS and the IRF5 rs2004640 T allele. These results, which require
further replication on larger populations, suggest that besides their association
with identical major histocompatibility complex gene polymorphisms, primary SS
and SLE share IRF gene polymorphisms as a common genetic susceptibility factor.
PMID- 18050196
TI - Augmented interferon-alpha pathway activation in patients with Sjogren's syndrome
treated with etanercept.
AB - OBJECTIVE: Recent clinical trials suggest that etanercept is ineffective in
controlling Sjogren's syndrome (SS). To address the hypothesis that tumor
necrosis factor blockade can result in increased levels of interferon-alpha
(IFNalpha) and BAFF, we quantified those mediators in plasma from etanercept- and
placebo-treated SS patients. METHODS: We studied plasma samples from 20 patients
with SS treated with etanercept (25 mg twice weekly) or placebo in a 12-week,
randomized, double-blind clinical trial. In addition, we studied plasma samples
from 29 healthy controls. IFNalpha activity was determined by reporter cell
assay, and BAFF levels were determined by enzyme-linked immunosorbent assay.
RESULTS: Baseline IFNalpha plasma activity and BAFF levels were increased in SS
patients compared with healthy controls (mean +/- SD IFNalpha plasma activity
score 4.43 +/- 2.60 versus 2.08 +/- 0.91; P < 0.0001) (mean +/- SD BAFF level
0.83 +/- 0.27 ng/ml versus 0.60 +/- 0.15 ng/ml; P = 0.008). A significant
increase in IFNalpha activity was detected after 12 weeks of treatment in the
etanercept group, but not in the placebo group (P = 0.04 and P = 0.58,
respectively). Furthermore, a statistically significant increase in BAFF levels
was noted in patients receiving etanercept, but not in those receiving placebo (P
= 0.01 and P = 0.56, respectively). In vitro culture of control peripheral blood
mononuclear cells with etanercept resulted in a dose-dependent increase in the
expression of IFNalpha and the IFNalpha-inducible genes IFN-induced protein with
tetratricopeptide repeats 1 and BAFF. CONCLUSION: IFNalpha activity and BAFF
levels are elevated in the plasma of patients with SS compared with healthy
controls. Etanercept treatment exacerbates IFNalpha and BAFF overexpression,
providing a possible explanation for the lack of efficacy of this agent in SS.
PMID- 18050198
TI - Adalimumab significantly reduces both spinal and sacroiliac joint inflammation in
patients with ankylosing spondylitis: a multicenter, randomized, double-blind,
placebo-controlled study.
AB - OBJECTIVE: To compare the efficacy of adalimumab versus placebo in reducing
spinal and sacroiliac (SI) joint inflammation, by magnetic resonance imaging
(MRI) in patients with active ankylosing spondylitis (AS). METHODS: This was a
randomized, multicenter, double-blind, placebo-controlled study. Patients (n =
82) received 40 mg adalimumab or placebo every other week during an initial 24
week double-blind period. MRIs of both the spine and SI joints were obtained at
baseline, week 12, and week 52. Spinal and SI joint inflammation were measured
using the Spondyloarthritis Research Consortium of Canada (SPARCC) MRI index.
RESULTS: The spine SPARCC score in placebo-treated patients increased by a mean
of 9.4% from baseline, compared with a mean decrease of 53.6% in adalimumab
treated patients (P < 0.001); the SI joint SPARCC score decreased by a mean of
12.7% from baseline in placebo-treated patients and by 52.9% in adalimumab
treated patients (P = 0.017). The response in adalimumab-treated patients was
maintained at week 52. Placebo-treated patients were switched to open-label
adalimumab treatment at week 24 and experienced similar reductions in spinal and
SI joint inflammation by week 52. Similar large reductions in the spine and SI
joint SPARCC scores were noted, even in patients who failed to meet the
ASsessment in Ankylosing Spondylitis (International Working Group) criteria
(nonresponders) at 12 weeks. In adalimumab-treated patients, a reduced C-reactive
protein concentration at week 12 was significantly associated with improvement in
the spine SPARCC score (P = 0.018). CONCLUSION: Adalimumab significantly reduced
both spinal and SI joint inflammation in patients with active AS after 12 weeks
of treatment, and these improvements were maintained for up to 52 weeks.
PMID- 18050199
TI - Longitudinal assessment of synovial, lymph node, and bone volumes in inflammatory
arthritis in mice by in vivo magnetic resonance imaging and microfocal computed
tomography.
AB - OBJECTIVE: To develop longitudinal 3-dimensional (3-D) measures of outcomes of
inflammation and bone erosion in murine arthritis using contrast-enhanced
magnetic resonance imaging (CE-MRI) and in vivo microfocal computed tomography
(micro-CT) and, in a pilot study, to determine the value of entry criteria based
on age versus synovial volume in therapeutic intervention studies. METHODS: CE
MRI and in vivo micro-CT were performed on tumor necrosis factor-transgenic (TNF
Tg) mice and their wild-type littermates to quantify the synovial and popliteal
lymph node volumes and the patella and talus bone volumes, respectively, which
were validated histologically. These longitudinal outcome measures were used to
assess the natural history of erosive inflammatory arthritis. We also performed
anti-TNF versus placebo efficacy studies in TNF-Tg mice in which treatment was
initiated according to either age (4-5 months) or synovial volume (3 mm(3) as
detected by CE-MRI). Linear regression was performed to analyze the correlation
between synovitis and focal erosion. RESULTS: CE-MRI demonstrated the highly
variable nature of TNF-induced joint inflammation. Initiation of treatment by
synovial volume produced significantly larger treatment effects on the synovial
volume (P = 0.04) and the lymph node volume (P < 0.01) than did initiation by
age. By correlating the MRI and micro-CT data, we were able to demonstrate a
significant relationship between changes in synovial and patellar volumes (R(2) =
0.75, P < 0.01). CONCLUSION: In vivo CE-MRI and micro-CT 3-D outcome measures are
powerful tools that accurately demonstrate the progression of erosive
inflammatory arthritis in mice. These methods can be used to identify mice with
arthritis of similar severity before intervention studies are initiated, thus
minimizing heterogeneity in outcome studies of chronic arthritis seen between
genetically identical littermates.
PMID- 18050200
TI - Can biochemical markers serve as surrogates for imaging in knee osteoarthritis?
AB - OBJECTIVE: Osteoarthritis (OA) is a complex heterogeneous joint disease affecting
more than 35 million people worldwide. The current gold standard diagnostic
investigation is the plain radiograph, which lacks sensitivity. Biochemical
markers have the potential to act as adjunct markers for imaging in the
assessment of knee OA. We undertook this study to determine the association
between individual biochemical markers and radiographic features, and to
establish whether the association is strengthened when selected biochemical
markers are combined into a single factor (a theoretical marker). METHODS: Twenty
serum and urinary biochemical markers were analyzed in 119 patients with
predominantly tibiofemoral knee OA. Pearson's correlation was performed, and
corresponding coefficients of determination (R(2)) were calculated to determine
the association between biochemical markers and a range of imaging features from
radiographs and dual x-ray absorptiometry of the knee. Biochemical markers
demonstrating a significant association (P < 0.05) with a specific imaging
feature were combined by principal components analysis (PCA). Pearson's
correlation was repeated to establish whether the combined panel of biochemical
markers showed a stronger association with imaging than the best single marker.
RESULTS: Fourteen biochemical markers showed significant associations with one or
more imaging features. By combining specific panels of biochemical markers to
form factors, the association of markers with imaging features (R(2)) increased
from 11.9% to 22.7% for the Kellgren/Lawrence (K/L) score, from 5.9% to 9.2% for
joint space width (JSW), from 6.6% to 10.8% for sclerosis, from 13.5% to 22.6%
for osteophytes, and from 12.0% to 14.2% for bone mineral density (BMD).
Biochemical markers identifying patients with osteophytes overlapped with those
correlated with a high K/L score, while markers of subchondral BMD formed a
completely separate group. Biochemical markers of JSW included markers associated
with both osteophytes and BMD. CONCLUSION: The PCA results suggest that
biochemical marker combinations may be more sensitive than individual biochemical
markers for reflecting structural damage in patients with knee OA. The
differences in biochemical marker profiles associated with osteophytes compared
with those associated with subchondral BMD raise the possibility that these 2
processes, commonly seen in bone in knee OA, have underlying biologic
differences.
PMID- 18050201
TI - Effect of meniscal damage on the development of frequent knee pain, aching, or
stiffness.
AB - OBJECTIVE: To evaluate the effect of meniscal damage on the development of
frequent knee pain, aching, or stiffness in middle-aged and older adults.
METHODS: The Multicenter Osteoarthritis Study is a prospective study of 3,026
individuals 50 years of age or older who have or are at high risk of developing
knee osteoarthritis (OA). We investigated knees at baseline and at 15 months.
Case knees (n = 110) were those with no pain, aching, or stiffness on most days
at baseline, but that had developed frequent pain, aching, or stiffness at 15
months. Control knees (n = 220) were drawn randomly from knees with no frequent
symptoms at baseline that did not become case knees. Using 1.0T magnetic
resonance imaging performed at baseline and at followup, 2 musculoskeletal
radiologists blinded to the case-control status assessed the meniscal damage
using the following scale: 0 = intact, 1 = minor tear, 2 = nondisplaced tear or
prior surgical repair, and 3 = displaced tear, resection, maceration, or
destruction. The effect of meniscal damage was analyzed by contingency tables and
logistic regression. RESULTS: Meniscal damage was common at baseline both in case
knees (38%) and in control knees (29%). Although there was a modest association
between the meniscal damage score (range 0-3) and the development of frequent
knee pain, aching, or stiffness (odds ratio [OR] 1.21, 95% confidence interval
[95% CI] 0.96-1.51, adjusted for age, sex, and body mass index), meniscal damage
was mostly present in knees with OA. When considering the co-occurrence of OA, we
found no independent association between meniscal damage and the development of
frequent knee symptoms (OR 1.05, 95% CI 0.80-1.37). CONCLUSION: In middle-aged
and older adults, any association between meniscal damage and the development of
frequent knee pain seems to be present because both pain and meniscal damage are
related to OA and not because of a direct link between the two.
PMID- 18050202
TI - The efficacy and safety of diacerein in the treatment of painful osteoarthritis
of the knee: a randomized, multicenter, double-blind, placebo-controlled study
with primary end points at two months after the end of a three-month treatment
period.
AB - OBJECTIVE: To determine whether the efficacy of diacerein persists at 2 months
after the end of a 3-month treatment period, compared with placebo, in patients
with painful knee osteoarthritis (OA). METHODS: After a 1-week nonsteroidal
antiinflammatory drug washout period, patients received either diacerein or
placebo for 3 months, followed by an off-treatment period of 3 months to
determine the carryover effects of the drug. Although patients were followed up
through month 6, the primary efficacy end point was the percent change from
baseline in pain (Western Ontario and McMaster Universities Osteoarthritis Index
[WOMAC] A) at month 5 (i.e., 2 months after the end of treatment) compared with
placebo. The co-primary efficacy end point was the percent change from baseline
in the total WOMAC score, also at month 5 versus placebo. RESULTS: Two hundred
three patients were screened, and 168 patients with painful knee OA were
randomized. One hundred sixty-five patients were analyzed in an intent-to-treat
analysis. At month 5, diacerein showed statistically significant superiority
versus placebo as assessed with both the WOMAC A (P < 0.0001) and the total WOMAC
(P < 0.0001), demonstrating the carryover effect of the drug. This superiority
was already evident from month 2 for pain (P = 0.001) and month 1 for total WOMAC
(P = 0.0021). Diacerein was safe and well tolerated. No serious or previously
undocumented adverse events were observed during the study. CONCLUSION: This is
the first published study of a symptomatic slow-acting OA drug in which the time
of assessment of the primary outcome end points was 2 months after the end of a 3
month treatment period. The results show that diacerein is safe and effective for
the treatment of knee OA and has a long carryover effect.
PMID- 18050203
TI - Articular cartilage and biomechanical properties of the long bones in Frzb
knockout mice.
AB - OBJECTIVE: Ligands and antagonists of the WNT pathway are linked to osteoporosis
and osteoarthritis. In particular, polymorphisms in the FRZB gene, a secreted WNT
antagonist, have been associated with osteoarthritis. The aim of this study was
to examine cartilage and bone in Frzb(-/-) mice. METHODS: The Frzb gene in mice
was inactivated using a Cre/loxP strategy. Three models of osteoarthritis were
used: collagenase, papain, and methylated bovine serum albumin induced. Bone
biology was studied using density measurements and microfocal computed
tomography. Bone stiffness and mechanical loading-induced bone adaptation were
studied by compression of the ulnae. RESULTS: Targeted deletion of the Frzb gene
in mice increased articular cartilage loss during arthritis triggered by
instability, enzymatic injury, or inflammation. Cartilage damage in Frzb(-/-)
mice was associated with increased WNT signaling and matrix metalloproteinase 3
(MMP-3) expression and activity. Frzb(-/-) mice had increased cortical bone
thickness and density, resulting in stiffer bones, as demonstrated by stress
strain relationship analyses. Moreover, Frzb(-/-) mice had an increased
periosteal anabolic response to mechanical loading as compared with wild-type
mice. CONCLUSION: The genetic association between osteoarthritis and FRZB
polymorphisms is corroborated by increased cartilage proteoglycan loss in 3
different models of arthritis in Frzb(-/-) mice. Loss of Frzb may contribute to
cartilage damage by increasing the expression and activity of MMPs, in a WNT
dependent and WNT-independent manner. FRZB deficiency also resulted in thicker
cortical bone, with increased stiffness and higher cortical appositional bone
formation after loading. This may contribute to the development of osteoarthritis
by producing increased strain on the articular cartilage during normal locomotion
but may protect against osteoporotic fractures.
PMID- 18050204
TI - Role of hypoxia and cAMP in the transdifferentiation of human fetal cardiac
fibroblasts: implications for progression to scarring in autoimmune-associated
congenital heart block.
AB - OBJECTIVE: Identification of isolated congenital heart block (CHB) predicts, with
near certainty, the presence of maternal anti-SSA/Ro antibodies; however, the 2%
incidence of CHB in first offspring of anti-SSA/Ro+ mothers, 20% recurrence in
subsequent pregnancies, and discordance in identical twins suggest that an
environmental factor amplifies the effect of the antibody. Accordingly, this
study was carried out to explore the hypothesis that hypoxia potentiates a
profibrosing phenotype of the fetal cardiac fibroblast. METHODS: Evidence of an
effect of hypoxia was sought by immunohistologic evaluation of CHB-affected fetal
heart tissue and by determination of erythropoietin levels in cord blood. The in
vitro effect of hypoxia on gene expression and phenotype in fibroblasts derived
from fetal hearts and lungs was investigated by Affymetrix arrays, quantitative
polymerase chain reaction (PCR), immunofluorescence, and immunoblotting. RESULTS:
In vivo hypoxic exposure was supported by the prominent intracellular fibroblast
expression of hypoxia-inducible factor 1alpha in conduction tissue from 2 fetuses
in whom CHB led to death. The possibility that hypoxia was sustained was
suggested by significantly elevated erythropoietin levels in cord blood from CHB
affected, as compared with unaffected, anti-SSA/Ro-exposed neonates. In vitro
exposure of cardiac fibroblasts to hypoxia resulted in transdifferentiation to
myofibroblasts (a scarring phenotype), as demonstrated on immunoblots and
immunofluorescence by increased expression of smooth muscle actin (SMA), an
effect not seen in lung fibroblasts. Hypoxia-exposed cardiac fibroblasts
expressed adrenomedullin at 4-fold increased levels, as determined by Affymetrix
array, quantitative PCR, and immunofluorescence, thus focusing attention on cAMP
as a modulator of fibrosis. MDL12,330A, an adenylate cyclase inhibitor that
lowers the levels of cAMP, increased expression of fibrosis-related proteins
(mammalian target of rapamycin, SMA, plasminogen activator inhibitor type 1, and
type I collagen), while the cAMP activator forskolin attenuated transforming
growth factor beta-elicited fibrosing end points in the cardiac fibroblasts.
CONCLUSION: These findings provide evidence that hypoxia may amplify the
injurious effects of anti-SSA/Ro antibodies. Modulation of cAMP may be a key
component in the scarring phenotype. Further assessment of the susceptibility of
cardiac fibroblasts to cAMP modulation offers a new research direction in CHB.
PMID- 18050205
TI - Hyperexpression of cyclooxygenase 2 in the lupus immune system and effect of
cyclooxygenase 2 inhibitor diet therapy in a murine model of systemic lupus
erythematosus.
AB - OBJECTIVE: To investigate the role of cyclooxygenase 2 (COX-2) in the functioning
of different cell types involved in the lupus autoimmune response, and to examine
the therapeutic effect of COX-2 inhibitors in mice prone to spontaneously develop
systemic lupus erythematosus (SLE). METHODS: Lupus-prone (SWR x NZB)F(1) mice
were fed with a diet containing different doses of the COX-2-specific inhibitor
celecoxib or the nonspecific inhibitor aspirin, or a combination of both, and the
effects of the therapy on autoantibody production, development of lupus
nephritis, and mortality were determined. Expression of COX-2 by different cells
of the lupus immune system and the effect of COX-2 inhibitors on the function of
these cells in vitro and in vivo were assessed. RESULTS: The immune cells of mice
with SLE spontaneously hyperexpressed COX-2, and COX-2 inhibitors could cause
cell apoptosis. Treatment with COX-2 inhibitors resulted in decreased
autoantibody production and inhibition of the T cell response to the major lupus
autoantigen, nucleosome, and its presentation by antigen-presenting cells.
Surprisingly, a significant increase in survival occurred only in mice receiving
intermittent therapy with the lowest dose of celecoxib (500 parts per million),
approximating <100 mg of celecoxib/day in humans. A continuous diet, but not
intermittent feeding, with the combination of celecoxib and aspirin delayed
development of nephritis temporarily, but failed to prolong survival. Indeed,
treatment with aspirin alone increased mortality. CONCLUSION: The contributions
of the major players in the pathogenic autoimmune response, namely, T cells, B
cells, dendritic cells, and macrophages that are abnormally hyperactive in lupus,
depend on the increased expression and activity of COX-2, similar to inflammatory
cells in target organs. Intermittent pulse therapy with low doses of select COX-2
inhibitors would be of value in the treatment of lupus.
PMID- 18050206
TI - Reduced B lymphocyte and immunoglobulin levels after atacicept treatment in
patients with systemic lupus erythematosus: results of a multicenter, phase Ib,
double-blind, placebo-controlled, dose-escalating trial.
AB - OBJECTIVE: To assess the safety and tolerability of atacicept in patients with
systemic lupus erythematosus (SLE) and the biologic effect of atacicept on B
lymphocyte and immunoglobulin levels. Atacicept is a TACI-Ig fusion protein that
inhibits B cell stimulation by binding to B lymphocyte stimulator and a
proliferation-inducing ligand. METHODS: This phase Ib, double-blind, placebo
controlled, dose-escalating trial comprised 6 cohorts of patients treated with
atacicept or placebo in a 3:1 ratio of active drug to placebo (n = 8 per group; n
= 7 in cohort 5). Cohorts 1-4 received a single subcutaneous dose of placebo or
either 0.3 mg/kg, 1 mg/kg, 3 mg/kg, or 9 mg/kg of atacicept. Cohorts 5 and 6
received weekly doses of placebo or either 1 mg/kg or 3 mg/kg of atacicept for 4
weeks. Patients were followed up for 6 weeks (cohorts 1-4) or 9 weeks (cohorts 5
and 6). Patients with mild-to-moderate SLE were enrolled. RESULTS: Biologic
activity of atacicept was demonstrated by dose-dependent reductions in
immunoglobulin levels and in mature and total B cell numbers. This effect was
most pronounced in the repeated-dose cohorts and was sustained throughout the
followup period. There were no changes in the numbers of T cells, natural killer
cells, or monocytes. Mild injection-site reactions occurred more frequently among
the atacicept group than the placebo group. There were no differences in the
frequency or type of adverse events and no severe or serious adverse events in
patients treated with atacicept. CONCLUSION: Atacicept administered
subcutaneously was well tolerated and demonstrated biologic activity consistent
with the proposed mechanism of action.
PMID- 18050208
TI - Disease remission and sustained halting of radiographic progression with
combination etanercept and methotrexate in patients with rheumatoid arthritis.
AB - OBJECTIVE: The Trial of Etanercept and Methotrexate with Radiographic Patient
Outcomes (TEMPO) is a 3-year, double-blind, multicenter study evaluating the
efficacy and safety of etanercept, methotrexate, and the combination of
etanercept plus methotrexate in patients with active rheumatoid arthritis (RA).
The results after 1 and 2 years of the study have been previously reported. Here
we provide the 3-year clinical and radiographic outcomes and safety of
etanercept, methotrexate, and the combination in patients with RA. METHODS: In
this randomized, double-blind, multicenter TEMPO study, 682 patients received
etanercept 25 mg twice weekly, methotrexate < or =20 mg weekly, or the
combination. Key efficacy assessments included the Disease Activity Score (DAS)
and the DAS in 28 joints. RESULTS: Combination therapy resulted in significantly
greater improvement in the DAS and in more patients with disease in remission
than either monotherapy. This finding was confirmed by longitudinal analysis;
patients receiving combination therapy were more than twice as likely to have
disease in remission than those receiving either monotherapy. Independent
predictors of remission included male sex, lower disease activity, lower level of
joint destruction, and/or better physical function. Combination and etanercept
therapy both resulted in significantly less radiographic progression than did
methotrexate (P < 0.05). Etanercept and combination treatment were well
tolerated, with no new safety findings. CONCLUSION: Etanercept plus methotrexate
showed sustained efficacy through 3 years and remained more effective than either
monotherapy, even after adjustment for patient withdrawal. Combination therapy
for 3 years led to disease remission and inhibition of radiographic progression,
2 key goals for treatment of patients with RA.
PMID- 18050209
TI - Fine specificity of the anti-citrullinated protein antibody response is
influenced by the shared epitope alleles.
AB - OBJECTIVE: In classic studies on the genetic background of antibody production,
the major histocompatibility complex (MHC) has been shown to act as the most
prominent immune response gene that controls the magnitude and the specificity of
antibody production. The strongest genetic risk factor for rheumatoid arthritis
(RA), the human MHC HLA-DRB1 shared epitope (SE) alleles, predisposes for
antibodies against citrullinated proteins (ACPAs). ACPA levels are higher in SE
positive patients with RA than in SE-negative patients with RA. The aim of the
present study was to determine whether SE influences not only the magnitude but
also the specificity of the ACPA response. METHODS: In 2 cohorts of anti
citrullinated peptide 2-positive patients with RA, one from a study of recent
onset arthritis (n = 206) and the other from a treatment strategy study (n =
141), serum antibodies against a citrullinated peptide derived from vimentin
(cVim) and antibodies against a citrullinated fibrinogen peptide (cFibr) were
determined by enzyme-linked immunosorbent assay. HLA-DRB1 genotyping was
performed. RESULTS: In the first cohort, SE alleles were significantly associated
with the presence of antibodies against cVim (odds ratio [OR] 4.95, 95%
confidence interval [95% CI] 1.87-15.3) and were not significantly associated
with the presence of antibodies against cFibr (OR 1.71, 95% CI 0.70-4.14). These
results were replicated in the second cohort (OR 5.05, 95% CI 1.92-13.6 and OR
1.19, 95% CI 0.30-3.97, respectively). CONCLUSION: In 2 cohorts of ACPA-positive
patients with RA, SE alleles predisposed for the development of antibodies
against cVim but not for the development of antibodies against cFibr. These data
indicate that SE alleles act as "classic" immune response genes in the ACPA
response, because they influence both the magnitude and the specificity of this
RA-specific antibody response.
PMID- 18050210
TI - HO-1 promoter polymorphism associated with rheumatoid arthritis.
AB - OBJECTIVE: To investigate the role of the HO-1 gene as a novel functional
candidate gene for rheumatoid arthritis (RA). METHODS: We performed a case
control study including 736 RA patients and 846 healthy controls of Spanish
Caucasian origin. Two putative functional HO-1 promoter polymorphisms, a (GT)(n)
microsatellite and a -413 A/T single-nucleotide polymorphism (SNP), were selected
as genetic markers and genotyped using polymerase chain reaction-based methods.
In addition, the intracellular expression of heme oxygenase 1 (HO-1) was
determined in healthy individuals with different (GT)(n) genotypes. RESULTS: The
distribution of HO-1 (GT)(n) short (S) alleles (< or =25 GT repeats) and long (L)
alleles (>25 GT repeats) revealed a significant protective effect of S (GT)(n)
alleles (P = 0.019) (odds ratio [OR] 0.8, 95% confidence interval [95% CI] 0.7
0.9) and the SS (GT)(n) genotype (P = 0.002) (OR 0.6, 95% CI 0.4-0.9). In
contrast, the -413 HO-1 promoter SNP did not yield any statistically significant
deviation between RA patients and controls, considering either allele or genotype
frequencies. The haplotype analysis showed a strong protective effect of the S/A
haplotype (P = 7 x 10(-7), corrected P [P(corr)] = 3 x 10(-6)) (OR 0.4, 95% CI
0.3-0.6), whereas the L/A haplotype showed the opposite tendency (P = 0.008,
P(corr) = 0.03) (OR 1.2, 95% CI 1.0-1.4). In addition, we demonstrated that
monocytes from individuals carrying the SS (GT)(n) genotype showed a
significantly higher percentage of HO-1 expression than did cells from LL
homozygous individuals (P = 0.0003). CONCLUSION: In this study, we identified the
HO-1 (GT)(n) microsatellite as a new genetic marker involved in RA genetics in
our population.
PMID- 18050211
TI - Treg cells suppress osteoclast formation: a new link between the immune system
and bone.
AB - OBJECTIVE: To investigate whether Treg cells can suppress osteoclast
differentiation, and to define a new potential link between the immune system and
the skeleton. METHODS: Regulatory CD4+,CD25+,Foxp3+ T cells were isolated and
purified from the spleen and cocultured with CD11b+ osteoclast precursor cells
isolated from bone marrow. Osteoclastogenesis and bone erosion were assessed by
tartrate-resistant acid phosphatase staining and pit resorption assay,
respectively. In addition, Transwell experiments and cytokine-blocking
experiments were performed to define the mechanisms of interaction between Treg
cells and osteoclasts. RESULTS: CD4+,CD25+,Foxp3+ T cells, but not CD4+,CD25- T
cells, dose dependently inhibited macrophage colony-stimulating factor- and RANKL
dependent osteoclast formation. Pit formation was inhibited by up to 80% when
Treg cells were added. The blockade of osteoclast formation was not based on the
alteration of RANKL/osteoprotegerin balance but was essentially dependent on
direct cell-cell contact via CTLA-4. Treg cell-mediated expression of
transforming growth factor beta, interleukin-4 (IL-4), and IL-10 contributed but
was not essential to the inhibitory effect on osteoclastogenesis. CONCLUSION:
These data show that CD4+,CD25+,Foxp3+ Treg cells suppress osteoclast formation,
provide a new link between the immune system and bone, and extend our knowledge
on regulation of bone homeostasis by the immune system.
PMID- 18050212
TI - FRZB: a bone and joint connection.
PMID- 18050213
TI - British Isles Lupus Assessment Group 2004 index is valid for assessment of
disease activity in systemic lupus erythematosus.
AB - OBJECTIVE: To determine the construct and criterion validity of the British Isles
Lupus Assessment Group 2004 (BILAG-2004) index for assessing disease activity in
systemic lupus erythematosus (SLE). METHODS: Patients with SLE were recruited
into a multicenter cross-sectional study. Data on SLE disease activity (scores on
the BILAG-2004 index, Classic BILAG index, and Systemic Lupus Erythematosus
Disease Activity Index 2000 [SLEDAI-2K]), investigations, and therapy were
collected. Overall BILAG-2004 and overall Classic BILAG scores were determined by
the highest score achieved in any of the individual systems in the respective
index. Erythrocyte sedimentation rates (ESRs), C3 levels, C4 levels, anti-double
stranded DNA (anti-dsDNA) levels, and SLEDAI-2K scores were used in the analysis
of construct validity, and increase in therapy was used as the criterion for
active disease in the analysis of criterion validity. Statistical analyses were
performed using ordinal logistic regression for construct validity and logistic
regression for criterion validity. Sensitivity, specificity, positive predictive
value (PPV), and negative predictive value (NPV) were calculated. RESULTS: Of the
369 patients with SLE, 92.7% were women, 59.9% were white, 18.4% were Afro
Caribbean and 18.4% were South Asian. Their mean +/- SD age was 41.6 +/- 13.2
years and mean disease duration was 8.8 +/- 7.7 years. More than 1 assessment was
obtained on 88.6% of the patients, and a total of 1,510 assessments were
obtained. Increasing overall scores on the BILAG-2004 index were associated with
increasing ESRs, decreasing C3 levels, decreasing C4 levels, elevated anti-dsDNA
levels, and increasing SLEDAI-2K scores (all P < 0.01). Increase in therapy was
observed more frequently in patients with overall BILAG-2004 scores reflecting
higher disease activity. Scores indicating active disease (overall BILAG-2004
scores of A and B) were significantly associated with increase in therapy (odds
ratio [OR] 19.3, P < 0.01). The BILAG-2004 and Classic BILAG indices had
comparable sensitivity, specificity, PPV, and NPV. CONCLUSION: These findings
show that the BILAG-2004 index has construct and criterion validity.
PMID- 18050214
TI - Involvement of protein kinase Czeta in interleukin-1beta induction of ADAMTS-4
and type 2 nitric oxide synthase via NF-kappaB signaling in primary human
osteoarthritic chondrocytes.
AB - OBJECTIVE: Protein kinase Czeta (PKCzeta), an atypical PKC, has been found to be
transcriptionally up-regulated in human osteoarthritic (OA) articular cartilage.
This study was undertaken to examine the role of PKCzeta in interleukin-1beta (IL
1beta)-induced NF-kappaB signaling in human OA chondrocytes, and ultimately to
better understand its function in the regulation of downstream mediators of
cartilage matrix degradation. METHODS: Pharmacologic inhibitors or genetic
knockdown techniques were used to investigate the role of PKCzeta. Western blot
analysis was used to evaluate phosphorylation of PKCzeta and NF-kappaB.
Quantitative polymerase chain reaction (PCR) and activity assays were used to
evaluate ADAMTS-4 expression and aggrecanase activity, respectively. Quantitative
PCR, biochemical identification, and Western blot analysis were used to evaluate
type 2 nitric oxide synthase (NOS2) and NO production. RESULTS: Phosphorylation
of PKCzeta and NF-kappaB was induced by IL-1beta treatment in a time-dependent
manner, and was specifically inhibited by inhibitors of atypical PKCs. Inhibition
of PKCzeta suppressed IL-1beta-induced up-regulation of ADAMTS-4 messenger RNA
(mRNA) and aggrecanase activity. Inhibitors of atypical PKCs also inhibited IL
1beta-induced NO production and NOS2 mRNA expression, demonstrating a novel link
between PKCzeta and NO production. Furthermore, small interfering RNA- or short
hairpin RNA-mediated knockdown of PKCzeta mRNA resulted in significant repression
of both ADAMTS-4 and NOS2 mRNA expression. CONCLUSION: Our results show that
PKCzeta is involved in the regulation of IL-1beta-induced NF-kappaB signaling in
human OA chondrocytes, which in turn regulates downstream expression of ADAMTS-4
and NOS2. Therefore, inhibition of PKCzeta could potentially regulate the
production of matrix-degrading enzymes as well as NO production and have a
profound effect on disease progression in OA.
PMID- 18050215
TI - Hypoxia-inducible factor 1alpha is involved in the prostaglandin metabolism of
osteoarthritic cartilage through up-regulation of microsomal prostaglandin E
synthase 1 in articular chondrocytes.
AB - OBJECTIVE: To investigate crosslinks between catabolic and anabolic pathways in
articular cartilage by examining the synthesis and distribution pattern of
microsomal prostaglandin E synthase 1 (mPGES-1) in healthy and osteoarthritic
(OA) cartilage and analyzing its functional relationship to hypoxia-inducible
factor 1alpha (HIF-1alpha) in primary articular chondrocytes. METHODS: Normal
cartilage and OA cartilage were subjected to immunohistochemical staining for
mPGES-1 and HIF-1alpha. Isolated chondrocytes were cultivated under 21% or 1%
O(2). Microarray analysis and quantitative reverse transcriptase-polymerase chain
reaction were used to detect genes differentially expressed in chondrocytes
cultured under normoxic compared with hypoxic conditions. Immunoblotting was
conducted to evaluate intracellular protein levels of mPGES and nuclear
accumulation of HIF-1alpha under different oxygen tension levels and with
different stimulatory or inhibitory chemical agents. RESULTS: We found enhanced
levels of expression of the mPGES-1 gene and an increased number of OA
chondrocytes showing staining for mPGES-1 in OA cartilage. Microarray analysis
demonstrated that mPGES-1 was among the genes that were up-regulated to the
greatest degree in primary chondrocytes exposed to 1% O(2). In vitro, hypoxia led
to an enhanced synthesis of mPGES-1, coinciding with a nuclear accumulation of
the transcription factor HIF-1alpha. In chondrocyte culture, stimulation with
dimethyloxaloylglycine promoted the expression of mPGES-1, phosphoglycerate
kinase 1, and cyclooxygenase 2 (COX-2) by stabilizing HIF-1alpha protein levels.
A reduction of mPGES-1 synthesis was detected after treatment with 2
methoxyestradiol, correlating with lower HIF-1alpha activity. In contrast,
synthesis of mPGES-1 was not influenced by treatment with the specific COX-2
inhibitor NS398. CONCLUSION: These findings suggest that the transcription factor
HIF-1alpha is involved in the up-regulation of mPGES-1 and may therefore play an
important role in the metabolism of OA cartilage.
PMID- 18050216
TI - Collagen-induced arthritis as a model of hyperalgesia: functional and cellular
analysis of the analgesic actions of tumor necrosis factor blockade.
AB - OBJECTIVE: There is a disparity in the animal models used to study pain in
rheumatoid arthritis (RA), which tends to be acute in nature, and models used to
assess the pathogenesis of RA. The latter models, like human RA, are lymphocyte
driven and polyarthritic. We assessed pain behavior and mechanisms in collagen
induced arthritis (CIA), the model of preclinical arthritis used most commonly in
the field of immunology. We then validated the model using anti-tumor necrosis
factor (anti-TNF) therapy, which has analgesic effects in models of inflammation
as well as in human RA. METHODS: CIA was induced in DBA/1 mice by immunization
with type II collagen at the base of the tail. Swelling and mechanical and
thermal hyperalgesia were assessed before and for 28 days after the onset of
arthritis. Spontaneous behavior was assessed using an automated activity monitor.
Glial activity was assessed by glial fibrillary acidic protein expression, and
nerve damage was evaluated by activating transcription factor 3 expression. The
actions of anti-TNF therapy on nociception were then evaluated. RESULTS:
Arthritis resulted in a decrease in the threshold for thermal and mechanical
stimuli, beginning on the day of onset. Decreased spontaneous activity was also
observed. A significant increase in the number of hyperplasic spinal cord
astrocytes was observed beginning 10 days after the onset of arthritis. Anti-TNF
therapy was profoundly analgesic, with an efficacy similar to that of
cyclooxygenase 2 inhibition, and reduced astrocyte activity in CIA. CONCLUSION:
This study shows that the CIA model is suitable for testing not only
antiinflammatory but also analgesic drugs for potential use in RA, and highlights
the importance of using appropriate disease models to assess relevant pain
pathways.
PMID- 18050217
TI - It is the best of times; it is the worst of times: is there a way forward? A
plethora of treatment options for rheumatoid arthritis, but critical trial design
issues.
PMID- 18050218
TI - Resemblance of osteophytes in experimental osteoarthritis to transforming growth
factor beta-induced osteophytes: limited role of bone morphogenetic protein in
early osteoarthritic osteophyte formation.
AB - OBJECTIVE: Osteoarthritis (OA) is characterized by cartilage damage, synovial
fibrosis, and osteophyte formation. Both transforming growth factor beta
(TGFbeta) and bone morphogenetic protein 2 (BMP-2) can induce the formation of
osteophytes during OA, but their specific role in this process is unclear. The
purpose of this study was to investigate the respective contributions of TGFbeta
and BMP-2 to OA. METHODS: Mouse knee joints injected with adenovirus (Ad-TGFbeta
or Ad-BMP-2) were compared histologically with knee joints from murine models of
OA (joints injected with collagenase and joints from STR/Ort mice with
spontaneous OA). To further investigate the role of BMP during osteophyte
formation, adenovirus Ad-Gremlin was injected into knee joints that had
previously been injected with Ad-TGFbeta or collagenase. RESULTS: BMP-2 induced
early osteophytes, which bulged from the growth plates on the femur and grew on
top of the patella, whereas TGFbeta induced early osteophyte formation on the
bone shaft beneath the collateral ligament on the femur as well as on top of the
patella. The pattern of osteophyte formation during experimental OA closely
resembled that of TGFbeta-induced osteophyte formation, but differed from the
pattern induced by BMP-2. Ad-Gremlin proved to be able to totally block BMP-2
induced osteophyte formation. However, blocking BMP activity inhibited neither
TGFbeta-induced nor experimental OA-associated osteophyte formation. CONCLUSION:
Our findings demonstrate that the role of BMP during the onset of TGFbeta-induced
and experimental OA-induced osteophyte formation is limited. The latter finding
does not rule out a role of BMP during osteophyte maturation.
PMID- 18050219
TI - Antibody responses to Borrelia burgdorferi in patients with antibiotic
refractory, antibiotic-responsive, or non-antibiotic-treated Lyme arthritis.
AB - OBJECTIVE: To compare the pattern of antibody responses to Borrelia burgdorferi
in patients with antibiotic-refractory, antibiotic-responsive, or non-antibiotic
treated Lyme arthritis as an indirect measure of spirochetal persistence or
eradication. METHODS: At least 3 serial serum samples from 41 patients with
antibiotic-refractory arthritis and 23 patients with antibiotic-responsive
arthritis, and samples from 10 non-antibiotic-treated, historical control
patients were tested for IgG reactivity with B burgdorferi sonicate and 4
differentially expressed outer surface lipoproteins of the spirochete, by enzyme
linked immunosorbent assay. RESULTS: Among non-antibiotic-treated patients,
antibody titers to B burgdorferi antigens remained high throughout a 2-5-year
period of arthritis. In contrast, in patients with antibiotic-responsive
arthritis, in whom joint swelling usually resolved during a 1-month course of
oral antibiotic therapy, the median antibody titers to most of the spirochetal
antigens remained steady or decreased during the first 1-3 months after starting
antibiotic therapy. In patients with antibiotic-refractory arthritis, who had
persistent joint swelling for a median duration of 10 months despite 2-3 months
of oral or intravenous antibiotics, the median titers to most antigens increased
slightly during the first 1-3 months. However, by 4-6 months after starting
antibiotic therapy, reactivity with all antigens declined similarly in both
antibiotic-treated groups. CONCLUSION: Whereas the antibody titers to B
burgdorferi remained high in non-antibiotic-treated patients, the titers declined
similarly 4-6 months after starting therapy in patients with antibiotic
responsive or antibiotic-refractory arthritis, suggesting that synovial
inflammation persisted in patients with antibiotic-refractory arthritis after the
period of infection.
PMID- 18050220
TI - Can sciatica induced by disc herniation be treated with tumor necrosis factor
alpha blockade?
PMID- 18050221
TI - Safety and efficacy of additional courses of rituximab in patients with active
rheumatoid arthritis: an open-label extension analysis.
AB - OBJECTIVE: To determine the safety and efficacy of additional courses of
rituximab in patients with rheumatoid arthritis (RA). METHODS: An open-label
extension analysis of RA patients previously treated with rituximab was
conducted. Patients who had participated in any of 3 double-blind trials were
eligible for additional courses (2 infusions of 1,000 mg given 2 weeks apart) if
they exhibited a swollen joint count and tender joint count of > or =8 with > or
=16 weeks elapsing after the previous course. Safety was assessed in patients
receiving all or a portion of a rituximab course. Efficacy was assessed 24 weeks
after each course, using the American College of Rheumatology 20% criteria for
improvement (ACR20), ACR50, ACR70, European League Against Rheumatism (EULAR)
response criteria, Disease Activity Score in 28 joints, the disability index of
the Health Assessment Questionnaire, and Short Form 36 scores, stratified
according to prior tumor necrosis factor (TNF) inhibitor exposure. RESULTS: A
total of 1,039 patients received > or =1 course of rituximab. Of these, 570
received 2 courses, 191 received 3 courses, and 40 received 4 courses, for a
total of 1,669 patient-years. Irrespective of prior TNF inhibitor exposure, ACR20
responses were comparable at week 24 after course 1 and at week 24 after course 2
(65% versus 72%), as were ACR50 and ACR70 responses. EULAR moderate/good
responses were also comparable in course 2 relative to course 1 (88% versus 79%),
with EULAR remission occurring in a 2-fold higher proportion of patients after
course 2 than after course 1 (13% versus 6%). The most common adverse events,
which were mild-to-moderate acute infusion-related events, decreased with each
course. The serious infection rate after course 1 (5.1 per 100 patient-years)
remained stable through additional courses. The proportion of patients with
circulating IgM and IgG levels below the lower limit of normal (LLN) increased
with subsequent courses; however, serious infection rates in these patients (5.6
per 100 patient-years in patients with low IgM levels and 4.8 per 100 patient
years in patients with low IgG levels were comparable with those in patients with
immunoglobulin levels above the LLN (4.7 per 100 patient-years). Patients with
human antichimeric antibody (9.2%) did not exhibit decreasing efficacy or present
additional safety concerns. CONCLUSION: These findings indicate that patients
treated with repeated courses of rituximab have sustained clinical responses with
no new adverse events.
PMID- 18050222
TI - Immunohistochemical analysis as a means to predict responsiveness to rituximab
treatment.
AB - OBJECTIVE: Anti-CD20-mediated B cell depletion with rituximab is a new and
effective therapy for rheumatoid arthritis (RA). Although B cells in peripheral
blood (PB) are consistently depleted in all patients, the clinical effects are
more heterogeneous, possibly related to differences in the depleting effects of
lymphoid or solid tissues. The aim of this study was to investigate B cell
depletion in different compartments (PB, bone marrow, and synovium) and determine
predictive variables for responsiveness to rituximab therapy. METHODS: Before and
12 weeks after rituximab treatment, samples of PB, bone marrow, and synovium were
collected from 25 patients with RA refractory to disease-modifying antirheumatic
drugs and tumor necrosis factor-blocking agents. CD19+ and CD20+ B cells in PB
and bone marrow were measured by flow cytometric analysis, whereas CD79a+ and
cytoplasmic CD20+ B cells in the synovium were stained by immunohistochemistry.
The effects of rituximab on serum Ig and autoantibodies were measured by enzyme
linked immunosorbent assay. RESULTS: Rituximab effectively depleted the CD20+
subset of B cells in the PB, bone marrow, and synovium of RA patients. Rituximab
significantly reduced autoantibody production (anti-citrullinated protein
antibodies [ACPAs] and rheumatoid factor [RF]), in part due to a nonspecific
decrease in total Ig production. Importantly, positivity for circulating ACPA
IgM, in combination with a high infiltration of CD79a+ B cells in the synovium,
but not of CD138+ plasma cells, was a predictor of clinical outcome after
rituximab treatment. ACPA IgM titers were independently associated with synovial
infiltration of CD20-,CD79a+ B cells, but not with CD138+ plasma cells.
CONCLUSION: These data provide novel insights into the mechanisms of CD20
mediated B cell depletion in the lymphoid and solid tissues of RA patients and
suggest a pivotal role for ACPA IgM-producing plasmablasts in RA.
PMID- 18050223
TI - Articulating a justice ethic for rheumatology: A critical analysis of disparities
in rheumatic diseases.
PMID- 18050224
TI - Warfarin and the antiphospholipid syndrome: does one size fit all?
PMID- 18050225
TI - Measurement of fatigue in systemic lupus erythematosus: a systematic review.
AB - OBJECTIVE: To systematically review fatigue instruments used in patients with
systemic lupus erythematosus (SLE) and to seek consensus on which instruments
should be recommended for future studies and which data on comorbid conditions
should be reported when evaluating fatigue in patients with SLE. METHODS: We used
data from Medline and EMBase databases (from January 1970 to June 2006), clinical
experts, and bibliographies. Data were extracted independently by 4 authors and
reviewed by a working group and larger expert panel to produce a recommendation.
Instruments were examined for construct validity, reliability, and responsiveness
to change. Correlations between fatigue and some components of the Medical
Outcomes Study Short Form 36 (SF-36), disease activity, and comorbidities were
reviewed. RESULTS: We identified 34 studies that used 15 fatigue instruments in
patients with SLE. The Fatigue Severity Scale (FSS) was used in 56% of the
studies. The Systemic Lupus Activity Measure was significantly correlated with
fatigue, but the Systemic Lupus Erythematosus Disease Activity Index was not.
Fatigue was also correlated with pain, poor quality of sleep, depression, and
with each subscale of the SF-36. CONCLUSION: The working group and expert panel
recommend the 9-item FSS for evaluating fatigue in SLE patients. Responsiveness
to change of fatigue instruments has not been well established in SLE and needs
further study. The panel suggested that an important improvement or response
could be a 15% decrease in the FSS. The effect of several important confounding
factors of fatigue such as sleep disorders, depression, fibromyalgia, and anemia
needs to be collected and reported.
PMID- 18050226
TI - Increased apoptosis of peripheral blood lymphocytes and its association with
interleukin-18 in patients with active untreated adult-onset Still's disease.
AB - OBJECTIVE: To determine spontaneous and activation-induced apoptosis of
peripheral blood lymphocytes (PBLs) from patients with active untreated adult
onset Still's disease (AOSD) and to examine the role of interleukin-18 (IL-18)
involved in the apoptosis related to this disease. METHODS: The percentages of
spontaneous and IL-18-stimulated apoptotic lymphocytes in peripheral blood of 20
patients with active untreated AOSD, 20 with active untreated systemic lupus
erythematosus (SLE), and 20 healthy controls were determined using annexin
V/propidium iodide staining and flow cytometry. Serum IL-18 levels were measured
using enzyme-linked immunosorbent assay. The transcripts of caspase 3 gene and
apoptosis-regulating genes, including Fas, FasL, Bcl-2, and p53 in IL-18-treated
peripheral blood mononuclear cells (PBMCs) from 8 AOSD patients, 4 SLE patients,
and 4 healthy controls, were examined by real-time quantitative polymerase chain
reaction. RESULTS: Significantly higher percentages of spontaneous and IL-18
stimulated apoptotic PBLs were found in patients with active untreated AOSD and
those with active untreated SLE than in healthy controls. The percentages of
spontaneous and IL-18-stimulated apoptotic lymphocytes correlated positively with
clinical activity scores and serum IL-18 levels for AOSD patients and SLE
patients. The percentages of spontaneous and activation-induced apoptotic PBLs
significantly declined, paralleling clinical remission and the decrease in serum
IL-18 levels after effective therapy in AOSD patients. Up-regulation of FasL and
p53 transcripts was demonstrated in IL-18-treated PBMCs from AOSD patients and
SLE patients in a dose-dependent manner. CONCLUSION: The increased apoptosis of
PBLs from AOSD patients may be associated with the effect of IL-18 through up
regulation of FasL and p53 transcripts.
PMID- 18050227
TI - Racial/ethnic disparities in patient-reported nonsteroidal antiinflammatory drug
(NSAID) risk awareness, patient-doctor NSAID risk communication, and NSAID risk
behavior.
AB - OBJECTIVE: Nonsteroidal antiinflammatory drugs (NSAIDs) are commonly used and
frequently lead to serious adverse events. Little is known about NSAID-related
ethnic/racial disparities. We focused on differences in patient NSAID risk
awareness, patient-doctor NSAID risk communication, and NSAID risk-avoidance
behavior. METHODS: We performed a cross-sectional analysis of survey data from
the Alabama NSAID Patient Safety Study. Eligible patients were > or = 65 years
old and currently taking prescription NSAIDs (Rx NSAIDS). Generalized linear
latent and mixed models accounted for nesting of patients within physicians.
RESULTS: Of all 404 participants, 32% were African American and 73% were female.
The mean +/- SD age was 72.8 +/- 7.5 years, and 64% reported an annual household
income <$20,000. African American patients were less likely than white patients
to recognize any risk associated with over-the-counter (OTC) NSAIDs (13.3% versus
29.3%; P = 0.001) and Rx NSAIDs (31.3% versus 49.6%; P = 0.001), report that
their doctor discussed possible NSAID-related gastrointestinal problems (38.0%
versus 52.4%; P = 0.007), and take medications to reduce ulcer risk (30.5% versus
50.2%; P = 0.001). Patients with lower income and education reported
significantly less risk awareness for OTC and Rx NSAIDs. Racial/ethnic
differences persisted after adjusting for multiple confounders. CONCLUSION: In
this community-based study of low income elderly individuals receiving NSAIDs, we
identified important racial/ethnic differences in risk awareness, communication,
and behavior. Additional efforts are needed to promote safe NSAID use and reduce
ethnic/racial disparities.
PMID- 18050228
TI - Subspecialty choice: why did you become a rheumatologist?
AB - OBJECTIVE: To determine the reasons trainees choose rheumatology as a
subspecialty and to review the literature on career choices among physicians,
particularly regarding the choice of subspecialty. METHODS: A questionnaire was
designed to identify and analyze factors that influence rheumatology fellows to
join the field of rheumatology. The questionnaire was administered online and
answers were collated through the American College of Rheumatology Training and
Workforce Committee, Subcommittee on Medical Student and Resident Recruitment. We
reviewed the medical literature, using Medline and PubMed to find references to
career choice among medical trainees. RESULTS: The majority of rheumatology
fellows had their initial exposure to rheumatology as second-year and third-year
medical students, and >75% solidified their decision during internship and
residency. Clinical rotations in rheumatology and exposure to role models and
mentors were the most influential factors. Approximately 40% of rheumatology
fellows cite their intellectual interest in the field as the most important
contributor to their decision. CONCLUSION: Career decision-making occurs
throughout medical training. Exposure to clinical experiences and mentors are
particularly influential and may have an impact during medical school, as well as
during internal medicine residency training. These findings suggest that there
are a variety of opportunities throughout medical training to influence career
decision-making and improve recruitment into rheumatology. Additional financial
resources that support recruitment efforts may be required, and followup studies
assessing the effects of these efforts should be done.
PMID- 18050229
TI - Small-vessel and medium-vessel vasculitis.
PMID- 18050230
TI - Sensitivity of the classification of psoriatic arthritis criteria in early
psoriatic arthritis.
PMID- 18050231
TI - Delay in imaging versus clinical response: a rationale for prolonged treatment
with anti-tumor necrosis factor medication in early rheumatoid arthritis.
PMID- 18050232
TI - Short digits...what's up?
PMID- 18050233
TI - Switching tumor necrosis factor alpha inhibitors in HLA-B27-associated severe
heel enthesitis.
PMID- 18050236
TI - Potential treatment of calciphylaxis with vitamin K(2): Comment on the article by
Jacobs-Kosmin and DeHoratius.
PMID- 18050238
TI - Circulating levels of tumor necrosis factor receptors are highly predictive of
mortality in patients with rheumatoid arthritis.
AB - OBJECTIVE: To investigate whether circulating levels of soluble tumor necrosis
factor receptors (sTNFR) are predictive of mortality in rheumatoid arthritis
(RA). METHODS: Levels of sTNFRI and sTNFRII at study entry were quantified using
enzyme-linked immunosorbent assays in sera from 401 white patients with RA
followed up for 13 years. Patients were tracked via the National Health Service
Central Register, and the relationship between sTNFR levels and mortality was
analyzed using a Cox proportional hazards regression model. Hazard ratios (HRs)
and 95% confidence intervals (95% CIs) were calculated. RESULTS: At the end of
the followup period, 132 (32.9%) of 401 patients had died. Of these, 64 (48.5%)
died of cardiovascular disease (CVD). Significant associations between all-cause
mortality and baseline levels of sTNFRI and sTNFRII were identified in men (HR
1.7 [95% CI 1.2-2.4] and HR 1.18 [95% CI 1.05-1.32], respectively) and women (HR
1.33 [95% CI 0.99-1.8] and HR 1.14 [95% CI 1.02-1.28], respectively). Analysis
including levels of both sTNFRI and sTNFRII indicated that the sTNFRII level was
the best overall predictor of mortality. Multivariate analysis also revealed that
the sTNFRII level was a predictor of all-cause and CVD mortality independently of
age, sex, disease duration, C-reactive protein level, erythrocyte sedimentation
rate, rheumatoid factor, nodular disease, modified Health Assessment
Questionnaire score, taking CVD drugs, and smoking. CONCLUSION: Our data indicate
that serum levels of sTNFR are powerful predictors of mortality in RA. Elevated
levels are particularly associated with mortality due to CVD and may be useful
for identifying patients at increased risk of premature death.
PMID- 18050240
TI - Characterization of in vitro metabolites of deoxypodophyllotoxin in human and rat
liver microsomes using liquid chromatography/tandem mass spectrometry.
AB - The in vitro metabolism of deoxypodophyllotoxin (DPT), a medicinal herbal product
isolated from Anthriscus sylvestris (Apiaceae), was investigated in rats and
human microsomes and human recombinant cDNA-expressed CYPs. The incubation of DPT
with pooled human microsomes in the presence of NADPH generated five metabolites
while its incubation with dexamethasone (Dex)-induced rat liver resulted in seven
metabolites (M1-M7) with major metabolic reactions including mono-hydroxylation,
O-demethylation and demethylenation. Reasonable structures of the seven
metabolites of DPT could be proposed, based on the electrospray tandem mass
spectra. Chemical inhibition by ketoconazole and metabolism studies with human
recombinant cDNA-expressed CYPs indicated that CYP 3A4 and 2C19 are the major CYP
isozymes in the metabolism of DPT in human liver microsomes.
PMID- 18050241
TI - Analysis of biologically active compounds in water by ultra-performance liquid
chromatography quadrupole time-of-flight mass spectrometry.
AB - A new method based on ultra-performance liquid chromatography (UPLC) quadrupole
time-of-flight mass spectrometry ((Q-ToF)-MS) was developed for the analysis of
32 biologically active compounds including anti-inflammatories, analgesics, lipid
regulators, psychiatric drugs, anti-ulcer agents, antibiotics, beta-blockers and
phytoestrogens. This new method allows chromatographic analysis in 14 min, with
instrumental detection limits from 2 to 84 pg, and limits of quantification
ranging from 0.1 to 15 ng/L in tap water, and from 2 to 300 ng/L in wastewater.
The potential of liquid chromatography with triple quadrupole mass spectrometry
(LC/QqQ-MS) was compared with that of UPLC/(Q-ToF)-MS for the analysis of
biologically active compounds in water samples. LC/Q-ToF provides accurate mass
information and a significantly higher mass resolution than quadrupole analyzers.
The available mass resolution of ToF instruments diminishes the problem of
isobaric interferences and helps the analysis of trace compounds in complex
samples. In this work UPLC/Q-ToF chromatograms were recorded containing full scan
spectral data. The m/z values of analytes were extracted from the total ion
chromatogram (TIC) and the accurate masses of the compounds were obtained. In
addition, to increase the selectivity of ToF measurements a narrow accurate mass
interval (20 m m/z units mass window) was used to reconstruct the chromatographic
traces. However, regarding quantitative performance in terms of dynamic range and
limits of detection (LODs), typical LODs achieved by QqQ instruments operating in
multiple-reaction monitoring (MRM) mode ranged from 1 to 50 ng/L in wastewater,
and the linear response for QqQ instruments generally covers three orders of
magnitude. This is an important advantage over ToF instruments and one of the
reasons why QqQ instruments are widely used in quantitative environmental
analysis.
PMID- 18050242
TI - Clinical significance of a persistent left superior vena cava.
PMID- 18050243
TI - One-week Short-Term Life Review interview can improve spiritual well-being of
terminally ill cancer patients.
AB - PURPOSE: The primary aim of this study was to assess the efficacy of the Short
Term Life Review on the spiritual well-being, as well as anxiety, depression,
suffering, and happiness of terminally ill cancer patients. METHOD: Thirty
patients reviewed their lives in the first session and they confirmed the
contents in the album based on the life review in the second session. Duration of
the treatment was one week. Measurement instruments included Functional
Assessment Chronic Illness Therapy-Spiritual (FACIT-Sp), Hospital Anxiety and
Depression Scale (HADS), Numeric Rating Scales of Suffering (from 0 to 6) and
Happiness (from 1 to 7). RESULTS: After the therapy, the mean FACIT-Sp scores
increased from 16+/-8.2 to 24+/-7.1, anxiety score significantly decreased from
6.8+/-4.7 to 3.0+/-2.2, depression score significantly decreased from 10.2+/-4.7
to 6.6+/-4.1, suffering score significantly decreased from 3.4+/-1.9 to 1.8+/
1.4, and happiness score significantly increased from 4.6+/-1.9 to 5.6+/-1.6.
Total HADS scores significantly decreased from 17+/-8.6 to 9.5+/-5.4. CONCLUSION:
The Short-Term Life Review is feasible and may be effective in improving the
spiritual and psychosocial well-being of terminally ill cancer patients.
PMID- 18050244
TI - A binary matrix of 2,5-dihydroxybenzoic acid and glycerol produces homogenous
sample preparations for matrix-assisted laser desorption/ionization mass
spectrometry.
AB - We introduce a two-component matrix for ultraviolet matrix-assisted laser
desorption/ionization mass spectrometry (UV-MALDI-MS) that consists of 2,5
dihydroxybenzoic acid (DHB) and glycerol. Upon slow evaporation of residual
water/methanol solvents in a pre-vacuum chamber sample preparations are obtained
that exhibit a homogeneous morphology with analyte-matrix crystals evenly
distributed over the whole sample spot. At a molar DHB/glycerol ratio of
approximately 1:5, the crystals range in length from approximately 100 to 300
microm and are about 15-30 microm wide. Mass spectra of peptides, proteins, and
an oligosaccharide are presented and compared with those recorded from standard
dried-droplet DHB matrix. The ion signals show a reproducibility of the order of
10-15% when scanning the surface of an individual sample or even different
samples that contain the same amount of peptide, A close to linear relationship
between peptide concentration and the corresponding peptide ion signal is found
over three orders of magnitude of sample prepared. However, when a fixed position
is irradiated with a large number of laser pulses, a monotonous decay of peptide
ion signal with time is observed. Potentially, the binary matrix will be
especially useful for the analysis of samples that are stabilized in buffered
aqueous glycerol solution and preliminary results addressing this aspect are
shown.
PMID- 18050245
TI - Randomized placebo-controlled trial of escitalopram and venlafaxine XR in the
treatment of generalized anxiety disorder.
AB - Generalized anxiety disorder (GAD) is a highly prevalent and disabling condition.
Escitalopram and venlafaxine extended release (XR) both are indicated for the
treatment of GAD. Outpatients (ages 18-65 years) with DSM-IV-defined GAD
(Hamilton Anxiety Scale [HAMA] >or=20) were eligible to participate in this
randomized, double-blind, placebo-controlled, multicenter, flexible-dose trial.
Following randomization, patients received 8 weeks of double-blind treatment with
escitalopram (10-20 mg/day; N=127), venlafaxine XR (75-225 mg/day; N=129), or
placebo (N=136). The primary efficacy parameter was mean change from baseline at
week 8 in HAMA total score, using the Last Observation Carried Forward (LOCF)
approach. Secondary efficacy parameters were HAMA psychic anxiety subscale,
Clinical Global Impressions of Severity (CGI-S) and Improvement (CGI-I) scales.
Treatment was completed by 77% of patients. The least square mean difference for
change from baseline at week 8 in HAMA total score for escitalopram and
venlafaxine XR versus placebo were -1.52 (P=.09) and -2.27 (P=.01), respectively,
for LOCF, and -1.92 (P=.033) and -3.02 (P=.001), respectively, for Observed Cases
(OC). On all secondary parameters, both active treatments were significantly
superior to placebo on the LOCF and OC analyses. Discontinuation due to adverse
events was not different for escitalopram versus placebo (7 versus 5%, P=.61),
but was significantly greater for venlafaxine XR (13%) versus placebo (P=.03).
Venlafaxine XR, but not escitalopram, separated from placebo on the primary
efficacy measure, using the LOCF approach. However, overall efficacy analyses
suggest that escitalopram and venlafaxine XR are both effective treatments for
GAD. Escitalopram was better tolerated.
PMID- 18050246
TI - Functional magnetic resonance imaging assessment of cognitive function in
childhood-onset systemic lupus erythematosus: a pilot study.
AB - OBJECTIVE: To investigate changes in brain activation patterns detected by
functional magnetic resonance imaging (FMRI), and the relationship between FMRI
activation patterns and results of formal neuropsychological testing, in patients
with childhood-onset systemic lupus erythematosus (SLE). METHODS: Ten patients
with childhood-onset SLE underwent formal neuropsychological testing and FMRI
using 3 paradigms: a continuous performance task (CPT) to evaluate attention, an
N-Back task to assess working memory, and verb generation to evaluate language
processing. Composite Z maps were generated to summarize the brain activation
patterns for each FMRI paradigm in patients with childhood-onset SLE and to
compare these patterns with those observed in healthy controls. Between-group
comparison Z maps showing differences in activation between childhood-onset SLE
patients and controls were generated, using a significance level of P < 0.05 in a
general linear model. RESULTS: Compared with the control group, the childhood
onset SLE group showed statistically significant increased activation of brain
areas involved in the CPT, N-Back, and verb generation tasks. In contrast, in the
absence of active stimulus, e.g., during times of the paradigm control tasks,
childhood-onset SLE patients consistently undersuppressed activity in the
expected brain areas. Activation in selected cortical areas was found to
correlate negatively with results of a subset of individual neuropsychological
test scores. CONCLUSION: FMRI abnormalities are present in childhood-onset SLE,
manifesting as an imbalance between active and inhibitory responses to an array
of stimuli. Differences in brain activation patterns compared with those observed
in controls suggest that childhood-onset SLE may be associated with abnormalities
in white matter connectivity resulting in neuronal network dysfunction, rather
than injury of specific gray matter areas.
PMID- 18050247
TI - Identification of novel susceptibility genes in childhood-onset systemic lupus
erythematosus using a uniquely designed candidate gene pathway platform.
AB - OBJECTIVE: Childhood-onset systemic lupus erythematosus (SLE) presents a unique
subgroup of patients for genetic study. The present study was undertaken to
identify susceptibility genes contributing to SLE, using a novel candidate gene
pathway microarray platform to investigate gene expression in patients with
childhood-onset SLE and both of their parents. METHODS: Utilizing bioinformatic
tools, a platform of 9,412 single-nucleotide polymorphisms (SNPs) from 1,204
genes was designed and validated. Molecular inversion probes and high-throughput
SNP technologies were used for assay development. Seven hundred fifty three
subjects, corresponding to 251 full trios of childhood-onset SLE families, were
genotyped and analyzed using transmission disequilibrium testing (TDT) and
multitest corrections. RESULTS: Family-based TDT showed a significant association
of SLE with a N673S polymorphism in the P-selectin gene (SELP) (P = 5.74 x 10(
6)) and a C203S polymorphism in the interleukin-1 receptor-associated kinase 1
gene (IRAK1) (P = 9.58 x 10(-6)). These 2 SNPs had a false discovery rate for
multitest correction of <0.05, and therefore a >95% probability of being
considered as proven. Furthermore, 7 additional SNPs showed q values of <0.5,
suggesting association with SLE and providing a direction for followup studies.
These additional genes notably included TNFRSF6 (Fas) and IRF5, supporting
previous findings of their association with SLE pathogenesis. CONCLUSION: SELP
and IRAK1 were identified as novel SLE-associated genes with a high degree of
significance, suggesting new directions in understanding the pathogenesis of SLE.
The overall design and results of this study demonstrate that the candidate gene
pathway microarray platform used provides a novel and powerful approach that is
generally applicable in identifying genetic foundations of complex diseases.
PMID- 18050248
TI - Acute Kawasaki disease is associated with reverse regulation of soluble receptor
for advance glycation end products and its proinflammatory ligand S100A12.
AB - OBJECTIVE: Receptor for advanced glycation end products (RAGE) serves as a
pattern recognition receptor for several endogenous ligands that are potent
inducers of inflammation. By activating endothelial cells and leukocytes, RAGE
augments recruitment of leukocytes to sites of inflammation, which is a key
process, especially in vasculitis. Soluble RAGE (sRAGE) acts as a naturally
occurring inhibitor of RAGE by neutralizing proinflammatory ligands, e.g.,
S100A12. This neutrophil-derived protein has been reported to be associated with
Kawasaki disease (KD) and to provoke proinflammatory responses. The aim of this
study was to investigate circulating sRAGE in an acute inflammatory disorder and
to compare these data directly with concentrations of the proinflammatory RAGE
ligand S100A12. METHODS: Serum concentrations of sRAGE and S100A12 were analyzed
by specific enzyme-linked immunosorbent assays in 50 children with KD, and
additionally in 39 patients with juvenile idiopathic arthritis (JIA). In 28 of
the patients with KD, levels were analyzed longitudinally over the course of the
disease. RESULTS: Patients with KD and those with systemic-onset JIA had
decreased levels of sRAGE during active disease, especially those patients with
KD who were more severely affected and not responding to treatment. In addition,
the level of sRAGE correlated negatively with the level of proinflammatory
S100A12. After intravenous immunoglobulin (IVIG) therapy in patients with KD, the
S100A12:sRAGE ratio was significantly different between responders and
nonresponders. CONCLUSION: Inverse regulation of both sRAGE and its
proinflammatory ligand S100A12 seems to be a relevant molecular mechanism
promoting systemic inflammation. Calculating the S100A12:sRAGE ratio might help
to detect patients with KD who are at risk of being unresponsive to IVIG therapy.
PMID- 18050249
TI - Elevated CD16 expression by monocytes from patients with tumor necrosis factor
receptor-associated periodic syndrome.
AB - OBJECTIVE: Tumor necrosis factor receptor-associated periodic syndrome (TRAPS) is
an inherited autosomal-dominant autoinflammatory condition caused by mutations in
the ectodomain of the 55-kd tumor necrosis factor (TNF) receptor superfamily 1A.
Proinflammatory blood monocytes with the phenotype CD14+,CD16+,HLA-DR++ are a
major source of TNF, and the number of such monocytes is increased during
infection and inflammation. The aim of this study was to investigate whether the
expression of circulating CD16+ monocytes is affected in patients with TRAPS.
METHODS: Peripheral blood obtained from patients with TRAPS and healthy control
subjects was stained with monoclonal antibodies to detect CD14++,CD16- monocytes
and CD14+,CD16+ monocytes, using flow cytometry. Lipopolysaccharide-induced TNF
production was measured by intracellular cytokine staining. Activation-induced
shedding of CD16 was investigated by treating blood samples with phorbol
myristate acetate. RESULTS: The level of CD16 expression by CD14+,CD16+
monocytes, but not their absolute number, was significantly elevated in patients
with TRAPS, even though the patients were not experiencing clinically overt
episodes of autoinflammation at the time of sampling. These findings are similar
to those for the C-reactive protein levels and erythrocyte sedimentation rates in
the same patients. The enhanced level of CD16 expression by monocytes from
patients with TRAPS was not attributable to a defect in activation-induced
shedding of CD16. The CD14+,CD16+ monocytes were the predominant source of TNF in
both patients and healthy control subjects. CONCLUSION: The level of CD16
expression by monocytes was elevated in patients with TRAPS, as a feature of the
underlying constitutive inflammation status.
PMID- 18050250
TI - Endothelin is a downstream mediator of profibrotic responses to transforming
growth factor beta in human lung fibroblasts.
AB - OBJECTIVE: Fibrosis is excessive scarring caused by the accumulation and
contraction of extracellular matrix proteins and is a common end pathway in many
chronic diseases, including scleroderma (systemic sclerosis [SSc]). Indeed,
pulmonary fibrosis is a major cause of death in SSc. Transforming growth factor
beta (TGFbeta) induces endothelin 1 (ET-1) in human lung fibroblasts by a Smad
independent, JNK-dependent mechanism. The goal of this study was to assess
whether ET-1 is a downstream mediator of the profibrotic effects of TGFbeta in
lung fibroblasts. METHODS: We used a specific endothelin receptor antagonist to
determine whether ET-1 is a downstream mediator of TGFbeta responses in lung
fibroblasts, using microarray technology, real-time polymerase chain reaction,
and Western blot analyses. RESULTS: The ability of TGFbeta to induce the
expression of a cohort of profibrotic genes, including type I collagen,
fibronectin, and CCN2, and to contract a collagen gel matrix, depends on ET-1.
CONCLUSION: ET-1 contributes to the ability of TGFbeta to promote a profibrotic
phenotype in human lung fibroblasts, consistent with the notion that endothelin
receptor antagonism may be beneficial in controlling fibrogenic responses in lung
fibroblasts.
PMID- 18050251
TI - Persistence of abnormal bronchoalveolar lavage findings after cyclophosphamide
treatment in scleroderma patients with interstitial lung disease.
AB - OBJECTIVE: Bronchoalveolar lavage (BAL) is a procedure for sampling the terminal
airspace cell population to diagnose alveolitis, a condition that predicts
changes in lung function in scleroderma patients. Cyclophosphamide (CYC)
stabilizes the progression of lung disease in some, but not all, patients with
active alveolitis. However, it is unknown whether the BAL fluid cell count
obtained after CYC treatment of alveolitis predicts long-term lung function
outcomes and can therefore be used to assist in therapeutic decision-making. The
purpose of this study was to determine whether CYC therapy for active lung
disease alters BAL fluid neutrophil and eosinophil counts and whether the
persistence of abnormal BAL findings after CYC therapy predicts a decline in lung
function in patients with scleroderma and interstitial lung disease (ILD).
METHODS: We systematically reviewed the records of scleroderma patients who had
active ILD, as evidenced by neutrophilia or eosinophilia on BAL fluid analysis
before CYC therapy and on repeat analysis after completion of CYC. Pulmonary
function tests (PFTs) were performed before initiation of therapy, at completion
of therapy, and during long-term followup (mean +/- SD 3.6 +/- 1.94 years).
RESULTS: Of the 25 study patients, in only 6 did the BAL fluid cell counts
normalize after CYC therapy. No significant differences were observed between the
proportions of patients who had a > or =10% decline in the % predicted DLCO or
FVC on the second set of PFTs and had abnormal findings on followup BAL fluid
analysis. During long-term followup, patients with persistent alveolitis had a
decline in lung function (mean +/- SD change in % predicted FVC -0.6 +/- 10.8
liters and mean +/- SD change in % predicted DLCO -4.7 +/- 21.43 ml/minute/mm
Hg), but their lung function did not significantly differ from that in patients
whose BAL fluid cell counts had normalized (P = 0.70 and P = 0.62, respectively).
CONCLUSION: Persistently abnormal results on BAL fluid analysis following CYC
treatment is a common finding and does not predict a subsequent decline in lung
function.
PMID- 18050252
TI - Hypoxia-induced increase in the production of extracellular matrix proteins in
systemic sclerosis.
AB - OBJECTIVE: Insufficient angiogenesis with tissue ischemia and accumulation of
extracellular matrix are hallmarks of systemic sclerosis (SSc). Based on the
severely decreased oxygen levels in the skin of patients with SSc, we aimed to
investigate the role of hypoxia in the pathogenesis of SSc. METHODS: Subtractive
hybridization was used to compare gene expression in dermal fibroblasts under
hypoxic and normoxic conditions. Dermal fibroblasts were further characterized by
exposure to different concentrations of oxygen and for different time periods as
well as by interference with hypoxia-inducible factor 1alpha (HIF-1alpha). The
systemic normobaric hypoxia model in mice was used for in vivo analyses. RESULTS:
Several extracellular matrix proteins and genes involved in extracellular matrix
turnover, such as thrombospondin 1, proalpha2(I) collagen, fibronectin 1, insulin
like growth factor binding protein 3, and transforming growth factor beta-induced
protein, were induced by hypoxia in SSc and healthy dermal fibroblasts. The
induction of these genes was time- and dose-dependent. Experiments with HIF
1alpha-knockout mouse embryonic fibroblasts, deferoxamine/cobalt ions as chemical
stabilizers of HIF-1alpha, and HIF-1alpha small interfering RNA consistently
showed that extracellular matrix genes are induced in dermal fibroblasts by HIF
1alpha-dependent, as well as HIF-1alpha-independent, mechanisms. Using the
systemic normobaric hypoxia mouse model, we demonstrated that dermal hypoxia
leads to the induction of the identified extracellular matrix genes in vivo after
both short exposure and prolonged exposure to hypoxia. CONCLUSION: These data
show that hypoxia contributes directly to the progression of fibrosis in patients
with SSc by increasing the release of major extracellular matrix proteins.
Targeting of hypoxia pathways might therefore be of therapeutic value in patients
with SSc.
PMID- 18050257
TI - Osteoporosis, vitamin D deficiency, and supplementation in juvenile systemic
lupus erythematosus: comment on the article by Compeyrot-Lacassagne et al.
PMID- 18050253
TI - Drug-specific and time-dependent risks of bacterial infection among patients with
rheumatoid arthritis who were exposed to tumor necrosis factor alpha antagonists.
PMID- 18050258
TI - Serum amyloid P and fibrosis in systemic sclerosis: comment on the article by
Tennent et al.
PMID- 18050260
TI - Cancer, mental disorders, suicidal ideation and attempts in a large community
sample.
AB - PURPOSE: To determine the association between cancer diagnosis, mental disorders
and suicidal behavior among community dwelling adults. METHODS: Data were drawn
from the nationally representative Canadian Community Health Survey Cycle 1.2
(N=36 984, response rate 77%, age 15+). Respondents were grouped into three age
groups (15-54, 55-74, and 75+ years), and multiple regression analyses were
conducted to examine the relationship between cancer and mental disorders:
unadjusted and adjusted for sociodemographics, social supports and other mental
disorders. RESULTS: Among respondents aged 15-54, cancer was associated with
increased odds of major depression (odds ratio [OR]=3.18; 95% confidence interval
[CI]: 1.69-5.96), panic attacks (OR=2.15; 95% CI: 1.22-3.77) and any mental
disorder. Among respondents aged 55-75, cancer was associated with increased odds
of agoraphobia (OR=5.94; 95% CI: 1.68-21.03) and decreased odds of social phobia
(OR=0.22; 95% CI: 0.06-0.80). Cancer was not associated with any mental disorder
in the 75+ age group. Results persisted after adjustments for the covariates.
Suicidal ideation was associated with cancer in the 55-74 age group (OR=5.07; 95%
CI: 1.25-20.47) in unadjusted models; however, this relationship became non
significant when adjusting for the other covariates. CONCLUSION: Clinicians
should consider screening for depression and panic disorder in young, community
dwelling patients with cancer.
PMID- 18050261
TI - Study on the formation of an amoxicillin adduct with methanol using electrospray
ion trap tandem mass spectrometry.
AB - The possibility of using the protonated methanol-adduct of antimicrobial
amoxicillin for its identification and quantification at residue levels has been
investigated, since it is impossible to completely suppress the formation of
these adducts when methanol is present in the solvent system. This process has
been monitored over time and as a function of concentration. It was determined
that adducts were instantly formed and that the abundance of the protonated
methanol-adduct at m/z 398 increased at the expense of the protonated molecule
m/z 366 with storage time. The effect of several common solvents and mobile-phase
additives on the ionization efficiency of amoxicillin and the formation of the
methanol adduct has also been investigated. It was shown that the mass spectra of
amoxicillin were strongly influenced by the solvent in which the analyte is
dissolved and by the analyte concentration, as well as by the composition of
mobile phase. Methanol was determined to be the best spray solvent, as it
provided spectra with the lowest abundance of dimer ions. It was also determined
that acetic acid as the mobile-phase additive provided the highest signal
intensities, while ammonium acetate should not be used as an additive for the
determination of amoxicillin at residue levels. Using high-performance liquid
chromatography/electrospray ionization tandem mass spectrometry (HPLC/ESI-MS/MS),
fragmentation of the protonated molecules and the protonated methanol-adduct
ions, in both positive and negative ion mode, has been performed. The
fragmentation was stable and strong product ion spectra were obtained. The
linearity of the MS detector response, and that of the chromatographic method,
was tested. Due to the linear behaviour it was concluded that the protonated
methanol-adduct ion can be used for analytical purposes, i.e. for identification
and quantification of amoxicillin at trace levels.
PMID- 18050262
TI - Serotonin transporter polymorphism (5-HTTLPR) association with melancholic
depression: a female specific effect?
AB - Earlier studies yielded inconsistent results on the association between variation
in the serotonin transporter (5-HTT) gene and depression, with evidence for a
differential effect of the 5-HTTLPR on melancholic versus atypical depression. To
further delineate the impact of 5-HTT gene variation on psychopathology in
depression, in this analysis the influence of the 5-HTTLPR and the functionally
closely related 5-HTT rs25531 was investigated in 340 Caucasian patients with a
major depressive episode (DSM-IV) with particular attention to the subtype of
depression (melancholic depression versus atypical depression) applying logistic
regression models adjusted for age and gender. The homozygous, more active 5
HTTLPR LL genotype was significantly associated with melancholic depression (odds
ratio, OR, 1.7; 95% confidence interval, CI, 1.1-2.6; P=0.04), with the effect
originating in the female subgroup of patients (OR 1.9; 95%CI 1.0-3.4; P=0.05).
Also, the more active 5-HTTLPR/5-HTT rs25531 haplotype L(A)L(A) conveyed a
significant risk for melancholic depression (OR 2.0; 95%CI 1.3-3.1; P=0.001),
again only in the female subsample of patients (OR 2.1; 95%CI 1.1-4.1; P=0.02).
The present results provide further support for an association of genetic
variation increasing serotonin transporter activity with the melancholic subtype
of depression as well as evidence for a potential female-specific mechanism
underlying this effect.
PMID- 18050263
TI - Maintaining and optimising anti-TNF therapy.
PMID- 18050266
TI - Process intensification of whole-cell biocatalysis with ionic liquids.
AB - The unique properties of room temperature ionic liquids such as non-volatility,
nonflammability, and, in many cases, high thermal and chemical stability have
made them an environmentally attractive alternative to organic solvents. Biphasic
biocatalytic processes with nonmiscible liquid phases are usually applied with
whole-cell biocatalysts to overcome low water solubility of substrates or
products, inhibitory effects of the reactants on the biocatalyst, or low
stability of substrates or products in the aqueous phase. The surprising
noninvasive effects on cellular membranes of hydrophobic ionic liquids especially
of those with hexafluorophosphate and bis(trifluoromethylsulfonyl)imide anions in
biphasic ionic liquid/water systems make them superior to many organic solvents
so far applied in whole-cell biotransformations. Impressive process
intensification in simple biphasic batch processes was recently demonstrated on
the examples of asymmetric synthesis with whole-cell biocatalysts, if the
distribution coefficients of inhibitory substrates and products between ionic
liquids and aqueous phase exceed a log D of about 2 (e.g., ketones and beta
ketoesters with lipophilic side chains and their corresponding chiral alcohols).
PMID- 18050264
TI - Ferutinin stability in human plasma and interaction with human serum albumin.
AB - Ferutinin is a potent phytoestrogen extracted from plants of the genus Ferula.
The biological activity of this sesquiterpene is associated with the
esterification of p-hydroxybenzoic acid with the daucane alcohol,
jaeschkeanadiol. A HPLC method was developed to investigate the stability of
ferutinin in acidic and basic solutions (pH 1.5 and 9.0, respectively), in buffer
(pH 7.4) as well as in serial dilutions of albumin and in human plasma. The
degradation of ferutinin was relatively slow at physiological pH 7.4 compared
with low or high pH. Ferutinin was fully stable in human plasma as well as in
albumin solution and the stability increased with albumin concentration. The
binding of ferutinin to albumin was investigated by fluorescence spectroscopy.
Ferutinin decreased the fluorescence of HSA and that of the only tryptophan
residue located in domain IIA. As a result of the interaction between ferutinin
and albumin, the binding of bilirubin decreased. The stability of ferutinin in
plasma is attributable to ferutinin-albumin binding.
PMID- 18050267
TI - Alpha4/3 conotoxins: phylogenetic distribution, functional properties, and
structure-function insights.
AB - This review examines the alpha4/3 conotoxins as an example of molecular diversity
in a class of compounds that have evolved in a group of closely related species
in a single phylogenetic lineage. The species examined belong to Stephanoconus, a
clade of Conus, a genus that contains 500-700 different species of carnivorous
marine snails. We examine earlier work that describes the identification and
characterization of alpha-ImI, the founding alpha4/3 toxin, and two other
alpha4/3 toxins, alpha-ImII and alpha-RgIA. These three toxins all inhibit
nicotinic acetylcholine receptors (nAChRs) belonging to a subset of nAChRs that
are composed of only alpha subunits; they are, however, diverse in terms of the
all-alpha subtype they preferentially antagonize and the receptor site that they
bind to. We thus speculate that the alpha4/3 toxins may be a rich source of
functionally diverse all-alpha subunit nAChR inhibitors. We review extensive work
that has established a detailed model for alpha-ImI binding to one of its
preferred nAChR subtypes (the alpha7 nAChR) and, by comparing the alpha-ImI,
alpha-ImII and alpha-RgIA sequences demonstrate how structural features of
alpha4/3 peptides that account for their diverse functional properties can be
identified. This approach is extended to derive models of receptor-toxin binding
that may account for the different subtype specificities of alpha4/3 peptides. We
also speculate on how rational modification of alpha4/3 toxins may allow
engineering of ligands with desired subtype specificities. The chemical diversity
produced by the closely related animals in Stephanoconus is thus functionally
differentiated, although structurally homologous.
PMID- 18050270
TI - Requirement of secondary lymphoid tissues for the induction of primary and
secondary T cell responses against Listeria monocytogenes.
AB - Activation of naive T cells is tightly controlled and depends on cognate
interactions with professional antigen-presenting cells. We analyzed dependency
on secondary lymphoid tissues for the activation of naive and memory CD4(+) and
CD8(+) T cells following primary and secondary Listeria monocytogenes infection,
respectively. In splenectomized lymphotoxin-beta receptor-deficient mice, lacking
all secondary lymphoid tissues, oral infection with L. monocytogenes failed to
induce bacteria-specific CD4(+) and CD8(+) T cell responses. Treatment of
splenectomized wild-type mice with FTY720, a drug that prevents egress of T cells
from lymph nodes, also reduced T cell responses after oral L. monocytogenes
infection and blocked T cell responses after intravenous infection. FTY720
treated wild-type and lymphotoxin-beta receptor-deficient mice show only slightly
impaired recall responses. However, T cell responses were profoundly inhibited
when mice were splenectomized subsequently to recovery from primary infection. T
cell transfer experiments demonstrated that the impaired secondary T cell
response was not simply due to removal of a large fraction of memory T cells by
splenectomy. Overall, these results indicate that not only primary T cell
responses, but also secondary T cell responses, highly depend on the lymphoid
environment for effective activation.
PMID- 18050271
TI - Expression of PI(4,5)P2-binding proteins lowers the PI(4,5)P2level and inhibits
FcgammaRIIA-mediated cell spreading and phagocytosis.
AB - We found that FcgammaRII-mediated cell spreading and phagocytosis were correlated
with an increase of phosphatidylinositol 4,5-bisphosphate [PI(4,5)P(2)] level in
cells. During the spreading, a long-lasting elevation of PI(4,5)P(2) and
concomitant actin polymerization occurred. Filopodia and lamellae of spreading
cells were enriched in phosphatidylinositol 4-phosphate 5-kinase Ialpha (PIP5
kinase Ialpha) that colocalized with PI(4,5)P(2 )and actin filaments. Both
spreading and phagocytosis were inhibited by expression of the C(374-440)
fragment of PIP5-kinase Ialpha or the pleckstrin homology domain of phospholipase
Cdelta(1 )(PLCdelta(1)-PH), two probes binding PI(4,5)P(2). These probes reduced
the amount of PI(4,5)P(2) in the cells, evoked reorganization of the actin
cytoskeleton and abolished PI(4,5)P(2) elevation during phagocytosis.
Simultaneously, PLCdelta(1)-PH-GFP reduced the amount of PIP5-kinase Ialpha
associated with the plasma membrane. In vitro studies demonstrated that PIP5
kinase Ialpha-GST bound PI(4,5)P(2), phosphatidylinositol 4-monophosphate, and
less efficiently, phosphatidic acid. The data suggest that the PLCdelta(1)-PH
domain, and possibly also the C(374-440) fragment, when expressed in cells, can
compete with endogenous PIP5-kinase Ialpha for PI(4,5)P(2 )binding in the plasma
membrane leading eventually to PI(4,5)P(2) depletion.
PMID- 18050272
TI - Characterization of the encephalitogenic immune response in a model of multiple
sclerosis.
AB - Experimental autoimmune encephalomyelitis (EAE) can be actively induced with the
extracellular domain of myelin oligodendrocyte glycoprotein (MOG 1-125). MOG-EAE
closely mimics multiple sclerosis (MS) especially as far as demyelination, lesion
formation and axonal pathology are concerned. MOG 91-108 is the encephalitogenic
stretch within MOG 1-125 in two EAE-susceptible MHC congenic LEW rat strains
[LEW.1AV1 (RT1(av1)) and LEW.1N (RT1(n))] and DA (RT1(av1)) rats. In LEW.1AV1
rats, disease could be induced with MOG 96-104 and to a lesser extent with MOG 98
106, whereas in LEW.1N rats, only MOG 98-106 was pathogenic. Both peptides bound
well to their restricting MHC class II molecules, i.e., RT1.D(n) in the LEW.1N
rat and RT1.B(a) in the LEW.1AV1 rat. TCR spectratyping of MOG 91-108 immunized
LEW.1N, LEW.1AV1 and DA rats revealed that MHC class II determined the TCRBV
preference of CNS infiltrating T cells. The data demonstrate that the most
critical factor in inducing MS like pathology is presentation of autoantigenic
peptides on MHC class II molecules resulting in demyelination and axonal
pathology.
PMID- 18050273
TI - Natural killer T cells and innate immune B cells from lupus-prone NZB/W mice
interact to generate IgM and IgG autoantibodies.
AB - Lupus-prone NZB/W F1 mice develop glomerulonephritis after T helper cell
dependent isotype switching of autoantibody secretion from IgM to IgG at about 6
months of age. We compared innate immune natural killer (NK) T cells and
conventional T cells for their capacity to help spontaneous in vitro
immunoglobulin and autoantibody secretion of innate immune (B-1 and marginal
zone) and conventional (follicular) B cell subsets from NZB/W F1 mice. We found
that purified NKT cells not only increased spontaneous secretion of IgM and IgM
anti-double-stranded (ds)DNA antibodies by B-1 and marginal zone B cells, but
also facilitated secretion of IgG anti-dsDNA antibodies predominantly by B-1 B
cells. Few IgM or IgG anti-dsDNA antibodies were secreted by follicular B cells,
and conventional T cells failed to provide potent helper activity to any B cell
subset. All combinations of T and B cell subsets from normal C57BL/6 mice failed
to generate vigorous IgM and IgG secretion. NZB/W NKT cell helper activity was
blocked by anti-CD1 and anti-CD40L mAb. In conclusion, direct interactions
between innate immune T and B cells form a pathway for the development of IgM and
IgG lupus autoantibody secretion in NZB/W mice.
PMID- 18050274
TI - Elastic image registration of 2-D gels for differential and repeatability
studies.
AB - One of the main applications of electrophoretic 2-D gels is the analysis of
differential responses between different conditions. For this reason, specific
spots are present in one of the images, but not in the other. In some other
occasions, the same experiment is repeated between 2 and 12 times in order to
increase statistical significance. In both situations, one of the major
difficulties of these analysis is that 2-D gels are affected by spatial
distortions due to run-time differences and dye-front deformations, resulting in
images that are significantly dissimilar not only because of their content, but
also because of their geometry. In this technical brief, we show how to use free,
state-of-the-art image registration and fusion algorithms developed by us for
solving the problem of comparing differential expression profiles, or computing
an "average" image from a series of virtually identical gels.
PMID- 18050275
TI - Opposite pathobiochemical fate of pyruvate kinase and adenylate kinase in aged
rat skeletal muscle as revealed by proteomic DIGE analysis.
AB - Sarcopenia is the drastic loss of skeletal muscle mass and strength during
ageing. In order to better understand the molecular pathogenesis of age-related
muscle wasting, we have performed a DIGE analysis of young adult versus old rat
skeletal muscle. Proteomic profiling revealed that out of 2493 separated 2-D
spots, 69 proteins exhibited a drastically changed expression. Age-dependent
alterations in protein abundance indicated dramatic changes in metabolism,
contractile activity, myofibrillar remodelling and stress response. In contrast
to decreased levels of pyruvate kinase (PK), enolase and phosphofructokinase, the
mitochondrial ATP synthase, succinate dehydrogenase, malate dehydrogenase,
isocitrate dehydrogenase and adenylate kinase (AK) were increased in senescent
fibres. Higher expression levels of myoglobin and fatty acid binding-protein
indicated a shift to more aerobic-oxidative metabolism in a slower-twitching aged
fibre population. The drastic increase in alphaB-crystallin and myotilin
demonstrated substantial filament remodelling during ageing. An immunoblotting
survey of selected muscle proteins confirmed the pathobiochemical transition
process in aged muscle metabolism. The proteomic analysis of aged muscle has
identified a large cohort of new biomarkers of sarcopenia including opposite
changes in PK and AK, which might be useful for the design of improved diagnostic
procedures and/or therapeutic strategies to counteract ageing-induced muscle
degeneration.
PMID- 18050277
TI - Proteomic analysis of the proteins regulated by HrpB from the plant pathogenic
bacterium Burkholderia glumae.
AB - Plant pathogenic bacteria transfer effector proteins into plant cells via the
hypersensitive response and pathogenicity (Hrp) type III protein secretion system
(T3SS) during infection. The genes encoding the Hrp T3SS are expressed only under
plant apoplast-mimicking conditions in an AraC-type transcriptional activator
HrpB-dependent manner. To identify the proteins controlled by HrpB in
Burkholderia glumae in vitro, we constitutively expressed hrpB and analyzed the
proteins showing altered expression using 2-DE and ESI-MS/MS. Among 46 proteins
exhibiting consistently altered expression, which were encoded by 34 different
genes, 34 were secretory proteins and 12 were cytoplasmic. Twenty-eight of the
secreted proteins showed increased accumulation, whereas the other six showed
decreased accumulation. None of the HrpB-dependent proteins had significant
homology to known T3SS-dependent proteins, except for HrpK from Pseudomonas
syringae pv. syringae and two T3SS-associated cytoplasmic proteins from Ralstonia
solanacearum. Twenty-one of the 34 genes had putative HrpB-binding sequences in
their upstream regulatory regions. Secretion of all 34 extracellular proteins was
independent of the Hrp T3SS, and 16 were secreted via a type II protein secretion
system (T2SS). Mutants lacking the T2SS or Hrp T3SS produced toxoflavin but were
less virulent to rice panicles, indicating the importance of these proteins in
pathogenicity.
PMID- 18050278
TI - Methodological aspects of in vitro sensing of L-glutamate in acute brain slices.
AB - L-Glutamate is a major amino acid neurotransmitter in the central neuronal system
of the mammalian brain and plays a vital role in brain development, synaptic
plasticity, neurotoxicity, and neuropathological disorders. Despite technical
limitations, progress is being made in sensing L-glutamate in vivo and in vitro.
Sophisticated microsensors with the necessary spatial and temporal resolution
have recently been emerging, which enable us to discern regional distribution,
concentration levels, and temporal changes of L-glutamate in acute brain slices.
The L-glutamate sensors for in vitro sensing have different structures and sizes,
such as glass capillary-based enzyme sensors, polymer-coated enzyme sensors, and
patch sensors based on natural sensing probes. The concentration of L-glutamate
released in brain slices by chemical stimulation is markedly dependent on
neuronal regions, types of stimulation, and sensing methods. Real- and long-time
monitoring of L-glutamate in acute hippocampal slices is beginning to shed light
on L-glutamate release related to the molecular mechanisms of long-term
potentiation. Progress is also being made toward the visualization of L-glutamate
release in acute hippocampal slices. The methodological aspects of in vitro
sensing of L-glutamate are discussed.
PMID- 18050279
TI - Cyclotrimerization reactions of arynes and strained cycloalkynes.
AB - The use of arynes and related species as substrates in metal-catalyzed
cycloaddition reactions leads to structurally interesting products. Palladium
catalyzed cyclotrimerization of arynes provides a new method for the synthesis of
polycyclic aromatic hydrocarbons. For instance, the chemoselective formal [2 + 2
+ 2] cocycloaddition of 2,3-triphenylynes with alkynes affords extended
triphenylenes, which are good candidates to behave as liquid crystals.
Cotrimerization of benzyne and electron-deficient alkenes selectively affords
dihydrophenanthrenes or ortho-olefinated biaryls depending on the catalytic
system employed. The use of 2,2'-bis(diphenylphosphino)-1,1'-binophythyl (BINAP)
based palladium(0) catalysts in the cocyclotrimerization of 7-methoxynaphthalyne
and dimethyl acetylenedicarboxylate affords an enantiomerically enriched
tetrasubstituted pentahelicene, the first example of a metal-catalyzed
enantioselective reaction involving arynes. Strained cyclic alkynes can also
participate in the palladium-catalyzed cyclotrimerization reactions, which again
lead to structurally interesting products.
PMID- 18050280
TI - A history of research on yeasts 11. The study of solute transport: the first 90
years, simple and facilitated diffusion(1).
PMID- 18050281
TI - Extended left-sided pancreatectomy with spleen preservation.
AB - BACKGROUND: Distal pancreatectomy (DP) is frequently performed with splenectomy,
although splenectomy can be linked to various untoward effects, and sparing of
the main splenic vessels (SVs) is not necessary for successful spleen
preservation (SP). METHODS: We reviewed all DPs in a single-surgeon practice to
assess feasibility and outcomes of SP. RESULTS: Between 1997 and 2007, 41 of 177
pancreatic resections involved a DP (23%). There were 14 men (34%) and 27 women
(66%), with a median age of 60 years (range: 34-86). Four procedures were en bloc
resections, two total pancreatectomies, while eight of the remaining 35 DPs were
performed laparoscopically. SP was accomplished in 33 of 34 possible cases (SP
rate 97%), despite SV resection in 27 of these (82%). The postoperative
complication rate was 24%, without death. Pancreatic fistulae occurred in two
patients (5% of patients at risk), and one SPDP led to splenic infarct. No other
clinically relevant problems specific to SP have become apparent. CONCLUSIONS:
Pancreatic fistula rate and other outcomes in this small DP experience compare
favorably to other DP series. Few spleen-specific complications and the
radicality of resection support the liberal use of SP with SV resection,
irrespective of an open or laparoscopic approach.
PMID- 18050282
TI - Electrical impedance scanning as a new breast cancer risk stratification tool for
young women.
AB - BACKGROUND: Electrical impedance scanning (EIS) measures changes in breast tissue
associated with breast cancer (Br-Ca) development. The T-Scan(tm2000 (ED is
designed to use EIS to identify women ages 30-39 with elevated risk of breast
cancer (i.e., T-Scan+ women). AIM: To estimate the relative probability of breast
cancer in a T-Scan+ woman compared to a randomly selected young woman. METHODS: A
prospective, two-cohort trial was conducted in pre-menopausal women. The
Specificity (S(p))-Cohort evaluated T-Scan specificity in 1,751 asymptomatic
women ages 30-39. The Sensitivity)S(n))-Cohort evaluated T-Scan sensitivity in
390 women ages 45-30 scheduled for biopsy. Specificity, sensitivity, and
conservative estimate of disease prevalence were used to calculate relative
probability. RESULTS: In the S(p)-Cohort, 93 of 1,751 women were T-Scan+ (S(p) =
94.7%; 95% CI: 93.7-95.7%). In the S(n)-Cohort, 23 of 87 biopsy-proven cancers
were T-Scan+ (S(n) = 26.4%; 95% CI: 17.4-35.4%). Given S(p) = 94.7%, S(n) = 26.4%
and prevalence of 1.5 cancers/1,000 women (ages 30-39), the relative probability
of a T-Scan+ woman having Br-Ca is 4.95: (95% CI: 3.16-7.14). CONCLUSION: EIS can
identify a subset of young women with a relative probability of breast cancer
almost five times greater than in the population of young women at-large. T-Scan+
women have a sufficiently high risk of Br-Ca to warrant further surveillance or
imaging.
PMID- 18050283
TI - Papillary thyroid microcarcinoma: prognostic factors and treatment.
AB - BACKGROUND AND OBJECTIVES: Within the group of patients with papillary thyroid
microcarcinoma (PTMC), the prognostic factors have not been well defined and the
optimal treatment has not been proved. The aim of this study was to find out the
factors associated with the tumor recurrence in the patients with PTMC. METHODS:
A total of 228 patients with PTMC (189 females, 39 males; age 14-85 years, median
46 years) were treated at our Institute between 1975 and 2006. The data on
patients' gender, age, extent of disease, pathomorphological characteristics,
therapy, locoregional control, disease-free survival and disease-specific
survival were collected. Statistical correlation between possible prognostic
factors and the duration of disease-free interval was analyzed by univariate and
Cox's multivariate survival analysis. RESULTS: The tumor diameter ranged from 0.1
to 10 mm (mean 6.1 mm). The median length of observation was 84 months (range 1
385). During the follow-up period, the recurrence was diagnosed in 7 patients (6
locoregional and 1 distant). Multivariate analysis showed that tumor size and
lymph node metastases were independent prognostic factors for disease-free
interval. CONCLUSIONS: Longer disease-free interval was found in the patients
with a tumor diameter < or =6 mm and without lymph-node metastases.
PMID- 18050284
TI - Predictors and outcomes of recurrent disease after a negative second look
laparotomy.
AB - BACKGROUND AND OBJECTIVES: To analyze the predictors and outcomes of recurrent
disease in patients with epithelial ovarian carcinoma (EOC) after a negative
second look laparotomy (SLL). METHODS: One hundred nine EOC patients with a
negative SLL treated at Hacettepe Hospital were retrospectively analyzed.
RESULTS: Of these patients, 70 (64.2%) had no evidence of disease during follow
up while remaining 39 (35.8%) had recurrence. Majority of the recurrences (82.1%)
were detected within the initial 2 years of the SLL procedure. Multivariate
analysis revealed stage and grade of the disease to be the significant predictors
for the recurrent disease (P < 0.01 and P = 0.025, respectively). A second
analysis with respect to initial metastatic sites revealed omental metastatis was
significantly associated with recurrent disease (P < 0.001). Survival of patients
with a recurrent disease was significantly poorer and dropped abruptly once a
recurrence is developed. CONCLUSIONS: Patients with initial omental metastasis,
advanced stage and high grade tumors have highest risk for developing recurrences
after a (-) SLL. Most of the recurrences develop during the initial 2 years
following the (-) SLL and survival drops to less than 2 years once a recurrence
is found.
PMID- 18050285
TI - Function, disability, and health-related quality of life after allograft
prosthesis composite reconstructions of the proximal femur.
AB - BACKGROUND: Factors affecting function, disability and health-related quality of
life after allograft-prosthesis composite reconstructions have been poorly
studied. METHODS: Retrospective study of eighteen patients who underwent
reconstruction with proximal femoral allograft-prosthesis composites and answered
questionnaires to assess hip function (Postel and Merle d'Aubigne, self-reported
Harris Hip Score), disability (Toronto Extremity Salvage Score), and health
related quality of life (SF-36). RESULTS: The median Toronto Extremity Salvage
Score was 76 (IQR: 48-85), the median self-reported Harris Hip Score was 90 (IQR:
48-95) and the median Postel and Merle d'Aubigne score was 17 (IQR: 12-17). Older
age, female gender, and presentation with a pathologic fracture were associated
with increased disability and poorest function. The median Physical Component
Summary score was 44 (IQR: 39-45) and Mental Component Summary scores were 49
(IQR: 46-56). Male gender and recurrence of disease were associated with poorer
health-related quality of life. There was a high degree of correlation between
function, disability scores and Physical Component Summary score. CONCLUSIONS:
Patients' characteristics at presentation such as age, gender, and occurrence of
a pathologic fracture play an important role in determining disability, function,
and health-related quality of life after allograft-prosthesis composite
reconstruction of the proximal femur.
PMID- 18050286
TI - Does sentinel node biopsy improve the management of endometrial cancer? Data from
43 patients.
AB - OBJECTIVES: To map sentinel lymph nodes (SLNs) detected by intracervical
injection in patients with endometrial cancer and to determine the prevalence of
node micrometastases. METHODS: Radionuclide and blue dye injections were used for
SLN detection in 43 patients with clinical stage I endometrial cancer.
Lymphoscintigraphy was done before surgery. Intraoperatively, the pelvic and para
aortic territories were examined for blue and/or radioactive nodes. Pelvic
lymphadenectomy was performed with or without para-aortic lymphadenectomy. SLNs
stained with hematoxylin-eosin-saffron were examined and, when negative,
evaluated using step sectioning and immunohistochemistry. RESULTS: Feasibility
was 100%. No adverse effects occurred. SLNs were identified in 30 patients
(69.8%), usually in an interiliac location (28/30 patients, 93.3%). SLNs were
found only in the common iliac chain in 1 (3%) patient and in both the common
iliac chain and promontory area in another (3%). No patients had para-aortic SLNs
or SLNs confined to the promontory. Node metastases were identified in eight
patients and were confined to SLNs in six. In 2 (2/30, 6%) patients, SLNs
contained micrometastases. No false-negatives occurred. CONCLUSIONS:
Intracervical injection of radionuclide and blue dye chiefly revealed pelvic
SLNs. The prevalence of micrometastases was within the expected range.
Comparisons with peritumoral injection are needed.
PMID- 18050287
TI - The use of artificial dermis for surgical defects in the treatment of oral
premalignant lesions.
AB - BACKGROUND: This study investigated the application of an artificial dermis as a
substitute for split-thickness skin graft in an oral mucosal defect after
excision of a premalignant lesion. METHODS: We examined a total of 125 sites
repaired with artificial dermis in 84 patients. Forty-one of 84 patients had two
different defects and 43 had a single defect. Of 84 patients, there were 52 oral
leukoplakia and 32 oral submucous fibrosis. RESULTS: Most patients chewed betel
nuts (97.6%). Most of the premalignant lesions were located in the buccal mucosa
(94%). The overall success rate was 100% without any or partial graft loss. The
minor wound oozing occurred in three grafts (2.4%). No patient had an immunologic
reaction or experienced with significant pain. CONCLUSIONS: Therefore, an
artificial dermis may be an alterative to a split-thickness skin graft for
patients with oral mucosal defects after removal of premalignant lesions.
PMID- 18050288
TI - No mortality after 150 consecutive pancreatoduodenctomies with duct-to-mucosa
pancreaticogastrostomy.
AB - BACKGROUND AND OBJECTIVES: The mortality rate after pancreatoduodenectomy (PD)
remains 0-5% at major surgical centers with the major cause of operative death
being a leak at the pancreaticojejunal anastomosis. The aim of this retrospective
study was to evaluate the safety of duct-to-mucosa pancreaticogastrostomy (PG) at
a single institute. METHODS: One hundred fifty consecutive patients with
pancreato-biliary diseases undergoing duct-to-mucosa PG following PD between 1995
and 2005 were evaluated. One hundred forty patients underwent a pylorus
preserving PD and 10 patients underwent a conventional PD (Whipple operation).
External drainage of pancreatic juice was performed in 77 cases. RESULTS: The
mean operating time was 378 min and the mean blood loss was 1,640 ml. Blood
transfusion was not required in 97 patients (65%). The morbidity rate was 50%
(75/150), but the mortality rate was 0%. Pancreatic fistulae occurred in 11
patients (7%). Gender, age, operative procedure, portal vein resection, external
drainage of the pancreatic juice, operative time, blood loss and blood
transfusion did not affect the rate of pancreatic fistula. The rate of pancreatic
fistulae tended to be lower in pancreatic carcinoma (3%) than non-pancreatic
carcinoma (11%). CONCLUSIONS: Duct-to-mucosa PG is a safe procedure for
reconstruction following PD.
PMID- 18050289
TI - Clinical outcome of parosteal osteosarcoma.
AB - BACKGROUND: Parosteal osteosarcoma is a rare type of osteosarcoma with distinct
characteristics. Clinical outcome of 21 patients was analyzed to assess the
predictive relevance of surgical margin, intramedullary tumor extension and
histologic grade. METHODS: There were 5 mens and 16 womens with an average age of
26 years. Average follow-up was 9.1 years (range 2.5-22.1). Most common sites
were distal femur (15) and proximal humerus (2). No patient presented with
metastasis. Surgical margin was wide in 13 and marginal in 8. Intramedullary
extension was seen in 10 patients (48%). Focal high-grade 2 and 3 tumors were
seen in 11 (52%) and 3 (14%) patients respectively. RESULTS: Twenty patients
(95%) were alive without disease. Two (10%) had relapse, one with local
recurrence and another with local recurrence and lung metastasis. Of eight
marginal procedures performed, 2 turned out to have histologically tumor-positive
margins, both of whom later developed relapses. All patients with histologically
negative margins remained disease-free. Presence of intramedullary extension and
focal high-grade tumor was not significantly associated with relapse.
CONCLUSIONS: A marginal but histologically negative margin of excision appears
adequate for parosteal osteosarcoma. However, long-term follow-up is warranted
for monitoring of rare incidences of local recurrences or distant metastases.
PMID- 18050290
TI - Management of carcinoma of the gallbladder: a single-institution experience in 16
years.
AB - BACKGROUND: Radical surgery is the only curative treatment for carcinoma of
gallbladder. This study aimed to evaluate the outcome of patients with carcinoma
of gallbladder managed in a single institution over 16 years. METHODS: From April
1988 to November 2003, 86 patients (29 males, 57 females) were diagnosed to have
carcinoma of gallbladder. Tumor staging, treatment modalities and clinical
outcome of these patients were evaluated. Thirty-two patients (37%) had early
stage (TNM stage I or II) disease whereas 54 patients (63%) had advanced stage
(TNM stage III or IV) disease. Curative treatment by surgical resection was
performed in 23 patients (27%). RESULTS: Overall survival was significantly
better in patients with curative treatment (1-year: 85%; 2-year: 63%; 3-year:
55%) than those with palliative treatment (1-year: 11%; 2-year: 3%; 3-year: 0%; P
< 0.01). Using Cox regression model, curative treatment was the only independent
prognostic factor affecting overall survival of patients with carcinoma of
gallbladder. A significantly better survival was associated with curative
treatment compared with palliative treatment in patients with incidental
gallbladder cancer. The median survival was 33.9 months for the curative
treatment group versus 3 months for the palliative treatment group (P = 0.0001).
CONCLUSION: Favorable survival outcome can be achieved in patients with carcinoma
of gallbladder after curative resection.
PMID- 18050291
TI - Cytoreduction of the small bowel surfaces.
PMID- 18050292
TI - Adjuvant therapy for pancreatic cancer: a review.
AB - Pancreatic cancer is uniformly fatal unless it can be surgically resected.
Survival rates for the 15% to 20% of patients who have resectable disease,
however, are a disappointing 10% to 30%, depending on the status of margins and
surrounding lymph nodes. In the mid-1980s, a landmark study by the
Gastrointestinal Tumor Study Group was the first to demonstrate a survival
benefit from adjuvant therapy in the form of chemoradiation. Since then, several
studies in both North America and Europe have tested the role of adjuvant
chemotherapy or chemoradiation in pancreatic cancer, and the results have stirred
great controversy. For this review, the evidence for adjuvant therapy in
pancreatic cancer was examined, and the significant practice differences that
exist between North American and European oncologists were highlighted. The
authors investigated the results from the European Study Group for Pancreatic
Cancer-1 trial and the reasons why that study has served to reinforce rather than
resolve these trans-Atlantic differences. They also reviewed preliminary data
from more recent adjuvant trials and explored the possible benefits of a
neoadjuvant approach.
PMID- 18050293
TI - Treatment failure after primary and salvage therapy for prostate cancer.
PMID- 18050294
TI - Treatment failure after primary and salvage therapy for prostate cancer:
likelihood, patterns of care, and outcomes.
AB - BACKGROUND: The authors report the likelihood of treatment failure and the
outcomes after salvage therapy among men with prostate cancer who initially
either received external-beam radiation therapy (EBRT) or underwent radical
prostatectomy (RP). METHODS: Using a national disease registry, the Cancer of the
Prostate Strategic Urological Research Endeavor (CaPSURE) database, 5277 men with
prostate cancer were identified who initially either underwent RP (4342 men) or
received EBRT (935 men). Outcomes after disease recurrence and subsequent salvage
therapy were assessed. RESULTS.: Recurrent disease developed in 1590 men (30%),
including 1003 patients (23%) in the RP group and 587 patients (63%) in the EBRT
group, at a mean of 34 months and 38 months, respectively (P= .003). Patients who
had recurrent disease had greater rates of overall death (19% vs 3%; P< .01) and
bone metastases (15% vs 1%; P< .01). Data after salvage therapy were available
for 1050 patients (620 men in the RP group and 430 men in the EBRT group).
Androgen-deprivation therapy (ADT) was the most common salvage treatment in both
groups. Overall, 420 men in the RP group (68%) and 319 men in the EBRT group
(74%) failed salvage therapy at mean of 43.6 months and 43.8 months, respectively
(P= .95). These patients had a greater overall death rate than the 311 patients
who did not fail salvage therapy (24.8% vs 6.9%, respectively; P< .001). No
survival benefit in terms of prostate cancer-related death (P= .91) was
identified with any particular combination of primary and salvage therapy.
CONCLUSIONS: Disease recurrence developed in 30% of patients who were treated for
prostate cancer, and ADT was the most common salvage therapy used. Patients who
failed salvage therapy had worse overall survival, and no survival benefit was
noted for any particular combination of primary and salvage therapy.
PMID- 18050295
TI - Active Crohn's disease and ulcerative colitis can be specifically diagnosed and
monitored based on the biostructure of the fecal flora.
AB - BACKGROUND: The intestinal microflora is important in the pathogenesis of
inflammatory bowel disease (IBD). The impact of its spatial organization on
health and disease is unknown. METHODS: We investigated sections of paraffin
embedded punched fecal cylinders. Fluctuations in spatial distribution of 11
bacterial groups were monitored in healthy subjects (n = 32), patients with IBD
(n = 204), and other gastrointestinal diseases (n = 186) using fluorescence in
situ hybridization (FISH). RESULTS: The microbial structure differed in patients
with Crohn's disease (CD), ulcerative colitis (UC), and healthy and disease
controls. The profiles of CD and UC were distinctly opposite in 6 of 11 FISH
probes used. Most prominent were a depletion of Faecalibacterium prausnitzii
(Fprau<1 x 10(9)/mL) with a normal leukocyte count in CD and a massive increase
of leukocytes in the fecal-mucus transition zone (>30 leukocytes/10(4) microm(2))
with high Fprau in patients with UC. These 2 features alone enabled the
recognition of active CD (Crohn's Disease Activity Index [CDAI] >150) or UC
(Clinical Activity Index [CAI] >3) with 79%/80% sensitivity and 98%/100%
specificity. The mismatch in the sensitivity was mainly due to overlap between
single IBD entities, and the specificity was exclusively due to the similarity of
Crohn's and celiac disease. When inflammatory bowel disease (IBD) patients were
pooled the sensitivity was 100% for severe disease, 84% for moderate activity,
72% for IBD with < or =12 months remission, and 24% for IBD with >12 months
remission. CONCLUSIONS: The fecal flora is highly structured and spatially
organized. Diagnosing IBD and monitoring disease activity can be performed based
on analysis of punched fecal cylinders independent from the patient's complaints.
PMID- 18050296
TI - Steroid-refractory ulcerative colitis: predictive factors of response to
cyclosporine and validation in an independent cohort.
AB - BACKGROUND: One-third of patients with steroid-refractory ulcerative colitis (UC)
do not respond to cyclosporine and require colectomy. Since alternative
pharmacological treatments for this condition are available, it is pertinent to
identify factors that predict response. The objective of this study was to
determine predictive factors of response prior to cyclosporine administration,
with validation in an independent cohort. METHODS: The 2 cohorts of patients were
identified from prospectively established databases. All patients had received 1
mg/kg/day prednisolone or equivalent for at least 5 days before cyclosporine. The
efficacy measure was need of early surgery (within 3 months). RESULTS: From 1998
to 2005, 34 patients were treated in 1 institution (derivation cohort) and 38
patients in the second institution (validation cohort). Eleven patients in the
derivation cohort and 9 patients in the validation cohort underwent early
colectomy. Univariate analysis in the derivation cohort demonstrated a
significant association of colectomy with C-reactive protein (P = 0.012) and the
Ho index before initiation of cyclosporine (P = 0.013). Regression analysis
showed that only the Ho index (P = 0.011) had an independent predictive value.
The Ho index predicted need of colectomy, with an area under the characteristic
receiver operating curve of 0.79 (95% confidence interval [CI], 0.59-0.99) in the
derivation cohort and 0.74 (95% CI, 0.53-0.96) in the validation cohort. The
cutoff point with the best sensitivity and specificity ratio was > or =5.
CONCLUSIONS: The Ho-based predictive score is a good predictor of response to
cyclosporine and avoidance of colectomy, and may aid in the indication of this
treatment for management of steroid-resistant UC.
PMID- 18050297
TI - Campylobacter and IFNgamma interact to cause a rapid loss of epithelial barrier
integrity.
AB - BACKGROUND: The intestinal epithelium is a single layer of polarized cells and is
the primary barrier separating foreign antigen and underlying lymphoid tissue.
IFNgamma alters epithelial barrier function during inflammation by disrupting
tight cell junctions and facilitating the paracellular transport of luminal
antigens. The aim of this work was to determine whether Campylobacter infection
of cells exposed to IFNgamma would lead to greater disruption of cell monolayers
and hence increased bacterial translocation. METHODS: Monolayers were polarized
on Transwell polycarbonate membranes for 14 days and then cultured in the
presence or absence of 100 U/mL IFNgamma. Campylobacter was added to the apical
side of the monolayer at an MOI of 30. Transepithelial electrical resistance
(TEER) was recorded and bacteria in the basal well counted every 2 hours. Cells
were stained for occludin, actin, and nuclear DNA, and cell viability determined
by measurement of apoptosis. RESULTS: In the presence of IFNgamma, TEER dropped
significantly after 18 hours, indicating a reduction in barrier function. A
further significant decrease was seen in the presence of both IFNgamma and
Campylobacter, indicating a synergistic effect, and cellular morphology and
viability were affected. Bacterial translocation across the monolayer was also
significantly greater in the presence of IFNgamma. CONCLUSIONS: These combined
effects indicate that Campylobacter infection concomitant with intestinal
inflammation would result in a rapid and dramatic loss of epithelial barrier
integrity, which may be a key event in the pathogenesis of Campylobacter-mediated
colitis and the development of bloody diarrhea.
PMID- 18050298
TI - Fecal S100A12 and fecal calprotectin as noninvasive markers for inflammatory
bowel disease in children.
AB - BACKGROUND: Fecal calprotectin is a sensitive marker for gut inflammation.
Recently, we have established that a related protein, S100A12, is elevated in the
feces of children with inflammatory bowel disease (IBD). This may represent a
specific and sensitive disease marker. The objective was to investigate the
utility of fecal S100A12, in comparison to fecal calprotectin and standard
inflammatory markers, as a screening marker for IBD in children with
gastrointestinal symptoms. METHODS: Stool samples were obtained from 61 children
presenting with gastrointestinal symptoms requiring endoscopy. Fecal S100A12,
calprotectin, and serum S100A12 levels were measured and correlated to final
diagnosis and standard tests (ESR, CRP, platelet count, and albumin). RESULTS:
Children diagnosed with IBD (n = 31) had elevated fecal S100A12 (median 55.2
mg/kg) and calprotectin (median 1265 mg/kg) levels compared with the children
without IBD (n = 30; S100A12: median 1.1 mg/kg, P < 0.0001; calprotectin: median
30.5 mg/kg; P < 0.0001). The sensitivity and specificity of fecal S100A12 (cutoff
10 mg/kg) for the detection of IBD were both 97%, whereas fecal calprotectin
(cutoff 50 mg/kg) gave a sensitivity of 100% and a specificity of 67%.
CONCLUSIONS: Both fecal markers were superior to the sensitivities and
specificities of any standard inflammatory test. Both fecal S100A12 and
calprotectin are sensitive markers of gastrointestinal inflammation, but fecal
S100A12 provided exceptional specificity in distinguishing children with IBD from
children without IBD. Fecal S100A12 is a simple, noninvasive test that can be
used to screen and select children warranting further invasive and laborious
procedures such as endoscopy for the investigation of their gastrointestinal
symptoms.
PMID- 18050299
TI - Is there a role for imatinib in inflammatory bowel disease?
PMID- 18050300
TI - Safe use of infliximab for the treatment of fistulizing Crohn's disease during
pregnancy within 3 months of conception.
PMID- 18050301
TI - Analysis of metal ion-induced DNA damage, apoptosis, and necrosis in human
(Jurkat) T-cells demonstrates Ni2+ and V3+ are more toxic than other metals:
Al3+, Be2+, Co2+, Cr3+, Cu2+, Fe3+, Mo5+, Nb5+, Zr2+.
AB - It remains unclear how metal released from implants affects cells of the immune
system and, in particular, cells of the adaptive immune system, that is, T-helper
lymphocytes. In this study, we investigated the effects of aluminum, chromium,
cobalt, copper, iron, molybdenum, nickel, niobium, vanadium, and zirconium ions
at concentrations from 0.05 to 5.0 mM on human CD4+ T lymphocytes. The DNA
damage, apoptosis, necrosis, and proliferation responses of a human T-helper
lymphocyte (Jurkat) cell line were evaluated to test our hypothesis that some
metals will preferentially induce genotoxicity (DNA damage). Our results
demonstrated that metal ions did not preferentially induce Jurkat T-lymphocyte
DNA damage prior to other forms of toxicity, that is, apoptosis and/or direct
necrosis. Nickel and vanadium induced the most DNA damage and were the most
apoptotic metals tested, inducing >50% caspase-9 positive T cells at 0.05 mM and
0.1 mM concentrations, respectively. Cobalt and niobium were the most toxic
metals, inducing <50% viability at approximately 0.5 mM concentrations. Nickel
and vanadium were the only metals to induce DNA damage at nearly the same
concentrations that induced >50% apoptosis (i.e., <0.05 mM). All the metals
tested induced T-cell apoptosis at a lower dose than that required to affect DNA
damage or toxicity, implying that soluble metals released from implants may not
be preferentially genotoxic to lymphocytes.
PMID- 18050302
TI - Comprehensive analysis of loss of heterozygosity events in glioblastoma using the
100K SNP mapping arrays and comparison with copy number abnormalities defined by
BAC array comparative genomic hybridization.
AB - We have undertaken an extensive high-resolution analysis of loss of
heterozygosity (LOH) in 30 high grade gliomas using the Affymetrix 100K SNP
mapping array. Only 70% of LOH events were accompanied by a copy number loss
(CNA(loss)), and of the other 30%, the distal region of 17p preferentially showed
copy number neutral (CNN)-associated LOH. Combined analysis of CNA(loss) and LOH
using MergeLevels analysis software predicts whether the observed losses occurred
on a diploid or tetraploid background. In a side-by-side comparison between SNP
and bacterial artificial chromosome (BAC) arrays, the overall identification of
CNAs was similar on both platforms. The resolution provided by the SNP arrays,
however, allowed a considerably more accurate definition of breakpoints as well
as defining small events within the cancer genomes, which could not be detected
on BAC arrays. CNN LOH was only detected by the SNP arrays, as was ploidy
prediction. From our analysis, therefore, it is clear that simultaneously
defining CNAs and CNN-LOH using the SNP platform provides a higher resolution and
more complete analysis of the genetic events that have occurred within tumor
cells. Our extensive analysis of SNP array data has also allowed an objective
assessment of threshold LOH scores that can accurately predict LOH. This
capability has important implications for interpretation of LOH events since they
have consistently been used to localize potential tumor suppressor genes within
the cancer genome.
PMID- 18050303
TI - Aberrant splicing of the PTPRD gene mimics microdeletions identified at this
locus in neuroblastomas.
AB - Neuroblastoma (NBL), a pediatric tumor arising from precursor cells of the
sympathetic nervous system, is characterized by numerous recurrent large-scale
chromosomal imbalances. High resolution oligonucleotide array CGH analysis of NBL
has previously identified microdeletions that are confined to the 5' UTR of the
protein tyrosine phosphatase receptor D (PTPRD) gene, implicating this gene in
the pathogenesis of these tumors. Here, we demonstrate that the 5' UTR of this
gene, consisting of 11 noncoding exons, is also aberrantly spliced in >50% of NBL
primary tumors and cell lines. The loss of exons from the 5' UTR region through
aberrant splicing results in aberrant mRNA isoforms that are similar to those
generated through microdeletions. The aberrant splicing or microdeletion of 5'
UTR exons in such a high proportion of tumors indicates that loss of these exons
dys-regulates the mRNA sequence. To further validate the role of PTPRD in NBL, we
have examined the expression of this gene in normal fetal adrenal neuroblasts
(the cell of origin of NBL) and in tumors from patients with either low stage or
high stage disease. This gene is expressed at lower levels in high stage NBL
tumors, particularly those with amplification of MYCN, relative to low stage
tumors or normal fetal adrenal neuroblasts, consistent with the possibility that
loss of the 5' UTR exons have destabilized the mRNA.
PMID- 18050304
TI - A new type of MAML2 fusion in mucoepidermoid carcinoma.
AB - The present study reports for the first time a CRTC3-MAML2 fusion gene in a
mucoepidermoid carcinoma, as determined by RT-PCR and sequencing. We screened a
total of 67 formalin-fixed, paraffin-embedded mucoepidermoid carcinomas for the
presence of chimeric genes. In one of these samples, a CRTC3-MAML2 fusion gene
was detected. Thus, this report demonstrates the existence of a fusion of MAML2
with CREB regulated transcriptional coactivator CRTC3 additional to the already
known fusion of MAML2 and CRTC1. Both gene fusions seem to result in an identical
tumor phenotype and the fusion genes CRTC1-MAML2 and CRTC3-MAML2 may play a
similar role in the development of mucoepidermoid carcinomas.
PMID- 18050305
TI - Further characterization of the first seminoma cell line TCam-2.
AB - Testicular germ cell tumors of adolescents and adults (TGCTs) can be classified
into seminomatous and nonseminomatous tumors. Various nonseminomatous cell lines,
predominantly embryonal carcinoma, have been established and proven to be
valuable for pathobiological and clinical studies. So far, no cell lines have
been derived from seminoma which constitutes more than 50% of invasive TGCTs.
Such a cell line is essential for experimental investigation of biological
characteristics of the cell of origin of TGCTs, i.e., carcinoma in situ of the
testis, which shows characteristics of a seminoma cell. Before a cell line can be
used as model, it must be verified regarding its origin and characteristics.
Therefore, a multidisciplinary approach was undertaken on TCam-2 cells,
supposedly the first seminoma cell line. Fluorescence in situ hybridization,
array comparative genomic hybridization, and spectral karyotyping demonstrated an
aneuploid DNA content, with gain of 12p, characteristic for TGCTs. Genome wide
mRNA and microRNA expression profiling supported the seminoma origin, in line
with the biallelic expression of imprinted genes IGF2/H19 and associated
demethylation of the imprinting control region. Moreover, the presence of
specific markers, demonstrated by immunohistochemistry, including (wild type)
KIT, stem cell factor, placental alkaline phosphatase, OCT3/4 (also demonstrated
by a specific Q-PCR) and NANOG, and the absence of CD30, SSX2-4, and SOX2,
confirms that TCam-2 is a seminoma cell line. Although mutations in oncogenes and
tumor suppressor genes are rather rare in TGCTs, TCam-2 had a mutated BRAF gene
(V600E), which likely explains the fact that these cells could be propagated in
vitro. In conclusion, TCam-2 is the first well-characterized seminoma-derived
cell line, with an exceptional mutation, rarely found in TGCTs.
PMID- 18050306
TI - VGluT2 expression in painful Achilles and patellar tendinosis: evidence of local
glutamate release by tenocytes.
AB - The pathogenesis of chronic tendinopathy is unclear. We have previously measured
high intratendinous levels of glutamate in patients with tendinosis, suggesting
potential roles of glutamate in the modulation of pain, vascular function, and
degenerative changes including apoptosis of tenocytes. However, the origin of
free glutamate found in tendon tissue is completely unknown. Surgical biopsies of
pain-free normal tendons and tendinosis tendons (Achilles and patellar) were
examined immunohistochemically using antibodies against vesicular glutamate
transporters (VGluT1 and VGluT2), as indirect markers of glutamate release. In
situ hybridization for VGluT2 mRNA was also conducted. Specific immunoreactions
for VGluT2, but not VGluT1, could be consistently detected in tenocytes. However,
there were interindividual variations in the levels of immunoreactivity. The
level of immunoreaction for VGluT2 was higher in tendinosis tendons compared to
normal tendons (p < 0.05). In situ hybridization of VGluT2 demonstrated that mRNA
was localized in a similar pattern as the protein, with marked expression by
certain tenocytes, particularly those showing abnormal appearances. Reactivity
for VGluT1 and -2 was absent from nerves and vessel structures in both normal and
painful tendons. The current data demonstrate that tenocytes may be involved in
the regulation of extracellular glutamate levels in tendons. Specifically, the
observations suggest that free glutamate may be locally produced and released by
tenocytes, rather than by peripheral neurons. Excessive free glutamate is
expected to impact a variety of autocrine and paracrine functions important in
the development of tendinosis, including tenocyte proliferation and apoptosis,
extracellular matrix metabolism, nociception, and blood flow.
PMID- 18050307
TI - Assessment of a goat model of posterolateral knee instability.
AB - The development of an in vivo animal model of posterolateral knee instability is
desired for devising effective interventions for this injury. Sequential
sectioning of the popliteus tendon, lateral collateral ligament, and lateral
capsule was done in cadaveric goat knees to create knee joint instability,
followed by in vivo studies (Studies 1 and 2) of 7 and 3 months duration,
respectively. In Study 1, the popliteus tendon and lateral collateral ligament
were sectioned; in Study 2, these structures as well as the lateral joint capsule
were sectioned. Biomechanical testing and histological assessments were done to
determine the severity of the instability and the morphological changes.
Sectioning the lateral collateral ligament and popliteus tendon (Study 1)
resulted in a significant increase in varus instability at 90 degrees .
Sectioning the lateral collateral ligament, popliteus tendon, and lateral capsule
(Study 2) resulted in significant varus instability at 30 degrees , 60 degrees ,
and 90 degrees , and significant internal-external rotation at 60 degrees and 90
degrees ; however, the lesions of osteoarthritis in the operated knees were
similar to those in unoperated control knees. This study confirms that
posterolateral knee instability can be created in a goat model, but we were
unable to demonstrate lesions of osteoarthritis that were of sufficient severity
to allow evaluation of disease reduction in future intervention procedures.
Future studies will determine if further manipulation of the model results in
sufficient morphological changes to allow its use in the assessment of
intervention strategies.
PMID- 18050308
TI - Prevention of strain-related osteopenia in aseptic loosening of hip prostheses
using perioperative bisphosphonate.
AB - The hypothesis tested in this study was that perioperative administration of the
bisphosphonate zoledronate will reduce strain protection-related calcar
osteopenia and maintain functional integration of the femoral component in an
ovine hemiarthroplasty model. Twelve sheep received a unilateral cemented
hemiarthroplasty where six animals were given nine intravenous infusions of
zoledronate (10 microg/kg) pre-, peri-, and postsurgery over 8 months. Control
animals received physiological saline only. Implants remained in vivo for 9
months. Ground reaction force (GRF) was used to assess functional loading of the
implanted limb, bone mineral density (BMD) was quantified using dual energy X-ray
absorptiometry (DEXA). Cortical bone area, thickness, and viable osteocytes were
assessed histologically. No significant differences in GRF data between groups
was identified. Results demonstrated a significant drop in BMD values in the
control group (9.7%) when compared with the bisphosphonate-treated group (3.2%)
(p = 0.0159). Histological results showed that cortical area, thickness, and the
percentage of lacunae with viable osteocytes was significantly greater in the
bisphosphonate-treated group when compared with control (p = 0.002, p = 0.001, p
= 0.003, respectively). The administration of zoledronate reduced cortical
osteopenia in the calcar region of the proximal femur and this therapy could be
used as a preventive measure to combat strain protection osteopenia and its
contribution to associated aseptic loosening in total hip replacement surgery.
PMID- 18050310
TI - Optimizing CO2 normalizes pH and enhances chondrocyte viability during cold
storage.
AB - Fresh osteochondral allografts are an important treatment option for the repair
of full-thickness articular cartilage defects. Viable chondrocytes within the
transplanted tissue are considered important to maintaining matrix integrity. The
purpose of this study is to determine whether an increase in pH decreases
chondrocyte viability during cold storage and whether equilibration of Dulbecco's
modified Eagle's medium (DMEM) in 5% CO(2) normalizes pH and increases
chondrocyte survival during storage at 4 degrees C. Freshly isolated bovine
articular chondrocytes cultured in alginate beads were stored for up to 5 days at
4 degrees C or 37 degrees C in DMEM exposed to ambient air or in DMEM
equilibrated with 5% CO(2). Chondrocyte viability was determined by flow
cytometry. Physiologic pH was maintained when DMEM was equilibrated with 5%
CO(2), while pH increased in ambient air. After 5 days of storage at 4 degrees C,
chondrocyte necrosis was higher when stored in ambient air than if equilibrated
with 5% CO(2). No decrease in chondrocyte viability was observed with storage at
37 degrees C. In addition, chondrocyte viability in bovine cartilage
osteochondral cores was examined after storage for 14 days at 4 degrees C in DMEM
with and without HEPES, and with and without 5% CO(2). Under these conditions,
the superficial layer of chondrocytes was more viable when stored in DMEM with
HEPES or DMEM equilibrated with 5% CO(2) than when stored in DMEM in ambient air.
This data shows that an increase in pH decreased bovine chondrocyte viability
when refrigerated at 4 degrees C in DMEM, and that optimization of CO(2)
normalized pH and improved chondrocyte viability during cold storage in DMEM.
PMID- 18050309
TI - Inhibition of integrative repair of the meniscus following acute exposure to
interleukin-1 in vitro.
AB - Damage or loss of the meniscus is associated with progressive osteoarthritic
degeneration of the knee joint. Injured and degenerative joints are characterized
by elevated levels of the pro-inflammatory cytokine interleukin-1 (IL-1), which
with prolonged exposure can induce catabolic and anti-anabolic activities that
inhibit tissue repair. We used an in vitro model system to examine the hypotheses
that acute exposure to IL-1 inhibits meniscal repair, and that an IL-1-mediated
increase in matrix metalloproteinase (MMP) activity is associated with the
inhibition of repair. Integrative tissue repair was studied between concentric
explants of porcine medial menisci that were treated with IL-1alpha acutely (100
pg/mL for 1 or 3 days) or chronically (100 pg/mL for entire culture duration).
After 14 and 28 days in culture, biomechanical testing, cell viability, and
histology were performed to assess meniscal repair. Total specific MMP activity
in the culture media was measured using a quenched fluorescent substrate. As
little as 1 day of IL-1 exposure significantly reduced shear strength, cell
accumulation, and tissue repair compared to controls. IL-1 exposure for 1 or 3
days significantly increased MMP activity that subsided by day 9. With chronic IL
1 exposure, MMP activity remained elevated for the duration of culture and was
negatively correlated with repair strength. Our study shows that short-term
exposure to physiologically relevant concentrations of IL-1 significantly reduces
meniscal repair in vitro, and thus may potentially inhibit the intrinsic repair
response in vivo. The suppression of IL-1 or MMP expression and/or activity
warrant investigation as potential strategies for promoting meniscal repair.
PMID- 18050311
TI - Altered osteoclast development and function in osteopontin deficient mice.
AB - The role of osteopontin in bone resorption was elucidated by studies of mice with
knock out of the osteopontin gene generated by a different approach compared to
previous models. Thus, a targeting vector with the promoter region as well as
exons 1, 2, and 3 of the osteopontin gene was replaced by a loxP-flanked Neo-TK
cassette, and this cassette was eliminated through transient expression of Cre
recombinase. The recombined ES cells were used to create mice lacking expression
of the osteopontin gene. Tissues from these mice were subjected structural and
molecular analyses including morphometry and proteomics. The bone of the null
mice contained no osteopontin but showed no significant alterations with regard
to other bone proteins. The bone volume was normal in young null animals but in
the lower metaphysis, the volume and number of osteoclasts were increased.
Notably, the volume and length of the osteoclast ruffled border was several folds
lower, indicating a lower resorptive capacity. The null mice did not develop the
bone loss characteristic for osteoporosis demonstrated in old wild-type female
animals. This quantitative study demonstrates a bone phenotype in the osteopontin
null mice of all ages. The data provides further evidence for a role of
osteopontin in osteoclast activity.
PMID- 18050312
TI - The quantitative and functional relation between insulin-like growth factor-I
(IGF) and IGF-binding proteins during human osteoarthritis.
AB - A previous hypothesis stated that during osteoarthritis (OA) increased insulin
like growth factor (IGF) binding proteins (IGFBPs) sequester IGFs and limit their
access to the cell. The objective of this article was to test this by: (1)
quantifying IGF and IGFBP-3 as well as their ratios in human OA cartilages, and
(2) measuring the metabolic responses of diseased cartilage to IGF-I and its
IGFBP-insensitive analogs. Knee or hip OA cartilages were staged for OA by
histology. Cartilage slices were either extracted for assays of IGF proteins, or
maintained intact as organ cultures. Proteoglycan (PG) metabolism +/- IGFs was
measured by use of the (35)S-sulfate precursor. IGFBP-3 (ng/mg protein) was
weakly correlated with OA score by regression analysis (R(2) = 0.122; p = 0.040;
n = 35). IGF-I (ng/mg protein) was constant across all OA groups (ANOVA; p =
.428, n = 18) and the IGF-I/IGFBP-3 ratios were > 1 in most samples. All OA
cartilages responded to hrIGF-I by increasing PG synthesis [average 2.29-fold +/-
0.55 (+/-SD) at saturation, n = 12] irrespective of OA score. The des (1-3) IGF-I
analog (which lacks the three N-terminal amino acids) had similar maximal effects
(average 2.23-fold stimulation +/- 0.71, n = 10), but it was more effective in
two out of three samples at suboptimal doses. The effect of hrIGF-I, des (1-3)
IGF-I, or the B-chain analog on degradation was minimal. In summary, catabolism
was insensitive to IGF-I, and this was probably not due to IGFBPs. By contrast,
IGF-I exerted a robust stimulation of anabolism at sufficiently high doses, even
though IGFBPs could tone down the ligand effect at low doses.
PMID- 18050313
TI - Craniosynostosis and maternal smoking.
AB - BACKGROUND: Several previous studies suggested increased risk of craniosynostosis
among infants born to women who smoked. METHODS: This study used data from the
National Birth Defects Prevention Study, a multi-state, population-based case
control study of infants delivered from 1997-2003. Nonmalformed, liveborn
controls were selected randomly from birth certificates or birth hospitals. Data
from maternal telephone interviews were available for 531 cases and 5008
controls. RESULTS: Smoking during the first month of pregnancy was not associated
with craniosynostosis. Smoking later in pregnancy was associated with increased
risk, but only among mothers who smoked at least one pack/day. For example,
during the second trimester, the odds ratio for smoking <5 cigarettes/day was 1.0
(95% confidence interval [CI] 0.6, 1.8), but the odds ratio (OR) for smoking 15
or more cigarettes/day was 1.6 (95% CI 0.9, 2.8), after adjustment for maternal
age, education, race-ethnicity, sub-fertility, parity, folic acid supplement
intake, body mass index, and study center. Among women who did not smoke,
adjusted odds ratios suggested that secondhand smoke exposure at home, but not at
work/school, was associated with modestly increased risk; the OR for home
exposure was 1.3 (95% CI 0.9, 1.9). Results followed a similar pattern for some,
but not all, specific suture types, but numbers for some groupings were small.
CONCLUSIONS: The results suggest moderately increased risk of craniosynostosis
among mothers who were the heaviest smokers and who continued to smoke after the
first trimester. Results are somewhat equivocal, given that most confidence
intervals included one.
PMID- 18050314
TI - Diffusion-weighted imaging of the fetal brain in vivo.
AB - A method of performing diffusion-weighted imaging (DWI) and diffusion tensor
imaging (DTI) of the fetal brain in utero is proposed. The major difficulty of
performing diffusion imaging in utero is the presence of motion. By modifying
conventional single-shot spin-echo echo-planar DWI with a short repetition time
sequence, a sequence that performs DWI and DTI within a breath-hold of the mother
(13 sec and 18 sec, respectively) was devised. T(1) weighting caused by the use
of short repetition times is compensated by interspersing diffusion imaging with
additional b=0 image acquisitions. In utero fetal brain DWI and DTI were
performed using this sequence. Quantitative analysis revealed minimal differences
in the obtained apparent diffusion coefficient (ADC; directionally averaged ADC)
values when using this sequence. The method can be readily implemented in a
clinical setting and is especially useful when scanning mothers who cannot
tolerate lengthier breath-holds.
PMID- 18050315
TI - Intracellular water specific MR of microbead-adherent cells: HeLa cell
intracellular water diffusion.
AB - The (1)H MR signal arising from flowing extracellular media in a perfused,
microbead-adherent cultured cell system can be suppressed with a slice-selective,
spin-echo pulse sequence. The signal from intracellular water can, thus, be
selectively monitored. Herein, this technique was combined with pulsed field
gradients (PFGs) to quantify intracellular water diffusion in HeLa cells. The
intracellular water MR diffusion-signal attenuation at various diffusion times
was well described by a biophysical model that characterizes the incoherent
displacement of intracellular water as a truncated Gaussian distribution of
apparent diffusion coefficients (ADCs). At short diffusion times, the water
"free" diffusion coefficient and the surface-to-volume ratio of HeLa cells were
estimated and were, 2.0 +/- 0.3 microm(2)/ms and 0.48 +/- 0.1 microm(-1) (mean +/
SD), respectively. At long diffusion times, the cell radius of 10.1 +/- 0.4
microm was inferred and was consistent with that measured by optical microscopy.
In summary: 1) intracellular water "free" diffusion in HeLa cells was rapid, two
thirds that of pure water; and 2) the cell radius inferred from modeling the
incoherent displacement of intracellular water by a truncated Gaussian
distribution of ADCs was confirmed by independent optical microscopy measures.
PMID- 18050316
TI - Varying kernel-extent gridding reconstruction for undersampled variable-density
spirals.
AB - Nonuniform, non-Cartesian k-space trajectories enable fast scanning with reduced
motion and flow artifacts. In such cases, the data are usually convolved with a
kernel and resampled onto a Cartesian grid before reconstruction. For
trajectories such as undersampled variable-density spirals, the mainlobe width of
the kernel for undersampled high spatial frequencies has to be larger to limit
the amount of aliasing energy. Continuously varying the kernel extent is time
consuming. By dividing k-space into several annuli and using appropriate mainlobe
widths for each, the aliasing energy and noise can be reduced at the expense of
lower resolution towards the edge of the field of view (FOV). Resolution can
instead be preserved at the center of the FOV, which is expected to be free of
artifacts, without any artifact reduction. The image reconstructed from each
annulus can be deapodized separately. The method can be applied to most k-space
trajectories used in MRI.
PMID- 18050317
TI - Imaging periodic currents using alternating balanced steady-state free
precession.
AB - Existing functional brain MR imaging methods detect neuronal activity only
indirectly via a surrogate signal such as deoxyhemoglobin concentration in the
vascular bed of cerebral parenchyma. It has been recently proposed that neuronal
currents may be measurable directly using MRI (ncMRI). However, limited success
has been reported in neuronal current detection studies that used standard
gradient or spin echo pulse sequences. The balanced steady-state free precession
(bSSFP) pulse sequence is unique in that it can afford the highest known SNR
efficiency and is exquisitely sensitive to perturbations in free precession
phase. It is reported herein that when a spin phase-perturbing periodic current
is locked to an RF pulse train, phase perturbations are accumulated across
multiple RF excitations and the spin magnetization reaches an alternating
balanced steady state (ABSS) that effectively amplifies the phase perturbations
due to the current. The alternation of the ABSS signal therefore is highly
sensitive to weak periodic currents. Current phantom experiments employing ABSS
imaging resulted in detection of magnetic field variations as small as 0.15nT in
scans lasting for 36 sec, which is more sensitive than using gradient-recalled
echo imaging.
PMID- 18050319
TI - Measurement of glycine in human brain by triple refocusing 1H-MRS in vivo at
3.0T.
AB - A new (1)H-MRS filtering strategy for selective measurement of glycine (Gly) in
human brain in vivo at 3.0T is proposed. Investigation of multiple refocusing
following a 90 degrees excitation pulse indicated that triple refocusing is most
effective for suppression of the strongly coupled resonances of myo-inositol (mI)
at the Gly 3.55-ppm resonance. The echo times of the triple refocusing were
optimized, with numerical analysis of the filtering performance, as {TE(1),
TE(2), TE(3)} = {67, 62, 69} ms. Compared with the 90 degrees -acquired mI signal
the mI suppression ratios of the filter were 170 and 1000, in terms of peak
amplitude and area, respectively, between 3.51 and 3.59 ppm. From LCModel
analyses, using density-matrix calculated spectra as basis functions, the
concentration of Gly in parieto-occipital cortex of healthy adults was estimated
to be 0.5 +/- 0.1 mM (mean +/- SD, n = 6), with reference to creatine at 8 mM.
PMID- 18050320
TI - High-resolution MRI of deep-seated atherosclerotic arteries using motexafin
gadolinium.
AB - PURPOSE: To evaluate the potential of using motexafin gadolinium (MGd) to
characterize atherosclerotic plaques of deep-seated arteries with MRI. MATERIALS
AND METHODS: We exposed vascular endothelial cells (EC) and smooth muscle cells
(SMC) in vitro to varying concentrations of MGd. The fluorescence properties of
MGd were then exploited using confocal microscopy to image exposed cells. For an
in vivo validation study, we performed surface coil-based and intravascular coil
based high-resolution MRI of the iliac arteries and the abdominal aorta of three
atherosclerotic Yucatan pigs. Subsequently, MGd enhancement of the target vessel
walls was quantitatively evaluated and MR images were correlated with histology
of the target vessels. RESULTS: The in vitro study confirmed the
intracellularization of MGd in both cell types and determined the optimum MGd
dosage of 0.004 mmol/kg that produced the sufficiently high intracellular
fluorescent intensity. The in vivo study showed a steady increase of MGd
enhancement to approximately 25% at three hours postinjection of MGd. MRI showed
areas of strong enhancement along the lumen boundary, which corresponded to
fibrous tissue seen in histology. CONCLUSION: This study provides initial
evidence that MGd may enhance MR vessel wall imaging for the characterization of
plaque in deep-seated arteries.
PMID- 18050321
TI - Comparison of the effects of independently-controlled end-tidal PCO(2) and PO(2)
on blood oxygen level-dependent (BOLD) MRI.
AB - PURPOSE: To assess the effect of changes in end-tidal partial pressure of O(2)
(PETO(2)) on cerebrovascular reactivity (CVR) estimated from changes in blood
oxygen level-dependent (BOLD) signal during cyclic changes in end-tidal partial
pressure of CO(2) (PETCO(2)). MATERIALS AND METHODS: BOLD response to fixed
cyclic step changes in PETCO(2) (range = 30.4-48.8 mmHg) and PETO(2) (range =
100.6-444.0 mmHg) was studied in four healthy volunteers. RESULTS: The BOLD
reactivity to PETCO(2) and PETO(2) were 0.283 (0.188-0.379) (median, range) and
0.004 (0.003-0.006)%/mmHg, respectively, in the whole brain; 0.438 (0.382-0.614)
vs. 0.006 (0.004-0.009)%/mmHg, respectively, in the gray matter; and 0.075 (0.065
0.093) vs. 0.002 (0.001-0.002)%/mmHg, respectively, in the white matter.
CONCLUSION: The BOLD reactivity to PETO(2) was much smaller than that to
PETCO(2). However, BOLD reactivity can be significantly distorted by CO(2)
induced changes in PETO(2). We conclude that PETO(2) should be carefully
controlled during studies that use BOLD reactivity as an indicator of CVR.
PMID- 18050322
TI - Functional imaging of the parotid glands using blood oxygenation level dependent
(BOLD)-MRI at 1.5T and 3T.
AB - PURPOSE: To evaluate the function of the parotid glands before and during
gustatory stimulation, using an intrinsic susceptibility-weighted MRI method
(blood oxygenation level dependent, BOLD-MRI) at 1.5T and 3T. MATERIALS AND
METHODS: A total of 10 and 13 volunteers were investigated at 1.5T and 3T,
respectively. Measurements were performed before and during gustatory stimulation
using ascorbate. Circular regions of interest (ROIs) were delineated in the left
and right parotid glands, and in the masseter muscle for comparison. The effects
of stimulation were evaluated by calculating the difference between the
relaxation rates, DeltaR(2)*. Baseline and stimulation were statistically
compared (Student's t-tests), merging both parotid glands. RESULTS: The averaged
DeltaR(2)* values prestimulation obtained in all parotid glands were stable (
0.61 to 0.38 x 10(-3) seconds(-1)). At 3T, these values were characterized by an
initial drop (to -2.7 x 10(-3) seconds(-1)) followed by a progressive increase
toward the baseline. No significant difference was observed between baseline and
parotid gland stimulation at 1.5T, neither for the masseter muscle at both field
strengths. A considerable interindividual variability (over 76%) was noticed at
both magnetic fields. CONCLUSION: BOLD-MRI at 3T was able to detect DeltaR(2)*
changes in the parotid glands during gustatory stimulation, consistent with an
increase in oxygen consumption during saliva production.
PMID- 18050323
TI - MRI assessment of lung parenchymal motion in normal mice and transgenic mice with
sickle cell disease.
AB - PURPOSE: To test the feasibility of a method to quantify regional pulmonary
parenchymal motion via nonrigid registration algorithm at small animal scales.
MATERIALS AND METHODS: Voxel-wise displacement vector field maps were generated
between end-inspiratory and end-expiratory coronal thoracic MR images on normal
mice (N = 5) to analyze the magnitude and direction of parenchymal motion in the
segmented regions. The analysis was repeated before and after short-term exposure
to hypoxia to demonstrate the effect of hypoxia on the respiratory motion in
transgenic (Tg) mice with sickle cell disease (SCD) (N = 4). RESULTS: Normal mice
revealed that the right and left lungs moved symmetrically but that there was
greater movement in the lower regions than in the upper regions. Calculated
strain was uniform in the entire lung. In the Tg mice, the pulmonary motion
before hypoxia was similar to that observed in the normal mice. Upon exposure to
hypoxia, the displacement magnitude reduced and the direction of motion in some
areas became distorted. CONCLUSION: MR quantification of pulmonary motion was
feasible in mice and the principle that the method could detect mechanical
abnormalities due to pathologic changes was proven. Quantification of pulmonary
motion has the potential to lead to earlier disease diagnosis and better
monitoring of disease treatments.
PMID- 18050324
TI - Renal T2-weighted turbo-spin-echo imaging with BLADE at 3.0 Tesla: initial
experience.
AB - PURPOSE: The purpose of this study is to evaluate the feasibility and image
quality of multishot T2-weighted (T2w) renal morphologic imaging based on the
acquisition of rotating rectangular strips of k-space data after successive
radiofrequency excitations (BLADE technique). MATERIALS AND METHODS: A total of 7
healthy volunteers and 27 patients with suspected renal and renovascular diseases
were included in this prospective intraindividual study. All exams were performed
at 3.0T in a random order with a standard T2w turbo-spin-echo (TSE) sequence and
with a T2w-BLADE sequence with equal spatial resolution. Phantom measurements
were performed to measure the objective signal-to-noise ratio (SNR). Two
radiologists rated the image sharpness, the flow signal suppression, the presence
of artifacts, and the overall image quality of both techniques and determined
their preferred sequence. RESULTS: The SNR did not show significant differences.
The overall image quality was rated significantly higher for the T2w-BLADE (P <
0.05). Equally, the presence of disturbing artifacts and the sharpness was ranked
significantly better for T2w-BLADE than for the T2w-TSE (P < 0.05). The T2w-BLADE
was the preferred sequence in 59% of all cases, the T2w-TSE in 9%.T2w-BLADE
sequences seem to be superior for the depiction of the kidneys at 3.0T,
particularly due to the decreased number of artifacts and sharper delineation of
the organs.
PMID- 18050325
TI - Diffusion imaging concepts for clinicians.
AB - This review covers the fundamentals of diffusion tensor imaging. It is written
with the clinician in mind and assumes the reader has a passing familiarity with
magnetic resonance imaging (MRI). Topics covered include comparison of diffusion
MRI with conventional MRI, water apparent diffusion coefficient (ADC), diffusion
anisotropy, tract tracing, and changes of water apparent diffusion in response to
injury. The discussion centers primarily on applications to the central nervous
system, but examples from other tissues are included.
PMID- 18050326
TI - Effect of hyaluronan on osteogenic differentiation of porcine bone marrow stromal
cells in vitro.
AB - Hyaluronan (HA) plays a predominant role in tissue morphogenesis, cell migration,
proliferation, and cell differentiation. The aims of the present study were to
investigate whether (i) prolonged presence of high concentration (4.0 mg/mL) 800
KDa HA and (ii) pretreatment with HA can modify osteogenic differentiation of pig
bone marrow stromal cells (pBMSC). Cell proliferation and mineralization were
measured. Expression of differentiation-related genes was evaluated by means of
real-time reverse transcription polymerase chain reaction (RT-PCR). HA increased
cell proliferation on day 7. HA decreased the basal level of bone-related gene
expression and increased the basal level of sox9 marginally during 7-day
pretreatment with HA. HA increased calcium deposit on day 21. cbfa1, ALP, and
type 1 alpha collagen (Col1) expression was increased when pBMSC were cultivated
in osteogenic medium, whereas their expression was decreased in the presence of
HA on day 7. On day 14, the addition of HA upregulated cbfa1 and ALP expression
compared to osteogenic medium group; there was no significant difference in Col1
expression. At day 21, osteocalcin (OC) expression showed 2.5-fold upregulation
over osteogenic medium. These results suggest that exogenous HA stimulates
endogenous HA, which together may play a synergetic role in osteogenic
differentiation under osteoinducing conditions although gene expression was
inhibited at the early stage.
PMID- 18050327
TI - Rapid 3D-T(1) mapping of cartilage with variable flip angle and parallel imaging
at 3.0T.
AB - PURPOSE: To rapidly acquire T(1)-weighted images using a three-dimensional fast
low angle shot (3D FLASH) sequence in combination with generalized
autocalibrating partially parallel acquisitions (GRAPPA) and variable flip angle
(VFA) method at 3.0T. MATERIALS AND METHODS: 3D T(1) maps of model systems
(gadolinium [Gd] and agarose phantoms), bovine cartilage, and human subjects were
constructed on a 3.0T clinical whole-body MR scanner. The T(1) values of model
systems measured using the 2D inversion-recovery fast-spin-echo (IR-FSE) sequence
were considered as a reference method to validate the rapid 3D method for
comparison. RESULTS: The root mean square coefficient of variation percentage
(RMS-CV%) of the median T(1) of agarose phantom across different acquisition
methods was approximately 6.2%. The RMS-CV% of the median T(1) of bovine
cartilage across different acquisition methods was approximately 4.1%. The RMS
CV% of median T(1) of the cartilages among the subjects was between approximately
7.3% to 11.1%. In our study, rapid 3D-T(1) mapping with VFA and parallel imaging
with different acceleration factors (AFs) (AF = 1, 2, 3, and 4) seems to have no
obvious influence on the T(1) mapping (before and after contrast agent
administration). CONCLUSION: The preliminary results demonstrate that it is
possible to quantify 3D-T(1) mapping of the whole knee joint (with 0.7 mm(3)
isotropic resolution) under approximately five minutes with excellent in vivo
reproducibility at 3.0T.
PMID- 18050328
TI - Navigator-triggered isotropic three-dimensional magnetic resonance
cholangiopancreatography in the diagnosis of malignant biliary obstructions:
comparison with direct cholangiography.
AB - PURPOSE: To compare the diagnostic accuracy of navigator-triggered isotropic
three-dimensional (3D) MR cholangiopancreatography (MRCP) using parallel imaging
for malignant biliary obstruction with direct cholangiography. MATERIALS AND
METHODS: A total of 23 patients with malignant biliary obstruction underwent MRCP
and endoscopic retrograde cholangiopancreatography (ERCP)/percutaneous
transhepatic cholangiography (PTC). Two observers retrospectively evaluated 3D
MRCP and ERCP/PTC and recorded the level of obstruction and extent of tumor along
with their confidence. The quality of images and morphologic appearance of
stricture were also evaluated by two reviewers. The results of MRCP and ERCP/PTC
were compared with surgical and histopathological data. RESULTS: 3D-MRCP was of
diagnostic quality and free of artifacts in all patients, whereas ERCP/PTC
examinations failed in three patients. For the evaluation of level of
obstruction, there was no statistical significance between 3D-MRCP and ERCP/PTC.
3D-MRCP was superior to ERCP/PTC in the assessment of anatomical extent of hilar
bile duct involvement, but did not show statistical significance. The accuracy of
3D-MRCP in determining tumoral extent of hilar cancer was higher than that of
ERCP/PTC, but it was not statistically significant. The image quality of 3D-MRCP
was superior to ERCP/PTC. There was good agreement between morphologic appearance
at MRCP and those at ERCP/PTC. CONCLUSION: 3D-MRCP can accurately assess the
level of obstruction and extent of tumor in patients with malignant biliary
obstruction.
PMID- 18050329
TI - Gray and white matter changes in Alzheimer's disease: a diffusion tensor imaging
study.
AB - PURPOSE: To investigate microstructural changes in cortical and white matter
pathways in patients with Alzheimer's disease using diffusion tensor imaging
(DTI). MATERIALS AND METHODS: Measures of mean diffusivity (MD) and fractional
anisotropy (FA) were compared in the brains of 13 Alzheimer's disease (AD)
patients and a group of 13 aged-matched control participants employing an
optimized DTI technique involving a fully automated, voxel-based morphometric
(VBM) analysis. RESULTS: After rigorous control for anatomical variation and
confounding partial volume effects, we found significantly elevated MD measures
within the hippocampus, amygdala, and medial temporal, parietal, and frontal lobe
gray matter regions in the AD participants. The largest number of pixels with
increased MD was localized bilaterally, within the posterior cingulate gyrus. The
FA was significantly reduced within the thalamus, parietal white matter, and
posterior limbs of the internal capsule, indicating significant involvement of
corticothalamic and thalamocortical radiations. CONCLUSION: This study
demonstrates that rigorous VBM analysis of DTI data can be used to investigate
microstructural changes in cortical, subcortical, and white matter regions in AD.
PMID- 18050330
TI - Gray matter prefrontal changes in type 2 diabetes detected using MRI.
AB - PURPOSE: To examine the volumes of the gray and white matter both globally and
regionally in patients diagnosed with type 2 diabetes and controls. MATERIALS AND
METHODS: Our samples were comprised of 26 patients with type 2 diabetes, 26
patients with diabetes and major depressive disorder, and 25 nondiabetic,
nondepressed control subjects. All subjects were studied cross-sectionally on a
1.5 T scanner and were recruited from medicine/diabetes clinics. Both gray and
white matter volumes were estimated using an automated method, and the prefrontal
areas studied included the anterior cingulate, the gyrus rectus, and the
orbitofrontal regions. RESULTS: Patients with diabetes, both with and without
depression, had smaller total brain gray matter volumes when compared with the
control subjects after controlling for age, intracranial volume, and years of
education. This group also had smaller gray matter volumes in the anterior
cingulate and orbitofrontal regions when compared with the controls after
additionally controlling for total gray matter volume. The depressed and
nondepressed diabetic groups did not differ on any neuroimaging measure.
Cerebrovascular risk factors correlated negatively with gray matter volumes.
CONCLUSION: The findings indicate that type 2 diabetes is associated with
specific neuroanatomical abnormalities in the prefrontal gray matter. Vascular
disease might contribute to the findings observed in our sample. These
observations have implications for the behavioral sequelae of diabetes.
PMID- 18050331
TI - Stimulated-echo acquisition mode (STEAM) MRI for black-blood delayed
hyperenhanced myocardial imaging.
AB - PURPOSE: To develop a breathhold method for black-blood viability imaging of the
heart that may facilitate identifying the endocardial border. MATERIALS AND
METHODS: Three stimulated-echo acquisition mode (STEAM) images were obtained
almost simultaneously during the same acquisition using three different
demodulation values. Two of the three images were used to construct a black-blood
image of the heart. The third image was a T(1)-weighted viability image that
enabled detection of hyperintense infarcted myocardium after contrast agent
administration. The three STEAM images were combined into one composite black
blood viability image of the heart. The composite STEAM images were compared to
conventional inversion-recovery (IR) delayed hyperenhanced (DHE) images in nine
human subjects studied on a 3T MRI scanner. RESULTS: STEAM images showed black
blood characteristics and a significant improvement in the blood-infarct signal
difference to noise ratio (SDNR) when compared to the IR-DHE images (34 +/- 4.1
vs. 10 +/- 2.9, mean +/- standard deviation (SD), P < 0.002). There was
sufficient myocardium-infarct SDNR in the STEAM images to accurately delineate
infarcted regions. The extracted infarcts demonstrated good agreement with the IR
DHE images. CONCLUSION: The STEAM black-blood property allows for better
delineation of the blood-infarct border, which would enhance the fast and
accurate measurement of infarct size.
PMID- 18050332
TI - Automatic correction of echo-planar imaging (EPI) ghosting artifacts in real-time
interactive cardiac MRI using sensitivity encoding.
AB - PURPOSE: To develop a method that automatically corrects ghosting artifacts due
to echo-misalignment in interleaved gradient-echo echo-planar imaging (EPI) in
arbitrary oblique or double-oblique scan planes. MATERIALS AND METHODS: An
automatic ghosting correction technique was developed based on an alternating EPI
acquisition and the phased-array ghost elimination (PAGE) reconstruction method.
The direction of k-space traversal is alternated at every temporal frame,
enabling lower temporal-resolution ghost-free coil sensitivity maps to be
dynamically estimated. The proposed method was compared with conventional one
dimensional (1D) phase correction in axial, oblique, and double-oblique scan
planes in phantom and cardiac in vivo studies. The proposed method was also used
in conjunction with two-fold acceleration. RESULTS: The proposed method with
nonaccelerated acquisition provided excellent suppression of ghosting artifacts
in all scan planes, and was substantially more effective than conventional 1D
phase correction in oblique and double-oblique scan planes. The feasibility of
real-time reconstruction using the proposed technique was demonstrated in a scan
protocol with 3.1-mm spatial and 60-msec temporal resolution. CONCLUSION: The
proposed technique with nonaccelerated acquisition provides excellent ghost
suppression in arbitrary scan orientations without a calibration scan, and can be
useful for real-time interactive imaging, in which scan planes are frequently
changed with arbitrary oblique orientations.
PMID- 18050333
TI - MRI-guided radiofrequency ablation of breast cancer: preliminary clinical
experience.
AB - This study was designed to demonstrate the feasibility of MRI-guided
radiofrequency ablation (RFA) of breast cancer. A total of three women diagnosed
with invasive ductal breast cancer were treated with percutaneous MRI-guided RFA,
according to a treat and resect protocol, in our hospital. RFA procedures were
performed in an open 0.5T Signa-SP imager allowing direct patient access and real
time monitoring of the procedure. In all patients ablation was performed with a
15-gauge insulated MRI-compatible multiple needle probe. MRI thermometry and
contrast-enhanced postablation MRI were used to evaluate the ablation process.
Patients underwent lumpectomy within a week of the RFA procedure. Histopathology
confirmed successful (100%) tumor ablation in one patient, and partial tumor
destruction (33% and 50%, respectively) in two patients. Challenges of MRI-guided
breast RFA that need to be solved to facilitate progress of the technique toward
clinical practice are discussed.
PMID- 18050334
TI - Usefulness of apparent diffusion coefficient map in diagnosing prostate
carcinoma: correlation with stepwise histopathology.
AB - PURPOSE: To elucidate the performance of apparent diffusion coefficient (ADC) map
in localizing prostate carcinoma (PC) using stepwise histopathology as a
reference. MATERIALS AND METHODS: Preoperative MR images of 37 patients with PC
who had undergone radical prostatectomy were retrospectively evaluated. First, T2
weighted images (T2WI) alone were interpreted (T2WI reading), and then T2WI along
with ADC map were interpreted (T2WI/ADC map reading). Sextant-based sensitivity
and specificity, and the ratio of the detected volume to the whole tumor volume
(% tumor volume) were compared between the two interpretations, and results were
also correlated to Gleason's scores (GS). ADC values were correlated to
histological grades. RESULTS: Sensitivity was significantly higher in T2WI/ADC
map reading than in T2WI reading (71% vs. 51%), but specificity was similar (61%
vs. 60%). By adding ADC map to T2WI, % tumor volume detected increased
significantly in transitional zone (TZ) lesions, but not in peripheral zone (PZ)
lesions. % tumor volume detected with T2WI/ADC map reading showed a positive
correlation with GS of the specimens. Less differentiated PC were associated with
lower ADC values and higher detectability. CONCLUSION: T2WI/ADC map reading was
better than T2WI reading in PC detection and localization. This approach may be
particularly useful for detecting TZ lesions and biologically aggressive lesions.
PMID- 18050335
TI - In vivo glenohumeral analysis using 3D MRI models and a flexible software tool:
feasibility and precision.
AB - PURPOSE: To implement a PC-based morphometric analysis platform and to evaluate
the feasibility and precision of MRI measurements of glenohumeral translation.
MATERIALS AND METHODS: Using a vertically open 0.5T MRI scanner, the shoulders of
10 healthy subjects were scanned in apprehension (AP) and in neutral position
(NP), respectively. Surface models of the humeral head (HH) and the glenoid
cavity (GC) were created from segmented MR images by three readers. Glenohumeral
translation was determined by the projection point of the manually fitted HH
center on the GC plane defined by the two main principal axes of the GC model.
RESULTS: Positional precision, given as mean (extreme value at 95% confidence
level), was 0.9 (1.8) mm for the HH center and 0.7 (1.6) mm for the GC centroid;
angular GC precision was 1.3 degrees (2.3 degrees ) for the normal and about 4
degrees (7 degrees ) for the anterior and superior coordinate axes. The two
dimensional (2D) precision of the HH projection point was 1.1 (2.2) mm. A
significant HH translation between AP and NP was found. CONCLUSION: Despite a
limited quality of the underlying model data, our PC-based analysis platform
allows a precise morphometric analysis of the glenohumeral joint. The software is
easily extendable and may potentially be used for an objective evaluation of
therapeutical measures.
PMID- 18050336
TI - Visualization and interpretation of birth defects data using linked micromap
plots.
AB - BACKGROUND: Many states have implemented birth defects surveillance systems to
monitor and disseminate information regarding birth defects. However, many of
these states rely on tabular methods to disseminate statistical birth defects
summaries. An innovative presentation technique for birth defect data that
portrays the information in a joint geographical and statistical context is the
linked micromap (LM) plot. METHODS: LM plots were generated for oral cleft data
at two geographical resolutions-USA states and counties of Utah. The LM plots
also included demographic and behavioral risk data. RESULTS: A LM plot for the
USA reveals spatial patterns indicating higher oral cleft occurrence in the
southwest and the midwest and lower occurrence in the east. The LM plot also
indicates relationships between oral cleft occurrence and maternal smoking rates
and the proportion of American Indians and Alaskan Natives. In particular, the
five states with the highest oral cleft occurrence had a higher proportion of
American Indians and Alaskan Natives. Among the 15 states with the highest oral
cleft occurrence, nine had a smoking rate of 16% or higher while among the 15
states with the lowest oral cleft occurrence only one state had a smoking rate
greater than 16%. The LM plot for the state of Utah shows no clear geographic
pattern, due perhaps to a relatively small number of cases in a limited
geographic area. CONCLUSIONS: LM plots are effective in representing complex and
large volume birth defects data. Integration to birth defects surveillance
systems will improve both presentation and interpretation.
PMID- 18050337
TI - Impact of folic acid food fortification on the birth prevalence of
lipomyelomeningocele in Canada.
AB - BACKGROUND: Recent studies reported no reduction in the frequency of
lipomeningomyelocele (LMMC) in Hawaii and Nova Scotia after the implementation of
a folic acid food fortification policy in 1998, while a marked reduction in the
prevalence of other NTDs was observed. This study was performed to assess the
prevalence of LMMC in Canada in relation to the timing of food fortification.
METHODS: The study population included livebirths, stillbirths, and terminations
of pregnancies because of fetal anomaly to women residing in seven Canadian
provinces, from 1993 to 2002. In each province, the ascertainment of NTD cases
relied on multiple sources, and in addition all medical charts were reviewed. The
study period was divided into pre-, partial, and full fortification periods,
based on results of red cell folate tests published in the literature. RESULTS: A
total of 86 LMMC cases were recorded among approximately 1.9 million live births.
The average birth prevalence rate was 0.05/1,000, ranging from a minimum of
0.01/1,000 in 2002 to a maximum of 0.08/1,000 in 1999. There was statistical
heterogeneity between years (p = .01), but no pattern compatible with a decrease
following fortification. Comparing the full fortification period with the
prefortification period, there was a slight but not statistically significant
decrease in LMMC birth prevalence. CONCLUSIONS: LMMC seems to be pathogenically
distinct from myelomeningocele and more studies are needed to understand the
embryologic mechanisms leading to this condition, and the environmental and
genetic factors involved in its etiology.
PMID- 18050338
TI - Deep vertical collagen fibrils play a significant role in mechanics of articular
cartilage.
AB - The primary orientation of collagen fibrils alters along the cartilage depth;
being horizontal in the superficial zone, random in the transitional zone, and
vertical in the deep zone. Commonly used confined and unconfined (when with no
underlying bone) testing configurations cannot capture the mechanical role of
deep vertical fibril network. To determine this role in cartilage mechanics, an
axisymmetric nonlinear fibril-reinforced poroelastic model of tibial cartilage
plateaus was developed accounting for depth-dependent properties and distinct
fibril networks with physical material properties. Both creep and relaxation
indentation models were analyzed which results were found equivalent in the
transient period but diverged in post-transient periods. Vertical fibrils played
a significant role at the transient period in dramatically increasing the
stiffness of the tissue and in protecting the solid matrix against large
distortions and strains at the subchondral junction. This role, however,
disappeared both with time and at loading rates slower than those expected in
physiological activities such as walking. The vertical fibrils demonstrated a
chevron-type deformation pattern that was further accentuated with time in creep
loading. Damages to deep vertical collagen fibril network or their firm anchorage
to the bone, associated with bone bruises, for example, would weaken the
transient stiffness and place the tissue at higher risk of failure particularly
at the deep zone.
PMID- 18050339
TI - Dynamic and static mechanical compression affects Akt phosphorylation in porcine
patellofemoral joint cartilage.
AB - Protein kinase B (Akt)-dependent signaling pathways induced by mechanical loading
have been identified in a variety of tissue. However, there is no evidence for a
potential regulation of Akt in cartilage mechanotransduction. This study was
conducted in order to determine whether or not the Akt in chondrocytes is
regulated by mechanical loading. Porcine patellofemoral joints were loaded in
compression at 500 N for 150 s either dynamically at 12 Hz or 1 Hz or statically
using a custom-designed loading frame. Left-sided knees served as intervention,
right-sided as unloaded control. Cartilage samples were harvested at different
time points after mechanical loading and the phosphorylation of Akt was analyzed
immunohistochemically. A downregulation of Akt phosphorylation was seen in
cartilage 300 s after mechanical loading whereas Akt phosphorylation remained
unchanged in unloaded specimens. In addition, regulation of Akt appeared to
change with the frequency of loading, presenting different patterns in Akt
phosphorylation with static and dynamic loading. Variations in Akt
phosphorylation were detected through different zones of cartilage. Overall, our
findings indicate that Akt signaling in porcine patellofemoral joint cartilage is
dependent upon frequency of loading, cartilage zone, and the time interval
between loading and cartilage harvest. It may be concluded that Akt plays a role
in cartilage mechanotransduction.
PMID- 18050340
TI - Microcomputed tomography imaging in a rat model of delayed union/non-union
fracture.
AB - We aimed to develop a clinically relevant delayed union/non-union fracture model
to evaluate a cell therapy intervention repair strategy. Histology, three
dimensional (3D) microcomputed tomography (micro-CT) imaging and mechanical
testing were utilized to develop an analytical protocol for qualitative and
quantitative assessment of fracture repair. An open femoral diaphyseal osteotomy,
combined with periosteal diathermy and endosteal excision, was held in
compression by a four pin unilateral external fixator. Three delayed union/non
union fracture groups established at 6 weeks--(a) a control group, (b) a cell
therapy group, and (c) a group receiving phosphate-buffered saline (PBS)
injection alone--were examined subsequently at 8 and 14 weeks. The histological
response was combined fibrous and cartilaginous non-unions in groups A and B with
fibrous non-unions in group C. Mineralized callus volume/total volume percentage
showed no statistically significant differences between groups. Endosteal
calcified tissue volume/endosteal tissue volume, at the center of the fracture
site, displayed statistically significant differences between 8 and 14 weeks for
cell and PBS intervention groups but not for the control group. The percentage
load to failure was significantly lower in the control and cell treatment groups
than in the PBS alone group. High-resolution micro-CT imaging provides a powerful
tool to augment characterization of repair in delayed union/non-union fractures
together with outcomes such as histology and mechanical strength measurement.
Accurate, nondestructive, 3D identification of mineralization progression in
repairing fractures is enabled in the presence or absence of intervention
strategies.
PMID- 18050341
TI - Single-shot dual-z-shimmed sensitivity-encoded spiral-in/out imaging for
functional MRI with reduced susceptibility artifacts.
AB - Blood oxygenation level-dependent (BOLD) functional MRI (fMRI) can be severely
hampered by signal loss due to susceptibility-induced static magnetic field
(B(0)) inhomogeneities near air/tissue interfaces. A single-shot spiral-in/out
sequence with a z-shim gradient embedded between the two acquisitions was
previously proposed to efficiently recover the signal. However, despite promising
results, this technique had several limitations, which are addressed here as
follows. First, by adding a second z-shim gradient before the spiral-in
acquisition and optimizing both z-shim gradients slice-by-slice, a significantly
more uniform signal recovery can be achieved. Second, by acquiring a B(0) map,
the optimal z-shim gradients can be directly, efficiently, and accurately
determined for each subject. Third, by complementing the z-shimming approach with
sensitivity encoding (SENSE), the in-plane spatial resolution can be increased
and, hence, susceptibility artifacts further reduced, while maintaining a high
temporal resolution for fMRI applications. These advantages are demonstrated in
human functional studies.
PMID- 18050342
TI - Displacement encoding for the measurement of cartilage deformation.
AB - Articular cartilage is a load bearing and lubricating tissue in animal joints.
Heterogeneous deformations arise in the structured and zonal tissue under the
application of mechanical load. The character of these deformations is altered by
degenerative joint disease. Here, we document an MRI-based technique for
determining deformations throughout the volume of the tissue based on
displacement encoding with stimulated echoes (DENSE) and a fast spin echo (FSE)
readout. A DENSE-FSE technique was designed to image cartilage at 9.4 Tesla in a
deformed state during the application of cyclic mechanical loading. Artifact
elimination arising from stimulated echoes and FSE was accomplished by radio
frequency pulse phase cycling. The error of the technique was random and was
quantified in terms of precision as better than 0.17% strain. Heterogeneous
deformation field patterns in axial, transverse, and shear directions were
quantified in a single tissue explant loaded in simple uniaxial compression. The
technique is appropriate for documenting tissue deformations during applied
physiologically relevant stress levels and loading rates. It may also be applied
to characterize the micromechanical strain environment in normal, diseased, or
regenerated cartilage in response to applied mechanical loading.
PMID- 18050343
TI - Quantitative proton spectroscopic imaging of the neurochemical profile in rat
brain with microliter resolution at ultra-short echo times.
AB - Proton spectroscopy allows the simultaneous quantification of a high number of
metabolite concentrations termed the neurochemical profile. The spin echo full
intensity acquired localization (SPECIAL) scheme with an echo time of 2.7 ms was
used at 9.4T for excitation of a slab parallel to a home-built quadrature surface
coil in conjunction with phase encoding in the two remaining spatial dimensions
to yield an effective spatial resolution of 1.7 microL. The absolute
concentrations of at least 10 metabolites were calculated from the spectra of
individual voxels using LCModel analysis. The calculated concentrations were used
for constructing quantitative metabolic maps of the neurochemical profile in
normal and pathological rat brain. Summation of individual spectra was used to
assess the neurochemical profile of unique brain regions, such as corpus
callosum, in rat for the first time. Following focal ischemia in rat pups,
imaging the neurochemical profile indicated increased choline groups in the
ischemic core and increased glutamine in the penumbra, which is proposed to
reflect glutamate excitotoxicity. We conclude that it is feasible to achieve a
sensitivity that is sufficient for quantitative mapping of the neurochemical
profile at microliter spatial resolution.
PMID- 18050344
TI - 19F NMR in vivo spectroscopy reflects the effectiveness of perfusion-enhancing
vascular modifiers for improving gemcitabine chemotherapy.
AB - Nuclear magnetic resonance spectroscopy of fluorine-19 ((19)F NMR) has proven
useful for evaluating kinetics of fluorinated chemotherapy drugs in tumors in
vivo. This work investigated how three perfusion-enhancing vascular modifiers
(BQ123, thalidomide, and Botulinum neurotoxin type A [BoNT-A]) would affect the
chemotherapeutic efficacy of gemcitabine, a fluorinated drug widely used in human
cancer treatment. Murine tumor growth experiments demonstrated that only BoNT-A
showed a strong trend to enhance tumor growth inhibition by gemcitabine (1.7 days
growth delay, P = 0.052, Student t-test). In accord with these results, (19)F NMR
experiments showed that only BoNT-A increased significantly the uptake of
gemcitabine in tumors (50% increase, P = 0.0008, Student t-test). Further
experiments on gemcitabine kinetics (NMR vs time) and distribution ((19)F MRI)
confirmed the uptake-enhancing properties of BoNT-A. The results of this study
demonstrate that (19)F NMR can monitor modulation of the pharmacokinetics of
fluorinated chemotherapy drugs in tumors. The results also show that (19)F NMR
data can give a strong indication of the effectiveness of perfusion-enhancing
vascular modifiers for improving gemcitabine chemotherapy in murine tumors. (19)F
NMR is a promising tool for preclinical evaluation of such vascular modifiers and
may ultimately be used in the clinic to monitor how these modifiers affect
chemotherapy.
PMID- 18050345
TI - Diffusion tensor metrics, T2 relaxation, and volumetry of the naturally aging
human caudate nuclei in healthy young and middle-aged adults: possible
implications for the neurobiology of human brain aging and disease.
AB - In this study of a cohort of 33 young and middle-age adults (19-59 years) we
report simultaneous measurements of normal age-related changes in the caudate
nuclei volume, diffusion tensor metrics, and T(2) relaxation time. Both the
absolute caudate volume and its ratio relative to the total intracranial volume
decreased rapidly with age in both men and women (r = -0.55; P < 0.001). The
fractional diffusion tensor anisotropy of the caudate nuclei increased with age
in both males and females (r = 0.48; P = 0.005). The corresponding age
correlations of the caudate axial (r = 0.17; P = 0.35), transverse (r = -0.12; P
= 0.50), mean diffusivities (r = 0.018; P = 0.92), and T(2) relaxation times (r =
0.194; P = 0.28) were weaker and did not reach statistical significance (P >
0.05). Our preliminary findings warrant further studies on the older and aging
adults and indicate that caudate diffusion tensor imaging-derived metrics can be
used as surrogates in modeling the neuronal substrates of gray matter atrophy.
PMID- 18050346
TI - Quantitative assessment of intervertebral disc glycosaminoglycan distribution by
gadolinium-enhanced MRI in orthopedic patients.
AB - Our hypothesis was that the enhanced MRI of cartilage (dGEMRIC) imaging protocol
could be used in patients to quantify the sulfated glycosaminoglycan (sGAG) in
intervertebral discs (IVD). To test this hypothesis, 23 patients with
degenerative disc pathology scheduled for surgery were studied by a specific
dGEMRIC protocol: each patient underwent two MRI scans, before and 3.5 hr after
Gd(DTPA)2-injection of a nonconventional dose of 40 mL. Then, T(1PRE-ENH) and
T(1POST-ENH) parametric images of the disc were obtained, from which a new index
DeltaT(1) of the molecular status of the IVD was computed (T(1PRE-ENH) - T(1POST
ENH)). A total of 31 tissue samples (one or two from each patient) obtained at
herniectomy were collected and biochemically analyzed for sGAG content and used
as the gold standard for comparison. DeltaT(1) values in correspondence to
degenerated sectors were higher (158 +/- 36 ms) compared to normal sectors (80 +/
13 ms). Linear regression analysis between MRI-derived and biochemistry-derived
measurements resulted in a significant correlation (r = 0.73, P < 0.0001). The
DeltaT(1) parametric images, calculated using the modified dGEMRIC technique,
provided noninvasive quantitative information about sGAG content within discal
tissue in vivo, which resulted in agreement with biochemical analysis. The
application of this new MRI method could provide diagnostic information for
standard treatment of lumbar discopathy and for innovative therapies of
regenerative medicine.
PMID- 18050347
TI - Comparison of the effectiveness of saturation pulses in the heart at 3T.
AB - Cardiac MRI at 3T provides a means to increase the contrast-to-noise ratio (CNR)
for first-pass perfusion MRI. However, both the static magnetic field (B(0)) and
radio frequency (RF) field (B(1)) variations within the heart are comparatively
higher at 3T than at 1.5T. The increased field variations can degrade the
performance of a single rectangular saturation pulse that is conventionally used
for magnetization preparation. The accuracy of T(1)-weighted signal measurement
depends on the uniformity of the magnetization saturation. The purpose of this
study was to assess the relative effectiveness of the rectangular, pulse train,
and adiabatic composite (BIR-4) saturation pulses in the human heart at 3T. In
volunteers, after nominal saturation, the mean residual magnetization within the
left ventricle (LV) was different between all three pulses (0.13 +/- 0.06 vs.
0.03 +/- 0.02 vs. 0.03 +/- 0.01, respectively; P < 0.001). Within paired groups,
the mean residual magnetization was significantly higher for the rectangular
pulse than for either the pulse train and BIR-4 pulses (P < 0.001), but not
different between the pulse train and BIR-4 pulses. The performances of all three
saturation pulses were comparatively poorer in the right ventricle (RV) than in
the LV, respectively.
PMID- 18050348
TI - Optimization of scan parameters in pulmonary partial pressure oxygen measurement
by hyperpolarized 3He MRI.
AB - The dependence of hyperpolarized (HP) (3)He T(1) on local oxygen concentration
provides the basis for measuring the partial pressure of oxygen (pO(2)) and
oxygen depletion rate (R) in the lungs. Precise measurements of this type are
difficult because the oxygen effect manifests itself through a decay of signal,
leading to noisy images at the end of the series. The depolarization caused by RF
excitation pulses further complicates the problem. It is therefore important to
optimize scan parameters, such as measurement timing and flip angle, to obtain
accurate and reproducible measurements. This work presents a new single
acquisition technique in conjunction with the multiple regression fitting method
for data evaluation. Analytical expressions for the measurement uncertainties are
derived. A total of four types of single-acquisition timing schemes are
investigated; simulation shows a large uncertainty variation between these
schemes (pO(2): 7.5-30.2%; R: 47.4-173.7%). A basic procedure for optimizing scan
parameters is then described. A phantom experiment was conducted to verify the
simulation results. Repeated in vivo measurements with the optimal scheme in a
rabbit experiment showed that average variation of global mean is 6.2% for pO(2)
and 12.0% for R, and that the average variation of percentiles (10th, 25th, 50th,
75th, and 90th) is 8.7% for pO(2) and 19.0% for R.
PMID- 18050349
TI - SELOVS: brain MRSI localization based on highly selective T1- and B1- insensitive
outer-volume suppression at 3T.
AB - In vivo, high-field MR spectroscopic imaging (MRSI) profits from signal-to-noise
ratio (SNR) gain and increased spectral resolution. However, bandwidth
limitations of slice-selective excitation and refocusing pulses lead to strong
chemical-shift displacement at high field strength when using conventional MRSI
localization based on PRESS. Consequential metabolic information, particularly of
border regions such as cortical brain tissue, is distorted. In addition, lipid
contamination remains a major confound. To address these problems it is proposed
to abandon PRESS selection and rely on a novel scheme of highly selective T(1)-
and B(1)-insensitive outer-volume suppression in combination with slice-selective
spin-echo acquisition for brain MRSI. Multiple cycles of overlapping suppression
slabs are applied with flip angles optimized to account for tissue-dependent T(1)
relaxation times and band crossings. Broadband frequency modulated saturation
pulses with polynomial phase-response are utilized in order to minimize chemical
shift displacement. Efficacy of the outer-volume suppression sequence was
simulated and evaluated in vitro and in vivo. Brain MRSI localization at 3T was
significantly improved and reliable suppression of short-range lipid
contamination enabled, leading to substantial enhancement of spectral quality,
particularly in cortical tissue. Hence, the new method holds potential to expand
the applicability of high-field MRSI to the entire brain.
PMID- 18050350
TI - Improved focal liver lesion detection: comparison of single-shot spin-echo echo
planar and superparamagnetic iron oxide (SPIO)-enhanced MRI.
AB - PURPOSE: To prospectively compare single-shot spin-echo echo-planar imaging (SSSE
EPI) using b = 0, 10, 150, and 400 seconds/mm(2) with standard MRI techniques
after intravenous super paramagnetic iron oxide (SPIO) in the detection and
characterization of focal liver lesions with focus on small (<10 mm) focal liver
lesions. MATERIALS AND METHODS: A total of 25 patients suspected for colorectal
liver metastases were included. Number of detected lesions was evaluated. Image
quality was compared between SSSE-EPI sequence and post-SPIO (fat-suppressed T1
weighted [T1w] gradient echo [GE], T2-weighted [T2w] turbo spin echo [TSE] and
T2* GE) sequences using rank order statistic (RIDIT). Lesion characterization was
performed for SSSE-EPI and for all remaining sequences pre- and post-SPIO.
Reference standard comprised surgery, biopsy, and/or follow-up. RESULTS:
Reference standard demonstrated 25 hemangiomas and 70 metastases. Best lesion
detection respectively best image quality (P < 0.05) was achieved with SSSE-EPI
(b = 10 seconds/mm(2)) post-SPIO T1w GE and T2w turbo spin echo. Lesion
characterization using all sequences pre- and post-SPIO performed best for lesion
characterization compared with SSSE-EPI. CONCLUSION: This preliminary study shows
the potential of SSSE-EPI as a stand-alone sequence for the detection of liver
hemangiomas and metastases when compared with SPIO-enhanced imaging. Sequences
pre- and post-SPIO are needed for qualitative lesion characterization.
PMID- 18050351
TI - Anterior cruciate ligament volume: analysis of gender differences.
AB - In this study, gender differences in the anterior cruciate ligament (ACL) were
assessed with high resolution MRI. Using a quadrature extremity coil at 1.5T,
volumes of the separate bundles of the ACL (anteromedial [AMB] and posterolateral
[PLB]) were measured with shaded surface three-dimensional (3D) reconstruction in
63 knees (33 male, 30 female). Bundle volumes were measured from consecutive fat
suppressed fast spin-echo proton-density coronal MR images and intraobserver
reproducibility was recorded. The data were divided into subgroups based on
gender, age, height, weight, and body mass index (BMI) for statistical analysis.
Results of the study revealed the mean ACL volume to be significantly different
for males (1.07 cc) and females (0.77 cc) (P = 0.0025), yet when adjusting for
body height differences, these were no longer significantly different. Mean AMB
volume was smaller than the PLB volume for females (0.33 cc vs. 0.44 cc; P <
0.0001) and males (0.49 cc vs. 0.58 cc; P < 0.0001). Correlation coefficients
were highest for height (r = 0.597) and weight (r = 0.5371) and regression
analysis revealed height to be the most significant factor affecting ACL volume.
Intraobserver measurements were reproducible (r = 0.90). From this data, we
conclude that gender differences in ACL volume are present, but may be accounted
for by height differences between males and females.
PMID- 18050352
TI - Evaluation of manual and automatic segmentation of the mouse heart from CINE MR
images.
AB - PURPOSE: To compare global functional parameters determined from a stack of
cinematographic MR images of mouse heart by a manual segmentation and an
automatic segmentation algorithm. MATERIALS AND METHODS: The manual and automatic
segmentation results of 22 mouse hearts were compared. The automatic segmentation
was based on propagation of a minimum cost algorithm in polar space starting from
manually drawn contours in one heart phase. Intra- and interobserver variability
as well as validity of the automatic segmentation was determined. To test the
reproducibility of the algorithm the variability was calculated from the intra-
and interobserver input. RESULTS: The mean time of segmentation for one dataset
was around 10 minutes and approximately 2.5 hours for automatic and manual
segmentation, respectively. There were no significant differences between the
automatic and the manual segmentation except for the end systolic epicardial
volume. The automatically derived volumes correlated well with the manually
derived volumes (R(2) = 0.90); left ventricular mass with and without papillary
muscle showed a correlation R(2) of 0.74 and 0.76, respectively. The manual
intraobserver variability was superior to the interobserver variability and the
variability of the automatic segmentation, while the manual interobserver
variability was comparable to the variability of the automatic segmentation. The
automatic segmentation algorithm reduced the bias of the intra- and interobserver
variability. CONCLUSION: We conclude that automatic segmentation of the mouse
heart provides a fast and valid alternative to manual segmentation of the mouse
heart.
PMID- 18050353
TI - Assessment of pulmonary perfusion in a single shot using SEEPAGE.
AB - PURPOSE: To present a single-shot perfusion imaging sequence that does not
require contrast agents or a subtraction of a tag and a control image to create
the perfusion-weighted contrast. The proposed method is based on SEEPAGE.
MATERIALS AND METHODS: Experiments with healthy volunteers were performed to
qualitatively and quantitatively obtain pulmonary perfusion values in coronal as
well as sagittal orientation. In addition, a first experiment with a lung cancer
patient was performed to explore the potentials of SEEPAGE in a clinical
application. RESULTS: All experiments clearly showed a perfusion-weighted
contrast, providing clinical quality images with high spatial resolution. The
quantified perfusion rates were consistent in the different imaging orientations
and covered the interval of 1.00-4.00 mL/min/mL. In addition, the gravitational
dependence of pulmonary perfusion, the influence of adiabatic pulse duration on
signal intensity, and the tracer saturation effect were examined. In the patient
examination the presented technique provided additional information of the lung
deficiency compared to a conventional anatomical image. CONCLUSION: SEEPAGE has
proved to be a robust and reproducible technique for obtaining perfusion-weighted
images in a single measurement and for quantifying pulmonary perfusion using an
additional reference scan. Furthermore, the proposed method shows promise for
future clinical application.
PMID- 18050354
TI - Renal artery stenosis: extracting quantitative parameters with a mathematical
model fitted to magnetic resonance blood flow data.
AB - PURPOSE: To investigate the feasibility of quantitative parameter extraction from
a mathematical model fitted to renal artery magnetic resonance flow data.
MATERIAL AND METHODS: A total of 16 subjects, eight patients, and eight normal
controls, were examined with cine phase-contrast velocity measurements, and blood
flow data from the aorta and both renal arteries were extracted by means of
contour detection. A mathematical model with eight parameters describing the
time, duration, and amplitude of the systolic acceleration and the diastolic
deceleration was fitted to the aorta and renal artery blood flow data from each
subject. The curve fitting was evaluated with R(2) values. Statistical analysis
was performed with unpaired Wilcoxon tests and stepwise logistic regression.
RESULTS: A total of three data sets out of 48 yielded R(2) values below 0.80 and
were considered unreliable for parameter estimation. Basal flow was
significantly, and systolic peak amplitude almost significantly, lower in
stenotic arteries. Logistic regression indicated that two parameters describing
basal flow and the duration of acceleration can accurately predict stenosis.
CONCLUSION: The results suggest that it is technically feasible to fit a
mathematical model to renal blood flow data, extracting quantitative parameters
that may prove useful for quantification and diagnosis of renal artery stenosis.
PMID- 18050355
TI - Effects of doubling and tripling the spatial resolution in standard 3D contrast
enhanced magnetic resonance angiography of carotid artery disease.
AB - PURPOSE: To determine whether three-dimensional (3D) contrast-enhanced MR
angiography (CE-MRA) of carotid artery disease may be more effective when
performed at double or triple the spatial resolution of the present common
clinical standard at 1.5T. MATERIALS AND METHODS: A total of 110 consecutive
patients with suspected carotid artery disease were imaged with elliptical
centric 3D CE-MRA. The total acquisition time was increased from the standard
clinical protocol of 21 seconds up to 60 seconds in 10-second steps, with
corresponding voxel volume reductions from 0.95 mm(3) down to 0.35 mm(3).
Quantitative and blinded qualitative measurements were then performed to
determine the preferred imaging time. RESULTS: In patients with significant
stenosis, the 40-second acquisition with 0.53-mm(3) voxels produced significantly
sharper images of the carotid bifurcation than the 21-second standard using 0.95
mm(3) voxels, but did not have a significant effect in patients without disease.
CONCLUSION: In patients with carotid artery stenosis, decreasing the voxel volume
to 0.5 mm(3) by increasing the scan time from 21 to 40 seconds resulted in
sharper depiction of the carotid stenosis. Further decreases in voxel volume, by
extending the acquisition time further, did not improve the vessel depiction due
to both signal-to-noise ratio (SNR) and sharpness losses.
PMID- 18050356
TI - Bony impingement affects range of motion after total hip arthroplasty: A subject
specific approach.
AB - Hip range of motion after total hip arthroplasty has been shown to be dependent
on prosthetic design and component placement. We hypothesized that bony anatomy
would significantly affect range of motion. Computer models of a current
generation hip arthroplasty design were virtually implanted in a model of pelvis
and femur in various orientations ranging from 35 degrees to 55 degrees cup
abduction, 0 degrees to 30 degrees cup anteversion, and 0 degrees to 30 degrees
femoral anteversion. Four head sizes ranging from 22.2 to 32 mm and two neck
sizes ranging from 10-mm and 12-mm diameter were tested. Range of motion was
recorded as maximum flexion-extension, abduction-adduction, and axial rotation of
the femur before any contact between prosthetic components or bone was detected.
Bony impingement preceded component impingement in about 44% of all conditions
tested, ranging from 66% in adduction to 22% in extension. Range of motion
increased as head size increased. However, increasing head size also increased
the propensity for bony impingement, which tended to reduce the beneficial effect
of increased head size on range of motion. Reducing neck diameter had a greater
effect on prosthetic impingement (mean, 3.5 degrees increase in range of motion)
compared to bone impingement (mean, 1.9 degrees ). This model allowed for a
clinically relevant assessment of range of motion after total hip arthroplasty
and may also be used with patient-specific geometry [such as that obtained from
preoperative computed tomography (CT) scans] for more accurate preoperative
planning.
PMID- 18050357
TI - Lymphocytic vacuolization in sialic acid storage disease.
PMID- 18050358
TI - Na+/Ca2+-exchanger-mediated Mn2+-enhanced (1)H2O MRI in hypoxic, perfused rat
myocardium.
AB - Paramagnetic Mn2+ has emerged in the search for non-invasive magnetic resonance
imaging (MRI) techniques to monitor Ca2+ in diagnostic and prognostic
cardiovascular disease tests because it both alters MRI contrast and behaves as a
Ca2+ 'surrogate' in vivo. However, the reliance on macroscopically averaged
measurements to infer microscopic processes constitutes a major limitation of
MRI. This investigation circumvents this limitation and contributes an MRI-based
myocardial Ca2+-transporter assay, which probes the Na+/Ca2+-exchanger
involvement in Mn2+ (and presumably Ca2+) transport by virtue of its response to
pharmacological inhibition. In the model employed herein, ex vivo arrested rat
hearts underwent normoxia and then hypoxia while a constant (hyperkalemic)
perfusion minimized flow (and uncontrolled Ca2+-channel) contributions to Mn2+
enhanced MRI measurements. The results (i) demonstrate that Mn2+ (and presumably
Ca2+) accumulates via Na+/Ca2+-exchanger-mediated transport during hyperkalemic
hypoxia and further, (ii) implicate hypo-perfusion (rather than the diminished
participation of an isolated sarcolemmal Ca2+-transporter) as the mechanism that
underlies the reported reductions of Mn2+ accumulation (relative to healthy
myocardium) subsequent to myocardial insults in MRI studies. Although myriad
studies have employed Mn2+-enhanced MRI in myocardial investigations, this
appears to be the first attempt to assay the Na+/Ca2+-exchanger with MRI under
highly circumscribed conditions. MRI-based Ca2+)transporter assays, such as the
Na+/Ca2+-exchanger assay utilized here, will inevitably impact disciplines in the
medical sciences and beyond.
PMID- 18050359
TI - Can we differentiate true white matter fibers from pseudofibers inside a brain
abscess cavity using geometrical diffusion tensor imaging metrics?
AB - High fractional anisotropy (FA) usually reflects the orientation and integrity of
white matter (WM) fibers. Other regions of increased FA have been described, such
as brain abscesses, developing cortex, and areas of hemorrhage. It may not be
possible to differentiate true fibers from the pseudofibers found inside an
abscess cavity on the basis of FA and mean diffusivity (MD). The aim of this
study was to differentiate true WM fibers from pseudo WM tracts inside the
abscess cavity using geometrical diffusion tensor imaging metrics [linear
anisotropy (CL), planar anisotropy (CP), and spherical anisotropy (CS)].
Diffusion tensor imaging was performed in 42 patients with brain abscess and 10
age/sex-matched controls. Automated segmentation using Java-based software
divided the abscess cavity into two sub-regions with FA < 0.20 and FA > or =
0.20. Quantitation was carried out on the sub-regions of the abscess cavity with
FA > or = 0.20. In healthy controls, regions of interest were placed on the
corpus callosum, posterior limb of the internal capsule, and periventricular and
subcortical WM. Significantly increased CP values were observed inside the
abscess cavity compared with various normal WM regions. Significantly increased
FA and CL values were observed in the abscess cavity compared with subcortical WM
only. However decreased FA and CL values were observed in the cavity compared
with the corpus callosum, posterior limb of the internal capsule, and
periventricular WM. The 95% confidence intervals of means for the abscess cavity
were well separated from those for WM in the case of CL and CP; however, they
overlapped in the case of FA, MD, and CS. High CP with low CL inside the abscess
cavity suggests that the shape of the diffusion tensor is predominantly planar,
whereas it is linear in WM tracts. These geometrical indices may have advantages
over FA for differentiating true from pseudo WM tracts inside the abscess cavity.
PMID- 18050360
TI - Potentially inappropriate prescribing to hospitalised patients.
AB - PURPOSE: The objective of this study was to evaluate the prevalence of potential
drug-drug interactions (DDIs) in hospitalised patients in correlation with
patient's age and number of drugs prescribed and to determine the prevalence of
inappropriate drugs prescribed to elderly patients. METHODS: Drugs prescribed
during 1 day to all hospitalised patients at seven wards of Department of
Medicine in University Hospital Rijeka were recorded by reviewing patient medical
charts. Potential DDIs were evaluated using a list of potentially harmful drug
combinations compiled from the literature. Beers criteria were used to identify
potentially inappropriate medications in patients aged 65 years or older.
RESULTS: The study included 225 patients that received a total of 1301 drugs.
Twenty-two percent of the patients receiving drug therapy were prescribed drug
combinations that are potentially harmful. The most common potentially harmful
drug combination was an ACE inhibitor with a potassium supplement (33.9% of all
combinations). In the multivariate analysis, age and number of drugs are
significantly associated with potential DDIs (r = 0.8629). One quarter of elderly
patients received a drug potentially inappropriate considering their age. The
most commonly prescribed potentially inappropriate drug was amiodarone, followed
by diazepam. CONCLUSION: Polypharmacy and older age have been proven to be
important risk factors for potential drug interactions. We identified a high rate
of prescribing potentially inappropriate medications among elders. Results of
this study indicate that particular caution should be given when prescribing
drugs to patients already receiving drugs and to elderly patients, considering
the risk of drug-related problems.
PMID- 18050361
TI - SPR identification of mild elution conditions for affinity purification of E6
oncoprotein, using a multivariate experimental design.
AB - The purification of "difficult" proteins for structural and functional studies
remains a challenge. A widely used approach is their production as fusions with
an affinity tag, so that a generic tag-based purification protocol can be
applied. Alternatively, immuno-affinity using a protein-specific antibody allows
purification of unmodified proteins in a single step, if mild elution conditions
can be identified for dissociating the complex without disrupting the folding of
the protein. Here, we describe a quantitative structure activity relationship
(QSAR) strategy to predict optimized elution conditions from a mathematical model
that relates target/antibody dissociation to environmental changes. We illustrate
the strategy with the E6 protein of the human papilloma virus (HPV) 16, a highly
unstable protein central to HPV-induced carcinogenesis. Surface plasmon resonance
(SPR) was used to measure the kinetics of dissociation of an E6 peptide from an
E6-specific antibody in a set of multivariate conditions, where three
environmental factors (pH, NaCl concentration, and temperature) were varied. The
QSAR model indicated that dissociation is favored at pH < 5, which is detrimental
to E6 folding, and also at pH > or = 10 if the temperature is high. We verified
that the conclusions of the QSAR study with the peptide were valid for the
scFv1F4/E6 protein complex, and that the recovered protein was capable of
mediating p53 degradation. Finally, we demonstrated that the optimized elution
conditions (pH 10, 35 degrees C) were adequate for purifying the recombinant E6
protein from crude cell extracts.
PMID- 18050362
TI - Do patients with schizophrenia receive state-of-the-art lung cancer therapy? A
brief report.
AB - OBJECTIVE: Patients with schizophrenia sometimes receive substandard medical
care. This study explored such disparities among lung cancer patients with
underlying schizophrenia. METHODS: This retrospective study focused on patients
with pre-existing schizophrenia (or in some instances schizoaffective disorder)
and a lung cancer diagnosis made between 1980 and 2004. 'Disparity' was defined
as a patient's having been prescribed less aggressive therapy for a potentially
curable cancer based on state-of-the-art treatment standards for the time and for
the cancer stage. Qualitative methods were used to assess healthcare providers'
decision-making. RESULTS: 29 patients were included. The median age was 59 years;
38% were men. Twenty-three had non-small cell lung cancer and 6 small cell lung
cancer; 17 had potentially curable cancers. Five of 17 had a 'disparity' in
cancer care: (1) no cancer therapy was prescribed because of chronic obstructive
pulmonary disease; (2) no cancer therapy was prescribed because of infection; (3)
no chemotherapy was prescribed because the patient declined it; radiation was
provided; (4) no chemotherapy was prescribed because of the patient's
schizophrenia symptoms; radiation was administered; and (5) no surgery was
performed because of disorientation from a lobotomy; radiation was prescribed.
Comments from healthcare providers suggest reflection and ethical adjudication in
decision-making. CONCLUSION: Schizophrenia was never the sole reason for no
cancer treatment in patients with potentially curable lung cancer. This study
provides the impetus for others to begin to assess the effect of schizophrenia on
lung cancer management in other healthcare settings.
PMID- 18050363
TI - The presence of high-risk chromosome aberrations in chronic lymphocytic leukaemia
does not correlate with centrosome aberrations.
AB - Chromosome aberrations are frequently found in B-cell chronic lymphocytic
leukaemia (B-CLL), and specific chromosome aberrations identify poor prognostic
subgroups. Almost all the aberrations identified in B-CLL involve loci where
genes with a role in the regulation of centrosome duplication have been mapped.
Centrosome aberrations have been described as a possible cause of numerical
chromosome abnormalities in both solid and haematological tumours. However,
little is known about the possible role of centrosome aberrations in B-CLL. To
investigate whether centrosome aberrations do occur in B-CLL and correlate with
cytogenetically defined prognostic subgroups, we examined a set of 64 B-CLL
samples by immunofluorescent staining. B-CLL cases differed significantly from
controls in the mean frequency of cells with centrosome aberrations, while no
difference was found between subgroups with or without specific chromosome
aberrations. Our results indicated that although centrosome aberrations were a
common feature in B-CLL, they did not represent a reliable prognostic marker.
PMID- 18050364
TI - Peripheral T-cell lymphomas, unspecified (or not otherwise specified): a review.
AB - Peripheral T-cell lymphomas (PTCL) comprises a heterogeneous group of
haematological tumours, which originate from mature T-cells, and constitute less
than 15% of all non-Hodgkin's lymphomas (NHLs) in adults. The current WHO
classification recognizes nine distinct clinicopathologic peripheral T-cell NHLs,
being the 'unspecified variant' (PTCL-U) the most common subtype. These neoplasms
often present in advanced stage at diagnosis, and most commonly have an
aggressive clinical course requiring prompt treatment. The rarity of these
tumours requires additional studies to better understand their biology and search
for new therapies which may hopefully improve the dismal outcome of most
patients. This review aims to describe the pathobiological aspects as well the
clinical characteristics and current therapeutic strategies of the PTCLs, with
special attention to the group of PTCL-U.
PMID- 18050365
TI - Hepatitis A-associated macrophage activation syndrome in children with systemic
juvenile idiopathic arthritis: report of 2 cases.
AB - OBJECTIVE: We describe two 3-year-old patients with systemic juvenile idiopathic
arthritis (SJIA) who developed hepatitis A-associated macrophage activation
syndrome (MAS). One patient showed MAS as the presenting manifestation of SJIA,
while MAS complicated SJIA during the second year of the disease course in the
other child. Both girls presented with fever, jaundice, hepatosplenomegaly,
neurological involvement, mucosal hemorrhage, and purpura. Cytopenias,
hypofibrinogenemia, and hemophagocytosis confirmed the diagnosis. After
aggressive treatment with high-dose corticosteroids and immunosuppressants one
patient entered remission while the other one died. Hepatitis A virus may induce
severe MAS in SJIA.
PMID- 18050366
TI - Etanercept treatment improves longitudinal growth in prepubertal children with
juvenile idiopathic arthritis.
AB - OBJECTIVE: Anti-tumor necrosis factor (TNF) therapy is known to decrease disease
activity of juvenile idiopathic arthritis (JIA), but its effect on longitudinal
growth in relation to puberty is not clear. We studied longitudinal growth in
response to etanercept treatment in prepubertal and pubertal patients with JIA.
METHODS: Out of 52 children treated with etanercept, we studied 20 prepubertal
and 11 early/midpubertal patients adherent to treatment for at least 1 year. We
collected data on growth and glucocorticoid medication and calculated each
patient's height standard deviation score (SDS) in relation to the mid-parental
height, the change of this value (DeltahSDS) from 1 to 0 and 0 to 1 year of
treatment, and the change between the DeltahSDS values to assess growth
improvement. RESULTS: In the prepubertal group, the relative height SDS (mean +/-
standard error of the mean) was 1.8 +/- 0.2, 2.1 +/- 0.3, and 1.9 +/- 0.3, and in
the pubertal group 1.1 +/- 0.4, 1.3 +/- 0.3, and 1.1 +/- 0.3 at 1, 0, and +1 year
of treatment, respectively. The DeltahSDS before etanercept was 0.3 +/- 0.1 in
prepubertal and 0.2 +/- 0.2 in pubertal patients. Over the first year with
etanercept, DeltahSDS was +0.2 +/- 0.1 in prepubertal (p = 0.001 vs before
etanercept; paired Student t-test) and +0.2 +/- 0.1 in pubertal patients (p =
0.071). Nevertheless, most prepubertal (17/20) and pubertal (8/11) patients had
improved growth (DeltahSDS) in response to etanercept treatment when analyzed
individually. The need for intraarticular glucocorticoid injections was
negatively correlated to the improved growth (p = 0.001). CONCLUSION: TNF
inhibition with etanercept improved growth in a majority of patients with JIA.
Our data demonstrate that growth improvement with etanercept was independent of
the pubertal growth spurt.
PMID- 18050367
TI - T regulatory cells are markedly diminished in diseased salivary glands of
patients with primary Sjogren's syndrome.
AB - OBJECTIVE: To investigate the abnormalities of T regulatory cells (Treg) in
salivary glands and peripheral blood in patients with primary Sjogren's syndrome
(pSS). METHODS: Levels of CD4+CD25+ high T cells of the peripheral blood of 52
patients with pSS were measured by flow-cytometric assay. Lower lip salivary
gland biopsies were examined by immunohistochemistry, using monoclonal mouse anti
human antibodies [CD25, CD4, CD8, CD68, forkhead transcription factor (Foxp3)] in
30 patients with pSS. Using real-time polymerase chain reaction, Foxp3 messenger
RNA expression was assessed in the salivary glands and CD4+ T cells from
peripheral blood. RESULTS: Many inflammatory cells, predominantly CD4+ and CD8+ T
cells and macrophages, were found in salivary glands of patients with SS, but
CD4+CD25+ Treg numbers and Foxp3 expression were markedly reduced in those biopsy
samples. Levels of CD4+CD25+ high T cells and Foxp3 expression in peripheral
blood of patients with pSS were significantly lower than in healthy controls.
However, the inhibitory function of CD4+CD25+ T cells in pSS was unchanged
compared to that of controls. Peripheral CD4+CD25+ high T cell numbers in pSS did
not correlate with Schirmer's test and salivary flow rate, or with the presence
or absence of anti-SSA/SSB antibodies and immunoglobulin level. CONCLUSION: The
remarkable reduction of Treg numbers in salivary glands and reduction of
CD4+CD25+ high T cells in peripheral blood suggests a possible role for absence
of Treg in the pathogenesis of salivary gland destruction in pSS.
PMID- 18050368
TI - Longterm effect of intermittent cyclical etidronate therapy on corticosteroid
induced osteoporosis in Japanese patients with connective tissue disease: 7-year
followup.
AB - OBJECTIVE: To determine the efficacy and safety of intermittent cyclical
etidronate therapy of up to 7 years for corticosteroid-induced osteoporosis.
METHODS: One hundred two Japanese patients who originally participated in a 3
year prospective randomized study were enrolled into an open-label followup
study. All patients had received > 7.5 mg of prednisolone daily for at least 90
days before entry into the original study and were randomly assigned to 2
treatment arms: E, those receiving etidronate disodium (200 mg per day) for 2
weeks together with 3.0 g of calcium lactate and 0.75 microg of alphacalcidol
daily; and C, controls receiving only the latter. Endpoints included changes from
baseline in bone mineral density (BMD) of the lumbar spine and the rate of new
vertebral fractures. RESULTS: The mean (+/- SD) lumbar spine BMD had increased by
5.9% +/- 8.8% (p = 0.00007) and 2.2% +/- 5.8% (p = 0.013) from baseline after 7
years in groups E and C, respectively. This improvement in BMD in group E was
significantly better than in group C (p = 0.02). The frequency of new vertebral
fractures was lower in group E, resulting in reduction of the risk of such new
fractures by 67% at year 7 (odds ratio 3.000; 95% confidence interval, 0.604
14.90; p = 0.18). There were no severe adverse events in group E during our
study. CONCLUSION: Our results indicate that longterm (up to 7 years)
intermittent cyclical etidronate therapy is safe and effective for prevention and
treatment of corticosteroid-induced osteoporosis in patients with connective
tissue diseases.
PMID- 18050369
TI - Diagnostic accuracy of serum procalcitonin concentrations for detecting systemic
bacterial infection in patients with systemic autoimmune diseases.
AB - OBJECTIVE: To examine whether serum procalcitonin (PCT) concentrations are useful
for distinguishing bacterial infections from disease flares in patients with
systemic autoimmune diseases. METHODS: Patients with systemic autoimmune diseases
who were admitted to our hospitals due to either a suspected deterioration of
their primary diseases or an infectious disease were enrolled. Serum PCT levels
were measured in 99 serum samples of 98 patients who had elevated serum C
reactive protein (CRP) levels; 29 samples were obtained from patients with
bacterial infections, and 70 samples were obtained from patients with disease
deterioration without a detectable infection. The diagnostic accuracy,
sensitivity, and specificity for identifying a bacterial infection were estimated
using the receiver-operating characteristic curve. Multiple logistic regression
analysis was also done with PCT level, age, sex, steroid dose, and use of
immunosuppressive agents. RESULTS: Serum PCT levels were higher in the bacterial
infection group than in the disease flare group (mean +/- SD, 4.539 +/- 9.677 vs
0.116 +/- 0.127; p < 0.0001). The diagnostic accuracy of PCT for bacterial
infection was 0.797, sensitivity 53.3%, and specificity 97.1%. On multivariate
analysis, the odds ratio of a PCT > or = 0.5 ng/ml was significant (OR 59.085,
95% CI 7.705 453.088, p < 0.0001) for identifying bacterial infection.
CONCLUSION: Elevated serum PCT levels have a good specificity for diagnosing
bacterial infection in patients with systemic autoimmune diseases regardless of
their dosage of oral corticosteroids and immunosuppressive agents.
PMID- 18050370
TI - Developing classification criteria for polymyalgia rheumatica: comparison of
views from an expert panel and wider survey.
AB - OBJECTIVE: This report summarizes the findings from a consensus process to
identify potential classification criteria for polymyalgia rheumatica (PMR).
METHODS: A 3-stage hybrid consensus approach was used to develop potential PMR
classification criteria. The first stage consisted of a facilitated meeting of 27
international experts who anonymously rated the importance of 68 potential
criteria. The second stage involved a meeting of the experts, who were provided
with the results of the first round of ratings and were then asked to re-rate the
criteria. In the third stage, the wider acceptance of the 43 criteria that
received > 50% support at round 2 was evaluated using an extended mailed survey
of 111 rheumatologists and 53 nonrheumatologists in the United States, Canada,
and Northern and Western Europe. RESULTS: A total of 68 and 50 criteria were
identified and rated in round 1 and round 2, respectively. In round 2, 43 of the
50 items achieved at least 50% support, including 10 core criteria achieving 100%
support. In round 3, over 70% of survey respondents agreed on the importance of 7
core criteria. These were age >or=50 years, duration >or=2 weeks, bilateral
shoulder and/or pelvic girdle aching, duration of morning stiffness > 45 min,
elevated erythrocyte sedimentation rate, elevated C-reactive protein, and rapid
steroid response (> 75% global response within 1 wk to prednisolone/prednisone 15
20 mg daily). Among physical signs, more than 70% of survey respondents agreed on
the importance of assessing pain and limitation of shoulder (84%) and/or hip
(76%) on motion, but agreement was low for peripheral signs like carpal tunnel,
tenosynovitis, and peripheral arthritis. CONCLUSION: There are differences in
opinion as to what PMR is and how it should be treated. These findings make it
important to develop classification criteria for PMR. The next step is to perform
an international prospective study to evaluate the utility of candidate
classification criteria for PMR in patients presenting with the polymyalgic
syndrome.
PMID- 18050371
TI - The CD40/CD40 ligand system in the skin of patients with subacute cutaneous lupus
erythematosus.
AB - OBJECTIVE: To investigate whether CD40 and CD40 ligand (CD40L) is expressed in
the skin of patients with subacute cutaneous lupus erythematosus (SCLE). METHODS:
Six female patients with SCLE were studied. Skin biopsies were obtained from
lesional and healthy sunprotected skin. Frozen sections were stained
immunohistochemically using monoclonal antibodies to CD4, CD40, and CD40L. As
controls we used 5 patients with discoid LE (DLE), 5 with dermatomyositis (DM), 3
with lichen planus (LP), and 2 with erythema multiforme (EM), as well as the
normal-appearing skin of 5 healthy volunteers. RESULTS: The CD40 was intensely
expressed in all SCLE, DLE, and DM lesions, and only focally in healthy
sunprotected skin specimens. The number of CD40+ cells in SCLE dermis was lower
than in DLE, similar to that in DM, LP and EM, and higher than in SCLE
sunprotected skin. CD40L+ cells infiltrated the SCLE, DLE, DM, LP, and EM
lesional dermis, and were more numerous in SCLE lesional skin than in SCLE
healthy sunprotected skin. CONCLUSION: We showed that the CD40/CD40L system may
represent an important pathway of induction of SCLE lesions. The expression of
such costimulatory system in healthy sunprotected skin also may signify that its
abnormal activation is constitutive in SCLE, as previously observed in systemic
LE.
PMID- 18050372
TI - Early psoriatic arthritis: the clinical spectrum.
AB - OBJECTIVE: To characterize the clinical pattern of early psoriatic arthritis
(PsA). METHODS: We studied 47 consecutive patients: 29 had definite PsA and 18
had the "sine psoriasis" subset. Inclusion criteria were articular and/or
entheseal involvement of < or =12 weeks' duration and the exclusive use, before
enrollment, of nonsteroidal antiinflammatory drugs to control articular symptoms.
All patients underwent clinical examination, blood tests, total-body bone
scintigraphy, articular ultrasonography, and radiography of clinically involved
joints and/or entheses. RESULTS: On the basis of clinical examination, early PsA
was an oligo-enthesoarthritis in over 75% of patients studied. In contrast, the
number of joints and/or entheses showing increased tracer uptake on bone
scintigraphy was 3 times greater, compared to the clinical evidence (p < 0.001).
Articular ultrasonography confirmed the inflammatory involvement of synovium
and/or entheses in all articular sites active at time of bone scintigraphy, but
silent at clinical examination. In addition, 7 patients showed the occurrence of
joint and/or entheseal erosions on standard radiography. CONCLUSION: Bone
scintigraphy yields a more accurate evaluation of entheso-articular involvement
and distribution in patients with early PsA. Our results suggest that clinical
oligo-enthesoarthritic presentation of early PsA might represent in most cases a
polyarticular condition that is at increased risk for clinical progression. These
findings have a significant influence on the clinical decision-making process in
patients with early PsA.
PMID- 18050373
TI - Retinol (vitamin A) and retinol-binding protein levels are decreased in
ankylosing spondylitis: clinical and genetic analysis.
AB - OBJECTIVE: Retinol (vitamin A) plays an important role in bone structure and
function. Treatment with retinoids has been associated with bone abnormalities
mimicking spondyloarthropathy and diffuse idiopathic skeletal hyperostosis. To
determine whether retinol concentrations are altered in patients with ankylosing
spondylitis (AS), we examined serum retinol levels in patients with AS and
healthy controls. METHODS: Retinol was assessed using mass spectrometry, and
retinol-binding protein levels were assessed by ELISA. Retinol levels were
correlated with clinical disease activity indices. The CYP26 gene, which plays a
key role in retinol metabolism, was examined to define any single-nucleotide
polymorphisms (SNP) associations with AS. RESULTS: Retinol levels were
significantly lower in the AS cohort than in controls (mean 2.39 +/- 0.88
micromol/l for AS, 3.34 +/- 1.01 micromol/l for controls; p < 0.0001). Retinol
binding protein levels were also lower in AS than controls (AS 4.65 +/- 2.10
microg/l; controls 7.48 +/- 4.87 microg/l; p < 0.001). Serum retinol levels did
not correlate with indices of disease activity defined serologically (C-reactive
protein, erythrocyte sedimentation rate) or clinically (Bath AS Disease Activity
Index, Bath AS Functional Index). Genetic analysis showed that an exonic CYP26C1
SNP (rs11187265) is not associated with AS. CONCLUSION: The hallmark of AS is neo
ossification. AS is associated with abnormal serum levels of retinol, a
biochemical factor linked to pathological hyperostosis. Further genetic studies
are warranted into the genetic basis of the retinol-AS relationship.
PMID- 18050374
TI - Prevalence of ossification of posterior longitudinal ligament in patients with
ankylosing spondylitis.
AB - OBJECTIVE: To determine the prevalence of ossification of the posterior
longitudinal ligament (OPLL) in patients with ankylosing spondylitis (AS).
METHODS: A cross-sectional radiological examination was performed in patients
diagnosed with AS. A bone and joint radiologist screened and confirmed the
cervical radiographs of these patients. A review of the medical records was also
conducted to investigate the relationship between the clinical manifestations and
the actual expression of OPLL in patients with AS. RESULTS: Among 544 patients
with AS, 470 (86.4%) were men and 96.1% were HLA-B27-positive. The mean age was
34.3 +/- 9.3 years and mean disease duration was 12.4 +/- 7.2 years. After
reviewing the cervical radiographs, OPLL was found in 19 patients (3.5%; 95% CI
1.9, 5.0). The mean age of these 19 patients was 39.9 +/- 10.7 years, and the
male to female ratio was 18:1. Interestingly, a statistically significant number
of patients who expressed OPLL were older (p = 0.007). However, we were unable to
determine whether OPLL was significantly associated with AS disease duration,
peripheral arthritis, anterior uveitis/iritis, HLA-B27, anterior atlantoaxial
subluxation, diffuse idiopathic skeletal hyperostosis, or other paraspinal
ligament disorders. CONCLUSION: Our study showed that the frequency of OPLL in
Korean patients with AS was 3.5%, which was considerably lower than previously
reported values (15.5% in 103 Mexican AS). We were able to determine that OPLL in
AS was associated with older age.
PMID- 18050375
TI - Relationship between spinal mobility and radiographic damage in ankylosing
spondylitis and psoriatic spondylitis: a comparative analysis.
AB - OBJECTIVE: To correlate measures of spinal mobility used in the assessment of
spondyloarthritis with radiographic severity, and to compare ankylosing
spondylitis (AS) and psoriatic spondylitis (Ps-Sp) in this clinical-radiographic
correlation. METHODS: As part of the International SPondyloarthritis
Interobserver Reliability Exercise (INSPIRE) study, 20 spondyloarthropathy (SpA)
experts met for an examination exercise assessing 19 patients with SpA -- 10 with
Ps-Sp (9 men, mean age 52 yrs, mean disease duration 17 yrs) and 9 with AS (7
men, mean age 38 yrs, mean disease duration 16 yrs). Spearman correlation with
bias correction was used to correlate median values of the spinal measurements
obtained in the INSPIRE study with modified Stoke AS spinal score (mSASSS) and
Bath AS Radiology Index-spine (BASRI-s) scores calculated by consensus of 2
assessors. RESULTS: The 2 radiographic measures performed comparably in relation
to clinimetrics in the SpA group as a whole. There was very good correlation
between mSASSS and the occiput-to-wall distance, tragus-to-wall distance,
modified Schober, and lateral spinal flexion in the entire group (rs > 0.64, p <
0.05 for each measure). There was also good correlation between mSASSS and
cervical rotation and chest expansion (rs = 0.58 and 0.54, p <0.05,
respectively). The clinical-radiographic correlations were comparable in the AS
and Ps-Sp, except for cervical rotation, which correlated better with mSASSS in
Ps-Sp than in AS. CONCLUSION: Our study documents the structure-function
correlations in axial SpA and provides evidence supporting application of
radiographic and clinical measures used in AS to studies of Ps-Sp.
PMID- 18050376
TI - Evaluation of immunogenicity of the T cell costimulation modulator abatacept in
patients treated for rheumatoid arthritis.
AB - OBJECTIVE: The immunogenicity of abatacept, a selective costimulation modulator,
administered intravenously, was assessed across Phase II and III trials in
patients with rheumatoid arthritis (RA). METHODS: Two direct-format enzyme-linked
immunosorbent assays evaluated antibody responses [whole abatacept molecule (CTLA
4 and Ig portion) and CTLA-4 portion only (Assay A)] in the Phase II trials.
During the Phase III trials and 2-year open-label periods, a similar, but more
sensitive, Assay B was employed. Serum samples collected prestudy, during
treatment, and 56 and/or 85 days following the last dose were evaluated.
Seropositive samples with anti-CTLA-4 reactivity and sufficiently low drug levels
were further characterized for neutralizing activity (cell-based bioassay).
RESULTS: A total of 2237 patients with both pre- and post-baseline serum samples
were eligible for assessment. Of these, 62 (2.8%) patients demonstrated an anti
abatacept or anti-CTLA-4 response, determined using either Assay A or B. Using
the more sensitive Assay B, 60 of 1990 patients (3.0%) demonstrated an antibody
response to the whole abatacept molecule (n = 41, 2.1%) or the CTLA-4 portion (n
= 19, 1.0%). Of the 1764 RA patients evaluated in the Phase III studies, 203
discontinued therapy and had sera collected 56 and/or 85 days after
discontinuation. Patients who discontinued had a higher incidence of
immunogenicity versus patients who did not discontinue (7.4% vs 2.6%,
respectively). Of 20 patients positive for anti-CTLA-4 reactivity, 13 were
eligible for assessment with the neutralization bioassay. Of these, 8 patients
exhibited neutralizing activity. Seroconversion occurred with no adverse safety
outcomes or effect on pharmacokinetic parameters. No consistent pattern was
observed between antibody response and loss of efficacy (American College of
Rheumatology 20 and Health Assessment Questionnaire responses). CONCLUSION:
Abatacept was associated with a low incidence of immunogenicity in patients with
RA and lacked any adverse sequelae.
PMID- 18050377
TI - Association of periodontal disease and tooth loss with rheumatoid arthritis in
the US population.
AB - OBJECTIVE: To test for an association of periodontitis and tooth loss with
rheumatoid arthritis (RA). METHODS: The third National Health and Nutrition
Examination Survey (NHANES III) is a nationally representative cross-sectional
survey of noninstitutionalized civilians. We included participants aged > or = 60
years who had undergone both musculoskeletal and dental examinations. RA was
defined based on American College of Rheumatology criteria. Dental examinations
quantified decayed and filled surfaces, missing teeth, and periodontitis.
Periodontitis was defined as at least 1 site exhibiting both attachment loss and
a probing depth of > or = 4 mm. We classified dental health status as (1) no
periodontitis, (2) periodontitis, or (3) edentulous (i.e., complete tooth loss).
We performed multivariate multinomial logistic regression models with dental
health status as the dependent and RA as the independent variables. RESULTS: The
sample consisted of 4461 participants, of whom 103 were classified as having RA.
Participants with RA had more missing teeth (20 vs 16 teeth; p < 0.001), but less
decay (2% vs 4%; p < 0.001) than participants without RA. After adjusting for
age, sex, race/ethnicity, and smoking, subjects with RA were more likely to be
edentulous [odds ratio (OR) 2.27, 95% confidence interval (CI) 1.56 3.31] and
have periodontitis (OR 1.82, 95% CI 1.04 3.20) compared with non-RA subjects. In
participants with seropositive RA there was a stronger association with dental
health status, in particular with edentulism (OR 4.5, 95% CI 1.2 17). CONCLUSION:
RA may be associated with tooth loss and periodontitis.
PMID- 18050378
TI - Relative efficiencies of physician/assessor global estimates and patient
questionnaire measures are similar to or greater than joint counts to distinguish
adalimumab from control treatments in rheumatoid arthritis clinical trials.
AB - OBJECTIVE: To estimate relative efficiencies of the 7 rheumatoid arthritis (RA)
Core Data Set measures to distinguish adalimumab from control treatments in 4
clinical trials. METHODS: Four adalimumab clinical trials were analyzed for
arithmetic and percentage changes for each Core Data Set measure from baseline to
endpoint: 3 assessor/physician measures -- swollen joints, tender joints, and
global estimate; 1 laboratory test -- C-reactive protein; and 3 patient measures
- physical function, pain, and global estimate. Relative efficiencies of each
measure to distinguish adalimumab from control group responses were assessed,
with tender joint count as the referent measure. RESULTS: Relative efficiencies
were in a similar range for physician/assessor, patient, and laboratory measures,
with some variation between trials. Among physician/assessor measures, relative
efficiencies for global estimates were greater than for swollen and tender joint
counts in 8/8 comparisons. Among patient measures, relative efficiencies for
global estimates were greater than for physical function and pain scores in at
least 6/8 comparisons. Among all measures, relative efficiencies for patient
global estimates were greater than for swollen joint counts in 5/8 comparisons,
and for tender joint counts in 8/8 comparisons. CONCLUSION: Patient and
physician/assessor measures distinguished adalimumab from control treatment
groups in similar ranges. Among all measures, physician/assessor global estimate
was most efficient, and tender joint count least efficient, in all 4 trials. This
information suggests that while joint counts are the most specific measure to
assess RA, their sensitivity to detect treatment effects in patients with RA is
generally no greater, and usually less, than other measures.
PMID- 18050379
TI - The Italian registry of aggressive rheumatoid arthritis -- the GIARA project.
AB - OBJECTIVE: In 1999, the Italian Society of Rheumatology started a project to
determine the prevalence and clinical characteristics of aggressive rheumatoid
arthritis (ARA). METHODS: For 1 year, all patients with RA for > 5 years and
referred to participating centers were entered in a registry and classified as
having ARA if they fulfilled the following criteria: 10 swollen joints for at
least 6 weeks, positive rheumatoid factor (RF), and at least one bone erosion (if
disease duration of 2 years); (a) RF-positive and having 10 swollen joints or at
least one newly eroded joint, or (b) if RF-negative, having 10 swollen joints and
at least one newly eroded joint (if disease duration > 2 to < 5 years). RESULTS:
The 94 participating centers enrolled 1218 patients with RA, 1130 of whom had
enough data to be classified as ARA (29.0%) or non-ARA (71.0%). The frequency of
ARA was 15% in the 2-year group and 63% in the > 2 to < 5-year group, but 35% of
the patients in the 2-year group had erosions. Bone erosions were associated with
disease duration, a Health Assessment Questionnaire value > 1.5, female sex, and
RF positivity. Conditions other than RA were recorded in about 50% of the
patients, and only 30% 40% were taking disease modifying antirheumatic drugs.
CONCLUSION: In an Italian RA population, the GIARA (Gruppo Italiano Artrite
Reumatoide Aggressiva) criteria for ARA were met by 15% of the patients with
disease duration of 2 years, but erosions were seen in 35%. Upon referral, most
of the RA patients were inadequately treated and had other conditions.
PMID- 18050381
TI - Incidence of clinically important 10-year health status and disease activity
levels in population-based cohorts with rheumatoid arthritis.
AB - OBJECTIVE: To calculate the average age- and sex-specific annual incidence of
rheumatoid arthritis (RA) linked to clinically important levels of health status
after 10 years, and to study time trends in 10-year disease status during a 6
year period. METHODS: Patients between 20 and 79 years of age with onset of RA
from 1988 to 1993 (n = 550) were asked to participate in a 10-year followup
examination. Two hundred sixteen patients in different age and sex groups from 6
different annual cohorts met during the period 1998-2003. Study variables
included demographic variables, medication, swollen, tender and deformed joint
counts, erythrocyte sedimentation rate, Disease Activity Score (DAS28), Health
Assessment Questionnaire, and pain and fatigue on a 100 mm visual analog scale
and Arthritis Impact Measurement Scale 2. Age- and sex-specific incidences of RA
exceeding clinically important levels 10 years after disease onset were
calculated using the Poisson distribution with 95% confidence intervals. Analysis
of covariance and logistic regression were used to test the influence of time on
10-year DAS28 and presence of deformed joints. RESULTS: The average annual
incidence of cases exceeding clinically important levels in disease activity and
health status 10 years after disease onset increased with higher age and was
highest among women. There was a tendency to lower disease activity 10 years
after disease onset in the latest cohorts compared to the earliest cohorts.
CONCLUSION: These results from population-based RA incidence cohorts provide
important information to healthcare planners and support findings of secular
decline in disease burden.
PMID- 18050382
TI - Clinical evidence for utilization of the A3 adenosine receptor as a target to
treat rheumatoid arthritis: data from a phase II clinical trial.
AB - OBJECTIVE: Adenosine exerts antiinflammatory effects via activation of the A3
adenosine receptor (A3AR), a Gi protein-associated cell-surface receptor,
overexpressed in synovial tissue and peripheral blood mononuclear cells (PBMC) in
patients with active rheumatoid arthritis (RA). CF101 is a highly specific orally
bioavailable A3AR agonist. METHODS: This was a multicenter study, blinded to
dose, designed to assess the clinical activity and safety of CF101 in active RA.
Seventy-four patients were randomized to receive 0.1, 1.0, or 4.0 mg CF101 bid
for 12 weeks. The primary efficacy endpoint was American College of Rheumatology
20% response (ACR20) at Week 12. A3AR expression levels were analyzed in PBMC
from 18 patients. RESULTS: . Maximal responses were observed with 1.0 mg bid,
lower at 0.1 and 4.0 mg bid. At 12 weeks, 55.6%, 33.3%, and 11.5% of the patients
receiving 1.0 mg CF101 achieved ACR20%, 50%, and 70% responses, respectively.
CF101 was generally well tolerated, with mild headache (4.1%), nausea (2.7%), and
rash (2.7%) being the most common treatment-related adverse events. Statistically
significant correlations between A3AR overexpression at baseline and ACR50 and
ACR70 responses were observed. CONCLUSION: CF101 administered bid for 12 weeks
resulted in improvement in signs and symptoms of RA that did not achieve
statistical significance, and was safe and well tolerated. The expression level
of A3AR was directly correlated with patient responses to CF101, suggesting its
utilization as a biomarker for the pharmacodynamic and therapeutic effects of
this novel agent. These findings require confirmation in a double-blind
randomized placebo-controlled trial, currently under way.
PMID- 18050383
TI - Subclinical coronary artery calcification and relationship to disease duration in
women with rheumatoid arthritis.
AB - OBJECTIVE: To examine the association between disease duration of rheumatoid
arthritis (RA) and the presence and extent of coronary artery calcification (CAC)
in women with RA. METHODS: In this cross-sectional study, 185 women with RA
duration of at least 2 years and no clinical cardiovascular disease completed
electron-beam tomography (EBT) scans and risk factor assessment. Multivariable
logistic regression was used to associate RA duration quartiles with subclinical
CAC and extent of CAC. RESULTS: Age was similar across the quartiles of RA
duration. Patients with RA > 23 years had significant increased odds (unadjusted
OR 2.60, 95% CI 1.21 5.53) of having more extensive CAC compared to the referent
group, those with RA for 2 7 years. This association remained significant after
adjustment for traditional coronary heart disease (CHD) risk factors and RA
related covariates. Patients with intermediate RA duration (8 13 yrs) were more
likely to have presence of any CAC (OR 3.03, 95% CI 1.06 8.66) compared to the
referent group only after adjusting for age, race, and traditional CHD risk
factors. Patients with longer RA duration were more likely to have cumulative
joint damage, manifested as prior joint surgery, joint deformity, and greater
functional disability. Lower body mass index also was associated with longer RA
duration. CONCLUSION: Patients with longstanding RA have more extensive
subclinical atherosclerosis or CAC compared to patients of the same age,
independent of other CHD risk factors. RA duration may be a surrogate for factors
related to the disease process or its treatment that may promote coronary
atherogenesis.
PMID- 18050384
TI - Clinical and ultrasonographic monitoring of response to adalimumab treatment in
rheumatoid arthritis.
AB - OBJECTIVE: To evaluate by clinical, laboratory, and sonographic assessment the
effects of adalimumab therapy in patients with rheumatoid arthritis (RA) over 24
months of treatment. METHODS: Twenty-five patients with RA were commenced on
adalimumab therapy. Before the beginning of the therapy (Time 0) and after 3
(T1), 12 (T2), and 24 (T3) months we evaluated erythrocyte sedimentation rate, C
reactive protein, physician and patient visual analog scale for disease activity,
number of tender and swollen joints, Health Assessment Questionnaire, and Disease
Activity Score in 28 joints. In addition, musculoskeletal ultrasound (US) was
performed bilaterally in the 2nd and 5th metacarpophalangeal, 3rd
interphalangeal, wrist, and knee joints and in the tendon sheaths and bursae of
those areas. A semiquantitative score (0 3) was used to indicate the presence of
a localized inflammatory process and/or structural damage. The summed total was
used as an indicator of global change in each joint (single joint score). The sum
of the single joint scores was used as an indicator of overall polyarticular
involvement in each patient (total score). RESULTS: Patients who did not submit
to the planned examinations strictly on time were excluded from the study. Then
25 patients were examined at T0 and T1, 20 at T2, and 9 at T3. All clinical and
laboratory measures as well as the US scores were significantly reduced during
the followup. CONCLUSION: A positive response to treatment with adalimumab was
demonstrated by clinical, laboratory, and US evaluation by both short- and
longterm followup.
PMID- 18050385
TI - Improved health-related quality of life for patients with active rheumatoid
arthritis receiving rituximab: Results of the Dose-Ranging Assessment:
International Clinical Evaluation of Rituximab in Rheumatoid Arthritis (DANCER)
Trial.
AB - OBJECTIVE: To evaluate the effect of rituximab treatment on health-related
quality of life (HRQOL) in patients with active rheumatoid arthritis (RA), who
have had an inadequate response to disease-modifying antirheumatic drugs,
including biologic agents. METHODS: A randomized, multicenter, double-blind,
placebo-controlled clinical trial involving 367 rheumatoid factor-positive
patients was conducted. Patients received 2 infusions 2 weeks apart of placebo (n
= 122), rituximab 500 mg (n = 123), or rituximab 1000 mg (n = 122), with or
without glucocorticoids. All patients received stable doses of methotrexate (10
25 mg/wk). Measures included SF-36, assessed at baseline and at 24 weeks, as well
as the HAQ and FACIT-Fatigue scale assessed at baseline and monthly for 24 weeks.
Patients exceeding prespecified minimal clinically important differences (MCID)
were examined. Clinical efficacy measurements (ACR20/50/70 and EULAR responses)
were compared with HRQOL outcomes. RESULTS: At 24 weeks, the rituximab 500 mg and
1000 mg groups both reported statistically significantly greater improvements on
the SF-36 physical component summary (4.37 and 4.89 points higher, respectively,
vs placebo; p < 0.001). SF-36 physical function, bodily pain, vitality, social
function, and role-physical subscale scores also statistically significantly
improved vs placebo. At 24 weeks, 62.6% and 67.2% of the rituximab 500 mg and
1000 mg groups, respectively, exceeded the MCID of 0.22 in HAQ (p < 0.001). For
FACIT-Fatigue, 55.3% and 65.6% of patients exceeded the MCID of 3.5 points
compared with 35.2% of placebo over 24 weeks (p < 0.001). ACR20/50/70 and EULAR
responders demonstrated greater improvements in mean baseline to 24 week changes
in SF-36 and FACIT-Fatigue scores compared with nonresponders (p < 0.05).
CONCLUSION: Both rituximab doses in combination with methotrexate were effective
in improving all HRQOL outcomes in patients with active RA consistent with
clinical efficacy.
PMID- 18050386
TI - Increased augmentation index in rheumatoid arthritis and its relationship to
coronary artery atherosclerosis.
AB - OBJECTIVE: Arterial stiffness, assessed by the augmentation index and pulse wave
velocity, is an independent risk factor for cardiovascular disease. Rheumatoid
arthritis (RA) is associated with accelerated atherosclerosis and increased
cardiovascular mortality. We examined the hypothesis that augmentation index and
pulse wave velocity are increased in RA, and are related to coronary artery
atherosclerosis. METHODS: We measured augmentation index and brachial pulse wave
velocity in 117 patients with RA [57 with early (< 6 yrs) and 60 with late
disease (> 10 yrs)] and 65 healthy controls. Coronary artery calcification was
measured by electron beam computed tomography. Augmentation index and pulse wave
velocity were compared in patients with early RA, late RA, and controls, and the
association with coronary atherosclerosis was examined. RESULTS: Patients with
late RA had a higher augmentation index (median 33.8%, interquartile range 27.5%
37.0%) than those with early disease (median 27.5%, IQR 21.0% 34.0%) (p = 0.008)
and controls (median 27.0%, IQR 20.4% 33.0%) (p < 0.001). After adjusting for
height and cardiovascular risk factors, the association between late disease and
augmentation index remained significant (p = 0.02). Augmentation index was
associated with coronary calcification score (rs = 0.19, p = 0.046), and the
association was marginal after adjustment for cardiovascular risk factors,
disease status, and disease activity (p = 0.09). There was no significant
difference in brachial pulse wave velocity among patients with late (9.2 +/- 1.7
m/s) and early RA (9.1 +/- 1.6 m/s) and controls (8.9 +/- 1.5 m/s) (p = 0.78).
CONCLUSION: Patients with RA have increased augmentation index independent of
cardiovascular risk factors. Augmentation index was associated with coronary
artery calcification in patients with RA; this was attenuated after adjusting for
cardiovascular risk factors.
PMID- 18050387
TI - Requirement of methotrexate in combination with anti-tumor necrosis factor-alpha
therapy for adequate suppression of osteoclastogenesis in rheumatoid arthritis.
AB - OBJECTIVE: To determine that concomitant use of methotrexate (MTX) is required to
achieve adequate suppression of bone destruction in treating rheumatoid arthritis
(RA) with tumor necrosis factor-alpha (TNF-alpha)-inhibiting biologic therapy. We
quantitatively compared the suppressive effects of treatment with a combination
of infliximab and MTX and treatment with each of these 2 agents alone on bone
destruction in SCID-HuRAg-pit mice. METHODS: Tissue derived from human RA pannus
was implanted with a slice of dentin subcutaneously in the backs of SCID mice
(SCID-HuRAg-pit model). Infliximab was administered daily to SCID-HuRAg-pit mice
using an osmotic pump for 2 weeks with or without oral administration of MTX.
Histological changes in tissue and the pits formed on the dentin slice were
examined 8 weeks after transplant. Serum concentrations of TNF-alpha and
interleukin 6 (IL-6) were also measured. RESULTS: Treatment with a combination of
infliximab and MTX suppressed pit formation significantly, while treatment with
neither infliximab alone nor MTX alone had a significant effect on pit formation.
Synovial inflammation and serum TNF-alpha and IL-6 levels were suppressed by
infliximab with or without MTX. CONCLUSION: This is the first evidence in an
animal model of arthritis that concomitant use of MTX is required to achieve
adequate suppression of bone destruction when treating RA with a TNF-alpha
inhibiting biologic. Our findings suggest that infliximab suppresses bone
destruction through a mechanism of action different from that mediating its
antiinflammatory effects in the treatment of RA.
PMID- 18050388
TI - Web resources for C. elegans studies.
PMID- 18050389
TI - Pristionchus pacificus genetic protocols.
PMID- 18050390
TI - Interactions with microbial pathogens.
AB - A wide variety of bacterial pathogens, as well as several fungi, kill C. elegans
or produce non-lethal disease symptoms. This allows the nematode to be used as a
simple, tractable model host for infectious disease. Human pathogens that affect
C. elegans include gram-negative bacteria of genera Burkholderia, Pseudomonas,
Salmonella, Serratia and Yersinia; gram-positive bacteria Enterococcus,
Staphylococcus and Streptococcus; and the fungus Cryptococcus neoformans.
Microbes that are not pathogenic to mammals, such as the insect pathogen Bacillus
thuringiensis and the nematode-specific Microbacterium nematophilum, are also
studied with C. elegans. Many of the pathogens investigated colonize the C.
elegans intestine, and pathology is usually quantified as decreased lifespan of
the nematode. A few microbes adhere to the nematode cuticle, while others produce
toxins that kill C. elegans without a requirement for whole, live pathogen cells
to contact the worm. The rapid growth and short generation time of C. elegans
permit extensive screens for mutant pathogens with diminished killing, and some
of the factors identified in these screens have been shown to play roles in
mammalian infections. Genetic screens for toxin-resistant C. elegans mutants have
identified host pathways exploited by bacterial toxins.
PMID- 18050391
TI - Natural variation and population genetics of Caenorhabditis elegans.
AB - C. elegans presents a low level of molecular diversity, which may be explained by
its selfing mode of reproduction. Recent work on the genetic structure of natural
populations of C. elegans indeed suggests a low level of outcrossing, and little
geographic differentiation because of migration. The level and pattern of
molecular diversity among wild isolates of C. elegans are compared with those
found after accumulation of spontaneous mutations in the laboratory. The last
part of the chapter reviews phenotypic differences among wild isolates of C.
elegans.
PMID- 18050392
TI - Evolution of development in nematodes related to C. elegans.
AB - The knowledge about C. elegans provides a paradigm for comparative studies.
Nematodes are very attractive in evolutionary developmental biology given the
species richness of the phylum and the easiness with which several of these
species can be cultured under laboratory conditions. Embryonic, gonad, vulva and
male tail development were studied and compared in nematodes of five different
families, providing a detailed picture of evolutionary changes in development. In
particular, vulva development has been studied in great detail and substantial
differences in the cellular, genetic and molecular mechanisms have been observed
between C. elegans and other nematodes. For example, vulva induction relies on
the single anchor cell in C. elegans, whereas a variety of different cellular
mechanisms are used in related species. In recent years, a few species have been
developed as satellite systems for detailed genetic and molecular studies, such
as Oscheius tipulae and Pristionchus pacificus.
PMID- 18050393
TI - Nematode genome evolution.
AB - Nematodes are the most abundant type of animal on earth, and live in hot springs,
polar ice, soil, fresh and salt water, and as parasites of plants, vertebrates,
insects, and other nematodes. This extraordinary ability to adapt, which hints at
an underlying genetic plasticity, has long fascinated biologists. The fully
sequenced genomes of Caenorhabditis elegans and Caenorhabditis briggsae, and
ongoing sequencing projects for eight other nematodes, provide an exciting
opportunity to investigate the genomic changes that have enabled nematodes to
invade many different habitats. Analyses of the C. elegans and C. briggsae
genomes suggest that these include major changes in gene content; as well as in
chromosome number, structure and size. Here I discuss how the data set of ten
genomes will be ideal for tackling questions about nematode evolution, as well as
questions relevant to all eukaryotes.
PMID- 18050394
TI - The phylogenetic relationships of Caenorhabditis and other rhabditids.
AB - C. elegans is a member of a group of nematodes called rhabditids, which
encompasses a large number of ecologically and genetically diverse species. A
new, preliminary phylogenetic analysis is presented for concatenated sequences of
three nuclear genes for 48 rhabditid and diplogastrid species (including 10
Caenorhabditis species), as well as four species representing the outgroup.
Although many relationships are well-resolved, more data are still needed to
resolve some key relationships, particularly near the base of the rhabditid tree.
There is high confidence for two major clades: (1) a clade comprising
Mesorhabditis Parasitorhabditis, Pelodera, Teratorhabditis plus a few other
species; (2) a large clade (Eurhabditis) comprising most of the remaining
rhabditid genera, including Caenorhabditis and its sistergroup Protorhabditis
Prodontorhabditis-Diploscapter. Eurhabditis also contains the parasitic
strongylids, the entomopathogenic Heterorhabditis, and the monophyletic group
Oscheius which includes the satellite model organism O. tipulae. The
relationships within Caenorhabditis are well resolved. The analysis also suggests
that rhabditids include diplogastrids, to which the second satellite model
organism Pristionchus pacificus belongs. Genetic disparity within Caenorhabditis
is as great as that across vertebrates, suggesting Caenorhabditis lineages are
quickly evolving, ancient, or both. The phylogenetic tree can be used to
reconstruct evolutionary events within rhabditids. For instance, the reproductive
mode changed multiple times from gonochorism to hermaphroditism, but only once
from hermaphroditism to gonochorism. Complete retraction of the male tail tip,
leading to a blunt, peloderan tail, evolved at least once. Reversions to
unretracted tail tips occurred within both major rhabditid groups. The phylogeny
also provides a guide to species which would be good candidates for future genome
projects and comparative studies.
PMID- 18050395
TI - Introduction to nematode evolution and ecology.
PMID- 18050396
TI - Egg-laying.
AB - C. elegans hermaphrodites are self-fertile, and their rate and temporal pattern
of egg-laying are modulated by diverse environmental cues. Egg-laying behavior
has served as an important phenotypic assay for the genetic dissection of
neuronal signal transduction mechanisms. This chapter reviews our current
understanding of the neuronal and neurochemical mechanisms underlying the control
of egg-laying in C. elegans. The roles of specific neurons in the egg-laying
motor circuit, which release multiple neurotransmitters affecting distinct
parameters of egg-laying muscle activity, and the possible mechanisms for sensory
control of egg-laying behavior, are discussed.
PMID- 18050397
TI - GABA.
AB - The most abundant synapses in the central nervous system of vertebrates are
inhibitory synapses that use the neurotransmitter gamma-aminobutyric acid (GABA).
GABA is also an important neurotransmitter in C. elegans; however, in contrast to
vertebrates where GABA acts at synapses of the central nervous system, in
nematodes GABA acts primarily at neuromuscular synapses. Specifically, GABA acts
to relax the body muscles during locomotion and foraging and to contract the
enteric muscles during defecation. The importance of this neurotransmitter for
basic motor functions of the worm has facilitated the genetic analysis of
proteins required for GABA function. Genetic screens have identified the GABA
biosynthetic enzyme, the vesicular transporter, inhibitory and excitatory
receptors, and a transcription factor required for the differentiation of GABA
cell identity. The plasma membrane transporter and other GABA receptors have been
identified by molecular criteria.
PMID- 18050398
TI - Synaptic function.
AB - C. elegans has emerged as a powerful genetic model organism in which to study
synaptic function. Most synaptic proteins in the C. elegans genome are highly
conserved and mutants can be readily generated by forward and reverse genetics.
Most C. elegans synaptic protein mutants are viable affording an opportunity to
study the functional consequences in vivo. Recent advances in
electrophysiological approaches permit functional analysis of mutant synapses in
situ. This has contributed to an already powerful arsenal of techniques available
to study synaptic function in C. elegans. This review highlights C. elegans
mutants affecting specific stages of the synaptic vesicle cycle, with emphasis on
studies conducted at the neuromuscular junction.
PMID- 18050399
TI - Potassium channels in C. elegans.
AB - Ion channels are the "transistors" (electronic switches) of the brain that
generate and propagate electrical signals in the aqueous environment of the brain
and nervous system. Potassium channels are particularly important because, not
only do they shape dynamic electrical signaling, they also set the resting
potentials of almost all animal cells. Without them, animal life as we know it
would not exist, much less higher brain function. Until the completion of the C.
elegans genome sequencing project the size and diversity of the potassium channel
extended gene family was not fully appreciated. Sequence data eventually revealed
a total of approximately 70 genes encoding potassium channels out of the more
than 19,000 genes in the genome. This seemed to be an unexpectedly high number of
genes encoding potassium channels for an animal with a small nervous system of
only 302 neurons. However, it became clear that potassium channels are expressed
in all cell types, not only neurons, and that many cells express a complex
palette of multiple potassium channels. All types of potassium channels found in
C. elegans are conserved in mammals. Clearly, C. elegans is "simple" only in
having a limited number of cells dedicated to each organ system; it is certainly
not simple with respect to its biochemistry and cell physiology.
PMID- 18050400
TI - Synaptogenesis.
AB - Synaptogenesis is a process involving the formation of a neurotransmitter release
site in the presynaptic neuron and a receptive field at the postsynaptic
partners, and the precise alignment of pre- and post-synaptic specializations. In
C. elegans synapses are found as en passant axonal swellings along the nerve
processes. Genetic screens using a synaptic vesicle-associated GFP marker have
identified key players in synaptic target recognition and organization of the
presynaptic terminals. Importantly, the functions of most genes are
evolutionarily conserved. Further studies using a combination of genetic modifier
screens and reverse genetics have begun to reveal the underlying signaling
pathways.
PMID- 18050401
TI - Specification of the nervous system.
AB - Nervous systems are characterized by an astounding degree of cellular diversity.
The nematode Caenorhabditis elegans has served as a valuable model system to
define the genetic programs that serve to generate cellular diversity in the
nervous system. This review discusses neuronal diversity in C. elegans and
provides an overview of the molecular mechanisms that define and specify neuronal
cell types in C. elegans.
PMID- 18050402
TI - Wnt signaling.
AB - The use of Wnt ligands for signaling between cells is a conserved feature of
metazoan development. Activation of Wnt signal transduction pathways upon ligand
binding can regulate diverse processes including cell proliferation, migration,
polarity, differentiation and axon outgrowth. A 'canonical' Wnt signaling pathway
has been elucidated in vertebrate and invertebrate model systems. In the
canonical pathway, Wnt binding leads to the stabilization of the transcription
factor beta-catenin, which enters the nucleus to regulate Wnt pathway target
genes. However, Wnt binding also acts through beta-catenin-independent,
noncanonical pathways, such as the planar cell polarity (PCP) pathway and a
pathway involving Ca2+ signaling. This chapter examines our current understanding
of Wnt signaling and Wnt-mediated processes in the nematode C. elegans. Like
other species, the C. elegans genome encodes multiple genes for Wnt ligands
(five) and Wnt receptors (four frizzleds, one Ryk/Derailed). Unlike vertebrates
or Drosophila, the C. elegans genome encodes three beta-catenin genes, which
appear to have distinct functions in Wnt signaling and cell adhesion. Canonical
Wnt signaling clearly exists in C. elegans, utilizing the beta-catenin BAR-1.
However, a noncanonical pathway utilizing the beta-catenin WRM-1 also exists, and
to date a similar pathway has not been described in other species. Evidence for
beta-catenin independent noncanonical Wnt signaling is currently limited. The
role of Wnt signaling in over a dozen C. elegans developmental processes,
including the regulation of cell fate, polarity and migration, is described.
PMID- 18050403
TI - LIN-12/Notch signaling in C. elegans.
AB - Receptors of the LIN-12/Notch family mediate cell-cell interactions during animal
development, and aberrations in LIN-12/Notch signaling have been implicated in
human disease. Studies in C. elegans have been instrumental in defining the basic
features of the LIN-12/Notch pathway, the role of LIN-12/Notch proteins as
receptors for intercellular signals, the mechanism of signal transduction, and
the regulation of LIN-12/Notch signaling during cell fate decisions. This chapter
is focused on detailing how the "awesome power of C. elegans genetics" has
identified many core components and modulators of LIN-12/Notch activity.
PMID- 18050404
TI - TGF-beta signaling.
AB - TGF-beta superfamily ligands play fundamental roles in the development and
physiology of diverse animal species. Genetic and genomic analyses in the model
organism Caenorhabditis elegans have contributed to the understanding of TGF-beta
related signal transduction mechanisms. In this chapter, I describe the currently
characterized TGF-beta-related signals and signal transduction cassettes in C.
elegans. Homology searches of the genome identify five TGF-beta-related genes,
for which functions have been identified for three. Two of the TGF-beta-related
genes, daf-7 and dbl-1, function through conventional signaling pathways. These
signaling pathways are comprised of ser/thr kinase receptors, Smads, and
transcription co-factors. A third TGF-beta-related gene, unc-129, functions in
axonal guidance using novel signaling mechanisms. Thus, TGF-beta-related
signaling in C. elegans proceeds via both conserved and novel paradigms that can
inform studies in other animal systems.
PMID- 18050405
TI - Genomic overview of protein kinases.
AB - Protein kinases are one of the largest and most influential of gene families:
constituting some 2% of the proteome, they regulate almost all biochemical
pathways and may phosphorylate up to 30% of the proteome. Bioinformatics and
comparative genomics were used to determine the C. elegans kinome and put it in
evolutionary and functional context. Kinases are deeply conserved in evolution,
and the worm has family homologs for over 80% of the human kinome. Almost half of
the 438 worm kinases are members of worm-specific or worm-expanded families. Such
radiations include genes involved in spermatogenesis, chemosensation, Wnt
signaling and FGF receptor-like kinases. The C. briggsae kinome is largely
similar apart from the expanded classes, showing that such expansions are
evolutionarily recent.
PMID- 18050407
TI - Notch signaling in the C. elegans embryo.
AB - Cell-cell interactions mediated by the Notch signaling pathway occur throughout
C. elegans embryogenesis. These interactions have major roles in specifying cell
fates and in tissue morphogenesis. The network of Notch interactions is linked in
part through the Notch-regulated expression of components of the pathway,
allowing one interaction to pattern subsequent ones. The Notch signal
transduction pathway is highly conserved in animal embryogenesis. The REF-1
family of bHLH transcription factors are major targets of Notch signaling in the
C. elegans embryo, and are distantly related to HES proteins that are targets of
Notch signaling in Drosophila and vertebrates.
PMID- 18050408
TI - Epidermal morphogenesis.
AB - The morphogenesis of the C. elegans embryo is largely controlled by the
development of the epidermis, also known as the hypodermis, a single epithelial
layer that surrounds the animal. Morphogenesis of the epidermis involves cell
cell interactions with internal tissues, such as the developing nervous system
and musculature. Genetic analysis of mutants with aberrant epidermal morphology
has defined multiple steps in epidermal morphogenesis. In the wild type,
epidermal cells are generated on the dorsal side of the embryo among the progeny
of four early embryonic blastomeres. Specification of epidermal fate is regulated
by a hierarchy of transcription factors. After specification, dorsal epidermal
cells rearrange, a process known as dorsal intercalation. Most epidermal cells
fuse to generate multinucleate syncytia. The dorsally located epidermal sheet
undergoes epiboly to enclose the rest of the embryo in a process known as ventral
enclosure; this movement requires both an intact epidermal layer and substrate
neuroblasts. At least three distinct types of cellular behavior underlie the
enclosure of different regions of the epidermis. Following enclosure, the
epidermis elongates, a process driven by coordinated cell shape changes.
Epidermal actin microfilaments, microtubules, and intermediate filaments all play
roles in elongation, as do body wall muscles. The final shape of the epidermis is
maintained by the collagenous exoskeleton, secreted by the apical surface of the
epidermis.
PMID- 18050409
TI - Gastrulation in C. elegans.
AB - Gastrulation is the process by which the germ layers become positioned in an
embryo. C. elegans gastrulation serves as a model for studying the molecular
mechanisms of diverse cellular and developmental phenomena, including
morphogenesis, cell polarization, cell-cell signaling, actomyosin contraction and
cell-cell adhesion. One distinct advantage of studying these phenomena in C.
elegans is that genetic tools can be combined with high resolution live cell
imaging and direct manipulations of the cells involved. Here we review what is
known to date about the cellular and molecular mechanisms that function in C.
elegans gastrulation.
PMID- 18050410
TI - Translational control of maternal RNAs.
AB - Early development of many species depends on the temporal and spatial control of
maternal gene products. This review discusses the control of maternal mRNAs that
encode regulators of C. elegans embryogenesis. In the C. elegans embryo, maternal
mRNA regulation is crucial to the patterning of early cell fates. Translational
control of key mRNAs spatially organizes cell signaling pathways, localizes
transcription factor activities, and controls germ cell precursor development.
From the few mRNAs studied thus far, some themes are beginning to emerge. Control
of maternal mRNA translation begins in the hermaphrodite germ line. Distinct
regulatory systems keep mRNAs silent during different stages of oogenesis, and
lead to precise temporal and spatial patterns of translation in the embryo. In
the embryo, cell polarity factors control the localization of translational
regulators. Each maternal mRNA contains multiple elements in its 3' untranslated
region (3' UTR) that specify the timing and localization of translation. A
relatively small number of RNA-binding proteins likely control many mRNAs through
these 3' UTR elements. Therefore, the combination of RNA elements and the
regulatory complexes recruited to them specify unique patterns of translation for
different mRNAs. The mechanisms of translational control are only beginning to be
explored, but are likely to regulate diverse developmental and cellular events in
metazoans.
PMID- 18050411
TI - Asymmetric cell division and axis formation in the embryo.
AB - Asymmetric cell divisions play an important role in generating diversity during
metazoan development. In the early C. elegans embryo, a series of asymmetric
divisions are crucial for establishing the three principal axes of the body plan
(AP, DV, LR) and for segregating determinants that specify cell fates. In this
review, we focus on events in the one-cell embryo that result in the
establishment of the AP axis and the first asymmetric division. We first describe
how the sperm-derived centrosome initiates movements of the cortical actomyosin
network that result in the polarized distribution of PAR proteins. We then
briefly discuss how components acting downstream of the PAR proteins mediate
unequal segregation of cell fate determinants to the anterior blastomere AB and
the posterior blastomere P1. We also review how a heterotrimeric G protein
pathway generates cortically based pulling forces acting on astral microtubules,
thus mediating centrosome and spindle positioning in response to AP polarity
cues. In addition, we briefly highlight events involved in establishing the DV
and LR axes. The DV axis is established at the four-cell stage, following
specific cell-cell interactions that occur between P2 and EMS, the two daughters
of P1, as well as between P2 and ABp, a daughter of AB. The LR axis is
established shortly thereafter by the division pattern of ABa and ABp. We
conclude by mentioning how findings made in early C. elegans embryos are relevant
to understanding asymmetric cell division and pattern formation across metazoan
evolution.
PMID- 18050412
TI - Control of oocyte meiotic maturation and fertilization.
AB - Sexual reproduction depends upon meiosis for the generation of haploid gamete
nuclei, which unite after fertilization to form the diploid zygote. The oocytes
of most animal species arrest during meiotic prophase, and complete meiosis in
response to intercellular signaling in a process called meiotic maturation.
Oocyte meiotic maturation is defined by the transition between diakinesis and
metaphase of meiosis I and is accompanied by nuclear envelope breakdown,
rearrangement of the cortical cytoskeleton, and meiotic spindle assembly. Thus,
the meiotic maturation process is essential for meiosis and prepares the oocyte
for fertilization. In C. elegans, the processes of meiotic maturation, ovulation,
and fertilization are temporally coupled: sperm utilize the major sperm protein
as a hormone to trigger oocyte meiotic maturation, and in turn, the maturing
oocyte signals its own ovulation thereby facilitating fertilization. This chapter
highlights recent advances in understanding meiotic maturation signaling and
gametic interactions required for fertilization.
PMID- 18050413
TI - Germline proliferation and its control.
AB - The C. elegans germ line proliferates from one primordial germ cell (PGC) set
aside in the early embryo to over a thousand cells in the adult. Most germline
proliferation is controlled by the somatic distal tip cell, which provides a stem
cell niche at the distal end of the adult gonad. The distal tip cell signals to
the germ line via the Notch signaling pathway, which in turn controls a network
of RNA regulators. The FBF-1 and FBF-2 RNA-binding proteins promote continued
mitoses in germ cells located close to the distal tip cell, while the GLD-1, GLD
2, GLD-3, and NOS-3 RNA regulators promote entry into meiosis as germ cells leave
the stem cell niche. In addition to these key regulators, many other genes affect
germline proliferation.
PMID- 18050414
TI - Specification of the germ line.
AB - In C. elegans, the germ line is set apart from the soma early in embryogenesis.
Several important themes have emerged in specifying and guiding the development
of the nascent germ line. At early stages, the germline blastomeres are
maintained in a transcriptionally silent state by the transcriptional repressor
PIE-1. When this silencing is lifted, it is postulated that correct patterns of
germline gene expression are controlled, at least in part, by MES-mediated
regulation of chromatin state. Accompanying transcriptional regulation by PIE-1
and the MES proteins, RNA metabolism in germ cells is likely to be regulated by
perinuclear RNA-rich cytoplasmic granules, termed P granules. This chapter
discusses the molecular nature and possible roles of these various germline
regulators, and describes a recently discovered mechanism to protect somatic
cells from following a germline fate.
PMID- 18050415
TI - Introduction to the germ line.
PMID- 18050416
TI - X-Chromosome dosage compensation.
AB - In mammals, flies, and worms, sex is determined by distinctive regulatory
mechanisms that cause males (XO or XY) and females (XX) to differ in their dose
of X chromosomes. In each species, an essential X chromosome-wide process called
dosage compensation ensures that somatic cells of either sex express equal levels
of X-linked gene products. The strategies used to achieve dosage compensation are
diverse, but in all cases, specialized complexes are targeted specifically to the
X chromosome(s) of only one sex to regulate transcript levels. In C. elegans,
this sex-specific targeting of the dosage compensation complex (DCC) is
controlled by the same developmental signal that establishes sex, the ratio of X
chromosomes to sets of autosomes (X:A signal). Molecular components of this
chromosome counting process have been defined. Following a common step of
regulation, sex determination and dosage compensation are controlled by distinct
genetic pathways. C. elegans dosage compensation is implemented by a protein
complex that binds both X chromosomes of hermaphrodites to reduce transcript
levels by one-half. The dosage compensation complex resembles the conserved 13S
condensin complex required for both mitotic and meiotic chromosome resolution and
condensation, implying the recruitment of ancient proteins to the new task of
regulating gene expression. Within each C. elegans somatic cell, one of the DCC
components also participates in the separate mitotic/meiotic condensin complex.
Other DCC components play pivotal roles in regulating the number and distribution
of crossovers during meiosis. The strategy by which C. elegans X chromosomes
attract the condensin-like DCC is known. Small, well-dispersed X-recognition
elements act as entry sites to recruit the dosage compensation complex and to
nucleate spreading of the complex to X regions that lack recruitment sites. In
this manner, a repressed chromatin state is spread in cis over short or long
distances, thus establishing the global, epigenetic regulation of X chromosomes
that is maintained throughout the lifetime of hermaphrodites.
PMID- 18050417
TI - The evolution of nematode sex determination: C. elegans as a reference point for
comparative biology.
AB - Sex determination was a founding topic of C. elegans research. After three
decades of research, a complex signal transduction pathway with multiple layers
of regulation has been elucidated. This pathway links karyotype to phenotype by
coordinating the development of sexually dimorphic tissues. In this article, this
pathway is placed in two broader contexts. The first is that of nematodes and
animals in general. The important role of C. elegans studies in revealing the
first universally conserved component of metazoan sex determination is discussed,
as is the role of cooption of genes into the sex determination and dosage
compensation pathways. The second context is that of a subset of more closely
related species, with emphasis on other members of the genus Caenorhabditis.
Studies reviewed here have determined the gene-level conservation of the known
pathway and the relative rates of molecular evolution in conserved components,
and made substantial progress in the manipulation of gene activity in non-elegans
species. Special attention is paid to the origins of hermaphroditism, which
evolved from gonochorism through germline-specific changes in sex determination.
Recent studies suggest that the most rapidly evolving aspects of sex
determination are germline functions related to evolutionary shifts in mating
systems, while somatic sex determination is relatively conservative. From all of
these studies, a picture emerges in which C. elegans utilizes an intriguing
mixture of general and species-specific genes and regulatory mechanisms.
PMID- 18050418
TI - Vulval development.
AB - C. elegans vulval development is an intensively studied example of animal
organogenesis. A network of intercellular signaling, signal transduction, and
transcriptional regulation underlies the precise formation of this organ, which
is the connection between the hermaphrodite uterus and the outside of the
nematode. A single cell of the somatic gonad, the anchor cell, organizes the
development of the vulva from epidermal precursors as well as the physical
connection of the epidermis with the uterus. WNT signaling acting via the HOX
gene lin-39 renders six epidermal precursor cells competent to respond to other
developmental signals. The anchor cell induces nearby epidermal precursor cells
to generate vulval cells via an epidermal growth factor (EGF) signaling pathway.
The precise pattern of vulval precursor cell fates involves the graded action of
the EGF signaling and LIN-12 (Notch) mediated lateral signaling. EGF promotes the
primary fate while LIN-12 promotes the secondary fate. Both EGF and LIN-12
prevent precursor cells from adopting the tertiary fate, which generates non
specialized epidermis. EGF-receptor and Notch signaling are antagonistic: EGF
receptor signaling leads to down-regulation of the Notch-like receptor LIN-12,
while LIN-12 signaling induces negative regulators of EGF-receptor signaling such
as MAP kinase phosphatase LIP-1 and the tyrosine kinase ARK-1. The primary
precursor cell generates vulE and vulF mature vulval cells; the pattern of vulE
and vulF cells requires an additional signal from the anchor cell as well as WNT
signaling. The two secondary precursor cells generate vulA, vulB1, vulB2, vulC
and vulD cells but in mirror symmetric polar patterns: ABCD and DCBA. The
reversed polarity of the posterior secondary precursor cell lineage requires WNT
signaling mediated by both Frizzled class and Ryk class WNT-receptors LIN-17 and
LIN-18, respectively. A network of transcription factors controls the seven
mature adult cell types; these include the LIM domain protein LIN-11, the
Pax2/5/8 protein EGL-38, the zinc finger protein LIN-29, and the Nkx6.1/6.2
protein COG-1. The anchor cell also patterns nearby uterine cells, via the DSL
ligand LAG-2 and LIN-12, to generate the four uv1 cells that form the tight
connection with the vulva. This connection is initiated by the anchor cell, which
invades between the vulF cells in a process analogous to invasive behavior of
metastatic tumor cells. During this invasion process, the basement membranes
between the gonad and body wall are degraded. The extensive information about
vulval development in C. elegans has helped it become a paradigmatic case for
identifying and studying a variety of regulatory pathways.
PMID- 18050419
TI - Male development.
AB - The features that differentiate the C. elegans male from the hermaphrodite arise
during postembryonic development. The major male mating structures, consisting of
the blunt tail with fan and rays, the hook, the spicules and proctodeum, and the
thin body, form just before the last larval molt. Male and hermaphrodite
embryogenesis are similar but some essential male cell fates are already
established at hatching. The male mating structures arise from three important
sets of male-specific blast cells. These cells generate a total of 205 male
specific somatic cells, including 89 neurons, 36 neuronal support cells, 41
muscles, 23 cells involved in differentiating the hindgut, and 16 hypodermal
cells associated with mating structures. Genetic and molecular studies have
identified many genes required for male development, most of which also function
in the hermaphrodite. Cell-cell interactions play a role in patterning all three
of the generative tissues. Male-specific neurons, including sensory neurons of
the rays, hook, post-cloacal sensilla, and spicules, differentiate at the end of
the last larval stage and send out axons to make connections into the existing
neuropil, greatly enlarging the posterior ganglia. The hindgut is highly
differentiated to accommodate the spicules and the joining of the reproductive
tract to the cloaca. A complex male-specific program generates many new muscles
for copulation. The cell lineage and genetic program that gives rise to the one
armed male gonad appears to be a variation on that of the hermaphrodite.
PMID- 18050421
TI - The cadherin superfamily.
AB - The cadherins are a major class of membrane proteins with prominent roles in cell
adhesion, and the regulation of tissue organisation and morphogenesis. The C.
elegans genome encodes 13 cadherins, including representatives of the major
cadherin sub-types that are conserved between insects and vertebrates: the so
called classic, Fat-like, Flamingo and calsyntenin classes. The function of most
of these in C. elegans is still unknown, or poorly understood, mainly because
clear loss-of-function mutations have been isolated for only a few. As is true
for the cadherin families of other organisms, most is known about classic
cadherin function. C. elegans has a single classic cadherin gene, which encodes
two isoforms: one predominantly expressed in the nervous system, and the other
more broadly expressed in all epithelial cells. The epithelial cadherin-catenin
complex appears to be functionally equivalent to that found in Drosophila and
vertebrates, and is critically required for embryonic morphogenesis. Mutant
phenotypes have also been described for cdh-3 and fmi-1, which encode a Fat-like
cadherin, and the C. elegans Flamingo homologue, respectively. cdh-3 mutants
display incompletely penetrant defects in the morphogenesis of hyp10, the cell
which forms the tip of the tail, and the excretory duct cell; though the
mechanistic role of CDH-3 in these processes is not known. FMI-1 is required
during neuronal development consistent with the known role of the Drosophila
homologue in controlling tissue polarity. Five of the cadherins have no obvious
homologues beyond the nematodes, and thus may be phyla-specific.
PMID- 18050422
TI - Cell-cycle regulation.
AB - Cell-division control affects many aspects of development. Caenorhabditis elegans
cell-cycle genes have been identified over the past decade, including at least
two distinct Cyclin-Dependent Kinases (CDKs), their cyclin partners, positive and
negative regulators, and downstream targets. The balance between CDK activation
and inactivation determines whether cells proceed through G1 into S phase, and
from G2 to M, through regulatory mechanisms that are conserved in more complex
eukaryotes. The challenge is to expand our understanding of the basic cell cycle
into a comprehensive regulatory network that incorporates environmental factors
and coordinates cell division with growth, differentiation and tissue formation
during development. Results from several studies indicate a critical role for CKI
1, a CDK inhibitor of the Cip/Kip family, in the temporal control of cell
division, potentially acting downstream of heterochronic genes and dauer
regulatory pathways.
PMID- 18050423
TI - Basement membranes.
AB - Basement membranes are thin, specialized extracellular matrices surrounding most
tissues in all metazoans. The compositions and functions of basement membranes
have generally been well conserved throughout the subkingdom. Genetic analyses of
basement membrane components in C. elegans have provided insights into their
assembly and functions during development. Immuno- or GFP-tagged localization
studies have shown that basement membranes on different tissues, or even sub
regions of tissues, contain different sets of proteins or alternatively spliced
isoforms of them. Several components, including laminin, perlecan, type IV
collagen and possibly osteonectin/SPARC, are essential for completion of
embryogenesis, being necessary for tissue organization and structural integrity.
In contrast, type XVIII collagen and nidogen are not required for viability but
primarily influence organization of the nervous system. All of these proteins,
with the exception of nidogen and the addition of fibulin, have roles of varying
degree in morphogenesis of the gonad. A major family of cellular receptors for
basement membrane proteins, the integrins, have also been characterized in C.
elegans. As one might expect, integrins have been shown to function in many of
the same processes as their potential ligands, the basement membrane components.
While much remains to be explored, studies of basement membranes in C. elegans
have been highly informative and hold great promise for improving our
understanding of how these structures are assembled and how they function in
development.
PMID- 18050424
TI - Ubiquitin-mediated pathways in C. elegans.
AB - Ubiquitin is a highly conserved 76 amino acid polypeptide, which is covalently
attached to target proteins to signal their degradation by the 26S proteasome or
to modify their function or localization. Regulated protein degradation, which is
associated with many dynamic cellular processes, occurs predominantly via the
ubiquitin-proteasome system. Ubiquitin is conjugated to target proteins through
the sequential actions of a ubiquitin-activating enzyme, ubiquitin-conjugating
enzymes, and ubiquitin-protein ligases. The nematode Caenorhabditis elegans has
one ubiquitin-activating enzyme, twenty putative ubiquitin-conjugating enzymes,
and potentially hundreds of ubiquitin-protein ligases. Research in C. elegans has
focused on the cellular functions of ubiquitin pathway components in the context
of organismal development. A combination of forward genetics, reverse genetics,
and genome-wide RNAi screens has provided information on the loss-of-function
phenotypes for the majority of C. elegans ubiquitin pathway components.
Additionally, detailed analysis of several classes of ubiquitin-protein ligases
has led to the identification of their substrates and the molecular pathways that
they regulate. This review presents a comprehensive overview of ubiquitin
mediated pathways in C. elegans with a description of the known components and
their identified molecular, cellular, and developmental functions.
PMID- 18050425
TI - C. elegans microRNAs.
AB - MicroRNAs (miRNAs) are small, non-coding regulatory RNAs found in many phyla that
control such diverse events as development, metabolism, cell fate and cell death.
They have also been implicated in human cancers. The C. elegans genome encodes
hundreds of miRNAs, including the founding members of the miRNA family lin-4 and
let-7. Despite the abundance of C. elegans miRNAs, few miRNA targets are known
and little is known about the mechanism by which they function. However, C.
elegans research continues to push the boundaries of discovery in this area. lin
4 and let-7 are the best understood miRNAs. They control the timing of adult cell
fate determination in hypodermal cells by binding to partially complementary
sites in the mRNA of key developmental regulators to repress protein expression.
For example, lin-4 is predicted to bind to seven sites in the lin-14 3'
untranslated region (UTR) to repress LIN-14, while let-7 is predicted to bind two
let-7 complementary sites in the lin-41 3' UTR to down-regulate LIN-41. Two other
miRNAs, lsy-6 and mir-273, control left-right asymmetry in neural development,
and also target key developmental regulators for repression. Approximately one
third of the C. elegans miRNAs are differentially expressed during development
indicating a major role for miRNAs in C. elegans development. Given the
remarkable conservation of developmental mechanism across phylogeny, many of the
principles of miRNAs discovered in C. elegans are likely to be applicable to
higher animals.
PMID- 18050426
TI - Trans-splicing and operons.
AB - About 70% of C. elegans mRNAs are trans-spliced to one of two 22 nucleotide
spliced leaders. SL1 is used to trim off the 5' ends of pre-mRNAs and replace
them with the SL1 sequence. This processing event is very closely related to cis
splicing, or intron removal. The SL1 sequence is donated by a 100 nt small
nuclear ribonucleoprotein particle (snRNP). This snRNP is structurally and
functionally related to the U snRNAs (U1, U2, U4, U5 and U6) that play key roles
in intron removal and trans-splicing, except that it is consumed in the process
of splicing. More than half of C. elegans pre-mRNAs are subject to SL1 trans
splicing. About 30% are not trans-spliced at all. The remaining genes are trans
spliced by SL2. These genes are all downstream genes in closely spaced gene
clusters similar to bacterial operons. They are transcribed from a promoter at
the 5' end of the cluster of between 2 and 8 genes. This transcription makes a
polycistronic pre-mRNA that is co-transcriptionally processed by cleavage and
polyadenylation at the 3' end of each gene, and this event is closely coupled to
the SL2 trans-splicing event that occurs only approximately 100 nt further
downstream. Recent studies on the mechanism of SL2 trans-splicing have revealed
that one of the 3' end formation proteins, CstF, interacts with the only protein
known to be specific to the SL2 snRNP. The operons contain primarily genes whose
products are needed for mitochondrial function and the basic machinery of gene
expression: transcription, splicing and translation. Many operons contain genes
whose products are known to function together. This presumably provides co
regulation of these proteins by producing a single RNA that encodes both.
PMID- 18050427
TI - Alternative splicing in C. elegans.
AB - Alternative splicing is a common mechanism for the generation of multiple
isoforms of proteins. It can function to expand the proteome of an organism and
can serve as a way to turn off gene expression post-transcriptionally. This
review focuses on splicing and its regulation in C. elegans. The fully-sequenced
C. elegans genome combined with its elegant genetics offers unique advantages for
exploring alternative splicing regulation in metazoans. The topics covered in
this review include constitutive splicing factors, identification of
alternatively spliced genes, examples of alternative splicing in C. elegans, and
alternative splicing regulation. Key genes whose regulated alternative splicing
are reviewed include let-2, unc-32, unc-52, egl-15 and xol-1. Factors involved in
alternative splicing that are discussed include mec-8, smu-1, smu-2, fox-1, exc-7
and unc-75.
PMID- 18050428
TI - Transcriptional regulation.
AB - The regulation of transcription in C. elegans shares many similarities to
transcription in other organisms. The details of how specific transcription
factors bind to target promoters and act as either activators or repressors are
still being examined in many cases, but an increasing number of factors and their
binding sites are being characterized. This chapter reviews the general concepts
that have emerged with regards to promoter function in C. elegans. Included are
the methods that have been successfully employed as well as limitations
encountered to date. Specific cis-acting promoter elements from myo-2, hlh-1 and
lin-26 are discussed as examples of complex promoters regulated by multiple
sequence elements. In addition, examples of organ-, tissue-, and cell type
specific mechanisms for generating spatial specificity in gene expression are
discussed.
PMID- 18050429
TI - Caenorhabditis briggsae methods.
PMID- 18050430
TI - Carbohydrates and glycosylation.
AB - The C. elegans genome contains sequences similar to a large number of mammalian
genes implicated in the assembly, processing, and modification of glycans. In
recent years, spectacular progress has been made in developing and refining tools
to obtain structural information with small amounts of material, increasing our
understanding of glycan structural complexity in this organism. These approaches
have revealed novel N- and O-glycan structures in C. elegans, as well as a high
degree of conservation in glycosaminoglycan structure. In parallel, studies in
which glycan structure is perturbed by genetic manipulation have begun to reveal
the roles of specific carbohydrate moieties in developmental and physiological
processes. This review summarizes recent work elucidating the fine structure of
complex carbohydrates in C. elegans as well as genetic studies that have
uncovered novel roles for complex carbohydrates in developmental processes.
PMID- 18050431
TI - Biology and genome of Trichinella spiralis.
AB - Clade I nematode species in the genus Trichinella can cause infections in humans
that lead to mortality and serious morbidity. There are currently eight
recognized species or genotypes that comprise this genus. The species display
diverse biological characteristics, the evolutionary significance of which
recently has been extensively clarified. Some of that diversity translates into
variable importance as zoonotic pathogens, with T. spiralis having the highest
significance. Trichinellosis has re-emerged as an important zoonotic infection in
various parts of the world, reminding us that control of this infection depends
on persistent vigilance. Trichinella species display unique and biologically
interesting complexity in interactions with host cells that they inhabit.
Significant progress has been made toward understanding details of these
interactions. Progress on transcriptomics, proteomics and now genomics offers
exciting prospects for accelerating advances in future research. An overview of
these parasites regarding biology, significance as zoonotic pathogens and
selected research topics is presented here.
PMID- 18050433
TI - Chemosensation in C. elegans.
AB - C. elegans has a highly developed chemosensory system that enables it to detect a
wide variety of volatile (olfactory) and water-soluble (gustatory) cues
associated with food, danger, or other animals. Much of its nervous system and
more than 5% of its genes are devoted to the recognition of environmental
chemicals. Chemosensory cues can elicit chemotaxis, rapid avoidance, changes in
overall motility, and entry into and exit from the alternative dauer
developmental stage. These behaviors are regulated primarily by the amphid
chemosensory organs, which contain eleven pairs of chemosensory neurons. Each
amphid sensory neuron expresses a specific set of candidate receptor genes and
detects a characteristic set of attractants, repellents, or pheromones. About 500
1000 different G protein-coupled receptors (GPCRs) are expressed in chemosensory
neurons, and these may be supplemented by alternative sensory pathways as well.
Downstream of the GPCRs, two signal transduction systems are prominent in
chemosensation, one that uses cGMP as a second messenger to open cGMP-gated
channels, and one that relies upon TRPV channels. These sensory pathways are
modulated and fine-tuned by kinases and phosphatases. Chemosensory preferences
can be modified by sensory adaptation, developmental history, and associative
learning, allowing C. elegans to integrate context and experience into its
behavior.
PMID- 18050434
TI - Electrophysiological recordings from the neuromuscular junction of C. elegans.
AB - Electrophysiology provides a quantifiable measure of synaptic activity useful in
the functional analysis of synaptic proteins. Recent advances in the application
of this technique to C. elegans provides a means of coupling genetics to
electrophysiological analysis, providing new insights into the molecular
mechanisms regulating neurotransmission. Here we describe a dissection technique
that exposes the neuromuscular junctions of C. elegans for electrophysiological
analysis. This technique can be adapted to record from virtually any excitable
cell in the worm.
PMID- 18050432
TI - Heterotrimeric G proteins in C. elegans.
AB - Heterotrimeric G proteins, composed of alpha, beta, and gamma subunits, are able
to transduce signals from membrane receptors to a wide variety of intracellular
effectors. In this role, G proteins effectively function as dimers since the
signal is communicated either by the G alpha subunit or the stable G betagamma
complex. When inactive, G alpha-GDP associates with G betagamma and the
cytoplasmic portion of the receptor. Ligand activation of the receptor stimulates
an exchange of GTP for GDP resulting in the active signaling molecules G alpha
GTP and free G betagamma, either of which can interact with effectors. Hydrolysis
of GTP restores G alpha-GDP, which then reassociates with G betagamma and
receptor to terminate signaling. The rate of G protein activation can be enhanced
by the guanine-nucleotide exchange factor, RIC-8, while the rate of GTP
hydrolysis can be enhanced by RGS proteins such as EGL-10 and EAT-16. Evidence
for a receptor-independent G-protein-signaling pathway has been demonstrated in
C. elegans early embryogenesis. In this pathway, the G alpha subunits GOA-1 and
GPA-16 are apparently activated by the non-transmembrane proteins GPR-1, GPR-2,
and RIC-8, and negatively regulated by RGS-7. The C. elegans genome encodes 21 G
alpha, 2 G beta and 2 G gamma subunits. The alpha subunits include one ortholog
of each mammalian G alpha family: GSA-1 (Gs), GOA-1 (Gi/o), EGL-30 (Gq) and GPA
12 (G12). The remaining C. elegans alpha subunits (GPA-1, GPA-2, GPA-3, GPA-4,
GPA-5, GPA-6, GPA-7, GPA-8, GPA-9, GPA-10, GPA-11, GPA-13, GPA-14, GPA-15, GPA
16, GPA-17 and ODR-3) are most similar to the Gi/o family, but do not share
sufficient homology to allow classification. The conserved G alpha subunits, with
the exception of GPA-12, are expressed broadly while 14 of the new G alpha genes
are expressed in subsets of chemosensory neurons. Consistent with their
expression patterns, the conserved C. elegans alpha subunits, GSA-1, GOA-1 and
EGL-30 are involved in diverse and fundamental aspects of development and
behavior. GOA-1 acts redundantly with GPA-16 in positioning of the mitotic
spindle in early embryos. EGL-30 and GSA-1 are required for viability starting
from the first larval stage. In addition to their roles in development and
behaviors such as egg laying and locomotion, the EGL-30, GSA-1 and GOA-1 pathways
interact in a network to regulate acetylcholine release by the ventral cord motor
neurons. EGL-30 provides the core signals for vesicle release, GOA-1 negatively
regulates the EGL-30 pathway, and GSA-1 modulates this pathway, perhaps by
providing positional cues. Constitutively activated GPA-12 affects pharyngeal
pumping. The G alpha subunits unique to C. elegans are primarily involved in
chemosensation. The G beta subunit, GPB-1, as well as the G gamma subunit, GPC-2,
appear to function along with the alpha subunits in the classic G protein
heterotrimer. The remaining G beta subunit, GPB-2, is thought to regulate the
function of certain RGS proteins, while the remaining G gamma subunit, GPC-1, has
a restricted role in chemosensation. The functional difference for most G protein
pathways in C. elegans, therefore, resides in the alpha subunit. Many cells in C.
elegans express multiple G alpha subunits, and multiple G protein pathways are
known to function in specific cell types. For example, Go, Gq and Gs-mediated
signaling occurs in the ventral cord motor neurons. Similarly, certain amphid
neurons use multiple G protein pathways to both positively and negatively
regulate chemosensation. C. elegans thus provides a powerful model for the study
of interactions between and regulation of G protein signaling.
PMID- 18050435
TI - Culture of embryonic C. elegans cells for electrophysiological and
pharmacological analyses.
AB - Despite the considerable advantages that C. elegans offers for studying gene
function in vivo, this system is quite challenging for in vivo
electrophysiological analysis of channel function, particularly in neurons. A
major problem is that C. elegans neurons are confined in a pressurized and hard
to-penetrate cuticle. Recently, a method for culturing C. elegans embryonic cells
has been developed and numerous researchers have already applied this option to
study a variety of native ion channels and transporters using various
configurations of the patch-clamp technique. C. elegans embryonic cells are
obtained from eggs harvested from synchronized gravid adults and then are
dissociated using a combination of enzymatic treatment and manual pipetting. Once
plated on a surface covered with peanut lectin, cells adhere and differentiate
into neurons, muscle and epithelial cells. Cultured embryonic cells recapitulate
the expression of differentiation markers and are found in the culture in
proportion to their cell type in the mature embryo. Differentiated cells survive
well for at least 2 weeks. It should be noted that postembryonic cells do not
appear to be generated in these cultures. Cultures can be used for
electrophysiological study, testing of pharmacological sensitivities, and for
RNAi. C. elegans cell culture thus constitutes the basis for the application of
experimental procedures that are not easily applicable to the intact nematode.
PMID- 18050436
TI - Transcription mechanisms.
AB - Appropriate regulation of mRNA transcription is central to the differentiation
and functions of eukaryotic cells, and to the development of complex organisms.
mRNAs are synthesized by the coordinated action of a set of general transcription
and mRNA modification factors. These factors and the fundamental mechanisms
involved in transcription are conserved among eukaryotes, including C. elegans.
Recent studies in various systems have revealed that this apparatus is not
controlled through a simple on/off "switch" at the promoter, and that the factors
and mechanisms involved in transcription are instead subject to regulation at a
surprising number of different levels. In this chapter we will discuss examples
in which regulation involving the general mRNA transcription apparatus or other
transcription co-factors plays a central role in C. elegans development, and in
which C. elegans studies have provided new insights into eukaryotic transcription
mechanisms. Together, these studies have shown that regulatory mechanisms that
involve the general Pol II machinery are a central participant in many aspects of
C. elegans biology.
PMID- 18050437
TI - C. elegans network biology: a beginning.
AB - The architecture and dynamics of molecular networks can provide an understanding
of complex biological processes complementary to that obtained from the in-depth
study of single genes and proteins. With a completely sequenced and well
annotated genome, a fully characterized cell lineage, and powerful tools
available to dissect development, Caenorhabditis elegans, among metazoans,
provides an optimal system to bridge cellular and organismal biology with the
global properties of macromolecular networks. This chapter considers omic
technologies available for C. elegans to describe molecular networks-
encompassing transcriptional and phenotypic profiling as well as physical
interaction mapping--and discusses how their individual and integrated
applications are paving the way for a network-level understanding of C. elegans
biology.
PMID- 18050438
TI - Oscheius tipulae.
AB - Oscheius tipulae is a common soil nematode of the same family as C. elegans
(Rhabditidae), which presents the same hermaphroditic mode of reproduction and is
easily cultured in the same conditions. Oscheius tipulae has been used as a
developmental genetic model system to study vulva formation. Compared to C.
elegans, it has a simpler vulval cell lineage, a reduced competence group and a
different mechanism of vulval cell fate patterning. The spectrum of vulval
phenotypes obtained in genetic screens differs from that found in C. elegans. Its
easy isolation from soil and the availability of numerous wild isolates of O.
tipulae from all over the world facilitate population genetic and
microevolutionary studies, especially of the evolution of cell lineage. The
Oscheius genus also presents many species with interesting evolutionary changes
in mode of reproduction, gonad development, body size, etc.
PMID- 18050439
TI - Neurophysiological methods in C. elegans: an introduction.
AB - The simple and well-defined structure of the C. elegans nervous system has made
it an attractive model for studying the neural and genetic basis of behavior.
However, the wider use physiological methods for monitoring neural activity in
vivo or determining the effects of specific ion channels on neuronal function has
been a relatively recent development. This chapter presents a compendium of
protocols and technical reports on the current state of the art in C. elegans
electrophysiology and neuroimaging. These include methods for calcium imaging in
intact animals, in situ electrical recording from neurons and muscle cells, and
in vitro recording from cultured neurons and oocytes.
PMID- 18050440
TI - Imaging the activity of neurons and muscles.
AB - Optical methods provide a noninvasive way to monitor the activity of neurons and
muscles in C. elegans. Although optical techniques are of use in many
experimental systems, they are of particular interest for C. elegans researchers.
Worms are optically transparent, and thus can be imaged while fully intact, and a
variety of genetically encoded indicators are available which can be targeted to
cells of interest with appropriate promoters. Optical calcium indicators appear
to provide a good indirect measure of the activity of neurons and muscles. This
chapter reviews the principles of operation of some common genetically encoded
indicators, describes the microscopy equipment and image analysis needed to
optically measure activity, discusses general principles and pitfalls of applying
optical methods in biological samples, and finally gives example protocols for
imaging calcium in specific muscles and neurons.
PMID- 18050441
TI - Heterologous expression of C. elegans ion channels in Xenopus oocytes.
AB - Physiological methods entered the world of C. elegans, a model system used for
many years to study development and a plethora of biological processes mainly
employing genetic, molecular and anatomical techniques. One of the methods
introduced by physiologists is the use of Xenopus oocytes for expression of C.
elegans ion channels. Oocytes of the South African frog Xenopus laevis are used
widely for the expression of mammalian channels and transporters contributing to
numerous discoveries in these fields. They now promise to aid C. elegans
researchers in deciphering mechanisms of channels function and regulation with
implications for mammalian patho-physiology. Heterologous cRNA can be easily
injected into Xenopus oocytes and translated proteins can be studied using
several techniques including electrophysiology, immunocytochemistry and protein
biochemistry. This chapter will focus on techniques used for oocyte preparation
and injection, and will give a brief overview of specific methods. Limitations of
the use of Xenopus oocytes will be also discussed.
PMID- 18050442
TI - Electrophysiological recordings from the pharynx.
PMID- 18050443
TI - Isolation of C. elegans and related nematodes.
PMID- 18050444
TI - Pristionchus pacificus genomics: from genetics to genome sequence.
PMID- 18050445
TI - Profiling C. elegans gene expression with DNA microarrays.
PMID- 18050446
TI - Immunohistochemistry.
PMID- 18050447
TI - Protocols for large scale in situ hybridization on C. elegans larvae.
PMID- 18050448
TI - RNA in situ hybridization of dissected gonads.
PMID- 18050449
TI - Reporter gene fusions.
PMID- 18050450
TI - Genetic balancers.
PMID- 18050451
TI - Maintenance of C. elegans.
PMID- 18050453
TI - Nomarski images for learning the anatomy, with tips for mosaic analysis.
PMID- 18050452
TI - Genetic dissection of developmental pathways.
PMID- 18050454
TI - Genetic mapping and manipulation: chapter 10--Suppressor mutations.
PMID- 18050455
TI - Genetic mapping and manipulation: chapter 9--Synthetic and enhancer mutations.
PMID- 18050456
TI - Genetic mapping and manipulation: chapter 8--Dominant mutations.
PMID- 18050457
TI - Genetic mapping and manipulation: chapter 7--Making compound mutants.
PMID- 18050458
TI - Genetic mapping and manipulation: chapter 6--Mapping with deficiencies and
duplications.
PMID- 18050459
TI - Genetic mapping and manipulation: chapter 5--SNPs: three-point mapping.
PMID- 18050460
TI - Genetic mapping and manipulation: chapter 4--SNPs: introduction and two-point
mapping.
PMID- 18050461
TI - Genetic mapping and manipulation: chapter 3--Three-point mapping with genetic
markers.
PMID- 18050462
TI - Genetic mapping and manipulation: chapter 2--Two-point mapping with genetic
markers.
PMID- 18050463
TI - Genetic mapping and manipulation: chapter 1--Introduction and basics.
PMID- 18050464
TI - Ecology of Caenorhabditis species.
AB - Although several Caenorhabditis species are now studied in laboratories in great
detail, the knowledge of the ecology of most Caenorhabditis species is scarce. In
this chapter we present data on the habitat, animal associations, and
geographical distribution of the eighteen described and five undescribed
Caenorhabditis species currently known to science. The habitats of these species
are very diverse, ranging from rotting cactus tissue to inflamed auditory canals
of zebu cattle. Some species, including C. elegans, have only been isolated from
anthropogenic habitats. Consequently, their natural habitat is unknown. All
Caenorhabditis species are colonizers of nutrient- and bacteria-rich substrates
and none of them is a true soil nematode. Dauer juveniles of many Caenorhabditis
species were shown to be associated with terrestrial arthropods or gastropods. An
association with invertebrates is also likely for the remaining species. The type
of association is either phoresy (for transport to a new habitat) or necromeny
(to secure the body of the associated animal as a future food source). There are
also some records of Caenorhabditis species associated with vertebrates. The
Caenorhabditis stem species was probably a colonizer of nutrient-rich substrates
and was phoretic on arthropods. Some evolutionary trends within the taxon are
discussed.
PMID- 18050465
TI - A quick tour of nematode diversity and the backbone of nematode phylogeny.
AB - Contrary to textbook dogma, nematodes are not only highly diverse, but often also
complex and biologically specialized metazoans. Just a few of the many
fascinating adaptations are reviewed in this chapter, as a prelude to a quick
tour through phylogenetic relationships within the phylum. Small Subunit rDNA
sequences have confirmed several controversial prior hypotheses, as well as
revealing some unexpected relationships, resulting in a recent proposal for
revised classification. Three major lineages exist within the phylum:
Chromadoria, Enoplia and Dorylaimia. The exact order of appearance of these
lineages is not yet resolved, which also leaves room for uncertainty about the
biology and morphology of the exclusive common ancestor of nematodes. Enoplia and
Dorylaimia differ considerably in many respects from C. elegans, which is a
member of Chromadoria. The latter group is extremely diverse in its own right,
for example in ecological range, in properties of the cuticle and in structure of
the pharynx. The formerly relatively widely accepted class Secernentea is deeply
nested within Chromadoria, and has therefore recently been relegated to the rank
and name of order Rhabditida. Within this order, closer relatives of C. elegans
include strongylids, diplogasterids and bunonematids. Tylenchs, cephalobs and
panagrolaimids are also members of Rhabditida, albeit probably more distantly
related to C. elegans.
PMID- 18050466
TI - Mechanosensation.
AB - Wild C. elegans and other nematodes live in dirt and eat bacteria, relying on
mechanoreceptor neurons (MRNs) to detect collisions with soil particles and other
animals as well as forces generated by their own movement. MRNs may also help
animals detect bacterial food sources. Hermaphrodites and males have 22 putative
MRNs; males have an additional 46 MRNs, most, if not all of which are needed for
mating. This chapter reviews key aspects of C. elegans mechanosensation,
including MRN anatomy, what is known about their contributions to behavior as
well as the neural circuits linking MRNs to movement. Emerging models of the
mechanisms used to convert mechanical energy into electrical signals are also
discussed. Prospects for future research include expanding our understanding of
the molecular basis of mechanotransduction and how activation of MRNs guides and
modulates behavior.
PMID- 18050467
TI - Male mating behavior.
AB - Caenorhabditis elegans male mating provides an excellent opportunity to determine
how sensory perception regulates behavior and motor programs. The male-specific
nervous system and muscles are superimposed over the general nervous system and
musculature. Genetic screens and genomic approaches have identified male-specific
and male-enriched genes as well as non-sex specific molecules specialized for
mating sub-behaviors. In this chapter, we discuss the cellular, genetic, and
molecular basis for male mating behavior.
PMID- 18050468
TI - Ionotropic glutamate receptors: genetics, behavior and electrophysiology.
AB - Most rapid excitatory synaptic signaling is mediated by glutamatergic
neurotransmission. An important challenge in neurobiology is to understand the
molecular architecture of functional glutamatergic synapses. By combining the
techniques of genetics, molecular biology and electrophysiology in C. elegans we
have the potential to identify and characterize the molecules that contribute to
the function of glutamatergic synapses. In C. elegans both excitatory and
inhibitory ionotropic glutamate receptors are linked to neural circuits and
behavior. Genetic analysis has identified genes required for receptor expression,
trafficking, localization, stabilization and function at synapses. Significantly,
novel proteins required for glutamate receptor function have been discovered in
the worm. These advances may also lead to a better understanding of glutamatergic
signaling in vertebrates.
PMID- 18050469
TI - Homologs of the Hh signalling network in C. elegans.
AB - In Drosophila and vertebrates, Hedgehog (Hh) signalling is mediated by a cascade
of genes, which play essential roles in cell proliferation and survival, and in
patterning of the embryo, limb buds and organs. In C. elegans, this pathway has
undergone considerable evolutionary divergence; genes encoding homologues of key
pathway members, including Hh, Smoothened, Cos2, Fused and Suppressor of Fused,
are absent. Surprisingly, over sixty proteins (i.e. WRT, GRD, GRL, and QUA),
encoded by a set of genes collectively referred to as the Hh-related genes, and
two co-orthologs (PTC-1,-3) of fly Patched, a Hh receptor, are present in C.
elegans. Several of the Hh-related proteins are bipartite and all can potentially
generate peptides with signalling activity, although none of these peptides
shares obvious sequence similarity with Hh. In addition, the ptc-related (ptr)
genes, which are present in a single copy in Drosophila and vertebrates and
encode proteins closely related to Patched, have undergone an expansion in number
in nematodes. A number of functions, including roles in molting, have been
attributed to the C. elegans Hh-related, PTC and PTR proteins; most of these
functions involve processes that are associated with the trafficking of proteins,
sterols or sterol-modified proteins. Genes encoding other components of the Hh
signalling pathway are also found in C. elegans, but their functions remain to be
elucidated.
PMID- 18050470
TI - Signaling in the immune response.
AB - Many pathogens that can infect C. elegans have been described, including some
that co-exist with the nematode in its natural environment. This chapter
describes our current understanding of the different innate immune responses of
C. elegans that follow infection. It focuses on the main signalling pathways that
have been identified and highlights the inclusion of certain molecular cassettes
in both immune and developmental functions.
PMID- 18050471
TI - Nuclear hormone receptors in C. elegans.
AB - Nuclear receptors (NRs) are transcription factors typically regulated by
lipophilic hormones, which coordinate metazoan metabolism, development and
homeostasis. C. elegans has undergone a remarkable expansion of the family,
harboring 284 of these receptors in its genome. Approximately 20 of them have
been analyzed genetically, most of which correspond to conserved homologs in
other metazoans. These NRs variously affect broad life history traits such as sex
determination, molting, developmental timing, diapause, and life span. They also
impact neural development, axon outgrowth and neuronal identity. Finally, they
influence lipid and xenobiotic metabolism. The study of C. elegans NRs holds
great promise for dissecting nuclear receptor signaling pathways in vivo in the
context of complex endocrine networks.
PMID- 18050473
TI - The putative chemoreceptor families of C. elegans.
AB - Chemoreception of environmental stimuli is a major sensory system in small soil
nematodes like C. elegans. As in other animals, chemoreception is mediated in C.
elegans by members of the seven-transmembrane G-protein-coupled receptor class
(7TM GPCRs). We summarize the many large putative chemoreceptor gene families,
including the str family (which includes odr-10, the only receptor with an
identified ligand), and the sra, srab, srb, srbc, srd, sre, srg, srh, sri, srj,
srm, srr, srsx, srt, sru, srv, srw, srx, srxa, and srz families. Together these
comprise +/-1280 apparently intact genes and +/-420 apparent pseudogenes, about
7% of the total gene count of C. elegans. These genes are unusually clustered on
chromosomes, both within and between families, and are enigmatically concentrated
on the large chromosome V. Comparative studies with C. briggsae have revealed
extraordinary divergence of the chemoreceptor repertoire between the two species,
including frequent amplifications of subfamilies in C. elegans and positive
selection in the srz family. The size and complexity of the chemoreceptor gene
families also facilitate studies of promoter elements using paralogous and
orthologous comparisons, as well as other aspects of gene family and genome
evolution.
PMID- 18050472
TI - Small GTPases.
AB - Small GTPases of the Ras superfamily are key regulators of diverse cellular and
developmental events, including differentiation, cell division, vesicle
transport, nuclear assembly, and control of the cytoskeleton. The C. elegans
genome encodes 56 members of the major Ras GTPase subfamilies, including the
Ras/Ral/Rap family, the Rho family, the Rab family, Ran, and the Arf/Sar family.
Studies in C. elegans have shown that Ras/Rap family members control cell fate
specification and differentiation; Rho GTPases control morphogenesis and actin
dynamics, including axon pathfinding and cell migration; Rab GTPases control
synaptic vesicle trafficking and release and gene expression responses in innate
immunity; the Ran GTPase controls nuclear import/export, nuclear reassembly after
mitosis, and kinetechore association with microtubules; and Arf/Sar GTPases
control morphogenesis and microtubule organization and possibly cilia
development. Functions for many of the small GTPases remain to be discovered, and
continuing studies in C. elegans will elucidate the roles of these molecules in
animal development.
PMID- 18050474
TI - RTK/Ras/MAPK signaling.
AB - Receptor Tyrosine Kinase (RTK)/Ras GTPase/MAP kinase (MAPK) signaling pathways
are used repeatedly during metazoan development to control many different
biological processes. In the nematode Caenorhabditis elegans, two different RTKs
(LET-23/EGFR and EGL-15/FGFR) are known to stimulate LET-60/Ras and a MAPK
cascade consisting of the kinases LIN-45/Raf, MEK-2/MEK and MPK-1/ERK. This
Ras/MAPK cascade is required for multiple developmental events, including
induction of vulval, uterine, spicule, P12 and excretory duct cell fates, control
of sex myoblast migration and axon guidance, and promotion of germline meiosis.
Studies in C. elegans have provided much insight into the basic framework of this
RTK/Ras/MAPK signaling pathway, its regulation, how it elicits cell-type specific
responses, and how it interacts with other signaling pathways such as the Wnt and
Notch pathways.
PMID- 18050475
TI - Embryological variation during nematode development.
AB - Early cell lineages and arrangement of blastomeres in C. elegans are similar to
the pattern found in Ascaris and other studied nematodes leading to the
assumption that embryonic development shows little variation within the phylum
Nematoda. However, analysis of a larger variety of species from various branches
of the phylogenetic tree demonstrate that prominent variations in crucial steps
of early embryogenesis exist among representatives of this taxon. So far, most of
these variations have only been studied on a descriptive level and thus
essentially nothing is known about their molecular or genetic basis.
Nevertheless, it is obvious that the limited morphological diversity of the
freshly hatched juvenile and the uniformity of the basic body plan contrast with
the many modifications in the way a worm is generated from the egg cell. This
chapter focuses on the initial phase between egg activation and gastrulation and
deals with the following aspects: reproduction and diploidy, polarity, cleavage
and germ line, cell lineages; cell cycles and maternal contribution, cell-cell
communication and cell specification, gastrulation.
PMID- 18050476
TI - Germline genomics.
AB - The completion of the C. elegans genome sequence permits the comprehensive
examination of the expression and function of genes. Annotation of virtually
every encoded gene in the genome allows systematic analysis of those genes using
high-throughput assays, such as microarrays and RNAi. This chapter will center on
the use of microarrays to comprehensively identify genes with enriched expression
in the germ line during development. This knowledge provides a database for
further studies that focus on gene function during germline development or early
embryogenesis. Additionally, a comprehensive overview of germline gene expression
can uncover striking biases in how genes expressed in the germ line are
distributed in the genome, leading to new discoveries of global regulatory
mechanisms in the germ line.
PMID- 18050477
TI - Germline chromatin.
AB - The DNA in eukaryotes is wrapped around a histone octamer core, together
comprising the main subunit of chromatin, the nucleosome. Modifications of the
nucleosomal histones in the genome correlate with the ability or inability of
chromatin to form higher order structures, that in turn influence gene activity.
The genome in primordial germ cells in early C. elegans germ cells carries a
unique pattern of histone modifications that correlate with transcriptional
repression in these cells, and aspects of this chromatin regulation are conserved
in Drosophila. Loss of repression causes sterility in the adults, suggesting that
chromatin-based repression is essential for germ line maintenance. The post
embryonic germ line also exhibits unique and dynamic aspects of chromatin
regulation, with chromosome-wide regulation particularly evident on the X
chromosome. Several properties of X-specific chromatin assembly are also sex
specific. These properties appear to be responding to the meiotic pairing status
of the X chromosome, rather than the sex of the germ cells. Finally, gamete
specific chromatin regulation during gametogenesis impacts on X chromatin
assembly in the offspring, leading to an apparent sperm-imprinted X inactivation
in the early embryo. Other potential roles for germline-specific modes of
chromatin assembly in genome regulation and protection are discussed.
PMID- 18050478
TI - Spermatogenesis.
AB - Spermatogenesis creates functional sperm from an initially undifferentiated germ
cell. In the nematode Caenorhabditis elegans, both males and hermaphrodites
engage in spermatogenesis. The hermaphrodite germ line, like that of the male,
initiates spermatogenesis during the L4 larval stage. The hermaphrodite germ line
differs from that of the male because it ceases spermatogenesis and switches to
oogenesis during the adult stage. Each hermaphrodite stores her sperm and uses
them to fertilize her oocytes. Many mutants have been identified where
hermaphrodite self-fertility is disrupted. If such a self-sterile hermaphrodite
is mated to a wild-type male, mutant hermaphrodites that either lack sperm or
contain defective sperm will produce outcross progeny. Easily implemented tests
are then applied to identify the subset of these mutants that produce defective
sperm. Currently, more than 44 genes are known that are required for normal
spermatogenesis. This chapter discusses the 25 best-understood genes that affect
spermatogenesis and mutants are grouped based on the cellular structure or
process that is affected. C. elegans spermatozoa lack an acrosome and a
flagellum, which are organelles found in the spermatozoa produced by most other
species. Like other nematodes, C. elegans spermatozoa move by crawling using a
single pseudopod. Wild-type spermatogenesis and its defects in mutants can be
studied in vivo because the animal is transparent and in vitro because a simple,
chemically defined medium that supports development has been discovered. Unlike
nearly all other C. elegans cells, homogeneous sperm can be obtained in
sufficient quantities to permit biochemical analyses.
PMID- 18050479
TI - Somatic sex determination.
AB - C. elegans occurs in two natural sexes, the XX hermaphrodite and the XO male,
which differ extensively in anatomy, physiology, and behavior. All somatic
differences between the sexes result from the differential activity of a "global"
sex determination regulatory pathway. This pathway also controls X chromosome
dosage compensation, which is coordinated with sex determination by the action of
the three SDC proteins. The SDC proteins control somatic and germline sex by
transcriptional repression of the her-1 gene. HER-1 is a secreted protein that
controls a regulatory module consisting of a transmembrane receptor, TRA-2, three
intracellular FEM proteins, and the zinc finger transcription factor TRA-1. The
molecular workings of this regulatory module are still being elucidated.
Similarity of TRA-2 to patched receptors and of TRA-1 to GLI proteins suggests
that parts of the global pathway originally derived from a Hedgehog signaling
pathway. TRA-1 controls all aspects of somatic sexual differentiation, presumably
by regulating a variety of tissue- and cell-specific downstream targets,
including the cell death regulator EGL-1 and the male sexual regulator MAB-3. Sex
determination evolves rapidly, and conservation of sexual regulators between
phyla has been elusive. An apparent exception involves DM domain proteins,
including MAB-3, which control sexual differentiation in nematodes, arthropods,
and vertebrates. Important issues needing more study include the detailed
molecular mechanisms of the global pathway, the identities of additional sexual
regulators acting in the global pathway and downstream of TRA-1, and the
evolutionary history of the sex determination pathway. Recently developed genetic
and genomic technologies and comparative studies in divergent species have begun
to address these issues.
PMID- 18050480
TI - Hermaphrodite cell-fate specification.
AB - There are two sexes in C. elegans, hermaphrodite and male. While there are many
sex-specific differences between males and hermaphrodites that affect most
tissues, the basic body plan and many of its structures are identical. However,
most structures required for mating or reproduction are sexually dimorphic and
are generated by sex-specific cell lineages. Thus to understand cell fate
specification in hermaphrodites, one must consider how the body plan, which is
specified during embryogenesis, influences the fates individual cells. One
possible mechanism may involve the asymmetric distribution of POP-1/Tcf, the sole
C. elegans Tcf homolog, to anterior-posterior sister cells. Another mechanism
that functions to specify cell fates along the anterior-posterior body axis in
both hermaphrodites and males are the Hox genes. Since most of the cell fate
specifications that occur in hermaphrodites also occur in males, the focus of
this chapter will be on those that only occur in hermaphrodites. This will
include the cell fate decisions that affect the HSN neurons, ventral hypodermal P
cells, lateral hypodermal cells V5, V6, and T; as well as the mesodermal M, Z1,
and Z4 cells and the intestinal cells. Both cell lineage-based and cell-signaling
mechanisms of cell fate specification will be discussed. Only two direct targets
of the sex determination pathway that influence cell fate specification to
produce hermaphrodite-specific cell fates have been identified. Thus a major
challenge will be to learn additional mechanisms by which the sex determination
pathway interacts with signaling pathways and other cell fate specification genes
to generate hermaphrodite-specific cell fates.
PMID- 18050481
TI - Sperm motility and MSP.
AB - Form follows function, and this maxim is particularly true for the nematode sperm
cell. Motility is essential for fertilization, and the process of spermatogenesis
culminates in the production of a crawling spermatozoon with an extended
pseudopod. However, the morphological similarity to amoeboid cells of other
organisms is not conserved at the molecular level. Instead of utilizing the actin
cytoskeleton and motor proteins, the pseudopod moves via the regulated assembly
and disassembly of filaments composed of the major sperm protein (MSP). The
current work reviews the structure and dynamics of MSP filament formation, the
critical role of pH in MSP assembly, and the recent identification of components
that regulate this process. The combination of cytological, biochemical, and
genetic approaches in this relatively simple system make nematode sperm an
attractive model for investigating the mechanics of amoeboid cell motility.
PMID- 18050482
TI - Epithelial junctions and attachments.
AB - A distinctive feature of polarized epithelial cells is their specialized
junctions, which contribute to cell integrity and provide platforms to
orchestrate cell shape changes. The chapter discusses the composition and
assembly of C. elegans cell-cell and cell-extracellular matrix junctions,
proteins that anchor the cytoskeleton and mechanisms involved in establishing
epithelial polarity. The focus remains cellular and does not properly deal with
epithelial cells in the context of the developing embryo.
PMID- 18050483
TI - Sarcomere assembly in C. elegans muscle.
AB - Sarcomeres within body wall muscle in C. elegans include attachments to the
sarcolemma that are remarkably similar in structure to vertebrate adhesion
complexes. Crucial early steps in muscle sarcomere assembly, a highly
orchestrated affair involving many proteins, involve the assembly of these
sarcomere attachments. The steps involved in initiating the correct placement of
these attachments and other sarcomere substructures are poorly understood. Using
mutants in C. elegans we are attempting to dissect the various steps in this
process. We review what has been discovered to date and present a model of
sarcomere assembly that initiates at the plasma membrane and involves proteins
within muscle, the hypodermis and within the extracellular matrix.
PMID- 18050484
TI - Cell division.
AB - The C. elegans embryo is a powerful model system for studying the mechanics of
metazoan cell division. Its primary advantage is that the architecture of the
syncytial gonad makes it possible to use RNAi to generate oocytes whose cytoplasm
is reproducibly (typically >95%) depleted of targeted essential gene products via
a process that does not depend exclusively on intrinsic protein turnover. The
depleted oocytes can then be analyzed as they attempt their first mitotic
division following fertilization. Here we outline the characteristics that
contribute to the usefulness of the C. elegans embryo for cell division studies.
We provide a timeline for the first embryonic mitosis and highlight some of its
key features. We also summarize some of the recent discoveries made using this
system, particularly in the areas of nuclear envelope assembly/dissassembly,
centrosome dynamics, formation of the mitotic spindle, kinetochore assembly,
chromosome segregation, and cytokinesis.
PMID- 18050485
TI - Intracellular trafficking.
AB - Studies in C. elegans have begun to reveal new components and new mechanisms
associated with intracellular membrane traffic in a variety of cell types. The
worm benefits from many of the advantages of yeast as a genetically tractable
organism for these kinds of studies while offering the unique opportunity to
probe how these pathways have been extended and modified in the context of a
multicellular animal undergoing development to produce diverse cell types such as
muscles, nerves, and polarized epithelia. This review summarizes recent work
elucidating endocytic pathways, primarily in the worm germ line and coelomocytes,
and also touches on diverse studies of secretion, especially in ectodermal cells
of epithelial character.
PMID- 18050486
TI - Cell fusion.
AB - Selective cell fusion is a natural part of development. It is found in sexually
reproducing organisms that require fertilization to propagate and in muscles,
placenta, bones, lens of the eye and stem cells. Cell fusion is particularly
important in the development of C. elegans: in addition to 300 sperm and oocytes
that fuse during fertilization, 300 of the 1090 somatic cells born, fuse
throughout development. Studies of cell fusion in C. elegans have shown that
although different types of cells fuse, cell membrane merger is initiated through
a common mechanism involving the action of one gene, eff-1. In worms with
mutations that inactivate eff-1, almost none of the 300 somatic cells that
normally fuse do so, but appear to differentiate, attach and behave in the same
way as fusing cells. Such worms develop and survive but have numerous
morphological, behavioral and fertility defects associated to cell fusion failure
in the epidermis, pharynx, male tail, vulva and uterus. Cell fusion in embryonic
dorsal epithelial cells has been analyzed in great detail by confocal microscopy
using membrane fluorescent probes, apical junction markers and cytoplasmic
aqueous fluorescent probes allowing the direct observation of membrane
disappearance, pore expansion and cytoplasmic content mixing. The complete
elimination of the membranes between two fusing cells takes about 30 min and
involves vesiculation of the fusing membranes. Genetic and cell biological
evidence indicates that eff-1 activity is both necessary and sufficient to fuse
epithelial and myoepithelial cells in vivo. Based on electron microscopic
analyses of intermediates of cell fusion in eff-1 mutants, it appears that eff-1
is required for both initiation and expansion of fusion pores, similar to the
fusogen of Influenza virus. While only one gene encoding a novel candidate
component of the cell membrane fusion machinery has been found, the nematode's
cell fusion program is under the control of many cell-specific transcriptional
regulators. A large number of these conserved regulators prevent cell fusion by
repressing eff-1 activity. For example, if either ceh-16/engrailed or the GATA
factor EGL-18/ELT-5 is inactivated, the lateral epidermal cells that normally do
not fuse in the embryo will fuse causing embryonic lethality. And if either the
Hox protein lin-39/Deformed or its cofactor ceh-20/Extradenticle is inactivated,
the ventral epidermal vulval precursor cells that normally do not fuse in the
larvae will fuse and the hermaphrodite will have no vulva. In addition, there is
evidence for coordinated and complex regulation of lin-39 in the ventral
epidermis by Ras, Wnt, Rb/E2F, NuRD and lin-15 pathways. It appears that in many
cells that normally do not fuse, specific transcription complexes repress eff-1
expression preventing cell fusion. ref-2 (REgulator of Fusion-2) encodes a Zn
finger protein that is required to generate ventral Pn.p cells and to keep them
unfused both in males and hermaphrodites. ref-2 is necessary, but not sufficient,
to maintain Pn.p cells unfused. This review shows that far from cell fusion being
an unusual phenomenon, there is the clear prospect that animal cells in all
tissues are intrinsically programmed to fuse, and are only prevented from fusing
by transcriptional and post-transcriptional control mechanisms. There are three
major questions that remain open for future research: (1) How does eff-1 fuse
cells? (2) How do Ras, Wnt, Rb, NuRD, E2F, heterochronic and other pathways
control cell fusion? (3) What are the implications of cell fusion beyond worms?
PMID- 18050487
TI - RNA-binding proteins.
AB - The C. elegans genome encodes many RNA-binding proteins (RBPs) with diverse
functions in development, indicative of extensive layers of post-transcriptional
control of RNA metabolism. A number of C. elegans RBPs have been identified by
forward or reverse genetics. They tend to display tissue-specific mutant
phenotypes, which underscore their functional importance. In addition, several
RBPs that bind regulatory sequences in the 3'untranslated regions of mRNAs have
been identified molecularly. Most C. elegans RBPs are conserved throughout
evolution, suggesting that their study in C. elegans may uncover new conserved
biological functions. In this review, we primarily discuss RBPs that are
associated with well-characterized mutant phenotypes in the germ line, the early
embryo, or in somatic tissues. We also discuss the identification of RNA targets
of RBPs, which is an important first step to understand how an RBP controls C.
elegans development. It is likely that most RBPs regulate multiple RNA targets.
Once multiple RNA targets are identified, specific features that distinguish
target from non-target RNAs and the type(s) of RNA metabolism that each RBP
controls can be determined. Furthermore, one can determine whether the RBP
regulates all targets by the same mechanism or different targets by distinct
mechanisms. Such studies will provide insights into how RBPs exert coordinate
control of their RNA targets, thereby affecting development in a concerted
fashion.
PMID- 18050488
TI - Mechanism and regulation of translation in C. elegans.
AB - C. elegans represents a favorable system to study the extraordinarily complicated
process of eukaryotic protein synthesis, which involves over 100 RNAs and over
200 polypeptides just for the core machinery. Initial research in protein
synthesis relied on fractionated mammalian and plant systems, but in the mid
1970s, the powerful genetics of Saccharomyces cerevisiae began to yield new
insights for translation in all eukaryotes. C. elegans has many features of
higher eukaryotes that are not shared by yeast. This allows protein synthesis
researchers to combine biochemistry, cell biology, developmental biology,
genetics, and genomics to study regulation of gene expression at the
translational level. Most components of the core translational machinery have
been identified in C. elegans, including rRNAs, 5S RNA, tRNAs, ribosomal
proteins, and aminoacyl tRNA synthetases. C. elegans has amino acid sequence
homologs for 56 of the known initiation, elongation, and release factor
polypeptides, but few of these have been isolated, functionally identified, or
studied at the biochemical level. Similarly, C. elegans has homologs for 22
components of the major signal transduction pathways implicated in control of
protein synthesis. The translational efficiency of individual mRNAs relies on cis
regulatory elements that include either a 7-methylguanosine- or 2,2,7
trimethylguanosine-containing cap, the 5'-terminal spliced leader, sequence
elements in the 3'-untranslated regions, and the 3'-terminal poly(A) tract.
Several key developmental pathways in C. elegans are predominantly governed by
translational mechanisms. Some evidence has been presented that well described
regulatory mechanisms in other organisms, including covalent modification of
translation factors, sequestration of translation factors, and mRNA-specific
changes in poly(A) length, also occur in C. elegans. The most interesting
unexplored questions may involve changes in the translation of individual mRNAs
during development, in response to physiological changes, or after genetic
manipulations. Given the highly developed state of C. elegans genomics, it can be
expected that future application of computational tools, including data
visualization, will help detect new instances of translational control.
PMID- 18050489
TI - DNA repair.
AB - The integrity of the genome is essential to the health of the individual and to
the reproductive success of a species. Transmission of genetic information is in
a selective balance between two opposing forces, the maintenance of genetic
stability versus elimination of mutational change and loss of evolutionary
potential. Caenorhabditis elegans provides many advantages for the study of DNA
surveillance and repair in a multicellular organism. Several genes have been
identified by mutagenesis and RNA interference that affect DNA damage checkpoint
and repair functions. Many of these DNA damage response genes also play essential
roles in DNA replication, cell cycle control, development, meiosis and mitosis.
To date, no obvious DNA damage-induced checkpoint has been described in C.
elegans somatic cells. In contrast, the DNA damage response in the germ line is
characterized by two spatially separate checkpoints; mitotic germ nuclei
proliferation arrest and apoptosis of damaged meiotic nuclei. Both of these
responses are regulated by checkpoint genes including mrt-2, hus-1, rad-5 and cep
1, the C. elegans ortholog of the human tumour suppressor p53. The germ line DNA
damage checkpoints in C. elegans provide an excellent model in which to study the
genes required to maintain genomic stability and to test compounds which might
have tumor suppressing properties. In addition to single gene studies,
integration of data from high-throughput screens has identified genes not
previous implicated in the DNA damage response and elucidated novel connections
between the different repair pathways. Most of the genes involved are conserved
between worms and humans, and in humans, are associated with either oncogenesis
or tumor-suppression. Thus, studies of the physical and functional interactions
of the components of the repair pathways in C. elegans will provide information
about human repair disorders and cancer predisposition.
PMID- 18050490
TI - Pristionchus pacificus.
AB - In the last decade, nematodes other than C. elegans have been studied intensively
in evolutionary developmental biology. A few species have been developed as
satellite systems for more detailed genetic and molecular studies. One such
satellite species is the diplogastrid nematode Pristionchus pacificus. Here, I
provide an overview about the biology, phylogeny, ecology, genetics and genomics
of P. pacificus.
PMID- 18050491
TI - Strongyloides spp.
AB - Strongyloides is a genus of parasitic nematodes, which, unusually, has a free
living adult generation. Here we introduce the biology of this genus, especially
the fascinating, but complex, life-cycle together with an overview of the
taxonomy, morphology, genetics and genomics of this genus.
PMID- 18050492
TI - C. elegans and volatile anesthetics.
AB - The mechanism of action of volatile anesthetics remains an enigma, despite their
worldwide use. The nematode C. elegans has served as an excellent model to
unravel this mystery. Genes and gene sets that control the behavior of the animal
in volatile anesthetics have been identified, using multiple endpoints to mimic
the phenomenon of anesthesia in man. Some of these studies have clear
translational implications in more complicated organisms.
PMID- 18050493
TI - Genomics and biology of the nematode Caenorhabditis briggsae.
AB - The soil nematode Caenorhabditis briggsae is an attractive model system for
studying evolution of both animal development and behavior. Being a close
relative of C. elegans, C. briggsae is frequently used in comparative studies to
infer species-specific function of the orthologous genes and also for studying
the dynamics of chromosome evolution. The genome sequence of C. briggsae is
valuable in reverse genetics and genome-wide comparative studies. This review
discusses resources and tools, which are currently available, to facilitate study
of C. briggsae in order to unravel mechanisms of gene function that confer
morphological and behavioral diversity.
PMID- 18050494
TI - Roles of chromatin factors in C. elegans development.
AB - It is now well established that cells modify chromatin to establish
transcriptionally active or inactive chromosomal regions. Such regulation of the
chromatin structure is essential for the proper development of organisms. C.
elegans is a powerful organism for exploring the developmental role of chromatin
factors and their regulation. This chapter presents an overview of recent studies
on chromatin factors in C. elegans with a description of their key roles in a
variety of cellular and developmental processes.
PMID- 18050495
TI - The C. elegans intestine.
AB - The intestine is one of the major organs in C. elegans and is largely responsible
for food digestion and assimilation as well as the synthesis and storage of
macromolecules. In addition, the intestine is emerging as a powerful experimental
system in which to study such universal biological phenomena as vesicular
trafficking, biochemical clocks, stress responses and aging. The present chapter
describes some of these many and varied properties of the C. elegans intestine:
the embryonic cell lineage, intestine morphogenesis, structure and physiology of
the intestinal cell and, finally, the transcription factor network controlling
intestine development and function.
PMID- 18050496
TI - Obesity and the regulation of fat metabolism.
AB - As in all living organisms, survival in C. elegans requires adequate management
of energy supplies. Genetic screens have revealed that C. elegans fat regulation
involves a complex network of genes with known or likely functions in food
sensation, neuroendocrine signaling, uptake, transport, storage and utilization
of fats. Core fat and sugar metabolic pathways are conserved in C. elegans. Flux
through these pathways is modulated by cellular energy sensors that operate via
transcriptional and translational regulatory mechanisms. In turn, neuroendocrine
mechanisms couple sensory and metabolic pathways while neuromodulatory pathways
influence both metabolic and food seeking/consumption pathways. The shared
ancestry of C. elegans and mammalian fat regulatory pathways extends to
developmental programs that underlie fat storage capacity, despite lack of
dedicated adipocytes, and genes whose human homologs are implicated in obesity.
This suggests that many of the newly identified C. elegans fat regulatory
pathways play similar roles in mammals. C. elegans is ideally suited for the
integrated study of mechanisms that operate in multiple tissues and elicit
feedback responses that affect processes as diverse as metabolism and behavior.
PMID- 18050497
TI - The cuticle.
AB - The nematode cuticle is an extremely flexible and resilient exoskeleton that
permits locomotion via attachment to muscle, confers environmental protection and
allows growth by molting. It is synthesised five times, once in the embryo and
subsequently at the end of each larval stage prior to molting. It is a highly
structured extra-cellular matrix (ECM), composed predominantly of cross-linked
collagens, additional insoluble proteins termed cuticlins, associated
glycoproteins and lipids. The cuticle collagens are encoded by a large gene
family that are subject to strict patterns of temporal regulation. Cuticle
collagen biosynthesis involves numerous co- and post-translational modification,
processing, secretion and cross-linking steps that in turn are catalysed by
specific enzymes and chaperones. Mutations in individual collagen genes and their
biosynthetic pathway components can result in a range of defects from abnormal
morphology (dumpy and blister) to embryonic and larval death, confirming an
essential role for this structure and highlighting its potential as an ECM
experimental model system.
PMID- 18050498
TI - Sex determination in the germ line.
AB - Sexual identity is one of a few basic parameters that specify how development
should proceed. Although sex determination has profound effects on many tissues,
its most ancient and fundamental role is ensuring that some germ cells become
sperm, and others become oocytes or eggs. Spermatocytes and oocytes are usually
produced in male and female animals, respectively, but C. elegans is uniquely
suitable for studying the control of these cell fates because both types of cells
are made from a common pool of progenitors in XX hermaphrodites. Extensive
genetic and molecular studies have shown that the sexual fate of germ cells in C.
elegans is controlled by the same genes that regulate sexual identity in other
parts of the animal. However, this regulatory pathway has additional features
that are unique to the germ line. First, several genes, like the three fogs, act
only in germ cells. Second, the three fem genes act in concert with targets of
tra-1 to control germ cell fates, but do not act this way in the soma. Third,
translational repression of tra-2 is essential for hermaphrodite spermatogenesis.
Fourth, translational repression of fem-3 is needed for oogenesis. In this
review, we present genetic and molecular models for how these processes work, and
summarize the evidence upon which they are built.
PMID- 18050499
TI - The biology and genome of Heterorhabditis bacteriophora.
AB - Heterorhabditis bacteriophora is an entomopathogenic nematode (EPN) mutually
associated with the enteric bacterium, Photorhabdus luminescens, used globally
for the biological control of insects. Much of the previous research concerning
H. bacteriophora has dealt with applied aspects related to biological control.
However, H. bacteriophora is an excellent model to investigate fundamental
processes such as parasitism and mutualism in addition to its comparative value
to Caenorhabditis elegans. In June 2005, H. bacteriophora was targeted by NHGRI
for a high quality genome sequence. This chapter summarizes the biology of H.
bacteriophora in common and distinct from C. elegans, as well as the status of
the genome project.
PMID- 18050500
TI - Strongyloides stercoralis: a model for translational research on parasitic
nematode biology.
AB - Because of their free-living life cycle alternatives, Strongyloides and related
nematode parasites may represent the best models for translating C. elegans
science to the study of nematode parasitism. S. stercoralis, a significant
pathogen of humans, can be maintained in laboratory dogs and gerbils. Biosafety
precautions necessary for work with S. stercoralis, though unfamiliar to many C.
elegans researchers, are straightforward and easily accomplished. Although
specialized methods are necessary for large-scale culture of the free-living
stages of S. stercoralis, small-scale cultures for experimental purposes may be
undertaken using minor modifications of standard C. elegans methods. Similarly,
the morphological similarities between C. elegans and the free-living stages of
S. stercoralis allow investigational methods such as laser cell ablation and DNA
transformation by gonadal microinjection to be easily adapted from C. elegans to
S. stercoralis. Comparative studies employing these methods have yielded new
insights into the neuronal control of the infective process in parasites and its
similarity to regulation of dauer development in C. elegans. Furthermore, we have
developed a practical method for transient transformation of S. stercoralis with
vector constructs having various tissue- and cell-specific expression patterns
and have assembled these into a modular vector kit for distribution to the
community.
PMID- 18050501
TI - Biogenic amine neurotransmitters in C. elegans.
AB - Four biogenic amines: octopamine, tyramine, dopamine and serotonin act in C.
elegans to modulate behavior in response to changing environmental cues. These
neurotransmitters act at both neurons and muscles to affect egg laying,
pharyngeal pumping, locomotion and learning. A variety of experimental approaches
including genetic, imaging, biochemical and pharmacological analyses have been
used to identify the enzymes and cells that make and release the amines and the
cells and receptors that bind them. Dopamine and serotonin act through receptors
and downstream signaling mechanisms similar to those that operate in the
mammalian brain suggesting that C. elegans will provide a valuable model for
understanding biogenic amine signaling in the brain.
PMID- 18050502
TI - Acetylcholine.
AB - Acetylcholine is the major excitatory neurotransmitter at nematode neuromuscular
junctions, and more than a third of the cells in the C. elegans nervous system
release acetylcholine. Through a combination of forward genetics, drug-resistance
selections, and genomic analysis, mutants have been identified for all of the
steps specifically required for cholinergic function. These include two enzymes,
two transporters, and a bewildering assortment of receptors. Cholinergic
transmission is involved, directly or indirectly, in many C. elegans behaviors,
including locomotion, egg laying, feeding, and male mating.
PMID- 18050503
TI - The C. elegans pharynx: a model for organogenesis.
AB - The C. elegans foregut (pharynx) has emerged as a powerful system to study organ
formation during embryogenesis. Here I review recent advances regarding cell-fate
specification and epithelial morphogenesis during pharynx development. Maternally
supplied gene products function prior to gastrulation to establish pluripotent
blastomeres. As gastrulation gets under way, pharyngeal precursors become
committed to pharyngeal fate in a process that requires PHA-4/FoxA and the Tbox
transcription factors TBX-2, TBX-35, TBX-37 and TBX-38. Subsequent waves of gene
expression depend on the affinity of PHA-4 for its target promoters, coupled with
combinatorial strategies such as feed-forward and positive-feedback loops. During
later embryogenesis, pharyngeal precursors undergo reorganization and a
mesenchymal-to-epithelial transition to form the linear gut tube. Surprisingly,
epithelium formation does not depend on cadherins, catenins or integrins. Rather,
the kinesin ZEN-4/MKLP1 and CYK-4/RhoGAP are critical to establish the apical
domain during epithelial polarization. Finally, I discuss similarities and
differences between the nematode pharynx and the vertebrate heart.
PMID- 18050504
TI - Gene expression changes associated with aging in C. elegans.
AB - Great inroads into the understanding of aging have been made using C. elegans as
a model system. Several genes have been identified that, when mutated, can extend
lifespan. Yet, much about aging remains a mystery, and new technologies that
allow the simultaneous assay of expression levels of thousands of genes have been
applied to the question of how and why aging might occur. With correct
experimental design and statistical analysis, differential gene expression
between two or more populations can be obtained with high confidence. The ability
to survey the entire genome in an unbiased way is a great asset for the study of
complex biological phenomena such as aging. Aging undoubtedly involves changes in
multiple genes involved in multiple processes, some of which may not yet be
known. Gene expression profiling of wild type aging, and of strains with
increased life spans, has provided some insight into potential mechanisms, and
more can be expected in the future.
PMID- 18050505
TI - The sensory cilia of Caenorhabditis elegans.
AB - The non-motile cilium, once believed to be a vestigial cellular structure, is now
increasingly associated with the ability of a wide variety of cells and organisms
to sense their chemical and physical environments. With its limited number of
sensory cilia and diverse behavioral repertoire, C. elegans has emerged as a
powerful experimental system for studying how cilia are formed, function, and
ultimately modulate complex behaviors. Here, we discuss the biogenesis,
distribution, structures, composition and general functions of C. elegans cilia.
We also briefly highlight how C. elegans is being used to provide molecular
insights into various human ciliopathies, including Polycystic Kidney Disease and
Bardet-Biedl Syndrome.
PMID- 18050506
TI - Mistletoe in tumour therapy. Abstracts of the 4th Mistletoe Symposium, 8-10
November 2007, Nonnweiler-Otzenhausen, Germany.
PMID- 18050508
TI - [Abstracts of the 49th annual meeting of the Clinical Research Club of Quebec,
September 20-22, 2007, Mont-Tremblant, Canada].
PMID- 18050507
TI - Abstracts of the joint annual meeting of the Swiss Society of Anaesthesiologie
and Reanimation and the Swiss Society of Intensive Medicine, November 1-3, 2007,
Interlaken, Switzerland.
PMID- 18050510
TI - [Abstracts of the annual meeting of the Ophthalmologists Society of Saxony, 26-27
October 2007, Chemnitz].
PMID- 18050509
TI - Abstracts from the 27th Annual Conference on Dialysis, February 18-20, 2007,
Denver, Colorado, USA.
PMID- 18050511
TI - Abstracts of the International Society of Haematology, Congress of the European
and African Division, 29 August-2 September, 2007, Budapest, Hungary.
PMID- 18050512
TI - Abstracts of the 29th Annual Meeting of the American Society for Bone and Mineral
Research, September 16-19, 2007, Honolulu, Hawaii, USA.
PMID- 18050513
TI - [More and more Cesarean sections in China].
PMID- 18050514
TI - Progesterone and preterm birth.
PMID- 18050515
TI - Isolated systolic hypertension in the elderly.
PMID- 18050516
TI - Childhood leukaemia and socioeconomic status.
PMID- 18050517
TI - DNA-programmed chemistry in rapid homogeneous assays for DNA and protein targets.
PMID- 18050518
TI - Call to atheists.
PMID- 18050519
TI - Headline matters:creation of artificial life.
PMID- 18050520
TI - Sea-change riches.
PMID- 18050521
TI - Q & A. Richard Vallee.
PMID- 18050522
TI - Real-time label-free acoustic technology for rapid detection of Escherichia coli
O157:H7.
PMID- 18050523
TI - An innovative, flow-assisted, noncompetitive chemiluminescent immunoassay for the
detection of pathogenic bacteria.
PMID- 18050524
TI - Application of liquid chromatography-mass spectrometry technology for early
detection of microalbuminuria in patients with kidney disease.
PMID- 18050525
TI - Closing the gap: improving front-line clinician proficiency in epilepsy care.
PMID- 18050526
TI - Standards of care and the burden of treatment failure in type 2 diabetes.
AB - Despite the armamentarium of oral and injectable agents available for the
treatment of type 2 diabetes, there is clearly a significant gap between current
recommendations for glycemic targets and the proportion of patients who can
achieve and maintain glycemic control. Early diagnosis and intervention,
particularly with the initiation of insulin therapy, may delay the progressive
loss of pancreatic beta cells and the risk for macrovascular and microvascular
complications. While there are numerous myths and misconceptions surrounding
insulin therapy, physician and patient education, as well as an awareness of
cultural sensitivities, can be instrumental in overcoming these barriers.
PMID- 18050527
TI - Contemplating complementary medicine?
PMID- 18050528
TI - The impact of obesity on cardiometabolic risk.
PMID- 18050529
TI - Hypertension within the cardiovascular disease risk paradigm.
AB - Hypertension remains a significant health issue not only for Americans but for
the worldwide population. Elevated BP can not only be controlled but can be
prevented, by addressing modifiable risk factors for CVD, including increased
body weight, physical inactivity, poor dietary habits, alcohol consumption, and
smoking. The challenge for the clinician is to reduce the global risk for CVD by
preventing or at least delaying the onset ofmodifiable CVD risk factors, and,
when pharmacologic intervention is warranted, managing hypertension aggressively
in order to help patients achieve recommended BP goals.
PMID- 18050530
TI - Establishing sound goals for hypertension management.
AB - There is a clear need to reduce the prevalence of hypertension and, therefore,
cardiovascular risk, among Americans. Many are unaware they have elevated BP,
less than half who have been diagnosed with hypertension are treated, and only a
third of those treated reach recommended goals. While hypertension affects
American men and women of many ethnicities, prevalences are notably increased
among blacks and Hispanics. Merely adopting positive lifestyle changes that
include more exercise, a healthy, low-sodium diet for weight reduction, and
smoking cessation can significantly improve both systolic and diastolic BP When
pharmacologic treatment is needed, several classes ofantihypertensive agents with
differing mechanisms of action are available for use as monotherapy or in various
combinations to help patients reach their BP goals. Often three or more
antihypertensive drugs are necessary for adequate BP control; however, the
antihypertensive regimen must be tailored to the individual patient. It is more
meaningful to assess and understand the individual patient with hypertension in
order to set realistic BP goals than to expect all patients to reach the same
goal with a uniform therapeutic plan.
PMID- 18050531
TI - Case studies: applying JNC-7 treatment guidelines.
AB - Patients with hypertension often have other comorbidities, such as heart failure,
diabetes, or CKD, that can complicate their clinical management. Controlling BP
in these patients is key to reducing their overall risk for morbidity and
mortality. Current recommendations indicate which classes of antihypertensive
agents are appropriate for patients with cardiovascular, renal, and metabolic
comorbidities. The recommendations are based on prospective, randomized clinical
trials in specific patient populations that evaluated several classes of
antihypertensives, including beta-blockers, ARBs, ACE inhibitors, and CCBs,
administered as monotherapy or in various combinations. These cases illustrate
the application of clinical trials evidence to the management of hypertension in
clinical practice.
PMID- 18050532
TI - [Internal medicine and internists in Germany. A historical sketch of the history
of the German Society of Internal Medicine].
PMID- 18050533
TI - Outbreak news. Rift Valley fever, Sudan--update.
PMID- 18050534
TI - Progress in global measles control and mortality reduction, 2000-2006.
PMID- 18050535
TI - A place for women: a selective annotated bibliography on Civil War women in
medical services.
PMID- 18050536
TI - Surviving a flood.
PMID- 18050537
TI - William James on an unseen order.
PMID- 18050538
TI - Promoting civil rights through the welfare state: how Medicare integrated
Southern hospitals.
PMID- 18050539
TI - Questions diagnosis of frenulum of the epiglottis in racehorse.
PMID- 18050540
TI - For the love of mustard.
PMID- 18050541
TI - Educational Quiz. A painful gum.
PMID- 18050542
TI - Charting the terrain of touch.
AB - Discusses the Laboratory for Human and Machine Haptics at the Massachusetts
Institute of Technology, nicknamed the Touch Lab, which investigates new
technologies involved in the sense of touch.
PMID- 18050543
TI - Professional practice. The peugeot led recovery.
PMID- 18050544
TI - Shoulder pain.
PMID- 18050545
TI - Malaria.
PMID- 18050546
TI - Childless women in the plays of William Inge.
PMID- 18050547
TI - "The best or none!" Spinsterhood in nineteenth-century New England.
PMID- 18050548
TI - Rudolph Fisher: an updated selected bibliography.
PMID- 18050550
TI - Monstrous productions or the best of womanhood? Progressive-Era women in
medicine.
PMID- 18050551
TI - Alcohol and temperance.
PMID- 18050552
TI - Promoting clean water in nineteenth-century public policy: professors, preachers,
and polliwogs in Kingston, Ontario.
PMID- 18050553
TI - A note from the editor-in-chief.
PMID- 18050554
TI - [Organogenesis in mammals: Introduction].
PMID- 18050555
TI - ISOEAID '05 in Kumamoto. Towards the consecutive and comprehensive control
measures for prevention of allergy and immuno-toxicity in the workplace and
living environment. Preface.
PMID- 18050556
TI - Sex, gender and condom use.
PMID- 18050557
TI - Prevalence and risk factors of undetected elevated blood pressure in an elderly
Southeast Asian population.
AB - Data on the prevalence of Hypertension (HTN) among elderly Asians is limited. We
investigated the prevalence of elevated blood pressure (EBP) and its risk factors
in a multiracial Southeast Asian elderly population who participated in the
National Kidney Foundation Singapore nationwide screening programme. Among 19,848
subjects > or =65 years (approximately 6% of the total Singapore population), the
mean age was 70.6 +/- 5.26 yrs. After excluding 36.6% with known HTN, analysis
revealed that 5,889 (46.8%) of the remaining population had previously undetected
EBP > 140/90. Increasing age, male gender, BMI > or =23 kg/m2 and pre-existing
diabetes were significantly associated with previously undetected EBP on
multivariate analysis. 6% of cases with undetected EBP had proteinuria suggestive
of longstanding EBP and renal damage. We conclude that there is a high prevalence
of undetected EBP in elderly Asians, suggesting the need for increased efforts in
screening in the elderly population.
PMID- 18050558
TI - Awareness and perception about tuberculosis in the general population of Delhi.
AB - The present study was conducted to assess awareness and perception regarding
tuberculosis among the general population of Delhi. A total of 1008 adults,
selected by multistage stratified systematic sampling, were interviewed using a
pre-tested proforma. The majority had heard about tuberculosis (99.1%) and most
(89.2%) perceived it to be an infectious disease. The correct mode of
transmission i.e. airborne (coughing/sneezing) was known to 71.8% study subjects.
The majority (90.1%) knew cough as a symptom. Nearly all (98.2%) perceived
Tuberculosis to be a preventable disease, citing the treatment of patients as the
mainstay of preventing spread of the disease. However, responses like separation
of utensils or hospitalisation of the patient to prevent the spread of the
disease indicate persistence of stigma and discrimination in a small proportion
of the population. There is a need to widen the scope and intensify the
information and education being provided to the population based on gaps
identified.
PMID- 18050559
TI - Exploring the economics of motorcycle helmet laws--implications for low and
middle-income countries.
AB - This paper reviews economic evaluations of motorcycle helmet interventions in
preventing injuries. A comprehensive literature review focusing on the
effectiveness of motorcycle helmet use, and on mandatory helmet laws and their
enforcement was done. When helmet laws were lifted between 1976-80, 48 states
within the U.S.A. experienced a cost of $342,047 per excess fatality of annual
net savings. Helmet laws in the USA had a benefit-cost ratio of 1.33 to 5.07.
Taiwan witnessed a 14% decline in motorcycle fatalities and a 22% reduction of
head injury fatalities with the introduction of a helmet law. In Thailand, where
70-90% of all crashes involve motorcycle, after enforcement of a helmet law,
helmet-use increased five-fold, the number of injured motorcyclists decreased by
33.5%, head injuries decreased by 41.4%, and deaths decreased by 20.8%. There is
considerable evidence that mandatory helmet laws with enforcement alleviate the
burden of traffic injuries greatly. For low and middle-income countries with high
rates of motorcycle injuries, enforced, mandatory motorcycle helmet laws are
potentially one of the most cost-effective interventions available.
PMID- 18050560
TI - Relationship between reproductive exposures and age-related cataract in women.
AB - The objective of this study is to evaluate the relationship between reproductive
exposures and age-related cataract among women. This was a hospital based case
control study. The study population included female patients, aged 50 years and
above who attended the Eye clinic at the University of Malaya Medical Centre. The
outcome measurement was based on ophthalmologic examination by an
ophthalmologist. The data on exposure was obtained from face to face interview
using a structured questionnaire. In order to reduce the recall bias, patients'
medical records were used to substantiate the exposure status. Multiple logistic
regression was used to assess the association of age-related cataract with
exogenous estrogen usage (HRT and OCP) and duration of menses. Important
confounders such as age, history of diabetes, cigarette smoking and steroids
usage were controlled for in the analysis. Females with 29 years or less of
endogenous estrogen exposure of, have almost three times the risk of developing
age related cataract (adjusted OR 3.42: 95% CI: 1.28, 9.16), similarly among
those with exposure of 30-32 years (adjusted OR 3.64: 95% CI: 1.08, 12.26).
Hormone Replacement Therapy used for more than three years was found to be a
protective factor of age-related cataract. There is evidence that reproductive
exposure may play a role in reducing the occurrence of age-related cataract among
Malaysian women.
PMID- 18050561
TI - Factors associated with inappropriate utilisation of emergency department
services.
AB - This study was carried out to determine the associated factors and the reasons
for inappropriate utilisation of Emergency Department (ED) services at Universiti
Sains Malaysia Hospital. A case-control study was conducted with 170 cases from
ED and 170 controls from the Outpatient Department (OPD). A self-administered
questionnaire was designed and used to obtain sociodemographic data, knowledge on
the functions of ED and OPD, health seeking attitude and behaviour, and reasons
for seeking treatment at ED. The study found that gender, marital status, family
size, shift work, perceived illness, and knowledge on the role and functions of
ED and OPD were significant associated factors. The three most common reasons for
inappropriate utilisation of ED were as follows: "due to severity of illness"
(85%), "can't go to OPD during office hours" (42%), and "ED near my house" (27%).
PMID- 18050562
TI - Economic disparity and child survival in Cambodia.
AB - Despite improvements in child survival in recent decades, levels of infant and
child mortality remain unacceptably high, particularly among the poor in
developing countries recovering from recent wars and civil unrests. Using
information on 8498 childbirths in five years preceding the 2000 Cambodia
Demographic and Health Survey, this study measured the association between
economic disparity and infant mortality using multivariate Weibull regression.
Results indicate that children born in the poorest 40% households were more than
twice as likely to die during infancy as those born in the richest 20%
households, even after controlling for pregnancy care, birth weight, household
living conditions, and other factors. Children born in the middle-income
households also had significantly higher mortality risks. Not receiving antenatal
care and low birth weight were associated with an increased risk. Also, boys had
a higher risk than girls. The study concludes that poverty is strongly negatively
associated with infant survival in Cambodia.
PMID- 18050563
TI - Gender differences in sexual behavior and condom-related behaviours and attitudes
among Korean youths.
AB - We examined gender differences in sexual behaviours, condom-related behaviours
and attitudes to premarital sex in order to identify gender differences in young
Korean singles aged 19 to 30 years. This study was based on data from the 2003
national survey of attitudes and behaviours towards AIDS in the Korean adult
population, which contains information on a national sample of the general
population aged 19 to 59 years. We selected 501 unmarried subjects between the
ages of 19-30 from 1995 respondents. The selection criterion for the subjects'
age was based on the 2003 Korean mean age for marriage which was 29.8 for men and
27.0 for women. Gender differentials in sexual behaviour, condom use and related
attitudes toward condom use were assessed. Although men initiated sexual practice
earlier and had more multiple partners than women, both genders were equally
likely to have engaged in inconsistent condom use, even when having sex with a
high risk partner. These findings suggest that sex education focusing on condom
use should be included in the school curricula. Implementation of early sexual
education should start before the students initiate sexual activity to give them
a chance to prepare gradually.
PMID- 18050564
TI - Trends in olive pollen allergy. Introduction.
PMID- 18050565
TI - Olive pollen recombinant allergens: value in diagnosis and immunotherapy.
AB - Olive pollen has a complex allergenic profile, from which more than 10 allergens
have been identified and characterized. Some of these belong to well-known
protein families and others cannot be included in reported biochemical types.
Most of these allergens have been produced by recombinant technology, mainly in
Escherichia coli or in Pichia pastoris, and they are good candidates for
diagnostic and therapeutic purposes. Diagnosis and immunotherapy of allergy
currently use extracts prepared from homogenates of natural sources, which only
allow us to detect sensitivity to the complete source. These extracts can be
successfully replaced by mixtures with controlled amounts of specific allergenic
proteins obtained by recombinant technology in order to define the sensitization
profile of individual patients. Recombinant Ole e 1 can be used as a marker for
sensitization to Oleaceae. Recombinants Ole e 2 (profilin) and Ole e 3
(polcalcin) can serve as markers of polysensitivity. Finally, recombinant forms
of Ole e 6, Ole e 10, and the carboxy-terminal and amino-terminal domains of Ole
e 9 would help to detect sensitization to these minority allergens that could be
overlooked in the complete olive pollen extract. These recombinant molecules can
help provide an accurate diagnosis of sensitivity to individual allergens and,
therefore, improve the design of more efficacious allergen-based immunotherapy
strategies.
PMID- 18050566
TI - Degree of olive pollen exposure and sensitization patterns. Clinical
implications.
AB - BACKGROUND: Very high levels of exposure to olive pollen in the south of Spain
lead to differential allergen sensitization profiles. Therefore, new approaches
to allergen standardization, diagnosis, and vaccination are necessary. METHODS:
Quantification of minor allergens in extracts, component-resolved patient
diagnosis, and IgG4 individual allergen responses were used to evaluate new
strategies in the management of olive pollen allergy. RESULTS: Allergen
variability observed between different olive cultivars can be used to identify
suitable allergen sources that can be combined to yield consistent allergen
extracts for diagnosis and immunotherapy. Component-resolved diagnosis can
provide a better patient classification. IgG4 levels to major allergens increase
significantly, whereas specific IgG4 to minor allergens does not seem to
increase, at least during the early phases of immunotherapy. CONCLUSION: Patients
exposed to extreme olive pollen levels display a different severity of allergy
from those exposed to normal levels, which makes it necessary to follow a
different clinical approach. The use of component-resolved diagnosis, better
standardized allergen extracts, and new efficacy monitoring techniques will lead
to a significant improvement in the management of olive allergy disease.
PMID- 18050567
TI - Differential characteristics of olive pollen from different cultivars: biological
and clinical implications.
AB - The olive tree is grown in many parts of the world. Its germplasm is very broad,
with 250 varieties in Spain alone. Variations in the ability of pollen to
germinate have been studied in detail and show conspicuous differences between
varieties. However, commercial olive pollen from cultivars whose origin is
unknown is the material that is commonly used for clinical and biological
studies. We aim to assess the putative heterogeneity of olive cultivars with
regard to the presence of several pollen allergens and to determine whether these
differences have biological and clinical relevance. Previous studies show that
most allergens isolated and characterized to date are highly polymorphic. Olive
cultivars display wide differences in the expression levels of many allergens and
in the number and molecular characteristics of the allergen isoforms expressed.
These differences are maintained over the years, and are intrinsic to the
genetics of each cultivar. Such broad polymorphism seems to be involved in the
physiology of the olive reproductive system, which might include the adaptation
of the plant to different environmental conditions, the establishment of the
compatibility system, and pollen performance. The differences in allergen
composition in cultivars, particularly in the Ole e 1 allergen, are responsible
for the important differences in the allergenic potency of the extracts. These
findings could have a number of implications for the diagnosis and therapy of
olive pollen allergy. We discuss how cultivar differences affect extract quality,
diagnostic and therapeutic efficacy and safety, and the development of new
vaccines based on the use of recombinant allergens.
PMID- 18050568
TI - Modelling diseases: the allergens of Olea europaea pollen.
AB - This study analyzes the influence of the IgE response to certain olive pollen
allergens in the modulation of the different clinical phenotypes of allergic
disease and their relationship with the level of exposure to pollen and genetic
factors. Patients from high-exposure areas had a complex IgE antibody response to
allergens of Olea euroapea, which included 3 or more allergens in 75% of cases.
The majority allergens were Ole e 1, Ole e 2 (profilin), Ole e 7 (lipid
transporting protein), Ole e 9 (glucanase), and Ole e 10. The existence of the
antigen HLA-DR2 (15) led to a higher risk of sensitization to Ole e 10 and a
greater trend towards the development of severe asthma, which increased in the
presence of an anti-profilin IgE. Thirty percent of patients suffering from
pollinosis simultaneously presented allergy to vegetable foods. Anti-Ole e 7 IgE
was significantly associated with fruit anaphylaxis and anti-profilin IgE was
detected in 90% of patients with oral syndrome. Finally, we analyzed the role of
glucanase and Ole e 10 as causes of the pollen-latex-fruit syndrome.
PMID- 18050569
TI - Modulation of allergic response by gene-environment interaction: olive pollen
allergy.
AB - This article summarizes the most important advances of recent years in the field
of gene-environment interaction in allergic response. It specifically examines
sensitization to olive pollen as an example of one of the main causes of allergic
disease in the Mediterranean area. The presence of at least 20 proteins with
allergic activity has been demonstrated in olive pollen, and 10 of these have
been characterized (Ole e 1 to Ole e 10). Ole e 1, which is considered to be the
majority allergen (causing sensitization in more than 70% of patients), has been
the subject of many studies looking for risk factors and ways to protect against
sensitization. Markers of the major histocompatibility complex and other genetic
loci associated with the allergic response have been analyzed using population
based, family-based, and functional approaches, which have revealed the
involvement of genetic regulation in this type of response. Furthermore,
evaluation of environmental factors and their relationship with genetic factors
is essential when attempting to understand this type of disease. In this review,
we provide examples of how exposure to high doses of olive pollen allergen in a
specific genetic context can trigger different allergic conditions (from asthma
to nonresponse). We stress the importance of evaluating these factors in order to
modulate this response correctly.
PMID- 18050570
TI - Component-resolved diagnosis to optimize allergen-specific immunotherapy in the
Mediterranean area.
AB - Allergen-specific immunotherapy (SIT) is the only allergen-specific treatment for
allergy. It can prevent progression of the disease and has a long-lasting
therapeutic effect. Since SIT is allergen-specific, the identification of the
disease-eliciting allergen is an essential prerequisite for the accurate
prescription of treatment. Diagnostic tests based on allergen extracts consist of
mixtures of various allergens of which some are specific for the allergen source
and others occur as cross-reactive allergens in various unrelated allergen
sources. It may therefore be difficult and sometimes impossible to identify the
disease-causing allergen with such tests, particularly in patients who are
sensitized to more than one allergen source. Sensitization to pollens from olive,
grasses, and Parietaria in the Mediterranean area is frequently treated with SIT.
Here, we describe allergen molecules from these sources that can be used for
component-resolved diagnosis of allergy to facilitate the selection of patients
for SIT and monitor the immunological effects of treatment.
PMID- 18050571
TI - Pla 1 1 and Ole e 1 pollen allergens share common epitopes and similar
ultrastructural localization.
AB - BACKGROUND: English plantain (Plantago lanceolata L.) and olive (Olea europaea
L.) pollens are important causes of pollinosis in large areas of North America,
Australia, and the Mediterranean basin. The major pollen allergens of both
plants, Pla I 1 and Ole e 1, share 38.7% of their amino acid sequences.
OBJECTIVE: To analyze putative cross-reactivity between these 2 proteins.
METHODS: Several antibodies and patients' sera were used in immunoblot and
immunocytochemistry experiments. RESULTS: Two anti-Pla I 1 antibodies were able
to bind to 3 polypeptides from olive pollen protein extracts, which correspond to
the 3 glycosylation isoforms of Ole e 1 (18-22 kDa) previously described.
Moreover, Pla I 1 protein was found in the cytoplasm of both the vegetative and
the generative cells of P lanceolata mature pollen. On olive pollen sections,
these anti-Pla I 1 antibodies displayed significant labeling in the cytoplasm of
the vegetative cell and in both the exine and the material adhering to this outer
layer of the pollen wall. In addition, the anti-Ole e 1 antibody 10H1 was found
to cross-react with proteins of similar masses (16-20 kDa) to Pla I 1 variants.
In Plantago pollen sections, the 10H1 antibody recognized proteins located in the
cytoplasm of both the vegetative and generative cells. Cross-reaction was
confirmed using sera from patients allergic to either plant pollen. CONCLUSION:
Both allergens share common epitopes, which can be cross-recognized by different
antibodies and sera from different patients, although this antigenic similarity
seems to have little clinical relevance.
PMID- 18050574
TI - Caring for the person with special needs: a rewarding professional
responsibility.
PMID- 18050573
TI - Dental cements--are you confused?
PMID- 18050572
TI - Are there any alternatives to alendronate (Fosamax) for osteoporosis to avoid the
risk of osteonecrosis of the jaw?
PMID- 18050575
TI - Aging patients: turning dental tragedies into triumphs. Transcript of a lecture
presented on June 28 at the 2007 AGD Annual Meeting & Exhibits in San Diego.
PMID- 18050576
TI - Combination of aspirin and clopidogrel for the prevention of thrombosis:
implications for the dental practitioner.
AB - The use of aspirin and clopidogrel as effective antiplatelet therapy in
preventing secondary thromboembolic events is well-established. However, there is
controversy among dentists and physicians regarding the appropriate dental
management of patients receiving dual antiplatelet therapy due to the lack of
clinical studies about hemorrhagic risk in these patients. Indications for
modifying dual antiplatelet therapy--whether it is done by altering doses,
switching to monotherapy, or discontinuing it completely--occur infrequently, as
most patients can be treated in a dental office setting. In all cases, patients
must be managed jointly by the dentist and physician, taking into account the
patient's medical and dental history. This article reviews the current use of
aspirin and clopidogrel as combination therapy, examining their effect on
platelet function, the associated hemorrhagic risk during dental procedures for
patients using this therapy, and how oral health care providers can manage these
patients safely and effectively.
PMID- 18050577
TI - Removal of the smear layer from flattened canals using different chemical
substances.
AB - This study used scanning electron microscopy to evaluate the flattened root
canals of human mandibular incisors cleaned with nickel-titanium instruments and
different chemical substances. Teeth were selected and divided randomly into five
groups (n = 10) according to the chemical substances used in the biomechanical
preparation: 5.25% sodium hypochlorite (Group 1), 5.25% sodium hypochlorite plus
a final irrigation with 17% EDTAC (Group 2), EDTA gel combined with 5.25% sodium
hypochlorite (Group 3), 2% chlorhexidine gel (Group 4), and 5.25% sodium
hypochlorite combined with Glyde File Prep gel (Group 5). Data analysis showed a
statistically significant difference (p < 0.001) among groups. Groups 1 and 5
were similar statistically (p > 0.001) and displayed a higher amount of smear
layer on canal walls. Samples from Groups 2, 3, and 4 revealed root canal walls
without smear layer and exposed dentin tubules. Among the associations tested in
this study, 5.25% sodium hypochlorite solution combined with 17% EDTAC, 5.25%
sodium hypochlorite combined with EDTA gel, and 2% chlorhexidine gel all were
effective substances for removing the smear layer from flattened root canals.
PMID- 18050578
TI - The potential effects of pH and buffering capacity on dental erosion.
AB - Soft drink pH (initial pH) has been shown to be a causative factor--but not
necessarily the primary initiating factor--of dental erosion. The titratable
acidity or buffering capacity has been acknowledged as playing a significant role
in the etiology of these lesions. This in vitro study sought to evaluate five
different soft drinks (Coca-Cola Classic, Diet Coke, Gatorade sports drink, Red
Bull high-energy drink, Starbucks Frappucino coffee drink) and tap water
(control) in terms of initial pH and buffering capacity. Initial pH was measured
in triplicate for the six beverages. The buffering capacity of each beverage was
assessed by measuring the weight (in grams) of 0.10 M sodium hydroxide necessary
for titration to pH levels of 5.0, 6.0, 7.0, and 8.3. Coca-Cola Classic produced
the lowest mean pH, while Starbucks Frappucino produced the highest pH of any of
the drinks except for tap water. Based on statistical analysis using ANOVA and
Fisher's post hoc tests at a P < 0.05 level of significance, Red Bull had the
highest mean buffering capacity (indicating the strongest potential for erosion
of enamel), followed by Gatorade, Coca-Cola Classic, Diet Coke, and Starbucks
Frappucino.
PMID- 18050579
TI - Transitory paresis of the lateral pterygoid muscle during a posterior superior
alveolar nerve block--a case report.
AB - The posterior superior alveolar nerve (PSAN) is a major sensory branch of the
maxillary division of the trigeminal nerve. A PSAN block is a dental nerve block
used for profound anesthesia of the maxillary molars. Complications arising from
the PSAN block include hematoma formation, transient diplopia, blurred vision,
and temporary blindness. This article presents a case of temporary paresis in the
lateral pterygoid muscle following a PSAN block that utilized a 27-gauge long
needle. The anatomical parameters and pathogenesis of such a complication are
reviewed.
PMID- 18050580
TI - Current role of thalidomide in HIV-positive patients with recurrent aphthous
ulcerations.
AB - Among patients with HIV/AIDS, mucosal lesions of unknown etiology such as
recurrent aphthous ulcerations (RAUs) often are unresponsive to standard
therapies, resulting in substantial morbidity. The literature regarding RAUs
suggests that the inflammatory response contributes to its pathogenesis; however,
the role of cytokines in this mucosal immune response remains largely unknown.
Thalidomide first was marketed as a sedative in the 1950s and withdrawn from the
market in 1961 following reports of teratogenicity. Later, it was used as an
investigational agent for the treatment of Hansen's disease, Kaposi's sarcoma,
myelofibrosis, RAUs, and wasting associated with HIV. Thalidomide's mechanism of
action in RAUs still is not understood completely, but it appears to be mediated
by inhibition of the pro-inflammatory cytokine tumor necrosis factor alpha (TNF
alpha). This article discusses the current status of thalidomide for treating
RAUs in HIV-positive patients. Suggestions regarding the safe and effective
prescribing of thalidomide have been included so that dental professionals will
be able to treat these patients safely.
PMID- 18050581
TI - Diagnosis and clinical management of patients with skeletal Class III dysplasia.
AB - This paper summarizes the current literature on the diagnosis and treatment of a
patient with Class III skeletal dysplasia. It also includes a report of two
siblings with Class III skeletal dysplasia, although each received different
treatment due to different causes of the condition. This review illustrates that
early appropriate diagnosis, including cephalometric analysis, is important for
identifying the location of the dysplasia. If the dysplasia is in the maxilla,
treatment may prevent the need for future orthognathic surgery. Treatment for
mandibular prognathism usually involves waiting for the patient's growth to
complete (this could occur past the age of 18 in women and the age of 20 in men)
and performing orthognathic surgery at that time.
PMID- 18050582
TI - Flexible, removable partial denture for a patient with systemic sclerosis
(scleroderma) and microstomia: a clinical report and a three-year follow-up.
AB - This article describes an optional mode of treatment for a partially edentulous
patient with severe microstomia, severe changes to the intraoral soft tissues,
and compromised hand function. The principles discussed in this article describe
a patient with systemic sclerosis but are applicable to any patient who suffers
from scarring in the oral and facial areas due to disease, trauma, or burning
injuries. Since dental implants were contraindicated, it was determined that long
term comfort and function could be achieved only through the use of a removable
partial denture (RPD). A Valplast flexible RPD was used because of its unique
characteristics and a specially designed folded custom impression tray was used
to obtain an accurate impression of hard and soft tissues. This article describes
the complete process and management of the patient's needs, focusing on the
decision-making process for the chosen treatment plan, the special impression
technique, and the completion of the RPD.
PMID- 18050583
TI - Demographic characteristics of general dental practice sites.
AB - This study investigates the demographic characteristics of general dental
practice sites in the United States and develops a model that identifies practice
site characteristics commonly associated with general dental practices.
Demographic data and general dental practices were organized by zip codes and
analyzed using Discriminant Analysis. The demographic characteristics associated
with zip codes that contained a general dental practice were determined. The
resulting model correctly classified 85.2% of the 30,115 zip code areas, based on
the presence or absence of a general dental practice. The variables most closely
associated with a zip code containing a general dental practice included
population size, age distribution of the population, educational background, and
economic status. Demographic characteristics are predictive of sites with or
without a general dental practice. Zip codes with large, urban populations that
have positive socio-economic characteristics, such as high income and education
levels, are the most likely to have a general dental practice. A significant
number of zip codes in the U.S. (852) have the demographic characteristics
associated with a general dental practice site but do not have a general dentist.
PMID- 18050584
TI - Can jua be a weapon in combating oral diseases?
AB - Jua (or Joazeiro) is a plant that has been used in Brazil as a folk remedy for
many diseases, but mostly as a dentifrice. Several scientific investigations have
been conducted in Brazil to examine the therapeutic use of Jua for oral health.
This article presents information about the Ziziphus joazeiro plant, its
medicinal use, and its chemical components while also reviewing the literature
concerning Jua and especially its anti-plaque activity. Although Joazeiro has
been investigated, more studies must be performed to better understand these Jua
compounds, their antimicrobial activity, and their mechanism of action. Such
studies would confirm whether Joazeiro has the potential to fight or prevent
diseases like dental caries and periodontitis.
PMID- 18050585
TI - Pharmacologic management of type 2 diabetes: a review for dentistry.
AB - Over the last 40 years, diabetes mellitus has increased sixfold in the United
States. Reported cases increased by more than 6.0% in 2006. Type 2 diabetes
accounts for approximately 90% of all cases and is becoming more common in
children and adolescents. The cause of Type 2 diabetes involves both genetic and
environmental factors. The recent increase in the incidence and prevalence of
Type 2 diabetes is related largely to obesity. Type 2 diabetes is managed by
lifestyle interventions, drug therapy, and control of risk factors for
cardiovascular disease. Patients with renal failure can be treated by
transplantation of a kidney and pancreas. Islet cell transplantation is
available, but long-term results have not been good. Pharmacologic treatment is
accomplished with several classes of oral drugs. This article reviews the
literature to provide recent innovations in the pharmacologic management of Type
2 diabetes mellitus.
PMID- 18050586
TI - Tobacco use in a dental school patient population.
AB - A retrospective study was performed to determine the incidence of tobacco usage
and cessation in a dental school patient population. The study was conducted to
assess the magnitude of the tobacco problem in a dental school patient population
and determine patients' interest and success in cessation. The study reviewed
1,030 randomly selected charts of patients who were seen during 2004. Of these
surveys, 1,025 met the study criteria: a medical history form with completed
questions concerning tobacco usage. Of the 1,025 surveys reviewed, 565 patients
(55%) had used tobacco and 219 (39%) continued to use tobacco products, including
25 current users whose attempts to quit had failed. Surprisingly, 32% of the 219
tobacco users were not interested in quitting. Patients in the 20-29 age group
had the highest prevalence of current tobacco users. Tobacco cessation increased
steadily with age; the cessation trend was 21% in patients aged 20-29, increasing
steadily to 100% prevalence among patients 90 or older. Tobacco usage also was
found to be more prevalent among men than among women. Of the total patient
population, 25% were current smokers, a percentage well above the national health
goal to reduce tobacco usage to 12% by 2010. Dental care providers must work to
reduce the percentage of tobacco-using patients by providing cessation
information and support.
PMID- 18050587
TI - Knowledge, attitudes, practices, and training needs of Kentucky dentists
regarding violence against women.
AB - Dentists may be the first (and perhaps the only) health care professionals to
treat patients who have experienced oral facial trauma resulting from domestic
violence. Dentists are likely to see the signs of abuse while performing an oral
examination and they often develop relationships with patients that could allow
them to detect subtle changes in patients that may indicate signs of domestic
violence. This study sought to assess the knowledge, attitudes, practices, and
training needs of Kentucky dentists regarding domestic violence against women
(VAW). A written survey was sent to a population of 1,892 eligible licensed
dentists in Kentucky; 790 (42%) were returned. Ninety percent of the respondents
were general dentists and the distribution by practice location was almost equal
among urban, suburban, and rural dentists. Only 7.0% of respondents reported
having any training in the prevention of VAW. When asked "... are you legally
required to report suspected cases of VAW to the appropriate authorities,
regardless of the patient's wishes?", 42% answered "no." An overwhelming majority
(82%) indicated that they would like more training and information regarding VAW
identification and management. Based on analysis of the data, Kentucky dentists
would benefit from and be interested in additional education opportunities
concerning recognizing, referring, and managing patients who may be the victim of
domestic violence.
PMID- 18050588
TI - Oral diagnosis. Mandibular radiopacities. Idiopathic osteosclerosis.
PMID- 18050589
TI - Oral diagnosis. Panoramic radiopacities. Sialolithiasis.
PMID- 18050590
TI - [Unexpected diagnosis in a child. Herpes zoster].
PMID- 18050591
TI - [Preventing the spontaneous fracture in your patients].
PMID- 18050592
TI - [Vertebral body fracture--or what?].
PMID- 18050593
TI - [Elderly patients with gastrointestinal symptoms--what you must keep in mind].
PMID- 18050594
TI - [Special gastrointestinal problems of elderly patients].
AB - Only a few gastrointestinal diseases develop specifically at advanced ages (e.g.
Zenker diverticulum, atrophic gastritis, mesenterial ischaemia). However, the
frequency of certain diseases increases and various illnesses are found to take
other, mostly silent, courses in elderly people. As a rule, more complications in
gastrointestinal diseases are to be expected and the presence of comorbidities
can make diagnosis and therapy more difficult. The possibility of tumours should
always be considered in the differential diagnosis of elderly patients. The
diagnosis and treatment of elderly patients for gastrointestinal diseases are no
different from that of other age groups.
PMID- 18050595
TI - [Drug side effects as the cause of gastrointestinal problems in elderly people].
AB - Adverse drug reactions on the digestive tract are very common. Some special
aspects must be heeded, especially in geriatric patients who often take several
medications concomitantly. These include a potentially altered drug metabolism,
possible lack of compliance, potential drug interactions as a result of
polypharmaceutical therapy, the influence of comorbidities as well as the
possibility of increased sensitivity and hence, toxic effect on the target
organs.
PMID- 18050596
TI - [Scurvy--a wrongly forgotten avitaminosis].
AB - We treated a chronic alcoholic patient who showed all the symptoms of scurvy
(petechiae, greyish skin colour, areas of thinning hair on the head, gingivitis,
elevated liver enzyme levels, hyponatraemia, hypalbuminaemia and hypothyroidism)
at admission. Even today, alcoholics and chronically ill people in particular can
develop symptoms of diet-related vitamin C deficiency.
PMID- 18050597
TI - [Relaxation techniques for patients with high blood pressure].
PMID- 18050598
TI - [How do you clarify acute lumbago?].
PMID- 18050599
TI - [How to manage patients who complain].
PMID- 18050600
TI - [Epidemiology of over- and underweight in Swiss college students].
AB - Overweight and obesity rates are increasing worldwide. In this study 409
adolescents and young adults (age range 15-22 years) in a Swiss college were
studied. The prevalence of overweight was 6.13% in the girls and 5.95% in the
boys. 9.52% of the boys and 16.51% of the girls were underweight (BMI < 18.5
kg/m2). 16.98% of the girls had a fat mass > 30%. 2.98% of the boys had a fat
mass of > 20%. Male adolescents with a fat mass > 15% judged their physical
activity on a visual analogue scale (VAS) significantly lower than male
adolescents with a fat mass of < 15% (mean +/- SD VAS score: 4.49 +/- 2.08 vs.
6.00 +/- 2.08, p = 0.03). The same was found in female adolescents: girls with a
fat mass > 30% reported a lower physical activity level than females with a fat
mass < 30% (mean +/- SD VAS score: 4.84 +/- 1.74 vs. 5.68 +/- 1.82, p = 0.04).
Obesity and fatness, but also underweight, is a serious problem in Swiss
adolescents. Well chosen public health strategies to address both sides of the
energy balance equation are strongly needed.
PMID- 18050601
TI - [Adrenal insufficiency and hypercalcemia--an unusual presentation].
AB - A 49 y.o. man was admitted for investigation of an intense fatigue associated
with nausea, vomiting, weight loss and headache. Examination and work-up reveals
a moderate hypercalcaemia and a panhypopituitarism attributed to a
craniopharyngioma. Extensive work-up has excluded the most frequent causes of
hypercalcaemia and we finally attributed this anomaly to the adrenal failure. In
this article, we discuss the diagnostic approach, the etiology and
physiopathology of this hypercalcemia and his association with endocrinological
anomalies such as adrenal failure.
PMID- 18050602
TI - [Really drunk?].
AB - We report about a 42-year-old patient who was admitted to the emergency
department because of suspected alcohol abuse. He declared himself to be drunk.
He stated in his case history that he had suffered from right sided neck and
facial pain for several days. The clinical examination revealed a left sided
hemiparesis. Together with the demonstrated right hemispherical brain ischemia by
computed tomography, a presumptive diagnosis of a dissection of the right
internal carotid artery was made. This diagnosis was finally confirmed by
ultrasound and magnetic resonance imaging. A therapy with full dose heparin was
begun and oral anticoagulation was subsequently initiated. After two weeks of
follow-up, the neurological deficiencies were partially regredient.
PMID- 18050603
TI - [Chronic fatigue. IV--Assessment of a 40-year-old patient].
AB - A forty year old patient was referred by the federal insurance for medical
assessment. His presenting complaint was chronic fatigue. The patient had been an
intravenous drug user for years and had been infected with hepatitis C. He was
treated with interferon. The patient history showed that he also suffered from
anaemia and depression. He participated in a methadone substitution program. Our
diagnostic procedures showed that he also has Hashimoto's thyroiditis.
PMID- 18050604
TI - [CME--laboratory 4. "Tumor marker"].
PMID- 18050605
TI - [How laboratories came to the Amazon or the ethnopathology of Max Kuczynski].
PMID- 18050606
TI - [Contraception--methods of preventing pregnancy. Part I: fertility,
contraception, natural methods of birth control].
AB - The right to have a desired number of children is one of the main human rights
Physical contacts, which are the source of considerable satisfaction and
pleasure, are often performed not only for procreation. Human fertility depends
mainly on the age, and is the highest in young women. Therefore, the group of
patients who are emotionally immature but biologically mature enough to become
mothers, needs the most effective prevention methods. There exist numerous
methods of fertility control, which serve this purpose. In this article different
contraception methods are presented. The natural methods, which consist in
periodical sexual abstinence, are described in details. Their use can decrease
the possibility of an unwanted pregnancy on hand, and facilitate the conceiving
on the other.
PMID- 18050607
TI - [Analysis of blood coagulation factors in patients undergoing surgery due to
endometrial cysts].
AB - OBJECTIVES: The study was undertaken to evaluate selected blood coagulation
factors in patients undergoing surgery, due to endometrial cysts and other
ovarian benign cysts. MATERIALS AND METHODS: Women involved in our study had not
received any previous treatment for endometriosis and they had no history of any
prior haemostatic disorders. Blood samples were collected before surgery and
investigated for plasminogen, alpha2-antyplasmin, PAI-1 and tPA activity. As a
control group, we have analyzed patients with benign gynecological diseases
treated in our Department. RESULTS: We have noticed higher mean concentration of
plasminogen and alpha2-antyplasmin and lower mean concentration of PAI-1 and tPA
activity in our patients in comparison with control group. Obtained results did
not show any statistical significance. CONCLUSIONS: Our analysis of haemostatic
factors in blood samples did not show coagulation disorders in patients with
endometriosis. Maybe there are only local coagulation disorders in endometrial
tissue and its surrounding. In our opinion this problem requires further research
and taking into consideration other factors.
PMID- 18050608
TI - [The analysis of CFTR mutations in men with azoospermia, oligozoospermia and
asthenozoospermia].
AB - Mutations in cystic fibrosis transductance regulator gene (CFTR) are known to
result in some forms of male infertility. An association between CFTR gene
mutations and obstructive azoospermia in cystic fibrosis (CF) and in congenital
unilateral and bilateral absence of vas deferens (CUAVD, CBAVD) has been proven.
However, the role of CFTR gene mutations in the etiology of non-obstructive
azoospermia, as well as in the regulation of spermatogenesis remains unsolved.
OBJECTIVES: The aim of the study was to evaluate the frequency of CFTR mutations
in patients diagnosed with different forms of spermatogenesis impairment
MATERIAL: The molecular analyses were performed in the group of 93 infertile men,
diagnosed with either azoospermia, oligospermia or asthenoteratozoospermia.
RESULTS: The results of the study revealed the presence of F508del and IVS8-T in
5.4% of analyzed cases. No difference in CFTR gene mutations frequencies among
patients with azoospermia, oligospermia and asthenoteratozoospermia has been
observed. CONCLUSION: The CFTR gene mutations frequency in men with
nonobstructive azoospermia, oligozoospermia and asthenozoospermia is similar to
those observed in general population.
PMID- 18050609
TI - [Co-occurence of indol-producing bacterial strains in the vagina of women
infected with Chlamydia trachomatis].
AB - OBJECTIVES: The aim of this study was to determine if cervicitis, caused by
Chlamydia trachomatis (C. trachomatis), has an influence on the frequency of
occurrence of selected aerobic and anaerobic bacterial strains, connected with
etiology of aerobic vaginitis (AV) and bacterial vaginosis (BV). Indole-producing
bacteria have received particular attention due to their possibly inductive role
in chronic cervicitis caused by C. trachomatis. MATERIAL AND METHODS: The swabs
from vagina and cervical canal have been obtained from 122 women (aged 18-40).
The presence of C. trachomatis antigen had been detected and diagnosed with the
help of direct immunofluorescence, BV with Amesl and Nugent criteria, whereas the
AV with Donders criteria. The identification of the bacterial strains isolated
from vagina has been performed according to classical microbiological
diagnostics. RESULTS: Disruption of vaginal microflora (4-10 in Nugent score) was
determined in 11,5% of observed women. AV was diagnosed in 4.5% women with
chlamydial cervicitis, BV was diagnosed in 10.9% and 5.45% of these women, on the
basis of Amsel and Nugent criteria respectively. CONCLUSIONS: Indole-producing
bacterial strains connected with BV and AV (Peptostreptococcus anaerobius,
Propionibacterium acnes, Escherichia coli) have been isolated significantly more
often from vagina of women infected with C trachomatis (p = 0.0405, chi2 = 4.20)
and these findings confirm co-importance of indole-producing bacterial strains in
cervicitis caused by C trachomatis .
PMID- 18050610
TI - [Nasal bone (NB) length measurement in the first trimester of pregnancy in Polish
population and its validity as fetal aneuploidy indicator].
AB - OBJECTIVES: Dynamic development of prenatal diagnostics is mostly directed
towards search for non-invasive screening. The main role of the screening methods
is to select high-risk fetal aneuploidy group of pregnant women. The base for the
prenatal screening in modern obstetrics is ultrasound scanning. DESIGN: The aim
of the study was to estimate typical value range for the fetal nasal bone length
measurement (NB) between 11th and 20th week of pregnancy, in Polish population.
The second aim was to assess the value of the parameter as an aneuploidy marker.
MATERIALS AND METHODS: The study was conducted between 1999-2006, in the 1st
Division of Obstetrics and Gynaecology, Medical University in lodz. The
investigated population comprised 2960 pregnant women. 53 cases of the fetal
chromosomal aneuploidies were diagnosed. RESULTS: Typical values for the nasal
bone measurement were estimated. The investigations showed that until 13th
gestation week, visualization of the presence or absence of the nasal bone on the
ultrasound scan is a better marker for fetal aneuploidy diagnosis than the
measurement. However, since the 14th week, it is the measurement that becomes the
most adequate method of the fetal nasal bone assessment. CONCLUSIONS: (1) We
estimated the normal value range for the fetal nasal bone length measurement (NB)
between 11 and 20 weeks of pregnancy. (2) The nasal bone length is an useful
marker for the fetal aneuploidy. 3. The predictive value of the method suggests
the visualization of the nasal bone presence in the 1st trimester of the
pregnancy as a screening method. The measurement of the NB proves to be a useful
method in the prenatal diagnostic in the 2nd trimester of the pregnancy.
PMID- 18050611
TI - [Fetal activin A in labor complicated by meconium-stained amniotic fluid].
AB - OBJECTIVE: During pregnancy the placenta and the fetal membranes are the main
sources of activin A. An increased level of activin A has been found in the serum
of women with preeclampsia, diabetes mellitus and intrauterine growth
restriction. Meconium is the predictor for adverse perinatal outcome, such as
meconium aspiration syndrome or brain damage. The aim of our study was to
evaluate the levels of fetal activin A in labors complicated by meconium-stained
amniotic fluid. MATERIAL AND METHODS: Cord blood samples were collected from 65
full-term neonates from single pregnancies. In each case, the hematological
parameters of cord blood and activin A (ELISA--Oxford Bio-Innovation Activin A
Assay Kit) were assessed. RESULTS: There were no significant differences in the
concentration of activin A in cord blood between the group with and the group
without meconium-stained amniotic fluid. The mean count of nucleated erythrocytes
and white blood cells as well as the percentage of reticulocytes was
significantly higher in the meconium group. There were no significant differences
between concentration of fetal activin A in a vaginal delivery (0.58 +/- 0.38
ng/ml) and cesarean section after labor (0.44 +/- 0.32 ng/ml) or elective
cesarean section (0.62 +/- 0.47 ng/ml) groups. There were also no correlations
between the levels of activin A and the parameters of fetal acid base status or
cord blood hematological values. CONCLUSIONS: Fetal activin A has a limited
significance for diagnosing fetal hypoxia in labors complicated by meconium
stained amniotic fluid. There were no correlations between the parameters of
fetal acid base status and fetal activin A. The levels of fetal activin A do not
depend on the mode of the delivery. Meconium-stained amniotic fluid resulted in
significant changes of the hematological variables in cord blood.
PMID- 18050612
TI - [Search for the etiopathogenesis of polycystic ovary syndrome (PCOS)].
AB - Polycystic ovary syndrome (PCOS) is a common disorder which affects about 10% of
women in reproductive age. According to the Rotterdam consensus criteria, PCOS is
diagnosed in the presence of two out of three following symptoms: (1)
oligomenorrhoea, anovulation, (2) hyperandrogenism, (3) polycystic ovaries at
ultrasound scan. Etiology of the syndrome, although widely speculated, still
remains unknown. Analysis of the prevalence of PCOS among the families reveals
that genetic contribution to the outcome of the syndrome is highly probable.
However, the pattern of inheritance is not clear. On the basis of common clinical
symptoms, disorders in metabolic pathways involved in biosynthesis and action of
steroid hormones and insulin, as well as in development of inflammatory state,
have been searched. As part of the research, large-scale analysis of "candidate
genes", whose protein products are engaged in several metabolic processes, have
been performed. According to research, at least in some of them mutations or
polymorphisms, mainly SNP-type, affecting transcription of the gene or protein
properties, have been found. Nevertheless, none of them seem to play a key role
in the pathogenesis of the syndrome, indicating that PCOS may be a result of
several genes abnormalities interactions. In this review we present the current
state of knowledge concerning particular genes, products of which seem to take
part in the modulation of the clinical sings of the disease.
PMID- 18050613
TI - [Xenobiotics influence on estrogen activity].
AB - Many chemical compounds which imitate estrogens effect are present in the
environment. There are natural substances (phytoestrogens), as well as synthetic
(xenoestrogens, metaloestrogens) ones. A lot of xenobiotics are inductors or
inhibitors of enzymes, also those enzymes which take part in estrogens
metabolism. However, little is still known about the influence of xenobiotics on
estrogens activity, there can be no question of the importance of the problem.
One of them are the diminished effects of endogenous estrogens in women smokers,
also weakness or lack of oral hormonal replacement therapy (HRT) effect among
women smokers, as well as the influence of estrogens on dioxins toxicity. The
issue of estrogen compounds presence in cosmetics deserves special attention. It
is essential for us to remember, that estrogens are compounds with high
biological activity. Thus, hormonal balance disturbance can have serious health
consequences, including tumors. In this research we have attempted to present a
review of studies which concern exogenous factors influence on estrogens activity
and have summarized current state of knowledge.
PMID- 18050614
TI - [Combined oral contraception and the risk of reproductive organs cancer in
women].
AB - COCs (combined oral contraceptives) remain the most widely used effective and
reversible means of family planning. Their beneficial effects are well
documented, but many questions are still raised concerning a possible association
between the use of COCs and the development of cancer. The authors provide a
broad and up-to-date review of the literature regarding the relation between COC
use and carcinogenesis in reproductive organs. Studies have not unequivocally
confirmed that such a relation exists with regard to breast cancer. Much research
has been focused on the COCs usage and its positive connection with the incidence
of cervical cancer; most of it was done by J. Smith. This author confirmed the
existence of a weak relation between COCs and the development of cervical
carcinoma, especially in women using COCs for longer periods. Ovarian carcinoma
has the worst prognosis of all cancers of reproductive organs in women.
Nonetheless, the risk of developing ovarian cancer in women using COCs is at
least 40% smaller than in other women; the degree of protection given by COCs
being proportional to the duration of use of this form of contraception.
Scientific data proved convincingly that the risk of endometrial cancer is
smaller in COCs users than in women who never took COCs.
PMID- 18050615
TI - [Leptin, leptin gene, leptin gene receptor polymorphisms and pregnancy].
AB - The article presents information about leptin as the major metabolic hormone. The
structure, localization of the hormone and its receptor have been described
focusing on maturation and fertility processes. Several polymorphisms of leptin
gene and its receptor have been described as potential developmental factors of
pregnancy complications such as diabetes mellitus or pregnancy induced
hypertension.
PMID- 18050616
TI - [Perinatal results of intrauterine open fetal surgery of fetuses diagnosed with
myelomeningocoele--the clinical report of ten cases].
AB - The purpose of the study was to demonstrate our approach to qualification for
open fetal surgery (OFS) and surgery techniques. We also tried to determinate the
outcome of fetal surgical treatment. OFS was performed in 10 out of 15 cases with
prenataly diagnosed myelomeningocele. Patients were qualified for the surgery on
the basis of precisely determined inclusion criteria and after assessment by
multidisciplinary team of specialists. Surgery was performed between 22nd and
29th week of pregnancy. Fist and second surgery were performed in 29th and 27th
week of pregnancy, some patients have undergone the surgery before 26th week of
pregnancy. Babies were delivered by cesarean section. Gestational age by the time
of the delivery ranged from 25th and 37th and a half week of pregnancy. In two
cases the delivery was at term. Main complications included: PROM, placental
ablation and vaginal bleeding. In half of all the cases we did not observe
hydrocephalus increase after the surgery. OFS can be beneficial but it can also
carry certain amount of risk both for the fetus and the mother. Therefore,
further randomized research deems necessary to better comprehend and determine
the safety and effectiveness of such procedures.
PMID- 18050617
TI - [CTG--sinusoidal tracing].
PMID- 18050618
TI - [Influence of acupuncture on the immune function of immunosuppressive Cx43
knockout mice].
AB - OBJECTIVE: To observe the influence of acupuncture on the immune function in
immunosuppressive Cx43 knockout mice so as to explore the relationship between
connexin 43 (Cx43) and acupuncture signal transmission. METHODS: Eighteen male
Cx43 knockout mice (Cx43 + / -, 8-9 weeks old) and eighteen wide-type mice (Cx43
+ / + ) were divided into normal group, model group and acupuncture group
randomly, with 6 cases in each. The immunosuppressive mice model was established
by intraperitoneal injection of Cyclophosphamide. Mice in acupuncture group were
treated with manual acupuncture of "Zusanli" (ST 36) and "Guanyuan" (CV 4), once
daily for 7 days. Spleen index, thymus index, number of leukocytes in the
peripheral blood were calculated and the percentage of T lymphocyte subpopulation
was detected by using flow cytometry. RESULTS: Compared with normal control
group, the spleen index, thymus index and leukocyte number of model group in both
Cx43 + / + mice and Cx43 + / - mice were obviously lower (P < 0.05, 0.01), while
in Cx43 + / + mice and in comparison with model group, the spleen index, thymus
index and leukocyte number of acupuncture group were significantly higher (P <
0.05, 0.01) and no significant differences were found between acupuncture group
and control group (P > 0.05). But in Cx43 + / - mice , no obvious differences
were found between acupuncture and model groups in the aforementioned 3 indexes
(P > 0.05), showing a disappearance of the effect of acupuncture in raising
immune function after Cx43 knockout. There were no obvious differences between
two control groups and between two model groups in the 3 indexes of Cx43 + / +
mice and Cx43 + / - mice. The immune function of Cx43 + / + mice was obviously
stronger than that of Cx43 + / - mice in acupuncture group. CONCLUSION: Knockout
of Cx43 gene inhibits the effect of acupuncture in reinforcing mouse immunity,
suggesting an important role of Cx43 in the transmission of acupuncture signals
for adjusting immunity function.
PMID- 18050619
TI - [Effect of electroacupuncture of "Neiguan" (PC 6) on Na+-K+-ATPase activity and
its gene expression in cardiocyte membrane in rats with myocardial ischemia
reperfusion injury].
AB - OBJECTIVE: To compare the effects of electroacupuncture (EA) of "Neiguan" and
other acupoints on Na+ -K+ -ATPase activity and its gene expression in ischemic
myocrardial cell membrane in rats. METHODS: A total of 50 Wistar rats were
randomly divided into pseudo-operation (control), model, Neiguan (PC6), Shenmen
(HT7) and Hegu (LI4) groups, with 10 rats in each group. Myocardial ischemia
reperfusion (I/R) model was duplicated by occlusion of the descending anterior
branch of the left coronary artery and reperfusion. EA (30/100 Hz, 2-4 mA) was
applied to the above-mentioned acupoints for 20 min respectively. Na+ -K+ -ATPase
activity was determined by inorganic phosphorus colorimetry, and Na+ -K+ -ATPase
gene expression was detected using reverse transcription polymerase chain
reaction (RT-PCR) technique. RESULTS: Compared with control group, the activity
of Na+ -K+ -ATPase in model, PC6, HT7 and LI4 groups decreased significantly
after myocardial I/R (P<0.05, 0.01); while in comparison with model, the activity
of Na+ -K+ -ATPase in PC6 group increased considerably (P<0.01). Regarding the
expression of Na+ -K+ -ATPase mRNA, compared with control group, it was down
regulated significantly in model, HT7 and LI4 groups (P<0.01); while in PC6
group, Na+ -K+ -ATPase mRNA expression was up-regulated markedly compared with
model group (P<0.05); The activity of Na+ -K+ -ATPase and the expression of Na+
K+ -ATPase mRNA in HT7 and LI4 groups were significantly lower than those in PC6
group (P<0.01, 0.05). No significant differences were found between HT7 and LI4
groups in the activity of Na+ -K+ -ATPase and the expression of Na+ -K+ -ATPase
mRNA (P>0.05). CONCLUSION: EA of "Neiguan" (PC6) may potentiate the activity of
Na+ -K+ -ATPase and up-regulate Na+ -K+ -ATPase mRNA expression, which may
contribute to its protective effect on ischemic cardiocytes.
PMID- 18050620
TI - [Effects of acupoint-embedding of medicinal thread and electroacupuncture on
contents of TNF-alpha and IL-6 of hippocampus tissue in rats with focal cerebral
ischemia-reperfusion injury].
AB - OBJECTIVE: To observe the effects of acupoint-embedding (AE) of collagen plus
Tetramethylpyrazine and electroacupuncture (EA) on the contents of TNF-alpha and
IL-6 in hippocampus tissue in rats with focal cerebral ischemia-reperfusion (CI
R) injury. METHODS: A total of 120 SD rats were randomly divided into normal
control (n=8), sham operation (n=24), model (CI-R, n=24), EA (n=24) and AE (n=24)
groups, and the later 4 groups were further divided into 24 h, 72 h and 120 h
subgroups (time-points) separately, with 8 cases in each. CI-R model was
established by middle cerebral artery occlusion (MCAO) and reperfusion. For
animals of AE group, the medicinal thread consisting of collagen and
Tetramethylpyrazine was implanted into "Dazhui" (GV14) and bilateral "Neiguan"
(PC6), once daily. For rats of EA group, EA (120 cycles/min, 1 mA) was applied to
GV14 and PC6 for 30 min, once daily. The contents of TNF-alpha and IL-6 in the
hippocampus were detected by using radio-immunoassay after processing the tissue
samples (homogenate, centrifuge). RESULTS: The contents of TNF-alpha and IL-6 in
hippocampus tissue of model group at 24 h, 72 h and 120 h time-points were
significantly higher than those of normal control and sham operation groups
(P<0.05), while after EA and AE, the contents of TNF-alpha at the 3 time-points
decreased significantly (P<0.05), and IL-6 contents at the same 3 time-points
increased further and significantly (P<0.05). Comparison between EA and AE groups
showed that the contents of TNF-alpha of the later group at 72 h and 120 h were
significantly lower than those of EA group (P<0.05), while IL-6 contents of AE
group were significantly higher than those of EA group at the same time-points
(P<0.05). CONCLUSION: Both EA and AE of collagen and Tetramethylpyrazine can
evidently lower TNF-alpha content and significantly raise IL-6 level in
hippocampus in rats with cerebral ischemia/reperfusion injury and the effect of
AE is significantly superior to that of EA, which may contribute to their
protective effects on ischemic cerebral neurons.
PMID- 18050621
TI - [Observation on the analgesic effect of repeated electroacupuncture and its
relation to changes of plasma beta-EP, ACTH and COR levels].
AB - OBJECTIVE: To observe the regularity of electroacupuncture (EA) analgesia and to
analyze its relation to hypothalamus-pituitary-adrenal cortex axis function in
chronic constrictive injury (CCI) and ovariectomy (OVX) rats. METHODS: A total of
110 Wistar rats anesthetized with mixture solution of 1.5% chloralose (50 mg/kg)
and 25% urethrane (420 mg/kg, i.p.) were randomized into control (n=10), CCI (n
=10), CCI + EA (n=30), OVX + CCI (n=30), OVX + CCI + EA (n=30) groups, and the
later 3 groups were further divided into 2 times (2 t), 2 weeks (2 w) and 3 w
time courses, with 10 cases in each. Forty-five days after OVX, Morris water maze
test was conducted to evaluate the OVX rats' memory-learning ability. Chronic
pain model was established by ligating the right sciatic nerve with a piece of
suture. EA (2/15 Hz, 1 mA) was applied to bilateral "Zusanli" (ST 36)
"Yanglingquan" (GB 34) for 30 min, once daily for 2 t, 2 w, 3 w respectively. The
paw withdrawal latency (PWL) was detected to be used as the pain threshold. Under
deep anesthesia, the rat was decapitated for collecting blood samples. Plasma
adrenocorticotropic hormone (ACTH), beta-endorphine (EP), cortisone (COR)
contents were detected using radioimmunoassay. RESULTS: In comparison with
control group, PWL difference values (PWLDV) of CCI + EA, OVX + CCI and OVX + CCI
+ EA groups increased significantly (P<0.05), suggesting a marked decrease of
pain threshold. Compared with CCI group, PWLDV of CCI + EA group at 3 w decreased
significantly (P<0.05), being close to normal control group (P>0.05). Compared
with OVX + CCI group, PWLDV of OVX + CCI + EA at 2 w and 3 w lowered
significantly (P<0.05), suggesting an evident pain relief. In comparison with CCI
+ EA group, PWLDV of OVX + CCI + EA group at most time courses were considerably
higher (P<0.05), showing a better cumulative analgesic effect in CCI rats without
OVX. In CCI group, plasma beta-EP increased slightly, ACTH had no clear changes,
and COR lowered lightly at 2 t. No significant differences were found between
control and CCI + EA groups in beta-EP and ACTH levels at 2 w and 3 w (P>0.05),
only COR levels of CCI + EA group at 2 w and 3 w increased significantly
(P<0.05). Compared with control group, beta-EP levels of OVX + CCI group at 2 w
and 3 w increased significantly (P<0.05); plasma ACTH had no marked changes
(P>0.05); COR contents of OVX + CCI group decreased evidently at 2 t and
increased markedly at 2 w (P<0.05). Compared with OVX + CCI group, in OVX + CCI +
EA group, beta-EP levels of 2 t and 2 w decreased significantly (P<0.05); ACTH
levels increased evidently at 2 t and 3 w, and decreased markedly at 2 w
(P<0.05); and COR level at 2 w decreased significantly (P<0.05). It indicated
that when cumulative EA analgesia appeared, in CCI animals, only plasma COR level
increased pronouncedly, while in OVX + CCI rats, both plasma beta-EP and COR
contents decreased evidently. CONCLUSION: Both plasma beta-EP and COR are
involved in the accumulative analgesic effect of EA in the rat, and decline of
learning memory ability may weaken the analgesic effect of EA to a certain
degree, but no clear correlation tendency is found between changes of plasma beta
EP and COR and the improvement of pain behavior.
PMID- 18050622
TI - [Effect of acupuncture of different acupoints on electrical activities of
hypothalamic sexual arousal stimulation-related neurons at different stages of
oestrous cycle in rats].
AB - OBJECTIVE: To compare the effects of acupuncture stimulation of different
acupoints on discharges of hypothalamic sexual arousal stimulation-related
excitatory neurons in the rat so as to selected effective acupoints for
regulating genito-endocrine function. METHODS: Experiments were performed in 32
female Sprague-Dawley rats. Examination of vaginal castoff cell smear (HE
staining) was performed to determine the rats' estrous cycle. Those being in
proestrus/estrus were 14 animals and those being in diestrus/metaestrus were 18.
Extracellular discharges of neurons within the medial preoptic area, the arcuate
nucleus and the paraventricular nucleus of the hypothalamus (AP: 4.0-9.0 mm, R:
<1.0 mm, L: <2.0 mm) were recorded by using glass micro-pipettes in anesthetized
rats (10% urethane). Sexual arousal stimulation was made by using a cotton-ball
stick to touch the clitoris repeatedly (30 s). When a marked change of the
neuronal firing rate was found, beta-estradiol (20 ng/mL, 1.5-2.5 mL/kg) was
injected intravenously from the external jugular vein for observing its feedback
regulatory effect. Acupoints "Neiguan" (PC6), "Shanzhong" (CV17), "Jueyinshu"
(BL14), "Zhongwan" (CV12), Shenshu" (BL23), "Guanyuan" (CV4), Z"igong" (EX-CA )
and "Zusanli" (ST 6) were punctured and stimulated manually by twirling the
acupuncture needle continuously for 30 s. RRESULTS After manual acupuncture, the
sequence of acupoints with a stronger excitatory reaction of the firing rates of
the hypothalamic neurons related to sexual arousal stimulation was EX-CA1, CV4,
ST36, BL23, CV12, BL14, PC6 and CV17 in proestrus/estrus; and CV4, EX-CA1, ST36,
CV12, BL23, BL14, PC6 and CV17 respectively in diestrus/metaestrus. According to
the place of the stimulated acupoints potentiating the electrical activity of the
hypothalamic sexual arousal stimulation-related neurons, the sequence from
stronger to the weaker responses was lower abdomen (below umbilicus), lower limb,
lower back (L1-S5), upper abdomen, upper back (above T12), thorax, and upper
limbs. After administration of estradiol, the excitatory response of these sexual
arousal-related neurons decreased significantly in both estrus and non-estrus
(P<0.05). CONCLUSION: Acupuncture can effectively activate the electrical
activity of hypothalamic sexual arousal stimulation-related excitatory neurons.
The closer the acupoint to the genital organs, the stronger is the excitatory
effect, including "Zigong" (EX-CA1), "Guanyuan" (CV4) and "Zusanli" (ST36) among
the 8 acupoints observed.
PMID- 18050623
TI - [Effects of pricking bleeding at "Weizhong" (BL40) on sciatic nerve conduction
velocity and interleukin-1alpha content in the lumbar vertebral nucleus pulposus
in experimental lumbar intervertebral disc protrusion rabbits].
AB - OBJECTIVE: To observe the effect of "Weizhong" (BL40) bleeding on sciatic nerve
conduction velocity (SNCV) and interleukin-1alpha (IL-1alpha) content of the
lumbar vertebral nucleus pulposus tissue in experimental lumbar intervertebral
disc protrusion (LIDP) rabbits so as to explore the underlying mechanism of blood
letting in the treatment of LIDP. METHODS: Forty healthy New Zealand rabbits were
randomly assigned to control, model, BL40 and non-point (1 cm medial to BL40)
groups, with 10 cases in each group. LIDP model was established by pushing the
intervertebral disc tissue rightward to protrude the posterior longitudinal
ligament with a self-made instrument after exposing the L6-7 vertebrae and
intervertebral space. Blood-letting was performed at "Weizhong" (BL40) and non
point by using a triangle-edged needle to prick the local tissue, once daily and
continuously for 7 days. SNCV was detected by using BL-410 physiological signal
recording system, and the content of IL-1alpha in L6-7 intervertebral disc tissue
determined with enzyme linked immunsorbent assay (ELISA). RESULTS: In comparison
with control group and pre-modeling, SNCV decreased significantly in model, BL40
and non-acupoint groups after LIDP; while compared with model group, values of
SNCV in BL40 group increased considerably (P<0.05), no significant changes were
found between non-acupoint group and model group (P>0.05). Compared with control
group, the contents of IL-1alpha in the nucleus pulposus in model and non
acupoint groups increased remarkably (P<0.05, 0.01), but no significant
difference was found between control and BL40 groups in IL-1alpha level (P>0.05).
CONCLUSION: Blood-letting at "Weizhong" (BL40) can relieve LIDP-induced nerve
injury and mechanical oppression to improve SNCV, which may be closely related to
its effect in decreasing inflammatory factor IL-1alpha level.
PMID- 18050624
TI - [Effect of lysis of acupotomology on plasma vasoactive substance levels in rats
with third lumbar vertebra transverse process syndrome].
AB - OBJECTIVE: To observe the effect of small needle-knife lysis on plasma calcitonin
gene-related peptide (CGRP), endothelin (ET), 6-keto-prostaglandin F1alpha (6
keto-PGF1alpha), thromboxane A2 (TXA2) contents in rats with experimental third
lumbar vertebra transverse process syndrome (TLVTPS) so as to explore its
underlying mechanism in clinical treatment. METHODS: Forty SD rats were randomly
divided into normal control, model, lysis and EA groups. TLVTPS model was
established by embedding a piece of gelatin sponge (0.5 cm x 0.5 cm) to the
transverse process of the 3rd lumbar vertebra under anesthesia. EA (2/100 Hz, 1-2
mA) was applied to left "Shenshu" (BL23) -"Yaoyangguan" (GV3) for 20 min, once
every other day, 6 times altogether. For animals of lysis group, the lysis was
performed by using a small needle-knife in the induration spot or cord-like
region near the incision, once a week and twice altogether. Four weeks later
after modeling, plasma CGRP, ET, 6-keto-PGF1alpha and TXA2 contents were detected
by using radioimmunoassay and enzyme linked immunosorbent assay (ELISA). RESULTS:
Compared with normal control group, plasma CGRP, ET, TXA2 and 6-keto-PGF1alpha
increased significantly in model group (P<0.01); in comparison with model group,
plasma CGRP, TXA2 and 6-keto-PGF1alpha in both EA and lysis groups decreased
considerably (P<0.05, 0.01). No significant differences were found between EA and
lysis groups in plasma CGRP, ET and 6-keto-PGF1alpha levels (P>0.05). CONCLUSION:
Both EA and lysis of acupotomology have an adjusting effect on vasoactive
substances (CGRP, TXA2 and 6-keto-PGF1alpha) levels in TLVTPS rats, which may
contribute to their effects in improving local blood circulation and relieving
soft tissue injury in the treatment of third lumbar vertebra transverse process
syndrome.
PMID- 18050625
TI - [Effect of electroacupuncture and moxibustion of "Dazhui" (GV14) on the number
and distribution of degranulated mast cells in GV14 region].
AB - OBJECTIVE: To compare the effects of electroacupuncture (EA) and moxibustion on
the degranulated mast cell number and distribution in "Dazhui" (GV14) region of
rats. METHODS: Fifteen Wistar rats were randomly divided into normal control, EA
and moxibustion groups, with 5 cases in each group. "Dazhui" (GV14) was
stimulated by EA (3/10 Hz, 2-4 V, 20 mm) or moxibustion (2 cones, 20 mm),
thereafter, the tissues of GV14 region were sampled, quickly frozen, sectioned
(20 microm) and stained with toluidine blue separately for observing the
degranulated state of mast cells under microscope. RESULTS: The mast cells (MCs)
distributed widely in GV14 region from the dermis, subcutaneous tissue to muscle
layer. Compared with control group, MCs of moxibustion group were significantly
fewer in the number (P<0.05), and those degranulated MCs in both EA and
moxibustion groups were evidently more in the number (P<0.01), and the number and
percentage of the degranulated MCs in moxibustion group were significantly more
than those of EA group (P<0.05), suggesting that EA and moxibustion could promote
the release of granules of mast cells in the stimulated acupoint region, and the
effect of moxibustion is stronger. CONCLUSION: Both EA and moxibustion can
promote the degranulation of MCs, and the effect of moxibustion is markedly
stronger in potentiating the release of granules from MCs in GV14 in rats.
PMID- 18050626
TI - [Changes of cutaneous temperature and the activity of Ca2+- and Mg2+ -ATPase in
the tissues along the running course of meridians in rabbit].
AB - OBJECTIVE: To study the interrelation between the change of temperature and Ca2+
, Mg2+ -ATPase activity in the tissues along the running course of meridians in
the rabbit. METHODS: Seven healthy mongrel rabbits were used in this study.
Before and after moxibustion of "Zusanli" (ST36) and "Yinlingquan" (SP9), the
cutaneous temperature along the Stomach Meridian and Spleen Meridian was detected
with an infra-red thermography. The tissues showing higher temperature (including
skin, subcutaneous tissue and muscle, 100 mg) and non-higher temperature (skin,
subcutaneous tissue and muscle about 0.5 cm beside the higher temperature region,
100 mg) after moxibustion were sampled respectively for detecting the activity of
Ca2+- and Mg2+ -ATPase with enzymologic method. RESULTS: After moxibustion of
"Zusanli" (ST36) and 'Yinlingquan" (SP9), the temperature of the skin along the
Stomach Meridian and Spleen Meridian on the same side increased significantly
(P<0.05, 0.01); correspondingly, the activity of Mg2+ -ATPase in the higher
temperature regions (lateral side of the left thigh, and the medial side of the
right hindlimb) increased moderately and significantly separately in comparison
with the control regions (P<0.05). No marked changes were found in the activity
of Ca2+ -ATPase in the same tissues of the higher temperature regions (P>0.05).
CONCLUSION: In the tissues of higher temperature region after moxibustion of SP9,
Mg2+ -ATPase activity increased evidently, that may contribute to the increase of
temperature along the skin of the Spleen Meridian after moxibustion, while Ca2+
ATPase may play a minor role therein.
PMID- 18050627
TI - [Effect of acupuncture on hemorheology in patients with diabetic nephropathy].
AB - OBJECTIVE: To explore the underlying mechanisms of acupuncture in treating
diabetic nephropathy (DN) by observing the effect of acupuncture on blood
rheology of DN. METHODS: A total of 54 cases of DN patients were randomly divided
into control (n=24) and acupuncture group (n=30). Patients of control group were
treated with diet-control, oral administration of Gliguidone (30-60 mg, t. i. d.)
or subcutaneous injection of insulin, and those of acupuncture group treated with
the same medication as those of control group and additional manual acupuncture
stimulation of Ganshu (BL18), Pishu (BL20), Zusanli (ST 36), etc., once daily for
30 days. Hemorheology was detected with LBY-N6A Automatic Hemorheological
Analyser. The treatment duration in 2 groups was 30 days. RESULTS: After the
treatment, of the 24 and 30 cases in control and acupuncture groups, 6 (25.0%)
and 16 (53.3%) cases experienced remarkable improvement in their symptoms; 10
(41.6%) and 12 (40.0%) had improvement, 8 (33.3%) and 2 (6.7%) failed, with the
effective rates being 66.7% and 93.3% respectively. Self-comparison showed that
after the treatment, no significant changes were found in lower shear rate (LSR)
and higher shear rate (HSR) of whole blood viscosity, plasma viscosity (etap),
hematocrit (HCT) and profibrin in control group; while LSR of whole blood
viscosity, etap and profibrin in acupuncture group decreased significantly
(P<0.05). The therapeutic effect of acupuncture group was significantly superior
to that of control group in lowering LSR of whole blood viscosity and profibrin
(P<0.05). CONCLUSION: Acupuncture can improve DN patients' clinical symptoms and
blood rheology, and hence, has a certain effect in postponing the development of
DN.
PMID- 18050628
TI - [Effect of different acupuncture needle-retaining time on hemorheology in
ischemic stroke patients].
AB - OBJECTIVE: To observe the effect of different needle-retaining duration on
hemorheology in ischemic stroke patients in order to explore its underlying
mechanism in the treatment of ischemic stroke. METHODS: A total of 251 ischemic
apoplexy patients were, according to their state (mild, moderate and severe) of
disease, randomly divided into 20 min, 40 min and 60 min groups respectively.
Acupoints Jianyu (LI15), Quchi (LI11), Waiguan (TE5), Hegu (LI4), Futu (ST32),
Zusanli (ST 36), etc. were punctured and stimulated electrically with parameters
of 2 Hz, 2-6 mA for 20 min, 40 min and 60 min separately, once daily for 10
times. In addition, the patients were also treated with medicines for lowering
intracranial pressure as manicol, etc. Higher shearing rate (HSR) and lower
shearing rate (LSR) of whole blood viscosity (etab), plasma viscosity (etap),
hematocrit (HCT) and profibrin were detected separately before and after the
treatment. RESULTS: After acupuncture treatment, of the 83, 80 and 82 cases in 20
min, 40 min and 60 min groups, 3 (3.61%), 4 (5.00%) and 9 (10.98%) were cured
basically, 15 (18.07%), 17 (21.25%) and 21 (25.61%) experienced remarkable
improvement in their symptoms and signs, 24 (28.92%), 33 (41.25%) and 40 (48.78%)
had an improvement, 41 (49.40%), 26 (32.50%) and 12 (14.63%) failed in the
treatment, with the markedly effective rates being 21.68% , 26.25% and 36.59% and
the effective rates being 50.60%, 67.50% and 85.37% separately. The effective
rate of 60 min group was significantly higher than those of 20 min and 40 min
groups (P<0.01, 0.05). Self-comparison showed that HSR, LSR of etab, etap, HCT
and profibrin levels decreased considerably in comparison with pre-treatment in
each group (P<0.01). Difference values of HSR, LSR, etap, HCT and profibrin (pre
treatment--those of post-treatment) in 60 min group were significantly higher
than those of 20 min and 40 min groups (P<0.05, 0.01), and those of HSR, HCT and
profibrin of 40 min group were markedly higher than those of 20 min group
(P<0.01, 0.05). The therapeutic effect of 60 min group was the best. CONCLUSION:
Acupuncture can effectively improve stroke patients' symptoms and signs, and
lower various parameters of hemorheology.
PMID- 18050629
TI - [Randomized controlled study on the analgesic effect of superficial needling plus
electrostimulation of sanyinjiao (SP6) for primary dysmenorrhea].
AB - OBJECTIVE: To evaluate the analgesic and therapeutic effects of electro
superficial-acupuncture (ESA) of Sanyinjiao (SP6) for treating primary
dysmenorrhea (PD). METHODS: A total of 171 patients were randomly divided into
ESA (n=57), superficial acupuncture (SA) (n=57) and medication (n=57) groups. For
patients in SA group, bilateral SP6 were punctured superficially with special
single-use needles, and for those in ESA group, after puncturing, electric
stimulation (60 Hz, 2-3 V) was applied to SP6 for 30 min. Patients of medication
group were ordered to take Brufen sustained-release capsules (0.3 mg, b. i. d.,
for 3 days), then, take it again from the 3rd day on before the onset of
menstruation to the 2nd day after the onset. The cumulative score of clinical
symptoms were evaluated before and after the treatment. RESULTS: Comparison among
3 groups showed that the difference values of score (pre-treatment--post
treatment) of both ESA and SA groups were significantly bigger than that of
medication group (P<0.001). After the treatment from 1 min to 30 min, patients
with complete relief of dysmenorrhea of ESA group were significantly more than
those of SA group during the 1st menstrual cycle (P<0.05). Results of intention
to-treat (ITT) analysis showed that of the three 57 cases in ESA, SA and
medication groups, the cured cases were 40, 38 and 10, the markedly effective
were 9, 10 and 27, the effective were 5, 4 and 7, and the failed were 3, 5 and
13, with the total effective rates being 94.74%, 91.23% and 77.19% respectively.
The effective rates of ESA and SA groups were significantly higher than that of
medication group (P<0.01), and the results of per-protocol analysis (PP) were
similar to those of ITT analysis. CONCLUSION: The analgesic effect of both ESA
and SA at SP6 for PD patients is significantly better than that of oral
administration of Brufen.
PMID- 18050630
TI - [Research of integrated traditional Chinese and Western medicine on regularity of
clinical acupuncture].
AB - In the present article, the authors, in the light of their research in recent a
decade of years, make an overall summary on the regularities of clinical
acupuncture from the stimulated points, the superficial and deep layers, the
literature basis and the innovation points. These basic regularities include
symmetry or corresponding, segmental innervation, center or central axis,
superiority of distal limbs, ending-stimulation accelerating analgesia, periphery
stimulation accelerating easiness and smooth, local feed back, specific feed
back, selection of the stimulated points, acupuncture of different layers, etc..
They also discuss the possibility of integration of traditional Chinese and
Western medicine from the view-points of neuroanatomy, neurophysiology and
rehabilitation medicine. Systematic analysis on the regularities of clinical
acupuncture makes the ancient meridian-collateral theory and abundant clinical
experience summed up in definite concepts, simplifies the complicated theory
system of acupuncture learning, and favors the communication about the
terminology of Chinese medicine and Western medicine.
PMID- 18050631
TI - [Review on clinical application of needling techniques in chapter Guan Zhen
(Official Needling Techniques) of Ling Shu (Spiritual Pivot)].
AB - In the present paper, more than 380 theses related with clinical application of
"nine needling techniques", "twelve needling techniques" and "five needling
techniques" recorded in chapter Guan Zhen (Official Needling Techniques) of Ling
Shu (Spiritual Pivot) were found through retrieving the data in the internet in
latest 10 years. Among the 130 theses about clinical application of "nine
needling techniques", 108 involve the application of opposing needling. Of the
180 theses about clinical application of "twelve needling techniques", 95 involve
the application of triple needling. Out of the 60 theses on clinical application
of "five needling techniques", 37 involve the application of Hegu needling (multi
direction needling). To sum up, the above-mentioned needling techniques were
mainly applied to the treatment of diseases of dermatology, surgery, pediatrics,
traumatology, five sense organs, etc.. It indicates that these needling
techniques are widely being used in clinical practice now. Therefore, researchers
should lay the stress on the scientific methodology, rigorous research process,
as well as objective analysis of the results so as to make an objective
conclusion and improve the level of the research.
PMID- 18050632
TI - [Survey on the relationship between pathological reactions of acupoints and
Zangfu organs/meridians].
AB - In this article, the authors make an analysis on the regularity of correlation
between pathological reactions of acupoints and Zangfu organs/meridians, current
clinical application and mechanism research, and summarize the relative
specificity of the correlation between abnormal reactions of acupoints and states
of Zangfu organs/meridians. In addition, the authors also point out some
shortcomings in current researches on the above-mentioned aspects, for examples,
only observation on the phenomenon without definite conclusion on the regularity
of acupoint reactions, being restricted in the objective specificity, etc.. They
stress that we should sum up a series of regularities for clinical application
(early diagnosis), and conduct profound research on its underlying mechanism in
the coming days.
PMID- 18050633
TI - [Semen Semenovich Girgolav (1881-1957)].
PMID- 18050634
TI - [Surgical treatment of patients with post-infarction aneurysm of the left
ventricle and diffuse lesion of the anterior interventricular artery].
AB - Diffuse lesions of the anterior interventricular artery (AIVA) at different
levels were diagnosed in 27 out of 417 patients operated for post-infarction
aneurysm of the left ventricle (PIALV). During the preoperative period
coronography, ventriculography, ultrasonic examination of the heart were made in
all the patients, one-photon emission computed tomography with 123I-iodopent was
used for radioisotope investigation of perfusion and metabolism of the
myocardium. The presence of PIALV, diffuse atherosclerotic lesion of AIVA and
viable myocardium in its basin was taken as an indication for autovenous plasty
of the artery with the following shunt of the left intrathoracic artery and
resection of PIALV.
PMID- 18050635
TI - [Intracardial transplantation of mononuclear cells of the autologous bone marrow
in complex treatment of patients with valvular heart diseases].
AB - The authors present the first clinical experience with intramyocardial
transplantation of the mononuclear fraction of cells of the autologous bone
marrow in complex surgical treatment of 10 patients with valvular heart disease.
The cellular transplantation was fulfilled intraoperatively when making a
prosthetic mitral (5 patients) and aortal (5 patients) valves on the open heart
under conditions of extracorporeal circulation. Simultaneously direct
revascularization of the myocardium (aorto-coronary and mammary-coronary shunts)
was performed in 4 patients (1--with a mitral and 3--with aortal heart diseases).
An investigation of the results of the examination including ECG, EchoCG and
investigation of the myocardium perfusion using one-photon emission computed
tomography fulfilled in the early postoperative period and within 6-12 months
after operation has shown that the cell cardiomyoplasty with mononuclear fraction
of cells of the autologous bone marrow improves the myocardium perfusion,
however, in the early postoperative period the appearance of transitory
impairments of the heart rate is possible.
PMID- 18050636
TI - [Resection of the left atrium in lung cancer].
AB - An analysis of results of surgical treatment of 28 patients with lung cancer who
underwent resection of the left atrium has shown that squamous cell cancer was
diagnosed in 18 patients (64%), adenocarcinoma--in 5 (18%), dimorphous cancer--in
2 (7%), mucoepidermoid cancer in 2 (7%), atypical carcinoid--in 1 patient (4%).
The degree of regional lymphogenic spread of the tumor NO took place in 11
patients (39%), N1--in 6 patients (22%), N2--in 11(39%). True invasion of the
tumor to the left atrium myocardium took place in 20 patients (71%), involvement
of the pulmonary vein orifices in the tumor process--in 8 (29%). Resection of the
atrium was made using mechanical suturing apparatuses. The right side resections
were fulfilled in 16 patients (57%), left side resections in 12 patients (43%).
Pneumonectomy was fulfilled in 26 patients (93%), lobectomy--in 2 patients (7%).
The operative interventions in five cases (18%) were estimated as microscopically
non-radical (R1). The average time in the intensive care unit after operation was
3 days (from 1 till 12), in the surgical thoracal department--18 days (from 13
till 37). In the early postoperative period one patient died (4%), complications
were noted in 5 patients (18%). The total one year survival was 69%, three year
survival--39%, 5 year survival--17%. The survival median was 23 months. Resection
of the left atrium in the selected lung cancer patients was not followed by
growing operative lethality and the acceptable long term results were obtained.
PMID- 18050637
TI - [Intraperitoneal pressure in acute small bowel obstruction].
AB - The dynamics of intraperitoneal pressure (IPP) in acute small bowel obstruction
was investigated in 50 patients with acute small bowel obstruction (ASBO), 25 men
and 25 women aged from 16 through 82 years. The measurements were made in a
nasogastral probe. Commissural ASBO was found in 24 (48%) patients, obturation-
in 6 (12%), invagination--in 3 (6%) patients. Two groups of patients with high
IPP (median 124 mm H2O) and low IPP (median 35 mm H2O) were established. The IPP
level over 100 mm H2O, measured at admittance, can be considered an indication to
operative treatment. Increased IPP makes the lethality risk of the patient
higher. In case of non-complicated course of the postoperative period IPP is not
higher than 10 mm H2O by the 2nd day. IPP higher than 30 mm H2O points to the
development of intraperitoneal complications.
PMID- 18050638
TI - [Laryngoscopy in patients undergoing thyroidectomy].
AB - In a group of 365 patients undergoing thyroidectomy, the group of 21 patients
with invasive thyroid malignancy was compared with 344 patients with benign
thyroid disease or noninvasive cancers. It was shown that the method of indirect
laryngoscopic examination was a simple and efficient method for detection of
vocal cord paralysis and for the assessment of involvement of the surrounding
tissues by the tumor. For the preoperative diagnosis of invasion of the recurrent
nerve area such criteria as changed voice, results of CT and MRT were shown to be
insufficiently reliable.
PMID- 18050639
TI - [The use of blocked rods for pseudoarthroses and defects of the forearm bones].
AB - The authors propose a method of reconstructive operation for treatment of
pseudoarthroses and defects of the forearm bones. The method consists in
performing bone plasty with a cylindrical hollow cortical-spongy autotransplant
from the iliac crest in combination with the intramedullary osteosynthesis with
block. The method can be recommended for practical use in traumatologic
orthopedic hospitals having an electro-optical transducer.
PMID- 18050640
TI - [Prophylactics of urological complications in patients with a vertebro-spinal
trauma].
AB - An original method of evacuation of urine from the bladder in spinal patients and
patients with infravesical obstruction of different genesis (cystocuteneostoma)
was worked out by the authors in experiments and introduced into clinical
practice. A comparative analysis of the results of treatment of patients using
ordinary methods and that developed by the authors has shown considerable
advantages of the latter: less number of complications such as urethritis,
urinary bladder calculi, pyelonephritis.
PMID- 18050641
TI - [Defects of providing surgical care for closed injuries of the abdomen].
AB - The aim of the work was to study defects of providing surgical care for closed
injuries of the abdomen. An analysis of 19 reports of forensic medical
examinations and 125 medical inpatients' cards helped to establish the leading
causes of unfavorable outcomes of treatment and ways of improvement of the
quality of providing medical care to such patients.
PMID- 18050642
TI - [Surgical infection in children: new tendencies and principles].
AB - The epidemiology, etiology, peculiarities of the clinical course of surgical
infection (SI) and immune status in children aged from 0 to 15 years were
investigated in 1970-2003. The results of the investigation are presented. The
significance of evolution of the pathogenic agents and of the organism's
resistance in the appearance of specific features of the clinical course of SI in
childhood is discussed.
PMID- 18050643
TI - [Choise of the strategy of treatment of patients over 60 years of age with a
destructive cholecystitis complicated by abdominal sepsis].
AB - The age of 242 patients with a destructive pathology of the gall-bladder
complicated by abdominal sepsis was from 60 through 93 years. During the first
day operations were made on 48 (19.83%) patients with peritonitis and systemic
disorders, 10 patients died (43.47%). Within 1-5 days after conservative therapy
194 (80.17%) patients were operated on, 13 patients died. The following symptoms
of inflammation were observed: syndrome of systemic inflammatory reaction--in 13
(5.37%) patients, sepsis--in 190 (78.51%), severe sepsis in 16 (6.61%), septic
shock--in 23 (9.5%) patients.
PMID- 18050644
TI - ["Preliminary" amputation for the irreversible ischemia of the lower
extremities].
AB - The author has summarized experiences of amputations of the shin and femur in 301
patients for 10 years of work, has developed a surgical strategy for certain
kinds of high amputations that allowed improving the results of amputations of
lower extremities in 178 patients in the following three years. One of important
factors in decreasing the number of purulent postoperative complications and
lethality was using the method of "preliminary" amputation and reamputation.
PMID- 18050645
TI - [Lipid peroxidation before and after amputation of the lower extremity in
patients with atherosclerotic gangrene].
AB - Activity of lipid peroxidation processes in blood was studied in 60 patients with
atherosclerotic gangrene of the lower extremity subjected to amputation of the
extremity in a prospective controlled investigation. Activation of the lipid
peroxidation processes with a lower number and less activity of the antioxidant
system enzymes was demonstrated in patients with severe critical ischemia of
lower extremities. The operation trauma was followed by further stress of the
system of free radical oxidation of lipids. The increased concentration of lipid
peroxidation products with a decreased or low level of activity of the
antioxidant system enzymes allows prognosis of the development of purulent
complications at the early postoperative period.
PMID- 18050646
TI - [The potential of surgical and bronchoscopic treatment of idiopathic scarry
stenoses of the trachea].
AB - Tracheolaryngeal resection is indicated for treatment of idiopathic scarry
stenosis of the trachea with destruction of the cartilaginous tracheal semi-rings
and cricoid cartilage. The proximity of anastomosis to the vocal folds does not
influence the function of the vocal folds, if all technical peculiarities of the
operation are observed. The bronchoscopic elimination of the scarry stenosis
using laser dissection of the fibrous tissue, bougieurage and temporary
endoprosthesis with a silicon T-shape stent is indicated in case of the saved
integrity of the cartilaginous carcass of the trachea and larynx.
PMID- 18050647
TI - [Minimally invasive interventions for acute cholecystitis complicated by
mechanical jaundice in elderly and senile patients].
AB - Results of the diagnosis and treatment of 165 patients with acute cholecystitis
complicated by mechanical jaundice in elderly and senile age were analyzed. The
method of endoscopic retrograde papillocholangiography used in 153 patients with
acute cholecystitis complicated by mechanical jaundice is thought to be the most
informative method of investigation of the bile ducts which allows determination
of the exact cause and the level of disturbance of their patency. In 138 (83.6%)
patients the cause of these complications was choledocholithiasis, in 18 (10.9%)
isolated scarry strictures of the terminal part of the common bile duct and major
duodenal papilla and in 9 patients (5.5%) a combination of these two diseases.
Purulent cholangitis developed in 61 patients. Operations were fulfilled in 122
patients at the second stage, in 38 patients it was laparoscopic cholecystectomy.
The differentiated medico-diagnostic strategy for acute cholecystitis complicated
by mechanical jaundice using a two-stage method of decompression and sanitation
of the bile ducts is the most rational method of treatment of elderly and senile
patients.
PMID- 18050648
TI - [Comparative assessment of the Longo operation and suture ligation of the
terminal branches of the upper rectal artery in treatment of chronic
hemorrhoids].
AB - The immediate and long-term results of treatment of patients with chronic
hemorrhoids were compared in two groups of patients. The A. Longo method of
operation was used in one group of patients, suture ligation of the distal
branches of the superior rectum artery was used in the other group. The second
method was shown to have some advantages over the Longo operation, but the long
term results show greater effectiveness of the Longo method.
PMID- 18050649
TI - [Necrosis of the round ligament of the liver].
PMID- 18050650
TI - [Acute calculous cholecystitis: "protocols of diagnostics and treatment"].
AB - The proposed protocols of diagnostics and treatment of acute calculous
cholecystitis were developed in St. Petersburg Djanelidze Research Institute of
Emergency Medicine where the problems of treatment of patients with acute
inflammatory diseases of the bile ducts are investigated in collaboration with
the leading experts in this field in Saint Petersburg and Leningradskaya oblast.
The protocols are based on the collective experience of many authors, who
realized in their work different strategic directives and methods of treatment of
complicated forms of cholelithiasis and nevertheless came to similar conclusions.
They contain recent developments of the organization and carrying out diagnostic
and medical measures for patients with this pathology which could improve results
of treatment of this not simple category of patients.
PMID- 18050651
TI - [Pathogenesis, diagnostics and treatment of chyloperitoneum].
PMID- 18050652
TI - [Methods of diagnostics of intestine paresis in acute surgical diseases of organs
of the abdominal cavity].
PMID- 18050653
TI - [Extracapsular extension as an unfavorable factor of prognosis in cancer of the
prostate].
PMID- 18050654
TI - [Approaches to elimination of postoperative pain].
PMID- 18050655
TI - [Artificial inhibition of the complement system].
AB - A great number of natural substances affect the complement system in addition to
its natural regulators. Among the complement effectors, the most important are
inhibitors of the activation cascade. The necessity of searching for preparations
capable of a purposeful effect on complement by inhibition of single stages of
the activation cascade and without influence on its other functions is connected
with the current importance of use in medicine of novel therapeutic regulators of
the complement system. Important directions are the search for complement
inhibitors that (a) interfere with the rejection of transplants; (b) can replace
C1 inhibitor in hereditary angioedema, and (c) have a high anti-inflammatory
activity in the therapy of rheumatic diseases, diabetes, and other autoimmune
disorders. It is expedient to use the available techniques for the directed
detection of the action of medicinal substances on complement, which allow the
determination of their action on the complement system at various stages of the
cascade of its activation.
PMID- 18050656
TI - [Ion channels of various types induced in lipid membranes by gramicidin A
derivatives carrying a cationic sequence at their C-termini].
AB - The channel-forming activity of gramicidin A derivatives carrying positively
charged amino acid sequences at their C-termini was studied on planar bilayer
lipid membranes and liposomes. We showed previously that, at low concentrations,
these peptides form classical cation-selective pores typical of gramicidin A,
whereas, at high concentrations, they form large nonselective pores. The ability
of the peptides to form nonselective pores, which was determined by the efflux of
carboxyfluorescein, an organic dye, from liposomes, decreased substantially as
the length of the gramicidin fragment in the series of cationic analogues was
truncated. CD spectra showed that large pores are formed by peptides having both
beta6.3 single-stranded and beta5.6 double-stranded helical conformations of the
gramicidin fragment, with the C-terminal cationic sequence being extended. The
dimerization of the peptides by the oxidation of the terminal cysteine promoted
the formation of nonselective pores. It was shown that nonselective pores are not
formed in membranes of erythrocytes, which may indicate a dependence of the
channel-forming ability on the membrane type. The results may be of interest for
the directed synthesis of peptides with antibacterial activity.
PMID- 18050657
TI - [Duodenase activates rat peritoneal mast cells via protease-activated receptors
of type 1].
AB - It was found that duodenase, a serine protease from the bovine duodenum,
activates rat peritoneal mast cells (PMC) in vitro presumably via protease
activated receptors (PARs). Like thrombin (a serine protease from the blood
coagulation system) and the PAR1 agonist peptide (PAR1-AP), duodenase was shown
to accelerate the secretion of beta-hexosaminidase (a marker of cell
degranulation) by PMC in a dose-dependent manner. The blockage of the proteolytic
activity of duodenase toward the substrate Tos-Gly-Pro-Lys-pNA by the soybean
Bauman-Birk protease inhibitor substantially reduced (by 40%) the ability of
duodenase to stimulate the secretory activity of PMC. Pretreatment of PMC with
duodenase decreased the beta-hexosaminidase secretion induced by thrombin and
PAR1-AP by 35 and 41.7%, respectively, and abolished the antiinflammatory effect
of activated protein C. At the same time, pretreatment of PMC with duodenase did
not affect the secretion of beta-hexosaminidase induced by compound 48/80, a
nonspecific degranulator of mast cells. Duodenase, unlike PAR1-AP (30-100
microM), in a broad concentration range (10-100 nM) did not induce aggregation of
human platelets, but suppressed the platelet aggregation elicited by PAR1-AP.
PMID- 18050659
TI - [Positively charged acyl derivatives of carbohydrates as promising transfection
agents].
AB - A convenient approach to the synthesis of mono- and polycationic glycolipid
amphiphiles is suggested. The compounds obtained can be used for study of the
structure-activity relationship and determination of the effect of hydrophobic
and cationic domains on transfection efficiency.
PMID- 18050658
TI - [Isosteric triphosphonate analogues of dNTP: synthesis and substrate properties
toward various DNA polymerases].
AB - Isosteric triphosphonate derivatives of 2',3'-dideoxy-2',3'-didehydroadenosine
and 3'-deoxy-2',3'-didehydrothymidine and their beta,gamma-substituted analogues
were synthesized. Their substrate properties toward a number of reverse
transcriptases of the human immunodeficiency and bird myeloblastosis viruses,
human DNA polymerases alpha and beta, and the Klenow fragment of Escherichia coli
DNA polymerase I were studied.
PMID- 18050660
TI - [Depth-dependent investigation of the apolar zone of lipid membranes using a
series of fluorescent probes, Me4-BODIPY-8-labeled phosphatidylcholines].
AB - A series of lipid probes, phosphatidylcholines labeled with Me4-BODIPY-8 (4,4
difluoro-1,3,5,7- tetramethyl-4-bora-3a,4a-diaza-s-indacen-8-yl) fluorophore
attached to the end of an acyl residue at different distances from the polar
head, were used as depth-dependent probes for the apolar zone of model membrane
systems, large unilamellar vesicles (LUVs). Data on the anisotropy of probe
fluorescence demonstrated different mobility profiles for the fluorophore
microenvironment in LUVs of different composition at various temperatures, which
indicates a high sensitivity of these probes as tools for studying membrane
systems. An interesting anomaly was observed for LUVs from
dimiristoylphosphatidylcholine (DMPC) or from a DMPC-cholesterol mixture: the
anisotropy of the fluorophore located near the bilayer center is larger than that
of the fluorophore located further from the center; i.e., the mobility of the
microenvironment is lower in the first case. This anomaly is supposed to result
from the penetration of the unlabeled long chain of the probes into the opposite
bilayer leaflet. Such a possibility should be taken into account in constructing
fluorescent probes and interpreting the results.
PMID- 18050661
TI - [Development of a rapid method for the detection of prostate-specific antigen by
immunochromatography].
AB - A single-step qualitative rapid test for the determination of prostate-specific
antigen (PSA) in samples of human blood serum by immunochromatography using a
complex of colloidal gold with monoclonal antibodies to PSA as the detection
agent was developed. The determination limit for PSA in serum blood samples is 10
ng/ml; the analysis time, 15-25 min; the sensitivity of the method, 100%; and its
specificity, 92.5%.
PMID- 18050662
TI - [Regioselectivity of ferulic acid polymerization catalyzed by oxidases].
AB - Enzymatic oxidation of ferulic acid catalyzed by oxidases (laccase and
peroxidase) was carried out. Ferulic acid was shown to be subjected to oxidative
processes leading to the formation of oligomeric and polymeric structures. The
polymer formation takes place due to the formation of CAr-CAr-, and CAr-O-CAr
bonds, as well as due to reactions of opening of the propane chain double bond.
Different dynamic conditions of the enzymatic reactions were used to study the
effects of conditions on the biosynthesis in vitro of some dehydropolymers: the
method of dropwise mixing (endwise polymers) and a single addition (bulk
polymers). The chemical structures of the resulting compounds were examined by
the methods of IR, 1H NMR, and 13N NMR spectroscopy. Differences in the
quantitative ratio of structural fragments in a polymer cause changes in its
thermal characteristics.
PMID- 18050663
TI - [Polycationic catalysts for phosphodiester bond cleavage on the basis of 1,4
diazabicyclo[2.2.2]octane].
AB - A number of tetracationic compounds capable of phosphodiester bond cleavage
within a 21 -membered ribooligonucleotide were designed and synthesized. The
artificial ribonucleases represent two residues of quaternized 1,4
diazabicyclo[2.2.2]octane bearing alkyl substituents of various lengths and
connected with a rigid linker. The efficiency of cleavage of phosphodiester bonds
in an RNA target depends on the linker structure and the length of alkyl
substituent.
PMID- 18050664
TI - [Oligonucleotide conjugates with minor groove ligands as probes for hybridization
microarray chips].
AB - A possibility of using oligonucleotide conjugates with minor groove ligands as
probes for hybridization microarray chips was studied. The oligonucleotide
conjugates contain a hairpin ligand (MGB) composed of two tripyrrolcarboxamide
residues with an aminocaproic acid residue as a linker and bound to the
oligonucleotide duplex AT tract in a site-specific manner. We used as (5'-3')
probes GACAAGAp, GACAAAAp, GACAAGA-MGB, and GACAAAA-MGB. The oligonucleotides
labeled with Cy3 cyanine dye, Cy3-ACTAATTTTGTC and Cy3-ACTAATCTTGTC, were used as
targets. The maximal MGB effect on the fluorescence level of microarray chip
spots, which caused its fourfold increase as compared with the initial unmodified
duplex, was observed for the duplex containing only AT pairs in the ligand
binding site. The presence of A-C and G-T mutations in the binding site
(imperfect duplexes) or a C-G pair (perfect duplex) affects the change in
fluorescence level to a considerably lesser degree.
PMID- 18050665
TI - [Study of the mechanism of Ras-dva small GTPase intracellular localization].
AB - An analysis of amino acid sequences of small GTPases of the Ras-dva family
allowed us to determine the C-terminal prenylation motif, which could be
responsible for the membrane localization of these proteins. We demonstrated
using in vivo EGFP tracing that the Ras-dva small GTPases from Xenopus laevis
embryo cells and NIH-3T3 fibroblasts are localized on both plasma membranes and
endomembranes (the endoplasmic reticulum, the Golgi apparatus, and vesicles). At
the same time, the replacement of the Cys residue, the SH group of which must be
theoretically farnesylated, in the C-terminal prenylation motif of the Ras-dva
small GTPase by the Ser residue prevented the membrane localization of the
protein. These results indicate that the C-terminal prenylation site is critical
for the membrane localization of small Ras-dva GTPases.
PMID- 18050666
TI - [The effects of age on insulin sensitivity and insulin secretion in Japanese
subjects with normal glucose tolerance].
AB - The prevalence of impaired glucose tolerance and type 2 diabetes increases with
age. However, controversial results have been reported in regard to which has a
greater influence on the deterioration of glucose tolerance with age, namely
impaired insulin sensitivity or impaired insulin secretion. The conflicting
results may arise mainly from differences in the evaluation of insulin secretion
and insulin sensitivity, and from differences in the physical composition and the
ethnicity of the study subjects. We therefore selected Japanese subjects, between
20 and 80 years of age, with normal glucose tolerance (NGT) and with a body mass
index (BMI) below 25.0 kg/m2, and then examined the subject's insulin sensitivity
based on the indices of a homeostasis model assessment-insulin resistance index
(HOMA-IR) and ISI composite (ISI), and beta-cell function by these of HOMA-beta,
AUC I/G(0-120), an insulinogenic index (deltaI30/deltaG30), and then
(deltaI30/deltaG30)/HOMA-IR derived from a 75 g-oral glucose tolerance test
(OGTT). The subjects were divided into the six subgroups according to sex and
age, below age 30, between ages 30 and 49, and equal to and over age 50. Both
HOMA-IR and the ISI showed no differences across the range of age and sex. HOMA
beta decreased with age, and AUC I/G(0-120) decreased in the elderly. No change
was observed in deltaI30/deltaG30 across the age range in men, however
deltaI30/deltaG30/HOMA-IR, the index of the early phase insulin secretion
adjusted for insulin sensitivity, decreased with age in both men and women. These
data indicated that aging itself had no effect on insulin sensitivity, while
insulin secretion in both the early and late phase during the OGTT deteriorated
with age even within the NGT subjects.
PMID- 18050667
TI - [Clinical usefulness for measurement of plasma brain natriuretic peptide in
diabetic patients].
AB - Plasma brain natriutetic peptide (BNP) concentrations in type 2 diabetic patients
were measured by newly developed enzyme immunoassay, and their clinical
application was evaluated. Precision of the measurement system was satisfactory
for clinical use, and the value obtained by this system had good correlation to
that by radioimmunoassay. Tubes containing NaF in addition to EDTA, usually used
for measurement of plasma glucose and HbA1c in diabetic patients, could be used
for the collection of plasma sample. In 133 type 2 diabetic patients who had no
symptom for heart failure, plasma BNP was elevated in those with ischemic heart
disease and it was also significantly elevated even in the patients who had no
ischemic change on double Master two-step exercise testing than that in control
subjects. In 52 patients receiving the examination by cardiosonography, plasma
BNP levels significantly correlated to the left ventricular mass index, and also
had a significant correlation to peak flow velocity in early diastole/peak flow
velocity in late diastole (E/A) ratio, one of a simple index for an asymptomatic
diastolic heart failure. Multiple logistic regression analysis revealed that age
and coronary heart disease were extracted as a significant valuable for plasma
BNP level in type 2 diabetic patients. These results suggest that measurement of
plasma BNP in type 2 diabetic patients was useful as a screening method for
evaluating the latent deterioration of heart function such as asymptomatic
ischemic heart disease.
PMID- 18050668
TI - Development of a quantitative evaluation system for motor control using wrist
movements--an analysis of movement disorders in patients with cerebellar
diseases.
AB - In this study, we developed a new system for quantitative evaluation of the wrist
movement. We designed this system to analyze the causal relationship between
movement disorders and abnormal muscle activities. In addition, this system was
also designed to be non-invasive and used handily at the bedside. We tested this
system for analysis of movement disorders in the cerebellar patients. As an
experimental task, we asked subjects to perform step-tracking wrist movements
with a manipulandum, and simultaneously recorded wrist joint movements and muscle
activities of four wrist prime movers with surface electrodes. The participants
included eight patients with cerebellar diseases and eight normal controls.
First, we made quantitative analysis of movement kinematics in the cerebellar
patients in terms of accuracy and directional deviation of wrist movements. Then
we examined how well the wrist movement could be explained with the activities of
the four prime movers. Specifically, we compared the wrist joint torque
calculated from the movement of the wrist (kinematic torque) and the wrist joint
torque estimated from the muscle activities (muscle torque). Then we evaluated
match between the two. Our surprising observation was that there were very high
correlations between the kinematic torque and the muscle torque for both normal
controls and cerebellar patients. Correlation coefficients R for normal controls
were 0.85 +/- 0.06 for X-axis component and 0.78 +/- 0.09 for Y-axis component.
On the other hand, correlation coefficients R for cerebellar patients were 0.78
+/- 0.11 for X-axis component and 0.78 +/- 0.1 for Y-axis component. These
results strongly suggested that there were enough information in the activities
of the four muscles to explain the position, speed and acceleration of the wrist
joint. In other words, with our system, it is possible to identify causal
abnormality of muscle activities for movement disorders. Therefore, it is
possible with our system to analyze movement disorders at a motor command level.
PMID- 18050669
TI - In situ hybridization with novel biotinyl-tyramide: fundamental studies and its
utility of the detection of human papilloma virus in tissue sections.
AB - We developed an in situ hybridization (ISH) method with a higher sensitivity and
less background staining than the generally used catalyzed reporter deposition
amplification method of in situ hybridization (CARD-ISH). The characteristics of
this method are follows: sections heated in citrate buffer (pH6.0) containing
0.1% Triton X-100 showed the strongest signals, and a well-preserved morphology.
The strongest signals were observed when borate buffer of biotinyl-tyramide stock
and phosphate buffer of working solution were changed to Tris-HCl buffer.
Compared with hematoxylin counter staining, alcian blue counter staining made it
easier to identify dot, diffuse, and mixed type signals, respectively. Thus, we
were able to clearly detect positive signals in the SiHa cell line with 1-2
copies of the integrated human papilloma virus-16 (HPV-16) gene, and in formalin
fixed, paraffin-embedded cervical lesion specimens for the HPV-16 gene.
PMID- 18050670
TI - [Anaerobiospirillum succiniciproducens septicemia].
AB - We report the first case of septicemia caused by anaerobic spiral-shaped Gram
negative bacilli, Anaerobiospirillum succiniciproducens in Japan. A 71-year-old
male who had been suffered from terminal stage of liver cirrhosis and
hepatocelluler carcinoma was admitted to our hospital for his symptoms of general
malaise and increasing ascites on September 1, 2004. He developed diarrhea seven
times a day on the eighth hospital day and had fever of 38.7 degrees C with WBC
12,600/microl and CRP 6.6 mg/dl on the next day. Blood culture grew Gram negative
spiral bacilli. We initially could not identify the offending bacterium that
resembled to Campyrobacter morphologically using commercially available
indentification kits. However, 16SrRNA sequencing test revealed 100%
compatibility with Anaerobiospirillum succiniciproducens.
PMID- 18050671
TI - [Preanalytical issues].
AB - Preanalytical issues in laboratory medicine have been recognized to be important
but not so much effort have been focused to them. Urinalysis is one of the most
susceptible examinations to preanalytical issues because urine samples are
collected by patients themselves and so is stool examination too. Midstream urine
catch is well-known appropriate procedure for many urinalysis. Surface scratching
is an appropriate method of stool occult blood testing for colorectal cancer and
also strategies to avoid the contact of stool with toilet water is another
important technique. We also report a case of abnormal results of creatinine
clearance (Ccr) caused by the urine collection apparatus as an example of
preanalytical issue.
PMID- 18050672
TI - [Microbiology laboratory as a base of information sending].
AB - The goal of our microbiology laboratory is to provide an accurate microbiological
result and a useful information for every healthcare workers (HCWs). For this
purpose, we were trying to do several activities, such as improving the work-flow
of microbiology testings, starting 365-day-open microbiology tests, providing
some training courses of microbiology and sending many useful informations about
infectious diseases and infection control. Before these activities, we needed
another 5 microbiology technicians beside 3 technicians and had started the
program to educate them. We have successfully finished it and enabled all plans
begin in April, 2005. Since then we are open for 365 days and also sending HCWs
many newsletters for performing effective microbiological testings via the intra
network system and having lectures for both doctors and nurses, especially for
new resident doctors at the orientation. We had also the training course for
certified infection control nurses and accepted two technicians from Africa, who
came to study a basic microbiology via JICA. These activities have enabled every
technician not only to report and analyze microbiological test result effectively
but also to improve writing and presentation skills. Through these activities all
technicians have realized that accurate and rapid information from a microbiology
laboratory is a key to treat patients with infectious diseases and improve their
prognosis. It is suggested that skill-up of technicians lead to report an
accurate result in microbiology and at the same time improve the attitude for
their job.
PMID- 18050673
TI - [Thrombotic tendency and laboratory medicine in metabolic syndrome].
AB - Metabolic syndrome is defined as a complex of hypertriglyceride, insulin
resistance, hypertension, and accumulation of visceral fat. This syndrome is
often accompanied by thrombotic diseases (e.g., myocardial infarction, cerebral
infarction), but the mechanism (s) of thrombotic tendency has not yet been
elucidated. Plasminogen activator inhibitor-1 (PAI-1), a principal regulator of
fibrinolytic system, plays a pathological role in the development of thrombosis
and cardiovascular diseases. PAI-1 is regarded to be one of adipocytokines
because it is produced and secreted by adipocytes. The expression of PAI-1 in
adipocytes is upregulated by insulin, TNF-alpha, and TGF-beta, suggesting that it
is relevant to insulin resistance. PAI-1 antigen level in plasma is elevated in
obese subjects and increases in parallel with their BMI and visceral fat. It was
experimentally revealed that PAI-1 expression in adipose tissue was dramatically
increased in genetically obese mice and abundant expression of PAI-1 was
localized to adipocytes in vivo. PAI-1 deficient mice were resistant to high fat
diet-induced body weight gain, adipose accumulation, and insulin resistance in
association with lack of decreased expression of adiponectin. Taken together, PAI
1 may be a key molecule to develop obesity and insulin resistance as well as
thrombotic diseases. It is possible to prevent thrombotic complications and
cardiovascular diseases in obese patients by controlling PAI-1 expression and
function. Each pathology included in metabolic syndrome could stimulate PAI-1
expression, and thus, PAI-1 would be a good marker of progression of metabolic
syndrome itself and of risk for thrombotic cardiovascular diseases as well.
PMID- 18050674
TI - [New surgical technologies in oncology].
AB - Despite new efficient methods of pharmaco- and radiotherapy, surgery is still
applied to most patients with malignant tumors as the main treatment. Rational
definition of indications to a surgical intervention based upon precise
morphological verification of the diagnosis and correct staging is half a battle
of surgical success. When planning the time and volume of surgery, its aim
(whether it is radical, palliative, or reconstructive intervention) should be
understood very clearly. The ideology of oncosurgery has changed to a great
extent. On the one hand, wide clinical application of contemporary imaging
techniques (CT, MRI, and PET) has resulted in more frequent detection of non
advanced tumors. Another aspect of present-day big oncosurgery is the application
of advanced surgical techniques as well as the development of broad resection
techniques and methods of en bloc removal of locally advanced tumors from
different locations. By examples of thoracoabdominal tumors (neoblastomas of the
bronchi, mediastinum, stomach, and kidney) and bone tumors the author considers
the main aspects of modern operative strategy in oncology.
PMID- 18050675
TI - [The development of radiotherapeutic techniques at the beginning of the 21st
century in Blokhin Russian Oncological Research Center of Russian Academy of
Medical Sciences].
AB - The article describes the development of radiotherapeutic techniques in Blokhin
Russian Oncological Scientific Center of Russian Academy of Medical Sciences at
the end of the 20th and the beginning of the 21st centuries. Such developmental
directions in radiation oncology as the development of non-conventional modes of
radiation dose fractioning, the development of new radiotherapeutic techniques
based on volumetric 3D dosimetric radiotherapy planning, the perfection of
combined (contact plus distant) radiotherapy, the use of multidirectional
radiomodifying agents, the application of radiotherapy as a part of combined and
complex treatment with chemotherapy and surgery in different tumoral sensitivity
to conservative treatment, are considered in the article. Immediate and long-term
results achieved at the institution by the use of radiotherapy alone or as a part
of combined or complex treatment in cases with certain malignant tumor
localizations are described.
PMID- 18050676
TI - [High technologies in radiodiagnostics: possibilities and prospects].
AB - Diagnostic radiology includes several basic techniques such as conventional
radiography, computed tomography, magnetic resonance imaging, radionuclide study,
ultrasonography, and positron emission tomography as the most important methods.
Radiological screening procedures or preclinical diagnostic techniques, as well
as interventional radiological procedures, are being used more and more widely in
clinical medicine. The integration and combination of different technologies, the
introduction of digital diagnostic technologies, and the creation of radiological
information systems on their basis are important factors increasing diagnostic
efficiency. Improved technologies make it possible to change diagnostic tactics
in the majority of diseases; the diagnostic process shortens because preference
is given to most informative methods. Intensive technological development
requires changes in the arrangement of radiologists' work.
PMID- 18050677
TI - [Complex radiodiagnostics of non-Hodgkin's thoracic or abdominal lymphoma in
children].
AB - The clinical manifestations of non-Hodgkin's lymphoma (NHL)-associated lesions of
thoracic or abdominal organs and tissues, and the results of application of
radiodiagnostic techniques in 414 children with the disease, were analyzed. The
results of the analysis of radiological and ultrasonographic manifestations of
thoracic and abdominal lesions in children with NHL make it possible to perform
more effective early diagnostics of the disease in wide healthcare practice.
Also, these results confirm the mandatory character of the general principle of
pediatric tumor diagnosis, i.e. complex application of clinical, morphological,
endoscopic, laboratory, and radiological techniques, including routine X-ray
diagnostics, computed tomography, ultrasound tomography, and radioisotopic
examination.
PMID- 18050678
TI - [Innovation approaches to treatment of children with malignant tumors of the
musculoskeletal system].
AB - The Department of Musculoskeletal System Tumors was formed in November 1989
within Research Institute of Pediatric Oncology and Hematology of N. N. Blokhin
Russian Oncological Scientific Center of Russian Academy of Medical Sciences.
Treatment is carried out with the newest achievements in oncopediatrics applied;
priority is given to limb-sparing techniques. The total 5-year survival rate of
patients with Ewing's sarcoma is 65.6%, the total 2-year survival rate of
patients with soft tissue sarcomas is 62.2%, and the total 2-year survival rate
of children with osteosarcoma is 76%. Study of complications and side-effects of
chemotherapy, as well as the development of methods of their prevention and
correction, present a separate direction in the department's activity. In 1999,
the team of the institution's researchers was awarded Russian Federation State
Prize for outstanding scientific achievements in the work Development and
Clinical Application of Combined Methods of Treatment of Osteogenic Sarcoma. In
2003 and 2004, leading researcher N. M. Ivanova was awarded the institution's
diplomas as a part of Biotech prize for the development of new methods of
treatment of malignant tumors in children.
PMID- 18050679
TI - [The pharmacological strategy of overcoming the phenotype of the multiple
pharmacoresistance of non-small-cell lung cancer].
AB - The authors discuss literature data and the results of their own many-year
research into molecular markers of multiple pharmacoresistance associated with
the function of ABC-transporters (MDRABC) from the point of view of their
clinical significance. They consider the evolution of views on the mechanism of
functioning and intracellular localization of ABC-transporters in normal and
tumoral cells, in particular, the role of these transport proteins in the
protection of nuclear targets from toxic agents, including antitumoral
preparations. By the example of non-small-cell lung cancer (NSCLC) the authors
critically analyze the reasons why data on the frequency of ABC-transporters
expression in human solid tumors and on their prognostic value are inconsistent.
The article contains a detailed analysis of the results of the authors' own
research into the activity of ABC-transporters in NSCLC, in which the frequency
of expression and co-expression of different transport proteins (including Pgp
and MRP) is defined and new characteristics and mechanisms of the regulation of
the functioning of MDRABC molecular markers are revealed. A methodical approach
to routine characterization of MDRABC phenotype in NSCLC is offered. The main
directions of pharmacological strategy of overcoming the multiple
pharmacoresistance of NSCLC are formulated.
PMID- 18050680
TI - [Multiple medication resistance of apoptosis-resistant tumoral cells].
AB - A4 clone cells, received by CD95-mediated selection from the parental line of
Jurkat T-lymphoblast human leukosis, lost their ability of apoptosis as a result
of programmed cell death mechanism breakdown. The complex of their acquired
phenotypic properties meets tumor progression criteria: oxidative stress
resistance, active immune suppression, and low requirement for growth factors.
The loss of A4 cell ability of apoptosis is accompanied by acquisition of the
phenotype of multiple medication resistance to a wide spectrum of antineoplastic
chemotherapeutic drugs and cytotoxins.
PMID- 18050681
TI - [Cancer vaccinotherapy: from the experiment to clinical application].
AB - Methods of cancer immunotherapy with dendritic cell-based vaccines, activated
outside the organism, as well as techniques employing genetically modified whole
cell antitumoral vaccines, transfected by cytokine gens and stimulating the
patient's dendritic cell stimulation in vivo, have been developed in Russian
Oncological Research Center. Phase 1 clinical trials of dendritic cell vaccine in
patients with disseminated melanoma and colon cancer have been carried out. The
treatment is well-tolerated; significant adverse effects are absent. Moderate
clinical efficacy and immune activation have been noted. Preclinical and phase 1
clinical studies of genetically modified vaccines also demonstrate the safety of
this treatment and its ability to activate antitumoral immune response. Both
vaccines are promising as subjects of rationally planned clinical trials of the
efficacy of this treatment.
PMID- 18050682
TI - [Method of forensic medical examination of pathological changes caused by
undernutrition].
AB - A method of forensic medical examination of pathological changes caused by
undernutrition is proposed. The method consists of several stages including an
algorithm of detection of diagnostic characters of alimentary dystrophy and
deficiency of body weight. Use of this method will assist in observance of the
law about reliability of expert reports.
PMID- 18050683
TI - [Using some modern mathematical models of postmortem cooling of the human body
for the time of death determination].
AB - Mathematical questions of data errors of modern thermometrical models of
postmortem cooling of the human body are considered. The main diagnostic areas
used for thermometry are analyzed to minimize these data errors. The authors
propose practical recommendations to decrease data errors of determination of
prescription of death coming.
PMID- 18050684
TI - [Necessity of unified approach to on-site corpse inspection].
AB - The authors give general analysis of the work of 51-th Territorial Bureau of RF
Forensic-Medical Expert Examination for on-site corpse inspection and detailed
analysis of the work of the Moscow operative investigation group during 2006. The
corpse inspection quality and effectiveness depend on timely and complete
realization of organizing preparatory measures ensuring optimal conditions for
the inspection. Adoption of new and use of existing scientific and technical
methods make it possible to increase the reliability of conclusions regarding
prescription of death coming.
PMID- 18050685
TI - [Forensic-medical assessment of diaphysis fractures in childhood].
AB - The authors established that special structure of bones in childhood have a
significant influence on the fractures of long tubular bones. The formation of
unusual fractures shows it. Under condition of low speed of bending in the area
of fracture many microfissures are formed, which leads to structural failure of
intraosseous microcirculation and osteocytes.
PMID- 18050686
TI - [Gender determination based on osteometric characteristics of the upper and lower
extremities by discriminant analysis].
AB - The authors studied the osteological collection of the Chair of Antropology of
the Moscow State University. The results of measurement of length of long tubular
bones and articular parts of scapula and pelvis were statistically treated. The
complex of discriminant models calculated by the Fisher's method is recommended
for the sex identification. The diagnostic accuracy is 74 - 83.5% (separated
bones) and 85.7 - 95.2% (complex of bones of upper and lower extremities).
PMID- 18050687
TI - [Personality identification of unidentified corps in the morgue].
AB - In order to identify unidentified corps in morgue, new possibilities of
craniofascial identification based on use of the dental status and facial
skeleton asymmetry were studied. An original computer program was created. The
program contains all identification signs and information search base making it
possible to improve corpse authentication and identification.
PMID- 18050688
TI - [Combined use of molecular genetic technologies to identify the Russian citizens
died during tsunami in Thailand].
AB - Main stages, methodological aspects and practical results of molecular genetic
research to identify the citizens of Russia died during tsunami in Thailand are
considered.
PMID- 18050689
TI - [Analysis of elemental composition of bone tissue by the method of laser mass
spectrometry to diagnose of human medico-biological characteristics].
AB - The results of analysis of elemental composition of human bone tissue by the
method of laser mass spectrometry are published for the first time. This method
makes it possible to detect about 20 elements of bone tissue at once.
Quantitative analysis of 1 microgram/gram of an element contained in bone tissue
is sufficient to diagnose of human medico-biological characteristics by this
method.
PMID- 18050690
TI - [Determination of lithium content in human biological objects (liver, kidney) by
the method of flame photometry].
AB - The authors give a method of determination of the content of lithium in
biological objects (liver, kidney) by the method of flame photometry. It is
possible to use this method in forensic medicine in cases of acute intoxication.
PMID- 18050691
TI - [Characteristics of dipyridamol isolation from biological material].
AB - Acetone is proposed as an isolating agent for extraction of dipyridamolum from
biological material. Optimal conditions of isolating dipyridamolum from human
cadaveric liver tissue with acetone are determined and quantitative estimation of
isolation results is provided.
PMID- 18050693
TI - [The urban environment and human health].
PMID- 18050692
TI - [Modern foreign car safety systems and their forensic-medical significance].
AB - The author gives a characteristic of active and passive security systems
installed in cars of foreign production. These security systems significantly
modify the classic car trauma character decreasing frequency of occurrence and
dimensions of specific and typical injuries. A new approach based on the theory
of probability to estimate these injuries is required. The most common active and
passive security systems are described in the article; their principles of
operation and influence on the trauma character are estimated.
PMID- 18050694
TI - [Topical problems of the comprehensive hygienic characterization of urban
environmental factors and their influence on the population's health].
AB - The authors consider the topical problems of the influence of urban environmental
factors (the quality of drinking water, the pollution of the ambient and dwelling
airs and soil) on the health of residents in the urbanized areas. They show it
necessary to apply a comprehensive approach to studying and analyzing the
influence of a large number of interrelated factors on the population's health
and its vulnerable subgroups, by employing the current sensitive biomedical
studies, as well as to develop and introduce noninvasive techniques for the early
diagnosis of environment-induced diseases.
PMID- 18050695
TI - [Topical aspects of dwelling environmental hygiene and ways of their solution].
PMID- 18050696
TI - [Hyienic assessment of the formation of a total risk to the population's health
in urbanized areas].
PMID- 18050697
TI - [Complex issues of health risk management in solving the problems of sanitary-and
epidemic well-being provision at the municipal level].
PMID- 18050698
TI - [Current problems in the assessment of health risks and damages from the
influence of environmental factors].
AB - In the past decade, a great number of risk assessment projects have been
implemented; experience in using the risk-assessing methodology in sociohygienic
monitoring, in the hygienic evaluation of a possible impact of new or rebuilt
plants on human health, and in the estimation of natural and cost damages from
the influence of environmental factors has been gained. Along with the legal
aspects of using the risk-assessing methodology, there is a diversity of urgent
and unsolved problems: a discrepancy between the hygienic standards and the risk
assessing criteria established in our country, recommended by international
organizations, or used in the leading countries of the world; evaluation of
exposures; availability of data on exposures in both individual regions and
Russia as whole; development of methods for the assessment of damages and for the
comparative assessment of risks, including such indices and their value terms as
lost life years and life years with consideration of the severity of
abnormalities.
PMID- 18050699
TI - [Structural and energy changes in water and its biological activity].
AB - The authors consider the structural and energy changes occurring in water during
its treatment using physical methods and the impact of these conditions on
metabolic processes. Emphasis is laid on the necessity of putting the integral
indices, considering the magnitude of changes in the structural and energetic
conditions of water and its biological activity, into practice.
PMID- 18050700
TI - [Improving the standard and methodological basis for bacteriological monitoring
the quality of drinking water].
AB - The paper presents materials to provide a scientific rationale for the
microbiological parameters enhancing the efficiency of drinking water quality
control from the point of view of reliability in the provision of epidemic water
consumption safety (coliform bacteria being identified by the glucose index; E.
coli). Based on the criterion assessment of the significance of microbial water
contamination in relation to morbidity rates, the authors have been developed
bacteriological standards--the absence of microorganisms in a 300-ml water
sample. Evidence is provided for the epidemically significant level--more than 2
CFU of coliform bacteria in 100 ml. A rapid determination procedure has been
substantiated in the experimental studies of the effects of oxidase reagents on
the viability and biochemical properties of E. coli bacteria. In search for
current technologies for membrane filtration, experimental rationale has been
made for the possibility of using track membranes for sanitary and
bacteriological water analyses.
PMID- 18050701
TI - [Oil pollution of a number of Moscow residential and recreational areas].
AB - The soils of residential areas were studied in different Moscow districts
differing in the level of a man-caused load. Oil pollution was estimated by the
soil content of oil hydrocarbons. A correlation was established between the
levels of hydrocarbons and the sanitary ill-being of the city's soils.
PMID- 18050702
TI - [Analyzing the population's health risks from the influence of environmental
factors of various nature in vicinity of the Siberian chemical complex].
AB - The paper provides the results of calculating the risks to human health in the
cities of Seversk and Tomsk. The cancer risk from man-caused radiation is 2
orders of magnitude lower than that from air pollution with chemical carcinogens.
Air contamination of classical chemical pollutants presents a major hazard to
human health.
PMID- 18050703
TI - [Influence of the environmental hygienic state on the intellectual development of
Voronezh schoolchildren].
AB - Voronezh belongs to the areas that have a poor hygienic situation in the
environmental pollution with heavy metal compounds. The subject of the studies
was pupils from 2 Voronezh schools situated in the areas hygienically contrast in
the ambient air and soil pollution with heavy compounds. Their intellectual
development was studied. A total of 245 pupils from the 2nd, 7th, and 10th forms
were examined. The best intellectual development indices were recorded among all
age groups of children living in the hygienically favorable areas. The findings
are in agreement with the data published by Russian and foreign investigators.
PMID- 18050704
TI - [Pollutants and their entry into the air of localities].
PMID- 18050705
TI - [Comparative toxicity of photosensitizers in varying destruction].
AB - The toxicity of the photosensitizers proflavine acetate (PA) versus methylene
blue (MB) was evaluated during their varying destruction. Under the influence of
visible light, a partial (25%) transformation of the photosensitizers was shown
to be attended by their enhanced toxicity and 100% destruction of the parent
substances caused a reduction in their hazard. PA and its phototransformation
products mainly affect the antiperoxide protection system and the structural and
functional states of the liver, kidney, and duodenum. The maximum noneffective
dose is 0.002 mg/kg. The possibility of using PA for water disinfection depends
on the ratio of safe and effective concentrations. A partial (25%) MB destruction
products cause mutagenic effects; the permissible dose of the mutagen is 0.00025
mg/kg. MB is not recommended for disinfection of all types of waters.
PMID- 18050707
TI - [Relationship of the allelic types of xenobiotic detoxification genes to the
cytogenetic response to a mutagen].
PMID- 18050706
TI - [Rapid experimental rationale for a waste hazard class by cytotoxicity].
AB - The paper presents the results of experimental and analytical studies
substantiating a classification of waste hazard by the cytotoxicity indices. The
authors have established a significant correlation between the substance toxicity
values obtained in vivo and in vitro and show it possible to make an approximate
forecast of the average lethal concentration of substances by the estimates made
on cell cultures. The criteria for toxicological waste hazard, which are adequate
to those for the hazards of chemicals by DL50, are given.
PMID- 18050708
TI - [Use of morphofunctional and cytogenetic studies to analyze the influence of
environmental factors].
AB - Long-term studies of 2 (cytohistology and genetic monitoring) laboratories of the
Research Institute of Human Ecology and Environmental Hygiene, Russian Academy of
Medical Sciences, to investigate the influence of environmental factors in the
experiments made it possible to develop a noninvasive procedure for evaluating
their cytological and cytogenetic impacts on man. A concurrent study of
morphofunctional (histological, cytological) and cytogenetic parameters in both
experimental and field trials can substantially extend and refine the
interpretation of responses to environmental factors, which generates a need for
using these parameters in hygienic and epidemiological studies.
PMID- 18050709
TI - [Healthy lifestyle: medical and social approaches].
PMID- 18050710
TI - [Molecular epidemiology of tick-borne encephalitis].
AB - The review presents information on the development of studies into the molecular
epidemiology of tick-borne encephalitis (TBE) in Russia and foreign countries.
The existence of three major virus genotypes has been established by various
techniques, such as genomic fragment sequencing, molecular hybridization using
genotype-specific probes, and restriction fragment length polymorphism test. Each
of the genotypes prevails in different parts of a natural habitat; the Ural
Siberian genotype (a Siberian subtype) is most commonly encountered. The genetic
differences between the strains belonging to different genotypes are great and
comparable with differences between some mammalian flaviviruses transmitted by
ticks (viruses of a TBE complex). Further studies of the molecular epidemiology
of TBE are of importance in understanding the evolution of the causative agent,
improving the taxonomy and the classification of flavivuruses, and designing
highly effective methods for the specific diagnosis, prevention, and treatment of
the disease.
PMID- 18050711
TI - [Biological and molecular genetic characteristics of a Far-Eastern tick-borne
encephalitis virus population and its pathogenetic implication].
AB - The authors have got an idea of the structure of the tick-borne encephalitis
(TBE) virus population forming in the human body after tick bite in the south of
the Far East. A hundred and forty-five antigen-positive samples were
virologically studied in enzyme immunoassays. Human blood leukocytic virus
isolation on the first day of tick suction testified to the capacity of the virus
to adsorb and multiply just in the peripheral blood immunocompetent cells. The
bulk (as high as 70%) of the TBE virus population was non-neuroinvasive strains,
most of which could rapidly eliminate from man and albino mice. The neuroinvasive
strains (as high as 30%) caused encephalitis in albino mice and different TBE
forms (inapparent, feverish, focal). The sequences of 160 bp fragment of
glycoprotein E gene of 24 strains have shown that they belong to one Far Eastern
subtype of TVE virus.
PMID- 18050712
TI - [Development of amplification technology-based methods for tick-borne
encephalitis virus detection and genotyping].
AB - The development of methods for tick-borne encephalitis (TBE) virus genotyping,
such as determination of nucleotide sequences, analysis of restriction fragment
length polymorphism, polymerase chain reaction using genotype-specific probes
with hybridization-fluorescence detection, is under consideration. The capacities
and application area of varions typing techniques, such as TBE detection and
genotyping in the field, autoptic, and cultural materials; study of circulating
genotypes in different natural foci and in various viral vectors and carriers,
are described. Each technique was tested using a large number of strains isolated
from ill humans and ticks in different regions of the country and employed to
investigate abundant materials.
PMID- 18050713
TI - [Experience in implementing the mass immunization program against tick-borne
encephalitis in the Sverdlovsk Region].
AB - The urgency of the tick-borne encephalitis (TBE) problem in the Sverdlovsk Region
is determined by the high rates of morbidity, disability, and mortality in all
age groups, which is associated with the high activity of a natural focus of TBE.
A regional mass immunization program against TBE has been developed and put into
practice to reduce morbidity in the Sverdlovsk Region. Implementation of the
program requires the administration of all the vaccines registered and permitted
for use in the Russian Federation. Studies have shown their high epidemiological
and immunological effectiveness.
PMID- 18050714
TI - [Current approaches to emergency specific prevention of tick-borne encephalitis].
AB - Emergency specific prevention of tick-borne encephalitis (TBE) by using
homologous immunoglobulin is an important element in the package of controlling
measures against this viral natural and focal infection. There are annually a few
hundred thousand referrals for health care facilities for tick bites. Their
maximum coverage tactics via immunoglobulin prevention is medically unreal and
unjustifiable. The paper presents the results of a long-term application of
another approach based on preliminary rapid studies of the ticks taken from
victims or the blood of patients in the period of possible development of
virusemia and preventive immunoglobulin use only in the persons bitten with TBE
virus-infected ticks. Examination of the material available from more than 56
thousand referrals indicated the high epidemiological (more than 99%) and
economic effectiveness of the target administration of an immunological drug. By
taking into account the accumulated data on a wide spread of combined foci of TBE
and other tick-borne infections and the authors' own experience, it is suggested
that it is necessary to organize a comprehensive differential laboratory
diagnosis and emergency prevention against the whole complex of Ixodes tick-borne
infections.
PMID- 18050716
TI - [Nonspecific prevention of tick-borne encephalitis and other tick-borne
infections under the present conditions].
AB - The present situation associated with the use of nonspecific preventive means
against natural and focal tick-borne infections is analyzed. There is evidence
that tick populations can be controlled using pesticides. Achieved considerable
advances in enhancing the efficiency of individual protective means in humans
against tick attack and suction are reported. It is suggested that these means
may play a decisive role in the nonspecific prevention of tick-borne infections.
PMID- 18050715
TI - [Vaccines, immunoglobulins, and test systems for the prevention and diagnosis of
tick-borne encephalitis].
AB - The quality of drugs used in the Russian Federation to prevent and diagnose tick
borne encephalitis (TBE) was analyzed. The TBE vaccines made in Russian were
shown to be as effective as those manufactured in foreign countries and to have
the similar production techniques and quality indices therefore they may be
interchangeable in the population's vaccination. The specific activity of has
recently increased in terms of the content of TBE virus antibodies. In Russia, a
wide range of enzyme immunoassay systems have been designed and manufactured for
the detection of human serum (plasma) IgM and IgG antibodies to TVE virus and for
that of TBE viral antigen in the biological objects, primarily in the ticks.
PMID- 18050718
TI - [Main aspects and prospects of medical rehabilitation of urological and
andrological patients].
PMID- 18050717
TI - [Molecular genetic characteristics of the strain A/chicken/Moscow/2/2007 (H5N1)
strain from a epizootic focus of highly pathogenic influenza A among agricultural
birds in the neear-Moscow region (February 2007)].
AB - Among agricultural birds in the near-Moscow Region (February 2007), local
epizootics caused by the highly pathogenic avian influenza A/H5N1 virus seem to
be of unintended manual origin. Such a situation may be considered to be model
when the source of inoculation is elucidated in cases of potentially possible
acts of bioterrorism. Molecular genetic analysis of isolated
A/chicken/Moscow/2/2007 strain established its genetic similarity with the highly
pathogenic strains detected in the Black-and-Caspian Sea region in 2006. At the
same time, comparison of nucleotide sequences of the strain
A/chicken/Moscow/2/2007 with the strains of Qinghai-Siberian genotype (CSG) for
which the sequences of full-sized genomes are known in the international
databases revealed a significant distinction of the near-Moscow strain from the
earlier known analogues. The uniqueness of the primary structure of the PB1 gene
is shown. The paper discusses the functional value of amino acid substitutions in
the proteins of the strain A/chicken/Moscow/2/2007 and in other variants of CSG
of the subtype H5N1.
PMID- 18050719
TI - [The state of transmitter systems of the peripheral blood in the norm and in
patients with exertion angina during laser reflexotherapy].
PMID- 18050720
TI - [Cardiohemodynamic effects of the ozone therapy in patients with essential
hypertension].
PMID- 18050721
TI - [Intermittent hypoxic training in the sanatorium and spa treatment for patients
with chronic obstructive pulmonary disease].
AB - The combined examination and treatment in the course of normobaric interval
hypoxic training of 550 patients with chronic obstructive pulmonary disease was
performed. Characteristics of change of state of functional respiratory system,
immunological reactivity, electroencephalography after adaptation to hypoxia in
the course of interval hypoxic training were determined. Revealed improvement of
oxygen supply on all stages of its transfer and increase of oxygen consumption in
tissues resulted in normalization of electrobiological activity of cerebral
cortex, correction of immunological reactivity. High efficiency of interval
hypoxic training in the combined treatment for patients with chronic obstructive
pulmonary disease was proved.
PMID- 18050722
TI - [Infitatherapy of children with bronchial asthma].
AB - Use of nonmedicamental methods of treatment assists to improve the control of
children's bronchial asthma clinical course. Pulsed low-frequency electromagnetic
field regulates the state of central and vegetative nervous system and improves
psychological status of child. Inphytotherapy has bronchial spasmolytic and
immune correction effects.
PMID- 18050723
TI - [Postoperative rehabilitation of patients with dyshormonal hyperplasia of the
prostate].
PMID- 18050724
TI - [Successive postoperative rehabilitation of patients after reconstructive plastic
surgery in the connection with tube-peritoneal sterility].
PMID- 18050725
TI - [Biorhythms to improve efficiency of the treatment of patients with chronic
opisthorchosis].
AB - To make the treatment of bile-excreting dysfunctions more effective still remains
an actual problem of clinical medicine. Use of chronobiological approach in the
treatment is possible to resolve that problem. For any system including bile
excreting one, its own biorhythms are typical. Lesion of these systems causes
changes of the biorhythms. Use of parameters of biorhythms of bile-excreting
system activity expects an individual or group chronobiological approach in the
treatment of patients with chronic opisthorchosis. The combined treatment of
opisthorchosis with the use of such approach makes possible to improve efficiency
of the treatment and to maintain received effect up to 6 months. It indicates
increase the terms of remission and prevents development of early recidivation.
PMID- 18050726
TI - [Changes in cerebral hemodynamics in patients with posterior cervical sympathetic
syndrome under the manual therapy in combination with alternating magnetic
field].
PMID- 18050727
TI - [Training in a diabetes school and physiotherapy in the treatment of patients
with type II diabetes mellitus].
PMID- 18050729
TI - [All Russian Forum "Zdravnitsa-2007". Ufa, Bashkortostan Republic, May 15-18,
2007].
PMID- 18050730
TI - [Advances in the study of expression and regulation of P-glycoprotein].
AB - Resistance to the cytotoxic actions of antineoplastic drugs remains a barrier to
the establishment of curative chemotherapy regimens for cancer. Over-expression
of P-glycoprotein (P-gp), encoded by the MDR1 gene is the major molecular
mechanism enhancing efflux pump for various anticancer agents, hence caused MDR.
Transcription factor, DNA methylation, histone acetylation/deacetylation,
phosphorylation and glycosylation and MDR1 gene polymorphisms play pivotal role
in regulation of P-glycoprotein, and may become new therapeutic targets. This
paper summarized the advances of studies on expression and regulation of P
glycoprotein.
PMID- 18050731
TI - [Advances in the study of ginsenoside compound K].
AB - Ginsenoside compound K is the main metabolite of protopanaxadiol type ginseng
saponins in intestine after oral administration and also is the major form of
protopanaxadiol saponins absorbed to the body. Recently, ginsenoside compound K
has received increasing attention, because in vivo or in vitro various biological
actions of anticancer, hepatoprotective and anti-inflammatory etc, have shown to
be mediated by this metabolite. In this paper, the studies of preparation,
bioactivities, absorption, distribution and pharmacokinetics of compound K were
reviewed in detail.
PMID- 18050732
TI - [Recent advances in the study of dendrimers-based drug delivery systems].
AB - Dendrimers are hyperbranched, monodisperse and three dimensional macromolecules,
which consist of an apolar core and polar shell have been referred to as
"unimolecular micelles". This paper briefly describes the development and
structural characteristics of dendrimers and also explains the feature of
dendrimers as drug carrier and the dendrimer-drug interactions in details.
Recently, dendrimers, which have attracted increasing attention for their
applications in many fields such as drug targeted delivery systems and gene
transfection, are becoming potential novel carriers.
PMID- 18050733
TI - Effects of Ginkgo biloba extract on expressions of IL-1beta, TNF-alpha, and IL-10
in U937 foam cells.
AB - This study is to investigate the protein and mRNA expressions of pro-inflammatory
and anti-inflammatory cytokines in U937 foam cells and effects of Ginkgo biloba
extract (GbE) on the cytokines. U937 cells were cultured with different
concentrations of GbE (0.1, 1, and 10 microg x L(-1)), and stimulated by 100 mg x
L(-1) oxidized low density lipoprotein (ox-LDL) for 24 h. The expressions of
interleukin-1beta (IL-1beta), tumor necrosis factor-alpha (TNF-alpha) and
interleukin-10 (IL-10) in culture solution were detected by enzyme-linked
immunosorbant assay (ELISA) and reverse transcriptase polymerase chain reaction
(RT-PCR). The results showed that incubated with 100 mg x L(-1) ox-LDL for 24 h,
the U937 cells became foam cells, the protein or mRNA expressions of IL-1beta,
TNF-alpha, IL-10, and its receptor IL-10R in U937 foam cells were higher markedly
than those in normal U937 cells. When the cells were pretreated with GbE (0.1, 1,
and 10 microg x L(-1)), the increases of IL-1beta and TNF-alpha in U937 foam
cells were remarkably inhibited, but IL-10 expression increased greatly.
Especially when cells were pretreated with 10 microg x L(-1) GbE, the protein and
mRNA expressions of IL-1beta and TNF-alpha were markedly lower than those in U937
foam cells. The protein expression of IL-10 and mRNA expressions of IL-10 and its
receptor IL-10R were markedly higher than those in U937 foam cells. GbE inhibited
production of pro-inflammatory cytokines IL-1beta and TNF-alpha, but up-regulated
the production of anti-inflammatory cytokine IL-10 and its receptor IL-10R in
U937 foam cells, which might be related with its anti-atherosclerotic actions.
PMID- 18050734
TI - [Protective effect of (-) clausenamide against neurotoxicity induced by okadaic
acid and beta-amyloid peptide25-35].
AB - This study is to investigate the protective effect of (-) clausenamide against
the neurotoxicity of okadaic acid in SH-SY5Y cell line, and injection beta
amyloid peptide25-35 (Abeta25-35) to the cerebral ventricle in ovariectomy (OVX)
rats. MTT assay, LDH assay, and Hoechst 33258 staining were used to detect the
effect of (-) clausenamide on the toxicity of okadaic acid in SH-SY5Y cell line.
The animal model was induced by ovariectomized and injection of Abeta25-35 in the
cerebroventricle of rats. The effect of (-) clausenamide on learning and memory
deficiency was observed by step-through test. Electron microscope, Nissl body
staining, and HE staining were used to examine the morphological changes in
hippocampus and cerebral cortex neurons. Pretreatment of (-) clausenamide and
LiCl decreased the rate of cell death from MTT, LDH release, and apoptosis from
Hoechst 33258 staining in SH-SY5Y cell line. The step-through tests showed (-)
clausenamide could improve the ability of learning and memory. The Nissl body
staining and HE staining experiments also showed the neuroprotective effects of (
) clausenamide on the neurons of hippocampus and cerebral cortex. (-)
Clausenamide has the protective effects against the neurotoxicity induced by
okadaic acid and Abeta25-35.
PMID- 18050735
TI - [Expression and bioactivity of the cloned staphylococcal enterotoxin O].
AB - This study is to clone the gene of staphylococcal enterotoxins O, obtain
recombinant protein (rSEO) and investigate its activity on mice lymphocyte.
Staphylococcus aureus O gene is cloned into GST gene fusion vector pGEX-4T-1. The
resultant plasmid pGEX-4T-SEO was used to transform E. coLi BL21, where the GST
SEO fusion protein was expressed efficiently. Then SEO was purified by
Glutathione Sepharose 4B affinity column and digested with thrombin. The
bioactivity of SEO was analyzed by MTT assay on mice lymphocyte and tumor cells.
The nucleotide sequence was confirmed to code for the protein correctly, and
soluble SEO was expressed efficiently in E. coli BL21 with pGEX-4T-SEO. The
protein purified by affinity chromatography resulted to be one single band by SDS
PAGE detection. The MTT assay of the purified rSEO demonstrated that its
abilities of stimulating T cells and inhibiting the proliferation of K562, K562
ADM and B16 cells were equivalent to that of SEC in vitro. The expression plasmid
pGEX-4T-SEO was constructed and the recombinant superantigen was expressed
successfully, which may provide a foundation for the further research of the
anticancer activity of SEO.
PMID- 18050736
TI - [Reversal effect and mechanism of arsenic trioxide on multidrug resistance of
gastric carcinoma cells SGC7901].
AB - The purpose of this study is to investigate the reversal effect and its mechanism
of arsenic trioxide (As2O3) on multidrug resistance of gastric carcinoma cells.
The concentration of vincristine (VCR) increased gradually to induce the drug
resistance of gastric carcinoma cell SGC7901. MTT assay was used to determine the
lethal effect of anticarcinogens on tumor cells and Western blotting assay was
applied to determine the expression of P-glucoprotein (P-gp) and glutathione S
transferase (GST-s) in tumor cells. As a result, the resistance of SGC7901/VCR
cells to VCR, fluorouracil and epirubicin was 16.56, 2.69 and 13.05 times,
respectively, more than that of SGC7901 cells. After 24 h precondition with
As2O3, RI of vincristine, fluorouracil and epirubicin decreased significantly (P
< 0.05). Expression of P-gp and GST-s in resting SGC7901/VCR cells was
significantly higher than that in carcinogen-sensitive SGC7901 cells. As2O3
decreased the expression of P-gp and GST-s in SGC7901/VCR cells significantly,
while it showed no significant effect on carcinogen-sensitive SGC7901 cells. The
result suggested that As2O3 could partly reverse drug resistance of SGC7901/VCR
cells by probably the mechanism of decreasing the expression of P-gp and GST-s.
PMID- 18050737
TI - [Protective effect against monoammonium glycyrrhizinate on lipopolysaccharide
induced acute lung injury in mice].
AB - The aim of this study is to investigate the effect of monoammonium
glycyrrhizinate (MAG) on lipopolysaccharide (LPS) -induced acute lung injury
(ALI) and its anti-inflammatory mechanism in mice. All male ICR mice were
randomly divided into six groups: LPS group; control group; MAG 3, 10, and 30 mg
x kg(-1) groups; and dexamethasone (DXM) 5 mg x kg(-1) group. Lung dry weight and
wet weight percentage and permeability were detected. Neutrophil infiltration in
bronchoalveolar lavage fluid (BALF) and lung tissues was detected by cell count
and morphological analysis. The levels of TNF-alpha and IL-10 in lung were
detected by ELISA. MPO activity was determined followed the specification. MAG
induced a decrease in lung wet weight/dry weight ratio, and significantly
decreased in total leucocyte number and neutrophil percentage in the BALF, and
MPO activity of lung in a dose-dependent manner. Importantly, It could up
regulate the IL-10 level and down-regulate the TNF-alpha level in the lung tissue
of ALI mice. These results suggested that the protective effect of MAG in mice on
LPS induced ALI was associated with the regulation of TNF-alpha/IL-10 balance,
and MAG maybe a potentially treatment for ALI/ARDS.
PMID- 18050738
TI - Two novel compounds from Ardisia punctata Lindl.
AB - To study the chemical constituents of Ardisia punctata, compounds were isolated
with a combination of multi-chromatography. Their structures were determined on
the basis of spectral analysis and comparison to those of the known compounds. A
1,4-benzoquinone derivative and a alkylphenol were isolated from the petroleum
ether extract of the roots of Ardisia punctata. Their structures were elucidated
as 2-tridecyl-3-[(2-tridecyl-4-acetoxy-6-methoxy)-phenoxyl] -6-methoxy-1,4
benzoquinone (1) and 2-methoxy-4-hydroxy-6-tridecyl-phenyl acetate (2). The two
compounds are both new.
PMID- 18050739
TI - [A new chemical component of Pueraria lobata (Willd.) Ohwi].
AB - Pueraria lobata (Willd.) Ohwi. was extracted for two times with 70% ethanol and
the 70% ethanol-extracts was condensed. Various column chromatography with AB-8
macroreticular resin, Toyopearl HW-40, pharmadex LH-20, and silica gel were
employed for the isolation and purification of the 70% ethanol-extracts from
Pueraria lobata (Willd.) Ohwi. Five compounds were isolated and their structures
were identified by physiochemical properties and spectral analysis (UV, IR, MS,
1H NMR, 13C NMR, HMQC, HMBC, etc.): (4R)-3-[ 2-hydroxy-4-methoxyphenyl]-4-(4-beta
D-glucopyranosyloxybenzyl) but-2-en-4-olide (1), 4', 8-dimethoxyl-7-O-beta-D
glucopyranosyl isoflavone (2), eicosanoic acid (3), hexadecanoic acid (4),
tetracosanoid acid-2,3-dihydroxypropyl ester (5). Compound 1 was a new compound,
and compounds 2, 3, 4 were isolated from this plant for the first time.
PMID- 18050740
TI - [Fingerprint of volatile oil of Atractylodes lancea by GC-MS].
AB - To study the fingerprint of the volatile oil of Atractylodes lancea (Thunb.) DC.,
and to offer the characteristic data for the quality evaluation, GC-MS analysis
was performed for 17 samples of different areas used as Atractylodes lancea
(Thunb.) DC. Nine kinds of same components were selected. TIC profiles were
evaluated by "Computer Aided Similarity Calculation". The characteristic peaks in
chromatograms were identified by comparing mass data with literatures.
Hierarchical clustering analysis was performed by SPSS based on the relative peak
area (RPA) of identified peak to atractydin in 17 samples. The mutual mode
fingerprint plots of genuine Atractylodes lancea (Thunb.) DC. have been
established, the matching of active components was characteristic that
atractylon, hinesol, beta-eudesmol, atractydin as (0.89 - 1.12): (0.11 - 0.15) :
(0.48 - 0.61) : 1. The difference of resemblance of not genuine samples with
genuine samples was remarkable. Two categorizations were clustered. Atractylodes
lancea (Thunb.) DC. from genuine and Tangshan and Nanshan, Jiangsu Prov. were in
a group, while those from Anhui and Hubei Prov. were in another group. The
characteristic fingerprint of genuine Atractylodes lancea (Thunb.) DC. combined
with the study of the matching of active components for the quality control and
the resemblance calculation of fingerprints and SPSS hierarchical clustering
analysis provided a new analytic method for the quality evaluation and
discrimination of Atractylodes lancea (Thunb.) DC.
PMID- 18050741
TI - [Determination of curcumol in plasma by HPLC-MS/MS method and its
pharmacokinetics in Beagle dogs].
AB - To establish a high performance liquid chromatography (HPLC) coupled with tandem
mass spectrometry quantitative detection method for the determination of
curcumol, the main ingredient of zedoary turmeric oil fat emulsion, and
investigate its pharmacokinetics in Beagle dogs, nine healthy Beagle dogs were
divided into three groups, and blood samples were collected at scheduled time
points after intravenous injection of 7.5, 10 and 12.5 mg x kg(-1) zedoary
turmeric oil fat emulsion. The concentrations of curcumol were determined and
pharmacokinetics was calculated. A good linearity was obtained from 0.25 to 100
ng x mL(-1) in plasma. The relative recoveries were from 91.33% to 103.17%, and
the absolute recoveries were from 31.61% to 37.20%. The intra-day and inter-day
variances (RSD) were < 15%. The main pharmacokinetic parameters of curcumol after
intravenous injection of 7.5, 10 and 12.5 mg x kg(-1) zedoary turmeric oil fat
emulsion were as follows, T1/2 : (2.0 +/- 0.4), (1.7 +/- 0.2) and (2.3 +/- 0.8)
h, AUC(0-infinity): (15.1 +/- 2.7), (18.3 +/- 2.0) and (29.5 +/- 4.0) ng x mL(-1)
x h; MRT: (0.9 +/- 0.1), (0.8 +/- 0.2) and (0.8 +/- 0.1) h, CL: (21.9 +/- 4.0),
(24.9 +/- 6.0) and (18.4 +/- 1.2) L x h(-1) x kg; Vd : (65.4 +/- 26.5), (62.0 +/-
13.4) and (61.2 +/- 19.8) L x kg(-1), respectively. The developed method was
rapid, highly sensitive and specific and could be used in curcumol
pharmacokinetic studies in vivo. A three-compartment model was best fit to the
plasma concentration--time curves obtained in Beagle dogs and the plasma AUC was
increased proportionally with doses.
PMID- 18050742
TI - [Determination of artemisinin, arteannuin B and artemisinic acid in Herba
Artemisiae Annuae by HPLC-UV-ELSD].
AB - To establish an HPLC-UV-ELSD method for the determination of the content of
artemisinin, arteannuin B and artemisinic acid in Herba Artemisiae Annuae. The
analytical column was Nucleodur RP-C18 (250 mm x 4.6 mm, 5 microm ID). The mobile
phase was acetonirile-0.1% acetic acid (50: 50) and the flow rate was 1.0 mL x
min(-1) with a UV detector for artemisinin, the detection wavelength at 209 nm,
and the evaporative light-scattering detector (ELSD) for arteannuin B and
artemisinic acid, the drift tube temperature: 50 degrees C, the nitrogen flow
rate 30 psi and the gain was 50. The resolution of artemisinin, arteannuin B and
artemisinic acid was good. The linear calibration curves were obtained over the
range of 0.52 - 2.6 microg for artemisinin (r = 0.999 4, n = 5), 0.022 - 4.4
microg for artemisinin B (r = 0.999 9, n = 5) and 0.203 - 8.12 microg for
artemisinic acid (r = 0.999 8, n = 5), separately. The mean recoveries of the
three compounds were 99.45%, 102.37% and 101.10% with RSD of 2.3%, 1.7% and
0.79%, respectively. This method is simple, rapid, accurate and suitable for the
determination of the content of the three compounds in the herbs.
PMID- 18050743
TI - [Cationic liposomes loaded with doxorubicin targeting to the tumor neovasculature
in vitro].
AB - This study was conducted to investigate the in vitro characteristics of cationic
liposomes composed of 3beta-[N-[2-(N', N'-dimethylamino) ethyl] carbamoyl]
cholesterol (DC-Chol) and dipalmitoylphosphatidylcholine loaded with doxorubicin
(DXR), and to provide useful information for the in vivo tumor vascular targeting
of cationic liposomes. Cationic liposomes composed of different amounts of DC
Chol (0 mol%, 10 mol%, 25 mol%, 50 mol%) were loaded with the conventional anti
cancer drug doxorubicin. Their size, zeta potential, encapsulation efficiency,
and DXR release in vitro were investigated. Moreover, their uptake by rat aortic
endothelial cells (RAECs) was observed at 15 min, 30 min, 1 h, and 4 h of
incubation. FITC-Dextran was i.v. injected to the H22 tumor-bearing KM mice to
stain the neovasculature. The characteristics of resulting DXR-loaded cationic
liposomes were in stable characteristics with particle sizes around 100 - 200 nm
and capsulation efficiency greater than 90%. Increased cationic lipid led to
enhanced zeta potential, and meanwhile it also resulted in quick release of the
loaded drug, indicating increased slits or pores on the membrane upon the
addition of DC-Chol. RAECs could more avidly take up DXR-loaded cationic
liposomes when the content of DC-Chol increased in the liposomes, and DXR were
quickly released in the cytoplasm and transported to the nuclei. The
neovasculature stained by FITC-Dextran was clearly observed. DXR-cationic
liposomes composed of DC-Chol could be used for tumor vascular targeting in vivo
for further study.
PMID- 18050744
TI - [The inhibitory effect of pluronic on P-glycoprotein drug pump].
AB - To investigate the inhibitory effect of Pluronic on P-glycoprotein (P-gp) drug
efflux pump, Caco-2 cells and animal models were established to study the
influence of Pluronic on celiprolol transport across Caco-2 cell monolayer and
intestinal mucous membrane with verapamil set as a positive control. Drug
concentration was measured by HPLC and the apparent permeability coefficient
(P(app)), absorption rate constant (k(a)) and the effective permeability
coefficient (P(eff)) were calculated. P(app) of basolateral to apical side and
apical to basolateral side was (2.10 +/- 0.13) x 10(-6) and (0.333 +/- 0.018) x
10(-6) cm x s(-1), respectively. Transports of celiprolol across Caco-2 cell
monolayer were influenced by both verapamil and Pluronic. The absorption
constants (k(a)) of celiprolol at duodenum, jejunum, ileum, and colon were (0.09
+/- 0.03), (0.14 +/- 0.04), (0.11 +/- 0.03) and (0.05 +/- 0.02) h(-1), k(a) of
celiprolol in verapamil group were (0.14 +/- 0.03), (0.24 +/- 0.02), (0.25 +/-
0.03) and (0.23 +/- 0.02) h(-1), and k(a) of celiprolol in Pluronic group were
(0.13 +/- 0.02), (0.22 +/- 0.02), (0.22 +/- 0.03) and (0.20 +/- 0.03) h(-1),
respectively. Pluronic showed significant effect on inhibiting P-gp of Caco-2
cell and intestinal mucosa in rats.
PMID- 18050745
TI - [Increasing the content of active constituents in Polygonum cuspidatum hairy root
by gene transformation technology].
AB - To increase the content of active constituent--RE and PD of Polygonum cuspidatum
hairy root, through Ri-mediated gene transformation technology, modified high
salt low pH method was used to distill genome DNA of grapevine (Vitis raparia).
Primer was designed according to sequence of Genebank (AF128861). Through PCR
amplification obtain RS gene sequence was obtained. Binary vector pCAMBIA1300-35S
RS was constructed. Frost thawing method was used to transform Agrobacterium
rhizogenes ATCC11325. Scratched aseptic seedling leaf of Polygonum cuspidatum was
contaminated subsequently. DNA conformity and mRNA expression of RS gene were
investigated by PCR and RT-PCR respectively. RE and PD in transgenic hairy root
were determined by HPLC. For the first time successfully inducement acquires
transformed RS gene hairy root of Polygonum cuspidatum. Content of active
constituents--RE and PD were 17 - 187 microg x g(-1) DW and 836 - 1 970 microg x
g(-1) DW, respectively, the non-transgenic hairy root was 0 - 130 microg x g(-1)
DW and 190 - 320 microg x g(-1) DW. In the different root selected, the content
of PD was much higher than that in non-transformed hairy roots of Polygonum
cuspidatum, the highest content is 5 times, but the content of RE has not
increased apparently.
PMID- 18050746
TI - [Optimization of expression condition of SARS-CoV PUPs genes in E. coli].
AB - According to previous studies of SARS-CoV (Severe acute respiratory syndrome
coronavirus), a variety of novel accessory genes have been identified in SARS-CoV
genome, which were interspersed the structural genes of SARS-CoV and considered
to be unique to the SARS-CoV genome. The predicted unknown proteins (PUPs)
encoded by the accessory genes might play important roles in the SARS-CoV
infection. Three of those genes, called X4, X5 and ORF10, were synthesized and
introduced into E. coli to induce expression. SDS-PAGE and Western blotting
revealed that the three genes have been expressed in E. coli. The induction of
SARS PUPs genes expression in different temperatures, induction times, IPTG
concentrations and A values of E. coli cells were performed. The optimal
induction condition of SARS-CoV PUPs genes was characterized according to the
orthorgonal analysis. The ratio of recombinant proteins of PUPs to total proteins
is as follows: X4, 20%; X5, 27.8%; ORF10, 68.5% under the optimum conditions.
PMID- 18050747
TI - ANA BACKGROUNDERS: influenza season 2007-2008.
PMID- 18050748
TI - Patients generally love nurses because nurses help them and advocate for them.
PMID- 18050749
TI - [Introduction to the development and evolution of Spanish Urology].
PMID- 18050750
TI - [The meaning of erection, genitality, and other representations of urologic
topics in the paleolithic imaginary].
AB - The history of urology starts with written documents making express reference to
procedures, practices and descriptions of morbid processes related with the male
genitourinary tract. Oddly, the most recent prehistoric period, the superior
Paleolithic (from approximately 40.000 years to 12.000 years ago; the longest
period since our species entered the history of humanity) also has graphic
documents expressing how the human being understood the physiologic phenomena and
how he observed the pathologic processes of this organism. The representations
with genitality expressions enable us to understand the meaning of erection from
the Paleolithic perspective, and even the possible existence of a culture based
on preputial retraction or rituals of circumcision. Several urologic disorders
such us phimosis, paraphimosis, discharge, priapism, and even scrotal mass appear
represented at that time and constitute the first sign of knowledge of what can
be called primitive urologic knowledge.
PMID- 18050751
TI - [Urological diseases in the works of Abulcasis].
AB - OBJECTIVES: To perform a study on the surgical work of Abulcasis in the field of
urology, to know the surgical tools and different techniques used, as well as the
innovations applied to solve some features of urological diseases. METHODS: The
part on urology from the book XXX by Tasrif, the edition from Strasbourg in 1532
was reviewed and translated from Latin. RESULTS: The author does not refer much
to the clinical features of the various pathologies of the genitourinary
apparatus; he focuses instead on the surgical treatment of them. The author
usually used the "cautery" mainly to control hemorrhage in various operations.
Some of these surgical practices are being used nowadays. CONCLUSIONS: Abulcasis
was the first doctor born in Spain that studied the surgical treatment of
urological diseases and performed a graphic study on the tools used. He
introduced technical innovations on different operations and described for the
first time the vesical lithotomy on women, and vesical and urethral lithotripsy.
PMID- 18050752
TI - [Male aging in the Middle Ages: commentaries on the book by Arnau de Vilanova
"Conservatio juventute preservatio senectute"].
AB - In the Middle Ages medical texts the notes about personal hygiene are scarce and
they are dedicated to the Kings or the aristocracy. In his work Regimen sanitatis
ad inclytum regen Aragorum, Amaldo de Vilanova underlines six things that are
necessary for cleanliness, among which he includes his well-known proposition
Conservatio juventute preservatio senectute. We compared his text with those from
other authors with references to the issue and, once examined, Vilanova's work
stands out. Doctors in the middle ages were already interested in aging and
propositions considered today as modern are found described in various works from
that period.
PMID- 18050753
TI - [The intervention of Dr Francisco Diaz in the inquisitorial process against
Elena/o de Cespedes, a transsexual surgeon found guilty by the Inquistion in
Toledo in 1587].
AB - OBJECTIVES: To make known, comprehensively, an almost unknown episode in the life
of Dr. Francisco Diaz (1527-1590), surgeon of the king Philip II, and author of
the first urology treaty in the history of medicine. To our knowledge, to date
there were few references about the participation that, as an expert, Francisco
Diaz had to have in the inquisitorial process against Elena de Cespedes, a
presumed hermaphrodite accused by the Inquisition because being a woman married
another one pretending to be a man. The trial was carried out in Toledo in 1587
and had great impact in that time, because the accused, dressing with male
clothes and usurping the prerogatives of a man, had gotten by fraud titles and
favours which were forbidden for women, the title of surgeon among them. Except
for the reference by Folch Jou and Burshatin, both short and incomplete, no other
author or biographer of the famous surgeon had cited this episode of his life,
which to our judgment could mean a great damage to his reputation. METHODS: We
reviewed the works by the two aforementioned authors, all the works and
biographies about Dr. Francisco Diaz that we could found, and microfilmed and
transcript the whole bundle 234, expedient 24, from the section Inquisition at
the National Historical Archive in Madrid, corresponding to Elena de Cespedes
(alias Eleno) (> 500 pages) to obtain the greatest amount of data about the
accused and the performance of Dr. Francisco Diaz. RESULTS AND CONCLUSIONS:
Francisco Diaz was requested, as an expert, by the Vicar of Madrid to perform the
examination of the genitourinary organs to give or not marriage license to that
woman saying she was a man. In his report in the year 1586, surprisingly the
urologist declared that the petitioner was a man. As it would be demonstrated
during the trial, the accused, who alleged being hermaphrodite in her defense,
had been able to deceive the expert with her tricks altering her genital
morphology. Thanks to her surgical knowledge she had mutilated herself surgically
closing her vagina and placed a device to simulate she was a male. At the end,
after the opinion of the counter experts of the Inquisition Francisco Diaz had to
retract, confirmed that the accused was a female, and accused her of witchcraft
to save his responsibility. Nevertheless, in the work we conclude that the
accused was a male transsexual, which, in part, would excuse the urologist's
error 400 years later.
PMID- 18050754
TI - [Pedro Martin Martinez, professor of anatomy at the General Hospital of Madrid].
AB - OBJECTIVES: The study of the anatomical works written by Martin Martinez and his
relationship with urology. Also, the appreciation of his open scientific
mentality and scepticism in a historic environment imbued of a scholastic spirit
and dogmatism. METHODS: Several Martin Martinez's works were reviewed, mainly the
treaty Complete human anatomy, entire text obtained from the electronic page of
the Complutense University library, and also the words from other authors of his
time. RESULTS: The medical and philosophical personality of Martin Martinez and
his influence in the medical knowledge in the Spanish Court during the first
quarter of the 18th century are ascertained. The importance he had as Professor
of anatomy in the functioning and development of the Madrid's Anatomical
Amphitheater in the General Hospital and his positive repercussion on the
training of students and surgeons of that time and posterior years is
appreciated. Writing his works in Spanish contributed to it. CONCLUSIONS:
Although he cannot be considered an outstanding scientific personality in
comparison with authors from other European countries, he must be recognized for
his great merit with his open mind and his labour against dogmatism in front of
the blocked-in-servility medical mentality, and also in the study of classic
authors from previous centuries.
PMID- 18050755
TI - [Urology before illustration. From the Urology of the Royal College of Cadiz to
that of the "veneranda" gathering].
AB - The study of the urological activity in the Andalusian occident is contained in
the printed and handwritten "Observations" of the records of the Royal Society of
Medicine of Seville and the Royal College of Surgery of Cadiz. They encompass the
period from 1693 to the first third of the 18th century. By the first of October
1701, the "Veneranda" gathering consolidates and Philip V gives the "royal
warrant". The "Royal Society of Medicine and Other Sciences of Seville" starts
its medical-surgical path. It should be reminded that all the Andalusian surgery
and specially the one from Cadiz (through the Royal College of Surgeons) was
present in the Royal Society. Ordonez de la Barrera, Sanchez Bernal, Fray
Ambrosio de Guibeville, Juan Lacombe, Pedro Virgili and many others were founders
of this extraordinary event. Surgical training and, by extension, urological
training had their root and basement in the anatomical amphitheaters (Seville
1731 and Cadiz 1728), which were considered ungodly by the Church. Jose Celestino
Mutis (1750-53) and Pedro Fernandez Castilla (1741) excluded the university from
this new movement. There was and intense relationship between Navy surgeons and
the Royal Society, being members since its foundation: Guibeville (1719); Sanchez
Bernal (1719); Gregorio Arias (1729); Gaspar de Pellicer (1729); Lacombe (1730);
Fernandez Castilla (1741); Calero (1789). The main protagonist was Luis Montero,
real paradigm with projection to the next century, having a neat French influence
altogether with Ramos, both of them being Beaumond's alumni (an anatomist of
recognized prestige).
PMID- 18050756
TI - [Knowledge of the urogenital diseases in the work of Gaspar Casal, "natural and
medical history of the Principality of Asturias" (1762)].
AB - OBJECTIVES: Gaspar Casal (Gerona, 1680, Madrid, 1759) made most of his medical
work in Oviedo (Asturias, Spain), where he lived for thirty-four years, before
his return to Madrid as a doctor for the Royal House. Fruits of this work is the
book "Natural and medical history of the Principality of Asturias" (Madrid,
1762), considered the best exponent of the Spanish medicine of the 18th century,
and where the "disease of the rose", known later as pellagra or hypovitaminosis
B, was first described in. METHODS: Study of the life of Gaspar Casal and
analysis of "Natural and medical history of the Principality of Asturias",
speculating on the knowledge about nephro-urologic and external genitalia
diseases that can be deduced from the text. RESULTS: Casal knows and treats
kidney lithiasis, relating it either to joint diseases and gout, or to dietetic
excess and sedentary life in some of his patients. Other diseases coursing with
poliuria and oedemas, are compatible with the nowadays diagnosis of infectious or
degenerative nephritis. He rarely uses a bladder catheter for cases of stranguria
and anuria, probably including some patients with anuria and others with urinary
retention in the term "renal ischuria or high suppression", although he also uses
the term "vesical ischuria" for the last ones. He treats the symptoms disuria
stranguria and haematuria, with empiric therapy, and, as it may be deduced from
the text, some local surgeons didn't use or know to alleviate those symptoms with
urethral catheterisation. He attends an epidemics of mumps, with orchitis in male
patients, that were treated with bloodletting, although he stood against the
misuse of phlebotomy in several diseases. Only occasionally he orders surgical
treatment for several testis diseases. CONCLUSIONS: "Natural and medical history
of the Principality of Asturias" reflects the spectrum of diseases that conforms
the day-to-day working schedule of a Spanish family doctor in the beginning of
the 18th century, that is to say: diseases resulting from dietary shortage,
infective, parasite, degenerative and vascular-cerebral diseases in older
patients. From the nephro-urologic point of view, it is very interesting to read
about the knowledge on stone diseases and lower urinary tract symptoms, that were
treated as recommended by the classic authors, with physical therapy and empiric
formulas, rarely with bladder catheterization. To a lesser degree, the results of
the medical treatment of orchitis and the surgical treatment of some testis
diseases are also depicted.
PMID- 18050757
TI - [Goya and his lower urinary tract symptoms in Bordeaux, 1825].
AB - The famous painter Francisco de Goya y Lucientes (1746-1828) suffered during his
life one or several diseases, the nature of which has not been determined with
certainty. The partially documented morbid episodes that happened during the
years 1792-1793 in Seville and Cadiz, 1819 in Madrid, and 1825 in Bordeaux could
be related. In the latter one, the urological signs and symptoms the
distinguished patient presented have been demonstrated. The objective of this
paper is to propose the hypothesis of neurosyphilis' dorsal tabes responsible for
the voiding disorders he presented in Bordeaux in the spring of 1825, having as a
resource Goya's "diplomatario", with the letters and documents that have been
collected and made known to date, with the purpose of using an inductive
deductive method for their study and interpretation, setting an interrelation
between these valuable sources with both the medical knowledge of the period and
the current, particularly in urological features, and reviewing the available
bibliography on that topic, with the natural reserve about giving a retrospective
diagnosis which cannot be completely true due to its inherent characteristics. In
the same way, and as a complement, we include a brief review of some
circumstances and characters that surrounded the artist in France to help to
incardinate and better understand the personality of Goya, already old and sick
at that time.
PMID- 18050758
TI - [Federico Rubio y Gali, "Prince of Surgery", and the Urology in Seville in the
19th century].
AB - The present work describes the figure of Dr. Federico Rubio y Gali, pioneer and
promoter of Spanish Medicine during the 19th century, as well as the repercussion
of his scientific talent in the development of modern urology. It is also
mentioned the creation of the Free School of Medicine and Surgery of Seville, as
concretion of his educational vocation and contribution to the teaching of
urological knowledge.
PMID- 18050759
TI - [The start of urological teaching in the Spanish University. Dr. Alberto Suarez
de Mendoza].
AB - OBJECTIVES: We present a biographical sketch of Dr. Suarez de Mendoza, one of the
first urologists trained as so in the Necker Hospital in Paris with Dr. Guyon,
who opened the field to the creation of this speciality in Spain, and first
official professor of the subject of in the Faculty of Medicine of Madrid.
METHODS: We reviewed his academic expedient in the National Historical Archive
and search for data about his presence in Spain in the Faculty of Medicine and
Medical College of Madrid. From the Royal Decrees we obtained information about
the Free School of Medicine, and the creation of medical specialities in the
University study plans; finally, we analyzed his written works.
RESULTS/CONCLUSIONS: We consider Dr. Suarez de Mendoza one of the personalities
giving entity to Urology in our country, for his education, for the amplitude of
his knowledge, and for his wide experience, as professor of the subject in the
Spanish University, as author of a great number of published works, and as
inventor of his contributions to the development of anesthesia and urology. His
treaty the first in Spanish covering in detail the innovations in urological
examination methods, enables us to know and value the progressive advance and
evolution of knowledge in urology.
PMID- 18050760
TI - [The teaching of Dr. Francisco Romero Aguirre].
AB - OBJECTIVES: After the death of Professor Francisco Romero Aguirre (Zaragoza
6/7/1918-6/28/2006) we want to make known his great teaching vocation, so that we
covered his link with University from the start of his studies to his
professorship as first professor in urology in the Spanish University (1961), and
his work at the chairmanship of the Professional Postgraduate School of Urology
in the University of Zaragoza. METHODS: We reviewed his biography, his edited
works and publications of the Urology Chair at the University of Zaragoza, and
obtained as many references as we found about his teaching activity. RESULTS:
From the start of his academic training he kept a constant union with University
and cooperated in all disciplines he had relation with before he got the
responsibility of teaching urology. His university spirit and great formation had
the chairman of surgical pathology see the convenience of separating urology from
the common trunk of surgery, a proposal that, being accepted by the staff meeting
of the Faculty of Medicine of Zaragoza and submitted to the Ministry resulted in
the designation of urology as an independent subject in the degree of medicine.
His labour as responsible was marked by work and continuous achievements in his
classes, many publications, doctorate and urological monographic courses carried
out, thesis and memories directed, all of which enabled him to create to the
Professional Urological Specialization School, the culmination of more than 40
years dedicated to teaching in the university. CONCLUSIONS: We find Prof.
Romero's life completely dedicated to University and teaching. His wide
urological and propedeutical formation made him a person who joined the necessary
conditions and abilities to take the responsibility and carry out a satisfactory
performance as responsible of teaching urology in the school of medicine of
Zaragoza, a subject which thanks to his virtue and good performance was for the
first time elevated to the level of independent subject.
PMID- 18050761
TI - [Dr. Narciso Serrallach Mauri's Urological Records].
AB - We present a summary of the most interesting works and commentaries by Dr.
Norciso Serrallach Mauri, presented in Urological Records, a journal edited under
his direction continuously from 1913 to 1935, annually or every six months. The
state-of-the-art urological topics of the time rising the highest interest can be
found in the journal, as well as in the publications and activities of the
medical societies where he carried out his professional urological activity. We
also emphasize the evaluation of his activity in relation to the estate of
science and society at his time.
PMID- 18050762
TI - [History of rachianesthesia and epidural anesthesia in Spain].
AB - OBJECTIVES: To show the beginning of spinal and epidural anesthesia in our
country and the contributions of Spanish urologists. METHODS: We reviewed books
and writings of History of Medicine, Urology and Anesthesia and Doctoral thesis
about spinal and epidural anesthesia. RESULTS: In the 20th century, surgeons also
gave the anesthetic drugs to the patients. Spinal and epidural anesthesia were
used for the first time in 1900. A lot of Spanish urologists like F Rusca
Domenech, J.M. Batrina, M. Barragan Bonet, R. Lozano Monzon, L. Guedea Calvo, Gil
Vernet, Fidel Pages Mirave, V Sagarra Lascurain, Gomez Ulla, etc, did research,
writings in scientific journals and Doctoral thesis about anesthesia.
PMID- 18050763
TI - [Field notebooks and histologic drawings in the work of Salvador Gil Vernet].
AB - Our work is based on 11 field notebooks with his notes and descriptions of
macroscopic and microscopic anatomic preparations. There is also one notebook
with several handmade drawings on black lead pencil, reproducing the microscopic
anatomy of the bladder neck; there are also five 60 x 40 cm prints with
histologic drawings, several histologic preparations, as well as several letter
papers with Dr. Salvador's letterhead in his various institutional aspects.
PMID- 18050764
TI - [Birth and development of ureterorenoscopy].
AB - In this paper we describe the history of the development of rigid
ureterorenoscopy. It started in 1979 and today is a reality in most urological
departments of the world. From the first ureterorenoscope with a extraordinarily
large caliber and no dilation or operative systems, the current technique have
developed, so that from hydraulic water pump to laser fragmentation have enabled
to make ureterorenoscopy a common procedure for the resolution of urinary stones,
extraction of foreign bodies in the ureter or kidney, treatment of stenosis,
diagnosis of unilateral hematuria and endourological treatment of selected
urothelial tumors.
PMID- 18050765
TI - [My first steps in laparoscopic surgery].
AB - OBJECTIVES: The author describes his first steps in laparoscopic surgery and the
sources of some of his ideas. He thanks his father's influence and the technical
stimuli that catalysed his scientific curiosity. For the benefit of young
urologists at the beginning of their careers he shows how the frustrations of
working with the early instruments became the vital challenges that inspired
creative solutions. METHODS: His urological surgeon father inspired in his young
son a passion for his calling. He developed an immediate and compelling interest
in the shape and function of urological instruments like, for example, Freyer's
lithotripter and the Iglesias resectoscope. Books of urological history and the
works of pioneer urologists fascinated him. Watching Jose Maria Gil Vernet
operate particularly impressed him and he says that Gil Vernet was the first
urologist he saw using a laparoscope to diagnose an abdominal testicle. While
working in an Oxford University hospital in 1985, he designed a balloon device to
dissect the retropubic space. This procedure was the precursor of what several
years later became extraperitoneal surgery The following year, he read the manual
of Semm's laparoscopy and later described a laparoscopic varicocelectomy. In
1993, he published the first description of a laparoscopic radical cystectomy and
ileal conduit. In 1997, he adapted a surgical robotic system with a master-slave
arm to carry out firstly a transurethral resection. RESULTS/CONCLUSIONS: He says
that a good idea is beyond price because it helps the inspired individual to make
true a long-held ambition and achieve the signal success that lifts him out of
the mud of mediocrity.
PMID- 18050766
TI - [Diagnosis and treatment of chronic urethritis in the military health-care system
with the change of the century (XIX-XX)].
AB - OBJECTIVES: We can say that in military hospitals, pioneer institutions in health
care in our country, approximately 25% of the pathology over the second half of
the 19th century would correspond to sexually transmitted diseases (STD), with
hospital wards dedicated to these diseases, initially associated with
genitourinary diseases. The "military drip" or blennorrhagic urethritis was a
venereal disease with great incidence and prevalence in the pre-antibiotic era.
This article reviews the diagnostic and therapeutic methods employed by Spanish
military doctors during such period. METHODS: In the introduction we state the
relationship between the knowledge of the time and the environment in which
military doctors developed their professional activity. We make reference to
military health-care journals, vehicle for their worries and demonstration of the
level of theoretical and practical knowledge they were distinguished for. Based
on their service records and publications we refer the urologists from the
military health-care system that showed a greater interest in the field of
urethral diseases, talking about the methodology they used for diagnosis and
treatment of blennorrhagic urethritis. RESULTS AND CONCLUSIONS: Military health
care at the end of 18th century and the beginning of the 19th century joined a
group of professionals who demonstrated talent and perseverance in the treatment
of "military drip". To know the concepts for the diagnosis and treatment of
urethritis improves our perspective in the knowledge of these pathologies, and
confirms us in the evaluation of the advances available for us today, thanks to
the addition of efforts of our predecessors.
PMID- 18050767
TI - [The history of extracorporeal shockwave lithotripsy in Spain].
AB - We give a historical outline of urinary lithiasis with emphasis in the
alternative therapeutic options to surgery. We expose the previous steps that led
to the birth of extracorporeal shockwave lithotripsy and its implementation in
our country.
PMID- 18050768
TI - [Vicissitudes of a humble inventor].
AB - Mechanized procedure for the treatment of female stress urinary incontinence.
Retropubic fixation of the vagina with a stapler specifically designed, using the
pubis' symphysis as the site for anchoring. We intend to demonstrate the
physiopathologic rationale to achieve continence by anchoring the urethra. In our
elementary conditions for research and development we could not design the
suitable procedure, although the idea was presented to international companies.
We summarize the development of the instrument until it was operative, filming
one operation which was presented to the Hispanic-American Congress in Madrid
1992. The instrument was patented in the Spanish Office of Patents and Trademarks
in July 1992 with the category of invention patent because there were no previous
mechanized procedures for vaginal fixation.
PMID- 18050769
TI - [Carlos Younger de la Pena (1920-1996). "Urology in Madrid during the second half
of the 20th century"].
AB - Carlos Younger de la Pena (1920-1996) was one of the representative urologists of
his time, both in urology as a whole and particularly in urology in Madrid,
during the 40 years between 1945 to 1985. His professional training was linked to
the department of urology in the "La Princesa" Hospital chaired by Dr. Pedro
Cifuentes Diaz first and Luis Cifuentes Delatte posteriorly. The important
influence of French urology led Carlos Younger to complete his studies in the
departments of urology chaired by professors J. Cibert (Lyon), Truc (Montpellier)
and Couvelaire (Paris). When he returned from France he completed his doctoral
thesis with the title "Experimental study on the ureterosigmoidostomy type Coffey
I" directed by Prof. J. Garcia Orcoyen in 1957. His first publications started in
1946 and he ended with almost 100, including communications to various speciality
congresses and meetings. Established in his private clinic in the Ferraz Street
in Madrid he alternated his professional practice between Social Security
patients and the Red Cross Central Hospital. At the end of his life he had
collected near to 10.000 patients' clinical records. He was member of various
scientific societies (among them the International Society Of Nephrology) and
received various awards such as the one from the Pediatric Surgeons Association
for his work on "bladder exstrophy "and the one from the Medical Academy of
Valladolid for his study "Vascular renal hypertension". In 1961 he performed the
second renal transplant in Spain. His professional interest was preferentially
focused on uro-oncology, pediatric urology, gynecologic urology, and endoscopic
surgery. He left many disciples with the same interests. The professional life of
Carlos Younger de la Pena, in the period of time under analysis, is much
significant as a reflex of the evolution of urology and urologists over half a
century.
PMID- 18050770
TI - [The Spanish participation in the Congresses of the International Society of
Urology during the 20th century].
AB - OBJECTIVES: With the occasion of the Centenary of the Constitution in 1907 of the
International Society of Urology, initially named Association, we point at the
topics treated and analyze the presence of the Spanish urologists in the
foundation act as well as their role and contributions in the meetings during the
20th century. METHODS: We obtained the data from the information in various
periodic publications, from the memories of some participant urologists and from
the meeting records. RESULTS: We obtained notes from the foundation meeting of
the International Association and two congresses, and also from the 25 congresses
of the Society. We mainly extract data from the records edited by the meeting
secretary, about the assistance of Spanish urologists and their contribution with
conferences or communications and also about the relevance they have had holding
positions within the organization. CONCLUSIONS: From 1907 the presence of Spanish
urologists both in the Association and the International Society of Urology has
been a constant. During the first half of the century, a time when our Urology
was in a period of consolidation, the people in charge of the main urology
departments in big hospitals in our country where the ones that could transmit
their experience, with a level and quality comparable with the rest of Europeans.
During the second half, once the nations recovered from wars, the scientific
activity continued with an increasing Spanish contribution, which extended all
over the country with the creation of the net of Social Security hospitals. They
had hierarchical urology departments that performed study and analysis of their
case series and started clinical and experimental research, significantly
increasing the number of Spanish communications, mainly in congresses celebrated
in Spanish speaking or European cities. As a consequence of their participation,
three of the 25 meetings organized during the 20th century have been held in
Spain. The Spanish presence in the directing boards of the society was favoured
by the presence of Dr. Salvador Gil Vernet to consecutive periods.
PMID- 18050771
TI - Antiretroviral treatment: current approach and future prospects.
AB - Pharmacologic treatment of HIV infection involves the combination of drugs that
exert their antiretroviral effects at different stages in the life-cycle of the
virus. Strongpublic-health programs are necessary for HIV control. To be
successful in treating HIV, the clinician must establish a treatment alliance
with the patient to forge optimal adherence to the treatment regimen. Potential
adverse reactions,toxicities and drug-drug interactions must be understood and
detected early. Disparity exists in the treatment of HIV between most African and
western countries and can be bridged through improved access to drugs and
treatment infrastructure. The search for a cure is led by ongoing research in
novel concepts such as structured treatment intervention and immune-based
therapy.
PMID- 18050772
TI - Oral manifestation of HIV/AIDS.
AB - The Human Immunodeficiency Virus (HIV) infection and Acquired Deficiency Syndrome
(AIDS) have become a pandemic with about 40 million infected people world-wide.
The virus attacks the immune cells resulting in a defective cell-mediated immune
response thus exposing the host to opportunistic infections. Oral and peri-oral
lesions are often seen in HIV infections and sometimes, appear as the first
indicators of the disease. The appearance of these lesions in a known HIV
infected person could also be a signal of the deterioration of the infection into
a full-blown AIDS. It is therefore necessary that Health care givers should have
a good working knowledge of the possible oral manifestations of the disease. This
write-up highlights the clinical features and treatment of oral lesions
associated with HIV infections.
PMID- 18050773
TI - Responding to the HIV epidemic in the developing world. Capacity building and
technology transfer for monitoring with HAART: a Caribbean experience.
AB - This paper examines the concept of a developing nation that has a high HIV
prevalence and lacks appropriate laboratory infrastructure necessary to cope with
a treatment and care program. Several issues are discussed including personal
experience in the context of project management for the creation and coordination
of a facility aimed at providing the laboratory support required for the
appropriate delivery and monitoring of HAART. Key issues about political will and
prioritization are discussed in concert with current international guidelines and
mechanisms of technology transfer and human resource development. The paper gives
the benefit of the teams experience in coordinating the project, dealing
specifically with issues such as the process of equipment procurement, staff
recruitment, and capacity building. The need for the highest level of quality
control and standard operating practices are discussed in the context of limited
regional expertise and manpower support. Emphasis is placed on the long term
objectives of operational research focusing on cheaper and simpler algorithms for
monitoring and providing support for a comprehensive but affordable and
sustainable program.
PMID- 18050774
TI - Hospital treatment of HIV patients.
AB - Treatment of patients with HIV/AIDS in Nigeria has progressed from the stage of
inactivity, unconcern, abandonment and neglect to the present stage of holistic
care involving treatment of the infection with Highly Active Anti Retroviral
Agents, complications of the disease and side effects of antiretroviral therapy
as well as that of human behavioural responses towards the disease with hope and
promising outcome. The goal of the treatment is to prolong the patient's life
while maintaining the best possible quality of health and life. It is now a
continuum of care between the hospital and the different sectors of the
community. Hospital treatment of patients with HIV-AIDS is complex and yet a
simple task if there is healthy interaction of the patients and health care
providers in a milieu of well equipped hospital setting with available treatment
facilities for proper management of diseases. Similarly, for the care to achieve
its goal, it requires a joint participation of the community and the commitment
of the government not only on curtailment of the reservoir of HIV infection by
antiretroviral therapy but total eradication of diseases, poverty and ignorance
in all its entirety.
PMID- 18050775
TI - Sociocultural aspects of HIV/AIDS infection in Nigeria.
AB - With a population of about 134 million people distributed among 389 ethnic
groups, Nigeria is a country of great diversity. The socio-cultural factors or
practices in Nigeria that may be related to HIV/AIDS transmission are legion.
These include the patriarchal family and gender differentiation, early marriage,
polygyny, marital instability and remarriage, domestic violence, prostitution,
scarification and other skin piercing practices, incision or cutting operations
including circumcision, and all sorts of culturally imposed traditions and norms
that discriminate against women. The paper discusses these phenomena with respect
to HIV transmission and suggests imminent changes and social re-engineering that
are essential in the era of HIV/AIDS.
PMID- 18050776
TI - AIDS-associated malignancies.
AB - A number of immunodeficiency states--both inherited (such as agammaglobulinaemia,
Bloom's syndrome, hereditary telangiectasia) and acquired (e.g. immunosuppressive
therapy) have been associated with varieties of cancers. HIV induces more
profound immunodeficiency state and it should not be difficult to imaging why
cancer diagnosis is made in over 40% of HIV infected patients. Impairment of
normal function of natural killer cells as a result of lack of helper signals
from CD4+ T-lymphocytes may be a major mechanism of increased susceptibility to
cancer development in HIV infected patients. Although many neoplastic diseases
could occur at a frequency not higher than would be expected by chance alone, the
biological behaviour of such malignancies tend to be more aggressive. Three
neoplastic diseases are associated so commonly with HIV infection that each of
them has become recognized as an AIDS defining illness. These are Kaposi's
Sarcoma (KS), Non-Hodgkin's Lymphoma (NHL) and Cervical Carcinoma. Both KS and
NHL were recognized as AIDS associated cancers from the onset of the epidemic in
1981 but carcinoma of the cervix became AIDS defining in 1993. The epidemiology,
aetiopathogenesis, clinical manifestation, diagnostic tools and modalities of
therapeutic intervention for KS and NHL form the subject of this review.
PMID- 18050777
TI - A phytotherapeutic approach to Nigerian anti-HIV and immunomodulatory drug
discovery.
AB - Effective therapies for management of HIV infection are top priority due to the
high rate of morbidity and mortality of the disease, globally and this has
assumed devastating dimension in the African continent. In Nigeria, many patients
use herbal remedies for the management of HIV/AIDS, without sufficient scientific
proof that they possess anti-HIV activity. In this report, the role of the
tropical rainforest plants, identified through ethnomedical approach, as a source
of antiviral and immunomodulating agents is highlighted. Some plant derived
antiviral and immunomodulatory agents are briefly discussed. An outline of in
vitro antiviral and immunomodulatory assays that can be adapted to the Nigerian
environment is given. The urgent need to identify and evaluate the medicinal
plants in the Nigerian biodiversity reputedly used for management of viral
infections is also emphasized.
PMID- 18050778
TI - HIV/AIDS and the surgeon.
AB - Human Immunodeficiency Virus and Acquired Immunodeficiency Disease (HIV/AIDS) are
one of the most difficult challenges facing practicing surgeons worldwide. The
challenge is more acute in sub-Saharan Africa as it currently has the highest
prevalence of HIV infection in the world. Surgeons are at risk of occupationally
acquired infection as a result of intimate contact with the blood and other body
fluids of HIV patients requiring surgery. Patients also risk being infected by
surgeons and other health care workers during surgical or dental procedures. The
seropositive status of the majority of HIV positive patients may be unsuspected
by the attending medical personnel who may not practice universal precautions
against infection. The non-availability of relevant devices is however the most
important factor militating against the use of universal precautions, especially
in developing countries. There is therefore a need to increase the awareness
about universal precautions and to provide the necessary devices to promote
constant adherence to these procedures in order to reduce the risk of nosocomial
HIV infection.
PMID- 18050779
TI - Peripheral blood and bone marrow changes in patients with acquired
immunodeficiency syndrome.
AB - There is dearth of information on the haematologic complications of HIV/AIDS in
this country. The aim of this work was to evaluate the importance of peripheral
blood and bone marrow changes in a population of adult Nigerians managed for
symptomatic HIV infection at the OAUTHC, Ile-Ife, between 1995 and 2001. The
study was prospective. Peripheral blood cells counts (haemetocrit value, total
and differential leucocyte counts, and platelet counts) and bone marrow cytology
of serologically confirmed HIV/AIDS patients seen within the study period were
studied. The associated opportunistic disorder (s) was noted for each patient.
Individuals with conditions that are ordinarily associated with haematologic
disorders (e.g. cancer and inherited haemoglobinopathies) and patients diagnosed
in pregnancy were not included. Significant levels of differences in mean values
of blood cells within groups were determined by student's t-test. Seventy-two
patients were recorded, out of which 49 (68%) were evaluable. There were 32 (65%)
males and 17 (35%) females, all aged between 21 and 51 (median = 36) years. None
of the patients had conventional antiretroviral therapy. Lymphopenia (lymphocytes
< 2 x 10(9)/l) was seen in 64.4% of the patients, 50% and over 40% of the
patients had moderate-severe anaemia and neutropenia, respectively. Blood cells
values were not significantly different between patients with mild disease and
those with moderate-severe diseases. The most characteristic marrow abnormality
was the abundance of naked nuclei of megakarycytes in 20 (60.1%) of the patients.
Dysplatic changes were evident in 15 (45.5%) of the bone marrow specimens
studied. Such changes are characterised by dysgranulopoiesis, Pelger-Huet anomaly
in some of the mature granulocytes, vacuolation of some erythroid and myeloid
cells, unilobular micromegakaryocytes and megaloblastic erythroid precursors
(15.1% of the marrow).
PMID- 18050780
TI - HIV infection among patients with pulmonary tuberculosis in Nigeria.
AB - Respiratory problems like Pneumocystic carinni and Pulmonary tuberculosis (PTB)
are among the common opportunistic infections in patients with HIV/AIDS. The risk
of acquiring Mycobacterium tuberculosis in a community becomes greater with
increase in the number of HIV positive persons with active tuberculosis. This
study was carried out to determine the magnitude of HIV infection among PTB
patients in different parts of Nigeria as part of the year 2000 national HIV
surveillance programme. Blood samples were collected on blotting paper from a
total of 2826 individuals attending TB clinics between 1st of September and 1st
November, 2000. Samples were collected from patients with confirmed PTB from 12
states in the 6 geopolitical/health zone (2 states/zone) of Nigeria as part of
high risk sentinel population groups. Samples were tested for the presence of HIV
antibodies using commercial ELISA (Genescreen HIV-1/2, Sanofi Pasteur, Paris).
All initially reactive samples were retested with a rapid EIA (Gene II, Sanofi
Pasteur, Paris) according to the WHO recommendations (option II). HIV Prevalence
in the states varied from 4.2% in Oyo to 35.1% in Benue States with a median
prevalence of 17.0%. HIV Prevalence increased with age to a peak of 23.9% among
PTB patients 30-39 years and then declined progressively to 12.8% among those 60
years and above. A relatively high HIV infection rate (13.8%) was found among the
young adolescent age group 10-19 years. There was no significant difference in
the rate among male and female PTB patients tested. Comparison with results of
previous HIV sero-surveys shows a steady increase in HIV prevalence among PTB
patients over the years. The high prevalence of HIV among young PTB patients aged
10-19 years in this study is worrisome and must be noted for intervention.
PMID- 18050782
TI - Attitudes towards community based residential care for people living with
HIV/AIDS in Nigeria.
AB - Community based care and support are important aspects of HIV/AIDS management in
resource-poor African countries in view of increasing incidence of the disease
and absence of a cure. A representative community sample (n = 2040) selected
using a clustered stratified selection procedure was studied in Ogun, Oyo, and
Osun states. A single item question which formed part of a larger survey of the
stigma of mental illness was used to elicit information about the opinion of
respondents regarding provision of a group home in their neighborhood for the
care of persons experiencing a range of medical and social conditions which
include HIV/AIDS, mental handicap, previous jail term, physical disability,
mental illness, and drug abuse. Of the respondents, 906 (44.4%) were males and
1134(55.65) were females. The mean age was 43.5 (SD 19.72). Over 71% of the
respondents disagreed or strongly disagreed with the location of a residential
care for persons living with HIV/AIDS (PLWHA) in their neighborhood. This level
of rejection was only comparable to that for drug addicts and alcoholics. PLWHA's
were more likely to be rejected than ex-convicts for whom about 55% expressed
rejection. Decreasing education (X2 = 32.55, p < 0.003) lower income (X2 = 21.25,
p < 0.01), urban residence of the respondents (X2 = 15.11, p < 0.05) and female
gender (X2 = 9.25, p < 0.05) were associated with a negative attitude to such a
residential care for PLWHA. These results suggest a deep-seated prejudice against
the provision of a group home for the PLWHA. A community-based enlightenment
program anchored on the nature and course of HIV/AIDS should be part of a
holistic management approach to the disease.
PMID- 18050781
TI - Heart disease in HIV/AIDS. How much is due to cachexia?
AB - HIV/AIDS affects the heart through different mechanisms. Before the advent of
HIV/AIDS, cachexia had been established as a cause of cardiac morbidity.
Interestingly when HIV/AIDS affects the heart, not much role is ascribed to
cachexia; one of the major criteria for diagnosis. As most electrocardiographic
(ECG) changes in HIV/AIDS are also seen in cachexia, it became necessary to look
at the ECG changes in HIV/AIDS in relation to body mass index (BMI). This was to
see if any relationship existed. One hundred, 78 and 80 full blown AIDS, HIV
positive asymptomatic and HIV negative subjects underwent 12 lead resting ECG
respectively. Their BMI were determined from the heights and weights. BMI was
least in the first group and highest in the last group. The mean differences
achieved statistical significance. Systolic and diastolic blood pressures
significantly fell from HIV negative to the AIDS patients. This was as BMI fell.
Systolic and diastolic blood pressures fall significantly with cachexia. The
following indices on ECG: heart rate, corrected QT interval, ST segment
depression and T wave inversion increased from the HIV negative to the full blown
AIDS patients. This was also the direction of reduction in BMI. Since these ECG
changes have long been known with cachexia, it is being suggested that the
cachexia associated with HIV/AIDS contributes to the heart disease in them.
PMID- 18050783
TI - Characteristics of lymphocyte subsets in a normal Afro-Caribbean population and
the implications in HIV management.
AB - The Caribbean relies on normal lymphocyte subsets ranges established in other
geographical locations and from different racial ethnic groups for the basis of
the clinical management of HIV and AIDS with Highly Active Anti Retroviral
Therapy (HAART). Normal ranges of these parameters have not been previously
established in an Afro-Caribbean population. So we set out to determine how the
normal lymphocyte subset ranges compare to those reported in other, races and
geographical locations. A prospective study was done on 112 healthy Afro
Caribbean clients who attended the Blood Collection Unit, Queen Elizabeth
Hospital, Barbados from July 15th to November 12th 2004. Analysis for lymphocyte
subsets was done by flow cytometry, which allows simultaneous identification and
enumeration of total T Helper, cytotoxic T, natural killer and B lymphocyte
cells. HIV-1, Hepatitis B and C, HTLV-1 and full blood count test were done as
part of the normal screening routine of all blood donors. Absolute white blood
cell counts and percentage lymphocytes for males and females were not
significantly different, and the absolute and percentage of the T-Helper CD4
positive lymphocyte cells were not significantly higher in females than in males.
Absolute Cytotoxic T cell CD8 positive lymphocyte cells were higher in males than
in females. CD56 Natural Killer cells absolute and percentage counts were higher
in males than females however CD19 B Lympocyte absolute and percentage counts
were not different between the two sexes in this sample population. Compared to
published normal ranges published by the WHO and CDC, there were no significantly
differences observed in any of the lymphocyte subsets. These finding are very
similar to what has been reported in previous studies. We conclude that WHO/CDC
recommendations established for the treatment and monitoring of HIV/AIDS patients
based on CD4 levels can be safely utilized in our population.
PMID- 18050784
TI - Demographic and social characteristics of regular donors who sero-converted in
Harare.
AB - Zimbabwe, like any other country, is currently experiencing a fast growing
HIV/AIDS pandemic. A considerable number of infections are caused by blood
transfusion. The aim of this study is to determine risk factors, events and socio
demographic characteristics associated with incidence of HIV infections and to
prevent sero-conversion of regular blood donor. A retrospective cross sectional
study was done. 22,922 regular donors at National Blood Transfusion Services in
Harare were used. The number of all regular donors who sero-converted and their
status during the 1999 to 2001 period were determined. About 327 (1.4%) of the
regular donors sero-converted. Two hundred and seventy-four (84%) were males and
53(16%) were females. 52.6% of the males were married, 46.7% were single and .7%
separated. 30.2% of the females were married, single women 67.9% and separated
women 1.9%. Increased sero-conversion was associated with unemployment, high
density area residence and the sexually active age group (21 to 45 year olds).
There was an association between gender and marital status among sero-converters
(c2 = 8.48; P-value = 0.014) and males were likely to be older than females (t =
2.9; P-value = 0.0019). It can be concluded that unemployment and living in high
density residential areas are highly associated with HIV sero-conversion among
regular donors. Single females, working class males and the sexually active age
group are highly exposed to risk factors. It is recommended that donor education
schemes and knowledge of these factors should be considered during donor
recruitment.
PMID- 18050785
TI - Partner disclosure of HIV status among HIV positive mothers in Northern Nigeria.
AB - Partner consent and support can substantially enhance adherence to PMTCT
interventions. This study explores the issues concerning disclosure of HIV status
to partners of HIV sero-positive mothers in a PMTCT programme in Jos, Northern
Nigeria. Previously field-tested questionnaires were administered by trained
counsellors to 570 consenting HIV positive mothers who were participating in the
PMTCT programme at Jos University Teaching Hospital (JUTH), Jos. The findings
were entered into Epi Info and analysed using frequencies. The median age of
respondents was 29 years while that of their partners was 37 years. Five hundred
and fifty-five (99.5%) of respondents were married. Majority of the women were
Christians (82.9%) while 16.9% were Moslems. Seventy four percent (419/563) of
the mothers were aware of their husband's HIV sero-status. Of these, 65.4%
(274/419) of the partners were HIV positive while 34.6% were sero-negative.
Eighty nine percent (500/560) of the women have disclosed their HIV status to
their partners. Of these, 39.6% (199/502) required the assistance of health
workers while 59.4% (298/502) did it by themselves. Following disclosure of HIV
status, 86.9% (430/495) of the partners were supportive, 5.7% were indifferent,
6.7% were quarrelsome and abusive while 1.0% was violent. The reactions of
partners of HIV positive mothers to disclosure of their wives' HIV status are
predominantly supportive. This should strengthen strategies to promote partner
disclosure.
PMID- 18050786
TI - HIV status of partners of HIV positive pregnant women in different regions of
Nigeria: matters arising.
AB - This study was conducted to determine the pattern of HIV sero-status of Partners
of HIV Positive Pregnant Women in three different regions of Nigeria and to
explore the implications for HIV prevention interventions. The Site Coordinators
of PMTCT programs in three Nigerian cities obtained data of the HIV status of the
partners of HIV positive pregnant women. The selection of Benin City, Jos and
Kano was made after consideration of their ethnic, religious and cultural
representation of Nigeria. Benin City represents a traditional southern Nigeria
city, Kano a traditional northern city and Jos, a middle-belt, ethnically diverse
cosmopolitan setting. The data were analyzed using frequencies. A total of 500
partners of HIV infected pregnant women were tested for HIV using Determine
Abbott test kits. Positive results were confirmed using Western blot or a second
rapid test kit. The city-by-city results showed that in Benin City (Southern
Nigeria), 78.8% (104/132) of the partners were HIV negative (sero-discordant),
Jos (Middle-Belt) had 48.4% (103/213) sero-discordance while Kano (Northern
Nigeria) recorded a sero-discordance rate of only 7.7% (12/155). These results
indicate that the dynamics of HIV transmission in marital settings in Nigeria are
different in the various regions of the country. Socio-cultural and religious
settings play a significant role in HIV transmission among couples. These
findings should guide prevention interventions in order to achieve maximal
impact.
PMID- 18050788
TI - Ethical issues in HIV/AIDS research, counselling and testing in Nigeria.
AB - HIV/AIDS is a major pubic health problem in Nigeria. This paper identifies the
ethical issues involved in HIV/AIDS biomedical and behavioural research,
counselling and testing in the country. These concerns are discussed in the
context of the three universal ethical principles of respect for persons,
beneficence, and justice. Written informed consent, which is a component of
respect for persons, is a challenge in Nigeria because of skepticism to
documentation, sensitivity of sexual practices often explored in behavioural
research, and a tradition that discourages patients from questioning medical
authority. Although monetary inducement of research participants is ethically
acceptable, the high levels of poverty in Nigeria raise concerns that payment of
money may unduly induce indigent participants to enroll in research. The
disclosure of results in situations when married HIV positive persons insist that
their status should not be revealed to their spouse illustrate the dilemma that
health workers face in adhering to the ethical norm of keeping confidentiality
and the public health obligation of preventing HIV transmission in a third party.
Some recommendations are offered to address these concerns.
PMID- 18050787
TI - Reliability of testing and potential impact on HIV prevention in Nigeria.
AB - Several factors including variability of human immunodeficiency virus (HIV),
laboratory facilities, cost and competence of personnel handling the tests are
some of the important factors that affect accuracy and reliability of HIV testing
in most parts of Africa. Recently investigators in Africa have observed that
antibody detection assays based on antigens derived from HIV-1 subtype B show
moderate to significantly lower sensitivity for detection of infection by various
non-B subtypes. In this study, we evaluated the reliability of two EIA and 12
rapid HIV-1/2 test kits that are commercially available in Nigeria using the
Western immunoblotting technique as reference. A panel of 100 sera from Western
blot confirmed symptomatic or asymptomatic HIV-1 infected persons and 90
seronegative patients from those referred for testing in our laboratory were used
for this study. Each sample was tested with two HIV-1/2 EIA, and 12 HIV-1/2 rapid
test kits commercially available at one time or the other for HIV-1/2 testing in
Nigeria. Overall, the sensitivity of the two EIA kits were 100% and 91.0% with
specificity of 96.7% and 91.1% respectively. The sensitivity of the rapid test
kits ranged from 88% to 98.0% with specificity of 92.2% to 100%. Further analysis
showed significant variation in the sensitivity and specificity of the same kit
based on whether an individual had asymptomatic or symptomatic infection The
results of this study highlight the problem of diagnosis of HIV infections in
Africa. It shows that the sensitivity of most of the rapid assays shall not be
adequate for detection of early infection. The implications of possible
misdiagnosis on the various intervention strategies that rely predominantly on
correct HIV status of an individual are enormous. Thus, there is an urgent need
for review of the current HIV testing assays or algorithms in Nigeria and other
parts of Africa.
PMID- 18050789
TI - Association between sexual violence and psychiatric morbidity among HIV positive
women in South Africa.
AB - This study examined the association between rape and psychiatric morbidity among
recently diagnosed HIV-infected women in South-Africa. One hundred and five women
attending an out-patient infectious disease clinic of the Department of Internal
Medicine, Tygerberg Hospital, Cape Town, South-Africa participated in the study.
Full medical as well as socio-demographic histories were obtained for each
patient. Psychiatric morbidity was assessed with the MINI International
Neuropsychiatric Interview (MINI). Life-time prevalence of rape among the women
was 4.8%. A significant relationship was found between rape and (i) drug
dependence (X2 = 40.77, P < 0.00), (ii) alcohol dependence (X2 = 14.37, P <
0.01), (iii) post traumatic stress disorder (X2 = 8.91, P < 0.02), and (iv) major
depression (X2 = 4.57, P < 0.05). Women who were raped were more likely to be
younger (t = 2.86, P < 0.05), Afrikaans-speaking (X2 = 7.39, P < 0.02), and
unemployed (X2 = 6.42, P < 0.04). HIV positive women with a history of having
been raped may experience a number of psychiatric disorders. As part of the
comprehensive medical care of HIV, it is important that health workers assess
past sexual abuse and current psychiatric symptoms.
PMID- 18050790
TI - Wireless networks for surveillance, data capture and data management in the human
immunodeficiency virus epidemic care and treatment programmes.
AB - Biomedical and demographic data capture and the subsequent management of such
information are critical factors in the implementation of any level of healthcare
prevention and treatment program. The developing world is seriously handicapped
by lack of infrastructure to acquire such data let alone manipulate the
information banks for projections, forecasting and priority project planning.
With this in mind we set about to use the recent proliferation of wireless
cellular networks and easily accessible Personal Digital Assistants (PDA), to
devise a means of collecting such data even from the most remote primary
healthcare facility. Our priority is aimed at initially at providing a support
technology for the HIV expanded program. This technology can be implemented in
the absence of computerization and regular power supply. Utilizing a PDA to
capture patient data (demographic, clinical and laboratory parameters), the
healthcare giver can use a wireless link between the PDA and a cellular phone to
transfer the data to a central medical data base. These can then become permanent
and secure data banks for future use by health providers, either at the same
location or at other health facility that have authorized access to the data
bank. It also affords a platform for integrating reference labs into the network
as well as the opportunity to disseminate continuing medical educational
material. The network can also be adapted to electronic remote consultations and
eventually its data banks can be assimilated into protocols of artificial
intelligence and data mining.
PMID- 18050791
TI - Dry cat food.
PMID- 18050792
TI - An ethicist's commentary on veterinarians and animal welfare.
PMID- 18050793
TI - Factors affecting Canadian veterinarians' use of analgesics when dehorning beef
and dairy calves.
AB - Data collected through a national, randomized mail survey (response rate 50%)
were used to identify reasons why veterinarians were likely (i) to use analgesic
drugs when dehorning calves, and (ii) to perceive dehorning without analgesia as
very painful. Logistic regression analysis indicated that veterinarians were more
likely to be analgesic users the more they perceived that dehorning without
analgesia was painful (OR = 1.7, P < 0.001). Other positive influences were if
the veterinarian worked in British Columbia or Alberta (OR = 5.9, P = 0.005), and
if they were primarily in dairy practice (OR = 3.7, P = 0.012) rather than beef
practice. This effect of dairy practice was negated if the veterinarian also
perceived that owners were unwilling to pay for analgesia (interaction term: OR =
0.25, P = 0.038). Veterinarians were also less likely to perceive dehorning
without analgesia as very painful if they perceived that owners were unwilling to
pay (OR = 0.58, P = 0.029). However, this effect on pain perception was offset by
concern for personal safety (OR = 2.7, P = 0.015). The results are consistent
with the relatively high level of outreach about animal welfare among farmers and
veterinarians in the western provinces. The results confirm that many
veterinarians' approach to pain management for dehorning is influenced
considerably by concern about cost. However, pain management for dehorning is not
expensive and there is unequivocal evidence that dehorning calves without pain
management causes significant distress. Continuing education of veterinarians
should help to increase analgesic usage.
PMID- 18050794
TI - Factors associated with West Nile virus disease fatalities in horses.
AB - In 2003, the occurrence and location of horses with clinical signs of West Nile
virus infection were identified in the southern portion of Saskatchewan with the
help of veterinarians, owners, and the regional laboratory. A total of 133
clinical cases were reported between July 30 and September 19, 2003; however,
postseason surveillance suggests that the number of cases was underestimated. The
case fatality rate was 43.8% (95% CI 35.2, 52.4). Factors associated with
fatality in clinical cases included sex, week of onset of clinical signs, and
coat color. Reported clinical cases clustered within regional health authority
districts, suggesting regional differences in geographic factors, potentially
including climate and mosquito control, that could contribute to the risk of
disease. However, most of the variation in the risk of fatality in clinical cases
is explained at the individual level rather than the Regional Health Authority
level, which suggests the outcome of clinical disease is primarily determined by
characteristics of, or management factors affecting, the individual horse.
PMID- 18050795
TI - Evidence of Parelaphostrongylus tenuis infections in free-ranging elk (Cervus
elaphus) in southern Ontario.
AB - The antemortem detection of a Parelaphostrongylus tenuis infection in a free
ranging wild elk (Cervus elaphus) in southern Ontario is documented. Postmortems
on other free-ranging elk that died during 2000-2005 indicated that 59% (17/29)
were infected with P. tenuis, based on presence of lesions in the brain.
PMID- 18050796
TI - Actinomyces endophthalmitis and pneumonia in a dog.
AB - Actinomyces endophthalmitis and pneumonia were diagnosed in a young rottweiler
that was presented with lethargy, weight loss, right blepharospasm, and ocular
discharge. The affected eye was enucleated, and the pneumonia was treated
successfully with systemic antibiotics.
PMID- 18050797
TI - Immune mediated neutropenia and thrombocytopenia in 3 giant schnauzers.
AB - Neutropenia, thrombocytopenia, and splenomegaly were recognized in 3 adult female
giant schnauzers. Antineutrophil antibodies were demonstrated in 2 dogs.
Following splenectomy, administration of prednisone and azathioprine resulted in
normalization of neutrophil and platelet numbers in all dogs.
PMID- 18050798
TI - Change in blood antioxidant status of horses moved from a stable following
diagnosis of equine motor neuron disease.
AB - The antioxidant status of 10 horses living in stable 1 where 2 cases of equine
motor neuron disease had previously been diagnosed was assessed before and 9
weeks after moving to another stable. Duration of residence in stable 1,
subsequent moving, or both, significantly affected several parameters of the
antioxidant status.
PMID- 18050799
TI - Osteosarcoma in a 6-year-old Newfoundland dog: limb-sparing surgery and cisplatin
chemotherapy.
AB - A 6-year-old Newfoundland dog was presented with left forelimb lameness, which
was diagnosed as osteosarcoma and treated with an endoprosthetic limb-spare
surgery and cisplatin chemotherapy.
PMID- 18050800
TI - The United States' prohibition of horsemeat for human consumption: is this a good
law?
PMID- 18050801
TI - How much is your practice worth? CVMA practice value estimate.
PMID- 18050802
TI - Ancylostoma caninum infection in a Texas-born Blue Lacy dog--Alberta.
PMID- 18050803
TI - Radiation therapy of canine nontonsillar squamous cell carcinoma.
PMID- 18050804
TI - Diagnostic ophthalmology. Anterior uveal neoplasia and anterior uveitis.
PMID- 18050806
TI - Combined phacovitrectomy for macular hole: long-term results.
AB - BACKGROUND AND OBJECTIVE: To present the long-term results of patients undergoing
combined phacovitrectomy surgery for idiopathic macular hole at a single center.
PATIENTS AND METHODS: A retrospective review of the records for 57 eyes of 53
consecutive patients who underwent combined phacovitrectomy for idiopathic
macular hole during an 18-month period was completed at the Southampton Eye Unit.
RESULTS: In 45 of 57 eyes (78.9%), the macular hole closed after one surgical
procedure. Forty-seven patients had a follow-up period of more than 12 months
(mean = 22.1 months). In this group, the mean visual acuity (standard deviation)
improved by 0.37 (+/- 0.46) logarithm of the minimum angle of resolution units.
Thirty-two (68%) cases had improved visual acuity of 2 or more Snellen lines.
Hole closure rate at the final follow-up examination was 87.2%. CONCLUSION:
Combining phacoemulsification and vitrectomy for an idiopathic macular hole has
many benefits. It is a safe procedure and produces long-term results that are
comparable to previously published series.
PMID- 18050805
TI - Endophthalmitis caused by staphylococcus epidermidis: in vitro antibiotic
susceptibilities and clinical outcomes.
AB - BACKGROUND AND OBJECTIVE: To investigate the antibiotic sensitivities and
clinical outcomes of eyes with endophthalmitis caused by methicillin-sensitive
versus methicillin-resistant Staphylococcus epidermidis (MSSE/MRSE). PATIENTS AND
METHODS: A retrospective, consecutive case series of all patients with
endophthalmitis caused by S. epidermidis from January 1, 1996, through July 1,
2004, was conducted. The antibiotic sensitivities and clinical outcomes were
obtained from the corresponding medical records. RESULTS: The study included 86
eyes of 86 patients with S. epidermidis endophthalmitis (34 MSSE and 52 MRSE).
Endophthalmitis categories included cataract surgery (58), glaucoma surgery (12),
trauma (7), vitrectomy (4), penetrating keratoplasty (4), and corneal suture
ulcer (1). In vitro testing revealed that all MSSE and MRSE isolates were
sensitive to vancomycin, 67% of MSSE isolates and 67% of MRSE isolates were
sensitive to gatifloxacin, and 73% of MSSE isolates and 67% of MRSE isolates were
sensitive to moxifloxacin (overall 68% sensitive). All eyes were treated with
intravitreal vancomycin and either ceftazidime or amikacin. Visual acuity
improved to a median of 20/80 at 3 months and 20/60 at 1 year. I CONCLUSIONS: In
the current study, all MSSE and MRSE isolates were sensitive to vancomycin and
68% were sensitive to the fourth-generation fluoroquinolones. There were no
significant differences in visual acuity outcomes of endophthalmitis caused by
MSSE versus MRSE isolates.
PMID- 18050807
TI - Effect of the preservation-to-surgery interval on corneal allograft survival in
low-risk patients.
AB - BACKGROUND AND OBJECTIVE: To investigate the role of the preservation-to-surgery
interval on corneal allograft survival in low-risk patients. PATIENTS AND
METHODS: Eighteen donor corneas obtained from an overseas eye bank were preserved
and transported overseas in Optisol-GS solution (Chiron Vision, Irvine, CA) in
Group 1. Thirty fresh, young, healthy, and unscreened donor corneas soaked in the
same medium were used in Group 2. Average preservation-to-surgery time was more
than 8 days in Group 1 and less than 30 hours in Group 2. Corneal allograft
survival rates were determined by Kaplan-Meier estimates of the survivor
functions. The log-rank test was used to determine statistical significance of
the differences between groups. was 233.3 +/- 37.7 hours in Group 1 and 20.8 +/-
4.6 hours in Group 2 (P < .05 for the comparison of average times). There were
significant differences between the groups with respect to donors' age and
enucleation time, but there were no statistically significant differences between
the groups in terms of graft diameter and recipient diameter or for corneal
allograft survival in low-risk patients. The graft survival rate was 83.3% in
Group 1 and 93.3% in Group 2 at the end of the follow-up period. CONCLUSIONS:
Preservation-to-surgery time has no effect on corneal allograft survival in low
risk patients. However, prospective, randomized, long-term and large-scale
clinical trials are necessary to confirm these findings.
PMID- 18050809
TI - Surgical outcomes of traditional limbal-based versus fornix-based trabeculectomy
with corneal valve.
AB - BACKGROUND AND OBJECTIVE: To compare the safety and efficacy of fornix-based
trabeculectomy with corneal valve to traditional limbal-based trabeculectomy with
mitomycin C (MMC) in eyes with open-angle glaucoma. PATIENTS AND METHODS: In this
retrospective, nonrandomized, comparative study, 42 eyes that underwent limbal
based trabeculectomy with MMC were compared with 32 eyes with fornix-based
trabeculectomy with corneal valve with MMC. Success was defined as final
intraocular pressure (IOP) of at least 20% less than preoperative IOP and between
5 and 22 mm Hg. mean IOPs were 23.5 +/- 12.2 and 11.5 +/- 5.3 mm Hg,
respectively, in the limbal-based group and 24.8 +/- 11.1 and 11.7 +/- 2.5 mm Hg,
respectively, in the fornix-based group (P < .001 and P = .85, respectively). The
fornix-based group had significantly less hypotony (3% vs. 21%, P < .05).
CONCLUSIONS: Fornix-based corneal valve trabeculectomy with posterior placement
of MMC sponges provides IOP control comparable to limbal-based traditional
trabeculectomy, with decreased incidence of hypotony.
PMID- 18050808
TI - Surgical and functional results of augmented superior oblique muscle z-tenotomy
in patients with superior oblique overaction and Brown's syndrome.
AB - BACKGROUND AND OBJECTIVE: To compare the effectiveness of augmented superior
oblique Z-tenotomy (SOZT) with fixed standard SOZT in canceling preoperative
superior oblique overaction associated with A pattern anisotropia or V pattern in
Brown's syndrome. PATIENTS AND METHODS: Sixteen consecutive patients with
superior oblique overaction or Brown's syndrome were treated by removal of a
triangular piece of the superior oblique tendon near its insertion (augmented
SOZT). Outcome was compared with 20 consecutive historical controls after
standard SOZT. RESULTS: The decrease in superior oblique overaction in the right
and left eyes and fundus intorsion and the collapse of A pattern anisotropia were
more significant for patients with superior oblique overaction (P = .003, P =
.007, P = .05, P = .0015, respectively) and patients with Brown's syndrome (P =
.025, P = .03, and P = .05, respectively). No study patient with superior oblique
overaction and A pattern anisotropia required reoperation compared with 5 of 14
controls (37.5%); rates for patients with Brown's syndrome were 0 for the study
group and 3 of 6 (50%) for the control group. CONCLUSIONS: Augmented SOZT is
superior to standard SOZT for correcting superior oblique overaction, intorsion,
A or V pattern, and stereopsis. It is not associated with complications or
reoperation. The size of the Z-tenotomy can be modified according to the
intraoperative assessment to achieve symmetric results.
PMID- 18050810
TI - Can optical coherence tomography predict the outcome of laser photocoagulation
for diabetic macular edema?
AB - BACKGROUND AND OBJECTIVE: To assess the outcome of laser photocoagulation in
patients with diabetic macular edema. PATIENTS AND METHODS: Forty-seven patients
(51 eyes) with clinically significant macular edema (CSME) undergoing grid laser
photocoagulation were included. Clinical examination and optical coherence
tomography (OCT) were performed at baseline and 3 to 4 months after treatment.
The central foveal thickness, mean inner macular thickness (average retinal
thickness in fovea and inner macular circle), and mean macular thickness were
calculated. Based on the greatest OCT thickness at baseline, patients were
grouped according to mild (< 300 microm; Group 1), moderate (300 to 399 microm;
Group 2), and severe (> or = 400 microm; Group 3) macular edema. RESULTS: Group 2
showed significant reductions in central foveal thickness (23 microm, P = .02),
mean inner macular thickness (18 microm, P = .02), and mean macular thickness (9
microm, P = .04) with slight improvement in visual acuity. Groups 1 and 3 did not
show any significant change in macular thickness values and there was a
statistically insignificant worsening of visual acuity in these groups.
CONCLUSIONS: Patients with moderate macular thickening of 300 to 400 microm
benefit most from laser treatment. OCT may help in choosing the appropriate
treatment for CSME based on the degree of macular thickening. Long-term studies
are warranted to confirm these findings.
PMID- 18050811
TI - Diode laser-induced mitosis in the rabbit retinal pigment epithelium.
AB - BACKGROUND AND OBJECTIVE: The induction of retinal pigment epithelium (RPE)
proliferation without damaging the inner layers of the retina might be helpful in
patients with RPE atrophic changes and degeneration. This study aimed to induce
mitosis in the RPE of the rabbit after subthreshold photocoagulation with the
diode laser. MATERIALS AND METHODS: Twenty-five male Dutch rabbits received
retinal photocoagulation using an 810-nm diode laser with different power
settings and exposure times. The eyes were processed for light microscopy,
electron transmission microscopy, and immunohistochemistry. RESULTS: Neither
morphological alterations nor mitotic activity was found after 5-mJ energies.
Retinal layers were not affected and RPE hyperplasia appeared in the treated
areas associated with mitotic activity when 10 mJ was used. Mitosis induction and
retinal damage appeared with 20, 50, and 100 mJ and were associated with
ophthalmoscopic damage. CONCLUSIONS: The use of subthreshold 810-nm diode laser
treatment may induce mitosis in the RPE without causing damage to the neighboring
layers.
PMID- 18050812
TI - Light flashbacks during retinal laser photocoagulation following fluorescein
angiography.
AB - BACKGROUND AND OBJECTIVE: Yellow light flashbacks can often be seen in cases of
laser photocoagulation performed shortly after fluorescein angiography. To
determine whether unblocked secondary emission from retained aqueous and vitreous
fluorescein may be reaching the treating ophthalmologist, the absorption
characteristics of serial dilutions of sodium fluorescein were studied at
wavelengths similar to the output of the krypton laser. MATERIALS AND METHODS: A
Unicam sp500 series II visible spectrophotometer (Pye Unicam Ltd., Cambridge, UK)
was used to measure fluorescein absorption at serial dilutions ranging from
100,000,000 to 100 ng/mL at 488, 518, 568, and 647 nm. sive dilution of
fluorescein concentration and with increased wavelength. Blue (488 nm) and green
(518 nm) light absorbed at all dilutions of fluorescein. Yellow light (568 nm)
did not show any significant absorption below a concentration of 100,000 ng/mL
and red light (647 nm) absorbed little below a concentration of 3,000,000 ng/mL.
CONCLUSIONS: Dilutions of fluorescein absorbed all commonly used laser
wavelengths. There is concern that fluorescein absorption results in emission of
light at 520 nm (range: 450 to 700 nm), which may not be blocked by the filters
currently placed in fixed laser delivery systems.
PMID- 18050813
TI - Leuprolide acetate and central retinal vein occlusion.
AB - A 63-year-old man suffered a central retinal vein occlusion 2 months after he
began taking leuprolide acetate for prostate cancer. Despite control for possible
systemic hypertension (126/90 mm Hg) and mild hypercholesterolemia (total
cholesterol level =246 mg/dL [range: 16 to 200 mg/dL], high-density lipoprotein
level =67 mg/dL [range: 40 to 59 mg/dL], and low-density lipoprotein level =144
mg/dL [range: 0 to 130 mg/dL]), progression of the venous occlusive disease
occurred. Leuprolide acetate, which is associated with thromboembolic events and
diffuse intravascular coagulation, may be implicated in central retinal vein
occlusion.
PMID- 18050814
TI - Combined panretinal photocoagulation and cataract surgery in a patient with
diabetes mellitus.
AB - A case is presented whereby a simple method of applying indirect laser during
cataract surgery in the presence of retinal and iris neovascularization is
described. The method involves placing an infusion cannula into the anterior
chamber following standard phacoemulsification and soft lens matter removal. The
main section is then sutured and indirect laser is delivered to the far retinal
periphery with gentle manipulation of the eye. Successful delivery of indirect
panretinal photocoagulation despite inadvertent vitreous loss in this case
demonstrates the advantages of its use. Other advantages, including its use in
previously vitrectomized eyes and allowing manipulation/indentation of the eye,
control of bleeding, and better visualization, are discussed.
PMID- 18050815
TI - Serous retinal detachment following glaucoma surgery.
AB - The authors report the occurrence and clinical course of serous retinal
detachment following glaucoma surgery in three patients. Serous detachment
resolved in all patients spontaneously following treatment with oral and topical
steroids.
PMID- 18050816
TI - In vivo evidence of hypotrophic ciliary body in ocular ischemic syndrome by
ultrasound biomicroscopy.
AB - In ocular ischemic syndrome, the ischemic condition is due to markedly reduced
blood flow and increased vascular resistance of retrobulbar circulation, as in
the central retina and posterior ciliary arteries. Chronic hypoxia affects even
the iris and ciliary body. The hypoperfusion of the ciliary body leads to a
relative hypotony, presumably related to decreased aqueous humor production.
Histopathologic study has demonstrated ciliary body atrophy on an ischemic basis.
The authors used ultrasound biomicroscopy to demonstrate in vivo the hypotrophic
condition of the ciliary body in ocular ischemic syndrome.
PMID- 18050817
TI - Prolonged exposure of intraocular lens implant with preservation of globe
integrity and visual function.
AB - A 70-year-old woman had corneal ulcer and melting after complicated cataract
surgery with polymethylmethacrylate lens implant insertion. A conjunctival flap
was initially used to cover the defect with a plan to perform keratoplasty later.
Fifteen months postoperatively, she presented with total absence of central
corneal tissue and iris and exposure of the implant. The eye was not inflamed,
painful, or hard on palpation and visual acuity was counting fingers at 3 m. The
preservation of globe integrity despite exposure of the polymethylmethacrylate
implant implies a stable adhesion between polymethylmethacrylate and residual
corneal tissue and may prove useful in keratoprosthesis design.
PMID- 18050818
TI - Optical coherence tomography demonstration of Best's vitelliform macular
dystrophy in a child.
AB - Considering the importance of optical coherence tomography in clinical decision
making, the veracity of these images is critical. The authors describe a 5-year
old girl with Best's vitelliform macular dystrophy of different stages of
development in both eyes in which the optical coherence tomography appearance
correlated well with both the staves of the disease and the visual function.
PMID- 18050819
TI - Optical coherence tomography of corneal and scleral melts.
AB - Corneal and scleral melts can be difficult to assess by slit-lamp due to the
overlying opacity. The authors demonstrate the role of optical coherence
tomography (OCT) in the diagnosis and management of two cases of corneal and
scleral melt. A high-speed anterior segment OCT system operating at a 1310-nm
wavelength was used. Cross-sectional OCT images showed the depth and extent of
the melt. OCT images were obtained through an opaque pannus in one case and
through a calcium plaque in the other. OCT images at the follow-up examination
revealed a thin fluid space between the amniotic graft and cornea and its
subsequent resolution in the first case and the fits of an epicardial graft and a
subsequent clear lamellar corneal graft in the second case. OCT images allow
physicians to assess melts through opaque media and subsequent graft integration
after repair.
PMID- 18050820
TI - The effect of myopia on the position of the ora serrata.
AB - This article evaluated the effect of myopia on the position of the ora serrata
relative to the extraocular muscle insertions. In this prospective case series,
11 patients were evaluated during scleral buckling procedures. Intraoperative
measurements of the distance from the ora serrata to the muscle insertion in each
quadrant were compared to baseline refractive error. The distance between the ora
serrata and the muscle insertion increased with increasing myopia superonasally
and inferonasally. The surgeon must be aware of the need to position a scleral
buckle more posteriorly with increasing myopia to avoid improper scleral buckling
position and consequent surgical failure.
PMID- 18050821
TI - Capsulorhexis in white cataract using a green-light endoillumination probe.
AB - The authors describe a technique for performing a safe and controlled continuous
curvilinear capsulorhexis (CCC) in white cataracts using the contrast-enhancing
effect of green light from an endoillumination probe. After attaching a green
filter to the endoillumination unit of the vitrectomy set, a CCC was performed
under the green light of the endoillumination probe, which was placed on the
periphery of the cornea in a manner that followed the tearing edge of the
capsulorhexis. This technique may be an alternative to capsular dyes in
performing a safe and controlled CCC in white cataracts.
PMID- 18050822
TI - Lightweight autoclavable wide-angle contact lens for vitreous surgery.
AB - The authors describe an autoclavable, self-stabilizing, lightweight wide-angle
contact lens for vitrectomy. The lens has two optical pieces with perforated
plastic casing to sustain a high temperature (150 degrees C) for autoclaving. The
lens has a 106 degrees static and 127 degrees dynamic field of view. The
footplates and reduced weight (2.4 grams) due to the plastic casing allow self
stabilization of the lens. The open lens design.with high temperature resistant
plastic prevents fogging during autoclaving and surgery. The autoclavable, self
stabilizing, lightweight wide-angle contact lens allows visualization of the
peripheral retina during surgery and faster sterilization by autoclaving between
surgeries without the disadvantage of lens fogging.
PMID- 18050823
TI - Illuminated curved vitrectomy probe for vitreoretinal surgery.
AB - A new self-illuminated and curved vitrectomy probe was designed for better
accessibility of the peripheral retina, particularly in phakic patients. This
probe has a 20-gauge pneumatic cutter. The curvature at the shaft has a 19.4-mm
radius and is 25 mm long. A 2.5-cm piece of polyethylene terephthalate tubing
(heat-shrink tubing) is threaded over both the probe and the 0.5-mm diameter
fiberoptic light source to assemble the illuminated probe. Use of this instrument
avoids inadvertent trauma to the clear lens in phakic eyes and allows the surgeon
to illuminate the anterior vitreous with one hand while the other hand can be
used to depress the sclera. This instrument complements wide-angle viewing for
safe and quick surgical treatment of peripheral retinal pathology in phakic
patients.
PMID- 18050824
TI - Omental torsion secondary to right inguinal hernia: case report and cumulative
review of the English literature.
AB - A case of omental torsion secondary to right inguinal hernia in a 51-year-old man
was operated on in Naga Hospital. Preoperative computed tomography (CT) showed a
characteristic whirling fatty mass and entering into a right inguinal hernia.
Omental torsion is a relatively rare disease, and the preoperative diagnosis is
difficult because of nonspecific clinical signs and symptoms. In this report, we
gathered 36 patients with omental torsion from the English language literature
since 1986, with the addition of the patient herein reported, and clarified the
symptoms, imaging findings, and management of omental torsion.
PMID- 18050825
TI - Experimental study of Composix mesh for ventral hernia.
AB - We aimed to compare conventional single-layer mesh and composite mesh in terms of
the degree of tissue repair on the abdominal wall side of the mesh and the degree
of mechanical adhesion to the intestine and to confirm the stability of composite
mesh. We used a single-layer polypropylene (PP) mesh and a two-layer Composix
mesh (E/X type) consisting of a PP mesh and an expanded polytetrafluoroethylene
mesh. Twenty rats were divided into two groups. Three months after mesh
placement, histopathologically, ingrowth of granulation tissue into the mesh on
the abdominal wall side was prominent without mesh shrinkage or shift in either
group. In the PP mesh group, 50% of the rats had firm adhesions between the mesh
and the intestine, whereas the Composix mesh group had no adhesions to the
intestine. Unlike conventional PP mesh, Composix mesh prevented adhesions to the
intestine on the peritoneal side without impairing tissue union with the visceral
peritoneum, suggesting its usefulness in clinical onlay mesh repair for ventral
defects.
PMID- 18050826
TI - Laparoscopic management of gallbladder duplication.
AB - Gallbladder duplication is a rare condition. Because laparoscopic cholecystectomy
is the primary treatment modality for the diseased single gallbladders, it should
be the choice of treatment for double gallbladders. However, preoperative imaging
methods may be unsatisfactory for the correct diagnosis. As a result, incomplete
resections may be performed. Intraoperative cholangiography should be performed
in suspected cases to prevent inadvertent injury to the biliary system. In this
report, we present a symptomatic patient with double gallbladders with separate
cystic ducts in whom the gallbladders were successfully resected as a single
specimen by laparoscopic means. The pitfalls of diagnostic modalities and
surgical strategy are discussed in the context of the available literature.
PMID- 18050827
TI - Mirizzi syndrome in a patient with anomalous connection of the cystic duct into
the right hepatic duct.
AB - In 1948, Mirizzi described a syndrome characterized as the obstruction of the
common hepatic duct by a stone located in the gallbladder's neck or in the cystic
duct. We present a rare case of Mirizzi syndrome resulting from a fistula
involving the cystic duct, the neck of the gallbladder, and the right hepatic
duct. This finding was possible because the patient had a rare biliary anatomic
variation: the insertion of the cystic duct straight in the right hepatic duct.
The diagnosis was suggested by abdominal ultrasonography and confirmed by
endoscopic retrograde cholangiopancreatography. The surgical approach was
performed by means of an open cholecystectomy, common biliary duct exploration
using the right hepatic duct and a transduodenal papillotomy, and insertion of a
long limb T-tube through the right hepatic duct to drain the common duct. The
procedure was successful, and after an 18-month period, the patient is free of
symptoms.
PMID- 18050828
TI - Irinotecan hydrochloride (CPT-11) and cisplatin as first-line chemotherapy after
initial surgery for ovarian clear cell adenocarcinoma.
AB - Patients with ovarian clear cell adenocarcinoma (OCCA) show a poor response to
conventional platinum-based chemotherapy. Recently, it was reported that
combination chemotherapy with cisplatin plus irinotecan hydrochloride (P-CPT)
achieves high response rates for primary advanced and recurrent or resistant
OCCA. We retrospectively reviewed the outcome of 20 OCCA patients treated with P
CPT by the Gynecology Service at The Jikei University Hospital after initial
surgery. These patients received a total of 101 cycles of P-CPT, with a median of
5 cycles each. Two complete responses (CRs) were obtained in the three patients
with measurable disease, and response duration was 7 and 15 months, respectively.
One patient had stable disease (SD), and the time to progression was 5 months.
The estimated 3- and 5-year survival rates were 69% and 69%, respectively. Our
current data and previous reports suggest that P-CPT is a candidate first-line
chemotherapy regimen for OCCA.
PMID- 18050829
TI - Sigmoid colon cancer with mediastinal lymph node metastases.
AB - Mediastinal lymph node metastasis from colorectal cancer is rarely seen. We
herein report on a 74-year-old man who was hospitalized with multiple brain
metastases and mediastinal lymph node metastasis from an adenocarcinoma of the
sigmoid colon. A preoperative brain magnetic resonance image showed multiple
brain tumors, and a chest computed tomography (CT) scan indicated a mediastinal
tumor. CT-guided aspiration biopsy cytology of the mediastinal tumor showed
metastatic adenocarcinoma from a digestive tract tumor. Barium enema and sigmoid
colonoscopy showed that the cancer was located in the sigmoid colon. Laparoscopic
assisted sigmoidectomy with lymph node dissection was performed. Pathological
findings of the specimen showed a moderately differentiated adenocarcinoma that
invaded to the subserosa, but no evidence of lymph node metastasis was found. The
patient was discharged 3 weeks after the operation and died 3 months later.
PMID- 18050830
TI - Case of breast cancer with endocrine differentiation.
AB - An 89-year-old woman presented with a chief complaint of induration in the left
breast persisting for 1 month. Core needle biopsy of the lesion showed invasive
breast cancer. Therefore, we diagnosed the lesion as left breast cancer and
performed a modified mastectomy. On the immunohistochemical study, the tumor was
positive for synaptophysin and positive in part for chromogranin-A and
carcinoembryonic antigen. Therefore, we diagnosed the lesion as breast cancer
with endocrine differentiation. We report the clinical and pathological features
of this disease herein.
PMID- 18050831
TI - An unusual tumor presentation.
AB - Germ cell cancer is the most common malignancy in men 15-35 years of age; 5% of
malignant germ cell tumors are of extragonadal origin. The key to diagnosis and
management is tumor histology. This point is highlighted in this case review. It
also reinforces that germ cell tumor markers should be done in all young patients
diagnosed with malignancy. We describe the case of a 21-year-old man who was
diagnosed as having inoperable cancer of the ascending colon, which turned out to
be extragonadal germ cell tumor after studies. This patient has responded very
well to bleomycin, etoposide, and cisplatin chemotherapy.
PMID- 18050832
TI - Appendiceal Enterobius vermicularis infestation in adults.
AB - The objective of this study is to evaluate the incidence of Enterobius
vermicularis in the appendices of the adult population and a possible
relationship between E. vermicularis and acute appendicitis. E. vermicularis was
identified in 18 (2%) of 890 patients. Six hundred sixty-five operations were
performed for presumptive diagnosis of acute appendicitis, and E. vermicularis
was found in 12 (2%) patients. The histopathological examination revealed acute
inflammatory cells in four cases (33%). Three of these four specimens included
luminal ova and one E. vermicularis. Histopathological examination of six cases
revealed E. vermicularis in 225 incidental appendectomies with no evidence of
either acute or chronic inflammatory cells. This study suggests a relationship
between the presence of E. vermicularis ova and acute inflammation, but the
presence of the pinworm in the lumen of the appendix is coincidental. On the
other hand E. vermicularis in the appendix lumen can cause symptoms of acute
appendicitis.
PMID- 18050833
TI - Distant solitary skeletal muscle metastasis from papillary thyroid carcinoma.
AB - An unusual case of isolated trapezoid muscle metastasis from a papillary
carcinoma of the thyroid gland is described. Although extrathyroidal extension to
the soft tissues of the neck may occur, distant metastases are rare in papillary
thyroid carcinoma. Skeletal muscle metastasis from a differentiated thyroid
carcinoma seems to be extremely rare, even for the follicular type of this
cancer, well known for its hematogenous spread to various sites.
PMID- 18050834
TI - Pancreatic metastasis from renal cell carcinoma 13 years after a nephrectomy:
report of a case.
AB - The pancreas is an uncommon site of metastasis from renal cell carcinoma. We
herein present the case of a 59-year-old woman in whom pancreatic metastasis from
renal cell carcinoma, found 13 years after undergoing a nephrectomy, was
successfully resected and who has since showed no evidence of recurrence or
metastasis. The efficacy of performing a pancreatectomy for metastatic renal cell
carcinoma is also presented. We recommend careful long-term follow-up in patients
with a history of renal cell carcinoma. Imaging modalities should be used during
the routine follow-up to detect any asymptomatic metastases at an early stage.
PMID- 18050835
TI - Mesorectum amputation technique for laparoscopic anterior resection.
AB - The objective of this study was to assess our original procedure, the cutting off
method (COM), where the rectum and mesorectum are cut off with an autosuture
device simultaneously in laparoscopic anterior resection. From 2001 to 2003,
laparoscopic anterior resection was performed in 21 patients at our institution
using the COM (n = 14) and trimming method (TM; n = 7). We reviewed the medical
records for operative duration, intraoperative blood loss, and postoperative
complications. The operation lasted 165 minutes (range, 140-215 minutes) in the
TM group, but only 120 minutes (range, 95-135 minutes) in the COM group (P <
0.01). Intraoperative blood loss was 90 ml (range, 60-140 ml) in the TM group and
30 ml (range, 5-90 ml) in the COM group (P < 0.01). There were no postoperative
complications in the COM group, although one complication of minor leakage was
observed in the TM group.
PMID- 18050836
TI - Appropriate approach to bronchobiliary fistulas: a case series with hydatid
disease and algorithm of case-based management.
AB - Bronchobiliary fistula caused by liver echinococcosis is a relatively unusual but
severe complication of hydatid disease. Optimal management of cases is crucially
important in establishing rapid recovery and avoiding additional complications.
The use of an algorithmic guide is essential because of an ongoing dilemma that
involves a large variety of therapeutic options. We present four patients with
bronchobiliary fistulas caused by liver hydatid disease to discuss the management
of therapeutic options through a case-based approach and an extent review to
finally generate an algorithm. In this series, two patients were treated
surgically, and the remaining were treated with conservative methods. To date,
they have developed neither recurrence nor need for additional intervention.
Although treatment of bronchobiliary fistulas is traditionally thought to be
surgical, most of the less severe cases can be treated nonoperatively. Making an
accurate selection among less invasive methods and/or open surgical interventions
seems to be a key component of management.
PMID- 18050837
TI - Gut flora in health and small intestinal bacterial overgrowth syndrome.
PMID- 18050838
TI - Living donor liver transplantation.
AB - Initially living donor liver transplantation (LDLT) was almost exclusively
performed in infants and children. Adult LDLT programmes were initiated several
years later. In the west this programme was introduced in view of a critical
shortage of deceased donors and a constant increase in waiting list mortality. At
present, this procedure is accepted as a therapeutic option for patients with end
stage liver disease to make up for the shortage of donor organs from dead
patients. In Asia, however, LDLT has become the predominant means of liver
transplantation as donor organs from the diseased cannot be used for religious
and ethical reasons. Although there have been significant improvements in
surgical techniques and consequently in recipient outcome over recent years, the
LDLT procedure is still associated with donor morbidity and even mortality. The
overall reported donor mortality was 0.2% and donor morbidity ranged between 0%
and 100%. Biliary complications and infections were the most commonly reported
donor complications. Therefore, a thorough medical as well as psychological
evaluation of the donor and recipient are necessary prior to this procedure. To
date, LDLT comprises less than 5% of adult liver transplantations in Europe and
in the United States. Recipient and graft survival are almost identical to those
seen with liver transplantations from deceased donors (DD). Biliary and vascular
complications are more often seen in the LDLT setting. So far, no studies have
focussed on the impact of LDLT on waiting list mortality. There is international
consensus that this procedure should be restricted to centres with large
experience in deceased donor liver transplantations as well as in hepatobiliary
surgery. Ethical issues, optimal utility and application of adult LDLT and
optimal recipient and donor characteristics have yet to be defined.
PMID- 18050839
TI - Management of gastric variceal bleeding.
PMID- 18050840
TI - Genotype III - HCV infection.
PMID- 18050842
TI - Role of liver biopsy in management of chronic hepatitis B and chronic hepatitis
C.
PMID- 18050841
TI - Modification of small bowel microflora in chronic alcoholics with alcoholic liver
disease.
AB - The bacterial flora of the gastrointestinal tract plays an essential role in
human physiology. Our aim was to study the pattern of change in bacterial
microflora in the small intestines of patients with chronic alcoholic liver
disease (ALD). Patients of ALD made up the test group and nonalcoholics served as
controls. Duodenal (D2) biopsies were obtained by upper gastrointestinal
endoscopy and processed immediately for microbiological analysis. Marked
qualitative and quantitative alterations of small intestinal microflora was
documented in chronic alcoholics. There was increased bacterial growth of both
gram-positive cocci and gram-negative bacilli in the ALD group.
PMID- 18050843
TI - Correlation between endoscopic suspicion of gastric cancer and histology in
Nigerian patients with dyspepsia.
AB - Gastric mucosal biopsies of 77 dyspeptic patients whose endoscopic features were
suggestive of cancer and 56 patients with uncomplicated duodenal ulcer (DU) were
subjected to histopathological analysis. Gastric cancer was confirmed in 18
(23.4%) of the 77 patients but not in 59 (76.6%). 4 (5.2%) of the 18 patients had
early gastric cancer (EGC). Histopathological findings in the stomach biopsy of
the 59 patients in whom cancer could not be confirmed were compared with those of
the 56 patients with DU. Intestinal metaplasia (IM) was present in 32.2% of the
59 cases with endoscopic suspicion of gastric cancer and in 16.1% of the 56 DU
controls (P < 0.05). Mucosa-associated lymphoid tissue (MALT) occurred in 28.8%
of the cancer-resembling cases and in 12.5% of the DU patients (P < 0.05). The
difference in the prevalence of gastric mucosal atrophy and Helicobacter pylori
infection between the two groups (83% vs. 71.4%) did not reach statistical
significance (P > 0.10). All 18 patients with gastric cancer were positive for
Helicobacter pylori and the prevalence of the infection approached 95% in those
with IM and MALT. This study shows that IM and MALT present with endoscopic
appearances that resemble that of gastric cancer and that along with the latter,
their main aetiological agent is Helicobacter pylori.
PMID- 18050844
TI - Gall bladder carcinoid: a case report and review of literature.
AB - Gall bladder carcinoid tumours are rare and usually lack specific symptoms. In
most instances, they are incidentally detected after a cholecystectomy and rarely
do they manifest with carcinoid syndrome. We report a case of gall bladder
carcinoid in a patient who had undergone surgery for rectal carcinoid 10 years
back. Occurrence of rectal carcinoid and gall bladder carcinoid in the same
patient has not been reported before.
PMID- 18050845
TI - Colonic actinomycosis masquerading as perforated colonic carcinoma.
AB - A case of Actinomycosis affecting the transverse colon masquerading as an
abdominal neoplasm with perforation to abdominal parietal wall is presented.
Abdominal actinomycosis lesions may present as a perforated abdominal neoplasm
requiring emergency laparotomy. This case report highlights the diagnostic
dilemma and the management algorithm for such infections.
PMID- 18050846
TI - Management of anorectal melanomas: a 10-year review.
AB - BACKGROUND: Anorectal melanoma (AM) is a rare tumour with poor prognosis. The
primary modality of treatment for AM is surgery. However, the choice of operation
is controversial. Historically, radical operations like abdominoperineal excision
of rectum (APER) with or without inguinal lymph node dissection were preferred.
However, as no stage-specific survival advantage has been seen with APER later
studies have recommended wide local excision (WLE) as the preferred treatment
where negative margins can be achieved. The aim of our study was to review our
results. METHODS: Inpatient and outpatient charts of all patients treated for AM
between 1996 and 2005 were reviewed. Patient characteristics, clinical
presentation, evaluation, staging, treatment, complications and followup were
studied. Patients were followed up to assess disease free and overall survival
according to the stage of disease and the surgical procedure performed. RESULTS:
17 patients were treated for AM between 1996 and 2005. At presentation 4 (23%)
had metastatic and 1 (6%) had inoperable disease. 12 patients (71%) had operative
therapy of which 10 had APER and two had WLE. 82% of these patients were followed
up for a mean duration of 8 months (range 3-30 months) and their overall and
disease free survival compared. The stage specific disease free and overall
survival for patients who underwent APER was 8 months and 13 months for stage I
and 7 months and 10 months for stage II respectively. The disease free survival
and overall survival for patients who underwent WLE, both for stage I disease,
was 10 months and 27 months respectively. CONCLUSION: Despite surgical resection
and emergence of various forms of adjuvant therapy, the overall prognosis of
anorectal melanoma remains dismal. From a review of literature and our own
experience, though limited, we conclude that WLE be recommended where negative
margins can be achieved and where this is technically feasible. APER should be
reserved for large tumours where WLE is not technically possible.
PMID- 18050847
TI - Liver abscess, portal vein thrombosis and cavernoma formation following umbilical
vein catherisation in two neonates.
AB - Liver abscess is a rare condition in neonates and its diagnosis requires a high
degree of suspicion. CT scan and ultrasound are the most sensitive diagnostic
modalities for detecting hepatic abscess. Portal vein thrombosis and cavernoma
formation are rare complications following neonatal liver abscess and sepsis. We
describe the case of two neonates with hepatic abscess following umblical vein
catheterisation, with rare complications of portal vein thrombosis and portal
vein cavernoma formation. Therefore, unreserved caution should be exercised in
performing umbilical cannulation in neonates due to the inherent risks involved
with this procedure.
PMID- 18050848
TI - Neonatal gastrointestinal mucormycosis--a case report.
AB - Mucormycosis is a rare opportunistic fungal infection. It occurs mainly in
immunocompromised patients and is usually fatal in children. This fungus can
affect central nervous system, nasopharynx, lungs, skin and gastrointestinal
tract. It invades the blood vessels and causes thrombosis, leading to infarction
of the surrounding tissues. The diagnosis depends mainly on histopathological
examination and demonstration of the fungus in the tissue sections, therefore a
high index of suspicion and early exploration is required. We report a case of
malnourished child who presented with perforation peritonitis. Investigations
revealed very low total serum proteins and raised serum creatinine and blood urea
levels. On exploratory laparotomy three perforations were located in the
gastrointestinal tract. Histopathlogical examination of the perforation edge
sections showed characteristic fungal hyphae confirming the diagnosis of
gastrointestinal mucormycosis.
PMID- 18050849
TI - Isolated tubercular splenic abscess in an immunocompetent child.
AB - Splenic abscess is an uncommon entity in children, more so of tubercular etiology
in immunocompetent patients. The few cases reported have usually revealed
solitary abscesses in the spleen. We present a case of a 12 year-old
immunocompetent girl who presented with fever and pain abdomen and was detected
to have multiple tubercular abscesses in the spleen in the absence of any other
focus of tuberculosis.
PMID- 18050850
TI - Peritoneal penetrating pencil injury.
AB - An 8 year old school going boy sustained an accidental peritoneal penetrating
injury while playing with a pencil in his trouser pocket. Surgical exploration
revealed intact intraperitoneal and retroperitoneal viscera and the pencil could
be successfully removed. In addition to the acute injuries there are numerous
late sequlae of pencil injuries that cause concern.
PMID- 18050851
TI - [Socio-economic context in the Lower Iseo Lake area after World War II].
AB - In the second part of the 20th century, the Basso Sebino Area (Northern Italy)
underwent a transformation into an industrial context. The first factories were
engaged in the production of textiles, clothes and pasta. This was followed by a
major development of mechanic, plastic and rubber industry. The latter activity
was concentrated on the production of buttons. This text describes the evolution
of the unions'activity in order to obtain a more decent salary and better working
conditions in all productive areas. Within this reality, the production of
asbestos goods started in 1950 in the Colombo enterprise, run by Rinaldo Colombo,
The latter was also the manager of the chrysotile quarry in Balangero, province
of Torino (the biggest asbestos mine in Western Europe). Through these
connections, asbestos was conveyed from Balangero to the Basso Sebino Area in
such a way that most of the asbestos chain (extraction, processing of asbestos
goods and sales) was under the control of the same firm.
PMID- 18050852
TI - [The productive district of textile asbestos in the Lower Iseo Lake area].
AB - In the Bergamo area of Basso Sebino (lower Lake Iseo), for decades there has been
a large concentration of small firms (mostly family-run), specialised in the
production of rubber gaskets. Within this production field, some companies used
to manufacture textile asbestos exclusively or as part of their business. The
asbestos goods were therefore marketed as they were or subsequently cut and
transformed into gaskets. Among the 5 companies involved, "Manifattura Colombo &
C" was the first one that started this production in the district, and the one
that engaged the greatest number of employees (considering both the Sarnico and
Predore factories). In the Predore factory, operating from the fifties to 1979,
the asbestos thread production was carried out exclusively and to the complete
technological cycle (i.e. from crashing the raw asbestos that was brought in from
Balangero). The whole process was performed in an extremely dusty environment
(according to the witness of former workers), expecially during the first
production steps. In the Sarnico factory which operated from 1920 to 1993, they
produced textile asbestos items (laces, ropes, etc.), as well as rubber and metal
gaskets. The latter were coupled with asbestos by means of metal-plastics co
moulding operations, in order to obtain gaskets highly resistant to exhaust
vapours, gases, oils, solvents and so on. The environmental data available
(referred to the 1980-1992 period), supply evidence of severe exposure in the
first years of activity, whilst a sharp reduction in the asbestos-fiber
concentration rate was achieved along the years, thanks mainly to the completion
and improvement of exhaust systems installed on winding and braiding machines.
Finally we shortly describe the work of the four other factories and in more
detail that of the "Manifattura Sebina srl" is mentioned herein because, although
this is a "typical" textile mill and exclusively manufacturing cotton products, a
considerable number of cases of mesothelioma has been detected among its
workforce. This has been attributed to the presence of asbestos insulated piping,
to maintenance and replacement interventions on looms brake pads, and above all
to the nearly 50 asbestos blankets that were employed in the weekly fire-fighting
exercises, and usually leaned against the walls of departments, with no
protection whatsoever.
PMID- 18050853
TI - [The epidemiologic surveillance of malignant mesothelioma in the Lower Iseo Lake
area].
AB - Starting from an hospital observation of the mesotheliomas cluster in women
living in a lakeside area (Iseo lake, Northern Italy), an epidemiological
surveillance of this tumour was performed by the local occupational health
service. This cluster wasn't notified, in spite of the relevant number of
factories producing asbestos textile materials in this area. From 1977 to august
2006, 45 cases of mesothelioma were detected among the workers of 3 textile
industries located in 3 little villages: 14 cases occurred working crocidolite
and chrysotile rope and gasket; 20 cases in a textile factory producing cotton
garments, that was adjacent to and polluted by the farmer and were asbestos
insulation and blankets used for fireproofing are present; 11 cases occurred
among women working in silk factories. The mesothelioma cases occurred in the
same period in this area, which constituted the recruitment area of the people
working in the 3 textile plants (11 villages, about 43,000 inhabitants), are
55.93% of which had been occupationally exposed to asbestos. Out of the dockyard
and the asbestos-cement industries, this frequency of occupational exposed
workers is the highest never observed in Italy. The majority of the cases (66%)
occurred among women working in the textile factories. In a women, producing
asbestos textile materials and suffered form peritoneal mesothelioma and pleural
plaques, the analysis (by SEM) of asbestos fibre lung burden show 286 million
fibres x gr. of dry tissue. Between the 42 mesothelioma cases occurring in the
population of the 3 villages where the textile plants was located, we observed
only one case with possible environmental exposure to asbestos: a gardener of the
village where the manufacturing asbestos ropes and gasket plant is present. In
the silk factories, asbestos exposure was probable because of the presence of
asbestos insulated pipes. The female pleural mesothelioma standard incidence
observed in this area (6.8 x 100,000, 1977-2005) is the highest never estimate in
Italy. The epidemiological surveillance of the mesothelioma appear essential to
identify cases unreported and allow the collection of information useful to
understand clearly the asbestos exposure effects on health's workers and to
estimate the tumour incidence in the population.
PMID- 18050855
TI - [Asbestos exposure in the non-asbestos textile industry: the experience of the
Lombardy Mesothelioma Registry].
AB - The Lombardy Mesothelioma Registry, activated in 2000, receives more than 300
cases per year of suspected malignant mesothelioma; the standardized (age and
gender) incidence rate of pleural mesothelioma is 2.4/100,000 inhabitants (CI 95%
2.0-2.7). The finding of an increasing number of cases among workers of the non
asbestos-textile industry, classified as "unknown exposure to asbestos", upheld
the suspect of presence of asbestos in this compartment. Specific information
about a possible asbestos exposure were collected by technicians, maintenance
personnel and other experts; industrial machinery utilized in the past was
thoroughly examined; direct inspections were carried out in several workplaces
that had not yet undergone significant changes with respect to the past. A large
amount of asbestos had been regularly used on the ceilings and also to the walls
of factories in order to avoid both condensation of steam and reflection of
noise. In addition, asbestos had also been widely used to insulate water and
steam pipes. The braking systems of most of machines also had asbestos gaskets,
and on several looms some brakes operated continuously. The population in study
was composed of 119 subjects, 27 males and 92 females, median age of 72 years.
Asbestos exposure was ascribed to work in 106 cases (89%). The system devised by
the Lombardy Registry had brought to light an occupational hazard in a
professional area previously never believed as a source of asbestos exposure. In
consideration of the described experience, both environmental and clinical, it
seems reasonable to consider the non-asbestos-textile as a new department at risk
for asbestos exposure.
PMID- 18050854
TI - [Epidemiological surveillance of malignant mesothelioma cases in Italy: incidence
and asbestos exposure figures by the Italian mesothelioma registry (ReNaM)].
AB - The Study describes the epidemiological surveillance of mesothelioma cases
carried out by the Italian mesothelioma register (ReNaM). A Regional Operating
Centre (COR) is present in nearly all Italian regions (17 out of 20) and it
collects malignant mesothelioma cases and investigate the modalities of asbestos
exposure by using a structured questionnaire. The register produces malignant
mesothelioma incidence measures and analyses of the modalities of the asbestos
exposure. The standardized incidence rate of malignant mesothelioma in 2001 was
2.98 (in 100,000 inhabitants) among men and 0.98 among women; a professional
(certain, probable, possible) exposure has been detected in 67.4% of defined
cases. In addition to the conventional sectors (shipbuilding, railways repair and
demolition, asbestos-cement production), also textile, building, transport,
chemical and glass industries, petroleum and sugar refineries, electricity
production and distribution plants are getting involved. Despite the absence of
some regions completing the national coverage and the non homogeneity in
collecting and coding data, the epidemiological surveillance of malignant
mesothelioma carried out by ReNaM is an important tool for the scientific
knowledge and the prevention of asbestos-related diseases.
PMID- 18050856
TI - [The work of the social security and welfare branch of the Trade Union supporting
workers suffering from mesothelioma and asbestos related diseases].
PMID- 18050858
TI - [Criminal responsibility in non pre-meditated personal injury caused by
inhalation of asbestos].
PMID- 18050857
TI - [Regione Lombardia 2006-2010: action plan for asbestos derived risk prevention].
PMID- 18050859
TI - [Asbestos fibers and mesothelioma pathogenesis].
PMID- 18050860
TI - [Mesothelioma incidence decreases parallel to asbestos exposure decrement or
interruption: a confirmation of a dose-response relationship, with implications
in public health].
AB - On the basis of the available evidence, several groups of experts and
investigators identified a dose-response relationship between exposure to
commercial types of asbestos fibres and mesothelioma risk. The first mathematical
model was proposed by Peto et al. It was derived from a conceptualisation of the
multistage theory of cancer and provides an interpretation of the risk for the
occurrence of mesothelioma in cohorts of exposed workers. In the study described
in this paper, the author reviewed the data suggesting a decrease in
mesotheliomas rate follosing reduction or interruption of exposure. Descriptive
analyses and the few available long-term cohort studies indicate a decrease in
risk. This is supported also by the fact that even the most biopersistent
asbestos fibres are eliminated from the lungs. Indeed, a slow but effective
reduction of risk has been demonstrated in the cohort of Wittenoom workers in
Australia, previously exposed to crocidolite.
PMID- 18050861
TI - [Asbestos: a long lasting tragedy. Useful considerations for a historical
reconstruction of the most remarkable facts].
AB - A thought back on the "epic of asbestos" scanning the fundamental steps, from the
"discovery" of the adverse effects for the workers. A first phase, the
"asbestosis one" concluded in Britain in the early thirties with the issue of a
technical legislation is described. It was the first regulation shared by the
Unions and the asbestos companies, some of which were or will then become leaders
all over the world. The main effect of this legislation enforcement is the
reduction of the exposure in some units of the asbestos textile industry; no
effects were observed instead in other asbestos industrial divisions where it's
consumption for insulations and asbestos cement increased massively. The second
phase lasting approximately thirty years next sees together to a formidable
diffusion of all the asbestos fibres including the crocidolite ones, advertised
and accepted like "indispensable" for the economical and social development, an
absolute leadership of the companies in the management of health effects
information for the workers and therefore also those on the pulmonary
cancerogenicity. Such selfish and aggressive leadership, receives in return from
government, labour and consumers organizations just inertia, impotence and
incredulity. This attitude will also continue in the third phase, beginning in
the early sixties of the last century. The time period will be dominated by
mesothelioma with all its new and terrible meanings, the dangerousness of
asbestos exposure especially to the blue one even at lower levels than those
observed in the past for other pathologies and the long latency before the
appearance of the effects. Discussing about asbestos substitutes was out of the
agenda, indeed just in the period where the mining and the consumption of
asbestos touched the highest levels. The initiatives assumed in some countries
like the auto limitation of the use of crocidolite and a more rigorous reduction
of the occupational exposures will only turn out useful in order to lower the
risk for asbestosis and, probably, the one for pulmonary tumour. In the United
States, the judicial litigation for compensation between the workers and the
companies begins. The same phenomenon will characterize also in the other
countries industrializes the fourth phase of the epic, until our days; it is just
in these years, and especially during the eighties, that industry starts thinking
about the substitution of asbestos; the lively public debate will favour
initiatives oriented to obtain economic compensation for damages caused by past
occupational and environmental exposures. These legal actions will carry to
bankruptcy all the asbestos companies and later to the ban of asbestos. The
judicial debates will also uncover "confidential" information useful to better
reconstruct the epic, to formulate more dispassionate historical judgments and to
allow everyone on answering to more complex questions and more important than how
much generally it was previously believed; all this should happen contextualizing
the ages in which the scientific acquaintances on the effects of asbestos have
been published and disproving prejudgments, able to affect some conclusions of
the past.
PMID- 18050862
TI - [Asbestos exposure circumstances and malignant mesothelioma casuistry of the
Tuscan Registry: preliminary indications on the efficacy of dust control measures
introduced during the Seventies].
PMID- 18050863
TI - [A common professional identity].
PMID- 18050864
TI - [What is the clinical nursing evaluation of nephrology patients in Quebec?].
PMID- 18050865
TI - [Injections in dialysis: are you taking risks?].
PMID- 18050866
TI - [Benefits of predialysis consultations].
PMID- 18050867
TI - [Nursing in teledialysis].
PMID- 18050868
TI - [What is the role of nurses in hemodialysis?].
PMID- 18050869
TI - [Vascular access in hemodialysis].
PMID- 18050870
TI - [Incidence of use of flexible needles on the quality of kidney dialysis].
PMID- 18050871
TI - [Evaluation of hemostatic compression on vascular access in hemodialysis].
PMID- 18050872
TI - [Water quality in hemodialysis].
PMID- 18050873
TI - [Anticoagulation of extra-corporeal circuits by citrates].
PMID- 18050874
TI - [Improving the administration of erythropoietin].
PMID- 18050875
TI - [Deficiency of serum albumin, a factor determining hemoglobin level in the
hemodialysis patient].
PMID- 18050876
TI - [Balance of calcium phosphates in the eyes of patients].
PMID- 18050877
TI - [If pain has overwhelmed me].
PMID- 18050878
TI - [A better understanding of patient noncompliance].
PMID- 18050879
TI - [Booklet of receipts for hemodialysis patients].
PMID- 18050880
TI - [Peritoneal dialysis at the disposal of everyone].
PMID- 18050881
TI - [Optimizing the results of kidney transplantation].
PMID- 18050882
TI - Data collection important step in fight against racial, ethnic disparities.
PMID- 18050883
TI - Physician-focused option shows savings.
AB - CMS' first pay-for-performance initiative for physicians shows two groups earned
performance payments for quality and cost efficiency of $7.3 million as part of
their share of the $9.5 million savings in the first year.
PMID- 18050884
TI - Physician support critical for DM.
AB - A supportive physician backing a DM program can often be the difference between
success and a lost opportunity.
PMID- 18050885
TI - Shape from shading: new perspectives from the Polo Mint stimulus.
PMID- 18050886
TI - Vaginal hysterectomy: dispelling the myths.
PMID- 18050887
TI - The Sixth World Congress on Alternatives and Animal Use in the Life Sciences.
PMID- 18050888
TI - Axonal degeneration and neuropathy target esterase.
AB - This brief review summarizes recent observations which suggest a possible
mechanism for organophosphate-induced delayed neuropathy (OPIDN). Neuropathy
target esterase (NTE) has been shown to deacylate endoplasmic reticulum (ER)
membrane phosphatidylcholine (PtdCho). Raised levels of PtdCho are present in the
brains of Swiss cheese/NTE mutant Drosophila together with abnormal membrane
structures, axonal and dendritic degeneration and neural cell loss. Similar
vacuolated pathology is found in the brains of mice with brain-specific deletion
of the NTE gene and, in old age, these mice show clinical and histopathological
features of neuropathy resembling those in wild-type mice chronically dosed with
tri-ortho-cresylphosphate. It is suggested that OPIDN results from the loss of
NTE's phospholipase activity which in turn causes ER malfunction and perturbation
of axonal transport and glial-axonal interactions.
PMID- 18050889
TI - Surgical site infection: the host factor.
AB - More than 30 million surgical procedures are performed annually in the United
States, and surgical site infections (SSIs) remain a major postoperative
complication. Although bacteria contaminate all surgical wounds, not all wounds
become infected. In most cases, the host response eradicates the microbes. The
patient's (ie, host's) responsiveness, therefore, is an important variable in the
equation of factors that influence the rate of infection. Optimizing the
patient's physiological condition can help prevent SSIs. Initiatives that show
promise in reducing SSI rates include use of supplemental oxygen, maintenance of
core body temperature, and rigorous management of blood sugar. Perioperative
nurses play an important role as the patient's infection control advocate.
PMID- 18050890
TI - High-dose-rate remote afterloaders for intraoperative radiation therapy.
AB - Intraoperative radiation therapy (IORT) is a treatment option that directly
irradiates a surgically exposed tumor or tumor bed while preventing radiation
exposure of normal tissues. This article discusses the high-dose-rate
intraoperative radiation therapy (HDR-IORT) technique by reviewing the roles of
IORT team members, discussing needed equipment and supplies, describing quality
assurance processes, explaining the HDR-IORT treatment delivery procedure, and
reviewing the post-treatment phase.
PMID- 18050891
TI - Ontologies for bioinformatics.
PMID- 18050892
TI - Scapulothoracic dissociation: a rare but severe traumatic injury with special
implications for HEMS decision making at the scene.
PMID- 18050893
TI - [Finding of translocon].
PMID- 18050894
TI - [Making attempts at humane understanding of bipolar disorders].
PMID- 18050895
TI - [Progress in psychosocial therapy for schizophrenic patients and their families].
PMID- 18050896
TI - [Schizophrenic patients with premorbid vulnerability to stress].
PMID- 18050898
TI - [Disturbance in receptive prosody as a premorbid vulnerability to schizophrenia].
PMID- 18050897
TI - [Stressful life events influence occurrence, recurrence and the course of
schizophrenia].
PMID- 18050899
TI - [Pathological change of brain and premorbid vulnerability to stress in patients
with schizophrenia].
PMID- 18050900
TI - [Premorbid stress vulnerability and genetic polymorphism of brain-derived
neurotrophic factor].
PMID- 18050901
TI - [Formation of premorbid stress vulnerability in schizophrenia].
PMID- 18050902
TI - [Genetic counseling in clinical psychiatry].
PMID- 18050903
TI - [Sleep disorders].
PMID- 18050904
TI - The reverse proteomics for identification of tumor antigens.
AB - The identification of tumor antigens is essential for the development of
anticancer therapeutic vaccines and clinical diagnosis of cancer. SEREX
(serological analysis of recombinant cDNA expression libraries) has been used to
identify such tumor antigens by screening sera of patients with cDNA expression
libraries. SEREX-defined antigens provide markers for the diagnosis of cancers.
Potential diagnostic values of these SEREX-defined antigens have been evaluated.
SEREX is also a powerful method for the development of anticancer therapeutics.
The development of anticancer vaccines requires that tumor antigens can elicit
antigen-specific antibodies or T lymphocytes. More than 2000 antigens have been
discovered by SEREX. Peptides derived from some of these antigens have been
evaluated in clinical trials. This review provides information on the application
of SEREX for identification of tumor-associated antigens (TAA) for the
development of cancer diagnostics and anticancer therapeutics.
PMID- 18050905
TI - Identification of novel mitochondrial membrane protein (Cdf 3) from Arabidopsis
thaliana and its functional analysis in a yeast system.
AB - We screened the Arabidopsis cDNA library to identify functional suppressors of
AtBI-1, a gene that suppresses cell death induced by Bax gene expression in
yeast. Cdf 3 encodes a 118-amino-acid protein with a molecular mass of 25 kDa.
This protein has two uncharacterized domains at amino acids residues 5-64 and 74
117. In the present study, CDF3 was found to induce growth defects in yeast and
arrested yeast growth, although the cell-growth defect was somewhat less than
that of Bax. Its localization in the inner mitochondria was essential for
suppression of yeast-cell proliferation. The morphological abnormality of the
intracellular network, which is a hallmark of AtBI-1, was attenuated by Cdf 3
expression.
PMID- 18050906
TI - The dynamics of protein decomposition in lakes of different trophic status-
reflections on the assessment of the real proteolytic activity in situ.
AB - Abstract The aim of this paper is to discuss the methodology of our investigation
of the dynamics of protein degradation and the total in situ proteolytic activity
in meso/eutrophic, eutrophic, and hypereutrophic freshwater environments.
Analysis of the kinetics and rates of enzymatic release of amino acids in water
samples preserved with sodium azide allows determination of the concentrations of
labile proteins (C(LAB)), and their half-life time (T(1/2)). Moreover, it gives
more realistic information on resultant activity in situ (V(T(1/2))) of ecto- and
extracellular proteases that are responsible for the biological degradation of
these compounds. Although the results provided by the proposed method are
generally well correlated with those obtained by classical procedures, they
better characterize the dynamics of protein degradation processes, especially in
eutrophic or hypereutrophic lakes. In these environments, processes of protein
decomposition occur mainly on the particles and depend primarily on a metabolic
activity of seston-attached bacteria. The method was tested in three lakes. The
different degree of eutrophication of these lakes was clearly demonstrated by the
measured real proteolytic pattern and confirmed by conventional trophic state
determinants.
PMID- 18050907
TI - Screening and characterization of an enzyme with beta-glucosidase activity from
environmental DNA.
AB - A novel beta-glucosidase gene, bglA, was isolated from uncultured soil bacteria
and characterized. Using genomic libraries constructed from soil DNA, a gene
encoding a protein that hydrolyzes a fluorogenic analog of cellulose, 4
methylumbelliferyl beta-D-cellobioside (MUC), was isolated using a microtiter
plate assay. The gene, bglA, was sequenced using a shotgun approach, and
expressed in E. coli. The deduced 55-kDa amino acid sequence for bglA showed a
56% identity with the family 1 glycosyl hydrolase Chloroflexus aurantiacus. Bg1A
included two conserved family 1 glycosyl hydrolase regions. When using p
nitrophenyl-beta-D-glucoside (pNPG) as the substrate, the maximum activity of the
purified beta-glucosidase exhibited at pH 6.5 and 55 degrees C, and was enhanced
in the presence of Mn2+. The Km and Vmax values for the purified enzyme with pNPG
were 0.16 mM and 19.10 micromol/min, respectively. The purified BglA enzyme
hydrolyzed both pNPG and p-nitrophenyl-beta-D-fucoside. The enzyme also exhibited
substantial glycosyl hydrolase activities with natural glycosyl substrates, such
as sophorose, cellobiose, cellotriose, cellotetraose, and cellopentaose, yet low
hydrolytic activities with gentiobiose, salicin, and arbutin. Moreover, Bg1A was
able to convert the major ginsenoside Rb1 into the pharmaceutically active minor
ginsenoside Rd within 24 h.
PMID- 18050908
TI - Cohnella panacarvi sp. nov., a xylanolytic bacterium isolated from ginseng
cultivating soil.
AB - A Gram-positive, aerobic, rod-shaped, nonmotile, endospore-forming bacterium,
designated Gsoil 349T, was isolated from soil of a ginseng field and
characterized using a polyphasic approach. Comparative analysis of 16S rRNA gene
sequences revealed that the strain Gsoil 349T belongs to the family
Paenibacillaceae, and the sequence showed closest similarity with Cohnella
thermotolerans DSM 17683T (94.1%) and Cohnella hongkongensis DSM 17642T (93.6%).
The strain showed less than 91.3% 16S rRNA gene sequence similarity with
Paenibacillus species. In addition, the presence of MK-7 as the major menaquinone
and anteiso-C(15:0), iso-C(16:0), and C(16:0) as major fatty acids suggested its
affiliation to the genus Cohnella. The G+C content of the genomic DNA was 53.4
mol%. On the basis of its phenotypic characteristics and phylogenetic
distinctiveness, strain Gsoil 349T should be treated as a novel species within
the genus Cohnella for which the name Cohnella panacarvi sp. nov. is proposed.
The type strain is Gsoil 349T (=KCTC 13060T = DSM 18696T).
PMID- 18050909
TI - Functional characterization of antagonistic fluorescent pseudomonads associated
with rhizospheric soil of rice (Oryza sativa L.).
AB - Antagonistic fluorescent pseudomonads isolated from rhizospheric soil of rice
were characterized by 16S rRNA amplicon and fatty acid methyl ester (FAME)
analyses. Antagonistic isolates were grown in the fermentation media, and
production of antibiotics was confirmed by thin-layer chromatography (TLC) and
high-performance liquid chromatography (HPLC). Production of fungal cell-wall
degrading enzymes such as protease, cellulase, pectinase, and chitinase was
determined. Dendrogram based on the major and differentiating fatty acids
resulted into 5 clusters, viz., cluster I (P. pseudoalcaligenes group), cluster
II (P. plecoglossicida group), cluster III (P. fluorescens group), cluster IV (P.
aeruginosa group), and cluster V (P. putida group). Characteristic presence of
high relative proportions of cyclopropane (17:0 CYCLO w7c) was observed in
antagonistic bacteria. Data revealed biodiversity among antagonistic fluorescent
pseudomonads associated with the rice rhizosphere. Results presented in this
study will help to identify the antagonistic isolates and to determine their
mechanisms that mediate antagonism against fungal pathogens of rice.
PMID- 18050910
TI - Functional analysis of olfactory receptors expressed in a HEK-293 cell system by
using cameleons.
AB - Cameleon is a genetically engineered Ca2+ sensing molecule consisting of two
variants of the green fluorescent protein (GFP), calmodulin and calmodulin
binding protein, M13. HEK-293 cells stably expressing three types of cameleons,
yellow cameleon-2, cameleon-3er, and cameleon-2nu, were constructed, and the
expression and localization of these cameleons were confirmed by fluorescent
imaging. Among the cameleons, the yellow cameleon-2 was selected for analyzing
the change in Ca2+ induced by the olfactory receptor-mediated signal
transduction, because it is localized in the cytosol and binds to cytosolic Ca2+
ions. Cells stably expressing yellow cameleon-2 were transfected with each of the
test olfactory receptor genes, odr-l0 and 17, and the expression of the olfactory
receptor genes were examined using immunocytochemical methods and RT-PCR.
Stimulating each olfactory receptor with its specific odorant caused an increase
in the intracellular Ca2+ level, which was measured using yellow cameleon-2.
These results demonstrate that yellow cameleon-2 can be conveniently used to
examine the function of the olfactory receptors expressed in heterologous cells.
PMID- 18050911
TI - Proteome analysis of Paenibacillus polymyxa E681 affected by barley.
AB - Paenibacillus polymyxa E681 is known to be able to suppress plant diseases by
producing antimicrobial compounds and to promote plant growth by producing
phytohormones, and secreting diverse degrading enzymes. In spite of these
capabilities, little is known regarding the flow of information from the
bacterial strain to the barley roots. In an attempt to determine the flow of
information from the bacterial strain to barley roots, the train was grown in the
presence and absence of barley, and two-dimensional polyacrylamide gel
electrophoresis (2D-PAGE) and MALDI-TOF mass spectrometry were used. 2D-PAGE
detected approximately 1000 spots in the cell and 1100 spots in the supernatant
at a pH 4-10 gradient. Interestingly, about 80 spots from each sample showed
quantitative variations. Fifty-three spots from these were analyzed by MALDI-TOF
mass spectrometry and 28 proteins were identified. Most of the cytosolic proteins
expressed at higher levels were found in P. polymyxa E681 cells grown in the
presence of barley rather than in the absence of barley. Proteins detected at a
lower level in the surpernatant of P. polymyxa E681 cells grown in the presence
of barley were lipoprotein, glucose-6-phosphate 1-dehydrogenase, heat-shock
protein HtpG spermidine synthase, OrfZ, ribonuclease PH, and coenzyme PQQ
synthesis protein, and flagellar hook-associated protein 2 whereas proteins
detected at a higher level in the surpernatant of P. polymyxa E681 cells grown in
the presence of barley included D-alanyl-D-alanine ligase A,
isopentenyldiphosphate delta-isomerase, ABC transporter ATP-binding protein Uup,
lipase. Many of the proteins belonging to plant-induced stimulons are associated
with biosynthetic metabolism and metabolites of proteins and transport. Some of
these proteins would be expected to be induced by environmental changes resulting
from the accumulation of plant-secreted substances.
PMID- 18050912
TI - Relationship between genome similarity and DNA-DNA hybridization among closely
related bacteria.
AB - DNA-DNA hybridization has been established as an important technology in
bacterial species taxonomy and phylogenetic analysis. In this study, we analyzed
how the efficiency with which the genomic DNA from one species hybridizes to the
genomic DNA of another species (DNA-DNA hybridization) in microarray analysis
relates to the similarity between two genomes. We found that the predicted DNA
DNA hybridization based on genome sequence similarity correlated well with the
experimentally determined microarray hybridization. Between closely related
strains, significant numbers of highly divergent genes (<55% identity) and/or the
accumulation of mismatches between conserved genes lowered the DNA-DNA
hybridization signal, and this reduced the hybridization signals to below 70% for
even bacterial strains with over 97% 16S rRNA gene identity. In addition, our
results also suggest that a DNA-DNA hybridization signal intensity of over 40%
indicates that two genomes at least shared 30% conserved genes (>60% gene
identity). This study may expand our knowledge of DNA-DNA hybridization based on
genomic sequence similarity comparison and further provide insights for bacterial
phylogeny analyses.
PMID- 18050913
TI - Expression of antihypertensive peptide, His-His-Leu, as tandem repeats in
Escherichia coli.
AB - His-His-Leu (HHL), a tripeptide derived from a Korean soybean paste, is an
angiotensin-I-converting enzyme (ACE) inhibitor. We report here a method of
producing this tripeptide efficiently by expressing tandem multimers of the
codons encoding the peptide in E. coli and purifying the HHL after hydrolysis of
the peptide multiners. The HHL gene, tandemly multimerized to a 40-mer, was
ligated with ubiquitin as a fusion gene (UH40). UH40 was inserted into vector
pET29b; the UH40 fusion protein was then produced in E. coli BL21. The
recombinant UH40 protein was purified by cation-exchange chromatography with a
yield of 17.3 mg/l and analyzed by matrix-assisted laser desorption ionization
(MALDI) time-of-flight (TOF) mass spectrometry and protein N-terminal sequencing.
Leucine aminopeptidase was used to cleave a 405-Da HHL monomer from the UH40
fusion protein and the peptide was purified using reverse-phase high-performance
liquid chromatography (HPLC) on a C18 HPLC column, with a final yield of 6.2
mg/l. The resulting peptide was confirmed to be HHL with the aid of MALDI-TOF
mass spectrometry, glutamine-TOF mass spectrometry, N-terminal sequencing, and
measurement of ACE inhibiting activity. These results suggest that our production
method is useful for obtaining a large quantity of recombinant HHL for functional
antihypertensive peptide studies.
PMID- 18050914
TI - Simultaneous and sequential co-immobilization of glucose oxidase and catalase
onto florisil.
AB - The co-immobilization of Aspergillus niger glucose oxidase (GOD) with bovine
liver catalase (CAT) onto florisil (magnesium silicate-based porous carrier) was
investigated to improve the catalytic efficiency of GOD against H2O2
inactivation. The effect of the amount of bound CAT on the GOD activity was also
studied for 12 different initial combinations of GOD and CAT, using simultaneous
and sequential coupling. The sequentially co-immobilized GOD-CAT showed a higher
efficiency than the simultaneously co-immobilized GOD-CAT in terms of the GOD
activity and economic costs. The highest activity was shown by the sequentially
co-immobilized GOD-CAT when the initial amounts of GOD and CAT were 10 mg and 5
mg per gram of carrier. The optimum pH, buffer concentration, and temperature for
GOD activity for the same co-immobilized GOD-CAT sample were then determined as
pH 6.5, 50 mM, and 30 degrees C, respectively. When compared with the
individually immobilized GOD, the catalytic activity of the co-immobilized GOD
CAT was 70% higher, plus the reusability was more than two-fold. The storage
stability of the co-immobilized GOD-CAT was also found to be higher than that of
the free form at both 5 degrees C and 25 degrees C. The increased GOD activity
and reusability resulting from the co-immobilization process may have been due to
CAT protecting GOD from inactivation by H2O2 and supplying additional O2 to the
reaction system.
PMID- 18050915
TI - Identification of two entomopathogenic bacteria from a nematode pathogenic to the
Oriental beetle, Blitopertha orientalis.
AB - A pathogenic nematode, Butlerius sp., was isolated from Oriental beetle,
Blitopertha orientalis. The infective juveniles exhibited dose- as well as time
dependent entomopathogenicity on the larvae of B. orientalis. Two bacterial
species, Providencia vermicola (KACC 91278) and Flavobacterium sp. (KACC 91279),
were isolated from the infective juveniles and identified. P. vermicola
outnumbered Flavobacterium sp. in the nematode host, in which the colony density
of P. vermicola was found to be 21 times higher than that of Flavobacterium sp.
However, when the two bacterial species were cocultured in culture media without
the nematode host, they showed similar growth rates. Both bacteria induced
significant entomopathogenicity against Spodoptera exigua larvae infesting
economically important vegetable crops, where P. vermicola was more potent than
Flavobacterium sp.
PMID- 18050916
TI - Physicochemical properties of the exopolysaccharides produced by marine bacterium
Zoogloea sp. KCCM10036.
AB - The physicochemical properties of the exopolysaccharide (EPS) produced by marine
bacterium Zoogloea sp. KCCM10036 were investigated. Two types of isolated EPSs
were shown to have average relative molecular masses (Mr) of 4.07 x 10(6) of CBP
(cell-bound polysaccharide) and 3.43 x 10(6) of WSP (water-soluble
polysaccharide), respectively. When the CBP was utilized as an emulsifier, it
stabilized the emulsion for up to 148 h. Compared with other commercially
available hydrocolloids such as xanthan gum, the Tween series, and Triton, the
CBP showed much better emulsifying capability on a water-in-oil system. Phase
separation occurred in the Tween series after 24 h, whereas the emulsion was
better stabilized by the CBP. The CBP thus has potential as an emulsifying agent
in commercial emulsions. The flocculating activity was also greatest at 0.01%
(w/v) and decreased at higher concentrations than the optimized concentration of
the WSP and CBP. The results also showed that both types of exopolysaccharides
from Zoogloea sp. had excellent flocculating activity.
PMID- 18050917
TI - Diversity of halophilic archaea from six hypersaline environments in Turkey.
AB - The diversity of archaeal strains from six hypersaline environments in Turkey was
analyzed by comparing their phenotypic characteristics and 16S rDNA sequences.
Thirty-three isolates were characterized in terms of their phenotypic properties
including morphological and biochemical characteristics, susceptibility to
different antibiotics, and total lipid and plasmid contents, and finally compared
by 16S rDNA gene sequences. The results showed that all isolates belong to the
family Halobacteriaceae. Phylogenetic analyses using approximately 1,388 bp
comparisions of 16S rDNA sequences demonstrated that all isolates clustered
closely to species belonging to 9 genera, namely Halorubrum (8 isolates),
Natrinema (5 isolates), Haloarcula (4 isolates), Natronococcus (4 isolates),
Natrialba (4 isolates), Haloferax (3 isolates), Haloterrigena (3 isolates),
Halalkalicoccus (1 isolate), and Halomicrobium (1 isolate). The results revealed
a high diversity among the isolated halophilic strains and indicated that some of
these strains constitute new taxa of extremely halophilic archaea.
PMID- 18050918
TI - Optimized serological isolation of lung-cancer-associated antigens from a yeast
surface-expressed cDNA library.
AB - The technique of serological analysis of antigens by recombinant cDNA expression
library (SEREX) uses autologous patient sera as a screening probe to isolate
tumor-associated antigens for various tumor types. Isolation of tumor-associated
antigens that are specifically reactive with patient sera, but not with normal
sera, is important to avoid false-positive and autoimmunogenic antigens for the
cancer immunotherapy. Here, we describe a selection methodology to isolate
patient sera-specific antigens from a yeast surface-expressed cDNA library
constructed from 15 patient lung tissues with non-small cell lung cancer (NSCLC).
Several rounds of positive selection using patient sera alone as a screening
probe isolated clones exhibiting comparable reactivity with both patient and
normal sera. However, the combination of negative selection with allogeneic
normal sera to remove antigens reactive with normal sera and subsequent positive
selection with patient sera efficiently enriched patient sera-specific antigens.
Using the selection methodology described here, we isolated 3 known and 5 unknown
proteins, which have not been isolated previously, but and potentially associated
with NSCLC.
PMID- 18050919
TI - Development of homogeneous enzyme immunoassay for the organophosphorus
insecticide fenthion.
AB - A rapid, convenient homogeneous competitive enzyme immunoassay for estimating the
amount of fenthion is described. The assay utilizes glucose-6-phosphate
dehydrogenase-hapten conjugates that are inhibited in solution by antibodies
obtained from bovine serum albumin-hapten conjugates. In order to investigate the
effects of bridging group recognition on the sensitivity of dose response
characteristics, the bridging groups of varying alkyl chain length were attached
at the phosphate position of fenthion. Among the antibodies used, the one
obtained from the use of hapten (fenthion analog) with the same bridging group
structure that was used in preparing the enzyme-fenthion conjugates showed
maximum inhibition (up to 51.8%) in the absence of fenthion. In the presence of
fenthion, the activity of the enzyme-hapten conjugate is regained in an amount
proportional to the fenthion concentration. Under the optimized condition, the
ED50 value for fenthion was 0.809 microg/ml. The assay developed in this study is
a rapid effective screening method for fenthion prior to precise analysis.
PMID- 18050920
TI - Biochemical analysis on the parallel pathways of methionine biosynthesis in
Corynebacterium glutamicum.
AB - Two alternative pathways for methionine biosynthesis are known in Corynebacterium
glutamicum: one involving transsulfuration (mediated by metB and metC) and the
other involving direct sulthydrylation (mediated by metY). In this study, MetB
(cystathionine gamma-synthase) and MetY (O-acetylhomoserine sulfhydrylase) from
C. glutamicum were purified to homogeneity and the biochemical parameters were
compared to assess the functional and evolutionary importance of each pathway.
The molecular masses of the native MetB and MetY proteins were measured to be
approximately 170 and 280 kDa, respectively, showing that MetB was a homotetramer
of 40-kDa subunits and MetY was a homohexamer of 45-kDa subunits. The Km values
for the O-acetylhomoserine catalysis effected by MetB and MetY were 3.9 and 6.4
mM, and the maximum catalysis rates were 7.4 (kcat = 21 s(-1)) and 6.0 (kcat=28
s(-1)) micromol mg(-1) min(-1), respectively. This suggests that both MetB and
MetY can be comparably active in vivo. Nevertheless, the Km value for sulfide
ions by MetY was 8.6 mM, which was too high, considering the physiological
condition. Moreover, MetB was active at a broad range of temperatures (30 and 65
degrees C) and pH (6.5 and 10.0), as compared with MetY, which was active in a
range from 30 to 45 degrees C and at pH values from 7.0 to 8.5. In addition, MetY
was inhibited by methionine, but MetB was not. These biochemical data may provide
insight on the role of the parallel pathways of methionine biosynthesis in C.
glutamicum with regard to cell physiology and evolution.
PMID- 18050921
TI - Cloning of fibrinolytic enzyme gene from Bacillus subtilis isolated from
Cheonggukjang and its expression in protease-deficient Bacillus subtilis strains.
AB - Bacillus subtilis CH3-5 was isolated from cheonggukjang prepared according to
traditional methods. CH3-5 secreted at least four different fibrinolytic
proteases (63, 47, 29, and 20 kDa) into the culture medium. A fibrinolytic enzyme
gene, aprE2, encoding a 29 kDa enzyme was cloned from the genomic DNA of CH3-5,
and the DNA sequence determined. aprE2 was overexpressed in heterologous B.
subtilis strains deficient in extracellular proteases using a E. coli-Bacillus
shuttle vector. A 29 kDa AprE2 band was observed and AprE2 seemed to exhibit
higher activities towards fibrin rather than casein.
PMID- 18050922
TI - Human apolipoprotein E2 transgenic mice show lipid accumulation in retinal
pigment epithelium and altered expression of VEGF and bFGF in the eyes.
AB - We investigated the human apolipoprotein E2 (apoE2) transgenic mouse as an animal
model system for age-related macular degeneration (AMD). Transgenic mice
expressing human apoE2 and C57BL/6J mice were fed normal chow or a high-fat diet
for 4 weeks. Eyes were collected from the mice and lipid deposits in retinal
pigment epithelium (RPE) were assessed using electron microscopy. The expressions
of apoE, vascular endothelial growth factor (VEGF), basic fibroblast growth
factor (bFGF), and pigment-epithelium derived factor (PEDF), which are molecular
markers for angiogenesis, were assessed with immunohistochemistry. Eyes from
apoE2 mice, regardless of diet, contained lipid accumulation in RPE under
electron microscopy, whereas control C57BL/6J eyes did not. Lipid accumulation
was found predominantly in the RPE and the Bruch's membrane and increased in the
eyes of apoE2 mice after one month of a high-fat diet (8 +/- 2 per 50 microm2 for
normal chow and 11 +/- 2 per 50 microm2, p < 0.05). ApoE expression was similar
in the apoE2 and control mice; however, VEGF and bFGF were overexpressed in the
retinal pigment epithelium of apoE2 eyes compared with control eyes, and PEDF
expression was slightly decreased. These expression patterns of VEGF, bFGF, and
PEDF suggest angiogenesis is progressing in apoE2 eyes. In conclusion, the eyes
of apoE2 mice develop typical lipid accumulations, a common characteristic of
AMD, making them a suitable animal model for AMD. The expression profile of VEGF
and bFGF on the retinal pigment epithelium suggests that apoE2 may induce
neovascularization by altering angiogenic cytokines.
PMID- 18050923
TI - Double-enhancement strategy: A practical approach to a femto-molar level
detection of prostate specific antigen-alpha1-antichymotrypsin (PSA/ACT complex)
for SPR immunosensing.
AB - Prostate specific antigen-alpha1-antichymotrypsin was detected by a double
enhancement strategy involving the exploitation of both colloidal gold
nanoparticles (AuNPs) and precipitation of an insoluble product formed by HRP
biocatalyzed oxidation. The AuNPs were synthesized and conjugated with horse
radish peroxidase-PSA polyclonal antibody by physisorption. Using the protein
colloid for SPR-based detection of the PSA/ACT complex showed their enhancement
as being consistent with other previous studies with regard to AuNPs enhancement,
while the enzyme precipitation using DAB substrate was applied for the first time
and greatly amplified the signal. The limit of detection was found at as low as
0.027 ng/ml of the PSA/ACT complex (or 300 fM), which is much higher than that of
previous reports. This study indicates another way to enhance SPR measurement,
and it is generally applicable to other SPR-based immunoassays.
PMID- 18050924
TI - Correlation between enhancing effect of sodium butyrate on specific productivity
and mRNA transcription level in recombinant Chinese hamster ovary cells producing
antibody.
AB - Sodium butyrate (NaBu) has been used to enhance protein expression levels in
mammalian cell culture. To determine the clonal variability of recombinant
Chinese hamster ovary (rCHO) cells in response to NaBu addition regarding
specific antibody productivity (q(Ab)), three rCHO clones were subjected to
different concentrations of NaBu. For all three clones, NaBu addition inhibited
cell growth and decreased cell viability in a dose-dependent manner. On the other
hand, the enhancing effect of NaBu on q(Ab) varied significantly among the
clones. NaBu addition enhanced the antibody production of only one clone. RT-PCR
analysis revealed that the changes in q(Ab) correlated linearly with those of the
mRNA transcription level. Thus, it was concluded that the different enhancing
effects of NaBu on protein expression in rCHO cell clones resulted from their
different mRNA transcription levels.
PMID- 18050925
TI - Genetic variability and phylogenetic relationship among proton-beam-irradiated
strains of Pleurotus ostreatus.
AB - To assess the effects of a proton beam on oyster mushrooms (Pleurotus ostreatus),
the genetic diversity and phylogenetic relationships among strains induced by a
proton beam were investigated based on a clustering analysis. According to an
AFLP DNA polymorphism analysis, the induced strains were divided into four groups
that coincided with the dose. When applying proton-beam radiation, the
dissimilarity among the induced strains increased when increasing the dose. When
using more than 400 Gy, the genetic dissimilarity of the irradiated strains was
46-58%. Thus, evaluating the induced strains using the AFLP technique was
effective in revealing the mutation effect of the proton beam.
PMID- 18050926
TI - Increase of CoQ10 production level by the coexpression of decaprenyl Diphosphate
synthase and 1-deoxy-D-xylulose 5-phosphate synthase isolated from Rhizobium
radiobacter ATCC 4718 in recombinant Escherichia coli.
PMID- 18050927
TI - Optimization of tannase production by Aureobasidium pullulans DBS66.
AB - Tannase production by Aureobasidium pullulans DBS66 was optimized. The organism
produced maximum tannase in the presence of 1% tannic acid after 36 h. Maximum
gallic acid accumulation was observed within 36 h and tannic acid in the
fermented broth was completely degraded after 42 h of growth. Glucose had a
stimulatory effect on tannase synthesis at 0.1% (w/v) concentration. The organism
showed maximum tannase production with (NH4)2HPO4 as nitrogen source. Shaking
speed of 120 rpm and 50-ml broth volume have been found to be suitable for
maximum tannase production.
PMID- 18050928
TI - New finding and optimal production of a novel extracellular alkaline lipase from
Yarrowia lipolytica NRRL Y-2178.
AB - Lipases are industrially useful versatile enzymes that catalyze numerous
different reactions including hydrolysis of triglycerides, transesterification,
and chiral synthesis of esters under natural conditions. Although lipases from
various sources have been widely used in industrial applications, such as in
food, chemical, pharmaceutical, and detergent industries, there are still
substantial current interests in developing new microbial lipases, specifically
those functioning in abnormal conditions. We screened 17 lipase-producing yeast
strains, which were prescreened for substrate specificity of lipase from more
than 500 yeast strains from the Agricultural Research Service Culture Collection
(Peoria, IL, U.S.A.), and selected Yarrowia lipolytica NRRL Y-2178 as a best
lipase producer. This report presents new finding and optimal production of a
novel extracellular alkaline lipase from Y. lipolytica NRRL Y-2178. Optimal c
ulture conditions f orlipase production by Y. lipolytica NRRL Y-2178 were 72 h
incubation time, 27.5 degrees C, pH 9.0. Glycerol and glucose were efficiently
used as the most efficient carbon sources, and a combination of yeast extract and
peptone was a good nitrogen source for lipase production by Y. lipolytica NRRL Y
2178. These results suggested that Y. lipolytica NRRL Y-2178 showsgood industrial
potential as a new alkaline lipase producer.
PMID- 18050929
TI - [Understanding left ventricular hypertrophy].
PMID- 18050930
TI - [Morphometric and morphologic studies in right ventricular hypoplasia].
AB - In hypoplastic right ventricle the dysplasia of the tricuspid valve (TV) has
repercussions on the development of the right ventricle. This paper documents the
results of an anatomopathologic and morphometric studies of the tricuspid valve
and the right ventricle in 25 hearts with this cardiopathy, which were
morphologically analyzed using the segmentary sequential system. The following
measurements were made: the annulus of the tricuspid valve, the thickness of the
walls of the right ventricle, the ventricular septum and the distances from the
atrioventricular-apex (AV-A) and from the apex-pulmonary valve (A-PV). The values
obtained were compared with those of normal hearts of equivalent ages; the
morphologic features of the tricuspid valve and the right ventricle were
described. The thickness of the anterior and posterior walls of righ ventricle
was between 2 and 10 times greater than normal. The tickness of the ventricular
septum was 1 to 3 times greater than normal and the anterior wall of the
infundibulum from 1 to 4 times greater than normal; the AV-A and A-PV distances
were reduced in a half of the normal length. The great reduction of the right
ventricular cavity was found to be related to the great hypertrophy of the right
ventricular walls. The TV was dysplastic with Ebstein's anomaly (72%). The size
of the tricuspid valve was small and it was a good indicator for the size of the
right ventricle. Morphometric studies are useful because they quantitatively
document alterations in the dimensions of valves, walls and diameters of the
cardiac chambers in congenital heart disease.
PMID- 18050931
TI - Predictors of mortality and adverse outcome in elderly high-risk patients
undergoing percutaneous coronary intervention.
AB - OBJECTIVES: We sought to identify predictors of in-hospital and long-term (> 1
year) mortality and major adverse cardiac events (MACE) in elderly patients
referred for percutaneous coronary intervention (PCI). METHODS: Seventy-three
patients (> or = 80 years) were included. Clinical and interventional
characteristics were collected retrospectively. Primary end points were in
hospital and long-term mortality, and a composite of non-fatal myocardial
infarction, target vessel revascularization, urgent coronary artery bypass graft
surgery, and death (MACE). RESULTS: Eighty-three percent of the patients had
acute coronary syndromes, 43% three-vessel disease, and 42% heart failure. In
hospital mortality and MACE were 16.4% and 19%, respectively. Long-term mortality
and MACE were 11.3% and 16.4%, respectively. Univariate characteristics
associated with in-hospital mortality and MACE were: Killip Class III-IV, heart
failure, cardiogenic shock, TIMI 0-2 flow prior and after intervention, diabetes
mellitus, contrast nephropathy, and presence of A-V block or atrial fibrillation
(AF). Long term predictors for mortality were the presence of heart failure,
cardiogenic shock, diabetes mellitus, TIMI flow 0-2 before and after
intervention, and A-V block or AF. CONCLUSION: The identification of the factors
previously mentioned may help to predict complications in elderly patients.
PMID- 18050932
TI - [Endomyocardial biopsy. Revision and experience of 176 procedures].
AB - Endomyocardial biopsy (EB) is often used in the clinical evaluation of several
cardiac disease. Hundred-seventy-six consecutive procedures were performed in 65
patients, 43 men and 22 women mean age was 29.86 +/- 11.53 (range 4 days to 66
years). Group A, 26 postcardiac transplantation patients in whom 137 EB were
performed (39 heterotopic and 98 orthotopic), average sample 5.2 biopsy for each
patient. Group B (n = 39) was studied for several cardiac diseases during cardiac
diagnostic catheterism. The jugular venous approach was performed in 3 patients
(1.7%), femoral in 173 patients. Major complications were found in 3 (1.7%) cases
consisting in cerebrovascular accident (stroke) and coronary fistula into the
right ventricle. Endomyocardial biopsy provides a low incidence of adverse
reactions, mortality was 0%.
PMID- 18050933
TI - [Tuberculous pericarditis. Ten years experience].
AB - We review the clinical files of patients who entered the Regional General
Hospital No. 20 IMSS in the City of Tijuana Mexico between May 1994 and May 2004
with diagnosis of primary acute pericardial disease. Patients diagnosed as having
active tuberculous pericardial effusion were eligible for the study. Twenty-one
were included in the study (14 men and 7 women). The aged ranged from 16 to 48
years (mean 36 years). The diagnosis was made by the following studies:
identification of tubercle bacilli in the pericardial fluid or tissue (n = 4),
identification of caseating granulomas in the pericardium or elsewhere (n = 2),
positive culture for Mycobacterium tuberculosis in pericardial fluid (n = 8), in
pleural fluid (n = 1), sputum culture (n = 3), gastric aspirate samples (n = 1),
lymph node biopsy (n = 1), and pericardial effusion without obvious cause,
responding to antituberculous therapy (n = 5). The clinical, laboratory,
electrocardiography, radiographic, and echocardiography features were analyzed.
We review in each patient follow-up, pericardial fluid cytology, and pericardial
biopsy, if available. All patients had pericardial effusion; nine (42.8%)
patients had cardiac tamponade. Pericardiocentesis was performed in 16 patients,
"therapeutic" pericardiocentesis was performed in 43.7%, and "diagnostic"
pericardiocentesis was performed in 56.2% all cases. Constrictive pericarditis
developed in two patients, all required partial pericardiectomy. All patients
received triple antituberculous chemotherapy. No patient died.
PMID- 18050934
TI - [Balloon angioplasty for native aortic coarctation in children younger than 12
months: immediate and medium-term results].
AB - INTRODUCTION AND OBJECTIVES: The use of the balloon angioplasty (BA) in the
treatment of patients with native aortic coarctation during childhood,
particularly during the first 12 months of age, is controversial. The aim of this
study was to report our experience with the use of this therapeutic technique and
review the immediate and middle-term results in patients until 12 months age with
native aortic coarctation and to identify those factors related with the outcome.
MATERIAL AND METHOD: We review retrospectively the clinical records of 35
patients less than 12 months of age with diagnosis of native aortic coarctation
who underwent balloon angioplasty as first choice treatment during an eight year
span (1998-2005). Total population was divided in two groups: group A patients <
or = 3 months of age (n = 19) and group B patients between 4-12 months of age (n
= 16). RESULTS: Mean age at the time of balloon angioplasty was 4.3 +/- 3.3
months (range 0.7-12 months) and the mean body weight was 5.5 +/- 1.7 kg (range
2.6-10 kg). Initial result after BA was successful in 30 of 35 patients (85.8%)
with a peak residual pressure gradient < or = 20 mm Hg. Successful result were
obtained in 15 of 19 patients in group A (78.9%) and in 15 of 16 patients in
group B (93.8%). The mean peak residual pressure gradient change from 46.9 +/-
20.3 mm Hg to 11.6 +/- 8.1 mmHg (p < 0.001) and the maximal coarctation diameter
increase from 3.0 +/- 1.6 mm to 6.0 +/- 1.8 mm after BA in the group total. We
did not observe deaths related to the procedure. On the follow-up recoarctation
was observed in 17/35 patients (48.6%) 13 of them in group A (68.4%) and 4 in
group B (25%). Ten patients with recoarctation underwent immediate surgical
correction (9 in group A) while the other 7 underwent a second BA procedure (4 in
group A). At the end of the study period AB was effective in 23 of 35 patients
(65.7%), 9 of them in group A (47.3%) and 14 in group B (87.5%). The incidence of
complications following BA was higher in group A (21%) than for group B (12.5%).
Incidence of aneurysms was 15.8% for group A and 18.8% for group B (3 patients in
each group). CONCLUSIONS: Balloon angioplasty is a safe and effective alternative
treatment for native aortic coarctation, even during the neonatal period. Due to
the high incidence of aneurysm formation in children less than 1 year of age, a
better selection of patients, the use of low-profile balloons and optimal
postoperative care is mandatory to improve the final outcome and decrease the
incidence of complications. A second balloon angioplasty procedure might improve
the final outcome.
PMID- 18050935
TI - [Coronary artery disease in Mexican women].
AB - OBJECTIVE: To identify differences between both genders regarding coronary artery
disease occurrence. PATIENTS AND METHODS: In referred patients for cardiac
catheterization we investigated coronary risk factors, clinical diagnosis,
associated diseases, left ventricle ejection fraction, and coronary lesions. Data
were analyzed by X2 test, Student t test, odds ratio and confidence intervals, a
p value < 0.05 was considered significant. RESULTS: We studied 586 patients, 409
were men. Women were older than men (59.43 +/- 9.93 vs 56.80 +/- 10.14 years old,
p < 0.05). The frequency of coronary lesions in women was 56 vs 81% in men. The
proportions of positive nuclear medicine studies (14 vs 16%) and exercise
treadmill test (36 vs 28%) were similar. Acute myocardial infarction was the most
frequent diagnosis in men (46%) whereas in women it was angor pectoris (57%).
Smoking was observed more in men (72 vs 26%) and systemic arterial hypertension
in women (65 vs 48%), There were no differences in diabetes mellitus and
dyslipidemia frequencies. CONCLUSION: Systemic arterial hypertension was the risk
factor more frequent in women, where as in men it was smoking. Ischemia induction
tests are less specific to identify coronary atherosclerosis in women. In spite
of the clinical data, image and laboratory results, we had a great proportion of
women without coronary lesions.
PMID- 18050936
TI - [Left juxtaposition of the heart atrial appendages].
AB - We present the first case of left juxtaposition of the right atrial appendages
that has been seen at the Children's Hospital of the state of Sonora. This
anomaly was associated with a complex congenital heart defect, i.e. absence of
the right atrioventricular connection and transposition of the great arteries.
The two-dimensional echocardiogram is the usual study to reach a clinical
diagnoses, but it may also be an incidental of finding during surgery or during
autopsy, in ocurred in our case. Its timely diagnosis may have implications in
the surgical treatment and in therapeutic cardiac catheterization.
PMID- 18050937
TI - [Paradigms and paradoxes of left ventricular hypertrophy: from the research
laboratory to the clinical consultation].
AB - Cardiac hypertrophy can occur as an adaptative response to increased cardiac
workload. Different types of cardiac hypertrophy arise from a combination of
genetic, physiologic, and environmental factors. When hypertophic growth of the
heart leads to left ventricular dysfunction and heart failure, the response is
considered as maladaptive or pathological hypertrophy. After analyzed left
ventricular functional and structural changes in rats induced by arterial
hypertension, banding of aortic root, isoproterenol administration, or myocardial
infarction, as well as in patients with arterial hypertension, aortic stenosis,
or hypertrophic miocardiopathy, we found a maladaptive response considered as
pathological hypertrophy. However, the adaptation of the left ventricle, found in
response to physical activity or to pregnancy in humans, seems to help the heart
adapt to the increase in workload acting as physiological hypertrophy. These
considerations allow us to speculate for the use of future interventions to
stimulate the development of physiological hypertrophy in several pathological
situations or to change a pathological into a physiological response.
PMID- 18050938
TI - [Electro-histological comparison in a case of Chagasic chronic cardiomyopathy].
AB - The case of a 50 years old man, coming from an endemic Chagas' disease zone, is
reported. This patient came with a dilated cardiomyopathy, likely of Chagasic
etiology, and heart failure. He died in our Institute, were it was possible to
register an ECG, and perform the necropsy, on the same day of his death. The ECG
showed signs of heart chambers dilatation, inactive myocardium in subendocardial
anterolateral regions of the left ventricle, and extensive subepicardial injury.
The anatomical study demonstrated the four heart chambers dilatation, and a
subendocardial fibrosis essentially located in anterolateral portions of the left
ventricle. The histological examination proved that the distribution of injured
zones corresponded to location of the inflammatory foci. Furthermore, Trypanosoma
cruzi inoculation in mice produced inflammatory foci, predominantly located in
the ventricular epicardial and subepicardial regions.
PMID- 18050939
TI - Oxygenase-based whole-cell biocatalysis in organic synthesis.
PMID- 18050940
TI - Agricultural fertilizers as economical alternative for cultivation of
Haematococcus pluvialis.
AB - A Haematococcus pluvialis strain isolated from the ruins of Ephesus in Turkey was
investigated as regards its adaptation to laboratory conditions and maximum
growth rate. In the first stage of the experiment, the growth of H. pluvialis was
compared in common culture media. Furthermore, in an effort to minimize the
culture costs, the second stage of the experiment compared the growth rate in the
culture medium selected in the first stage with that in commercial plant
fertilizers. The results demonstrated that the maximum cell concentration of 0.90
g/l, corresponding to a growth rate of 0.150 d(-1), was found with an N-P-K
20:20:20 fertilizer under a light intensity of 75 micromol photons m(-2) s(-1) on
the 12th day of cultivation.
PMID- 18050941
TI - Effect of a 20 kHz sawtooth magnetic field exposure on the estrous cycle in mice.
AB - Female mice post weaning were exposed to 20 kHz sawtooth electric and magnetic
fields (EMF) with 6.25 microT peak intensity for 6 weeks. Estrous cycles were
checked using vaginal smears over the last 10 days of the experimental period.
The vaginal smears from EMF-exposed mice revealed an increase in the frequency of
one or two phases persisting. The number of estrous cycles less than 1 was more
in the EMF-exposed group than in the sham control group. Furthermore, in the EMF
exposed group, the duration of proestrous and metestrous stages of the estrous
cycle was significantly increased compared with the control group. In conclusion,
our results suggest that exposure to 20 kHz sawtooth EMF may affect normal
cycling of the estrous cycle by disrupting the female reproductive endocrine
physiology. We should not disregard the possible adverse reproductive effect of
the 20 kHz sawtooth EMF generated under the occupational exposure situation in
females.
PMID- 18050942
TI - Biosynthesis of bile acids in a variety of marine bacterial taxa.
AB - Several marine bacterial strains, which were isolated from seawater off the
island Dokdo, Korea, were screened to find new bioactive compounds such as
antibiotics. Among them, Donghaeana dokdonensis strain DSW-6 was found to produce
antibacterial agents, and the agents were then purified and analyzed by LC-MS/MS
and 1D- and 2D-NMR spectrometries. The bioactive compounds were successfully
identified as cholic acid and glycine-conjugated glycocholic acid, the 7alpha
dehydroxylated derivatives (deoxycholic acid and glycodeoxycholic acid) of which
were also detected in relatively small amounts. Other masine isolates,
taxonomically different from DSW-6, were also able to produce the compounds in a
quite different production ratio from DSW-6. As far as we are aware of, these
bile acids are produced by specific members of the genus Streptomyces and
Myroides, and thought to be general secondary metabolites produced by a variety
of bacterial taxa that are widely distributed in the sea.
PMID- 18050943
TI - Gene cloning, expression, and functional characterization of an ornithine
decarboxylase protein from Serratia liquefaciens IFI65.
AB - Putrescine has a negative effect on health and is also used as an indicator of
quality on meat products. We investigated the genes involved in putrescine
production by Serratia liquefaciens IFI65 isolated from a spoiled Spanish dry
cured ham. We report here the genetic organization of its ornithine decarboxylase
encoding region. The 5506-bp DNA region showed the presence of three complete and
two partial open reading frames. Putative functions have been assigned to several
gene products by sequence comparison with proteins included in the databases. The
second gene putatively coded for an ornithine decarboxylase. The functionality of
this decarboxylase has been experimentally demonstrated by complementation to an
E. coli defective mutant. Based on sequence comparisons of some enterobacterial
ornithine decarboxylase regions, we have elaborated a hypothetical pathway for
the acquisition of putrescine biosynthetic genes in some Enterobacteriaceae
strains.
PMID- 18050944
TI - Influence of CO2 on growth and hydrocarbon production in Botryococcus braunii.
AB - Botryococcus braunii is a green colonial fresh water microalga and it is
recognized as one of the renewable resources for production of liquid
hydrocarbons. CFTRI-Bb-1 and CFTRI-Bb-2 have been reported for the first time and
their performance with regard to growth and biochemical profile is presented
here. The present study focused on effect of carbon dioxide (CO2) on biomass,
hydrocarbon, carbohydrate production, fatty acid profile, and carotenoid content
in various species of B. braunii (LB-572, SAG 30.81, MCRC-Bb, N-836, CFTRI-Bb-1,
and CFTRI-Bb-2) at 0.5, 1.0, and 2.0% (v/v) levels using a two-tier flask. CO2 at
2.0% (v/v) level enhanced growth of the organism, and a two-fold increase in
biomass and carotenoid contents was observed in all the B. braunii strains
studied compared with control culture (without CO2 supplementation). At 1% and 2%
(v/v) CO2 concentrations, palmitic acid and oleic acid levels increased by 2.5 to
3 folds in one of the strains of B. braunii (LB-572). Hydrocarbon content was
found to be above 20% at 2% CO2 level in the B. braunii LB-572, CFTRI-Bb-2, CFTRI
Bb-1, and N-836 strains, whereas it was less than 20% in the SAG 30.81 and MCRC
Bb strains compared with control culture. This culture methodology will provide
information on CO2 requirement for growth of algae and metabolite production. B.
braunii spp. can be grown at the tested levels of CO2 concentration without much
influence on culture pH.
PMID- 18050945
TI - Temperature effects on Korean entomopathogenic nematodes, Steinernema glaseri and
S. longicaudum, and their symbiotic bacteria.
AB - We investigated the temperature effects on the virulence, development,
reproduction, and motility of two Korean isolates of entomopathogenic nematodes,
Steinernema glaseri Dongrae strain and S. longicaudum Nonsan strain. In addition,
we studied the growth and virulence of their respective symbiotic bacterium,
Xenorhabdus poinarii for S. glaseri and Xenorhabdus sp. for S. longicaudum, in an
insect host at different temperatures. Insects infected with the nematode
bacterium complex or the symbiotic bacterium was placed at 13 degrees C, 18
degrees C, 24 degrees C, 30 degrees C, or 35 degrees C in the dark and the
various parameters were monitored. Both nematode species caused mortality at all
temperatures tested, with higher mortalities occurring at temperatures between 24
degrees C and 30 degrees C. However, S. longicaudum was better adapted to cold
temperatures and caused higher mortality at 18 degrees C than S. glaseri. Both
nematode species developed to adult at all temperatures, but no progeny
production occurred at 13 degrees C or 35 degrees C. For S. glaseri, nematode
progeny production was best at inocula levels above 20 infective juveniles/host
at 24 degrees C and 30 degrees C, but for S. longicaudum, progeny production was
generally better at 24 degrees C. Steinernema glaseri showed the greatest
motility at 30 degrees C, whereas S. longicaudum showed good motility at 24
degrees C and 30 degrees C. Both bacterial species grew at all tested
temperatures, but Xenorhabdus sp. was more virulent at low temperatures (13
degrees C and 18 degrees C) than X poinarii.
PMID- 18050946
TI - Humic substances act as electron acceptor and redox mediator for microbial
dissimilatory azoreduction by Shewanella decolorationis S12.
AB - The potential for humic substances to serve as terminal electron acceptors in
microbial respiration and the effects of humic substances on microbial
azoreduction were investigated. The dissimilatory azoreducing microorganism
Shewanella decolorationis S12 was able to conserve energy to support growth from
electron transport to humics coupled to the oxidation of various organic
substances or H2. Batch experiments suggested that when the concentration of
anthraquinone-2-sulfonate (AQS), a humics analog, was lower than 3 mmol/l,
azoreduction of strain S12 was accelerated under anaerobic condition. However,
there was obvious inhibition to azoreduction when the concentration of the AQS
was higher than 5 mmol/l. Another humics analog, anthraquinone-2-sulfonate
(AQDS), could still prominently accelerate azoreduction, even when the
concentration was up to 12 mmol/l, but the rate of acceleration gradually
decreased with the increasing concentration of the AQDS. Toxic experiments
revealed that AQS can inhibit growth of strain S12 if the concentration past a
critical one, but AQDS had no effect on the metabolism and growth of strain S12
although the concentration was up to 20 mmol/l. These results demonstrated that a
low concentration of humic substances not only could serve as the terminal
electron acceptors for conserving energy for growth, but also act as redox
mediator shuttling electrons for the anaerobic azoreduction by S. decolorationis
S12. However, a high concentration of humic substances could inhibit the
bacterial azoreduction, resulting on the one hand from the toxic effect on cell
metabolism and growth, and on the other hand from competion with azo dyes for
electrons as electron acceptor.
PMID- 18050947
TI - Biological control of strawberry gray mold caused by Botrytis cinerea using
Bacillus licheniformis N1 formulation.
AB - Bacillus licheniformis N1 is a biological control agent to control gray mold
diseases caused by Botrytis cinerea. Various formulations of B. licheniformis N1
were generated and evaluated for the activity to control strawberry gray mold.
The wettable powder type formulation N1E was selected in pot experiments with
remarkable disease control activity on both strawberry leaves and flowers. The
N1E formulation contained 400 g of corn starch, 50 ml of olive oil, and 50 g of
sucrose per a liter of bacterial fermentation culture. Optimum dilution of N1E to
appropriately control the strawberry gray mold appeared to be 100-fold dilution
in plastic house artificial infection experiments. The significant reduction of
symptom development in the senescent leaves was apparent by the treatment of N1E
at 100-fold dilution when N1E was applied before Bo. cinerea inoculation, but not
after the inoculation. Both artificial infection experiments in a plastic house
and natural infection experiments in the farm plastic house under production
conditions revealed that the disease severity of gray mold on strawberry leaves
and flowers was significantly reduced by N1E treatment. The disease control value
of N1E on strawberry leaves was 81% under production conditions, as compared with
the 61.5% conferred by a chemical fungicide, iprodione. This study suggests that
our previously generated formulation of B. licheniformis N1 will be effective to
control strawberry gray mold by its preventive activity.
PMID- 18050948
TI - Effect of electrochemical redox reaction on growth and metabolism of
Saccharomyces cerevisiae as an environmental factor.
AB - The effect of an electrochemically generated oxidation-reduction potential and
electric pulse on ethanol production and growth of Saccharomyces cerevisiae ATCC
26603 was experimented and compared with effects of electron mediators (neutral
red, benzyl viologen, and thionine), chemical oxidants (hydrogen peroxide and
hypochlorite), chemical reductants (sulfite and nitrite), oxygen, and hydrogen.
The oxidation (anodic) and reduction (cathodic) potential and electric pulse
activated ethanol production and growth, and changed the total soluble protein
pattern of the test strain. Neutral red electrochemically reduced activated
ethanol production and growth of the test strain, but benzyl viologen and
thionine did not. Nitrite inhibited ethanol production but did not influence
growth of the test strain. Hydrogen peroxide, hypochlorite, and sulfite did not
influence ethanol production and growth of the test strain. Hydrogen and oxygen
also did not influence the growth and ethanol production. It shows that the test
strain may perceive electrochemically generated oxidation-reduction potential and
electric pulse as an environmental factor.
PMID- 18050949
TI - Enzymatic characterization and substrate specificity of thermostable beta
glycosidase from hyperthermophilic archaea, Sulfolobus shibatae, expressed in E.
coli.
AB - Enzymatic properties and substrate specificity of recombinant beta-glycosidases
from a hyperthermophilic archaeon, Sulfolobus shibatae (rSSG), were analyzed.
rSSG showed its optimum temperature and pH at 95 degrees C and pH 5.0,
respectively. Thermal inactivation of rSSG showed that its half-life of enzymatic
activity at 75 degrees C was 15 h whereas it drastically decreased to 3.9 min at
95 degrees C. The addition of 10 mM of MnCl2 enhanced the hydrolysis activity of
rSSG up to 23% whereas most metal ions did not show any considerable effect.
Dithiothreitol (DTT) and 2-mercaptoethanol exhibited significant influence on the
increase of the hydrolysis activity of rSSG. rSSG apparently preferred
laminaribiose (beta1-->3Glc), followed by sophorose (beta1-->2Glc), gentiobiose
(beta1-->6Glc), and cellobiose (beta1--4Glc). Various intermolecular transfer
products were formed by rSSG in the lactose reaction, indicating that rSSG
prefers lactose as a good acceptor as well as a donor. The strong intermolecular
transglycosylation activity of rSSG can be applied in making functional
oligosaccharides.
PMID- 18050951
TI - Accurate delimitation of Phanerochaete chrysosporium and Phanerochaete sordida by
specific PCR primers and cultural approach.
AB - White rot fungi, Phanerochaete chrysosporium and Phanerochaete sordida, have been
mostly studied in a variety of industrial processes like biopulping and pulp
bleaching as well as in bioremediation. Whereas P. sordida is widely distributed
in the North Temperate Zone, P. chrysosporium is reported in the restricted area
and hundreds of reports have been described from a few strains of P.
chrysosporium, which are deposited at various fungal collections in the world.
The isolates of two species are not easily discriminated because of their
morphological and molecular similarity. Through the ITS sequence analyses, a
region containing substantial genetic variation between the two species was
identified. PCR amplification using two specific primers was successfully used to
differentiate P. chrysosporium from P. sordida. These results were supported by
cultural studies. The growth rates at 37 degrees C on PDA, MEA, and Cza and the
microscopic features of conidia on PDA and YMA were also very useful to
differentiate those two species.
PMID- 18050950
TI - Expression of enterotoxin genes in Staphylococcus aureus isolates based on mRNA
analysis.
AB - Staphylococcus aureus strains are important foodborne pathogens that produce
various toxins. To evaluate the risk of the enterotoxins, four S. aureus strains
from kinbap and two clinical samples were isolated and identified, and their
expression of the enterotoxin genes were analyzed using a reverse transcription
real-time PCR. Various enterotoxin genes were detected, including sea, seg, seh,
sei, sen, seo, and sem, where each isolate contained one or two. When the mRNA
detection of the enterotoxin genes was analyzed using a reverse transcriptase
PCR, various levels of expression were found depending on the species and
enterotoxin gene. Therefore, it is reasonable to suggest that the poisoning risk
of S. aureus can be effectively evaluated based on the gene expression at the
mRNA level.
PMID- 18050952
TI - Escherichia coli can produce recombinant chitinase in the soil to control the
pathogenesis by Fusarium oxysporum without colonization.
AB - Fusarium wilt of cucumbers was effectively controlled by Escherichia coli
expressing an endochitinase gene (chiA), and the rate was as effective (60.0%) as
the wildtype strain S. proteamaculans 3095 (55.0%) where the gene was cloned.
However, live cells of soil inoculated E. coli host harboring the chiA gene did
not proliferate but declined 100-fold from 108 CFU during the first week and
showed less than 10 cells after day 14, suggesting that E. coli was able to
express and produce the chitinase enzyme to the soil even as the population was
gradually decreasing. Because the majority of the strains was alive for only a
short period of time and the Fusarium-affected seedlings showed symptoms of
wilting within 7-10 days, it seems that the pathogen control was decided early
after the introduction of the biocontrol agent, eliminating the survival of the
antagonist. These results indicated that soil inoculated E. coli could
sufficiently express and produce the recombinant protein to control the pathogen,
and root or soil colonization of the antagonist might not be a significant factor
in determining the efficacy of biological control.
PMID- 18050953
TI - Rapid detection and isolation of known and putative alpha-L-arabinofuranosidase
genes using degenerate PCR primers.
AB - alpha-L-Arabinofuranosidases (AFases; EC 3.2.1.55) are exo-type enzymes, which
hydrolyze terminal nonreducing arabinose residues from various polysaccharides
such as arabinan and arabinoxylan. Genome-wide BLAST search showed that various
bacterial strains possess the putative AFase genes with well-conserved motif
sequences at the nucleotide and amino acid sequence levels. In this study, two
sets of degenerate PCR primers were designed and tested to detect putative AFase
genes, based on their three highly conserved amino acid blocks (PGGNFV, GNEMDG,
and DEWNVW). Among 20 Bacillus-associated species, 13 species were revealed to
have putative AFase genes in their genome and they share over 67% of amino acid
identities with each other. Based on the partial sequence obtained from an
isolate, an AFase from Geobacillus sp. was cloned and expressed in E. coli.
Enzymatic characterization has verified that the resulting enzyme corresponds to
a typical AFase. Accordingly, degenerate PCR primers developed in this work can
be used for fast, easy, and specific detection and isolation of putative AFase
genes from bacterial cells.
PMID- 18050954
TI - Rapid identification of Lactobacillus and Bifidobacterium in probiotic products
using multiplex PCR.
AB - Lactic acid bacteria (LAB) are beneficial for the gastrointestinal tract and
reinforce immunity in human health. Recently, many functional products using the
lactic acid bacteria have been developed. Among these LAB, Lactobacillus
acidophilus, Lactobacillus rhamnosus, Bifidobacterium longum, and Bifidobacterium
bifidum are frequently used for probiotic products. In order to monitor these LAB
in commercial probiotic products, a multiplex PCR method was developed. We
designed four species-specific primer pairs for multiplex PCR from the 16S rRNA,
16S-23S rRNA intergenic spacer region, and 23S rRNA genes in Lactobacillus
acidophilus, Lactobacillus rhamnosus, Bifidobacterium longum, and Bifidobacterium
bifidum. Using these primer pairs, 4 different LAB were detected with high
specificity in functional foods. We suggest that the multiplex PCR method
developed in this study would be an efficient tool for simple, rapid, and
reliable identification of LAB used as probiotic strains.
PMID- 18050955
TI - A discrete mathematical model applied to genetic regulation and metabolic
networks.
AB - This paper describes the use of a discrete mathematical model to represent the
basic mechanisms of regulation of the bacteria E. coli in batch fermentation. The
specific phenomena studied were the changes in metabolism and genetic regulation
when the bacteria use three different carbon substrates (glucose, glycerol, and
acetate). The model correctly predicts the behavior of E. coli vis-a-vis
substrate mixtures. In a mixture of glucose, glycerol, and acetate, it prefers
glucose, then glycerol, and finally acetate. The model included 67 nodes; 28 were
genes, 20 enzymes, and 19 regulators/biochemical compounds. The model represents
both the genetic regulation and metabolic networks in an inrtegrated form, which
is how they function biologically. This is one of the first attempts to include
both of these networks in one model. Previously, discrete mathematical models
were used only to describe genetic regulation networks. The study of the network
dynamics generated 8 (2(3)) fixed points, one for each nutrient configuration
(substrate mixture) in the medium. The fixed points of the discrete model reflect
the phenotypes described. Gene expression and the patterns of the metabolic
fluxes generated are described accurately. The activation of the gene regulation
network depends basically on the presence of glucose and glycerol. The model
predicts the behavior when mixed carbon sources are utilized as well as when
there is no carbon source present. Fictitious jokers (Joker1, Joker2, and
Repressor SdhC) had to be created to control 12 genes whose regulation mechanism
is unknown, since glycerol and glucose do not act directly on the genes. The
approach presented in this paper is particularly useful to investigate potential
unknown gene regulation mechanisms; such a novel approach can also be used to
describe other gene regulation situations such as the comparison between non
recombinant and recombinant yeast strain, producing recombinant proteins,
presently under investigation in our group.
PMID- 18050956
TI - Increasing production in Korean shrimp farms with white-spot syndrome virus PCR
negative brood stock.
AB - White-spot syndrome virus (WSSV) is a devastating, infectious virus affecting
shrimp. Although sensitive techniques involving PCR have been developed to assist
farmers in screening shrimp (brood stock) for WSSV prior to stocking ponds, such
practices have not yet been applied in Korea. Despite the rationality of
implementing screening, there has been some doubt as to whether the stocking of
WSSV-PCR-negative fry epidemiologically decreases white-spot disease outbreaks.
Here, we report a retrospective analysis of data from shrimp farms in the western
coast of Korea where WSSV-PCR-negative brood stocks were used to stock rearing
ponds. A total of 366 shrimp from Heuksan Island were sampled for WSSV with PCR.
Of the tested shrimp, 7.2% (28 brood stocks) were identified as WSSV positive;
only WSSV-PCR-negative shrimp were used for brood stocks. Total unit production
(final shrimp production/ the area of the ponds) was higher, at 1.96, in ponds
where WSSV-PCR-negative shrimp were used, as compared with 1.02 in other ponds in
Korea in 2004. This retrospective analysis of WSSV in Korea may be useful to the
shrimp aquaculture industry, suggesting a testable hypothesis that may contribute
to the eventual control of WSSV outbreaks.
PMID- 18050957
TI - Rapid detection of Enterobacter sakazakii using TaqMan real-time PCR assay.
AB - Enterobacter sakazakii is an emerging food pathogen, which induces severe
meningitis and sepsis in neonates and infants, with a high fatality rate. The
disease is generally associated with the ingestion of contaminated infant
formula. In this study, we describe the development of a real-time PCR protocol
to identify E. sakazakii using a TaqMan probe, predicated on the nucleotide
sequence data of the 16S rRNA gene obtained from a variety of pathogens. To
detect E. sakazakii, four primer sets and one probe were designed. Five strains
of E. sakazakii and 28 non-E. sakazakii bacterial strains were used in order to
ensure the accuracy of detection. The PCR protocol successfully identified all of
the E. sakazakii strains, whereas the 28 non-E. sakazakii strains were not
detected by this method. The detection limits of this method for E. sakazakii
cells and purified genomic DNA were 2.3 CFU/assay and 100 fg/assay, respectively.
These findings suggest that our newly developed TaqMan real-time PCR method
should prove to be a rapid, sensitive, and quantitative method for the detection
of E. sakazakii.
PMID- 18050958
TI - Physical properties of nisin-incorporated gelatin and corn zein films and
antimicrobial activity against Listeria monocytogenes.
AB - Edible films of gelatin and corn zein were prepared by incorporating nisin to the
film-forming solutions. Corn zein film with nisin of 12,000 IU/ml had an increase
of 11.6 MPa in tensile strength compared with the control, whereas gelatin film
had a slight increase with the increase of nisin concentration added. Water vapor
permeability for both corn zein and gelatin films decreased with the increase of
nisin concentration, thus providing a better barrier against water. Antimicrobial
activity against Listeria monocytogenes increased with the increase of nisin
concentration, resulting in 1.4 log cycle reduction for corn zein film and 0.6
log cycle reduction for gelatin film at 12,000 IU/ml. These results suggest that
incorporation of nisin into corn zein and gelatin films improve the physical
properties of the films as well as antimicrobial activity against pathogenic
bacteria during storage, resulting in extension of the shelf life of food
products by providing with antimicrobial edible packaging films.
PMID- 18050959
TI - Physiological responses of Bacillus amyloliquefaciens spores to high pressure.
AB - Pressure inactivation behavior of Bacillus amyloliquefaciens spores was
investigated in deionized water. The spores of B. amyloliquefaciens were
subjected to 105 degrees C and 700 MPa. The magnitude of the decrease in
viability after pressure treatment was similar to that after pressure treatment
followed by heat shock. The increase of dipicolinic acid (DPA) release was
correlated with the spore inactivation, and the hydrophobicity did not
significantly change during the pressure-assisted thermal processing (PATP). Lag
phase duration increased with increasing pressure process time. The mechanisms of
spore germination and inactivation during the PATP were related to a complex
physiological process.
PMID- 18050960
TI - Antimicrobial effects of flavone analogues and their structure-activity
relationships.
AB - It has been well known that the use of Saccharomyces cerevisiae can cause
fungemia in critically ill patients and flavone shows an antimicrobial effect on
S. cerevisiae. Therefore, we have investigated the activities of thirteen flavone
analogues on S. cerevisiae in our studies. Because flavonoids including flavones
have antioxidative effects, we try to carry out the activity studies of flavone
analogues in vitro and in vivo. In addition, the relationships between the
structures of flavone analogues and their biological activities, such as
antimicrobial and antioxidative effects, were elucidated using Comparative
Molecular Field Analysis calculations. Of the flavone analogues tested here, 3,2'
dihydroxyflavone showed both good antimicrobial and antioxidative activities.
PMID- 18050961
TI - Comparative transcriptome analysis for avermectin overproduction via Streptomyces
avermitilis microarray system.
AB - Avermectin and its analogs are major commercial antiparasitic agents in the
fields of animal health, agriculture, and human infections. To increase our
understanding about the genetic mechanism underlying avermectin overproduction,
comparative transcriptomes were analyzed between the low producer S. avermitilis
ATCC31267 and the high producer S. avermitilis ATCC31780 via a S. avermitilis
whole genome chip. The comparative transcriptome analysis revealed that fifty S.
avermitilis genes were expressed at least two-fold higher in S. avermitilis
ATCC31780. In particular, all the avermectin biosynthetic genes, including
polyketide synthase (PKS) genes and an avermectin pathway-specific regulatory
gene, were less expressed in the%low producer S. avermitilis ATCC31267. The
present results imply that avermectin overproduction in S. avermitilis ATCC31780
could be attributed to the previously unidentified fifty genes reported here and
increased transcription levels of avermectin PKS genes.
PMID- 18050962
TI - Glycosylation of flavonoids with E. coli expressing glycosyltransferase from
Xanthomonas campestris.
AB - Glycosyltransferase family 1 (UGT) uses small chemicals including phenolics,
antibiotics, and alkaloids as substrates to have an influence in biological
activities. A glycosyltransferase (XcGT-2) from Xanthomonas campestris was cloned
and consisted of a 1,257 bp open reading frame encoding a 45.5 kDa protein. In
order to use this for the modification of phenolic compounds, XcGT-2 was
expressed in Escherichia coli as a glutathione S-transferase fusion protein. With
the E. coli transformant expressing XcGT-2, biotransformation of flavonoids was
carried out. Flavonoids having a double bond between carbons 2 and 3, and
hydroxyl groups at both C-3' and C-4', were glycosylated and the glycosylation
position was determined to be at the hydroxyl group of C-3', using nuclear
magnetic resonance spectroscopy. These results showed that XcGT-2
regiospecifically transferred a glucose molecule to the 3'-hydroxyl group of
flavonoids containing both 3' and 4'-hydroxyl groups.
PMID- 18050964
TI - [Who will protect physicians?].
PMID- 18050963
TI - Synthesis and biological activity of fungal metabolite, 4-hydroxy-3-(3'-methyl-2'
butenyl)-benzoic acid.
AB - 4-Hydroxy-3-(3'-methyl-2'-butenyl)-benzoic acid (HMBA) was previously isolated
from Curvularia sp. KF119 as a cell-cycle inhibitor. However, the present study
used a novel and practical synthetic method to prepare a large quantity of HMBA.
The synthetic HMBA was found to inhibit the cell-cycle progression of HeLa cells
with a comparable potency to the natural fungal metabolite. The inhibition of the
cell-cycle progression by the synthetic HMBA involved both the activation of
p21(WAFI) and the inhibition of cyclin Dl expression in the cells. Consequently,
this new synthetic procedure provides an easy and convenient way to produce or
manipulate the original fungal metabolite.
PMID- 18050965
TI - [Role and significance of poison control centers].
PMID- 18050966
TI - [Influence of mental disorders on working ability assessment].
AB - BACKGROUND/AIM: There is an increasing need for evaluation of working ability due
to lower level of social protection of workers and growing number of patients
with mental diseases in Bosnia and Herzegovina (B&H). The aim of this study was
to establish the influence of mental diseases on the occurrence of disability of
I and III categories in B&H during the period from January 1st 2005 to December
31st 2006. METHODS: This study involved 1792 examinees with the complete loss of
working ability (I disability category) (n = 921). Disability category III
consisted of persons with limited working ability (n = 871). The instruments of
research in this multricentric and retrospective study were the forms P-6 and D-2
for the years of service in B&H, and the form IN for persons with years of
service abroad and personal features questionnaire (EPQ). RESULTS: The study
included 1494 men (78.5%) and 298 women (21.5%). Univariant analysis represented
very high statistical significance (p = 0.001) concerning: age (X2 = 65.428),
years of service (X2=28.438), drinking (X2 = 33.234), smoking (X2=70.880),
father's education (X2 = 58.124), migrations (X2 = 14.874), sick leave (X2 =
29.190), medical treatment (X2 = 95.073) and rehabilitation (X2 = 29.453).
Multivariant analysis represented the influence on disability cate gory I by
parameters such as: years of service, sick leave, psychoticism and depression (p
= 0.001). Hospital treatment and fatigation had influence on disability in both
groups. Mental diseases are the leading cause in disability category I in 14.98%
and in disability category III in 9.3% persons. Leading diseases in both
disability categories were depression and schizophrenia followed by alcoholism,
anxiety, brain organ psychosyndrome (BOPS) and other diseases. CONCLUSION: The
following parameters have highest influence on the disability category: the years
of service, sick leave, psychoticism, depression, and long-lasting disease,
medical treatments and fatigation on the disability category III.
PMID- 18050967
TI - [Analytical confirmation of lethal heroin overdose by the use of liquid
chromatography methods].
AB - BACKGROUND/AIM: Heroin is diacetylated morphine. Its ability to induce euphoria
has led to its frequent abuse, giving rise to psychological and physical
dependence. It has a short half-life, of approximately 2-6 min. In the brain,
heroin undergoes deacetylation to 6-monoacetylmorphine (6-MAM) and morphine.
Detection of 6-acetylmorphine in the urine is indicative of heroin use. The aim
of this study was to compare sensitivity and reliability of two analytical
methods, a multicolumn liquid chromatography system with UV scanning detector
(HPLC-UV) and liquid chromatography-mass spectrometry detection (LC-MS) in opiate
determining in post mortem material. METHODS: Post mortem samples (blood, urine
and vitreous humor) were analyzed by liquid chromatography with UV and MS
detection. The samples were prepared by liquid-liquid extraction with mixture
chloroform-isopropanol (9:1). Separation was performed on C8 column with mobile
phase composed of 55% acetonitrile-glacial acetic acid (99:1) and 45% 20 mM
ammonium acetate. RESULTS: The analysis of blood samples, urine, and eye liquid
by the use of multicolumn HPLC-UV method confirmed the presence of morphine in
the samples of blood and urine, codeine only in urine, and 6-MAM in the samples
of urine and eye liquid. Using LC-MS method morphine was confirmed in all of the
samples, while codeine was confirmed in urine and in the sample of eye liquid. In
the samples of eye liquid and urine 6-MAM was confirmed. CONCLUSION: For
determination of opiates in post mortem material LC-MS technique is more
sensitive and reliable as compared to multicolumn liquid chromatography.
PMID- 18050968
TI - [Vitamin B2 content determination in liver paste by using acid and acid-enzyme
hydrolysis].
AB - BACKGROUND/AIM: Vitamin B2 is available in foodstuff in the form of coenzyme and
in free form. For its content determination a few procedures should be performed
(deliberation from a complex, extraction of free and deliberated form) and
detection, identification and quantification. There is a particular problem in
determination of vitamin B2 in the meat products. For a determination of total
vitamin B2 content in liver paste two preparation procedures are compared: acid
and acid-enzymatic hydrolysis. The aim of this study thus, was to compare the
effectivenes of these two different procedures for vitamin B2 content
determination in liver paste. METHODS: High pressure liquid chromatography (HPLC)
method with fluorescence detector, as specific and adequately sensitive for the
foodstuff of a complex composition with a natural vitamin content, was used for
determination of vitamin B2 in liver paste. Acid hydrolysis was performed with
the application 0.1 M hydrochloric acid in a pressure cooker, and enzymatic
hydrolysis was performed with the 10% takadiastase on 45 degrees C within four
hours. Ten samples of liver paste from the supply of the Serbian Army were
examined. Separation was performed on the analytical column Nucleosil 50-5 C18
with mobile phase 450 ml CH3OH + 20 ml 5 mM CH3COONH4, and detection on the
fluorescent detector with the variable wave length. Both methods were validated:
examining a detection limit, quantification limit, specificity (because of a
possible B2 vitamin interference with reagents), linearity of a peak area and
standard concentration of B2 vitamin ratio in the range from 0.05 microg/ml to 2
microg/ml, precision for the 0.05 microg/ml concentration and recovery. RESULTS:
All the previously examined parameters validated both methods as specific,
precise and reproductive, with a high recovery (98.5% for acid and 98.2% for acid
enzymatic hydrolysis), as well as linearity in a range that significantly
superseded the expected content in the samples (r = 0.9994, and r = 0.99987).
Hydrolysis procedures make a sample suitable for vitamin B2 determination. In the
liver paste samples a high content of vitamin B2 was determined: 0.83 mg/100 g
after acid hydrolysis, and 0.909 mg/100 g after acid-enzyme hydrolysis. There
were statistically significantly higher values determined after the acid-enzyme
hydrolysis (p < 0.05). CONCLUSION: Using acid-enzyme hydrolysis and separation
instrument technique (liquid chromatography) with a fluorescent detector as
detection system, statistically significantly greater vitamin B2 quantities were
determined than after using acid hydrolysis procedure. Vitamin B2 content
determined in ten liver paste samples was high (0.881-0.936 mg/100 g) indicating
that this meat product is a good vitamin B2 source.
PMID- 18050970
TI - Computer-assisted quantitative analysis of Ki-67 antigen in dysplasia--associated
lesions or masses in ulcerative colitis.
AB - BACKGROUND/AIM: The aim of this study was to apply computer-assisted methodology
in assessment of Ki-67 positivity in "adenoma-like" dysplasia associated lesions
or masses (DALMs), and carcinoma in ulcerative colitis (UC), and to determine a
new approach to grading of Ki-67 staining intensity. METHODS: Immunohistochemical
slides were quantitatively analyzed for estimation of proportion and intensity of
Ki-67 positive-stained cells in a total of 50 "adenoma-like" DALMs (27 with low
grade dysplasia and 23 with high-grade dysplasia), and 17 adenocarcinomas
associated with UC. The four grades of immunohistochemical staining intensity
were established by an automated classification of nuclear optical densities.
RESULTS: The Ki-67 labeling index (LI) in low-grade dysplasia was significantly
lower than in high-grade dysplasia, and carcinoma (p < 0.001). The Ki-67 LI of
carcinomas was not significantly different from the value obtained in high-grade
dysplasia (p > 0.05), however having the difference in percentage values of the
moderate stained nuclei (p < 0.05). The overall average values of chromogene
nuclear optical density, showed statistically significant differences between
DALMs and carcinoma (p < 0.05), although not between normal mucosa and low-grade
dysplasia (p > 0.05). CONCLUSION: The obtained results imply, according to the
overall percentage of labeled nuclei, that high-grade dysplasia is very close to
carcinoma, while there is the difference in the percentage of moderately stained
nuclei. We showed that Ki-67 positivity have a different internal distribution
which could be useful in analysing these lesions. These findings also, indicate
the important biological differences between low-grade dysplasia and carcinoma in
UC, and a low proliferative potential of the former. Automated image analysis
permits an objective [corrected] estimation of Ki-67 immunohistochemical staining
in UC-associated dysplasia and carcinoma.
PMID- 18050969
TI - [Cardiovascular risk factors in patients with subclinical hypothyroidism].
AB - BACKGROUND/AIMS: Overt hypothyroidism is disease associated with accelerated
arteriosclerosis and coronary heart disease. Whether subclinical hypothyroidism
(SH) is associated with increased cardiovascular risk is contraversial. As SH is
a high prevalence thyroid dysfunction, specially in older women, it is important
to evaluate cardiovascular risk factors in these patients and that was the aim of
this study. METHODS: We examined 30 patients with SH and 20 healthy controls.
Subclinical hypothireoidism was defined as an elevated thyrotropin (TSH) (> 4.5
mU/L) and normal free thyroxine (FT4) level. In all the participants we
determined body mass index (BMI), blood pressure, TSH, FT4, antibodies to thyroid
peroxidase, antibodies to thyroglobulin, total cholesterol, high density
lipoprotein (HDL) cholesterol, low density lipoprotein (LDL) cholesterol,
triglicerides, total cholesterol/HDL cholesterol ratio and LDL/HDL cholesterol
ratio. RESULTS: Mean BMI in patients with SH was significantly higher (p < 0.05),
as well as diastolic blood pressure (p < 0.01) compared with the controls.
Average levels of total cholesterol (5.40 +/- 0.62 vs 5.06 +/- 0.19 mmol/l, p <
0.01) and triglycerides (2.16 +/- 0.56 vs 1.89 +/- 0.24 mmol/l, p < 0.05) were
also significantly higher in the group with SH. Individual analysis revealed that
the percentage of patients with SH having borderline elevated total cholesterol
(63.33%), hypertrigliceridemia (43.33%) and elevated total cholesterol/HDL
cholesterol ratio (26.67%) were significantly higher than the percentage in the
controls. No significant correlation between TSH and lipid parameters was
detected. CONCLUSION: Subclinical hypothyroidism was associated with higher BMI,
diastolic hypertension, higher total cholesterol and triglicerides levels and
higher total cholesterol/HDL cholesterols ratio. This might increase the risk of
accelerated arteriosclerosis in patients with SH.
PMID- 18050971
TI - [Risk factors for the appearance of central venous catheters colonisation].
AB - INTRODUCTION/AIM: Intravascular device placement (IVD) is a part of everyday
medical practice, however, its application is associated with a high risk of
onset of nosocomial infections (NI) and increased mortality and morbidity.
Nosocomial blood infections (NBIs) account for 10% of all the registered NI. NBIs
are more frequent in patients with a placed IVD and it present an important risk
factor for the onset of NBI, i.e. catheter-associated NBIs (CANBIs). Pathogenesis
of CANBIs is complex and conditioned by the presence of different characteristics
related to a catheter, patient and a specific causative organism. The most common
CRBSI causes include coagulase-negative staphylococcus, S. aureus, Enterobacter
spp, Candida spp, Klebsiella spp, Pseudomonas spp. and Enterococcus spp. METHODS:
All the patients hospitalized at the Intensive Care Department of the Clinic of
Digestive Diseases over the period January 1, 2004-September 1, 2004 were
retrospectively analyzed. The study included 107 patients in whom central venous
catheter (CVC) was placed for more than 48 h. All the causes isolated from a CVC
segment were recorded. Culture, isolation and identification of the causative
organisms were performed using standard microbiological methods in the
Bacteriological Laboratory within the Emergency Center, Clinical Center of
Serbia. Catheter segment samples (tip of the CVC 3-5 cm long) were analyzed.
Based on the insight into medical documentation, patients' examination and
medical staff interview, catheter and patient-related characteristics were
recorded. RESULTS: A total of 107 CVCs were analyzed, out of which 56 (52%) were
sterile while 51 (48%) were colonized. The results of our study evidenced that
total parenteral nutrition (TPN) (p < 0.05), number of catheterization days (p <
0.05), and central venous pressure measurement (p < 0.05) were significantly
associated with CVC colonization. In this study, no statistically significant
difference in catheter colonization was found with respect to sex, age,
anatomical insertion site and CVC placement site. CONCLUSION: According to the
results of our study, TPN, the number of catheterization days and measurement of
central venous pressure play major roles in colonization of CVC. Understanding
risk factors associated with CVC colonization and onset of CANBIs is a
prerequisite for quality preventive work of health professionals.
PMID- 18050972
TI - [Pathogenesis of Alzheimer disease].
PMID- 18050973
TI - [Treatment of hepatorenal syndrome].
PMID- 18050974
TI - [Class II malocclusion therapy using fixed orthodontic appliance].
AB - BACKGROUND: Depending on the indication, and the age of a patient, class II
division I malocclusion can be treated by a fixed or mobile orthodontic
appliance, with or without teeth extraction. CASE REPORT: A treatment of a male
patient, 15 years old, with dentoalveolar class II division I was described. On
the base of clinical findings, study case analysis, analysis of orthopan and
profile cephalogram, there were class II division I with protrusion of frontal
teeth and mild crowding in lower jaw assesed. The patient was treated by fixed
orthodontics appliances (SWA Roth .022") in both jaws for 18 months, with the
retention period of the same length. CONCLUSION: Fixed ortodontic appliances are
necessary when bodily movement of the teeth is indicated--in this case for
cuspids distalization and retraction of incisors.
PMID- 18050975
TI - [Identification of residual ischemia in the occluded coronary artery irrigation
area using myocardial perfusion scintigraphy].
AB - BACKGROUND: Inspite the indisputable significance of coronarography, the
implications of a revealed stenosis--and how close it is to occlusion could vary
in regard to its physiological importance. Myocardial perfusion scintigraphy
(MPS) possiblity to prove and objectivise the presence of ischemia and myocardial
viability within an occlusion found coronarographically is especially significant
since it makes possible to the clinitian to choose an adequate therapy. CASE
REPORT: We reported a 43-year-old male patient who had been hospitalized to
another institution due to acute myocardial infarction (AMI) of posterolateral
localisation. Following the acute AMI stage the ergometric test per Bruce
protocole was performed, negative to ischemic heart disease, while multislice
computed tomography showed no significant changes on the coronary arteries. The
performed one-day-protocole MPS showed a massive area of residual ischemia within
myocardial infarction (MI) type culprit lesion of the posterolateral zone
starting from the subapical level to the basal cross-section. According to the
MPS findings coronarography was indicated due to a revascularisation assessment.
The performed coronarography revealed an occlusion of the circumflex coronary
artery (CCA) right after the division of obtuse branch (OB) that presented
discretely in the distal parts from the homo- and heterocolaterals. The distal
portion of CCA presented discretely out off the right coronary artery (RCA).
Echocardiography confirmed an ejection fraction of 50% with hypokinesia of
inferior and posterior walls, as well as the septum, showing a mild reduction of
the general contractility. Flows through confluences were well. A month after MI
a percutane coronary intervention (PCI) was performed with the implantation of a
drug-releasing stent (Taxus). Early after PCI (within two weeks) a control MPS
was done to evaluate the effects of the therapy giving the normal findings of
myocardial perfusion. CONCLUSION: Determination and identificantion of vivid but
ischemic myocard of culprit lesion type in the occluded artery irrigation zone
enable making choice of the best therapy for a patient.
PMID- 18050976
TI - Atypical pyoderma gangrenosum in a patient with osteomyelofibrosis.
AB - BACKGROUND: Atypical forms of pyoderma gangrenosum generally appear on the upper
extremities; most frequently they are associated with myeloproliferative
disorders, including osteomyelofibrosis. A response to systemic steroids is more
pronounced than in classical form. Sometimes it may be the first sign of an
underlying malignancy. CASE REPORT: We reported a patient with atypical pyoderma
gangrenosum developed during the course of a myeloid malignancy-
osteomyelofibrosis. The lesions occurred after a minor trauma. Painful blistering
plaques, with an elevated, bluish-gray border were located on the dorsal aspect
of hands. No skin malignancy was found. The lesions resolved rapidly to systemic
steroids. CONCLUSION: Considering the unusual clinical presentation which makes
the diagnosis difficult, as well as the fact that atypical forms of pyoderma
gangrenosum can be the first sign of malignancies, especially myeloproliferative
ones, recognizing this entity enables timely guiding future investigations toward
their prompt detection.
PMID- 18050977
TI - [The Poison Control Centre in the Military Medical Academy--organization and
activities in a ten-year period].
PMID- 18050978
TI - Orientation to a provider unit.
AB - This column reviews the process of orienting a program coordinator into a newly
created position of nurse planner for an American Nurses Credentialing Center
accredited provider unit. Examples of the orientation plan and components of the
process are addressed.
PMID- 18050979
TI - Assessing the bleeding patient: factors affecting hemostasis and common
coagulation studies.
AB - This column discusses the factors affecting hemostasis and the common coagulation
studies used to assess patients with bleeding disorders.
PMID- 18050980
TI - Guidelines for asking questions.
AB - This column discusses guidelines for asking questions to improve communication in
clinical, academic, and other settings.
PMID- 18050981
TI - Approaches to gathering evidence for educational practices in nursing.
AB - There are many initiatives under way to teach nursing staff about evidence-based
practice, but how many educators seek evidence as a basis for their teaching?
Nursing education needs more higher quality research, teachers willing to
question their current practices, and nurse educators who ask whether there is a
better way of promoting learning and performance. This article provides a
perspective of how nurse educators can develop an evidence-based approach to
their teaching using the research that is available in nursing education.
PMID- 18050982
TI - Development of a postbasic critical care program for registered nurses: a
collaborative venture between education and practice.
AB - This article describes a collaborative venture between nursing education and
nursing practice focused on continuing education. A province-wide assessment of
the educational needs of registered nurses identified the need to create a
critical care education program. A critical care project team was established to
develop a Postbasic Critical Care Program for registered nurses. The development
of the project team, initiation of the program, and the mutual benefits and
challenges posed by this collaborative venture are presented.
PMID- 18050983
TI - The role of the clinical nurse specialist in promoting evidence-based practice
and effecting positive patient outcomes.
AB - Clinical nurse specialists (CNSs) are vital members of the healthcare delivery
leadership team. The knowledge and expertise of the CNS is germane to the quality
of care a patient receives. More than 50 CNSs practice at Massachusetts General
Hospital (the state's first Magnet hospital), where they share their clinical
skills, mentor staff through difficult situations, identify learning needs, and
implement innovative approaches to patient care. This article presents a brief
history of the CNS role and describes how the CNS role is operationalized at
Massachusetts General Hospital and its impact on unit-based and organizational
outcomes. In addition, several programs and interventions identified by the CNSs
in response to results of the Staff Perception of the Professional Practice
Environment Survey are discussed. How the CNS influences the professional
development of staff and potential implications for the future role of the CNS
are described. An exemplar is included depicting a typical work day of a CNS on
an acute adult medical unit.
PMID- 18050984
TI - Improving program documentation quality through the application of continuous
improvement processes.
AB - Maintaining the integrity of record keeping and retrievable information related
to the provision of continuing education credit creates challenges for a large
organization. Accurate educational program documentation is vital to support the
knowledge and professional development of nursing staff. Quality review and
accurate documentation of programs for nursing staff development occurred at one
institution through the use of continuous improvement principles. Integration of
the new process into the current system maintains the process of providing
quality record keeping.
PMID- 18050985
TI - The Human Capital Competencies Inventory for developing nurse managers.
AB - BACKGROUND: Nurse managers play a vital role in healthcare delivery systems.
Psychometrically sound measures of management practices grounded in empirical
practice data are needed. METHOD: An integrative review provided content domain
for the Human Capital Competencies Inventory (HCCI). Analyses from nurse managers
in one northeastern state revealed considerable consistency in performance of
activities. RESULTS: There is validity and reliability for the HCCI with the
sample used. CONCLUSIONS: There is early support for the Mastery Path as a
competency-based model for developing nurses for management in healthcare
organizations. Development and testing of four other management practices in the
Mastery Path is ongoing.
PMID- 18050986
TI - [IT solutions on their way].
PMID- 18050987
TI - [After the Chernobyl accident: no basis for increased cancer risk in Sweden].
PMID- 18050988
TI - [Researching physicians soon "endangered" species?].
PMID- 18050989
TI - [Omega-3-fatty acids protect against dementia. Also early symptoms of mild
Alzheimer disease seem to be inhibited].
PMID- 18050990
TI - [Research support more often granted to male than female researchers. The
distribution is mostly explained by formal competence differences].
PMID- 18050991
TI - ["Broken heart" or Takotsubo cardiomyopathy mostly in postmenopausal women.
Stress-induced condition resembling acute myocardial infarction].
PMID- 18050992
TI - [Results following implementation of PCI in Varmland. 30-day mortality after
myocardial infarction halved].
PMID- 18050993
TI - [Fraud reporting as "punishment"].
PMID- 18050994
TI - [(Where is) the crisis of psychiatry?].
PMID- 18050995
TI - [Costa's world and mine].
PMID- 18050996
TI - [Clinical nutrition--big tasks demand mustering of strength].
PMID- 18050997
TI - [House calls wanted].
PMID- 18050998
TI - [Low dosage CT is insignificant as regular radiography in the diagnosis of
rhinosinusitis].
PMID- 18050999
TI - [A pupil of Florence Nightingale became a pioneer in Sweden].
PMID- 18051000
TI - A new age of professional responsibility.
PMID- 18051001
TI - Clinical effectiveness of two microabrasion materials for the removal of enamel
fluorosis stains.
AB - This study evaluated the effectiveness of two microabrasion products for the
removal of enamel fluorosis stains. Using a split-mouth study design, two
operators used PREMA (PM) and Opalustre (OP) to remove fluorosis-like stains from
36 subjects (10-12 years old). Both products were rubbed onto the surface of the
affected teeth for 30 seconds. This procedure was repeated five times during each
clinical appointment. A maximum of three clinical appointments were scheduled.
The subjects and/or their parents were questioned about their satisfaction with
the treatment. Two blinded evaluators appraised both sides of the mouth using a
visual scale system. The data were analyzed by Friedman repeated measures ANOVA
and Wilcoxon test. The majority of the subjects (approximately 97%) reported
satisfaction at the end of the treatment (p = 0.0001). A significant improvement
in appearance was detected after the second clinical appointment when using PREMA
and Opalustre (p < 0.002). After the first clinical appointment, OP showed a
statistically higher mean rating for improvement in appearance (3.4 +/- 0.7) than
PM (2.4 +/- 0.5) (p = 0.002).
PMID- 18051002
TI - Clinical measurement of palatal tooth wear following coating by a resin sealing
system.
AB - This study investigated the hypothesis that coating eroded teeth with a resin
based dentin bonding agent gave protection from tooth wear. Nineteen adults with
palatal tooth wear exposing dentin were recruited, following referral by their
general dental practitioner. Alternate teeth were coated with the resin adhesive,
while the uncoated teeth acted as controls. Accurate impressions of the eroded
teeth, onto which were cemented machined stainless steel discs to act as
reference areas, were scanned with a non-contacting laser profilometer at 3, 6,
12 and 24 months. The mean thickness of resin at baseline application was 0.15 mm
and, from 0 to 6 months, the rate of wear of the control teeth was higher than
those covered with Seal & Protect. There was a statistically significant
difference in "wear" measured between resin covered and control teeth at three
months. The Inter Class Correlations (repeated measurements) for the step heights
obtained for the original and repeat impressions was excellent at 0.99. This
study shows that coating eroded teeth with a resin-based adhesive has the
potential to prevent further tooth wear.
PMID- 18051003
TI - Clinical evaluation of three desensitizing agents in relieving dentin
hypersensitivity.
AB - OBJECTIVES: This in vivo study determined whether the application of three
different desensitizing agents on exposed dentin surfaces was effective in
reducing dentin hypersensitivity in subjects with slight-to-moderate sensitivity.
METHODS: Sixty patients with a history of sensitivity were included in this
study. At baseline visit, the initial sensitivity levels were recorded using a
visual analog scale (VAS). In order to activate the sensitivity, evaporative (air
blast) and thermal (chloraethyl) stimuli were applied to each subject. The
subjects' responses to the stimuli were marked on the VAS. Then, the subjects
were assigned to one of the treatment groups or to a placebo. The agents used
were Seal&Protect (Dentsply DeTrey GmbH, Konstanz, Germany), Vivasens (Ivoclar
Vivadent AG, Schaan, Liechtenstein) and BisBlock (BISCO, Schaumburg, IL, USA);
whereas, distilled water was used as the placebo. The subjects were recalled
after four weeks, and their responses were again recorded. RESULTS: The VAS
scores of the treatment and placebo groups were not different from each other at
baseline (p > 0.05), and thermal stimuli caused higher patient discomfort than
evaporative stimuli (p < 0.05). Alleviation effects of the desensitizing agents
were not significantly different from each other; however, the placebo was an
exception (p < 0.05). The differences between the VAS scores at baseline and
after four weeks were significant for all three desensitizing agents (p < 0.05).
However, in the placebo group, the evaporative stimuli led to insignificant pain
variations (p > 0.05). CONCLUSION: It was concluded that the desensitizing agents
used in this clinical study were effective in alleviating dentin
hypersensitivity. Meanwhile, the placebo response was shown to play a significant
role.
PMID- 18051004
TI - In vivo study of two carbamide peroxide gels with different desensitizing agents.
AB - This study evaluated tooth whitening and sensitivity that occurred during and
after 14 days of overnight bleaching with 15% carbamide peroxide gel with
potassium nitrate and fluoride (Opalescence 15% PF, OP) and 16% carbamide
peroxide with amorphous calcium phosphate (Nite White 16%, NW). Thirty-two
subjects bleached their teeth using custom trays. The subjects had a baseline
evaluation and returned after 7, 14, 21, 35 and 90 days for subjective and
objective color evaluations. The subjects also recorded daily tooth and gingival
sensitivity. The treatments were compared for differences in mean L*, a*, b* and
shade guide rank order at baseline and each appointment thereafter, using
repeated measures analysis of variance (ANOVA). OP and NW showed significant
tooth whitening. Subjects using OP were significantly lighter in b* and E* than
those using NW, while exhibiting no difference in tooth or gingival sensitivity
overall or during any evaluation.
PMID- 18051005
TI - Survival of inlays and partial crowns made of IPS empress after a 10-year
observation period and in relation to various treatment parameters.
AB - This study evaluated the long-term survival of inlays and partial crowns made of
IPS Empress. For this purpose, the patient data of a prospective study were
examined in retrospect and statistically evaluated. MATERIALS AND METHODS: All of
the inlays and partial crowns fabricated of IPS-Empress within the Department of
Operative Dentistry at the School of Dental Medicine of Philipps University,
Marburg, Germany were systematically recorded in a database between 1991 and
2001. The corresponding patient files were revised at the end of 2001. The
information gathered in this way was used to evaluate the survival of the
restorations using the method described by Kaplan and Meyer. RESULTS: A total of
n = 1624 restorations were fabricated of IPS-Empress within the observation
period. During this time, n = 53 failures were recorded. The remaining
restorations were observed for a mean period of 18.77 months. The failures were
mainly attributed to fractures, endodontic problems and cementation errors. The
last failure was established after 82 months. At this stage, a cumulative
survival probability of p = 0.81 was registered with a standard error of 0.04. At
this time, n = 30 restorations were still being observed. Restorations on vital
teeth (n = 1588) showed 46 failures, with a cumulative survival probability of p
= 0.82. Restorations performed on non-vital teeth (n = 36) showed seven failures,
with a cumulative survival probability of p = 0.53. Highly significant
differences were found between the two groups (p < 0.0001) in a log-rank test. No
significant difference (p = 0.41) was found between the patients treated by
students (n = 909) and those treated by qualified dentists (n = 715). Likewise,
no difference (p = 0.13) was established between the restorations seated with a
high viscosity cement (n = 295) and those placed with a low viscosity cement (n =
1329).
PMID- 18051006
TI - Role of additional retention on marginal adaptation and sealing of large resin
composite Class II restorations.
AB - PURPOSE: To compare marginal leakage and gap formation in large resin composite
Class II cavities with their gingival margins in cementum, using three different
additional retentions in the proximal box. METHODS: Standardized large Class II
MOD cavities with gingival margins in cementum were prepared in 40 recently
extracted molars and divided into four groups according to their retention in the
proximal box: (G1) no retention; (G2) vertical grooves in the buccal and lingual
walls; (G3) "pot holes" in the gingival wall and (G4) horizontal grooves in the
gingival wall. All groups were restored with the incremental technique using the
same resin composite (QuiXfil, Dentsply) and a bonding agent (Prime Bond NT,
Dentsply). After polishing, all of the restored teeth were immersed in dye
solution and submitted to simultaneous cyclic loading. Impressions of the
gingival margins were made before and after loading, and epoxy resin replicas
were evaluated for gap formation using a scanning electron microscope. The
microleakage and gap extension data were evaluated by ANOVA and Tukey's test (p <
0.05). Gap extension before and after mechanical loading was compared by
Student's t-test. A correlation analysis was made between the gap extension and
microleakage (Pearson's correlation test). RESULTS: All groups with additional
retention (G2 = 0.565, G3 = 0.346 and G4 = 0.078) showed fewer gap formations
than the control group (G1 = 2.076). Similar results were found for microleakage
tests. All groups presented an increase in gap extension after loading, with the
exception of the group with gingival retention grooves (G4). G4 showed the best
results in relation to both parameters. There was a low correlation between gap
extension and microleakage.
PMID- 18051007
TI - Evaluation of curing light distance on resin composite microhardness and
polymerization.
AB - This study evaluated the influence of the curing tip distance on cure depth of a
resin composite by measuring Vickers microhardness and determining the degree of
conversion by using FT-Raman spectroscopy. The light curing units used were
halogen (500mW/cm2) and LED (900mW/cm2) at a conventional intensity and an Argon
laser at 250mW. The exposure time was 40 seconds for the halogen light, 20
seconds for the LED and 20 and 30 seconds for the Argon laser. The curing tip
distances of 0, 3, 6 and 9 mm were used and controlled via the use of metal
rings. The composite was placed in a black matrix in one increment at a thickness
of 1 mm to 4 mm. The values of microhardness and the degree of conversion were
analyzed separately by ANOVA (Analysis of Variance) and Tukey test, with a
significance level set at 5%. Correlations were analyzed using the Pearson test.
The results obtained conclude that greater tip distances produced a decrease in
microhardness and degree of conversion values, while increasing the resin
thickness decreased the microhardness and degree of conversion values. A higher
correlation between microhardness and the degree of conversion was shown. This
study suggests that the current light curing units promote a similar degree of
conversion and microhardness, provided that the resin is not thicker than 1 mm
and the light source is at a maximum distance of 3 mm from the resin surface.
PMID- 18051008
TI - Effect of mechanical cycling on the push-out bond strength of fiber posts
adhesively bonded to human root dentin.
AB - This study evaluated the effect of mechanical cycling on the bond strength of
fiber posts bonded to root dentin. The hypotheses examined were that bond
strength is not changed after fatigue testing and bond strength does not present
vast variations according to the type of fiber post. Sixty crownless, single
rooted human teeth were endodontically treated, with the space prepared at 12 mm.
Thirty specimens received a quartz fiber post (Q-FRC) (DT Light-Post), and the
remaining 30 specimens received a glass fiber post (G-FRC) (FRC Postec Plus). All
the posts were resin luted (All Bond+Duolink), and each specimen was embedded in
a cylinder with epoxy resin. The specimens were divided into six groups: G1- Q
FRC+no cycling; G2- Q-FRC+20,000 cycles (load: 50N; angle of 450; frequency:
8Hz); G3- Q-FRC+2,000,000 cycles; G4- G-FRC+no cycling; G5- G-FRC+20,000 cycles;
G6- G-FRC+2,000,000 cycles. The specimens were cut perpendicular to their long
axis, forming 2-mm thick disc-samples, which were submitted to the push-out test.
ANOVA (alpha = .05) revealed that: (a) Q-FRC (7.1 +/- 2.2MPa) and G-FRC (6.9 +/-
2.1MPa) were statistically similar (p = 0.665); (b) the "no cycling" groups (7.0
+/- 2.4MPa), "20,000 cycles" groups (7.0 +/- 2.1MPa) and "2,000,000 cycles"
groups (7.0 +/- 2.0MPa) were statistically similar (p = 0.996). It concluded that
mechanical cycling did not affect the bond strength of two fiber posts bonded to
dentin.
PMID- 18051009
TI - Effects of new formulas of bleaching gel and fluoride application on enamel
microhardness: an in vitro study.
AB - This in vitro study evaluated the new formulas of bleaching products and the
effect of subsequent applications of fluoride on the hardness of enamel during
and after tooth bleaching. The crowns of 60 extracted intact human molars were
sectioned longitudinally; the buccal part was embedded in acrylic resin, the
occlusal part was ground flat, exposing enamel and dentin, and then polished.
Baseline Knoop microhardness (KHN) of enamel was determined. The specimens were
then randomly divided into six groups of 10 specimens, and each group was
assigned to a specific 10% carbamide peroxide (CP) bleaching agent. A:
Opalescence, B: Opalescence PF (3% potassium nitrate and 0.11% fluoride), C: Nite
White Excel 3 (ACP), D: Opalescence + F (acidulated phosphate fluoride 1.23%), E:
Opalescence PF + F, F: Nite White Excel 3 + F. The teeth were bleached for eight
hours; after each procedure, the specimens were stored in artificial saliva at 37
degrees C. Immediately after day 21 of bleaching, the specimens in groups D, E
and F received fluoride 1.23% for five minutes. KHN tests w ere performedbefore
(baseline = control), during (14, 21) and two weeks (35 days) after the bleaching
procedure and were statistically compared using ANOVA/Tukey's t-test (alpha <
0.05). The statistical analysis revealed no significant difference among the
bleaching materials (p = 0.123). A significant enamel KHN reduction (p < 0.001)
was observed for all bleaching materials, with no difference among them. Two
weeks after bleaching, all the groups that received fluoride showed a significant
increase in microhardness. For the new bleaching formulas, the enamel was
restored to a value similar to baseline.
PMID- 18051010
TI - Effect of a ferrule and increased clinical crown length on the in vitro fracture
resistance of premolars restored using two dowel-and-core systems.
AB - This study investigated the effect of a crown-lengthening ferrule on the fracture
resistance of endodontically-treated teeth restored with two dowel-core systems.
Thirty-two extracted mandibular first premolars were sectioned perpendicular to
the long axis at a point 1.0 mm occlusal to the buccal cementoenamel junction.
Following endodontic treatment, the teeth were randomly assigned to four groups:
cast Ni-Cr alloy dowel-core with no ferrule (Group A1), cast Ni-Cr alloy dowel
core with 2.0 mm ferrule (Group A2), prefabricated carbon fiber-reinforced dowel
resin core with no ferrule (Group B1) and carbon fiber-reinforced dowel-resin
core with 2.0 mm ferrule (Group B2). Each specimen was embedded in a self-cured
acrylic resin block from 2.0 mm apical to the margins of a cast Ni-Cr alloy
crown, then loaded at 150 degrees from the long axis in a universal testing
machine at a crosshead speed of 1.0 mm/minute until fracture. The data were
recorded and analyzed using ANOVA and Fisher's exact tests, with alpha = 0.05.
Mean failure loads (kN) for the A1, A2, B1 and B2 Groups were: 1.46 (S.D. 0.45),
1.07 (0.21), 1.13 (0.30) and 1.02 (0.27). The teeth restored with cast Ni-Cr
dowel-cores and 2.0 mm ferrules demonstrated significantly lower fracture
strengths, p = 0.04. There were significant differences in the root fracture
patterns between the two dowel systems, with the carbon fiber-reinforced dowel
resin core system, being the less severe p < 0.05. Crown lengthening with a 2.0
mm apical extended ferrule resulted in reduced fracture strengths for
endodontically-treated teeth restored using two dowel-core systems and cast metal
crowns. The carbon fiber-reinforced dowel-resin core system reduced the severity
of the root fractures.
PMID- 18051011
TI - Effects of filling techniques on the regional bond strength to lateral walls in
Class I cavities.
AB - OBJECTIVES: Using the push-out technique, this study compared the influence of
different composite insertion techniques in Class I cavities on the regional
shear bond strength to lateral walls. MATERIALS AND METHOD: Standardized Class I
cavities were prepared on the occlusal surface of 60 freshly extracted third
molars, which were randomly assigned to one of five groups (n = 10). The cavities
were bonded with the self-etch adhesive AdheSE and restored with Tetric Ceram
resin composite by one of five techniques: G1, incremental technique (four
oblique layers); G2, flowable composite as liner and bulk technique; G3, bulk
technique and G4, light cone technique. The total-etch adhesive (Single Bond) and
incremental technique were used as the control procedure. Each specimen was
sectioned perpendicular to the long axis of the tooth in 1 mm-thick dentin
slices. A push-out test was performed to measure regional bond strengths and
identify the type of failure. Two additional teeth per group were prepared for
the morphological interface study using scanning electron microscopy. RESULTS:
Differences between the groups were tested by one-way ANOVA and Scheffe post hoc
test (F = 29.635, p < 0.001). The highest shear bond strength values were
obtained with the incremental technique, regardless of the adhesive used.
Significant differences in bond strength to superficial and deep dentin were only
found when a total-etch adhesive was used (Single Bond). CONCLUSIONS: Use of the
incremental technique with total-etch or self-etch adhesives is the most
effective method of inserting resin-based composites in large Class I cavities.
PMID- 18051012
TI - Effect of different fluoridation regimes on the microhardness of bleached enamel.
AB - PURPOSE: This in vitro study evaluated the effects of toothpaste fluoridation and
toothpaste plus gel fluoridation and influence of the time period of fluoride gel
application on the microhardness of bleached enamel. METHODS: Ninety bovine
enamel samples were distributed among nine groups (A-I), each having 10 samples.
Half of each surface was bleached with 10% carbamide peroxide gel (8 hours/daily)
for 14 days, while the remaining surface was not bleached and served as the
control. Groups A-H were fluoridated with toothpaste twice daily throughout the
experiment (42 days) and assigned to fluoride gel treatment during the pre
bleaching period (14 days), during the bleaching period and/or during the post
bleaching period (14 days): A: prior, B: during, C: post, D: prior+during, E:
during+post, F: prior+post, G: prior+during+post, H: no gel. Group I was neither
fluoridated by toothpaste nor gel. The Knoop microhardness (KHN) of each specimen
was determined at baseline, after pre-bleaching (day 14), after bleaching (day
28) and after post-bleaching (day 42). Statistical analysis of the percentage of
change at baseline KHN was performed by ANOVA and t-test (p < 0.05). RESULTS:
Bleaching led to a significant decrease of KHN in Group I (unfluoridated)
compared to Groups A-H, where microhardness did not fall below baseline values.
Fluoridation treatment in Groups A-H increased microhardness in bleached and
unbleached samples, but additional supplementation of fluoride gel in Groups A-G
was not superior to toothpaste fluoridation only (H). After the post-bleaching
period, the microhardness of the bleached and unbleached surfaces was not
significantly different in Groups A-H. CONCLUSION: Regular toothpaste
fluoridation prevents microhardness loss due to bleaching treatment in vitro. The
additional supplementation of fluoride gel did not enhance the beneficial effect
of toothpaste fluoridation, and microhardness was not influenced by the time
period of gel fluoridation.
PMID- 18051013
TI - The effect of curing units and staining solutions on the color stability of resin
composites.
AB - This study investigated the effects of two different light curing units and two
staining solutions on the color stability of a hybrid composite and a nanohybrid
composite after different immersion periods. Thirty disk-shaped specimens (10 mm
in diameter, 2-mm thick) were fabricated for each of the resin composites,
Clearfil AP-X and Filtek Supreme. The specimens were randomly divided into two
groups according to the curing unit used: Group I specimens (n = 15) were cured
with a quartz-tungsten-halogen (QTH) light for 40 seconds, and Group II specimens
(n = 15) were cured with a light-emitting diode (LED) unit in standard mode for
40 seconds. The specimens were incubated in 100% humidity at 37 degrees C for 24
hours. Then, the baseline color values (L*, a*, b*) of each specimen were
measured with a spectrophotometer according to the CIELab color scale. After
baseline color measurements, five randomly selected specimens from each group
(Groups I and II) were immersed in one of two staining solutions (tea or coffee)
or distilled water (control). After 1, 7 and 30 days of immersion, the color
values of each specimen were remeasured and the color change value (deltaE*ab)
calculated. Color changes caused by immersion in tea and coffee for 30 days were
only perceptible in the Clearfil AP-X specimens cured with QTH or LED. In the
Filtek Supreme specimens, coffee perceptibly stained the teeth after all
immersion periods and tea stained after 30 days. Polymerization with QTH or LED
did not cause any significant difference in the color stability of Clearfil AP-X
or Filtek Supreme. While there were no significant differences between staining
solutions in the Clearfil AP-X specimens cured with LED after one and seven days
of storage and one day of storage in the QTH cured specimens, significant
differences were observed between water and coffee after seven days of storage.
In the Filtek Supreme specimens cured with QTH or LED, there were statistically
significant differences between the staining solutions after one and seven days
of storage. After 30 days of storage, no significant difference was found between
tea and coffee in either resin composite cured with QTH or LED. The effect of the
staining solutions (tea, coffee) on color changes in composites was immersion
time and resin-material dependent.
PMID- 18051014
TI - The effect of surface preparation and luting agent on bond strength to a
zirconium-based ceramic.
AB - OBJECTIVES: To investigate the bond strength of modern "self-adhesive" resin
cements to a zirconium-based dental ceramic following different surface
preparations and storage conditions. METHODS: The surface of zirconium-based
ceramic discs (12 x 2 mm) were either left untreated, prepared using alumina grit
blasting or tribochemical treatment. Resin composite cylinders were bonded to
ceramic specimens using Panavia-F, RelyX Unicem or Maxcem resin cements. The
shear bond strength of specimens (n = 10) was tested "dry," following 24-hour
water immersion or a thermocycling regime. RESULTS: For each surface preparation,
a significant reduction in bond strength following 24-hour water immersion and
thermocycling compared to "dry" storage conditions was identified for both
Panavia-F and Maxcem. However, Unicem specimens exhibited statistically similar
SBS values for tribochemically-treated specimens stored dry following 24-hour
water immersion or thermocycling (11.7 +/- 1.3, 14.1 +/- 6.3 and 11.7 +/- 4.9
MPa, respectively) (p > 0.05). No significant differences in bond strength were
identified for Panavia-F or Unicem specimens for any surface preparation
following the thermocycling regime (p > 0.05). In contrast, for each surface
preparation following thermocycling (p < 0.001), Maxcem exhibited a significant
decrease in SBS compared with Panavia-F and Unicem specimens. CONCLUSIONS: The
pre-treatment of a zirconium-based ceramic surface with grit-blasting and
tribochemical treatment improves the bond strength of resin cements. Following
"wet" storage conditions, Panavia-F and Unicem demonstrated superior bond
strength compared with Maxcem. Differences in ceramic surface preparation and the
chemistry of resin cements will affect the nature of the bonding mechanism and
durability of the adhesive layer.
PMID- 18051015
TI - Hospice heritage. Introduction.
PMID- 18051016
TI - Patient care: past, present, and future.
AB - The 40 years since St Christopher's Hospice opened has witnessed a burgeoning
international interest in palliative care. Its key characteristics comprise a
focus on the whole-person (physical, psychological, social, and spiritual),
patient-centeredness (partnership with and empowerment of the patient and
family), openness and honesty in communication, an acceptance of the
inevitability of death coupled with improvement in the quality of life, multi
professional teamwork integrated with community (volunteer) involvement. Although
much has been achieved, much remains to be done. Both in resource-poor countries
and in more wealthy ones, the scope of palliative care has changed. Initially in
the United Kingdom, palliative care was mostly limited to cancer patients but now
strenuous efforts are being made to extend coverage to other patient groups,
e.g., those with end-stage heart disease or renal failure. In India, with a
dearth of chronic care facilities, palliative care services increasingly embrace
those with chronic disability as well as progressive end-stage disease. In Sub
Saharan Africa, the devastating impact of AIDS is having a major impact on the
development and delivery of palliative care. To maximize the benefits of limited
financial and other resources, a strategic approach is necessary. The World
Health Organization emphasizes three essential foundation measures: health
service policy, public awareness and professional education, and drug
availability. However, at the end of the day, if we are truly to honor Cicely
Saunders, palliative care must remain a movement with momentum, combining
creative charisma with inevitable bureaucratic routinization.
PMID- 18051017
TI - Family care before and after bereavement.
AB - Distress reverberates throughout the family during palliative care and
bereavement, inviting consideration of a family-centered model of care. Targeting
families thought to be "at risk" has merit. The Family Focused Grief Therapy
model was tested in a randomized controlled trial of 81 families (353
individuals) and bereavement outcome is reported here for treatment completers
compared to controls. There were no significant baseline differences between
treatment completers and non-completers. Significant reduction in distress
occurred at 13 months post death for the families completing treatment, with
further improvements for the 10% of individuals most distressed at baseline. A
preventive model of family-centered care applied to those at greatest risk is
meritorious and in keeping with the aspirations of Cicely Saunders for improving
the quality of hospice care.
PMID- 18051018
TI - Spirituality and the care of patients at the end-of-life: an essential component
of care.
AB - Spirituality is an essential component of the care of patients with serious
illness and those that are dying. Dame Cicely Saunders developed the hospice
movement based on the biopsychosocialspiritual model of care, in which all four
dimensions are important in the care of patients. Of all the models of care,
hospice and palliative care recognize the importance of spiritual issues in the
care of patients and their families. The National Consensus Project Guidelines
for Quality Palliative Care, in the United States, provides specific
recommendations about all domains of care including the spiritual domain, which
is recognized as a critical component of care (The National Consensus Project for
Quality Palliative Care www.nationalconsensusproject.org). Studies indicate that
the majority of patients would like their spiritual issues addressed, yet find
that their spiritual needs are not being met by the current system of care.
Interestingly, spirituality is the one dimension that seems to get slightly less
emphasis than the biopsychosocial dimensions of care. Some reasons may include
the difficulty with definitions of spirituality for clinical and research
purposes, the time constraints and financial burdens in the current healthcare
system in the United States, and the lack of uniform training for all healthcare
professionals. Yet, there are theoretical and ethical frameworks that support
spiritual care as well as some educational models in spirituality and health that
have been successful in medical education in the United States. Spirituality can
be seen as the essential part of the humanity of all people. It is at its root,
relational and thus forms the basis of the altruistic care healthcare
professionals are committed to. Spirituality has to do with respecting the
inherent value and dignity of all persons, regardless of their health status. It
is the part of humans that seeks healing, particularly in the midst of suffering.
Spiritual care models are based on an intrinsic aspect that calls for
compassionate presence to patients as well as an extrinsic component where
healthcare professionals address spiritual issues with patients and their loved
ones. Currently in the healthcare system, evidence-base models are the criteria
for practice recommendations. Yet, spirituality may not be amenable entirely to
strict evidence-base criteria. As hospice and palliative care continues to
develop as a field, healthcare professionals are challenged to think of ways to
advocate for and include the spiritual dimension of care.
PMID- 18051019
TI - Spreading the word ... hospice information systems.
AB - The rapid spread of Saunders' thinking across the world has been facilitated by
the Hospice Information service and library at St Christopher's Hospice which she
helped to create and further enhanced by Help the Hospices. We have set this
article in the context of the Web and other information systems as they are
developing today. "Connecting people" and "collecting people's experiences" were
terms often used by Cicely Saunders when she described the work of Hospice
Information, a service that has in some measure contributed to the rapid spread
of her thinking across the world and which is currently in close contact with
palliative care workers in over 120 countries. Connecting--or networking--putting
people and organizations in touch with each other for mutual benefit and
collecting and disseminating people's experiences are central to our work as a
U.K. and international resource on hospice and palliative care for professionals
and the public. Add to these the crucial role of information provision and
advocacy for patients, carers, and health professionals alike and we hope that
you may begin to appreciate how our respective organizations have contributed to
the spread of Cicely Saunders' vision.
PMID- 18051020
TI - St Christopher's and the future.
AB - The founding vision of St Christopher's Hospice was based on a recognition that
permeating mainstream health care services would be essential and an emphasis on
an adaptable philosophy rather than a building. Today, demographic and disease
related changes mean that need and demand for end-of-life care will inevitably
outstrip professional and financial resource. Hospices must engage with the
development of cost-effective models of service delivery and rational planning.
Only partnership working with the National Health Service, care homes, and others
will ensure that appropriate care is available to everyone wherever the bed in
which they die, regardless of diagnosis. Only collaboration and active engagement
will ensure that future strategy in end-of-life care retains the original insight
that its focus rightly includes not only patients but also the social context
that will be affected by their death. Cost and patient choice dictate an emphasis
on care at home. Health-promoting, public education and family-focused strategies
will be essential. At a pivotal moment for the delivery of health care generally,
hospices can play a vital part by marrying the role of "insistent conscience" of
the health care service with continued cost-effective clinical innovation.
PMID- 18051021
TI - Palliative medicine in Britain.
AB - In Britain, Palliative Medicine was recognized as a subspecialty of Internal
Medicine exactly 20 years after Cicely Saunders founded St Christopher's, at
exactly the same time that government was at last recognizing the worth and the
needs of general practice. Both had far-reaching effects and implications for
patients, doctors, and the future of medicine. For Palliative Medicine it meant
units wishing to train specialists going through a rigorous selection process;
the development of an equally rigorous training program for the doctors who had
already gained a higher qualification before starting Palliative Medicine,
demonstrating the need for and benefits of palliative medicine to the sceptics in
the profession and, now, continuing to recruit the staff for the steadily
increasing number of new services. Today there are more Palliative Medicine
consultants/specialists than there are oncologists and neurologists combined,
with Hospital Palliative Care Teams in every major hospital and cancer center.
With nine Chairs in Palliative Medicine, there is now a drive for research and
professional education. The specialty faces major challenges, however, ranging
from training to care for patients with non-malignant disease to enabling
patients to die in the place of their choice-something that rarely happens today;
from defining what is distinctive or unique about palliative medicine to
clarifying the respective place of general practice and the specialty. Most would
agree that the biggest challenge for the young, thriving specialty is how to
share its principles with other doctors wherever they work.
PMID- 18051022
TI - Development of hospice and palliative care in the United States.
AB - More than 30 years have passed since palliative care was introduced in the United
States, and what began as a small rebellion has evolved into a fairly large
health care industry. Although the palliative care movement has considerably
improved the care given to those at the end of life, many challenges remain for
palliative care providers in the United States. This article discusses the
history of hospice and palliative care in the United States, the Medicare Hospice
Benefit, the growth of hospice and palliative care, and challenges such as the
need for regulatory change, workforce issues, improving access to care, and
improving the quality of palliative care.
PMID- 18051023
TI - End-of-life care around the world: achievements to date and challenges remaining.
AB - From the moment her interest in terminal care was awakened, Cicely Saunders was
alert to the international dimensions of the subject. Her first patient in the
late 1940s was an emigre Polish Jew dying alone and in isolation in a busy London
hospital. Her letters from the 1950s onwards show an unquenchable thirst for new
knowledge and a span of contacts and communications that was global in its reach.
By the early 1960s, she was making fact-finding visits to the United States and
Europe. Even before it opened to patients, St Christopher's Hospice was a beacon
of inspiration to like-minded colleagues from many countries, eager to see how
the model of practice was being operationalized and--more important still--how it
could be adapted and modified in other settings. In the later years of her life,
Cicely Saunders remained in contact with colleagues all over the world. She wrote
introductions to numerous textbooks and collections; recorded interviews that
were broadcast at international conferences; harnessed her name and energies to
major efforts to promote palliative care globally; and, to the very end, served
as a source of inspiration to palliative care activists, policy makers,
educators, and researchers. As this special issue of the journal demonstrates so
eloquently, that legacy lives on.
PMID- 18051024
TI - Hospice: achievements, legacies, and challenges.
PMID- 18051025
TI - Reversible airway obstruction in casual and competitive athletes.
PMID- 18051026
TI - Primary ectopic breast cancer of the axilla.
AB - Ectopic breast cancer in the axilla may be misdiagnosed as a lipoma, enlarged
lymph node, sebaceous cyst, or as hidradenitis suppurativa. We report a case of
ectopic breast cancer and review the literature regarding the pathophysiology,
prognosis and treatment of this disease. The case demonstrates how it is
imperative that one include cancer in the differential diagnosis when evaluating
an axillary mass.
PMID- 18051027
TI - Unusual cause of shoulder pain in a 15-year-old male.
PMID- 18051028
TI - A cooperative life saving program of physicians, hospitals, and health
departments in the field of accident prevention and control: A poison control
program for Connecticut. 1957.
PMID- 18051029
TI - On mentoring--an opportunity for the "seniors" among us.
PMID- 18051030
TI - Response to Dr. Arnold D. Newman's comments on radiology then and now.
PMID- 18051031
TI - CPD, an effective means of professional development...or is it?
PMID- 18051032
TI - The criminal use of improvised and re-activated firearms in Great Britain and
Northern Ireland.
AB - Following the Hungerford Massacre the British Government imposed additional
strict firearms legislation in 1988 that involved several classes of arms being
placed into the prohibited category. By way of compensation a scheme was
introduced to allow the unrestricted possession and transfer of the newly
prohibited arms, other firearms and "prohibited weapons", if de-activated to a
standard acceptable to the Secretary of State. Approved standards for firearm de
activation were drawn up in 1989. The inspection of the de-activated arms was
devolved to the two Gun Barrel Proof Houses at London and Birmingham, as
otherwise the task of inspecting the thousands of guns involved would have
overloaded the firearms section at the Huntingdon Forensic Science Service
Laboratory, who were already dealing with criminal firearms cases submitted by 41
of the 43 police forces throughout England and Wales, as well as providing
technical assistance to the Home Office and Government Ministers. Members of the
Gun Trade made representations to the Minister involved during the initial stages
of setting up the official de-activation standards. This resulted in some measure
of compromise in the range and nature of the de-activation requirements. Although
it was clear that some individuals possessing the necessary skill and equipment
might attempt to restore the odd weapon to a working condition, the scheme
appeared to work reasonably well for the next few years. However, over the
passage of time, criminal casework submissions to the Huntingdon Laboratory from
industrial city areas along the M62 corridor of northern England revealed a
steadily growing trend in the use of re-activated arms, which in a significant
number of cases involved the use of fully automatic weapons. At first, the nature
of the re-activation processes used to restore these arms was quite crude.
However, with the passage of time a steady improvement in the machining and
welding skills used by the some of the culprits involved became more and more
apparent, as was a move by them to bulk restoration. The use of restored arms in
serious and often drug related crime, spread to the other mainland British
cities, and eventually to Northern Ireland. More rigorous de-activation standards
were introduced in 1995 to help counter this perceived threat, particularly in
respect of handguns and full-automatic weapons. Blank cartridge pistols and air
cartridge pistols were also being modified to allow their use with bulleted
ammunition in the commission of criminal offences. As a result all air cartridge
guns were placed into the prohibited weapons category in 2003, and the possession
of imitation firearms in a public place without good reason, also became an
offence. The Government is now considering further legislation, which will affect
the sale of replica firearms and cartridge reloading equipment and materials.
PMID- 18051033
TI - Effectiveness of contamination prevention procedures in a trace explosives
laboratory.
AB - The effectiveness of a number of the explosives contamination prevention controls
that are adopted within the Forensic Explosives Laboratory (FEL) principal trace
laboratory has been scrutinised. Within the trace laboratory, rigorous procedures
for processing forensic swab samples for traces of organic explosives are
routinely adopted by forensic scientists. In order to demonstrate the
effectiveness of these procedures, and the principle of separating the sample
from the laboratory and the forensic scientist, explosives-free swab samples and
appropriate controls have been processed, in accordance with trace laboratory
procedures, in several explosives contaminated environments. In all cases, no
explosives were detected in the post-processing samples, demonstrating that the
contamination prevention procedures are effective, robust and fit-for-purpose.
PMID- 18051034
TI - The use of grain size distribution analysis of sediments and soils in forensic
enquiry.
AB - The use of grain size distribution analysis in forensic enquiry was investigated
with reference to four forensic case studies which contained the type of sample
restraints and limitations often encountered in criminal case work. The problems
of the comparison of trace and bulk samples are outlined and the need for
multiple sample analysis is highlighted. It was found that the problems of soil
analysis, particularly when the soil was recovered from anthropogenic sources,
focused on the lack of identification of pre-, syn- and post-forensic event
mixing of materials, thus obscuring the recognition of false-negative or false
positive exclusions between samples. It was found that grain size distribution
analysis was a useful descriptive tool but it was concluded that if it were to be
used in any other manner the derived results should be treated with great
caution. The statistical analyses of these data did not improve the quality of
the interpretation of the results.
PMID- 18051035
TI - Fingerprint recovery from human skin surfaces.
AB - A study was conducted to investigate whether certain dactyloscopic powders and
reagents can recover latent fingerprints on human skin surfaces. Four fingerprint
powders, Magnetic Jet Black, Magnetic Silver, Silver Special, Swedish Black, and
two other methods, cyanoacrylate fuming (CA) and Ruthenium tetroxide (RTX), were
used. Having examined skin surfaces with a forensic light source, we observed
that the fingerprint impressions remained visible up to 15 min after
intentionally placing them on the skin surface of living subjects and dead
bodies. Finger marks were recovered and positive results were achieved with
Magnetic Black and Swedish Black powder on living subjects. On dead bodies finger
marks treated with cyanoacrylate were visible but those treated with RTX, Swedish
Black and Magnetic Jet Black powder were useful for potential comparison. On dead
bodies best results were obtained with RTX method.
PMID- 18051036
TI - Sediment fingerprints: a forensic technique using quartz sand grains--a response.
PMID- 18051037
TI - Geodesic-loxodromes for diffusion tensor interpolation and difference
measurement.
AB - In algorithms for processing diffusion tensor images, two common ingredients are
interpolating tensors, and measuring the distance between them. We propose a new
class of interpolation paths for tensors, termed geodesic-loxodromes, which
explicitly preserve clinically important tensor attributes, such as mean
diffusivity or fractional anisotropy, while using basic differential geometry to
interpolate tensor orientation. This contrasts with previous Riemannian and Log
Euclidean methods that preserve the determinant. Path integrals of tangents of
geodesic-loxodromes generate novel measures of over-all difference between two
tensors, and of difference in shape and in orientation.
PMID- 18051038
TI - Quantification of measurement error in DTI: theoretical predictions and
validation.
AB - The presence of Rician noise in magnetic resonance imaging (MRI) introduces
systematic errors in diffusion tensor imaging (DTI) measurements. This paper
evaluates gradient direction schemes and tensor estimation routines to determine
how to achieve the maximum accuracy and precision of tensor derived measures for
a fixed amount of scan time. We present Monte Carlo simulations that quantify the
effect of noise on diffusion measurements and validate these simulation results
against appropriate in-vivo images. The predicted values of the systematic and
random error caused by imaging noise are essential both for interpreting the
results of statistical analysis and for selecting optimal imaging protocols given
scan time limitations.
PMID- 18051039
TI - In-utero three dimension high resolution fetal brain diffusion tensor imaging.
AB - We present a methodology to achieve 3D high resolution in-utero fetal brain DTI
that shows excellent ADC as well as promising FA maps. After continuous DTI
scanning to acquire a repeated series of parallel slices with 15 diffusion
directions, image registration is used to realign the images to correct for fetal
motion. Once aligned, the diffusion images are treated as irregularly sampled
data where each voxel is associated with an appropriately rotated diffusion
direction, and used to estimate the diffusion tensor on a regular grid. The
method has been tested successful on eight fetuses and has been validated on
adults imaged at 1.5T.
PMID- 18051040
TI - Real-time MR diffusion tensor and Q-ball imaging using Kalman filtering.
AB - Magnetic resonance diffusion imaging (dMRI) has become an established research
tool for the investigation of tissue structure and orientation. In this paper, we
present a method for real time processing of diffusion tensor and Q-ball imaging.
The basic idea is to use Kalman filtering framework to fit either the linear
tensor or Q-ball model. Because the Kalman filter is designed to be an
incremental algorithm, it naturally enables updating the model estimate after the
acquisition of any new diffusion-weighted volume. Processing diffusion models and
maps during ongoing scans provides a new useful tool for clinicians, especially
when it is not possible to predict how long a subject may remain still in the
magnet.
PMID- 18051041
TI - Finsler tractography for white matter connectivity analysis of the cingulum
bundle.
AB - In this paper, we present a novel approach for the segmentation of white matter
tracts based on Finsler active contours. This technique provides an optimal
measure of connectivity, explicitly segments the connecting fiber bundle, and is
equipped with a metric which is able to utilize the directional information of
high angular resolution data. We demonstrate the effectiveness of the algorithm
for segmenting the cingulum bundle.
PMID- 18051042
TI - Segmentation of myocardial volumes from real-time 3D echocardiography using an
incompressibility constraint.
AB - Real-time three-dimensional (RT3D) echocardiography is a new imaging modality
that presents the unique opportunity to visualize the complex three-dimensional
(3-D) shape and the motion of left ventricle (LV) in vivo. To take advantage of
this opportunity, automatic segmentation of LV myocardium is essential. While
there are a variety of efforts on the segmentation of LV endocardial (ENDO)
boundaries, the segmentation of epicardial (EPI) boundaries is still problematic.
In this paper, we present a new approach of coupled-surfaces propagation to
address this problem. Our method is motivated by the idea that the volume of the
myocardium is close to being constant during a cardiac cycle and takes this tight
coupling as an important constraint. We employ two surfaces, each driven by the
image-derived information that takes into account the ultrasound physics by
modeling speckle using shifted Rayleigh distribution while maintaining the
coupling. By evolving two surfaces simultaneously, the final representation of
myocardium is thus achieved. Results from 328 sets of RT3D echocardiographic data
are evaluated against the outlines of three observers. We show that the results
from automatic segmentation are comparable to those from manual segmentation.
PMID- 18051043
TI - Localized shape variations for classifying wall motion in echocardiograms.
AB - To quantitatively predict coronary artery diseases, automated analysis may be
preferred to current visual assessment of left ventricular (LV) wall motion. In
this paper, a novel automated classification method is presented which uses shape
models with localized variations. These sparse shape models were built from four
chamber and two-chamber echocardiographic sequences using principal component
analysis and orthomax rotations. The resulting shape parameters were then used to
classify local wall-motion abnormalities of LV segments. Various orthomax
criteria were investigated. In all cases, higher classification correctness was
achieved using significantly less shape parameters than before rotation. Since
pathologies are typically spatially localized, many medical applications
involving local classification should benefit from orthomax parameterizations.
PMID- 18051044
TI - Image guidance of intracardiac ultrasound with fusion of pre-operative images.
AB - This paper presents a method for registering 3D intracardiac echo (ICE) to pre
operative images. A magnetic tracking sensor is integrated on the ICE catheter
tip to provide the 3D location and orientation. The user guides the catheter into
the patient heart to acquire a series of ultrasound images covering the anatomy
of the heart chambers. An automatic intensity-based registration algorithm is
applied to align these ultrasound images with pre-operative images. One of the
important applications is to help electrophysiology doctors to treat complicated
atrial fibrillation cases. After registration, the doctor can see the position
and orientation of the ICE catheter and other tracked catheters inside the heart
anatomy in real time. The image guidance provided by this technique may increase
the ablation accuracy and reduce the amount of time for the electrophysiology
procedures. We show successful image registration results from animal
experiments.
PMID- 18051045
TI - 3D reconstruction of internal organ surfaces for minimal invasive surgery.
AB - While Minimally Invasive Surgery (MIS) offers great benefits to patients compared
with open surgery surgeons suffer from a restricted field-of-view and obstruction
from instruments. We present a novel method for 3D reconstruction of soft tissue,
which can provide a wider field-of-view with 3D information for surgeons,
including restoration of missing data. The paper focuses on the use of Structure
from Motion (SFM) techniques to solve the missing data problem and application of
competitive evolutionary agents to improve the robustness to missing data and
outliers. The method has been evaluated with synthetic data, images from a
phantom heart model, and in vivo MIS image sequences using the da Vinci
telerobotic surgical system.
PMID- 18051046
TI - Cardiolock: an active cardiac stabilizer. First in vivo experiments using a new
robotized device.
AB - Off-pump Coronary Artery Bypass Grafting (CABG) is still today a technically
difficult procedure. In fact, the mechanical stabilizers used to locally suppress
the heart excursion have been demonstrated to exhibit significant residual
motion. We therefore propose a novel active stabilizer which is able to
compensate for this residual motion. The interaction between the heart and a
mechanical stabilizer is first assessed in vivo on an animal model. Then, the
principle of active stabilization, based on the high speed vision-based control
of a compliant mechanism, is presented. In vivo experimental results are given
using a prototype which structure is compatible with a minimally invasive
approach.
PMID- 18051047
TI - Automated segmentation of the liver from 3D CT images using probabilistic atlas
and multi-level statistical shape model.
AB - An atlas-based automated liver segmentation method from 3D CT images is
described. The method utilizes two types of atlases, that is, the probabilistic
atlas (PA) and statistical shape model (SSM). Voxel-based segmentation with PA is
firstly performed to obtain a liver region, and then the obtained region is used
as the initial region for subsequent SSM fitting to 3D CT images. To improve
reconstruction accuracy especially for largely deformed livers, we utilize a
multi-level SSM (ML-SSM). In ML-SSM, the whole shape is divided into patches, and
principal component analysis is applied to each patches. To avoid the
inconsistency among patches, we introduce a new constraint called the
adhesiveness constraint for overlap regions among patches. In experiments, we
demonstrate that segmentation accuracy improved by using the initial region
obtained with PA and the introduced constraint for ML-SSM.
PMID- 18051048
TI - Statistical and topological atlas based brain image segmentation.
AB - This paper presents a new atlas-based segmentation framework for the delineation
of major regions in magnetic resonance brain images employing an atlas of the
global topological structure as well as a statistical atlas of the regions of
interest. A segmentation technique using fast marching methods and tissue
classification is proposed that guarantees strict topological equivalence between
the segmented image and the atlas. Experimental validation on simulated and real
brain images shows that the method is accurate and robust.
PMID- 18051049
TI - A boosted segmentation method for surgical workflow analysis.
AB - As demands on hospital efficiency increase, there is a stronger need for
automatic analysis, recovery, and modification of surgical workflows. Even though
most of the previous work has dealt with higher level and hospital-wide workflow
including issues like document management, workflow is also an important issue
within the surgery room. Its study has a high potential, e.g., for building
context-sensitive operating rooms, evaluating and training surgical staff,
optimizing surgeries and generating automatic reports. In this paper we propose
an approach to segment the surgical workflow into phases based on temporal
synchronization of multidimensional state vectors. Our method is evaluated on the
example of laparoscopic cholecystectomy with state vectors representing tool
usage during the surgeries. The discriminative power of each instrument in regard
to each phase is estimated using AdaBoost. A boosted version of the Dynamic Time
Warping (DTW) algorithm is used to create a surgical reference model and to
segment a newly observed surgery. Full cross-validation on ten surgeries is
performed and the method is compared to standard DTW and to Hidden Markov Models.
PMID- 18051050
TI - Detection of spatial activation patterns as unsupervised segmentation of fMRI
data.
AB - In functional connectivity analysis, networks of interest are defined based on
correlation with the mean time course of a user-selected 'seed' region. In this
work we propose to simultaneously estimate the optimal representative time
courses that summarize the fMRI data well and the partition of the volume into a
set of disjoint regions that are best explained by these representative time
courses. Our approach offers two advantages. First, is removes the sensitivity of
the analysis to the details of the seed selection. Second, it substantially
simplifies group analysis by eliminating the need for a subject-specific
threshold at which correlation values are deemed significant. This unsupervised
technique generalizes connectivity analysis to situations where candidate seeds
are difficult to identify reliably or are unknown. Our experimental results
indicate that the functional segmentation provides a robust, anatomically
meaningful and consistent model for functional connectivity in fMRI.
PMID- 18051051
TI - Robotic assistance for ultrasound guided prostate brachytherapy.
AB - We present a robotically assisted prostate brachytherapy system and test results
in training phantoms. The system consists of a transrectal ultrasound (TRUS) and
a spatially co-registered robot integrated with an FDA-approved commercial
treatment planning system. The salient feature of the system is a small parallel
robot affixed to the mounting posts of the template. The robot replaces the
template interchangeably and uses the same coordinate system. Established
clinical hardware, workflow and calibration are left intact. In these
experiments, we recorded the first insertion attempt without adjustment. All
clinically relevant locations were reached. Non-parallel needle trajectories were
achieved. The pre-insertion transverse and rotational errors (measured with
Polaris optical tracker relative to the template's coordinate frame) were 0.25mm
(STD = 0.17mm) and 0.75 degrees (STD = 0.37 degrees). The needle tip placement
errors measured in TRUS were 1.04mm (STD = 0.50mm). The system is in Phase-I
clinical feasibility and safety trials, under Institutional Review Board
approval.
PMID- 18051053
TI - Simulation and fully automatic multimodal registration of medical ultrasound.
AB - The fusion of 3D freehand ultrasound with CT and CTA has benefits for a variety
of clinical applications, however a lot of manual work is usually required for
correct registration. We developed new methods that allow one to simulate medical
ultrasound from CT in real-time, reproducing the majority of ultrasonic imaging
effects. The second novelty is a robust similarity measure that assesses the
correlation of a combination of multiple signals extracted from CT with
ultrasound, without knowing the influence of each signal. This serves as the
foundation of a fully automatic registration, which aligns a freehand ultrasound
sweep with the corresponding 3D modality using a rigid or an affine
transformation model, without any manual interaction. We also present the used
initialization, global and local parameter optimization schemes, and validation
on abdominal CTA and ultrasound imaging of 10 patients.
PMID- 18051052
TI - Closed-loop control in fused MR-TRUS image-guided prostate biopsy.
AB - Multi-modality fusion imaging for targeted prostate biopsy is difficult because
of prostate motion during the biopsy procedure. A closed-loop control mechanism
is proposed to improve the efficacy and safety of the biopsy procedure, which
uses real-time ultrasound and spatial tracking as feedback to adjust the
registration between a preoperative 3D image (e.g. MRI) and real-time ultrasound
images. The spatial tracking data is used to initialize the image-based
registration between intraoperative ultrasound images and a preoperative
ultrasound volume. The preoperative ultrasound volume is obtained using a 2D
sweep and manually registered to the MRI dataset before the biopsy procedure. The
accuracy of the system is 2.3 +/- 0.9 mm in phantom studies. The results of
twelve patient studies show that prostate motion can be effectively compensated
using closed-loop control.
PMID- 18051054
TI - Improving the contrast of breast cancer masses in ultrasound using an
autoregressive model based filter.
AB - The assessment and diagnosis of breast cancer with ultrasound is a challenging
problem due to the low contrast between cancer masses and benign tissue. Due to
this low contrast it has proven to be difficult to achieve reliable segmentation
results on breast cancer masses. An autoregressive model has been employed to
filter out of the backscattered RF-signal from a tissue harmonic image which is
not degraded by harmonic leakage. Measurements on the filtered image have shown a
significant (up to 45%) increase in contrast between cancer masses and benign
tissue.
PMID- 18051055
TI - Outlier rejection for diffusion weighted imaging.
AB - This paper introduces an outlier rejection and signal reconstruction method for
high angular resolution diffusion weighted imaging. The approach is based on the
thresholding of Laplacian measurements over the sphere of the apparent diffusion
coefficient profiles defined for a given set of gradient directions. Exemplary
results are presented.
PMID- 18051056
TI - Generating fiber crossing phantoms out of experimental DWIs.
AB - In Diffusion Tensor Imaging (DTI), differently oriented fiber bundles inside one
voxel are incorrectly modeled by a single tensor. High Angular Resolution
Diffusion Imaging (HARDI) aims at using more complex models, such as a two-tensor
model, for estimating two fiber bundles. We propose a new method for creating
experimental phantom data of fiber crossings, by mixing the DWI-signals from high
FA-regions with different orientation. The properties of these experimental
phantoms approach the conditions of real data. These phantoms can thus serve as a
'ground truth' in validating crossing reconstruction algorithms. The angular
resolution of a dual tensor model is determined using series of crossings,
generated under different angles. An angular resolution of 0.67pi was found in
data scanned with a diffusion weighting parameter b = 1000 s/mm2. This resolution
did not change significantly in experiments with b = 3000 and 5000 s/mm2, keeping
the scanning time constant.
PMID- 18051057
TI - Motion and positional error correction for cone beam 3D-reconstruction with
mobile C-arms.
AB - CT-images acquired by mobile C-arm devices can contain artefacts caused by
positioning errors. We propose a data driven method based on iterative 3D
reconstruction and 2D/3D-registration to correct projection data inconsistencies.
With a 2D/3D-registration algorithm, transformations are computed to align the
acquired projection images to a previously reconstructed volume. In an iterative
procedure, the reconstruction algorithm uses the results of the registration
step. This algorithm also reduces small motion artefacts within 3D
reconstructions. Experiments with simulated projections from real patient data
show the feasibility of the proposed method. In addition, experiments with real
projection data acquired with an experimental robotised C-arm device have been
performed with promising results.
PMID- 18051058
TI - Cortical hemisphere registration via large deformation diffeomorphic metric curve
mapping.
AB - We present large deformation diffeomorphic metric curve mapping (LDDMM-Curve) for
registering cortical hemispheres. We showed global cortical hemisphere matching
and evaluated the mapping accuracy in five subregions of the cortex in fourteen
MRI scans.
PMID- 18051059
TI - Tagged volume rendering of the heart.
AB - We present a novel system for 3-D visualisation of the heart and coronary
arteries. Binary tags (generated offline) are combined with value-gradient
transfer functions (specified online) allowing for interactive visualisation,
while relaxing the offline segmentation criteria. The arteries are roughly
segmented using a Hessian-based line filter and the pericardial cavity using a
Fast Marching active contour. A comparison of different contour initialisations
reveals that simple geometric shapes (such as spheres or extruded polygons)
produce suitable results.
PMID- 18051060
TI - One-class acoustic characterization applied to blood detection in IVUS.
AB - Intravascular ultrasound (IVUS) is an invasive imaging modality capable of
providing cross-sectional images of the interior of a blood vessel in real time
and at normal video framerates (10-30 frames/s). Low contrast between the
features of interest in the IVUS imagery remains a confounding factor in IVUS
analysis; it would be beneficial therefore to have a method capable of detecting
certain physical features imaged under IVUS in an automated manner. We present
such a method and apply it to the detection of blood. While blood detection
algorithms are not new in this field, we deviate from traditional approaches to
IVUS signal characterization in our use of 1-class learning. This eliminates
certain problems surrounding the need to provide "foreground" and "background"
(or, more generally, n-class) samples to a learner. Applied to the blood
detection problem on 40 MHz recordings made in vivo in swine, we are able to
achieve approximately 95% sensitivity with approximately 90% specificity at a
radial resolution of approximately 600 microm.
PMID- 18051061
TI - Phase sensitive reconstruction for water/fat separation in MR imaging using
inverse gradient.
AB - This paper presents a novel method for phase unwrapping for phase sensitive
reconstruction in MR imaging. The unwrapped phase is obtained by integrating the
phase gradient by solving a Poisson equation. An efficient solver, which has been
made publicly available, is used to solve the equation. The proposed method is
demonstrated on a fat quantification MRI task that is a part of a prospective
study of fat accumulation. The method is compared to a phase unwrapping method
based on region growing. Results indicate that the proposed method provides more
robust unwrapping. Unlike region growing methods, the proposed method is also
straight-forward to implement in 3D.
PMID- 18051062
TI - LOCUS: local cooperative unified segmentation of MRI brain scans.
AB - We propose to carry out cooperatively both tissue and structure segmentations by
distributing a set of local and cooperative models in a unified MRF framework.
Tissue segmentation is performed by partitionning the volume into subvolumes
where local MRFs are estimated in cooperation with their neighbors to ensure
consistency. Local estimation fits precisely to the local intensity distribution
and thus handles nonuniformity of intensity without any bias field modelization.
Structure segmentation is performed via local MRFs that integrate localization
constraints provided by a priori general fuzzy description of brain anatomy.
Structure segmentation is not reduced to a postprocessing step but cooperates
with tissue segmentation to gradually and conjointly improve models accuracy. The
evaluation was performed using phantoms and real 3T brain scans. It shows good
results and in particular robustness to nonuniformity and noise with a low
computational cost.
PMID- 18051063
TI - Spline based inhomogeneity correction for 11C-PIB PET segmentation using
expectation maximization.
AB - With the advent of biomarkers such as 11C-PIB and the increase in use of PET,
automated methods are required for processing and analyzing datasets from
research studies and in clinical settings. A common preprocessing step is the
calculation of standardized uptake value ratio (SUVR) for inter-subject
normalization. This requires segmented grey matter (GM) for VOI refinement.
However 11C-PIB uptake is proportional to amyloid build up leading to
inhomogeneities in intensities, especially within GM. Inhomogeneities present a
challenge for clustering and pattern classification based approaches to PET
segmentation as proposed in current literature. In this paper we modify a MR
image segmentation technique based on expectation maximization for 11C-PIB PET
segmentation. A priori probability maps of the tissue types are used to
initialize and enforce anatomical constraints. We developed a Bezier spline based
inhomogeneity correction techniques that is embedded in the segmentation
algorithm and minimizes inhomogeneity resulting in better segmentations of 11C
PIB PET images. We compare our inhomogeneity with a global polynomial correction
technique and validate our approach using co-registered MRI segmentations.
PMID- 18051064
TI - Hyperspherical von Mises-Fisher mixture (HvMF) modelling of high angular
resolution diffusion MRI.
AB - A mapping of unit vectors onto a 5D hypersphere is used to model and partition
ODFs from HARDI data. This mapping has a number of useful and interesting
properties and we make a link to interpretation of the second order spherical
harmonic decompositions of HARDI data. The paper presents the working theory and
experiments of using a von Mises-Fisher mixture model for directional samples.
The MLE of the second moment of the HvMF pdf can also be related to fractional
anisotropy. We perform error analysis of the estimation scheme in single and
multi-fibre regions and then show how a penalised-likelihood model selection
method can be employed to differentiate single and multiple fibre regions.
PMID- 18051065
TI - Use of varying constraints in optimal 3-D graph search for segmentation of
macular optical coherence tomography images.
AB - An optimal 3-D graph search approach designed for simultaneous multiple surface
detection is extended to allow for varying smoothness and surface interaction
constraints instead of the traditionally used constant constraints. We apply the
method to the intraretinal layer segmentation of 24 3-D optical coherence
tomography (OCT) images, learning the constraints from examples in a leave-one
subject-out fashion. Introducing the varying constraints decreased the mean
unsigned border positioning errors (mean error of 7.3 +/- 3.7 microm using
varying constraints compared to 8.3 +/- 4.9 microm using constant constraints and
8.2 +/- 3.5 microm for the inter-observer variability).
PMID- 18051066
TI - Automatic segmentation of bladder and prostate using coupled 3D deformable
models.
AB - In this paper, we propose a fully automatic method for the coupled 3D
localization and segmentation of lower abdomen structures. We apply it to the
joint segmentation of the prostate and bladder in a database of CT scans of the
lower abdomen of male patients. A flexible approach on the bladder allows the
process to easily adapt to high shape variation and to intensity inhomogeneities
that would be hard to characterize (due, for example, to the level of contrast
agent that is present). On the other hand, a statistical shape prior is enforced
on the prostate. We also propose an adaptive non-overlapping constraint that
arbitrates the evolution of both structures based on the availability of strong
image data at their common boundary. The method has been tested on a database of
16 volumetric images, and the validation process includes an assessment of inter
expert variability in prostate delineation, with promising results.
PMID- 18051067
TI - Characterizing spatio-temporal patterns for disease discrimination in cardiac
echo videos.
AB - Disease-specific understanding of echocardiographic sequences requires accurate
characterization of spatio-temporal motion patterns. In this paper we present a
method of automatic extraction and matching of spatio-temporal patterns from
cardiac echo videos. Specifically, we extract cardiac regions (chambers and
walls) using a variation of multiscale normalized cuts that combines motion
estimates from deformable models with image intensity. We then derive spatio
temporal trajectories of region measurements such as wall motion, volume and
thickness. The region trajectories are then matched to infer the similarities in
disease labels of patients. Validation results on patient data sets collected
from many hospitals are presented.
PMID- 18051068
TI - Integrating functional and structural images for simultaneous cardiac
segmentation and deformation recovery.
AB - Because of their physiological meaningfulness, cardiac physiome models have been
used as constraints to recover patient information from medical images. Although
the results are promising, the parameters of the physiome models are not patient
specific, and thus affect the clinical relevance of the recovered information
especially in pathological cases. In view of this problem, we incorporate patient
information from body surface potential maps in the physiome model to provide a
more patient-specific while physiological plausible guidance, which is further
coupled with patient measurements derived from structural images to recover the
cardiac geometry and deformation simultaneously. Experiments have been conducted
on synthetic data to show the benefits of the framework, and on real human data
to show its practical potential.
PMID- 18051069
TI - Statistical shape modeling using MDL incorporating shape, appearance, and expert
knowledge.
AB - We propose a highly automated approach to the point correspondence problem for
anatomical shapes in medical images. Manual landmarking is performed on a small
subset of the shapes in the study, and a machine learning approach is used to
elucidate the characteristic shape and appearance features at each landmark. A
classifier trained using these features defines a cost function that drives key
landmarks to anatomically meaningful locations after MDL-based correspondence
establishment. Results are shown for artificial examples as well as real data.
PMID- 18051070
TI - False positive reduction in mammographic mass detection using local binary
patterns.
AB - In this paper we propose a new approach for false positive reduction in the field
of mammographic mass detection. The goal is to distinguish between the true
recognized masses and the ones which actually are normal parenchyma. Our proposal
is based on Local Binary Patterns (LBP) for representing salient micro-patterns
and preserving at the same time the spatial structure of the masses. Once the
descriptors are extracted, Support Vector Machines (SVM) are used for classifying
the detected masses. We test our proposal using a set of 1792 suspicious regions
of interest extracted from the DDSM database. Exhaustive experiments illustrate
that LBP features are effective and efficient for false positive reduction even
at different mass sizes, a critical aspect in mass detection systems. Moreover,
we compare our proposal with current methods showing that LBP obtains better
performance.
PMID- 18051071
TI - Fuzzy nonparametric DTI segmentation for robust cingulum-tract extraction.
AB - This paper presents a novel segmentation-based approach for fiber-tract
extraction in diffusion-tensor (DT) images. Typical tractography methods,
incorporating thresholds on fractional anisotropy and fiber curvature to
terminate tracking, can face serious problems arising from partial voluming and
noise. For these reasons, tractography often fails to extract thin tracts with
sharp changes in orientation, e.g. the cingulum. Unlike tractography--which
disregards the information in the tensors that were previously tracked--the
proposed method extracts the cingulum by exploiting the statistical coherence of
tensors in the entire structure. Moreover, the proposed segmentation-based method
allows fuzzy class memberships to optimally extract information within partial
volumed voxels. Unlike typical fuzzy-segmentation schemes employing Gaussian
models that are biased towards ellipsoidal clusters, the proposed method models
the manifolds underlying the classes by incorporating nonparametric data-driven
statistical models. Furthermore, it exploits the nonparametric model to capture
the spatial continuity and structure of the fiber bundle. The results on real DT
images demonstrate that the proposed method extracts the cingulum bundle
significantly more accurately as compared to tractography.
PMID- 18051073
TI - Coronary artery segmentation and skeletonization based on competing fuzzy
connectedness tree.
AB - We propose a new segmentation algorithm based on competing fuzzy connectedness
theory, which is then used for visualizing coronary arteries in 3D CT angiography
(CTA) images. The major difference compared to other fuzzy connectedness
algorithms is that an additional data structure, the connectedness tree, is
constructed at the same time as the seeds propagate. In preliminary evaluations,
accurate result have been achieved with very limited user interaction. In
addition to improving computational speed and segmentation results, the fuzzy
connectedness tree algorithm also includes automated extraction of the vessel
centerlines, which is a promising approach for creating curved plane reformat
(CPR) images along arteries' long axes.
PMID- 18051072
TI - Adaptive metamorphs model for 3D medical image segmentation.
AB - In this paper, we introduce an adaptive model-based segmentation framework, in
which edge and region information are integrated and used adaptively while a
solid model deforms toward the object boundary. Our 3D segmentation method stems
from Metamorphs deformable models. The main novelty of our work is in that,
instead of performing segmentation in an entire 3D volume, we propose model-based
segmentation in an adaptively changing subvolume of interest. The subvolume is
determined based on appearance statistics of the evolving object model, and
within the subvolume, more accurate and object-specific edge and region
information can be obtained. This local and adaptive scheme for computing edges
and object region information makes our segmentation solution more efficient and
more robust to image noise, artifacts and intensity inhomogeneity. External
forces for model deformation are derived in a variational framework that consists
of both edge-based and region-based energy terms, taking into account the
adaptively changing environment. We demonstrate the performance of our method
through extensive experiments using cardiac MR and liver CT images.
PMID- 18051074
TI - Mixtures of Gaussians on tensor fields for DT-MRI segmentation.
AB - In this paper, an original approach for the segmentation of tensor fields is
proposed. Based on the modeling of the data by means of Gaussian mixtures
directly in the tensor domain, this technique presents a wide range of
applications in medical image processing, particularly for Diffusion Tensor
Magnetic Resonance Imaging (DT-MRI). The performance of the segmentation method
proposed is shown through the segmentation of the corpus callosum from a dataset
of 32 DT-MRI volumes. Comparison with a recent and related segmentation approach
is favorable to our method, showing its capability for the automatic extraction
of anatomical structures in the white matter.
PMID- 18051075
TI - Soft level set coupling for LV segmentation in gated perfusion SPECT.
AB - We present a new segmentation approach for the myocardium in gated and non-gated
perfusion SPECT images. To this end, we represent the epi- and endocardium by
separate signed distance functions and couple them by a soft constraint to give
explicit control over the wall thickness. By an explicit modeling of the basal
plane, the volume of the blood pool as well as the myocardium are determinable.
Furthermore, prior shape information is incorporated by applying a kernel density
estimation on a number of expert segmentations in a low-dimensional PCA subspace.
Thereby, information along the time axis is fully taken into account by employing
4-dimensional embedding functions.
PMID- 18051076
TI - Nonrigid image registration with subdivision lattices: application to cardiac MR
image analysis.
AB - In this paper we present a new methodology for cardiac motion tracking in tagged
MRI using nonrigid image registration based on subdivision surfaces and
subdivision lattices. We use two sets of registrations to do the motion tracking.
First, a set of surface registrations is used to create and initially align the
subdivision model of the left ventricle with short-axis and long-axis MR images.
Second, a series of volumetric registrations are used to perform the motion
tracking and to reconstruct the 4D cardiac motion field from the tagged MR
images. The motion of a point in the myocardium over time is calculated by
registering the images taken during systole to the set of reference images taken
at end-diastole. Registration is achieved by optimizing the positions of the
vertices in the base lattice so that the mutual information of the images being
registered is maximized. The presented method is validated using a cardiac motion
simulator and we also present strain measurements obtained from a group of normal
volunteers.
PMID- 18051077
TI - Spatio-temporal registration of real time 3D ultrasound to cardiovascular MR
sequences.
AB - We extend our static multimodal nonrigid registration to a spatio-temporal (2D+T)
co-registration of a real-time 3D ultrasound and a cardiovascular MR sequence.
The motivation for our research is to assist a clinician to automatically fuse
the information from multiple imaging modalities for the early diagnosis and
therapy of cardiac disease. The deformation field between both sequences is
decoupled into spatial and temporal components. Temporal alignment is firstly
performed to re-slice both sequences using a differential registration method.
Spatial alignment is then carried out between the frames corresponding to the
same temporal position. The spatial deformation is modeled by the polyaffine
transformation whose anchor points (or control points) are automatically detected
and refined by calculating a local mis-match measure based on phase mutual
information. The spatial alignment is built in an adaptive multi-scale framework
to maximize the phase-based similarity measure by optimizing the parameters of
the polyaffine transformation. Results demonstrate that this novel method can
yield an accurate registration to particular cardiac regions.
PMID- 18051078
TI - Nonlinear registration of diffusion MR images based on fiber bundles.
AB - In this paper, we explore the use of fiber bundles extracted from diffusion MR
images for a nonlinear registration algorithm. We employ a white matter atlas to
automatically label major fiber bundles and to establish correspondence between
subjects. We propose a polyaffine framework to calculate a smooth and invertible
nonlinear warp field based on these correspondences, and derive an analytical
solution for the reorientation of the tensor fields under the polyaffine
transformation. We demonstrate our algorithm on a group of subjects and show that
it performs comparable to a higher dimensional nonrigid registration algorithm.
PMID- 18051079
TI - Multivariate normalization with symmetric diffeomorphisms for multivariate
studies.
AB - Current clinical and research neuroimaging protocols acquire images using
multiple modalities, for instance, T1, T2, diffusion tensor and cerebral blood
flow magnetic resonance images (MRI). These multivariate datasets provide unique
and often complementary anatomical and physiological information about the
subject of interest. We present a method that uses fused multiple modality
(scalar and tensor) datasets to perform intersubject spatial normalization. Our
multivariate approach has the potential to eliminate inconsistencies that occur
when normalization is performed on each modality separately. Furthermore, the
multivariate approach uses a much richer anatomical and physiological image
signature to infer image correspondences and perform multivariate statistical
tests. In this initial study, we develop the theory for Multivariate Symmetric
Normalization (MVSyN), establish its feasibility and discuss preliminary results
on a multivariate statistical study of 22q deletion syndrome.
PMID- 18051080
TI - Non-rigid surface registration using spherical thin-plate splines.
AB - Accurate registration of cortical structures plays a fundamental role in
statistical analysis of brain images across population. This paper presents a
novel framework for the non-rigid intersubject brain surface registration, using
conformal structure and spherical thin-plate splines. By resorting to the
conformal structure, complete characteristics regarding the intrinsic cortical
geometry can be retained as a mean curvature function and a conformal factor
function defined on a canonical, spherical domain. In this transformed space,
spherical thin-plate splines are firstly used to explicitly match a few prominent
homologous landmarks, and in the meanwhile, interpolate a global deformation
field. A post-optimization procedure is then employed to further refine the
alignment of minor cortical features based on the geometric parameters preserved
on the domain. Our experiments demonstrate that the proposed framework is highly
competitive with others for brain surface registration and population-based
statistical analysis. We have applied our method in the identification of
cortical abnormalities in PET imaging of patients with neurological disorders and
accurate results are obtained.
PMID- 18051081
TI - A study of hippocampal shape difference between genders by efficient hypothesis
test and discriminative deformation.
AB - Hypothesis testing is an important way to detect the statistical difference
between two populations. In this paper, we use the Fisher permutation and
bootstrap tests to differentiate hippocampal shape between genders. These methods
are preferred to traditional hypothesis tests which impose assumptions on the
distribution of the samples. An efficient algorithm is adopted to rapidly perform
the exact tests. We extend this algorithm to multivariate data by projecting the
original data onto an "informative direction" to generate a scalar test
statistic. This "informative direction" is found to preserve the original
discriminative information. This direction is further used in this paper to
isolate the discriminative shape difference between classes from the individual
variability, achieving a visualization of shape discrepancy.
PMID- 18051082
TI - Graph cuts framework for kidney segmentation with prior shape constraints.
AB - We propose a novel kidney segmentation approach based on the graph cuts
technique. The proposed approach depends on both image appearance and shape
information. Shape information is gathered from a set of training shapes. Then we
estimate the shape variations using a new distance probabilistic model which
approximates the marginal densities of the kidney and its background in the
variability region using a Poisson distribution refined by positive and negative
Gaussian components. To segment a kidney slice, we align it with the training
slices so we can use the distance probabilistic model. Then its gray level is
approximated with a LCG with sign-alternate components. The spatial interaction
between the neighboring pixels is identified using a new analytical approach.
Finally, we formulate a new energy function using both image appearance models
and shape constraints. This function is globally minimized using s/t graph cuts
to get the optimal segmentation. Experimental results show that the proposed
technique gives promising results compared to others without shape constraints.
PMID- 18051084
TI - Real-time synthesis of image slices in deformed tissue from nominal volume
images.
AB - This paper presents a fast image synthesis procedure for elastic volumes under
deformation. Given the node displacements of a mesh and the 3D image voxel data
of an undeformed volume, the method maps the image plane pixels to be synthesized
from the deformed configuration back to the nominal pre-deformed configuration,
where the pixel intensities are obtained easily through interpolation in the
regular-grid structure of the voxel volume. For smooth interpolation, this
mapping requires the identification of the mesh element enclosing each image
pixel. To accelerate this point location procedure, a fast method of marking the
image pixels is employed by finding the intersection of the mesh and the image,
and marking this intersection on the image pixels using Bresenham's line drawing
algorithm. A deformable tissue phantom was constructed, it was modeled using the
finite element method, and its 3D ultrasound volume was acquired in its
undeformed state. Actual B-mode images of the phantom under deformation by the
ultrasound probe were then compared with the corresponding synthesized images
simulated for the same deformations. Results show that realistic images can be
synthesized in real-time using the proposed technique.
PMID- 18051083
TI - Attenuation resilient AIF estimation based on hierarchical Bayesian modelling for
first pass myocardial perfusion MRI.
AB - Non-linear attenuation of the Arterial Input Function (AIF) is a major problem in
first-pass MR perfusion imaging due to the high concentration of the contrast
agent in the blood pool. This paper presents a technique to reconstruct the true
AIF using signal intensities in the myocardium and the attenuated AIF based on a
Hierarchical Bayesian Model (HBM). With the proposed method, both the AIF and the
response function are modeled as smoothed functions by using Bayesian penalty
splines (P-Splines). The derived AIF is then used to estimate the impulse
response of the myocardium based on deconvolution analysis. The proposed
technique is validated both with simulated data using the MMID4 model and ten in
vivo data sets for estimating myocardial perfusion reserve rates. The results
demonstrate the ability of the proposed technique in accurately reconstructing
the desired AIF for myocardial perfusion quantification. The method does not
involve any MRI pulse sequence modification, and thus is expected to have wider
clinical impact.
PMID- 18051085
TI - Quantitative comparison of two cortical surface extraction methods using MRI
phantoms.
AB - In the last decade several methods for extracting the human cerebral cortex from
magnetic resonance images have been proposed. Studies comparing these methods
have been few. In this study we compare a recent cortical extraction method with
FreeSurfer, which has been widespread in the scientific community during recent
years. The comparison is performed using realistic phantoms generated from
surfaces extracted from original brain scans. The geometrical accuracy of the
reconstructed surfaces is compared to the surfaces extracted from the original
scan. We found that our method is comparable with FreeSurfer in terms of
accuracy, and in some cases it performs better. In terms of speed our method is
more than 25 times faster.
PMID- 18051086
TI - Stabilization of image motion for robotic assisted beating heart surgery.
AB - The performance of robotic assisted minimally invasive beating heart surgery is a
challenging task due to the rhythmic motion of the heart, which hampers delicate
tasks such as small vessel anastomosis. In this paper, a virtual motion
compensation scheme is proposed for stabilizing images from the surgical site.
The method uses vision based 3D tracking to accurately infer cardiac surface
deformation and augmented reality for rendering a motion stabilized view for
improved surgical performance. The method forgoes the need of fiducial markers
and can be integrated with the existing master-slave robotic consoles. The
proposed technique is validated with both simulated surgical scenes with known
ground truth and in vivo data acquired from a TECAB procedure. The experimental
results demonstrate the potential of the proposed technique in performing
microscale tasks in a moving frame of reference with improved precision and
repeatability.
PMID- 18051087
TI - Robotic assistant for transperineal prostate interventions in 3T closed MRI.
AB - Numerous studies have demonstrated the efficacy of image-guided needle-based
therapy and biopsy in the management of prostate cancer. The accuracy of
traditional prostate interventions performed using transrectal ultrasound (TRUS)
is limited by image fidelity, needle template guides, needle deflection and
tissue deformation. Magnetic Resonance Imaging (MRI) is an ideal modality for
guiding and monitoring such interventions due to its excellent visualization of
the prostate, its sub-structure and surrounding tissues. We have designed a
comprehensive robotic assistant system that allows prostate biopsy and
brachytherapy procedures to be performed entirely inside a 3T closed MRI scanner.
We present a detailed design of the robotic manipulator and an evaluation of its
usability and MR compatibility.
PMID- 18051088
TI - Virtually extended surgical drilling device: virtual mirror for navigated spine
surgery.
AB - This paper introduces a new method for navigated spine surgery using a
stereoscopic video see-through head-mounted display (HMD) and an optical tracking
system. Vertebrae are segmented from volumetric CT data and visualized in-situ. A
surgical drilling device is virtually extended with a mirror for intuitive
planning of the drill canal, control of drill direction and insertion depth. The
first designated application for the virtually extended drilling device is the
preparation of canals for pedicle screw implantation in spine surgery. The
objective of surgery is to install an internal fixateur for stabilization of
injured vertebrae. We invited five surgeons of our partner clinic to test the
system with realistic replica of lumbar vertebrae and compared the new approach
with the classical, monitor-based navigation system providing three orthogonal
slice views on the operation site. We measured time of procedure and scanned the
drilled vertebrae with CT to verify accuracy of drilling.
PMID- 18051089
TI - Improved statistical TRE model when using a reference frame.
AB - Target registration error (TRE) refers to the uncertainty in localizing a point
of interest after a point-based registration is performed. Common in medical
image registration, the metric is typically represented as a root-mean-square
statistic. In the late 1990s, a statistical model was developed based on the
rigid body definition of the fiducial markers and the localization error
associated in measuring the fiducials. The statistical model assumed that the
fiducial localizer error was isotropic, but recently the model was reworked to
handle anisotropic fiducial localizer error (FLE). In image guided surgery, the
statistical model is used to predict the surgical tool tip tracking accuracy
associated with optical spatial measurement systems for which anisotropic FLE
models are required. However, optical tracking systems often track the surgical
tools relative to a patient based reference tool. Here the formulation for
modeling the TRE of a surgical probe relative to a reference frame is developed
mathematically and evaluated using a Monte Carlo simulation. The effectiveness of
the statistical model is directly related to the FLE model, the fiducial marker
design and the distance from centroid to target.
PMID- 18051090
TI - 3D/2D image registration: the impact of X-ray views and their number.
AB - An important part of image-guided radiation therapy or surgery is registration of
a three-dimensional (3D) preoperative image to two-dimensional (2D) images of the
patient. It is expected that the accuracy and robustness of a 3D/2D image
registration method do not depend solely on the registration method itself but
also on the number and projections (views) of intraoperative images. In this
study, we systematically investigate these factors by using registered image
data, comprising of CT and X-ray images of a cadaveric lumbar spine phantom and
the recently proposed 3D/2D registration method. The results indicate that the
proportion of successful registrations (robustness) significantly increases when
more X-ray images are used for registration.
PMID- 18051091
TI - Magneto-optic tracking of a flexible laparoscopic ultrasound transducer for
laparoscope augmentation.
AB - In abdominal surgery, a laparoscopic ultrasound transducer is commonly used to
detect lesions such as metastases. The determination and visualization of
position and orientation of its flexible tip in relation to the patient or other
surgical instruments can be of much help to (novice) surgeons utilizing the
transducer intraoperatively. This difficult subject has recently been paid
attention to by the scientific community . Electromagnetic tracking systems can
be applied to track the flexible tip. However, the magnetic field can be
distorted by ferromagnetic material. This paper presents a new method based on
optical tracking of the laparoscope and magneto-optic tracking of the transducer,
which is able to automatically detect field distortions. This is used for a
smooth augmentation of the B-scan images of the transducer directly on the camera
images in real time.
PMID- 18051092
TI - Evaluation of a novel calibration technique for optically tracked oblique
laparoscopes.
AB - This paper proposes an evaluation of a novel calibration method for an optically
tracked oblique laparoscope. We present the necessary tools to track an oblique
scope and a camera model which includes changes to the intrinsic camera
parameters thereby extending previously proposed methods. Because oblique scopes
offer a wide 'virtual' view on the surgical field, the method is of great
interest for augmented reality guidance of laparoscopic interventions using an
oblique scope. The model and an approximated version are evaluated in an
extensive validation study. Using 5 sets of 40 calibration images, we compare
both camera models (i.e. model and approximation) and 2 interpolation schemes.
The selected model and interpolation scheme reaches an average accuracy of 2.60
pixel and an equivalent 3D error of 0.60 mm. Finally, we present initial
experience of the presented approach with an oblique scope and optical tracking
in a clinical setup. During a laparoscopic rectum resection surgery the setup was
used to augment the scene with a model of the pelvis. The method worked properly
and the attached probes did not interfere with normal procedure.
PMID- 18051093
TI - Fiducial-free registration procedure for navigated bronchoscopy.
AB - Navigated bronchoscopy has been developed by various groups within the last
decades. Systems based on CT data and electromagnetic tracking enable the
visualization of the position and orientation of the bronchoscope, forceps, and
biopsy tools within CT data. Therefore registration between the tracking space
and the CT volume is required. Standard procedures are based on point-based
registration methods that require selecting corresponding natural landmarks in
both coordinate systems by the examiner. We developed a novel algorithm for a
fully automatic registration procedure in navigated bronchoscopy based on the
trajectory recorded during routine examination of the airways at the beginning of
an intervention. The proposed system provides advantages in terms of an unchanged
medical workflow and high accuracy. We compared the novel method with point-based
and ICP-based registration. Experiments demonstrate that the novel method
transforms up to 97% of tracking points inside the segmented airways, which was
the best performance compared to the other methods.
PMID- 18051094
TI - Automatic target and trajectory identification for deep brain stimulation (DBS)
procedures.
AB - This paper presents an automatic surgical target and trajectory identification
technique for planning deep brain stimulation (DBS) procedures. The probabilistic
functional maps, constructed from population-based actual stimulating field
information and intra-operative electrophysiological activities, were integrated
into a neurosurgical visualization and navigation system to facilitate the
surgical planning and guidance. In our preliminary studies, we compared the
actual surgical target locations and trajectories established by an experienced
stereotactic neurosurgeon with those automatically planned using our
probabilistic functional maps on 10 subthalamic nucleus (STN) DBS procedures. The
average displacement between the surgical target locations in both groups was
1.82mm with a standard deviation of 0.77mm. The difference between the surgical
trajectories was 3.1 degrees and 2.3 degrees in the lateral-to-medial and
anterior-to-posterior orientations respectively.
PMID- 18051095
TI - Application of open source image guided therapy software in MR-guided therapies.
AB - We present software engineering methods to provide free open-source software for
MR-guided therapy. We report that graphical representation of the surgical tools,
interconnectively with the tracking device, patient-to-image registration, and
MRI-based thermal mapping are crucial components of MR-guided therapy in sharing
such software. Software process includes a network-based distribution mechanism
by multi-platform compiling tool CMake, CVS, quality assurance software DART. We
developed six procedures in four separate clinical sites using proposed software
engineering and process, and found the proposed method is feasible to facilitate
multicenter clinical trial of MR-guided therapies. Our future studies include use
of the software in non-MR-guided therapies.
PMID- 18051096
TI - Statistical atlases of bone anatomy: construction, iterative improvement and
validation.
AB - We present an iterative bootstrapping framework to create and analyze statistical
atlases of bony anatomy such as the human pelvis from a large collection of CT
data sets. We create an initial tetrahedral mesh representation of the target
anatomy and use deformable intensity-based registration to create an initial
atlas. This atlas is used as prior information to assist in deformable
registration/segmentation of our subject image data sets, and the process is
iterated several times to remove any bias from the initial choice of template
subject and to improve the stability and consistency of mean shape and
variational modes. We also present a framework to validate the statistical
models. Using this method, we have created a statistical atlas of full pelvis
anatomy with 110 healthy patient CT scans. Our analysis shows that any given
pelvis shape can be approximated up to an average accuracy of 1.5036 mm using the
first 15 principal modes of variation. Although a particular intensity-based
deformable registration algorithm was used to produce these results, we believe
that the basic method may be adapted readily for use with any registration method
with broadly similar characteristics.
PMID- 18051097
TI - A new benchmark for shape correspondence evaluation.
AB - This paper introduces a new benchmark study of evaluating landmark-based shape
correspondence used for statistical shape analysis. Different from previous shape
correspondence evaluation methods, the proposed benchmark first generates a large
set of synthetic shape instances by randomly sampling a specified ground-truth
statistical shape model. We then run the test shape-correspondence algorithms on
these synthetic shape instances to construct a new statistical shape model. We
finally introduce a new measure to describe the difference between this newly
constructed statistical shape model and the ground truth. This new measure is
then used to evaluate the performance of the test shape-correspondence algorithm.
By introducing the ground-truth statistical shape model, we believe the proposed
benchmark allows for a more objective evaluation of the shape correspondence than
those that do not specify any ground truth.
PMID- 18051098
TI - Automatic inference of sulcus patterns using 3D moment invariants.
AB - The goal of this work is the automatic inference of frequent patterns of the
cortical sulci, namely patterns that can be observed only for a subset of the
population. The sulci are detected and identified using brainVISA open software.
Then, each sulcus is represented by a set of shape descriptors called the 3D
moment invariants. Unsupervised agglomerative clustering is performed to define
the patterns. A ratio between compactness and contrast among clusters is used to
select the best patterns. A pattern is considered significant when this ratio is
statistically better than the ratios obtained for clouds of points following a
Gaussian distribution. The patterns inferred for the left cingulate sulcus are
consistent with the patterns described in the atlas of Ono.
PMID- 18051099
TI - Classifier selection strategies for label fusion using large atlas databases.
AB - Structural segmentations of brain MRI can be generated by propagating manually
labelled atlas images from a repository to a query subject and combining them.
This method has been shown to be robust, consistent and increasingly accurate
with increasing numbers of classifiers. It outperforms standard atlas-based
segmentation but suffers, however, from problems of scale when the number of
atlases is large. For a large repository and a particular query subject, using a
selection strategy to identify good classifiers is one way to address problems of
scale. This work presents and compares different classifier selection strategies
which are applied to a group of 275 subjects with manually labelled brain MR
images. We approximate an upper limit for the accuracy or overlap that can be
achieved for a particular structure in a given subject and compare this with the
accuracy obtained using classifier selection. The accuracy of different
classifier selection strategies are also rated against the distribution of
overlaps generated by random groups of classifiers.
PMID- 18051100
TI - Groupwise combined segmentation and registration for atlas construction.
AB - The creation of average anatomical atlases has been a growing area of research in
recent years. It is of increased value to construct representations of, not only
intensity atlases, but also their segmentation into required tissues or
structures. This paper presents novel groupwise combined segmentation and
registration approaches, which aim to simultaneously improve both the alignment
of intensity images to their average shape, as well as the segmentations of
structures in the average space. An iterative EM framework is used to build
average 3D MR atlases of populations for which prior atlases do not currently
exist: preterm infants at one- and two-years old. These have been used to
quantify the growth of tissues occurring between these ages.
PMID- 18051101
TI - Subject-specific biomechanical simulation of brain indentation using a meshless
method.
AB - We develop a meshless method for simulating soft organ deformation. The method is
motivated by simple, automatic model creation for real-time simulation. Our
method is meshless in the sense that deformation is calculated at nodes that are
not part of an element mesh. Node placement is almost arbitrary. Fully
geometrically nonlinear total Lagrangian formulation is used. Geometric
integration is performed over a regular background grid that does not conform to
the simulation geometry. Explicit time integration is used via the central
difference method. To validate the method we simulate indentation of a swine
brain and compare the results to experimental data.
PMID- 18051102
TI - Towards an identification of tumor growth parameters from time series of images.
AB - In cancer treatment, understanding the aggressiveness of the tumor is essential
in therapy planning and patient follow-up. In this article, we present a novel
method for quantifying the speed of invasion of gliomas in white and grey matter
from time series of magnetic resonance (MR) images. The proposed approach is
based on mathematical tumor growth models using the reaction-diffusion formalism.
The quantification process is formulated by an inverse problem and solved using
anisotropic fast marching method yielding an efficient algorithm. It is tested on
a few images to get a first proof of concept with promising new results.
PMID- 18051103
TI - Real-time modeling of vascular flow for angiography simulation.
AB - Interventional neuroradiology is a growing field of minimally invasive therapies
that includes embolization of aneurysms and arteriovenous malformations, carotid
angioplasty and carotid stenting, and acute stroke therapy. Treatment is
performed using image-guided instrument navigation through the patient's
vasculature and requires intricate combination of visual and tactile
coordination. In this paper we present a series of techniques for real-time high
fidelity simulation of angiographic studies. We focus in particular on the
computation and visualization of blood flow and blood pressure distribution
patterns, mixing of blood and contrast agent, and high-fidelity simulation of
fluoroscopic images.
PMID- 18051104
TI - A training system for ultrasound-guided needle insertion procedures.
AB - Needle placement into a patient body under guidance of ultrasound is a frequently
performed procedure in clinical practice. Safe and successful performance of such
procedure requires a high level of spatial reasoning and hand-eye co-ordination
skills, which must be developed through intensive practice. In this paper we
present a training system designed to improve the skills of interventional
radiology trainees in ultrasound-guided needle placement procedures. Key issues
involved in the system include surface and volumetric registration, solid texture
modelling, spatial calibration, and real-time synthesis and rendering of
ultrasound images. Moreover, soft tissue deformation caused by the needle
movement and needle cutting is realised using a mass-spring-model approach. These
have led to a realistic ultrasound simulation system, which has been shown to be
a useful tool for the training of needle insertion procedures. Preliminary
results of a construct evaluation study indicate the effectiveness and usefulness
of the developed training system.
PMID- 18051105
TI - Anisotropic wave propagation and apparent conductivity estimation in a fast
electrophysiological model: application to XMR interventional imaging.
AB - Cardiac arrhythmias are increasingly being treated using ablation procedures.
Development of fast electrophysiological models and estimation of parameters
related to conduction pathologies can aid in the investigation of better
treatment strategies during Radio-frequency ablations. We present a fast
electrophysiological model incorporating anisotropy of the cardiac tissue. A
global-local estimation procedure is also outlined to estimate a hidden parameter
(apparent electrical conductivity) present in the model. The proposed model is
tested on synthetic and real data derived using XMR imaging. We demonstrate a
qualitative match between the estimated conductivity parameter and possible
pathology locations. This approach opens up possibilities to directly integrate
modelling in the intervention room.
PMID- 18051106
TI - Automatic trajectory planning for deep brain stimulation: a feasibility study.
AB - DBS for Parkinson's disease involves an extensive planning to find a suitable
electrode implantation path to the selected target. We have investigated the
feasibility of improving the conventional planning with an automatic calculation
of possible paths in 3D. This requires the segmentation of anatomical structures.
Subsequently, the paths are calculated and visualized. After selection of a
suitable path, the settings for the stereotactic frame are determined. A
qualitative evaluation has shown that automatic avoidance of critical structures
is feasible. The participating neurosurgeons estimate the time gain to be around
30 minutes.
PMID- 18051107
TI - Automatic segmentation of blood vessels from dynamic MRI datasets.
AB - In this paper we present an approach for blood vessel segmentation from dynamic
contrast-enhanced MRI datasets of the hand joints acquired from patients with
active rheumatoid arthritis. Exclusion of the blood vessels is needed for
accurate visualisation of the activation events and objective evaluation of the
degree of inflammation. The segmentation technique is based on statistical
modelling motivated by the physiological properties of the individual tissues,
such as speed of uptake and concentration of the contrast agent; it incorporates
Markov random field probabilistic framework and principal component analysis. The
algorithm was tested on 60 temporal slices and has shown promising results.
PMID- 18051108
TI - Automated planning of scan geometries in spine MRI scans.
AB - Consistency of MR scan planning is very important for diagnosis, especially in
multi-site trials and follow-up studies, where disease progress or response to
treatment is evaluated. Accurate manual scan planning is tedious and requires
skillful operators. On the other hand, automated scan planning is difficult due
to relatively low quality of survey images ("scouts") and strict processing time
constraints. This paper presents a novel method for automated planning of MRI
scans of the spine. Lumbar and cervical examinations are considered, although the
proposed method is extendible to other types of spine examinations, such as
thoracic or total spine imaging. The automated scan planning (ASP) system
consists of an anatomy recognition part, which is able to automatically detect
and label the spine anatomy in the scout scan, and a planning part, which
performs scan geometry planning based on recognized anatomical landmarks. A
validation study demonstrates the robustness of the proposed method and its
feasibility for clinical use.
PMID- 18051109
TI - Cardiac-motion compensated MR imaging and strain analysis of ventricular
trabeculae.
AB - In conventional CMR, bulk cardiac motion causes target structures to move in and
out of the static acquisition plane. Due to the partial volume effect, accurate
localisation of subtle features through the cardiac cycle, such as the trabeculae
and papillary muscles, is difficult. This problem is exacerbated by the short
acquisition window necessary to avoid motion blur and ghosting, especially during
early systole. This paper presents an adaptive imaging approach with COMB multi
tag tracking that follows true 3D motion of the myocardium so that the same
tissue slice is imaged throughout the cine acquisition. The technique is
demonstrated with motion-compensated multi-slice imaging of ventricles, which
allows for tracked visualisation and analysis of the trabeculae and papillary
muscles for the first time. This enables novel in-vivo measurement of
circumferential and radial strain for trabeculation and papillary muscle
contractility. These statistics will facilitate the evaluation of diseases such
as mitral valve insufficiency and ischemic heart disease. The adaptive imaging
technique will also have significant implications for CMR in general, including
motion-compensated quantification of myocardial perfusion and blood flow, and
motion-correction of sequences with long acquisition windows.
PMID- 18051110
TI - High throughput analysis of breast cancer specimens on the grid.
AB - Breast cancer accounts for about 30% of all cancers and 15% of all cancer deaths
in women in the United States. Advances in computer assisted diagnosis (CAD)
holds promise for early detecting and staging disease progression. In this paper
we introduce a Grid-enabled CAD to perform automatic analysis of imaged
histopathology breast tissue specimens. More than 100,000 digitized samples (1200
x 1200 pixels) have already been processed on the Grid. We have analyzed results
for 3744 breast tissue samples, which were originated from four different
institutions using diaminobenzidine (DAB) and hematoxylin staining. Both linear
and nonlinear dimension reduction techniques are compared, and the best one
(ISOMAP) was applied to reduce the dimensionality of the features. The
experimental results show that the Gentle Boosting using an eight node CART
decision tree as the weak learner provides the best result for classification.
The algorithm has an accuracy of 86.02% using only 20% of the specimens as the
training set.
PMID- 18051111
TI - Thoracic CT-PET registration using a 3D breathing model.
AB - In the context of thoracic CT-PET volume registration, we present a novel method
to incorporate a breathing model in a non-linear registration procedure,
guaranteeing physiologically plausible deformations. The approach also accounts
for the rigid motions of lung tumors during breathing. We performed a set of
registration experiments on one healthy and four pathological data sets. Initial
results demonstrate the interest of this method to significantly improve the
accuracy of multimodal volume registration for diagnosis and radiotherapy
applications.
PMID- 18051112
TI - Quantification of blood flow from rotational angiography.
AB - For assessment of cerebrovascular diseases, it is beneficial to obtain three
dimensional (3D) information on vessel morphology and hemodynamics. Rotational
angiography is routinely used to determine the 3D geometry and we propose a
method to exploit the same acquisition to determine the blood flow waveform and
the mean volumetric flow rate. The method uses a model of contrast agent
dispersion to determine the flow parameters from the spatial and temporal
development of the contrast agent concentration, represented by a flow map.
Furthermore, it also overcomes artifacts due to the rotation of the c-arm using a
newly introduced reliability map. The method was validated on images from a
computer simulation and from a phantom experiment. With a mean error of 11.0% for
the mean volumetric flow rate and 15.3% for the blood flow waveform from the
phantom experiments, we conclude that the method has the potential to give
quantitative estimates of blood flow parameters during cerebrovascular
interventions.
PMID- 18051113
TI - Modeling glioma growth and mass effect in 3D MR images of the brain.
AB - In this article, we propose a framework for modeling glioma growth and the
subsequent mechanical impact on the surrounding brain tissue (mass-effect) in a
medical imaging context. Glioma growth is modeled via nonlinear reaction
advection-diffusion, with a two-way coupling with the underlying tissue elastic
deformation. Tumor bulk and infiltration and subsequent mass-effects are not
regarded separately, but captured by the model itself in the course of its
evolution. Our formulation is fully Eulerian and naturally allows for updating
the tumor diffusion coefficient following structural displacements caused by
tumor growth/infiltration. We show that model parameters can be estimated via
optimization based on imaging data, using efficient solution algorithms on
regular grids. We test the model and the automatic optimization framework on real
brain tumor data sets, achieving significant improvement in landmark prediction
compared to a simplified purely mechanical approach.
PMID- 18051114
TI - Towards tracking breast cancer across medical images using subject-specific
biomechanical models.
AB - Breast cancer detection, diagnosis and treatment increasingly involves images of
the breast taken with different degrees of breast deformation. We introduce a new
biomechanical modelling framework for predicting breast deformation and thus
aiding the combination of information derived from the various images. In this
paper, we focus on MR images of the breast under different loading conditions,
and consider methods to map information between the images. We generate subject
specific finite element models of the breast by semi-automatically fitting
geometrical models to segmented data from breast MR images, and characterizing
the subject-specific mechanical properties of the breast tissues. We identified
the unloaded reference configuration of the breast by acquiring MR images of the
breast under neutral buoyancy (immersed in water). Such imaging is clearly not
practical in the clinical setting, however this previously unavailable data
provides us with important data with which to validate models of breast
biomechanics, and provides a common configuration with which to refer and
interpret all breast images. We demonstrate our modelling framework using a pilot
study that was conducted to assess the mechanical performance of a subject
specific homogeneous biomechanical model in predicting deformations of the breast
of a volunteer in a prone gravity-loaded configuration. The model captured the
gross characteristics of the breast deformation with an RMS error of 4.2 mm in
predicting the skin surface of the gravity-loaded shape, which included tissue
displacements of over 20 mm. Internal tissue features identified from the MR
images were tracked from the reference state to the prone gravity-loaded
configuration with a mean error of 3.7 mm. We consider the modelling assumptions
and discuss how the framework could be refined in order to further improve the
tissue tracking accuracy.
PMID- 18051115
TI - Inter-subject modelling of liver deformation during radiation therapy.
AB - This paper presents a statistical model of the liver deformation that occurs in
addition to the quasi-periodic respiratory motion. Having an elastic but still
compact model of this variability is an important step towards reliable targeting
in radiation therapy. To build this model, the deformation of the liver at
exhalation was determined for 12 volunteers over roughly one hour using 4DMRI and
subsequent non-rigid registration. The correspondence between subjects was
established based on mechanically relevant landmarks on the liver surface. Leave
one-out experiments were performed to evaluate the accuracy in predicting the
liver deformation from partial information, such as a point tracked by ultrasound
imaging. Already predictions from a single point strongly reduced the
localisation errors, whilst the method is robust with respect to the exact choice
of the measured predictor.
PMID- 18051116
TI - Contributions to 3D diffeomorphic atlas estimation: application to brain images.
AB - This paper focuses on the estimation of statistical atlases of 3D images by means
of diffeomorphic transformations. Within a Log-Euclidean framework, the
exponential and logarithm maps of diffeomorphisms need to be computed. In this
framework, the Inverse Scaling and Squaring (ISS) method has been recently
extended for the computation of the logarithm map, which is one of the most time
demanding stages. In this work we propose to apply the Baker-Campbell-Hausdorff
(BCH) formula instead. In a 3D simulation study, BCH formula and ISS method
obtained similar accuracy but BCH formula was more than 100 times faster. This
approach allowed us to estimate a 3D statistical brain atlas in a reasonable
time, including the average and the modes of variation. Details for the
computation of the modes of variation in the Sobolev tangent space of
diffeomorphisms are also provided.
PMID- 18051117
TI - Measuring brain variability via sulcal lines registration: a diffeomorphic
approach.
AB - In this paper we present a new way of measuring brain variability based on the
registration of sulcal lines sets in the large deformation framework. Lines are
modelled geometrically as currents, avoiding then matchings based on point
correspondences. At the end we retrieve a globally consistent deformation of the
underlying brain space that best matches the lines. Thanks to this framework the
measured variability is defined everywhere whereas a previous method introduced
by P. Fillard requires tensors extrapolation. Evaluating both methods on the same
database, we show that our new approach enables to describe different details of
the variability and to highlight the major trends of deformation in the database
thanks to a Tangent-PCA analysis.
PMID- 18051118
TI - Effects of registration regularization and atlas sharpness on segmentation
accuracy.
AB - In this paper, we propose a unified framework for computing atlases from manually
labeled data at various degrees of "sharpness" and the joint registration
segmentation of a new brain with these atlases. In non-rigid registration, the
tradeoff between warp regularization and image fidelity is typically set
empirically. In segmentation, this leads to a probabilistic atlas of arbitrary
"sharpness": weak regularization results in well-aligned training images and a
"sharp" atlas; strong regularization yields a "blurry" atlas. We study the
effects of this tradeoff in the context of cortical surface parcellation by
comparing three special cases of our framework, namely: progressive registration
segmentation of a new brain to increasingly "sharp" atlases with increasingly
flexible warps; secondly, progressive registration to a single atlas with
increasingly flexible warps; and thirdly, registration to a single atlas with
fixed constrained warps. The optimal parcellation in all three cases corresponds
to a unique balance of atlas "sharpness" and warp regularization that yield
statistically significant improvements over the previously demonstrated
parcellation results.
PMID- 18051119
TI - Generalized surface flows for deformable registration and cortical matching.
AB - Despite being routinely required in medical applications, deformable surface
registration is notoriously difficult due to large intersubject variability and
complex geometry of most medical datasets. We present a general and flexible
deformable matching framework based on generalized surface flows that efficiently
tackles these issues through tailored deformation priors and multiresolution
computations. The value of our approach over existing methods is demonstrated for
automatic and user-guided cortical registration.
PMID- 18051120
TI - Real-time nonlinear finite element analysis for surgical simulation using
graphics processing units.
AB - Clinical employment of biomechanical modelling techniques in areas of medical
image analysis and surgical simulation is often hindered by conflicting
requirements for high fidelity in the modelling approach and high solution
speeds. We report the development of techniques for high-speed nonlinear finite
element (FE) analysis for surgical simulation. We employ a previously developed
nonlinear total Lagrangian explicit FE formulation which offers significant
computational advantages for soft tissue simulation. However, the key
contribution of the work is the presentation of a fast graphics processing unit
(GPU) solution scheme for the FE equations. To the best of our knowledge this
represents the first GPU implementation of a nonlinear FE solver. We show that
the present explicit FE scheme is well-suited to solution via highly parallel
graphics hardware, and that even a midrange GPU allows significant solution speed
gains (up to 16.4x) compared with equivalent CPU implementations. For the models
tested the scheme allows real-time solution of models with up to 16000
tetrahedral elements. The use of GPUs for such purposes offers a cost-effective
high-performance alternative to expensive multi-CPU machines, and may have
important applications in medical image analysis and surgical simulation.
PMID- 18051121
TI - Modeling of needle-tissue interaction using ultrasound-based motion estimation.
AB - A needle-tissue interaction model is an essential part of every needle insertion
simulator. In this paper, a new experimental method for the modeling of needle
tissue interaction is presented. The method consists of measuring needle and
tissue displacements with ultrasound, measuring needle base forces, and using a
deformation simulation model to identify the parameters of a needle-tissue
interaction model. The feasibility of this non-invasive approach was demonstrated
in an experiment in which a brachytherapy needle was inserted into a prostate
phantom. Ultrasound radio-frequency data and the time-domain cross-correlation
method, often used in ultrasound elastography, were used to generate the tissue
displacement field during needle insertion. A three-parameter force density model
was assumed for the needle-tissue interaction. With the needle displacement,
tissue displacement and needle base forces as input data, finite element
simulations were carried out to adjust the model parameters to achieve a good fit
between simulated and measured data.
PMID- 18051122
TI - Modelling intravasation of liquid distension media in surgical simulators.
AB - We simulate the intravasation of liquid distention media into the systemic
circulation as it occurs during hysteroscopy and transurethral resection of the
prostate. A linear network flow model is extended with a correction for non
newtonian blood behaviour in small vessels and an appropriate handling of vessel
compliance. We then integrate a fast lookup scheme in order to allow for real
time simulation. Cutting of tissue is accounted for by adjusting pressure
boundary conditions for all cut vessels. We investigate the influence of changing
distention fluid pressure settings and of the position of tissue cuts. Our
simulation predicts significant intravasation only on the venous side, and just
in cases when larger veins are cut. The implemented methods allow the realistic
control of bleeding for short-term and the total resulting intravasation volume
for long-term complication scenarios. While the simulation is fast enough to
support real-time training, it is also adequate for explaining intravasation
effects which were previously observed on a phenomenological level only.
PMID- 18051123
TI - Registration of cardiac SPECT/CT data through weighted intensity co-occurrence
priors.
AB - The introduction of hybrid scanners has greatly increased the popularity of
molecular imaging techniques. Many clinical applications benefit from combining
complementary information based on the precise alignment of the two modalities.
In case the alignment is inaccurate, then this crucial assumption often made for
subsequent processing steps will be violated. However, this violation may not be
apparent to the physician. In CT-based attenuation correction (AC) for cardiac
SPECT/CT data, critical misalignments between SPECT and CT can lead to spurious
perfusion defects. In this work, we focus on increasing the accuracy of rigid
volume registration of cardiac SPECT/CT data by using prior knowledge. A new
weighting scheme for an intensity co-occurrence prior is introduced to assure
accurate and robust alignment in the local heart region. Experimental results
demonstrate that the proposed method out-performs mutual information registration
and shows robustness across a selection of learned distributions acquired from 15
different patients.
PMID- 18051124
TI - Prostate implant reconstruction with discrete tomography.
AB - We developed a discrete tomography method for prostate implant reconstructions
using only a limited number of X-ray projection images. A 3D voxel volume is
reconstructed by back-projection and using distance maps generated from the
projection images. The true seed locations are extracted from the voxel volume
while false positive seeds are eliminated using a novel optimal geometry coverage
model. The attractive feature of our method is that it does not require exact
seed segmentation of the X-ray images and it yields near 100% correct
reconstruction from only six images with an average reconstruction accuracy of
0.86 mm (std = 0.46mm).
PMID- 18051125
TI - A new and general method for blind shift-variant deconvolution of biomedical
images.
AB - We present a new method for blind deconvolution of multiple noisy images blurred
by a shift-variant point-spread-function (PSF). We focus on a setting in which
several images of the same object are available, and a transformation between
these images is known. This setting occurs frequently in biomedical imaging, for
example in microscopy or in medical ultrasound imaging. By using the information
from multiple observations, we are able to improve the quality of images blurred
by a shift-variant filter, without prior knowledge of this filter. Also, in
contrast to other work on blind and shift-variant deconvolution, in our approach
no parametrization of the PSF is required. We evaluate the proposed method
quantitatively on synthetically degraded data as well as qualitatively on 3D
ultrasound images of liver. The algorithm yields good restoration results and
proves to be robust even in presence of high noise levels in the images.
PMID- 18051126
TI - Registration of lung tissue between fluoroscope and CT images: determination of
beam gating parameters in radiotherapy.
AB - Significant research has been conducted in radiation beam gating technology to
manage target and organ motions in radiotherapy treatment of cancer patients. As
more and more on-board imagers are installed onto linear accelerators,
fluoroscopic imaging becomes readily available at the radiation treatment stage.
Thus, beam gating parameters, such as beam-on timing and beam-on window can be
potentially determined by employing image registration between treatment planning
CT images and fluoroscopic images. We propose a new registration method on
deformable soft tissue between fluoroscopic images and DRR (Digitally
Reconstructed Radiograph) images from planning CT images using active shape
models. We present very promising results of our method applied to 30 clinical
datasets. These preliminary results show that the method is very robust for the
registration of deformable soft tissue. The proposed method can be used to
determine beam-on timing and treatment window for radiation beam gating
technology, and can potentially greatly improve radiation treatment quality.
PMID- 18051127
TI - Null point imaging: a joint acquisition/analysis paradigm for MR classification.
AB - Automatic classification of neurological tissues is a first step to many
structural analysis pipelines. Most computational approaches are designed to
extract the best possible classification results out of MR data acquired with
standard clinical protocols. We observe that the characteristics of the latter
owe more to the historical circumstances under which they were developed and the
visual appreciation of the radiographer who acquires the images than to the
optimality with which they can be classified with an automatic algorithm. We
submit that better performances could be obtained by considering the acquisition
and analysis processes conjointly rather than optimising them independently.
Here, we propose such a joint approach to MR tissue classification in the form of
a fast MR sequence, which nulls the magnitude and changes the sign of the phase
at the boundary between tissue types. A simple phase-based thresholding algorithm
then suffices to segment the tissues. Preliminary results show promises to
simplify and shorten the overall classification process.
PMID- 18051128
TI - Characterizing task-related temporal dynamics of spatial activation distributions
in fMRI BOLD signals.
AB - We present a new functional magnetic resonance imaging (fMRI) analysis method
that incorporates both spatial and temporal dynamics of blood-oxygen-level
dependent (BOLD) signals within a region of interest (ROI). 3D moment descriptors
are used to characterize the spatial changes in BOLD signals over time. The
method is tested on fMRI data collected from eight healthy subjects performing a
bulb-squeezing motor task with their right-hand at various frequencies. Multiple
brain regions including the left cerebellum, both primary motor cortices (MI),
both supplementary motor areas (SMA), left prefrontal cortex (PFC), and left
anterior cingulate cortex (ACC) demonstrate significant task-related changes.
Furthermore, our method is able to discriminate differences in activation
patterns at the various task frequencies, whereas using a traditional intensity
based method, no significant activation difference is detected. This suggests
that temporal dynamics of the spatial distribution of BOLD signal provide
additional information regarding task-related activation thus complementing
conventional intensity-based approaches.
PMID- 18051129
TI - Contraction detection in small bowel from an image sequence of wireless capsule
endoscopy.
AB - This paper describes a method for automatic detection of contractions in the
small bowel through analyzing Wireless Capsule Endoscopic images. Based on the
characteristics of contraction images, a coherent procedure that includes
analyzes of the temporal and spatial features is proposed. For temporal features,
the image sequence is examined to detect candidate contractions through the
changing number of edges and an evaluation of similarities between the frames of
each possible contraction to eliminate cases of low probability. For spatial
features, descriptions of the directions at the edge pixels are used to determine
contractions utilizing a classification method. The experimental results show the
effectiveness of our method that can detect a total of 83% of cases. Thus, this
is a feasible method for developing tools to assist in diagnostic procedures in
the small bowel.
PMID- 18051130
TI - Boundary-specific cost functions for quantitative airway analysis.
AB - Computed tomography (CT) images of the lungs provide high resolution views of the
airways. Quantitative measurements such as lumen diameter and wall thickness help
diagnose and localize airway diseases, assist in surgical planning, and determine
progress of treatment. Automated quantitative analysis of such images is needed
due to the number of airways per patient. We present an approach involving
dynamic programming coupled with boundary-specific cost functions that is capable
of differentiating inner and outer borders. The method allows for precise
delineation of the inner lumen and outer wall. The results are demonstrated on
synthetic data, evaluated on human datasets compared to human operators, and
verified on phantom CT scans to sub-voxel accuracy.
PMID- 18051131
TI - Automatic dry eye detection.
AB - Dry Eye Syndrome is a common disease in the western world, with effects from
uncomfortable itchiness to permanent damage to the ocular surface. Nevertheless,
there is still no objective test that provides reliable results. We have
developed a new method for the automated detection of dry areas in videos taken
after instilling fluorescein in the tear film. The method consists of a multi
step algorithm to first locate the iris in each image, then align the images and
finally analyze the aligned sequence in order to find the regions of interest.
Since the fluorescein spreads on the ocular surface of the eye the edges of the
iris are fuzzy making the detection of the iris challenging. We use RANSAC to
first detect the upper and lower eyelids and then the iris. Then we align the
images by finding differences in intensities at different scales and using a
least squares optimization method (Levenberg-Marquardt), to overcome the movement
of the iris and the camera. The method has been tested on videos taken from
different patients. It is demonstrated to find the dry areas accurately and to
provide a measure of the extent of the disease.
PMID- 18051132
TI - Ultrasound myocardial elastography and registered 3D tagged MRI: quantitative
strain comparison.
AB - Ultrasound Myocardial Elastography (UME) and Tagged Magnetic Resonance Imaging
(tMRI) are two imaging modalities that were developed in the recent years to
quantitatively estimate the myocardial deformations. Tagged MRI is currently
considered as the gold standard for myocardial strain mapping in vivo. However,
despite the low SNR nature of ultrasound signals, echocardiography enjoys the
widespread availability in the clinic, as well as its low cost and high temporal
resolution. Comparing the strain estimation performances of the two techniques
has been of great interests to the community. In order to assess the cardiac
deformation across different imaging modalities, in this paper, we developed a
semi-automatic intensity and gradient based registration framework that rigidly
registers the 3D tagged MRIs with the 2D ultrasound images. Based on the two
registered modalities, we conducted spatially and temporally more detailed
quantitative strain comparison of the RF-based UME technique and tagged MRI. From
the experimental results, we conclude that qualitatively the two modalities share
similar overall trends. But error and variations in UME accumulate over time.
Quantitatively tMRI is more robust and accurate than UME.
PMID- 18051133
TI - Robust kernel methods for sparse MR image reconstruction.
AB - A major challenge in contemporary magnetic resonance imaging (MRI) lies in
providing the highest resolution exam possible in the shortest acquisition
period. Recently, several authors have proposed the use of L1-norm minimization
for the reconstruction of sparse MR images from highly-undersampled k-space data.
Despite promising results demonstrating the ability to accurately reconstruct
images sampled at rates significantly below the Nyquist criterion, the extensive
computational complexity associated with the existing framework limits its
clinical practicality. In this work, we propose an alternative recovery framework
based on homotopic approximation of the L0-norm and extend the reconstruction
problem to a multiscale formulation. In addition to several interesting
theoretical properties, practical implementation of this technique effectively
resorts to a simple iterative alternation between bilteral filtering and
projection of the measured k-space sample set that can be computed in a matter of
seconds on a standard PC.
PMID- 18051134
TI - How do registration parameters affect quantitation of lung kinematics?
AB - Assessing the quality of motion estimation in the lung remains challenging. We
approach the problem by imaging isolated porcine lungs within an artificial
thorax with four-dimensional computed tomography (4DCT). Respiratory kinematics
are estimated via pairwise non-rigid registration using different metrics and
image resolutions. Landmarks are manually identified on the images and used to
assess accuracy by comparing known displacements to the registration-derived
displacements. We find that motion quantitation becomes less precise as the
inflation interval between images increases. In addition, its sensitivity to
image resolution varies anatomically. Mutual information and cross-correlation
perform similarly, while mean squares is significantly poorer. However, none of
the metrics compensate for the difficulty of registering over a large inflation
interval. We intend to use the results of these experiments to more effectively
and efficiently quantify pulmonary kinematics in future, and to explore
additional parameter combinations.
PMID- 18051135
TI - Diffuse parenchymal lung diseases: 3D automated detection in MDCT.
AB - Characterization and quantification of diffuse parenchymal lung disease (DPLD)
severity using MDCT, mainly in interstitial lung diseases and emphysema, is an
important issue in clinical research for the evaluation of new therapies. This
paper develops a 3D automated approach for detection and diagnosis of DPLDs
(emphysema, fibrosis, honeycombing, ground glass). The proposed methodology
combines multi-resolution image decomposition based on 3D morphological
filtering, and graph-based classification for a full characterization of the
parenchymal tissue. The very promising results obtained on a small patient
database are good premises for a near implementation and validation of the
proposed approach in clinical routine.
PMID- 18051136
TI - Unsupervised reconstruction of a patient-specific surface model of a proximal
femur from calibrated fluoroscopic images.
AB - In this paper, we present an unsupervised 2D/3D reconstruction scheme combining a
parameterized multiple-component geometrical model and a point distribution
model, and show its application to automatically reconstruct a surface model of a
proximal femur from a limited number of calibrated fluoroscopic images with no
user intervention at all. The parameterized multiple-component geometrical model
is regarded as a simplified description capturing the geometrical features of a
proximal femur. Its parameters are optimally and automatically estimated from the
input images using a particle filter based inference method. The estimated
geometrical parameters are then used to initialize a point distribution model
based 2D/3D reconstruction scheme for an accurate reconstruction of a surface
model of the proximal femur. We designed and conducted in vitro and in vivo
experiments to compare the present unsupervised reconstruction scheme to a
supervised one. An average mean error of 1.2 mm was found when the supervised
reconstruction scheme was used. It increased to 1.3 mm when the unsupervised one
was used. However, the unsupervised reconstruction scheme has the advantage of
elimination of user intervention, which holds the potential to facilitate the
application of the 2D/3D reconstruction in surgical navigation.
PMID- 18051137
TI - A new method for spherical object detection and its application to computer aided
detection of pulmonary nodules in CT images.
AB - A novel method called local shape controlled voting has been developed for
spherical object detection in 3D voxel images. By introducing local shape
properties into the voting procedure of normal overlap, the proposed method
improves the capability of differentiating spherical objects from other
structures, as the normal overlap technique only measures the 'density' of normal
overlapping, while how the normals are distributed in 3D is not discovered. The
proposed method was applied to computer aided detection of pulmonary nodules
based on helical CT images. Experiments showed that this method attained a better
performance compared to the original normal overlap technique.
PMID- 18051138
TI - Global medical shape analysis using the Laplace-Beltrami spectrum.
AB - This paper proposes to use the Laplace-Beltrami spectrum (LBS) as a global shape
descriptor for medical shape analysis, allowing for shape comparisons using
minimal shape preprocessing: no registration, mapping, or remeshing is necessary.
The discriminatory power of the method is tested on a population of female
caudate shapes of normal control subjects and of subjects with schizotypal
personality disorder.
PMID- 18051139
TI - Real-time tracking of the left ventricle in 3D echocardiography using a state
estimation approach.
AB - In this paper we present a framework for real-time tracking of deformable
contours in volumetric datasets. The framework supports composite deformation
models, controlled by parameters for contour shape in addition to global pose.
Tracking is performed in a sequential state estimation fashion, using an extended
Kalman filter, with measurement processing in information space to effectively
predict and update contour deformations in real-time. A deformable B-spline
surface coupled with a global pose transform is used to model shape changes of
the left ventricle of the heart. Successful tracking of global motion and local
shape changes without user intervention is demonstrated on a dataset consisting
of 21 3D echocardiography recordings. Real-time tracking using the proposed
approach requires a modest CPU load of 13% on a modern computer. The segmented
volumes compare to a semi-automatic segmentation tool with 95% limits of
agreement in the interval 4.1 +/- 24.6 ml (r = 0.92).
PMID- 18051140
TI - Vessel and intracranial aneurysm segmentation using multi-range filters and local
variances.
AB - Segmentation of vessels and brain aneurysms on non-invasive and flow-sensitive
phase contrast magnetic resonance angiographic (PCMRA) images is essential in the
detection of vascular diseases, in particular, intracranial aneurysms. In this
paper, we devise a novel method based on multi-range filters and local variances
to perform segmentation of vessels and intracranial aneurysms on PCMRA images.
The proposed method is validated and compared using a synthetic and numerical
image volume and four clinical cases. It is experimentally shown that the
proposed method is capable of segmenting vessels and aneurysms with various sizes
on PCMRA images.
PMID- 18051141
TI - Fully automatic segmentation of the hippocampus and the amygdala from MRI using
hybrid prior knowledge.
AB - The segmentation of macroscopically ill-defined and highly variable structures,
such as the hippocampus Hc and the amygdala Am, from MRI requires specific
constraints. Here, we describe and evaluate a hybrid segmentation method that
uses knowledge derived from a probabilistic atlas and from anatomical landmarks
based on stable anatomical characteristics of the structures. Combined in a
previously published semi-automatic segmentation method, they lead to a fast,
robust and accurate fully automatic segmentation of Hc and Am. The probabilistic
atlas was built from 16 young controls and registered with the "unified
segmentation" of SPM5. The algorithm was quantitatively evaluated with respect to
manual segmentation on two MRI datasets: the 16 young controls, with a leave-one
out strategy, and a mixed cohort of 8 controls and 15 subjects with epilepsy with
variable hippocampal sclerosis. The segmentation driven by hybrid knowledge leads
to greatly improved results compared to that obtained by registration of the
thresholded atlas alone: mean overlap for Hc on the 16 young controls increased
from 78% to 87% (p < 0.001) and on the mixed cohort from 73% to 82% (p < 0.001)
while the error on volumes decreased from 10% to 7% (p < 0.005) and from 18% to
8% (p < 0.001), respectively. Automatic results were better than the semi
automatic results: for the 16 young controls, average overlap increased from 84%
to 87% (p < 0.001) for Hc and from 81% to 84% (p < 0.002) for Am, with equivalent
improvements in volume error.
PMID- 18051142
TI - Clinical neonatal brain MRI segmentation using adaptive nonparametric data models
and intensity-based Markov priors.
AB - This paper presents a Bayesian framework for neonatal brain-tissue segmentation
in clinical magnetic resonance (MR) images. This is a challenging task because of
the low contrast-to-noise ratio and large variance in both tissue intensities and
brain structures, as well as imaging artifacts and partial-volume effects in
clinical neonatal scanning. We propose to incorporate a spatially adaptive
likelihood model using a data-driven nonparametric statistical technique. The
method initially learns an intensity-based prior, relying on the empirical Markov
statistics from training data, using fuzzy nonlinear support vector machines
(SVM). In an iterative scheme, the models adapt to spatial variations of image
intensities via nonparametric density estimation. The method is effective even in
the absence of anatomical atlas priors. The implementation, however, can
naturally incorporate probabilistic atlas priors and Markov-smoothness priors to
impose additional regularity on segmentation. The maximum-a-posteriori (MAP)
segmentation is obtained within a graph-cut framework. Cross validation on
clinical neonatal brain-MR images demonstrates the efficacy of the proposed
method, both qualitatively and quantitatively.
PMID- 18051143
TI - Active-contour-based image segmentation using machine learning techniques.
AB - We introduce a non-linear shape prior for the deformable model framework that we
learn from a set of shape samples using recent manifold learning techniques. We
model a category of shapes as a finite dimensional manifold which we approximate
using Diffusion maps. Our method computes a Delaunay triangulation of the reduced
space, considered as Euclidean, and uses the resulting space partition to
identify the closest neighbors of any given shape based on its Nystrom extension.
We derive a non-linear shape prior term designed to attract a shape towards the
shape prior manifold at given constant embedding. Results on shapes of ventricle
nuclei demonstrate the potential of our method for segmentation tasks.
PMID- 18051144
TI - Methods for inverting dense displacement fields: evaluation in brain image
registration.
AB - In medical image analysis there is frequently a need to invert dense displacement
fields which map one image space to another. In this paper we describe inversion
techniques and determine their accuracy in the context of 18 inter-subject brain
image registrations. Scattered data interpolation (SDI) is used to initialise
locally and globally consistent iterative techniques. The inverse-consistency
error, E(IC) is computed over the whole image and over 10 specific brain regions.
SDI produced good results with mean (max) E(IC) approximately 0.02mm (2.0mm).
Both iterative method produced mean errors of approximately 0.005mm but the
globally consistent method resulted in a smaller maximum error (1.9mm compared
with 1.4mm). The largest errors were in the cerebral cortex with large outlier
errors in the ventricles. Simple iterative techniques are, on this evidence, able
to produce reasonable estimates of inverse displacement fields provided there is
good initialisation.
PMID- 18051145
TI - Registration of high angular resolution diffusion MRI images using 4th order
tensors.
AB - Registration of Diffusion Weighted (DW)-MRI datasets has been commonly achieved
to date in literature by using either scalar or 2nd-order tensorial information.
However, scalar or 2nd-order tensors fail to capture complex local tissue
structures, such as fiber crossings, and therefore, datasets containing fiber
crossings cannot be registered accurately by using these techniques. In this
paper we present a novel method for non-rigidly registering DW-MRI datasets that
are represented by a field of 4th-order tensors. We use the Hellinger distance
between the normalized 4th-order tensors represented as distributions, in order
to achieve this registration. Hellinger distance is easy to compute, is scale and
rotation invariant and hence allows for comparison of the true shape of
distributions. Furthermore, we propose a novel 4th-order tensor re-transformation
operator, which plays an essential role in the registration procedure and shows
significantly better performance compared to the re-orientation operator used in
literature for DTI registration. We validate and compare our technique with other
existing scalar image and DTI registration methods using simulated diffusion MR
data and real HARDI datasets.
PMID- 18051146
TI - Non-rigid image registration using graph-cuts.
AB - Non-rigid image registration is an ill-posed yet challenging problem due to its
supernormal high degree of freedoms and inherent requirement of smoothness. Graph
cuts method is a powerful combinatorial optimization tool which has been
successfully applied into image segmentation and stereo matching. Under some
specific constraints, graph-cuts method yields either a global minimum or a local
minimum in a strong sense. Thus, it is interesting to see the effects of using
graph-cuts in non-rigid image registration. In this paper, we formulate non-rigid
image registration as a discrete labeling problem. Each pixel in the source image
is assigned a displacement label (which is a vector) indicating which position in
the floating image it is spatially corresponding to. A smoothness constraint
based on first derivative is used to penalize sharp changes in displacement
labels across pixels. The whole system can be optimized by using the graph-cuts
method via alpha-expansions. We compare 2D and 3D registration results of our
method with two state-of-the-art approaches. It is found that our method is more
robust to different challenging non-rigid registration cases with higher
registration accuracy.
PMID- 18051147
TI - Probabilistic speckle decorrelation for 3D ultrasound.
AB - Recent developments in freehand 3D ultrasound (US) have shown how image
registration and speckle decorrelation methods can be used for 3D reconstruction
instead of relying on a tracking device. Estimating elevational separation
between untracked US images using speckle decorrelation is error prone due to the
uncertainty that plagues the correlation measurements. In this paper, using
maximum entropy estimation methods, the uncertainty is directly modeled from the
calibration data normally used to estimate an average decorrelation curve.
Multiple correlation measurements can then be fused within a maximum likelihood
estimation framework in order to reduce the drift in elevational pose estimation
over large image sequences. The approach is shown to be effective through
empirical results on simulated and phantom US data.
PMID- 18051148
TI - De-enhancing the dynamic contrast-enhanced breast MRI for robust registration.
AB - Dynamic enhancement causes serious problems for registration of contrast enhanced
breast MRI, due to variable uptakes of agent on different tissues or even same
tissues in the breast. We present an iterative optimization algorithm to de
enhance the dynamic contrast-enhanced breast MRI and then register them for
avoiding the effects of enhancement on image registration. In particular, the
spatially varying enhancements are modeled by a Markov Random Field, and
estimated by a locally smooth function with boundaries using a graph cut
algorithm. The de-enhanced images are then registered by conventional B-spline
based registration algorithm. These two steps benefit from each other and are
repeated until the results converge. Experimental results show that our two-step
registration algorithm performs much better than conventional mutual information
based registration algorithm. Also, the effects of tumor shrinking in the
conventional registration algorithms can be effectively avoided by our
registration algorithm.
PMID- 18051150
TI - Robust computation of mutual information using spatially adaptive meshes.
AB - We present a new method for the fast and robust computation of information
theoretic similarity measures for alignment of multi-modality medical images. The
proposed method defines a non-uniform, adaptive sampling scheme for estimating
the entropies of the images, which is less vulnerable to local maxima as compared
to uniform and random sampling. The sampling is defined using an octree partition
of the template image, and is preferable over other proposed methods of non
uniform sampling since it respects the underlying data distribution. It also
extends naturally to a multi-resolution registration approach, which is commonly
employed in the alignment of medical images. The effectiveness of the proposed
method is demonstrated using both simulated MR images obtained from the BrainWeb
database and clinical CT and SPECT images.
PMID- 18051149
TI - Deformable density matching for 3D non-rigid registration of shapes.
AB - There exists a large body of literature on shape matching and registration in
medical image analysis. However, most of the previous work is focused on matching
particular sets of features--point-sets, lines, curves and surfaces. In this
work, we forsake specific geometric shape representations and instead seek
probabilistic representations--specifically Gaussian mixture models--of shapes.
We evaluate a closed-form distance between two probabilistic shape
representations for the general case where the mixture models differ in variance
and the number of components. We then cast non-rigid registration as a deformable
density matching problem. In our approach, we take one mixture density onto
another by deforming the component centroids via a thin-plate spline (TPS) and
also minimizing the distance with respect to the variance parameters. We validate
our approach on synthetic and 3D arterial tree data and evaluate it on 3D
hippocampal shapes.
PMID- 18051151
TI - Shape analysis using a point-based statistical shape model built on
correspondence probabilities.
AB - A fundamental problem when computing statistical shape models is the
determination of correspondences between the instances of the associated data
set. Often, homologies between points that represent the surfaces are assumed
which might lead to imprecise mean shape and variability results. We propose an
approach where exact correspondences are replaced by evolving correspondence
probabilities. These are the basis for a novel algorithm that computes a
generative statistical shape model. We developed an unified MAP framework to
compute the model parameters ('mean shape' and 'modes of variation') and the
nuisance parameters which leads to an optimal adaption of the model to the set of
observations. The registration of the model on the instances is solved using the
Expectation Maximization--Iterative Closest Point algorithm which is based on
probabilistic correspondences and proved to be robust and fast. The alternated
optimization of the MAP explanation with respect to the observation and the
generative model parameters leads to very efficient and closed-form solutions for
(almost) all parameters. Experimental results on brain structure data sets
demonstrate the efficiency and well-posedness of the approach. The algorithm is
then extended to an automatic classification method using the k-means clustering
and applied to synthetic data as well as brain structure classification problems.
PMID- 18051152
TI - Robust autonomous model learning from 2D and 3D data sets.
AB - In this paper we propose a weakly supervised learning algorithm for appearance
models based on the minimum description length (MDL) principle. From a set of
training images or volumes depicting examples of an anatomical structure,
correspondences for a set of landmarks are established by group-wise
registration. The approach does not require any annotation. In contrast to
existing methods no assumptions about the topology of the data are made, and the
topology can change throughout the data set. Instead of a continuous
representation of the volumes or images, only sparse finite sets of interest
points are used to represent the examples during optimization. This enables the
algorithm to efficiently use distinctive points, and to handle texture variations
robustly. In contrast to standard elasticity based deformation constraints the
MDL criterion accounts for systematic deformations typical for training sets
stemming from medical image data. Experimental results are reported for five
different 2D and 3D data sets.
PMID- 18051153
TI - On simulating subjective evaluation using combined objective metrics for
validation of 3D tumor segmentation.
AB - In this paper, we present a new segmentation evaluation method that can simulate
radiologist's subjective assessment of 3D tumor segmentation in CT images. The
method uses a new metric defined as a linear combination of a set of commonly
used objective metrics. The weighing parameters of the linear combination are
determined by maximizing the rank correlation between radiologist's subjective
rating and objective measurements. Experimental results on 93 lesions demonstrate
that the new composite metric shows better performance in segmentation evaluation
than each individual objective metric. Also, segmentation rating using the
composite metric compares well with radiologist's subjective evaluation. Our
method has the potential to facilitate the development of new tumor segmentation
algorithms and assist large scale segmentation evaluation studies.
PMID- 18051154
TI - Detection and segmentation of pathological structures by the extended graph
shifts algorithm.
AB - We propose an extended graph-shifts algorithm for image segmentation and
labeling. This algorithm performs energy minimization by manipulating a dynamic
hierarchical representation of the image. It consists of a set of moves occurring
at different levels of the hierarchy where the types of move, and the level of
the hierarchy, are chosen automatically so as to maximally decrease the energy.
Extended graph-shifts can be applied to a broad range of problems in medical
imaging. In this paper, we apply extended graph-shifts to the detection of
pathological brain structures: (i) segmentation of brain tumors, and (ii)
detection of multiple sclerosis lesions. The energy terms in these tasks are
learned from training data by statistical learning algorithms. We demonstrate
accurate results, precision and recall in the order of 93%, and also show that
the algorithm is computationally efficient, segmenting a full 3D volume in about
one minute.
PMID- 18051155
TI - Cutting tool system to minimize soft tissue damage for robot-assisted minimally
invasive orthopedic surgery.
AB - Minimally invasive surgery in orthopedic field is considered to be a challenging
problem with a milling robot. One objective of this study is to minimize
collision of the cutting tool with soft tissue. The authors have developed a
robot with redundant axis to avoid the collision so far. Some important
components are modeled based on physical requirements, and a geometric
optimization approach based on the model has been also proposed to improve
performance. In this paper, a protective mechanism to cover the non-working part
of the cutting edge is proposed to avoid soft tissue damage. Hardware and
software have been developed for this application and the effectiveness of this
technique was evaluated with urethane bone.
PMID- 18051156
TI - Shear resonance behavior of single-domain PZN-PT single crystals.
AB - The shear resonance behavior of rectangular-shaped samples of single-domain PZN
PT single crystal samples of 3m symmetry and having three faces parallel to the
(111), (112), and (110) crystal planes has been examined. Sample geometries with
separable resonance peaks are identified, which give shear properties consistent
with 3m symmetry, namely, k15 approximately k24 and d15 approximately d24. Sample
geometries with inseparable resonance peaks are also distinguished. The latter
sample geometries are not suitable for shear property characterization of
piezoelectric single crystals of 3m symmetry as the broad coupled resonance peak
often results in inflated k15 and d15 values.
PMID- 18051157
TI - Application of RF varactor using Ba(x)Sr(1-x)TiO3/TiO2/HR-Si substrate for
reconfigurable radio.
AB - In this paper, the potential feasibility of integrating Ba(x)Sr(1-x)TiO3 (BST)
films into Si wafer by adopting tunable interdigital capacitor (IDC) with TiO2
thin film buffer layer and a RF tunable active bandpass filter (BPF) using BST
based capacitor are proposed. TiO2 as a buffer layer is grown onto Si substrate
by atomic layer deposition (ALD) and the interdigital capacitor on BST(500
nm)/TiO2 (50 nm)/HR-Si is fabricated. BST interdigital tunable capacitor
integrated on HR-Si substrate with high tunability and low loss tangent are
characterized for their microwave performances. BST/TiO2/HR-Si IDC shows much
enhanced tunability values of 40% and commutation quality factor (CQF) of 56.71.
A resonator consists of an active capacitance circuit together with a BST
varactor. The active capacitor is made of a field effect transistor (FET) that
exhibits negative resistance as well as capacitance. The measured second order
active BPF shows bandwidth of 110 MHz, insertion loss of about 1 dB at the 1.81
GHz center frequency and tuning frequency of 230 MHz (1.81-2.04 GHz).
PMID- 18051159
TI - Elasticity imaging using conventional and high-frame rate ultrasound imaging:
experimental study.
AB - High-frame rate ultrasound imaging is necessary to track fast deformation in
ultrasound elasticity imaging, but the image quality may be degraded. Previously,
we investigated the performance of strain imaging using numerical models of
conventional and ultrafast ultrasound imaging techniques. In this paper, we
performed experimental studies to quantitatively evaluate the strain images and
elasticity maps obtained using conventional and high frame rate ultrasound
imaging methods. The experiments were carried out using point target and tissue
mimicking phantoms. The experimental results were compared with the results of
numerical simulation. Our experimental studies confirm that the signal-to-noise
ratio (SNR), contrast-to-noise ratio (CNR), and axial/lateral resolution of the
displacement and strain images acquired using high-frame rate ultrasound imaging
are slightly lower but comparable with those obtained using conventional imaging.
Furthermore, the quality of elasticity images also exhibits similar trends. Thus,
high-frame rate ultrasound imaging can be used reliably for static elasticity
imaging to capture the internal tissue motion if the frame rate is critical.
PMID- 18051158
TI - Theoretical quality assessment of myocardial elastography with in vivo
validation.
AB - Myocardial elastography (ME), a radio frequency (RF)-based speckle tracking
technique with one-dimensional (1-D) cross correlation and novel recorrelation
methods in a 2-D search was proposed to estimate and fully image 2-D transmural
deformation field and to detect abnormal cardiac function. A theoretical
framework was first developed in order to evaluate the performance of 2-D
myocardial elastography based on a previously developed 3-D finite-element model
of the canine left ventricle. A normal (control) and an ischemic (left
circumflex, LCx) model, which more completely represented myocardial deformation
than a kinematic model, were considered. A 2-D convolutional image formation
model was first used to generate RF signals for quality assessment of ME in the
normal and ischemic cases. A 3-D image formation model was further developed to
investigate the effect of the out-of-plane motion on the 2-D, in-plane motion
estimation. Both orthogonal, in-plane displacement components (i.e., lateral and
axial) between consecutive RF frames were iteratively estimated. All the
estimated incremental 2-D displacements from end-diastole (ED) to end-systole
(ES) were then accumulated to acquire the cumulative 2-D displacements, which
were further used to calculate the cumulative 2-D systolic finite strains.
Furthermore, the cumulative systolic radial and circumferential strains, which
were angle- and frame-rate independent, were obtained from the 2-D finite-strain
components and imaged in full view to detect the ischemic region. We also
explored the theoretical understanding of the limitations of our technique for
the accurate depiction of disease and validated it in vivo against tagged
magnetic resonance imaging (tMRI) in the case of a normal human myocardium in a 2
D short-axis (SA) echocardiographic view. The theoretical framework succeeded in
demonstrating that the 2-D myocardial elastography technique was a reliable tool
for the complete estimation and depiction of the in-plane myocardial deformation
field as well as for accurate identification of pathological mechanical function
using established finite-element, left-ventricular canine models. In a
preliminary study, the 2-D myocardial elastography was shown capable of imaging
myocardial deformation comparable to equivalent tMRI estimates in a clinical
setting.
PMID- 18051160
TI - Mechanical properties of single cells by high-frequency time-resolved acoustic
microscopy.
AB - In this paper, we describe a new, high-frequency, time-resolved scanning acoustic
microscope developed for studying dynamical processes in biological cells. The
new acoustic microscope operates in a time-resolved mode. The center frequency is
0.86 GHz, and the pulse duration is 5 ns. With such a short pulse, layers thicker
than 3 microm can be resolved. For a cell thicker than 3 microm, the front echo
and the echo from the substrate can be distinguished in the signal. Positions of
the first and second pulses are used to determine the local impedance of the cell
modeled as a thin liquid layer that has spatial variations in its elastic
properties. The low signal-to-noise ratio in the acoustical images is increased
for image generation by averaging the detected radio frequency signal over 10
measurements at each scanning point. In conducting quantitative measurements of
the acoustic parameters of cells, the signal can be averaged over 2000
measurements. This approach enables us to measure acoustical properties of a
single HeLa cell in vivo and to derive elastic parameters of subcellular
structures. The value of the sound velocity inside the cell (1534.5 +/- 33.6 m/s)
appears to be only slightly higher than that of the cell medium (1501 m/s).
PMID- 18051161
TI - Fast B-flow imaging: a method for improving frame rate in Golay coded B-flow
imaging.
AB - A technique for Golay coded B-flow imaging, called fast B-flow imaging, has been
developed. This technique improves the frame rate of Golay coded B-flow imaging.
In this technique, three instead of four input pulses are used to produce each
scan line. A standard Golay pulse-pair is used as two of the three inputs, and
pulse compression is performed upon receive returning the echoes from stationary
(tissue) objects in the image. The third input is a repetition of one of the
first two inputs. Upon receive, this pulse is cross correlated with an inverted
copy of its input pulse. Addition of the cross-correlated signals produced from
the identical input pulses results in the cancellation of the strong tissue
echoes, and enables visualization of the weaker/moving blood echoes. Combining a
small fraction of the tissue echoes with the weaker blood echoes allows both to
be visualized in the same gray scale image. By using three instead of four input
pulses, this technique can achieve a frame rate improvement of 33% compared with
standard Golay coded B-flow imaging, with some loss in signal-to-noise ratio. The
impact of axial and lateral motion on these techniques is examined. A
quantitative comparison of both techniques is presented.
PMID- 18051162
TI - Radial modulation of microbubbles for ultrasound contrast imaging.
AB - Over the past few years, extensive research has been carried out in the field of
ultrasound contrast imaging. In addition to the development of new types of
ultrasound contrast agents, various imaging methods dedicated to contrast agents
have been introduced, and some of them are now commercially available. In this
study, we present results of an imaging technique that is capable of detecting
echoes from microbubbles and eliminating those emanating from nonoscillating
structures (tissue), thereby enhancing contrast imaging. The method is based on
mixing a low frequency (LF) modulator signal and a high frequency (HF) imaging
signal to effectively modulate the size of the contrast microbubble through its
volumetric oscillations using the LF signal and to probe the radial motion using
the HF imaging signal. To evaluate the performances and limitations of the
method, high-speed optical observations and acoustic measurements were carried
out on soft-shelled microbubbles. The results showed that, by incorporating the
modulator signal, the bubbles respond differently compared to the HF excitation
alone. The decorrelation between the signals obtained at the compression and
expansion phase of the modulator signal is significantly high to be used as a
parameter to detect contrast microbubbles and discriminate them from tissue. The
echo received from a solid reflector shows identical responses during the
compression and rarefaction phase of the LF signal. In conclusion, these results
demonstrate the feasibility of this fully linear approach for improving the
contrast detection.
PMID- 18051163
TI - Sensitive ultrasonic delineation of steroid treatment in living dystrophic mice
with energy-based and entropy-based radio frequency signal processing.
AB - Duchenne muscular dystrophy is a severe wasting disease, involving replacement of
necrotic muscle tissue by fibrous material and fatty infiltrates. One primary
animal model of this human disease is the X chromosome-linked mdx strain of mice.
The goals of the present work were to validate and quantify the capability of
both energy and entropy metrics of radio-frequency ultrasonic backscatter to
differentiate among normal, dystrophic, and steroid-treated skeletal muscle in
the mdx model. Thirteen 12-month-old mice were blocked into three groups: 4
treated mdx-dystrophic that received daily subcutaneous steroid (prednisolone)
treatment for 14 days, 4 positive-control mdx-dystrophic that received saline
injections for 14 days, and 5 negative-control animals. Biceps muscle of each
animal was imaged in vivo using a 40-MHz center frequency transducer in
conjunction with a Vevo-660 ultrasound system. Radio-frequency data were acquired
(1 GHz, 8 bits) corresponding to a sequence of transverse images, advancing the
transducer from "shoulder" to "elbow" in 100-micron steps. Data were processed to
generate both "integrated backscatter" (log energy), and "entropy" (information
theoretic receiver, H(f)) representations. Analyses of the integrated-backscatter
values delineated both treated-and untreated-mdx biceps from normal controls (p <
0.01). Complementary analyses of the entropy images differentiated the steroid
treated and positive-control mdx groups (p < 0.01). To our knowledge, this study
represents the first reported use of quantitative ultrasonic characterization of
skeletal muscle in mdx mice. Successful differentiation among dystrophic, steroid
treated, and normal tissues suggests the potential for local noninvasive
monitoring of disease severity and therapeutic effects.
PMID- 18051164
TI - A two-dimensional CVIB imaging system with a snake-based tracking algorithm.
AB - Quantitative ultrasound tissue characterization based on integrated backscatter
(IB) has shown great potential in detecting myocardial ischemia. The magnitude of
the cyclic variation in IB (CVIB) has recently been considered as one of the most
promising parameters in assessing regional myocardial contractile performance.
Our laboratory previously developed a novel ultrasonic fusion imaging method
based on the CVIB. However, the major problem for clinical applications of this
technique and other existing analytical methods based on IB is that the
myocardial tissue can't be traced effectively without the cardiologist's
intervention. In order to solve this problem, this paper presents a snake-based
tracking algorithm to trace myocardial tissue automatically. A mathematical
method is also introduced to extend the application of the snake model for
detecting non-closed contours. The system developed in our previous research was
redesigned to synchronize the radio frequency signal, the electrocardiographic
signal, and the video signal, which allows verification of the system. Our
results suggest that the system using the auto-tracking method increases the
accuracy of detecting myocardial ischemia.
PMID- 18051165
TI - Two-dimensional localization with a single diffuse ultrasound field excitation.
AB - Traditional ultrasound imaging methods rely on the bandwidth and center frequency
of transduction to achieve axial and radial image resolution, respectively. In
this study, a new modality for spatially localizing scattering targets in a two
dimensional field is presented. In this method, the bandwidth of field excitation
is high, and the center frequency is lowered such that the corresponding
wavelengths are substantially larger than the target profiles. Furthermore, full
two-dimensional field measurements are obtained with single send-receive
sequences, demonstrating a substantial simplification of the traditional scanning
techniques. Field reconstruction is based on temporal-spectral cross-correlations
between measured backscatter data and a library of region of interest (ROI)
backscatter data measured a priori. The transducer design is based upon a wedge
shaped geometry, which was shown to yield spatially frequency-separated
bandwidths of up to 156% with center frequencies of 1.38 MHz. Initial results
with these send-and-receive transducer parameters and cylindrical reflection
targets in a 10-mm x 10-mm ROI demonstrate two-dimensional target localization to
within 0.5 mm. Spatial localization of point scatterers is demonstrated for
single and multiple scattering sites.
PMID- 18051166
TI - A novel pyroelectric method of determining ultrasonic transducer output power:
device concept, modeling, and preliminary studies.
AB - This paper describes a new thermally based method of monitoring acoustic output
power generated by ultrasonic transducers. Its novelty lies in the exploitation
of the pyroelectric properties of a thin membrane of polyvinylidene fluoride
(PVDF). The membrane is backed by a thick layer of polyurethane rubber that is
extremely attenuating to ultrasound, with the result that the majority of the
applied acoustic power is absorbed within a few millimeters of the membrane
backing interface. Through the resultant rapid increase in temperature of the
membrane, a voltage is generated across its electrodes whose magnitude is
proportional to the rate of change of temperature with respect to time. Changes
in the pyroelectric voltage generated by switching the transducer ON and OFF are
related to the acoustic power delivered by the transducer. Features of the
technique are explored through the development of a simple one-dimensional model.
An experimental evaluation of the potential secondary measurement technique is
also presented, covering the frequency range 1 to 5 MHz, for delivered powers up
to a watt. Predictions of the sensor output signals, as well as the frequency
dependent sensitivity, are in good agreement with observation. The potential of
the new method as a simple, rapid means of providing traceable ultrasonic power
measurements is outlined.
PMID- 18051167
TI - The thermal effect of metal electrodes on thickness-shear vibrations of crystal
plates.
AB - The effectiveness of theoretical approaches based on the initial thermal field on
vibrations elastic solids has been demonstrated by a series of analyses
concerning the thermal behavior of crystal resonators with results in good
agreement with measurements. These equations have been implemented in a finite
element method in addition to simple analytical solutions we are familiar with.
Starting with an infinite plate under a temperature increase in both crystal and
metal layers, the frequency equation based on incremental thermal field
formulation is obtained and solved analytically for thickness-shear vibrations
with electrodes of different metals and configuration. Numerical examples show
that the thermal effect of thicker electrodes on frequency-temperature relations
of thickness-shear vibrations of AT-cut quartz crystal plates are generally
positive and should be considered in the design process.
PMID- 18051168
TI - A stator for a self-running, ultrasonically-levitated sliding stage.
AB - Here we propose a self-running, ultrasonically-levitated sliding stage and
investigate the levitation and propulsion characteristics of its stator. The
stator consists of two aluminum beams and four PZT plates, which have two-paired
bimorph configurations. A flexural standing wave was generated along the beam by
applying an input voltage to the PZTs, and the stator could be levitated from a
flat substrate by the acoustic radiation force generated by its own vibrating
beam. The size of the stator was optimized using finite-element analysis (FEA) to
maximize the vibration displacement amplitude of the beam. The flexural vibration
modes at 24.3 and 102 kHz were the most prominent vibration modes having large
displacement amplitudes. The stator was levitated at 23.2 and 96.1 kHz, which are
close to the frequencies predicted by the FEA results. A standing wave was
observed along the beam. The experimental and the simulated results showed good
agreement. The levitation distance h was measured by varying the vibration
displacement amplitude of the beam u, and was found to be proportional to u. When
a traveling wave was excited along the beam by controlling the temporal phase
difference of the two transducers, the stator could be made to hover and to move
in the opposite direction to the traveling wave. The stator moved in the positive
direction when the phase difference was in the ranges 0 degrees to 200 degrees
and 310 degrees to 360 degrees, and in the negative direction when the phase
difference was between 220 degrees and 260 degrees.
PMID- 18051169
TI - A single probe spatial averaging technique for guided waves and its application
to surface wave rail inspection.
AB - The nondestructive testing of structures using guided waves requires systems with
high mode selectivity. Usually this is achieved with relatively complex probes
comprising multiple transducer rings or arrays. For the rapid inspection of very
long structures with only partial access to the waveguide, this may not be a
viable solution. In this paper we present a very flexible alternative whereby a
simple robust probe is scanned along the wave guide, and the acquired scan data
is used for customizing the mode selectivity at the postprocessing stage. The
characteristics of this spatial averaging method are discussed using a simple
analytical model and compared to an existing linear array technique. The mode
selectivity is found to be mainly limited by the uncertainty of the phase
velocity assumed for the mode of interest. The method was successfully applied to
surface wave rail inspection and was found to suppress unwanted modes very
efficiently.
PMID- 18051170
TI - Ultrasonic scattering in chocolate and model systems containing sucrose,
tripalmitin and olive oil.
AB - Ultrasonic measurements of attenuation versus frequency were made on model
systems comprising olive oil, sucrose, and tripalmitin to represent the
constituents of chocolate. Corresponding measurements also were made on chocolate
flowing in a pipeline at a pilot plant where the temperature, pressure, and
temper of the chocolate were precisely controlled and monitored. Experimental
results combined with simulation studies indicate that the effect of ultrasonic
scattering from tripalmitin crystals in olive oil is modified by the addition of
sucrose crystals at a high concentration. It is proposed that the presence of
seed crystals in chocolate (temper) cannot be detected ultrasonically in the
practical measurement range 1-12 MHz due to a similar process.
PMID- 18051171
TI - Circuital model for the analysis of the piezoelectric response of A1N films using
SAW filters.
AB - In this paper we describe a method to assess the piezoelectric response of a
piezoelectric thin film deposited on a conductive substrate. It is based on
analyzing the frequency response of a surface acoustic wave (SAW) filter made on
the piezoelectric thin film. For this analysis, we use a circuital model that
takes into account the theoretical response of the ideal filter along with all
the external and internal parasitic effects that deteriorate the response. Using
this model, we can obtain the electromechanical coupling factor of the
piezoelectric material (k2m) with good accuracy. If parasitic effects are not
considered, k2m can be underestimated by a factor of up to 20. We have tested our
model using SAW filters made on A1N thin films sputtered on substrates with
different conductivities. A discussion on the relation between the different
circuital elements and the physical properties of the filters also is provided.
PMID- 18051172
TI - Modeling and analysis on ring-type piezoelectric transformers.
AB - This paper presents an electromechanical model for a ring-type piezoelectric
transformer (PT). To establish this model, vibration characteristics of the
piezoelectric ring with free boundary conditions are analyzed in advance. Based
on the vibration analysis of the piezoelectric ring, the operating frequency and
vibration mode of the PT are chosen. Then, electromechanical equations of motion
for the PT are derived based on Hamilton's principle, which can be used to
simulate the coupled electromechanical system for the transformer. Such as
voltage stepup ratio, input impedance, output impedance, input power, output
power, and efficiency are calculated by the equations. The optimal load
resistance and the maximum efficiency for the PT will be presented in this paper.
Experiments also were conducted to verify the theoretical analysis, and a good
agreement was obtained.
PMID- 18051173
TI - Calculation of dissipation resistances in a single-element transducer.
AB - In this paper, a new formulation of the electrical input impedance of a single
element transducer is presented. The resistive part of the electrical impedance
that takes into account acoustic radiation in the front medium and losses in the
transducer is split into a radiation resistance on one hand and into dissipation
resistances related to each transducer component on the other hand. To confirm
these theoretical results, characterization methods based on temperature
measurements and pulse-echo response are presented. Measurements have been
conducted on 1 MHz transducers, which consist of a piezoelectric ceramic glued on
a backing. The results show a good agreement between experience and theory for
dissipation resistance and radiation resistance values, which confirms the
theoretical approach.
PMID- 18051174
TI - Effective shear modulus reconstruction obtained with approximate mean normal
stress remaining unknown.
AB - We previously reported Methods A and B for reconstructing tissue shear modulus
and density using mean normal stress as an unknown. The use of Method A enables
us to obtain such reconstructions with the mean normal stress remaining unknown
by using an iterative method to solve algebraic equations. However, Method A
results in a low convergence speed and a low reconstruction accuracy compared
with Method B that enables a reconstruction of mean normal stress together. Thus,
in this report, we describe a new, rapid and accurate method, Method C, that
enables the reconstructions of shear modulus and density in real time with a
higher accuracy than Method A. In Method A, no reference mean normal stress is
used. In Method C, an arbitrary finite value is used as a quasireference mean
normal stress at an arbitrary point (i.e., a quasireference point) or an
arbitrary region (i.e., a quasireference region) in the region of interest on the
basis of the fact that the gradient operator implemented on the mean normal
stress becomes positive-definite. When a quasireference region can be realized,
Method C enables such reconstructions with a high accuracy and a high convergence
speed similar to Method B. The effectiveness of Method C was verified using
simulated phantom deformation data. Method C must be used instead of Method A as
a practical method, in combination with Method B.
PMID- 18051175
TI - On real-time optimal FIR estimation of linear TIE models of local clocks.
AB - In this paper, we present an optimal finite-impulse response (FIR) filter for the
linear time-interval error (TIE) model of a local clock and compare its estimates
to those obtained by the unbiased FIR filter via the global positioning system
(GPS)-based sawtooth measurements. We show theoretically and verify
experimentally that there is no reasonable necessity in using optimal filters for
GPS-based estimation of the TIE of precision crystal, rubidium, and cesium
clocks. For these clocks, the optimal FIR filter requiring four coefficients
degenerates to a simple unbiased FIR filter requiring only one coefficient.
PMID- 18051176
TI - An analysis of partially electroded, contoured, quartz resonators with beveled
cylindrical edges.
AB - We report the last set of data from a series of theoretical studies of contoured
resonators with beveled cylindrical edges. What is new in this report is that the
contoured region is only partially electroded. The equation for transversely
varying thickness modes in doubly-rotated quartz resonators is used. Resonant
frequency, mode shape, and motional capacitance are calculated.
PMID- 18051180
TI - An adaptable connectionist text-retrieval system with relevance feedback.
AB - This paper introduces a new connectionist network for certain domain-specific
text-retrieval and search applications with expert end users. A new model
reference adaptive system is proposed that involves three learning phases.
Initial model-reference learning is first performed based upon an ensemble set of
input-output of an initial reference model. Model-reference following is needed
in dynamic environments where documents are added, deleted, or updated. Relevance
feedback learning from multiple expert users then optimally maps the original
query using either a score-based or a click-through selection process. The
learning can be implemented, in regression or classification modes, using a three
layer network. The first layer is an adaptable layer that performs mapping from
query domain to document space. The second and third layers perform document-to
term mapping, search/retrieval, and scoring tasks. The learning algorithms are
thoroughly tested on a domain-specific text database that encompasses a wide
range of Hewlett Packard (HP) products and for a large number of most commonly
used single- and multiterm queries.
PMID- 18051181
TI - Neural network approach to background modeling for video object segmentation.
AB - This paper presents a novel background modeling and subtraction approach for
video object segmentation. A neural network (NN) architecture is proposed to form
an unsupervised Bayesian classifier for this application domain. The constructed
classifier efficiently handles the segmentation in natural-scene sequences with
complex background motion and changes in illumination. The weights of the
proposed NN serve as a model of the background and are temporally updated to
reflect the observed statistics of background. The segmentation performance of
the proposed NN is qualitatively and quantitatively examined and compared to two
extant probabilistic object segmentation algorithms, based on a previously
published test pool containing diverse surveillance-related sequences. The
proposed algorithm is parallelized on a subpixel level and designed to enable
efficient hardware implementation.
PMID- 18051182
TI - The Bayesian ARTMAP.
AB - In this paper, we modify the fuzzy ARTMAP (FA) neural network (NN) using the
Bayesian framework in order to improve its classification accuracy while
simultaneously reduce its category proliferation. The proposed algorithm, called
Bayesian ARTMAP (BA), preserves the FA advantages and also enhances its
performance by the following: (1) representing a category using a
multidimensional Gaussian distribution, (2) allowing a category to grow or
shrink, (3) limiting a category hypervolume, (4) using Bayes' decision theory for
learning and inference, and (5) employing the probabilistic association between
every category and a class in order to predict the class. In addition, the BA
estimates the class posterior probability and thereby enables the introduction of
loss and classification according to the minimum expected loss. Based on these
characteristics and using synthetic and 20 real-world databases, we show that the
BA outperformes the FA, either trained for one epoch or until completion, with
respect to classification accuracy, sensitivity to statistical overlapping,
learning curves, expected loss, and category proliferation.
PMID- 18051184
TI - Hierarchically clustered adaptive quantization CMAC and its learning convergence.
AB - The cerebellar model articulation controller (CMAC) neural network (NN) is a well
established computational model of the human cerebellum. Nevertheless, there are
two major drawbacks associated with the uniform quantization scheme of the CMAC
network. They are the following: (1) a constant output resolution associated with
the entire input space and (2) the generalization-accuracy dilemma. Moreover, the
size of the CMAC network is an exponential function of the number of inputs.
Depending on the characteristics of the training data, only a small percentage of
the entire set of CMAC memory cells is utilized. Therefore, the efficient
utilization of the CMAC memory is a crucial issue. One approach is to quantize
the input space nonuniformly. For existing nonuniformly quantized CMAC systems,
there is a tradeoff between memory efficiency and computational complexity.
Inspired by the underlying organizational mechanism of the human brain, this
paper presents a novel CMAC architecture named hierarchically clustered adaptive
quantization CMAC (HCAQ-CMAC). HCAQ-CMAC employs hierarchical clustering for the
nonuniform quantization of the input space to identify significant input segments
and subsequently allocating more memory cells to these regions. The stability of
the HCAQ-CMAC network is theoretically guaranteed by the proof of its learning
convergence. The performance of the proposed network is subsequently benchmarked
against the original CMAC network, as well as two other existing CMAC variants on
two real-life applications, namely, automated control of car maneuver and
modeling of the human blood glucose dynamics. The experimental results have
demonstrated that the HCAQ-CMAC network offers an efficient memory allocation
scheme and improves the generalization and accuracy of the network output to
achieve better or comparable performances with smaller memory usages. Index Terms
Cerebellar model articulation controller (CMAC), hierarchical clustering,
hierarchically clustered adaptive quantization CMAC (HCAQ-CMAC), learning
convergence, nonuniform quantization.
PMID- 18051185
TI - Density-driven generalized regression neural networks (DD-GRNN) for function
approximation.
AB - This paper proposes a new nonparametric regression method, based on the
combination of generalized regression neural networks (GRNNs), density-dependent
multiple kernel bandwidths, and regularization. The presented model is generic
and substitutes the very large number of bandwidths with a much smaller number of
trainable weights that control the regression model. It depends on sets of
extracted data density features which reflect the density properties and
distribution irregularities of the training data sets. We provide an efficient
initialization scheme and a second-order algorithm to train the model, as well as
an overfitting control mechanism based on Bayesian regularization. Numerical
results show that the proposed network manages to reduce significantly the
computational demands of having individual bandwidths, while at the same time,
provides competitive function approximation accuracy in relation to existing
methods.
PMID- 18051190
TI - Block-based neural networks for personalized ECG signal classification.
AB - This paper presents evolvable block-based neural networks (BbNNs) for
personalized ECG heartbeat pattern classification. A BbNN consists of a 2-D array
of modular component NNs with flexible structures and internal configurations
that can be implemented using reconfigurable digital hardware such as field
programmable gate arrays (FPGAs). Signal flow between the blocks determines the
internal configuration of a block as well as the overall structure of the BbNN.
Network structure and the weights are optimized using local gradient-based search
and evolutionary operators with the rates changing adaptively according to their
effectiveness in the previous evolution period. Such adaptive operator rate
update scheme ensures higher fitness on average compared to predetermined fixed
operator rates. The Hermite transform coefficients and the time interval between
two neighboring R-peaks of ECG signals are used as inputs to the BbNN. A BbNN
optimized with the proposed evolutionary algorithm (EA) makes a personalized
heartbeat pattern classifier that copes with changing operating environments
caused by individual difference and time-varying characteristics of ECG signals.
Simulation results using the Massachusetts Institute of Technology/Beth Israel
Hospital (MIT-BIH) arrhythmia database demonstrate high average detection
accuracies of ventricular ectopic beats (98.1%) and supraventricular ectopic
beats (96.6%) patterns for heartbeat monitoring, being a significant improvement
over previously reported electrocardiogram (ECG) classification results.
PMID- 18051193
TI - A biologically inspired spiking neural network for sound source lateralization.
AB - In this paper, a binaural sound source lateralization spiking neural network (NN)
will be presented which is inspired by most recent neurophysiological studies on
the role of certain nuclei in the superior olivary complex (SOC) and the inferior
colliculus (IC). The binaural sound source lateralization neural network
(BiSoLaNN) is a spiking NN based on neural mechanisms, utilizing complex neural
models, and attempting to simulate certain parts of nuclei of the auditory system
in detail. The BiSoLaNN utilizes both excitatory and inhibitory ipsilateral and
contralateral influences arrayed in only one delay line originating in the
contralateral side to achieve a sharp azimuthal localization. It will be shown
that the proposed model can be used both for purposes of understanding the
mechanisms of an NN of the auditory system and for sound source lateralization
tasks in technical applications, e.g., its use with the Darmstadt robotic head
(DRH).
PMID- 18051205
TI - Carex (genus in family Cyperaceae).
PMID- 18051195
TI - Synchrony in silicon: the gamma rhythm.
AB - In this paper, we present a network of silicon interneurons that synchronize in
the gamma frequency range (20-80 Hz). The gamma rhythm strongly influences
neuronal spike timing within many brain regions, potentially playing a crucial
role in computation. Yet it has largely been ignored in neuromorphic systems,
which use mixed analog and digital circuits to model neurobiology in silicon. Our
neurons synchronize by using shunting inhibition (conductance based) with a
synaptic rise time. Synaptic rise time promotes synchrony by delaying the effect
of inhibition, providing an opportune period for interneurons to spike together.
Shunting inhibition, through its voltage dependence, inhibits interneurons that
spike out of phase more strongly (delaying the spike further), pushing them into
phase (in the next cycle). We characterize the interneuron, which consists of
soma (cell body) and synapse circuits, fabricated in a 0.25-microm complementary
metal-oxide-semiconductor (CMOS). Further, we show that synchronized interneurons
(population of 256) spike with a period that is proportional to the synaptic rise
time. We use these interneurons to entrain model excitatory principal neurons and
to implement a form of object binding.
PMID- 18051206
TI - A novel and effective approach to treating rhinitis with nasal antihistamines.
AB - OBJECTIVES: To review existing treatments for rhinitis and summarize data
available on the use of a nasal antihistamine (azelastine) in treating allergic
and nonallergic vasomotor rhinitis. DATA SOURCES: Relevant articles and
references published between 1995 and 2007 regarding the treatment of allergic
and vasomotor rhinitis were identified from PubMed, review articles, meta
analyses, and practice guidelines. STUDY SELECTION: All key relevant articles
were reviewed and the most relevant selected for inclusion in this review.
RESULTS: The efficacy and safety of azelastine nasal spray in treating allergic
rhinitis and vasomotor rhinitis have been determined in a number of U.S.
multicenter, randomized, double-blind, placebo-controlled trials. In all trials,
azelastine was associated with a rapid onset of action and a sustained
improvement over time in rhinitis, congestion, and other symptoms. In patients
with allergic rhinitis, the combination of azelastine and nasal corticosteroids
increased treatment efficacy by more than 40% compared with either product alone.
CONCLUSIONS: Intranasal antihistamine therapy represents an effective mode of
drug delivery in patients with allergic and nonallergic vasomotor rhinitis and is
an important option for rhinitis therapy, particularly if rapid symptom relief is
required or if congestion is a major symptom. Use of azelastine plus nasal
corticosteroids is effective in both allergic rhinitis and vasomotor rhinitis,
suggesting that this combination represents an effective treatment strategy for
all patients with either allergic or nonallergic vasomotor rhinitis.
PMID- 18051207
TI - Intimate behavior and allergy: a narrative review.
AB - OBJECTIVE: To determine how sex and intimate contacts can represent a risk factor
for allergic reactions, because they may favor direct contact with sensitizing
substances. DATA SOURCES: We collected in this review the available literature on
this subject. The MEDLINE database was searched by a combination of keywords: sex
OR sexual intercourse OR kiss OR seminal plasma OR condom AND allergy OR allergic
reaction. STUDY SELECTION: The studies retrieved were independently evaluated by
the authors and included in this review based on their clinical pertinence (i.e.,
dealing with clinical presentation, diagnosis, or treatment). RESULTS: Sex and
intimate behavior seem to be increasingly described as triggers of allergic
reactions, although the pertaining literature is represented mostly by case
reports. Kissing has been described as a risk factor for food- and drug-induced
severe reactions. Seminal plasma allergy has been repeatedly described and
investigated. In this case, practical diagnostic algorithms have been proposed,
and desensitization protocols are available. Similarly, there are numerous case
reports of allergic reaction due to latex condoms, for which the diagnostic
procedure is standardized. CONCLUSIONS: The available literature on intimate
behavior, and sex in general, as a trigger of allergic reactions is not abundant.
This is probably because of the particular nature of the problem, which concerns
intimacy. Nevertheless, reliable diagnostic procedures are available in some
specific cases. The possible link between sex and allergy should become part of
the personal culture of allergists to extend and improve the diagnosis of unusual
or unexplained conditions.
PMID- 18051208
TI - The role of nasal allergy in chronic secretory otitis media.
AB - BACKGROUND: Chronic secretory otitis media (SOM) has multifactorial causes, and
nasal allergy is suspected as one of these causative factors. OBJECTIVES: To
investigate the possible role of nasal allergy in SOM in adults and to determine
the diagnostic value of nasal challenges with allergens (nasal provocation tests)
combined with tympanometry for the diagnosis of this disorder. METHODS: In 69
young adults with chronic or recurrent SOM, 173 nasal challenges with allergens
were performed by anterior rhinomanometry combined with tympanometry (pure-tone
air conduction tympanometry). In 42 control subjects with only allergic rhinitis
and no history of middle ear disease, 42 nasal challenges with allergens were
repeated and combined with tympanometry. The study design was a placebo
controlled comparison. RESULTS: Of the 69 patients, 54 developed 129 positive
nasal responses of various types (P < .01), 117 of which were accompanied by
significant changes in middle ear pressure (P < .01). No significant
tympanometric changes (P > .10) were recorded during the 42 positive nasal
responses in control subjects. CONCLUSIONS: These results may confirm the
occurrence of chronic SOM in some adult patients and the possible involvement of
nasal allergy in chronic SOM. The nasal challenges with allergen performed by
rhinomanometry, combined with tympanometry, seem to be a valuable supplementary
tool for the diagnosis of this disorder.
PMID- 18051209
TI - Risk factors related to fixed airway obstruction in patients with asthma after
antiasthma treatment.
AB - BACKGROUND: There are many unanswered questions about the role of airway
remodeling in asthma. OBJECTIVE: To evaluate the physiologic factors related to
airway remodeling after antiasthma drug treatment for 1 year. METHODS: We gave
582 patients with asthma long-term control medication for 1 year according to the
severity of their asthma. Airway remodeling was defined using forced expiratory
volume in 1 second/forced vital capacity and a predicted forced expiratory volume
in 1 second of less than 75% after antiasthma treatment. RESULTS: Of the 582
patients, 49 (8.4%) had airway remodeling. Severe asthma resulted in more airway
remodeling than mild-to-moderate asthma. Asthmatic patients with airway
remodeling were significantly older and had a longer duration of asthma.
Asthmatic patients with airway remodeling had more emphysema on high-resolution
computed tomography, a higher rate of near-fatal asthma attacks, a lower
percentage of sputum eosinophils, a lower atopy frequency, a greater response to
short-acting bronchodilators, and a lower body mass index (BMI) than those
without airway remodeling. Age, asthma duration, and BMI were important
discriminators of airway remodeling. CONCLUSION: Nonatopy, asthma duration,
emphysema on high-resolution computed tomography, sputum eosinophils, age, and
BMI before antiasthma treatment are important factors related to airway
remodeling in patients with asthma.
PMID- 18051210
TI - Acute primary Chlamydophila pneumoniae bronchitis and bronchial
hyperresponsiveness in young nonasthmatic Thai military recruits.
AB - BACKGROUND: A correlation between chronic Chlamydophila pneumoniae infection and
chronic airway diseases has been suggested by several studies, but direct
evidence to support the link between acute Cpneumoniae infection and new-onset
asthma is insufficient. OBJECTIVES: To determine the association between C.
pneumoniae infection and subsequent bronchial hyperresponsiveness (BHR) and hence
asthma. METHODS: We studied 110 Thai military conscripts during an epidemic of
Cpneumoniae infection in Thailand, from November 1, 1998, through February 28,
1999. The diagnosis was based on a standardized microimmunofluorescence
technique. Spirometry and methacholine challenge tests (MCTs) were conducted.
This cohort study excluded all conscripts with preexisting positive MCTs.
RESULTS: Ninety-three percent of the conscripts presented with an acute cough of
a mean duration of 15.2 days. The pattern of serologic response revealed that 83%
had acute primary infections and 10% had acute reinfections. Mean forced
expiratory volume in 1 second was 99.5%, with an improvement of 1.8% after
bronchodilator administration. Only 3.6% revealed small airways disease (forced
expiratory flow between 25% and 75% of <65%) on spirometry. Methacholine
challenge tests failed to demonstrate BHR in all conscripts, and none had
developed a new-onset wheeze (physician-diagnosed asthma) at up to 2 years of
follow-up. CONCLUSIONS: This study demonstrates that cough in patients with acute
primary Cpneumoniae infection is not associated with BHR among previously healthy
adults. The pathogenetic mechanism by which organisms cause coughing and wheezing
in acute bronchitis seems to be different among causative respiratory pathogens.
PMID- 18051211
TI - A profile of U.S. asthma centers, 2006.
AB - BACKGROUND: Asthma is a significant public health problem that results in 1.8
million annual emergency department (ED) visits. Many ED visits may be prevented
with specialized asthma care. OBJECTIVE: To describe US asthma centers with a
long-term goal of exploring their potential role in improving outcomes for ED
patients with acute asthma. METHODS: We conducted initial online surveys in 2004.
One survey identified asthma centers and their directors through reports by
emergency medicine researchers and fellowship directors (allergy/immunology,
pulmonary, and critical care) at US hospitals. A second survey asked asthma
center directors to describe their asthma center. Follow-up surveys were
conducted 2 years later in 2006. RESULTS: Eighty-seven (49%) of the 177 hospitals
surveyed have asthma clinics. Although spirometry was available on the day of the
visit at all asthma centers surveyed in 2006, only 21% (95% confidence interval,
11 %-34%) of sites reported that at least 90% of visits per week included a
spirometry test. Only one quarter (26%; 95% confidence interval, 15%-40%) of
asthma centers reported that at least 90% of patients undergo a skin or blood
test for environmental allergens during 1 of their visits. Half of center
directors (53%) were unsure of the approximate number of annual ED visits for
acute asthma at their hospital. No significant measured changes were noted in
asthma centers between 2004 and 2006. CONCLUSIONS: Asthma centers are
heterogenous, with different services available. Although challenges remain,
collaboration between EDs and asthma centers may contribute to improved asthma
outcomes and merits further study.
PMID- 18051212
TI - An economic evaluation of prophylactic self-injectable epinephrine to prevent
fatalities in children with mild venom anaphylaxis.
AB - BACKGROUND: Mild (cutaneous) venom anaphylaxis is the most common presentation of
systemic venom hypersensitivity during childhood. Guidelines recommend
prophylactic self-injectable epinephrine for children with mild venom
anaphylaxis. However, progressive venom-associated reactions are uncommon in this
population. OBJECTIVE: To characterize the cost-effectiveness of prophylactic
self-injectable epinephrine in mild childhood venom anaphylaxis from a societal
perspective. METHODS: Cohort simulations were used, and the base case was
represented by a 6-year-old child with a history of mild venom-associated
anaphylaxis. Long-term survival was modeled using age-adjusted mortality from the
2002 U.S. life tables together with the risk of venom-associated mortality. Model
assumptions included market costs of self-injectable epinephrine; the prevalence
of venom allergy; US census estimates; venom-associated fatality estimates by the
Joint Council of Allergy, Asthma, and Immunology (at least 40 deaths per year);
and venom-associated mortality statistics from January 1, 1999, to December 31,
2003, provided by the Centers for Disease Control and Prevention. RESULTS: The
incremental cost of prophylactic self-injectable epinephrine for mild childhood
venom anaphylaxis was $469,459 per year of life saved ($6,882,470 per death
prevented). In sensitivity analyses, the strategy was only cost-effective when
the annual venom-associated fatality rate exceeded 2 per 100,000 persons at risk.
CONCLUSION: Use of prophylactic self-injectable epinephrine to prevent fatalities
in children with mild venom anaphylaxis is not cost-effective if the annual venom
associated fatality rate is less than 2 per 100,000 persons at risk.
PMID- 18051213
TI - Food-induced anaphylaxis and repeated epinephrine treatments.
AB - BACKGROUND: Research on the use of more than 1 dose of epinephrine in the
treatment of food-induced anaphylaxis is limited. OBJECTIVE: To perform a medical
record review to examine the frequency of repeated epinephrine treatments in
patients presenting with food-induced anaphylaxis to the emergency department
(ED). METHODS: We reviewed 39 medical records of patients who presented with food
induced allergic reactions to the Massachusetts General Hospital ED during a 1
year period. The analysis focused on the timing of the onset of symptoms and on
the number of epinephrine treatments given before and during the ED visit.
RESULTS: Of the 39 patients, 34 had an acute food-induced allergic reaction.
Nineteen had anaphylaxis. Twelve patients with anaphylaxis (63%; 95% confidence
interval, 38%-84%) received at least 1 dose of epinephrine, and 3 (16%; 95%
confidence interval, 3%-40%) were given 2 doses. Although statistical analysis
was not possible, repeated epinephrine treatment occurred in patients with
anaphylaxis to peanut or tree nut and hypotension. There was no apparent
association between time from ingestion of the causative agent to epinephrine
treatment(s). CONCLUSIONS: Of patients presenting to the ED with food-induced
anaphylaxis, approximately 16% were treated with 2 doses of epinephrine. This
study supports the recommendation that patients at risk for food-induced
anaphylaxis carry 2 doses of epinephrine. Further study is needed to confirm
these results and to expand them to patients who do not present to the ED because
that group may have a lower frequency of epinephrine use.
PMID- 18051214
TI - Analysis of genetic defects in patients with the common variable immunodeficiency
phenotype in a single Taiwanese tertiary care hospital.
AB - BACKGROUND: Seven known genetic defects, including Bruton tyrosine kinase (Btk),
CD4OL, and signaling lymphocyte activation molecule-associated protein (SAP) (all
X-linked) and inducible costimulator molecule (ICOS), transmembrane activator and
calcium-modulator and cytophilin ligand interactor (TACI), B-cell-activating
factor of the tumor necrosis family receptor (BAFFR), and CD19 (all autosomal
recessive), were found in patients with the phenotype of common variable
immunodeficiency (CVID). OBJECTIVE: To investigate these 7 candidate protein
expressions and candidate gene sequences for comprehensive analysis of known
genetic defects in patients with CVID. METHODS: These 7 candidate protein
expressions were evaluated by flow cytometry or Western blot, and candidate genes
were evaluated by direct sequencing. RESULTS: Of 9 CVID patients from a single
Taiwanese tertiary care hospital, we identified 2 cousins with decreased Btk
expression who had a mutated (Asp521Val) kinase domain of Btk (1694A>T in exon
15) and 1 patient with decreased CD40L expression who had a mutated (Thr254Met)
extracellular domain of CD40L (782T>C in exon 5). CONCLUSION: This comprehensive
approach revealed that, in Taiwan, in some patients mild forms of X-linked
agammaglobulinemia and hyper-IgM syndrome caused the CVID phenotype. No mutations
of SAP, ICOS, TACI, BAFFR, and CD19 were identified in this study, although
selection bias among the small study population and genetic variation may exist.
PMID- 18051215
TI - Effect of sublingual administration with a native or denatured protein allergen
and adjuvant CpG oligodeoxynucleotides or cholera toxin on systemic T(H)2 immune
responses and mucosal immunity in mice.
AB - BACKGROUND: Sublingual immunotherapy has been recently used for allergic
diseases, but its mechanisms are still unclear. OBJECTIVE: To examine the effect
of sublingual administration of a native or denatured allergen alone or plus
adjuvant on systemic T(H)2 responses and mucosal immunity in mice. METHODS: Naive
or sensitized BALB/c mice were sublingually vaccinated biweekly for 3 weeks with
ovalbumin (OVA) or urea-denatured OVA (CM-OVA) only or plus adjuvant CpG
oligodeoxynucleotides (CpG) or cholera toxin (CT). Two weeks later, their
specific serum IgG, IgG1, IgG2a, IgE, and saliva secretory IgA (SIgA) antibody
responses and the cytokine profiles of spleen and cervical lymph node cells were
investigated. RESULTS: Specific SIgA antibody responses were induced by
vaccination with CM-OVA plus CpG or CT. Whereas vaccination with CM-OVA and CpG
enhanced T(H)1 responses but inhibited IgE production, vaccination with CT and CM
OVA or OVA increased cervical lymph node cell production of interleukin (IL) 4,
IL-5, and IL-6 and serum IgG1 antibody responses. In previously sensitized mice,
sublingual vaccination with OVA or CM-OVA plus CT or CpG stimulated mucosal SIgA
antibody responses, but did not enhance ongoing IgE antibody responses.
CONCLUSIONS: Sublingual vaccination with OVA or CM-OVA plus adjuvant CT or CpG
all can induce systemic and mucosal immunity, but CM-OVA plus CpG had the best
prophylactic and therapeutic effects on IgE antibody production. It is likely
that sublingual vaccines may have a role for the prophylaxis and immunotherapy of
allergic reactions.
PMID- 18051216
TI - Sublingual-swallow immunotherapy with standardized 3-grass pollen extract: a
double-blind, placebo-controlled study.
AB - BACKGROUND: Sublingual immunotherapy (SLIT) is accepted as a safe and effective
route for the treatment of grass pollen allergy, but clarification of its
clinical and biological efficacy requires more study. OBJECTIVE: To evaluate the
efficacy, safety, and compliance of SLIT with a standardized 3-grass pollen
extract in patients with grass pollen seasonal allergic rhinoconjunctivitis, with
or without mild asthma. METHODS: This multicenter, randomized, double-blind study
included 127 patients (aged 12-41 years; mean age, 24.9 years) with grass pollen
seasonal allergic rhinoconjunctivitis, with or without mild asthma. They received
either SLIT with a high-dose, standardized, 3-grass pollen extract or placebo for
10 months before and during the grass pollen season. The efficacy evaluation
compared weekly clinical scores (defined as the sum of the symptom score and
rescue medication score) to measure rhinoconjunctivitis and asthma for the first
8 weeks of the pollen season. We also evaluated safety and compliance and
measured changes in anti-Dactylis specific IgG4 antibody levels. RESULTS: There
was a trend in favor of the study group in the mean adjusted clinical score. The
groups were not comparable on inclusion (P = .02): the SLIT group included more
subjects with asthma and had a higher mean IgG4 serum level. Additional
exploration according to subgroups with and without asthma found that among the
patients without asthma, the SLIT group had a significantly better clinical score
(P = .045). Anti-Dactylis specific IgG4 levels increased significantly in the
SLIT group. CONCLUSION: SLIT with a standardized, high-dose, 3-grass pollen
extract is safe and significantly improves the clinical score in patients with
hay fever and without asthma during the pollen season.
PMID- 18051217
TI - Assessment and clinical interpretation of polysaccharide antibody responses.
AB - This second article in the miniseries Practical Aspects of Ambulatory Diagnosis
and Management of Immunodeficiency Disorders' extends the discussion on
evaluation of individuals with suspected humoral immunodeficiency by reviewing
the logistics and interpretation of the patient's ability to produce antibodies
to polysaccharide antigens, specifically pneumococcal surface polysaccharides.
The response to these polysaccharides is important in the evaluation of patients
with documented immune abnormalities and those individuals who have normal total
immunoglobulin levels. Although profound immune deficiencies, such as X-linked
agammaglobulinemia and severe combined immunodeficiency, are always associated
with a defect in specific antibody production, some immune disorders may have
variable responses, whereas others with persistent IgG or IgG subclass
deficiencies may have normal or clearly abnormal antipolysaccharide antibodies.
Measurement of the response to pneumococcal polysaccharides is preferred because
of the availability of a pure polysaccharide vaccine for antigen challenge and
standardized techniques to measure specific antibody responses.
PMID- 18051218
TI - Hypersensitivity pneumonitis as a cause of airway-centered interstitial fibrosis.
AB - BACKGROUND: Airway-centered interstitial fibrosis (ACIF) has been postulated to
be related to environmental exposures. OBJECTIVE: To describe a patient with ACIF
associated with hypersensitivity pneumonitis. METHODS: We evaluated a patient
with a 2-year history of progressive dyspnea and exercise intolerance. We
performed computed tomography, pulmonary function tests, and skin prick tests.
RESULTS: The patient's computed tomogram suggested hypersensitivity pneumonitis.
Pulmonary function testing demonstrated a restrictive pattern. Results of skin
prick tests to chicken, goose, canary, and budgie were negative. However, serum
precipitins were positive to serum from pigeon, goose, duck, and chicken
feathers. The patient was diagnosed as having ACIF. CONCLUSION: We believe that
ACIF may represent a final common pathway for lung injury due to environmental
exposure.
PMID- 18051220
TI - Carboplatin desensitization.
PMID- 18051219
TI - Radiocontrast-induced anaphylaxis despite pretreatment and use of iso-osmolar
contrast.
PMID- 18051221
TI - Response to "Allergist report: America faces an allergy/asthma crisis".
PMID- 18051222
TI - [Quality of care in diabetic patients receiving pharmacologic treatment].
AB - Diabetes mellitus is a chronic disease with an increasing prevalence. Appropriate
treatment of the disease and prevention of chronic complications reduce morbidity
and mortality in a cost-effective manner. These actions should be measured
through the use of validated indicators for quality of care. The goal of this
study was to assess the quality of care in diabetic patients under pharmacologic
treatment in a private university hospital. A retrospective study was conducted
in adult patients who bought insulin or oral hypoglycemic agents during a 3 month
period; demographic and clinical data were obtained for 12 consecutive months
following the buying period. The study included 305 adult patients; most were
males (60%), with type 2 diabetes (95%), and using oral hipoglycemic agents
(86%). Control of blood pressure was registered in 80%, foot exam in 5%, eye exam
in 27%, HbA1C blood level in 85%, complete lipid profile in 82%, microalbuminuria
in 27% and creatinine clearance in 22% of patients, respectively. Mean values
were HbA1C 7.1(+/- 1.6)%, and < or = 7% in 66%, LDL 113 (+/- 33.6) mg/dl and <100
mg/dl in 30%, BP 136-79 mm Hg and < 130-80 mm Hg in 46% of patients,
respectively. This study emphasizes the need for quality of care assessment
through validated indicators and points out the aspects that should be improved
within a health care system.
PMID- 18051223
TI - [Association between hip fractures and risk factors for osteoporosis.
Multivariate analysis].
AB - In this observational, case-control study, 376 inpatients were evaluated in order
to determine the association of risk factors (RF) and hip fracture; 151 patients
had osteoporotic hip fracture (cases); the remaining were controls. Data were
obtained from medical charts, and through a standardized questionnaire about RF.
Mean age of the sample (+/- SD) was 80.6 +/- 8.1 years, without statistically
significant difference between cases and controls; the female:male ratio was 3:1
in both groups. Fractured women were older than men (82.5 +/- 8.1 vs. 79.7 +/-
7.2 years, respectively; p < 0.01). Physical activity, intake of alcohol and
tobacco, and sun exposure were low in all patients. Falls among cases happened
predominantly at home (p < 0.001). Among female cases, time spent in household
duties was a RF (p = 0.007), which was absent in males. In multivariate analysis,
the following RF were significantly more frequent: Cognitive impairment (p =
0.001), and previous falls (p < 0.0001); whereas the following protective factors
were significantly different from controls: Calcium intake during youth (p <
0.0001), current calcium intake (p < 0.0001), and mechanical aid for walking (p <
0.0001). Evaluation of RF and protective factors may contribute to diminish the
probability of hip fracture, through a modification of personal habits, and
measures to prevent falls among elderly adults. Present information can help to
develop local and national population-based strategies to diminish the burden of
hip fractures for the health system.
PMID- 18051224
TI - [Lung involvement in systemic sclerosis].
AB - The objective of this study was to determine clinical predictors of interstitial
lung disease in patients with systemic sclerosis (SSc) and pulmonary involvement
as defined by presence of a decreased diffusing capacity for carbon monoxide
(DLCO). Forty subjects with SSc were retrospectively evaluated. Patients were
categorized according to their level of DLCO (< o > or = 80% of predicted).
Sensitivity of dyspnea to detect a decreased DLCO was 46.6% and specificity 90%,
whereas oxygen desaturation showed a sensitivity of 71.4% and a specificity of
80%. Patients with decreased DLCO (n = 18) were not different in age (51.1 +/-
13.5 vs. 53.5 +/- 9.3 y, p = 0.5182), sex (male 13.6%, p = 0.6088), prevalence of
Raynaud (86.6% vs. 85%, p = 0.6272), sicca syndrome (6.2% vs. 10.5% p = 1.0000)
diffuse cutaneous involvement (94.1% vs. 83.3%, p = 0.6026) or esophageal
dilatation. The duration of symptoms since diagnosis was no different. Prevalence
of pulmonary hypertension assessed by Doppler echocardiography or abnormal
nailfold capillaroscopic findings were identical in both populations. Patients
with low DLCO had a significatly higher prevalence of anti topoisomerase
antibodies. (5/9 vs. 0/11, p = 0.0081) and restrictive lung disease. Patients
with low DLCO showed a significantly higher prevalence of abnormal HRCT findings
suggestive of ILD (82.3% vs. 5.8%, p < or = 0.0001). We conclude that a low DLCO
is a frequent finding in SSc patients, strongly associated with HRCT signs of
ILD. We have not found clinical factors predictive for a low DLCO.
PMID- 18051225
TI - Is tumor necrosis factor-376A promoter polymorphism associated with
susceptibility to multiple sclerosis?
AB - A single nucleotide polymorphism (SNP) at position -376 of the tumor necrosis
factor alpha gene (TNFA) has been associated with susceptibility to multiple
sclerosis (MS) in Spain. However, no association was found in populations from
the USA and The Netherlands. Here we investigate the association between the TNFA
376A SNP and MS susceptibility in Argentinean patients with MS. The A/G genotype
was found in 4.4% of patients (n=90) and in 4.8% of healthy individuals (n=84;
p=0.92; odds ratio=0.93; confidence interval: 0.23-3.84). Thus, no significant
differences in genotype and allele frequencies were found between healthy
individuals and patients with MS in Argentina.
PMID- 18051226
TI - Effect of hypercortisolism control on high blood pressure in Cushing's syndrome.
AB - Many hypertensive patients affected by endogenous Cushing's syndrome (CS) persist
with high blood pressure (HBP) despite good control of cortisol excess. We
assessed the effect of preoperative ketoconazole administration and of definitive
treatment of CS on arterial hypertension and analysed the factors involved in the
persistence of hypertension. We assessed retrospectively 71 patients with CS and
HBP (60 women, 11 men; 50 pituitary, 21 adrenal) successfully treated by surgery
and/or radiotherapy; 19 of them received ketoconazole (KNZ) before surgery. After
treatment, patients were divided into those with persistent high blood pressure
(PHBP) and those with normal blood pressure (NBP). As possible predictive factors
for PHBP we analysed age, duration and family history of HBP, pre-treatment 24
hour urinary free cortisol (24h-UFC) and body mass index (BMI). HBP normalized in
53 out of 71 patients (74.6%), regardless of the origin of Cushing's syndrome.
PHBP patients were older (p=0.003), had longer duration (p=0.007) and higher
systolic blood pressure before treatment (p=0.046) than NBP patients. Thirteen
out of 19 patients (68.4%) treated with ketoconazole, normalized their
hypertension and remained normotensive after successful surgery. Five patients
became normotensive only after surgery. IN CONCLUSION: a) blood pressure levels
normalized in most patients after remission of CS; b) ketoconazole was effective
for the Control of HBP and seems to be a good indicator of post-surgical outcome,
and c) higher age at presentation, longer duration of hypertension and higher
systolic blood pressure figures before treatment negatively influence
normalization of blood pressure after resolution of Cushing's syndrome.
PMID- 18051227
TI - Dual renin-angiotensin system blockade plus oral methylprednisone for the
treatment of proteinuria in IgA nephropathy.
AB - Renin-angiotensin system inhibition is a widely accepted approach to initially
deal with proteinuria in IgA nephropathy, while the role of immunosuppressants
remains controversial in many instances. A prospective, uncontrolled, open-label
trial was undertaken in patients with biopsy-proven IgA nephropathy with
proteinuria > 0.5 g/day and normal renal function to assess the efficacy of a
combination treatment of angiotensin converting enzyme inhibitors plus
angiotensin receptor blockers enalapril valsartan coupled with methylprednisone
to decrease proteinuria to levels below 0.5 g/day. Twenty patients were included:
Age 37.45 +/- 13.26 years (50% male); 7 patients (35%) were hypertensive;
proteinuria 2.2 +/- 1.86 g/day; serum creatinine 1.07 +/- 0.29 mg/dl; mean follow
up 60.10 +/- 31.47 months. IgA nephropathy was subclassified according to Haas
criteria. Twelve patients (60%) were class II; seven (35%) were class III and one
(5%) class V. All patients received dual renin-angiotensin system blockade as
tolerated. Oral methylprednisone was started at 0.5 mg/kg/day for the initial 8
weeks and subsequently tapered bi-weekly until the maintenance dose of 4 mg was
reached. Oral steroids were discontinued after 24 weeks (6 months) of therapy but
renin-angiotensin inhibition remained unchanged. At 10 weeks of therapy
proteinuria decreased to 0.15 +/- 0.07 g/day (P < 0.001) while serum creatinine
did not vary: 1.07 +/- 0.28 mg/dl (P = ns). After a mean follow-up of 42.36 +/-
21.56 months urinary protein excretion (0.12 +/- 0.06 g/day) and renal function
(serum creatinine 1.06 +/- 0.27 mg/dl) remained stable. No major side effects
were reported during the study. Renin-angiotensin blockade plus oral steroids
proved useful to significantly decrease proteinuria to < 0.5 g/day in patients
with IgA nephropathy without changes in renal function.
PMID- 18051228
TI - Erythrocyte membrane, plasma and atherosclerotic plaque lipid pattern in coronary
heart disease.
AB - The objective was to analyze the lipid composition of the atherosclerotic plaque
(AP), plasma and erythrocyte membrane (EM) in patients with advanced coronary
heart disease (CHD). AP were obtained through endarterectomy in 18 patients. Ten
normolipemic healthy subjects were selected to obtain the normal lipid pattern
profile. Total lipids of AP and EM were determined by HPTLC, and the fatty acid
profile from AP, EM and plasma using TLC-FID. The relative amount of the lipid
species analyzed in AP was in line with the data in the literature
[phospholipids: 23.5 mol% +/- 3.5; total cholesterol 68.9 mol% +/- 7.9;
triglyceride 7.6 mol% +/- 3.4]. Plasma and EM from CHD patients compared to
controls, showed a decrease in polyunsaturated fatty acids and an increase in
saturated fatty acids leading to a decrease in the unsaturation index (plasma:
1.67 +/- 0.06 vs. 1.28 +/- 0.03, P < 0.05; EM: 2.28 +/- 0.04 vs. 1.25 +/- 0.010,
P < 0.05) and an enhancement in the saturated/unsaturated ratio (plasma: 0.35 +/-
0.02 vs. 0.52 +/- 0.02, P < 0.05; EM: 0.45 +/- 0.01 vs. 0.83 +/- 0.04, P < 0.05).
These data are consistent with an essential fatty acid deficiency. Total
cholesterol was increased in the CHD's EM (32.3 +/- 0.8 vs. 40.6 +/- 2.5, P <
0.05) with a decrease in phospholipid percentage (67.7 +/- 0.7 vs. 59.4 +/- 2.6,
P < 0.05) indicating an alteration in membrane fluidity. These findings suggest
changes in EM lipids in CHD patients in spite of different pathological
conditions such as age, smoking status and diabetes. The analysis of the lipid
composition of EM could provide a useful tool to monitor the evolution of the
CHD.
PMID- 18051229
TI - [Behavior of Argentine lymphocytic choriomeningitis virus strains in rodents].
AB - The activity of LCM virus was first reported in Argentina at the beginning of the
seventies and only five strains have been isolated from rodents Mus domesticus
and two from humans. The objective of this paper was to find differential
biological characteristics of Argentine strains of LCM virus comparing them in
relation to the historical strains WE and Armstrong. Regarding the results
obtained in tissue culture, when L 929 cells were used, plaque forming units
(PFU) were obtained with human and mouse strains, whilst on Vero cells only human
strains developed PFU. Differentials characteristics of historical and Argentine
strain's plates were not found, neither differences related to the strain's
origin. Neither historical nor Argentine strains were lethal to new-born mice
giving a persistent infection, that was demonstrated when we inoculated new-born
mouse by intracranial route with different strains of LCM virus and virus was
isolated from brains harvested at different days post inoculation. The only
exception was Cba An 13065 strain that exhibited virulence in new-born mice, only
with 0.026 PFU was obtained 1 DL50. All the strains resulted lethal to adult
mice. The mouse strains were more virulent than human strains, being Cba An 13065
the most virulent. These results demonstrate a different behavior in tissue
culture between human and mouse strains and allow the identification of virulence
markers by intracranial inoculation into new-born or adult mice.
PMID- 18051230
TI - [RAC3 nuclear receptor co-activator has a protective role in the apoptosis
induced by different stimuli].
AB - RAC3 belongs to the family of p160 nuclear receptors coactivators and it is over
expressed in several tumors. We have previously shown that RAC3 is a NF-kappaB
coactivator. In this paper, we investigated the role of RAC3 in cell-sensitivity
to apoptosis, using H2O2 in the human embryonic kidney cell line (HEK293), and
tumor necrosis factor-related apoptosis inducing ligand (TRAIL) in a human
chronic myeloid leukemia cell line (K562) naturally resistant to TRAIL. We
observed that the tumoral K562 cells have high levels of RAC3 if compared with
the non-tumoral HEK293 cells. The normal or transfected coactivator over
expression inhibits apoptosis through a diminished caspase activity and AIF
nuclear translocation, increased NF-kappaB, AKT and p38, and decreased ERK
activities. In contrast, inhibition of RAC3 by siRNA induced sensitivity of K562
to TRAIL-induced apoptosis. Such results suggest that over-expression of RAC3
contributes to tumor development through molecular mechanisms that do not depend
strictly on acetylation and/or steroid hormones, which control cell death. This
could be a possible target for future tumor therapies.
PMID- 18051231
TI - [Systemic inflammation and experimental cancer in a murine model].
AB - The link between cancer and inflammation in an organ or tissue has firmly been
established on the basis that cancer tends to occur at sites of chronic
inflammation and that local inflammatory processes can accelerate the growth of
preexisting tumors in both animals and human beings. In contrast, the
relationship between cancer and systemic inflammation has been less studied. In
this work, we demonstrated that the growth of the murine fibrosarcoma MC-C, was
accompanied by manifestations of systemic inflammation, as demonstrated by an
increase in both the number of circulating polymorphonuclear neutrophils (PMN)
and the serum concentration of the proinflammatory cytokines interleukin-1beta
(IL-1beta), interleukin-6 (IL-6) and tumor necrosis factor-alpha (TNF-alpha) and
the acute phase proteins C reactive (CRP) and serum A amyloid (SAA). Two
temporally separate peaks of systemic inflammation were detected during tumor
development. The first was displayed during the first week after tumor
inoculation. The second peak began around day 14 and its intensity was
proportional to tumor size. In mice bearing a large MC-C tumor, a high number of
circulating PMN and myeloid precursors were evident. Most of these cells
exhibited activation evidenced by an increased reactive oxygen species generation
and high expression of the Gr1+/Mac1+ markers. Inoculation of thioglycolate
which generates a transient systemic inflammation-accelerated the growth of MC-C
tumor and reciprocally, inhibition of such systemic inflammation by using
indomethacin, prevented that enhancing effect. This suggests that the systemic
inflammation that the tumor generates on its own, could be part of its growth
strategy.
PMID- 18051232
TI - [Pheochromocytoma associated with von Recklinghausen neurofibromatosis].
AB - A pheochromocytoma is an adrenal gland tumor that secretes epinephrine and
norepinephrine hormones, and is responsible for regulating heart rate and blood
pressure, among other functions. The condition can occur alone or in combination
with other disorders, and genetic and environmental factors play a key role.
Neurofibromatosis-1 (NF-1) an inherited "autosomal dominant" disorder is one of
the most common genetic disorders, characterized by formation of neurofibromas
(tumors involving nerve tissue) in the skin, subcutaneous tissue, cranial and
spinal root nerves. NF1 generally is diagnosed by physical examination. There is
no cure for NF1, but there are ways to treat some of its effects.
Neurofibromatosis arterial hypertension caused by pheochromocytoma is extremely
rare, less frecuent than 1% in childrens less than 10 years old, and young
adults. We present a case of an extremely infrequent association between
neurofibromatosis and a pheochromocytoma in a young woman with a newly diagnosed
hypertension. We discuss the underlying pathophysiological mechanisms and
clinical implications.
PMID- 18051233
TI - [Frey syndrome secondary to submaxillectomy and botulinic treatment].
AB - A case of Frey syndrome (FS) secondary to submaxillar gland exeresis is presented
and the results of the treatment with botulinum toxin (BTX) type A. FS is a
condition of sweating cheek and preauricular area during realtime as a sequel
detected in about 20-60% of patients after parotidectomy. The clinical symptoms
include swelling, flushing and hyperhidrosis. The treatment choice for this
condition is intracutaneous injection of BTX type A which blocks acetylcholine
release at the sweat glands. A 30-year-old man, with thyroid medullar carcinoma
diagnosed in 2002 received 6 cicles of cisplatin plus doxorubicin previous to the
thyroidectomy with anterolateral neck dissection. During surgery the left ramus
marginalis mandibulae was damaged. Two years later the patient referred sweating
in submaxillar region during meals. CT scan demonstrated the absence of left
submaxillar gland. Minor's test disclosed the affected area and BTX type A was
injected (2.5 U/cm2/17 points). A twenty-one-day control showed a 95% reduction
of the affected skin area. Persistent efficacy was observed up to one year follow
up time when he was reinjected. The FS, also known as "gustatory hyperhidrosis",
was probably first reported by M. Duphenix in 1757. Lucja Frey considered its
physiopathology as a disorder of both sympathetic and parasympathetic
innervation. In our case the FS was caused by a misdirected regeneration of
postganglionic parasympathetic nerve fibers that arrised from the nervus
lingualis rami ganglionares of the nervus trigeminus. After nerve injury the
colinergic parasympathetic fibers seek out colinergic receptors--sympathetic
receptors of the skin--innervating sweat glands and small skin vessels. All
previous cases were located at masseter region post-parotidectomy. We have not
found any description of FS in the submaxillary region. The self-assessed
efficacy of the treatment with a hyperhidrosis disease severity scale revealed a
very satisfied patient at 20 months follow-up after being injected twice with BTX
type A.
PMID- 18051234
TI - [Emergency contraception with levonorgestrel].
AB - Emergency contraception may avoid pregnancy after unprotected intercourse or when
regular contraceptive measures fail. Levonorgestrel, a synthetic gestagen, is
recommended for emergency contraception as a single 1.5-mg dose or,
alternatively, two 0.75-mg doses taken 12 h apart. Its efficacy is moderate,
preventing about 80% of pregnancies. Efficacy is higher the earlier after
unprotected intercourse the drug is taken, but it may be administered up to 5
days post-coitum. Tolerance is similar to, or better than, those of other oral
emergency contraceptives. Adverse effects include nausea, vomiting, headache,
breast tenderness and transient alteration of menstrual bleeding pattern. It is
not known whether levonogestrel increases the risk of ectopic pregnancy when the
treatment fails. Its use as an ongoing contraceptive method is discouraged. When
given before the preovulatory LH peak, levonorgestrel blocks or delays ovulation.
It may also affect sperm migration in the female reproductive tract and have an
effect on fertilization. Although it has been often postulated, there is no
evidence for an anti-implantatory effect. Acquaintance with the method is quite
variable among different societies, but it remains underutilized even where it is
well known. Advance provision of the drug has been proposed as a way to promote
its use. In clinical trials, advance provision did not adversely modify sexual or
regular contraceptive behavior, but it did not reduce pregnancy or abortion rate
either. Therefore, emergency contraception with levonorgestrel should be regarded
as a backup method which is not a substitute for the continued use of more
effective contraceptive methods.
PMID- 18051236
TI - [Court intervention in medical decisions and the generation of new conflicts].
AB - In the last decades, medical decisions are increasingly submitted to the courts
of law for a number of reasons, also in our country. The various causes and
different issues involved in such proceedings require comments referred to the
possibility of causing an additional conflict to that which the courts of law are
in theory required to address. In that context, this paper explores the repeated
cases in which, due to the lack of positive legislation on a given issue, or due
to different interpretations of existing legislation, or for lack of
understanding of scientific progress or medical facts, defensive medicine finds
its way to the detriment of society as a whole. In many other cases, fearful
inexplicable attitudes of the doctors involved result in a transfer of
exclusively medical decisions to the courts of law. This attitude contributes to
the dismantling of a profession such as medicine, which is already subject to the
difficult context of a variety of health systems that fail to sufficiently comply
with their obligation of taking care of a community that needs and supports them.
PMID- 18051235
TI - [Regulatory T cells and their influence in kidney allograft survival].
AB - The immune response elicited by an allogenic transplant usually leads to an
effector response resulting in allograft rejection; however, some individuals
maintain a long-term functioning transplant without signs of rejection
(operational tolerance) even in the absence of immunosuppression. It has been
suggested that the same mechanisms are responsible for tolerance to self-antigens
and alloantigens. One of such mechanisms is immune regulation and several cell
subsets with regulatory properties have been identified. Among them, the best
characterized cell populations are the regulatory T cells (Treg). Although Treg
in mice are CD4+CD25+, in humans the Treg phenotype is restricted to CD4 T cells
with high expression of CD25 (CD25high) and Foxp3. Phenotypic and functional
analysis of circulating regulatory or suppressor T cells in transplant patients
may be useful for detection of operationally tolerant patients. Moreover, future
in vitro manipulation of these cells with therapeutic purposes could lead to
accomplish induction of in vivo tolerance in clinical transplantation. Herein, we
review the experimental and clinical evidence for the role of regulatory cells in
transplant biology.
PMID- 18051237
TI - [Cells and transistors].
PMID- 18051238
TI - [Lymphangioleiomyomatosis and translational medicine].
PMID- 18051239
TI - [Generational transformation of biomedical investigation].
PMID- 18051240
TI - [Intestinal histoplasmosis in an HIV positive patient].
PMID- 18051241
TI - [Penicillin allergy: real or consequence of?].
PMID- 18051242
TI - Medical and technical protocol for automatic navigation of a wireless device in
the carotid artery of a living swine using a standard clinical MRI system.
AB - A 1.5 mm magnetic sphere was navigated automatically inside the carotid artery of
a living swine. The propulsion force, tracking and real-time capabilities of a
Magnetic Resonance Imaging (MRI) system were integrated into a closed loop
control platform. The sphere was released using an endovascular catheter
approach. Specially developed software is responsible for the tracking,
propulsion, event timing and closed loop position control in order to follow a 10
roundtrips preplanned trajectory on a distance of 5 cm inside the right carotid
artery of the animal. Experimental protocol linking the technical aspects of this
in vivo assay is presented. In the context of this demonstration, many challenges
which provide insights about concrete issues of future nanomedical interventions
and interventional platforms have been identified and addressed.
PMID- 18051243
TI - [The Hisayama study from the viewpoint of gerentology].
PMID- 18051244
TI - [Tumor-suppressing role of cellular senescence and chromatin structure].
PMID- 18051245
TI - Abstracts of the 9th International Workshop on Adverse Drug Reactions and
Lipodystrophy in HIV, 19-21 July 2007, Sydney, Australia.
PMID- 18051246
TI - Why theory matters.
PMID- 18051247
TI - Bibliography. Current world literature. Gastrointestinal hormones.
PMID- 18051248
TI - Bibliography. Current world literature. Neuroendocrinology.
PMID- 18051249
TI - Bibliography. Current world literature. Thyroid.
PMID- 18051250
TI - Abstracts of the 19th Annual Symposium of Transcatheter Cardiovascular
Therapeutics, October 20-25, 2007, Washington, DC, USA.
PMID- 18051251
TI - [News about restless legs syndrome].
PMID- 18051252
TI - The corrected values for duration and frequency of angina at baseline in the
clinical outcomes utilizing revascularization and aggressive drug evaluation
trial.
PMID- 18051253
TI - Bibliography. Current world literature. Reproductive endocrinology.
PMID- 18051254
TI - [Yes to warning illustrations on tobacco products].
PMID- 18051255
TI - [Debate about the indication for neurophysiologic examination in upper extremity
pain].
PMID- 18051256
TI - Bibliography. Current world literature. Raynaud phenomenon, scleroderma, overlap
syndromes and other fibrosing syndromes.
PMID- 18051257
TI - Bibliography. Current world literature. Infectious arthritis and immune
dysfunction.
PMID- 18051258
TI - [Future hospital services].
PMID- 18051259
TI - "A more enlightened approach?" Venereal disease legislation in post World War II
Australia.
PMID- 18051260
TI - [Names as bodily signs: the example of Yemeni women of nobility].
PMID- 18051261
TI - Madness and colonization: psychiatry in the British and French Empires, 1800
1962.
PMID- 18051262
TI - Urchins, loafers and the cult of the cowboy: urbanization and delinquency in Dar
es Salaam, 1919-61.
PMID- 18051263
TI - Hospital emergency on-call coverage: is there a doctor in the house?
AB - The nation's community hospitals face increasing problems obtaining emergency on
call coverage from specialist physicians, according to findings from the Center
for Studying Health System Change's (HSC) 2007 site visits to 12 nationally
representative metropolitan communities. The diminished willingness of specialist
physicians to provide on-call coverage is occurring as hospital emergency
departments confront an ever-increasing demand for services. Factors influencing
physician reluctance to provide on-call coverage include decreased dependence on
hospital admitting privileges as more services shift to non-hospital settings;
payment for emergency care, especially for uninsured patients; and medical
liability concerns. Hospital strategies to secure on-call coverage include
enforcing hospital medical staff bylaws that require physicians to take call,
contracting with physicians to provide coverage, paying physicians stipends, and
employing physicians. Nonetheless, many hospitals continue to struggle with
inadequate on-call coverage, which threatens patients' timely access to high
quality emergency care and may raise health care costs.
PMID- 18051264
TI - Bacillus thuringiensis as a specific, safe, and effective tool for insect pest
control.
AB - Bacillus thuringiensis (Bt) was first described by Berliner [10] when he isolated
a Bacillus species from the Mediterranean flour moth, Anagasta kuehniella, and
named it after the province Thuringia in Germany where the infected moth was
found. Although this was the first description under the name B. thuringiensis,
it was not the first isolation. In 1901, a Japanese biologist, Ishiwata
Shigetane, discovered a previously undescribed bacterium as the causative agent
of a disease afflicting silkworms. Bt was originally considered a risk for
silkworm rearing but it has become the heart of microbial insect control. The
earliest commercial production began in France in, 1938, under the name Sporeine
[72]. A resurgence of interest in Bt has been attributed to Edward Steinhaus
[105], who obtained a culture in 1942 and attracted attention to the potential of
Bt through his subsequent studies. In 1956, T. Angus [3] demonstrated that the
crystalline protein inclusions formed in the course of sporulation were
responsible for the insecticidal action of Bt. By the early 1980's, Gonzalez et
al. [48] revealed that the genes coding for crystal proteins were localized on
transmissible plasmids, using a plasmid curing technique, and Schnepf and
Whiteley [103] first cloned and characterized the genes coding for crystal
proteins that had toxicity to larvae of the tobacco hornworm, from plasmid DNA of
Bt subsp. kurstaki HD-1. This first cloning was followed quickly by the cloning
of many other cry genes and eventually led to the development of Bt transgenic
plants. In the 1980s, several scientists successively demonstrated that plants
can be genetically engineered, and finally, Bt cotton reached the market in 1996
[104].
PMID- 18051265
TI - Microbial community profiling in cis- and trans-dichloroethene enrichment systems
using denaturing gradient gel electrophoresis.
AB - The effective and accurate assessment of the total microbial community diversity
is one of the primary challenges in modem microbial ecology, especially for the
detection and characterization of unculturable populations and populations with a
low abundance. Accordingly, this study was undertaken to investigate the
diversity of the microbial community during the biodegradation of cis- and trans
dichloroethenes in soil and wastewater enrichment cultures. Community profiling
using PCR targeting the 16S rRNA gene and denaturing gradient gel electrophoresis
(PCR-DGGE) revealed an alteration in the bacterial community profiles with time.
Exposure to cis- and trans-dichloroethenes led to the disappearance of certain
genospecies that were initially observed in the untreated samples. A cluster
analysis of the bacterial DGGE community profiles at various sampling times
during the degradation process indicated that the community profile became stable
after day 10 of the enrichment. DNA sequencing and phylogenetic analysis of
selected DGGE bands revealed that the genera Acinetobacter, Pseudomonas,
Bacillus, Comamonas, and Arthrobacter, plus several other important uncultured
bacterial phylotypes, dominated the enrichment cultures. Thus, the identified
dominant phylotypes may play an important role in the degradation of cis- and
trans-dichloroethenes.
PMID- 18051266
TI - Production and characterization of monoclonal and recombinant antibodies against
antimicrobial sulfamethazine.
AB - A monoclonal antibody (mab) against the antimicrobial sulfamethazine was prepared
and characterized by an indirect competitive enzyme-linked immunosorbent assay
(IC-ELISA). Sulfamethazine in the range of 0.2 and 45 ng/ml could be determined
with the mab by IC-ELISA. cDNAs encoding a variable heavy chain and variable
light chain of the mab were cloned to produce recombinant antibodies using phage
display technology. Following phage rescue and three rounds of panning, a single
chain variable fragment (scFv) antibody with high sulfamethazine-binding affinity
was obtained. ELISA analysis revealed that scFv antibody and parent mab showed
similar, but not identical, characteristics. The IC50 value by IC-ELISA with scFv
antibody was 4.8 ng/ml, compared with 1.6 ng/ml with the parent mab. Performances
of the assays in the presence of milk matrix were compared; the mab-based assay
was less affected than the scFv-based assay. Sixty milk samples were analyzed by
mab-based IC-ELISA, and four samples were sulfamethazine positive; these results
were favorably correlated with those obtained by HPLC.
PMID- 18051267
TI - Expression of recombinant human growth hormone in a soluble form in Escherichia
coli by slowing down the protein synthesis rate.
AB - Formation of inclusion bodies is usually observed when foreign proteins are
overexpressed in E. coli. The formation of inclusion bodies might be prevented by
lowering the rate of protein synthesis, and appropriate regulation of the protein
expression rate may lead to the soluble expression. In this study, human growth
hormone (rhGH) was expressed in a soluble form by slowing down the protein
synthesis rate, which was controlled in the transcriptional and translational
levels. The transcriptional level was controlled by the regulation of the amount
of RNA polymerase specific to the promoter in front of the rhGH gene. For
lowering the rate of translation, the T7 transcription terminator-deleted vector
was used to synthesize the longer mRNA of the target gene because the longer mRNA
is expected to reduce the availability of free ribosomes. In both methods, the
percentage of soluble expression increased when the expression rate slowed down,
and more than 93% of rhGH expressed was a soluble form in the T7 transcription
terminator-deleted expression system.
PMID- 18051268
TI - Inhibition of seed germination and induction of systemic disease resistance by
Pseudomonas chlororaphis O6 requires phenazine production regulated by the global
regulator, gacS.
AB - Seed coating by a phenazine-producing bacterium, Pseudomonas chlororaphis O6,
induced dose-dependent inhibition of germination in wheat and barley seeds, but
did not inhibit germination of rice or cucumber seeds. In wheat seedlings grown
from inoculated seeds, phenazine production levels near the seed were higher than
in the roots. Deletion of the gacS gene reduced transcription from the genes
required for phenazine synthesis, the regulatory phzI gene and the biosynthetic
phzA gene. The inhibition of seed germination and the induction of systemic
disease resistance against a bacterial soft-rot pathogen, Erwinia carotovora
subsp. carotovora, were impaired in the gacS and phzA mutants of P chlororaphis
O6. Culture filtrates of the gacS and phzA mutants of P chlororaphis 06 did not
inhibit seed germination of wheat, whereas that of the wild-type was inhibitory.
Our results showed that the production of phenazines by P chlororaphis O6 was
correlated with reduced germination of barley and wheat seeds, and the level of
systemic resistance in tobacco against E. carotovora.
PMID- 18051269
TI - Abridged region from Escherichia coli periplasmic stress sensor DegS acts as
plasminogen activator in vitro.
AB - It is well known that the Escherichia coli inner membrane-bound protease DegS is
a periplasmic stress sensor for unfolded outer membrane proteins (OMPs). Previous
studies have also shown that the outer membrane protease OmpT activates
plasminogen in vitro and this may be exploited by bacteria in the course of
pathogenesis. However, there has been no research on the plasminogen activation
ability of the important periplasmic protein DegS. Accordingly, in this study,
the whole-length and truncated degS genes were separately overexpressed in
Escherichia coli, the recombinant proteins purified by affinity chromatography,
and their plasminogen activator role tested in vitro. The results suggested that
the whole-length DegS was able to activate plasminogen on a plasma plate. The
truncated form of DegS (residues 80-345), designated delta DegS, also acted as a
plasminogen activator, as confirmed by different assays. The serine protease
property of delta DegS was verified based on the complete inhibition of its
enzyme activity by PMSF (phenylmethanesulfonyl fluoride). Therefore, the present
results indicate that DegS is a plasminogen activator in vitro.
PMID- 18051270
TI - Effect of ionic liquid on the kinetics of peroxidase catalysis.
AB - The effect of a water-miscible ionic liquid, 1-butyl-3-methylimidazolium
tetrafluoroborate ([BMIM][BF4]), on the horseradish peroxidase (HRP)-catalyzed
oxidation of 2-methoxyphenol (guaiacol) with hydrogen peroxide (H2O2) was
investigated. HRP maintains its high activity in the aqueous mixtures containing
various concentrations of the ionic liquid and even in 90% (v/v) ionic liquid. In
order to minimize the effect of solution viscosity on the kinetic constants of
HRP catalysis, the enzymatic reactions in the subsequent kinetic study were
performed in water-ionic liquid mixtures containing 25% (v/v) ionic liquid at
maximum. As the concentration of [BMIM][BF4] increased for the oxidation of
guaiacol by HRP, the K(m) value increased with a slight decrease in the k(cat)
value: The K(m) value increased from 2.8 mM in 100% (v/v) water to 22.5 mM in 25%
(v/v) ionic liquid, indicating that ionic liquid significantly weakens the
binding affinity of guaiacol to HRP.
PMID- 18051271
TI - Cloning, expression, and characterization of a cold-adapted lipase gene from an
antarctic deep-sea psychrotrophic bacterium, Psychrobacter sp 7195.
AB - A psychrotrophic strain 7195 showing extracellular lipolytic activity towards
tributyrin was isolated from deep-sea sediment of Prydz Bay and identified as a
Psychrobacter species. By screening a genomic DNA library of Psychrobacter sp.
7195, an open reading frame of 954 bp coding for a lipase gene, lipA1, was
identified, cloned, and sequenced. The deduced LipA1 consisted of 317 amino acids
with a molecular mass of 35,210 kDa. It had one consensus motif, G-N-S-M-G
(GXSXG), containing the putative active-site serine, which was conserved in other
cold-adapted lipolytic enzymes. The recombinant LipA1 was purified by column
chromatography with DEAE Sepharose CL-4B, and Sephadex G-75, and preparative
polyacrylamide gel electrophoresis, in sequence. The purified enzyme showed
highest activity at 30 degrees C, and was unstable at temperatures higher than 30
degrees C, indicating that it was a typical cold-adapted enzyme. The optimal pH
for activity was 9.0, and the enzyme was stable between pH 7.0-10.0 after 24 h
incubation at 4 degrees C. The addition of Ca2+ and Mg2+ enhanced the enzyme
activity of LipA1, whereas the Cd2, Zn2+, Co2+, Fe3+, Hg2+, Fe2+, Rb2+, and EDTA
strongly inhibited the activity. The LipA1 was activated by various detergents,
such as Triton X-100, Tween 80, Tween 40, Span 60, Span 40, CHAPS, and SDS, and
showed better resistance towards them. Substrate specificity analysis showed that
there was a preference for trimyristin and p-nitrophenyl myristate (C14 acyl
groups).
PMID- 18051272
TI - Immunogenicity and safety of Vi capsular polysaccharide typhoid vaccine in
healthy persons in Korea.
AB - The purpose of this study was to evaluate the immunogenicity and safety of
Salmonella Typhi Vi capsular polysaccharide vaccine (Vi vaccine) in Korea. The
immunogenicity of a single dose of Vi vaccine was evaluated in 157 subjects (75
children and 82 adults) before and at 1, 6, and 12 months after vaccination.
Immunogenicity was measured with a passive hemagglutination assay (PHA),
quantified as geometric mean titers (GMTs) and seroconversion rates. The safety
of the vaccine was investigated by determining adverse reactions occurring within
4 h, 3 days, and 1 month after injection. The seroconversion rate for children
and adults 1 month after vaccination was 96.92% and 89.02%, respectively. In the
case of children, the GMTs of Vi antibodies before vaccination were 5.87 +/- 1.34
and 142.59 +/- 2.39 at one month after vaccination. For adults, the GMTs before
and one month after vaccination were 5.58 +/- 1.28 and 58.56 +/- 3.67,
respectively. Vi antibodies persisted for as long as 6 and 12 months after
vaccination. All adverse reactions in adults and children were minor and did not
require treatment. The Vi CPS vaccine was safe and immunogenic in adults and
children older than 5 years.
PMID- 18051273
TI - Effects of inoculum level and pressure pulse on the inactivation of Clostridium
sporogenes spores by pressure-assisted thermal processing.
AB - The effects of initial concentration and pulsed pressurization on the
inactivation of Clostridium sporogenes spores suspended in deionized water were
determined during thermal processing (TP; 105 degrees C, 0.1 MPa) and pressure
assisted thermal processing (PATP; 105 degrees C and 700 MPa) treatments for 40
min and 5 min holding times, respectively. Different inoculum levels (10(4),
10(6), and 10(8) CFU/ml) of C. sporogenes spores suspended in deionized water
were treated at 105 degrees C under 700 MPa with single, double, and triple
pulses. Thermally treated samples served as control. No statistical significances
(p > 0.05) were observed among all different inoculum levels during the thermal
treatment, whereas the inactivation rates (k1 and k2) were decreased with
increasing the initial concentrations of C. sporogenes spores during the PATP
treatments. Double- and triple-pulsed pressurization reduced more effectively the
number of C. sporogenes spores than single-pulse pressurization. The study shows
that the spore clumps formed during the PATP may lead to an increase in pressure
thermal resistance, and multiple-pulsed pressurization can be more effective in
inactivating bacterial spores. The results provide an interesting insight on the
spore inactivation mechanisms with regard to inoculum level and pulsed
pressurization.
PMID- 18051274
TI - Purification and characterization of two thermostable proteases from the
thermophilic fungus Chaetomium thermophilum.
AB - Thermostable protease is very effective to improve the industrial processes in
many fields. Two thermostable extracellular proteases from the culture
supernatant of the thermophilic fungus Chaetomium thermophilum were purified to
homogeneity by fractional ammonium sulfate precipitation, ion-exchange
chromatography on DEAE-Sepharose, and PhenylSepharose hydrophobic interaction
chromatography. By SDS-PAGE, the molecular mass of the two purified enzymes was
estimated to be 33 kDa and 63 kDa, respectively. The two proteases were found to
be inhibited by PMSF, but not by iodoacetamide and EDTA. The 33 kDa protease
(PRO33) exhibited maximal activity at pH 10.0 and the 63 kDa protease (PRO63) at
pH 5.0. The optimum temperature for the two proteases was 65 degrees C. The PRO33
had a K(m) value of 6.6 mM and a V(max) value of 10.31 micromol/l/min, and PRO63
17.6 mM and 9.08 micromol/l/min, with casein as substrate. They were thermostable
at 60 degrees C. The protease activity of PRO33 and PRO63 remained at 67.2% and
17.31%, respectively, after incubation at 70 degrees C for 1 h. The thermal
stability of the two enzymes was significantly enhanced by Ca2+. The residual
activity of PRO33 and PRO63 at 70 degrees C after 60 min was approximately 88.59%
and 39.2%, respectively, when kept in the buffer containing Ca2+. These
properties make them applicable for many biotechnological purposes.
PMID- 18051275
TI - A cytoplasmic polyhedrosis virus isolated from the pine processionary
caterpillar, Thaumetopoea pityocampa.
AB - A cytoplasmic polyhedrosis virus (CPV) was isolated from the larvae of
Thaumetopoea pityocampa and shown to cause an infection of midgut cells. This
viral infection revealed several important diagnostic symptoms, including
discoloration of the posterior midgut, reduced feeding, and extended development
time of the larvae. The virus infection is lethal to Thaumetopoea pityocampa, and
with the increasing doses kills the larvae within 4-5 days post infection.
Electron microscopy studies showed typical cytoplasmic polyhedral inclusion
bodies that are icosahedral, and ranged from 2.4 to 5.3 microm in diameter.
Electrophoretic analysis of the RNA genome showed that the virus has a genome
composed of 10 equimolar RNA segments with the sizes of 3,907, 3,716, 3,628,
3,249, 2,726, 1,914, 1,815, 1,256, 1,058, and 899 bp, respectively. Based on
morphology and nucleic acid analysis, this virus was named Thaumetopoea
pityocampa cytoplasmic polyhedrosis virus (TpCPV), and belongs to the genus
Cypovirus, family Reoviridae.
PMID- 18051276
TI - Stress-governed expression and purification of human type II hexokinase in
Escherichia coli.
AB - The full encoding sequence for human type II hexokinase (HXK II) was cloned into
the E. coli expression vector pET 21b and expressed as a C-terminally
hexahistidine-tagged protein in the BL21 (DE3) strain. The IPTG-induced HXK II
approximately accounted for 17% of the total E. coli proteins, and 81% of HXK
II(6xHis) existed in inclusion bodies. To improve the production of soluble
recombinant HXK II protein, in the functionally active form, we used low
temperature, and the osmotic stress expression method. When expressed at 18
degrees C, about 83% of HXK II(6xHis) existed in the soluble fraction, which
amounted to a 4.1-fold yield over that expressed at 37 degrees C. The soluble
form of HXK II(6xHis) was also highly produced in the presence of 1 M sorbitol
under the standard condition (37 degrees C), which indicated that temperature
downshift and low water potentials were required to improve the yield of active
recombinant HXK II protein. The expressed protein was purified by metal chelate
affinity chromatography performed in an IDA Excellose column charged with Ni2+
ions, resulting in about 40 mg recombinant HXK II protein obtained with purity
over 89% from 5 l of E. coli culture. The identity of HXK II(6xHis) was confirmed
by Western blotting analysis. Taken together, using the stress-governed
expression described in this study, human active HXK II can be purified in
sufficient amounts for biochemical and biomedical studies.
PMID- 18051277
TI - A response surface model based on absorbance data for the growth rates of
Salmonella enterica serovar typhimurium as a function of temperature, NaCl, and
pH.
AB - Response surface model was developed for predicting the growth rates of
Salmonella enterica sv. Typhimurium in tryptic soy broth (TSB) medium as a
function of combined effects of temperature, pH, and NaCl. The TSB containing six
different concentrations of NaCl (0, 2, 4, 6, 8, and 10%) was adjusted to an
initial of six different pH levels (pH 4, 5, 6, 7, 8, 9, and 10) and incubated at
10 or 20 degrees C. In all experimental variables, the primary growth curves were
well (r2 = 0.900 to 0.996) fitted to a Gompertz equation to obtain growth rates.
The secondary response surface model for natural logarithm transformations of
growth rates as a function of combined effects of temperature, pH, and NaCl was
obtained by SAS's general linear analysis. The predicted growth rates of the S.
Typhimurium were generally decreased by basic (9, 10) or acidic (5, 6) pH levels
or increase of NaCl concentrations (0-8%). Response surface model was identified
as an appropriate secondary model for growth rates on the basis of coefficient
determination (r2 = 0.960), mean square error (MSE = 0.022), bias factor (B(f) =
1.023), and accuracy factor (A(f) = 1.164). Therefore, the developed secondary
model proved reliable predictions of the combined effect of temperature, NaCl,
and pH on growth rates for S. Typhimurium in TSB medium.
PMID- 18051278
TI - Optimization of lipase pretreatment prior to lipase immobilization to prevent
loss of activity.
AB - In our previous work, a method of pretreating lipase was developed to prevent
loss of its activity during covalent immobilization. In this study, Rhizopus
oryzae lipase was pretreated before immobilization and then immobilized on a
silica gel surface. The effects of the various materials and conditions used in
the pretreatment stage on the activity of immobilized lipase were investigated.
Immobilized lipase pretreated with 0.1% of soybean oil had better activity than
those pretreated with other materials. The optimal temperature, agitation speed,
and pretreating time for lipase pretreatment were determined to be 40 degrees C,
200 rpm, and 45 min, respectively. The activity of immobilized soybean oil
pretreated lipase was 630 U/g matrix, which is 20 times higher than that of
immobilized non-pretreated lipase. In addition, immobilized lipase activity was
maintained at levels exceeding 90% of its original activity after 10 reuses.
PMID- 18051279
TI - Effect of dietary inclusion of Lactobacillus acidophilus ATCC 43121 on
cholesterol metabolism in rats.
AB - This study examined the effects of Lactobacillus acidophilus ATCC 43121 (LAB) on
cholesterol metabolism in hypercholesterolemia-induced rats. Four treatment
groups of rats (n = 9) were fed experimental diets: normal diet, normal diet+LAB
(2 x 10(6) CFU/day), hypercholesterol diet (0.5% cholesterol, w/w), and
hypercholesterol diet + LAB. Body weight, feed intake, and feed efficiency did
not differ among the four groups. Supplementation with LAB reduced total serum
cholesterol (25%) and VLDL + IDL + LDL cholesterol (42%) in hypercholesterol diet
groups, although hepatic tissue cholesterol and lipid contents were not changed.
In the normal diet group, cholesterol synthesis (HMG-CoA reductase expression),
absorption (LDL receptor expression), and excretion via bile acids (cholesterol 7
alpha-hydroxylase expression) were increased by supplementation with LAB, and
increased cholesterol absorption and decreased excretion were found in the
hypercholesterol diet group. Total fecal acid sterols excretion was increased by
supplementation with LAB. With proportional changes in both normal and
hypercholesterol diet groups, primary bile acids (cholic and chenodeoxycholic
acids) were reduced, and secondary bile acids (deoxycholic and lithocholic acids)
were increased. Fecal neutral sterol excretion was not changed by LAB. In this
experiment, the increase in insoluble bile acid (lithocholic acid) reduced blood
cholesterol level in rats fed hypercholesterol diets supplemented with LAB. Thus,
in the rat, L. acidophilus ATCC 43121 is more likely to affect deconjugation and
dehydroxylation during cholesterol metabolism than the assimilation of
cholesterol into cell membranes.
PMID- 18051280
TI - Morphological changes induced in listeria monocytogenes V7 by a bacteriocin
produced by Pediococcus acidilactici.
AB - Pediococcus acidilactici produces bacteriocin, which kills Listeria
monocytogenes. The bactericidal mode of action of the bacteriocin against L.
monocytogenes V7 was investigated by transmission electron microscopy. The
bacteriocin was purified partially from the cell-free extract using Micro-Cel and
cation-exchange chromatography, and the specific activity was increased 1,791
fold. The bacteriocin (6,400 AU/ ml) was inoculated with L. monocytogenes V7 and
incubated for 0.5 h, 1 h, 3 h, and 6 h. The bacteriocin was found to destroy most
of the cell wall and released most of the inclusions in the cells after 6 h of
incubation. These results suggest that the bactericidal effect of the bacteriocin
was due to bacterial lysis.
PMID- 18051281
TI - Metagenomic analysis of BTEX-contaminated forest soil microcosm.
AB - A microcosmal experiment using a metagenomic technique was designed to assess the
effect of BTEX (benzene, toluene, ethylbenzene, and xylenes) on an indigenous
bacterial community in a Daejeon forest soil. A compositional shift of bacterial
groups in an artificial BTEX-contaminated soil was examined by the 16S rDNA PCR
DGGE method. Phylogenetic analysis of 16S rDNAs in the dominant DGGE bands showed
that the number of Actinobacteria and Bacillus populations increased. To confirm
these observations, we performed PCR to amplify the 23S rDNA and 16S rDNA against
the sample metagenome using Actinobacteria-targeting and Bacilli-specific primer
sets, respectively. The result further confirmed that a bacterial community
containing Actinobacteria and Bacillus was affected by BTEX.
PMID- 18051283
TI - Bacterial surface display of GFP(uv) on bacillus subtilis spores.
AB - To analyze a cotG-based Bacillus subtilis spore display system directly, GFP(uv)
was expressed on the surface of Bacillus subtilis spores. When GFP(uv) was fused
to the C-terminal of the cotG structural gene and expressed, the existence of a
CotG-GFP(uv) fusion protein on the B. subtilis spore was confirmed by flow
cytometry confocal microscopic analysis. When the cotG anchoring motif was
deleted, no fluorescence emission was observed under flow cytometry and confocal
microscopic analysis from the purified spore, confirming the essential role of
CotG as an anchoring motif. This GFP(uv) displaying spore might be used for
another signaling application triggered by intracellular or extracellular
stimuli.
PMID- 18051282
TI - Detection of methicillin resistance in Staphylococcus aureus isolates using two
step triplex PCR and conventional methods.
AB - A two-step triplex PCR assay targeting the mecA, femA, and nuc genes was
developed for the detection of methicillin resistance genes harbored by some
Staphylococcus aureus isolates and for the simultaneous identification of such
isolates at the species level. The triplex PCR revealed the presence of the femA
and nuc genes in all the S. aureus isolates examined (n=105). Forty-four clinical
isolates were mecA positive and no foodborne isolates were mecA positive. The PCR
results had a 98 or 99% correlation with the results of PBP2a latex agglutination
tests or oxacillin susceptibility tests, respectively.
PMID- 18051284
TI - Quantitative analysis of phosphinothricin-N-acetyltransferase in genetically
modified herbicide tolerant pepper by an enzyme-linked immunosorbent assay.
AB - An immunoassay method was developed to quantitatively detect phosphinothricin-N
acetyltransferase (PAT) encoded by the Bialaphos resistance (bar) gene in
genetically modified (GM) pepper. The histidine-tagged PAT was overexpressed in
Escherichia coli M15 (pQE31-bar) and efficiently purified by Ni2+ affinity
chromatography. A developed sandwich enzyme-linked immunosorbent assay (S-ELISA)
method (detection limit: 0.01 microg/ml) was 100-fold more sensitive than a
competitive indirect ELISA (CI-ELISA) method or Western blot analysis in
detecting the recombinant PAT. In real sample tests, PAT in genetically modified
herbicide-tolerant (GMHT) peppers was successfully quantified [4.9 +/- 0.4
microg/g of sample (n = 6)] by the S-ELISA method. The S-ELISA method developed
here could be applied to other GMHT crops and vegetables producing PAT.
PMID- 18051285
TI - Cloning and expression of glucose-1-phosphate thymidylyltransferase gene (schS6)
from Streptomyces sp. SCC-2136.
AB - The deoxysugar biosynthetic gene cluster of Sch 47554/Sch 47555 was cloned from
Streptomyces sp. SCC-2136. One of the ORFs, schS6, appeared to encode glucose-1
phosphate thymidylyltransferase, which converts dTTP and glucose-1-phosphate to
TDP-D-glucose and pyrophosphate. The dTDP-D-glucose is a key metabolite in
prokaryotics as a precursor for a large number of modified deoxysugars, and these
deoxysugars are a major part of various antibiotics, ranging from glycosides to
macrolides. SchS6 was expressed in E. coli vector pSCHS6 and the expressed
protein was purified to apparent homogeneity by ammonium sulfate precipitation
and Ni-NTA affinity column chromatography. The specific activity of the purified
enzyme increased 4.7-fold with 17.5% recovery. It migrated as a single band on
SDS-PAGE with an apparent molecular mass of 56 kDa. The purified protein showed
glucose-1-phosphate thymidylyltransferase activity, catalyzing a reversible
bimolecular group transfer reaction. In the forward reaction, the highest
activity was obtained with combination of dTTP and alpha-D-glucose-1-phosphate,
and only 12% of that activity was obtained with the substrates UTP/alpha-D
glucose-1-phosphate. In the opposite direction, the purified protein was highly
specific for dTDP-D-glucose and pyrophosphate.
PMID- 18051286
TI - Production of weak acid by anaerobic fermentation of soil and antifungal effect.
AB - Acetic acid and butyric acid were produced by the anaerobic fermentation of soil
mixed with wheat or rice bran. The concentration of acetic acid produced in the
wheat and rice bran-treated soil was 31.2 mM and 8 mM, respectively, whereas the
concentration of butyric acid in the wheat and rice bran-treated soil was 25.0 mM
and 8 mM, respectively. The minimal fungicidal concentration (MFC) for all the
fungal strains was 40-60 mM acetic acid, 20-40 mM butyric acid, and 40-60 mM
mixture of acetic acid: butyric acid (1:1, v/v). Consequently, the efficacy of
mixing wheat-bran with soil to control soil diseases was demonstrated.
PMID- 18051287
TI - Characterization of cyclofructans from inulin by Saccharomyces cerevisiae Strain
displaying cell-surface cycloinulooligosaccharide fructanotransferase.
AB - The cycloinulooligosaccharide fructanotransferase (CFTase) gene (cft) from
Paenibacillus macerans (GenBank access code AF222787) was expressed on the cell
surface of Saccharomyces cerevisiae by fusing with Aga2p linked to the membrane
anchored protein Aga1p. The surface display of CFTase was confirmed by
immunofluorescence microscopy and enzymatic assay. The optimized reaction
conditions of surface-displayed CFTase were as follows; pH, 8.0; temperature, 50
degrees C; enzyme amount, 30 milliunit; substrate concentration, 5%; inulin
source, Jerusalem artichoke. As a result of the reaction with inulin,
cycloinulohexaose was produced as a major product along with cycloinuloheptaose
and cycloinulooctaose as minor products.
PMID- 18051288
TI - Comparison of full genome sequences between two hepatitis B virus strains with or
without preC mutation (A1896) from a single Korean hepatocellular carcinoma
patient.
AB - This report describes the full-length sequences of 2 HBV clones from a
hepatocellular carcinoma (HCC) patient, one with preC mutation (1896A) and the
other without preC mutation. The high level of discrepancy in mutation frequency
between these 2 strains was observed in the Core (C) region among 4 ORFs. These
data support previous results that Korean HBV strains, belonging to genotype C2,
are prone to mutations. It is possible that the mutations (BCP and preC
mutations) associated with the HBeAg defective production might contribute to the
diversity of mutations related to HBV persistence, playing an important role in
hepatocarcinogenesis in this patient.
PMID- 18051289
TI - Chitinophaga soli sp. nov. and Chitinophaga terrae sp. nov., isolated from soil
of a ginseng field in Pocheon Province, Korea.
AB - Two novel strains of the Cytophaga-Flexibacter-Bacteroides (CFB) group,
designated Gsoil 219" and Gsoil 2381, were isolated from soil of a ginseng field
of Pocheon Province in Korea. Both strains were Gram-negative, aerobic,
nonmotile, nonspore-forming, and rod-shaped. Phylogenetic analysis based on 16S
rRNA gene sequences indicated that both isolates belong to the genus Chitinophaga
but were clearly separated from established species of this genus. The sequence
similarities between strain Gsoil 219T and type strains of the established
species and between strain Gsoil 238T and type strains of the established species
ranged from 91.4 to 94.7% and 91.6 to 94.2%, respectively. Phenotypic and
chemotaxonomic data (major menaquinone, MK-7; major fatty acids, iso-C15:0 and
C(16:1) omega5c; major hydroxy fatty acid, iso-C(17:0) 3-OH; major polyamine,
homospermidine) supported the affiliation of both strains Gsoil 219T and Gsoil
238T to the genus Chitinophaga. Furthermore, the results of physiological and
biochemical tests allowed genotypic and phenotypic differentiation of both
strains from the other validated Chitinophaga species. Therefore, the two
isolates represent two novel species, for which the name Chitinophaga soli sp.
nov. (type strain, Gsoil 219T=KCTC 12650T=DSM 18093T) and Chitinophaga terrae sp.
nov. (type strain, Gsoil 238T=KCTC 12651T=DSM 18078T) are proposed.
PMID- 18051290
TI - Differences in optimal pH and temperature for cell growth and antibody production
between two Chinese hamster ovary clones derived from the same parental clone.
AB - To investigate clonal variations of recombinant Chinese hamster ovary (rCHO)
clones in response to culture pH and temperature, serum-free suspension cultures
of two antibody-producing CHO clones (clones A and B), which were isolated from
the same parental clone by the limiting dilution method, were performed in a
bioreactor at pH values in the range of 6.8-7.6, and two different temperatures,
33 degrees C and 37 degrees C. In regard to cell growth, clone A and clone B
displayed similar responses to temperature, although their degree of response
differed. In contrast, clones A and B displayed different responses to
temperature in regard to antibody production. In the case of clone A, no
significant increase in maximum antibody concentration was achieved by lowering
the culture temperature. The maximum antibody concentration obtained at 33
degrees C (pH 7.4) and 37 degrees C (pH 7.0) were 82.0 +/- 2.6 and 73.2 +/- 4.1
microg/ml, respectively. On the other hand, in the case of clone B, an
approximately 2.5-fold increase in maximum antibody concentration was achieved by
lowering the culture temperature. The enhanced maximum antibody concentration of
clone B at 33 degrees C (132.6 +/- 14.9 microg/ml at pH 7.2) was due to not only
enhanced specific antibody productivity but also to prolonged culture longevity.
At 33 degrees C, the culture longevity of clone A also improved, but not as much
as that of clone B. Taken together, CHO clones derived from the same parental
clone displayed quite different responses to culture temperature and pH with
regards antibody production, suggesting that environmental parameters such as
temperature and pH should be optimized for each CHO clone.
PMID- 18051291
TI - Synthesis of L-threo-3,4-dihydroxyphenylserine (L-threo-DOPS) with
thermostabilized low-specific L-threonine aldolase from Streptomyces coelicolor
A3(2).
AB - Stability-enhanced mutants, H44, 11-94, 5A2-84, and F8, of L-threonine aldolase
(L-TA) from Streptomyces coelicolor A3(2) (SCO1085) were isolated by an error
prone PCR followed by a high-throughput screening. Each of these mutant, had a
single amino acid substitution: H177Y in the H44 mutant, A169T in the 11-94
mutant, D104N in the 5A2-84 mutant and Fl81 in the F8 mutant. The residual L-TA
activity of the wild-type L-TA after a heat treatment for 20 min at 60 degrees C
was only 10.6%. However, those in the stability-enhanced mutants were 85.7% for
the H44 mutant, 58.6% for the F8 mutant, 62.1% for the 5A2-84 mutant, and 67.6%
for the 11-94 mutant. Although the half-life of the wild-type L-TA at 63 degrees
C was 1.3 min, those of the mutant L-TAs were longer: 14.6 min for the H44
mutant, 3.7 min for the 11-94 mutant, 5.8 min for the 5A2-84 mutant, and 5.0 min
for the F8 mutant. The specific activity did not change in most of the mutants,
but it was decreased by 45% in the case of mutant F8. When the aldol condensation
of glycine and 3,4-dihydroxybenzaldehyde was studied by using whole cells of
Escherichia coli containing the wild-type L-TA gene, L-threo-3,4
dihydroxyphenylserine (L.-threo-DOPS) was successfully synthesized with a yield
of 2.0 mg/ml after 20 repeated batch reactions for 100 h. However, the L-threo
DOPS synthesizing activity of the enzyme decreased with increased cycles of the
batch reactions. Compared with the wild-type L-TA, H44 L-TA kept its L-threo-DOPS
synthesizing activity almost constant during the 20 repeated batch reactions for
100 h, yielding 4.0 mg/ml of L-threo-DOPS. This result showed that H44 L-TA is
more effective than the wild-type L-TA for the mass production of L-threo-DOPS.
PMID- 18051292
TI - pT7MT, a metallothionein 2A-tagged novel prokaryotic fusion expression vector.
AB - In the present article, a novel fusion expression vector for Escherichia coli was
developed based on the pTORG plasmid, a derivative of pET32a. This vector, named
pT7MT (GenBank Accession No DQ504436), carries a T7 promoter and it drives the
downstream gene encoding Metallothionein 2A (MT2A). There are in-framed multiple
cloning sites (MCS) downstream of the MT2A gene. A target gene can be cloned into
the MCS and fused to the C-terminal of the MT2A gene in a compatible open reading
frame (ORF) to achieve fusion expression. The metal-binding capability of MT2A
allows the purification of fusion proteins by metal chelating affinity
chromatogralhy, known as Ni2+-affinity chromatography. Using this expression
vector, we successfully got the stable and high-yield expression of MT2A-GST and
MT2A-Troponin I fusion proteins. These two proteins were easily purified from the
supernatant of cell lysates by one-step Ni2+ -affinity chromatography. The final
yields of MT2A-GST and MT2A-Troponin I were 30 mg/l and 28 mg/l in LB culture,
respectively. Taken together, our data suggest that pT7MT can be applied as a
useful expression vector for stable and high-yield production of fusion proteins.
PMID- 18051293
TI - Partial purification and characterization of exoinulinase from Kluyveromyces
marxianus YS-1 for preparation of high-fructose syrup.
AB - An extracellular exoinulinase (2,1-beta-D fructan fructanohydrolase, EC 3.2.1.7),
which catalyzes the hydrolysis of inulin into fructose and glucose, was purified
23.5-fold by ethanol precipitation, followed by Sephadex G-100 gel permeation
from a cell-free extract of Kluyveromyces marxianus YS-1. The partially purified
enzyme exhibited considerable activity between pH 5 to 6, with an optimum pH of
5.5, while it remained stable (100%) for 3 h at the optimum temperature of 50
degrees C. Mn2+ and Ca2+ produced a 2.4-fold and 1.2-fold enhancement in enzyme
activity, whereas Hg2+ and Ag2+ completely inhibited the inulinase. A preparation
of the partially purified enzyme effectively hydrolyzed inulin, sucrose, and
raffinose, yet no activity was found with starch, lactose, and maltose. The
enzyme preparation was then successfully used to hydrolyze pure inulin and raw
inulin from Asparagus racemosus for the preparation of a high-fructose syrup. In
a batch system, the exoinulinase hydrolyzed 84.8% of the pure inulin and 86.7% of
the raw Asparagus racemosus inulin, where fructose represented 43.6 mg/ml and
41.3 mg/ml, respectively.
PMID- 18051294
TI - Production of recombinant polyhedra containing Cry1Ac fusion protein in insect
cell lines.
AB - Insect cell lines and the control of infection for obtaining the maximum amount
of polyhedrin-CrylAc-polyhedrin fusion protein from Bactrus in monolayer and
suspension culture systems were tested. Growth rates of the Trichoplusia ni (High
Five) cell line in both culture systems were better than the other insect cell
lines, Spodoptera fiugiferda (Sf-9, Sf-21), Trichoplusia ni (Tn5), and Spodoptera
exigua (Se301). The expression of the fusion protein in a monolayer culture
showed that Se301 cells were 2.3-4.8 times more productive on a per cell basis
than the other cell lines. However, in suspension culture, only High-Five cells
were productive. High-Five cells infected with Bactrus at a multiplicity of
infection (MOI) of 5 and a cell density of 3.0 x 10(5) cells per ml were more
productive than the other infection condition in a suspension culture suitable
for a large-scale production of baculovirus. In conclusion, for the large-scale
production of Bactrus in vitro, High-Five cells showing good growth and high
productivity are suitable.
PMID- 18051295
TI - Purification and characterization of extracellular beta-glucosidase from
Sinorhizobium kostiense AFK-13 and its algal lytic effect on Anabaena flos-aquae.
AB - A beta-glucosidase from the algal lytic bacterium Sinorhizobium kostiense AFK-13,
grown in complex media containing cellobiose, was purified to homogeneity by
successive ammonium sulfate precipitation, and anion-exchange and gel-filtration
chromatographies. The enzyme was shown to be a monomeric protein with an apparent
molecular mass of 52 kDa and isoelectric point of approximately 5.4. It was
optimally active at pH 6.0 and 40'C and possessed a specific activity of 260.4
U/mg of protein against 4-nitrophenyl-beta-D-glucopyranoside (pNPG). A
temperature-stability analysis demonstrated that the enzyme was unstable at 50
degrees C and above. The enzyme did not require divalent cations for activity,
and its activity was significantly suppressed by Hg+2 and Ag+, whereas sodium
dodecyl sulfate (SDS) and Triton X-100 moderately inhibited the enzyme to under
70% of its initial activity. In an algal lytic activity analysis, the growth of
cyanobacteria, such as Anabaena flos-aquae, A. cylindrica, A. macrospora,
Oscillatoria sancta, and Microcystis aeruginosa, was strongly inhibited by a
treatment of 20 ppm/disc or 30 ppm/disc concentration of the enzyme.
PMID- 18051297
TI - Biochemical and genetic characterization of arazyme, an extracellular
metalloprotease produced from Serratia proteamaculans HY-3.
AB - Serratia proteamaculans HY-3 isolated from the digestive tract of a spider
produces an extracellular protease named arazyme, with an estimated molecular
mass of 51.5 kDa. The purified enzyme was characterized as having high activities
at wide pH and temperature ranges. We further characterized biochemical features
of the enzymatic reactions under various reaction conditions. The protease
efficiently hydrolyzed a broad range of protein substrates including albumin,
keratin, and collagen. The dependence of enzymatic activities on the presence of
metal ions such as calcium and zinc indicated that the enzyme is a
metalloprotease, together with the previous observation that the proteolytic
activity of the enzyme was not inhibited by aspartate, cysteine, or serine
protease inhibitors, but strongly inhibited by 1,10-phenanthroline and EDTA. The
araA gene encoding the exoprotease was isolated as a 5.6 kb BamHl fragment after
PCR amplification using degenerate primers and subsequent Southern hybridization.
The nucleotide sequence revealed that the deduced amino acid sequences shared
extensive similarity with those of the serralysin family of metalloproteases from
other enteric bacteria. A gene (inh) encoding a putative protease inhibitor was
also identified immediately adjacent to the araA structural gene.
PMID- 18051298
TI - Optimization of ascorbic acid-2-phosphate production from ascorbic acid using
resting cell of Brevundimonas diminuta.
AB - With the aim to produce ascorbic acid-2-phosphate (AsA-2-P) from L-ascorbic acid
(AsA, Vitamin C), nine bacteria conferring the ability to transform AsA to AsA-2
P were isolated from soil samples alongside known strains from culture
collections. Most isolates were classified to the genus Brevundimonas by 16S
phylogenetic analysis. Among them, Brevundimonas diminuta KACC 10306 was selected
as the experimental strain because of its the highest productivity of AsA-2-P.
The optimum set of conditions for the AsA-2-P production from AsA using resting
cells as the source of the enzyme was also investigated. The optimum cultivation
time was 16 h and the cell concentration was 120 g/l (wet weight). The optimum
concentrations of AsA and pyrophosphate were 550 mM and 450 mM, respectively. The
most effective buffer was 50 mM sodium formate. The optimum pH was 4.5 and
temperature was 40 degrees C. Under the above conditions, 27.5 g/l of AsA-2-P was
produced from AsA after 36 h of incubation, which corresponded to a 19.7%
conversion efficiency based on the initial concentration of AsA.
PMID- 18051296
TI - Diversity of Paenibacillus spp. in the rhizosphere of four sorghum (Sorghum
bicolor) cultivars sown with two contrasting levels of nitrogen fertilizer
assessed by rpoB-based PCR-DGGE and sequencing analysis.
AB - The diversity of Paenibacillus species was assessed in the rhizospheres of four
cultivars of sorghum sown in Cerrado soil amended with two levels of nitrogen
fertilizer (12 and 120 kg/ha). Two cultivars (IS 5322-C and IS 6320) demanded the
higher amount of nitrogen to grow, whereas the other two (FBS 8701-9 and IPA
1011) did not. Using the DNA extracted from the rhizospheres, a Paenibacillus
specific PCR system based on the RNA polymerase gene (rpoB) was chosen for the
molecular analyses. The resulting PCR products were separated into community
fingerprints by DGGE and the results showed a clear distinction between
cultivars. In addition, clone libraries were generated from the rpoB fragments of
two cultivars (IPA 1011 and IS 5322-C) using both fertilization conditions, and
318 selected clones were sequenced. Analyzed sequences were grouped into 14
Paenibacillus species. A greater diversity of Paenibacillus species was observed
in cultivar IPA 1011 compared with cultivar IS 5322-C. Moreover, statistical
analyses of the sequences showed that the bacterial diversity was more influenced
by cultivar type than nitrogen fertilization, corroborating the DGGE results.
Thus, the sorghum cultivar type was the overriding determinative factor that
influenced the community structures of the Paenibacillus communities in the
habitats investigated.
PMID- 18051299
TI - Characterization and purification of acidocin 1B, a bacteriocin produced by
Lactobacillus acidophilus GP1B.
AB - In the present study, acidocin 1B, a bacteriocin produced by Lactobacillus
acidophilus GP1B, exhibited profound inhibitory activity against a variety of LAB
and pathogens, including Gram-negative bacteria, and its mode of action was to
destabilize the cell wall, thereby resulting in bactericidal lysis. Acidocin 1B
was found to be heat stable, because it lost no activity when it was heated up to
95 degrees C for 60 min. It retained approximately 67% of the initial activity
after storage for 30 days at 4 degrees C, and 50% of its initial activity after
30 days at 25 degrees C and 37 degrees C. The molecular mass of acidocin 1B was
estimated to be 4214.65 Da by mass spectrometry. Plasmid curing results indicated
that a plasmid, designated as pLA1B, seemed to be responsible for both acidocin
1B production and host immunity, and that the pLA1B could be transformed into
competent cells of L. acidophilus ATCC 43121 by electroporation. Our findings
indicate that the acidocin 1B and its producer strain may have potential value as
a biopreservative in food systems.
PMID- 18051300
TI - Removal of organic load from olive washing water by an aerated submerged
biofilter and profiling of the bacterial community involved in the process.
AB - The present work aims to use a biofilter technology (aerated submerged filters)
for the aerobic transformation at laboratory-scale of olive washing water (OWW)
generated in the first steps of olive oil processing, as well as the genetic
profiling and identification to the species level of the bacteria involved in the
formation of the biofilm, by means of TGGE. Chemical parameters, such as
biological oxygen demand at five days (BOD5) and chemical oxygen demand (COD),
decreased markedly (up to 90 and 85%, respectively) by the biological treatment,
and the efficiency of the process was significantly affected by aeration and
inlet flow rates. The total polyphenol content of inlet OWW was only moderately
reduced (around 50% decrease of the inlet content) after the biofilter treatment,
under the conditions tested. Partial 16S rRNA genes were amplified using total
DNA extracted from the biofilm and separated by TGGE. Sequences of isolated bands
were mostly affiliated to the alpha-subclass of Proteobacteria, and often
branched in the periphery of bacterial genera commonly present in soil
(Rhizobium, Reichenowia, Agrobacterium, and Sphingomonas). The data obtained by
the experimentation at laboratory scale provided results that support the
suitability of the submerged filter technology for the treatment of olive washing
waters with the purpose of its reutilization.
PMID- 18051302
TI - The brown-rot basidiomycete Fomitopsis palustris has the endo-glucanases capable
of degrading microcrystalline cellulose.
AB - Two endoglucanases with processive cellulase activities, produced from Fomitopsis
palustris grown on 2% microcrystalline cellulose (Avicel), were purified to
homogeneity by anion-exchange and gel filtration column chromatography systems.
SDS-PAGE analysis indicated that the molecular masses of the purified enzymes
were 47 kDa and 35 kDa, respectively. The amino acid sequence analysis of the 47
kDa protein (EG47) showed a sequence similarity with fungal glycoside hydrolase
family 5 endoglucanase from the white-rot fungus Phanerochaete chrysosporium. N
terminal and internal amino acid sequences of the 35-kDa protein (EG35), however,
had no homology with any other glycosylhydrolases, although the enzyme had high
specific activity against carboxymethyl cellulose, which is a typical substrate
for endoglucanases. The initial rate of Avicel hydrolysis by EG35 was relatively
fast for 48 h, and the amount of soluble reducing sugar released after 96 h was
100 microg/ml. Although EG47 also hydrolyzed Avicel, the hydrolysis rate was
lower than that of EG35. Thin layer chromatography analysis of the hydrolysis
products released from Avicel indicated that the main product was cellobiose,
suggesting that the brown-rot fungus possesses processive EGs capable of
degrading crystalline cellulose.
PMID- 18051301
TI - Cloning and characterization of glycogen-debranching enzyme from
hyperthermophilic archaeon Sulfolobus shibatae.
AB - A gene encoding a putative glycogen-debranching enzyme in Sulfolobus shibatae
(abbreviated as SSGDE) was cloned and expressed in Escherichia coli. The
recombinant enzyme was purified to homogeneity by heat treatment and Ni-NTA
affinity chromatography. The recombinant SSGDE was extremely thermostable, with
an optimal temperature at 85 degrees C. The enzyme had an optimum pH of 5.5 and
was highly stable from pH 4.5 to 6.5. The substrate specificity of SSGDE
suggested that it possesses characteristics of both amylo-1,6-glucosidase and
alpha-1,4-glucanotransferase. SSGDE clearly hydrolyzed pullulan to maltotrlose,
and 6-O-alpha-maltosyl-beta-cyclodextrin (G2-beta-CD) to maltose and beta
cyclodextrin. At the same time, SSGDE transferred maltooligosyl residues to the
maltooligosaccharides employed, and maltosyl residues to G2-beta-CD. The enzyme
preferentially hydrolyzed amylopectin, followed in a decreasing order by
glycogen, pullulan, and amylose. Therefore, the present results suggest that the
glycogen-debranching enzyme from S. shibatae may have industrial application for
the efficient debranching and modification of starch to dextrins at a high
temperature.
PMID- 18051303
TI - Generation of a specific marker to discriminate Gacillus anthracis from other
bacteria of the Bacillus cereus group.
AB - Bacillus anthracis is a soil pathogen capable of causing anthrax that is closely
related to several environmental species, including B. cereus, B. mycoides, and
B. thuringiensis. DNA homology studies showed that B. anthracis, B. cereus, B.
mycoides, and B. thuringiensis are closely related, with a high sequence
homology. To establish a method to specifically detect B. anthracis in situations
such as environmental contamination, we initially performed RAPD-PCR with a 10
mer random primer and confirmed the presence of specific PCR bands only in B.
anthracis species. One region specific for B. anthracis was cloned and sequenced,
and an internal primer set was designed to amplify a 241-bp DNA fragment within
the sequenced region. The PCR system involving these specific primer sets has
practical applications. Using lyses methods to prepare the samples for PCR, it
was possible to quickly amplify the 241-bp DNA segment from samples containing
only a few bacteria. Thus, the PCR detection method developed in this study is
expected to facilitate the monitoring of environmental B. anthracis
contamination.
PMID- 18051304
TI - Isolation and ars detoxification of arsenite-oxidizing bacteria from abandoned
arsenic-contaminated mines.
AB - The ecosystems of certain abandoned mines contain arsenic-resistant bacteria
capable of performing detoxification when an ars gene is present in the bacterial
genome. The ars gene has already been isolated from Pseudomonas putida and
identified as a member of the membrane transport regulatory deoxyribonucleic acid
family. The arsenite-oxidizing bacterial strains isolated in the present study
were found to grow in the presence of 66.7 mM sodium arsenate (V; Na2HAsO4.7H2O),
yet experienced inhibited growth when the sodium arsenite (III; NaAsO2)
concentration was higher than 26 mM. Batch experiment results showed that
Pseudomonas putida strain OS-5 completely oxidized 1 mM of As(III) to As(V)
within 35 h. An arsB gene encoding a membrane transport regulatory protein was
observed in arsenite-oxidizing Pseudomonas putida strain OS-5, whereas arsB,
arsH, and arrA were detected in strain OS-19, arsD and arsB were isolated from
strain RW-18, and arsR, arsD, and arsB were found in E. coli strain OS-80. The
leader gene of arsR, -arsD, was observed in a weak acid position. Thus, for
bacteria exposed to weak acidity, the ars system may cause changes to the
ecosystems of As-contaminated mines. Accordingly, the present results suggest
that arsR, arsD, arsAB, arsA, arsB, arsC, arsH, arrA, arrB, aoxA, aoxB, aoxC,
aoxD, aroA, and aroB may be useful for arsenite-oxidizing bacteria in abandoned
arsenic-contaminated mines.
PMID- 18051305
TI - Cloning and characterization of a gene encoding phosphoketolase in a
Lactobacillus paraplantarum isolated from Kimchi.
AB - A gene coding for phosphoketolase, a key enzyme of carbohydrate catabolism in
heterofermentative lactic acid bacteria (LAB), was cloned from a Lactobacillus
paraplantarum C7 and expressed in Escherichia coli. The gene is 2502 bp long and
codes for a 788-amino-acids polypeptide with a molecular mass of 88.7 kDa. A
Shine-Dalgamo sequence (aaggag) and an inverted-repeat terminator sequence are
located upstream and downstream of the phosphoketolase gene, respectively. The
gene exhibits an identity of >52% with phosphoketolases of other LAB. The
phosphoketolase of Lb. paraplantarum C7 (LBPK) contains several highly conserved
phosphoketolase signature regions and typical thiamine pyrophosphate (TPP)
binding sites, as reported for other TPP-dependent enzymes. The phosphoketolase
gene was fused to a glutathione S-transferase (GST::LBPK) gene for purification.
The GST::LBPK fusion protein was detected in the soluble fraction of a
recombinant Escherichia coli BL21. The GST::LBPK fusion protein was purified with
a yield of 4.32 mg/400 ml by GSTrap HP affinity column chromatography and
analyzed by N-terminal sequencing. LBPK was obtained by factor Xa treatment of
fusion protein and the final yield was 3.78 mg/400 ml. LBPK was examined for its
N-terminal sequence and phosphoketolase activity. The K(M) and Vmax values for
fructose-6-phosphate were 5.08 +/- 0.057 mM (mean +/- SD) and 499.21 +/- 4.33
micromol/min/mg, respectively, and the optimum temperature and pH for the
production of acetyl phosphate were 45 degrees C and 7.0, respectively.
PMID- 18051306
TI - Cloning, sequencing, and characterization of the pradimicin biosynthetic gene
cluster of Actinomadura hibisca P157-2.
AB - Pradimicins are potent antifungal antibiotics having an unusual
dihydrobenzo[alpha]naphthacenequinone aglycone substituted with D-alanine and
sugars. Pradimicins are polyketide antibiotics produced by Actinomadura hibisca
P157-2. The gene cluster involved in the biosynthesis of pradimicins was cloned
and sequenced. The pradimicin gene cluster was localized to a 39-kb DNA segment
and its involvement in the biosynthesis of pradimicin was proven by gene
inactivation of prmA and prmB (ketosynthases alpha and beta). The pradimicin gene
cluster consists of 28 open reading frames (ORFs), encoding a type II polyketide
synthase (PKS), the enzymes involved in sugar biosynthesis and tailoring enzymes
as well as two resistance proteins. The deduced proteins showed strong
similarities to the previously validated gene clusters of angucyclic polyketides
such as rubromycin, griseorhodin, and fredericamycin. From the pradimicin gene
cluster, prmP3 encoding a component of the acetyl-CoA carboxylase complex was
disrupted. The production levels of pradimicins of the resulting mutants
decreased to 62% of the level produced by the wild-type strain, which indicate
that the acetyl-CoA carboxylase gene would have a significant role in the
production of pradimicins through supplying the extender unit precursor, malonyl
CoA.
PMID- 18051307
TI - Expression and purification of recombinant active prostate-specific antigen from
Escherichia coli.
AB - Human prostate-specific antigen (PSA), a 33 kDa serine protease with
comprehensive homology to glandular kallikrein, is secreted from prostatic tissue
into the seminal fluid and enters into the circulation. The level of PSA
increases in the serum of patients with prostatic cancer and hence is widely
employed as a marker of the disease status. In particular, an enzymatically
active PSA that is a form cleaved at the N-terminal seven-amino-acids
prosequence, APLILSR, of proPSA may play an important roll in the progression of
prostate cancer. Thus, the presence of the active form would selectively
discriminate the cancer from benign prostatic hyperplasia. In this study, we
developed a convenient purification method for the acquisition of active PSA and
proPSA. Recombinant proPSA and active PSA were expressed directly in Escherichia
coli, easily and efficiently isolated from inclusion bodies, refolded, and
purified. Moreover, the enzymatic activity of the recombinant active PSA was
confirmed as serine protease using chromogenic chymotrypsin substrate. This
purified active PSA could be further applied to scrutinize the biological or
conformational characteristics of the protein and to develop specific diagnostic
and/or therapeutic agents against prostate cancer.
PMID- 18051308
TI - An efficient method for the extraction of astaxanthin from the red yeast
Xanthophyllomyces dendrorhous.
AB - This study investigated an efficient method for the extraction of astaxanthin
from the red yeast Xanthophyllomyces dendrorhous. The extraction process
comprised three steps: (1) cultivating the yeast; (2) treating the yeast culture
suspension with microwaves to destroy the cell walls and microbodies; and (3)
drying the yeast and extracting the astaxanthin pigment using ethanol, methanol,
acetone, or a mixture of the three as the extraction solvent. Ultimately, various
treatment tests were performed to determine the conditions for optimal pigment
extraction, and the total carotenoid and astaxanthin contents were quantified. A
frequency of 2,450 MHz, an output of 500 watts, and irradiation time of 60 s were
the most optimum conditions for yeast cell wall destruction. Furthermore, optimal
pigment extraction occurred when using a cell density of 10 g/l at 30 C over 24
h, with a 10% volume of ethanol.
PMID- 18051309
TI - Raceway cultivation of Spirulina platensis using underground water.
AB - The semi-outdoor cultivation of Spirulina platensis was attempted using an
underground-water-based medium. Occurrence of contaminant organisms such as
Chlorella sp. and Chlamydomonas sp. was not found from a microscopic observation
and bacteria were not detected from denaturing gradient gel electrophoresis
(DGGE) analysis of PCR-amplified 16S rDNA during the cultivation, owing to pH
control and the high quality of the underground water. The mean productivity was
high at 10.5 g/m2/d with a range of 4.2-12.3 g/m2/d despite the unfavorable
weather conditions of the rainy season. The cultivated S. platensis included a
normal protein content of 58.9%. Consequently, the underground water improved the
biomass productivity and the biomass quality because of an abundant
supplementation of natural minerals and through a contaminant-free culture.
PMID- 18051310
TI - Antibacterial activity of sophoraflavanone G isolated from the roots of Sophora
flavescens.
AB - This study investigated the antibacterial activities of sophoraflavanone G from
Sophora flavescens in combination with two antimicrobial agents against oral
bacteria. The combined effect of sophoraflavanone G and the antimicrobial agents
was evaluated using the checkerboard method to obtain a fractional inhibitory
concentration (FIC) index. The sophoraflavanone G+ampicillin (AM) combination was
found to have a synergistic effect against S. mutans, S. sanguinis, S. sobrinus,
S. gordonii, A. actinomycetemcomitans, F. nucleatum, P. intermedia, and P.
gingivalis, whereas the sophoraflavanone G+gentamicin (GM) combination had a
synergistic effect against S. sanguinis, S. criceti, S. anginosus, A.
actinomycetemcomitans, F. nucleatum, P. intermedia, and P. gingivalis. Neither
combination exhibited any antagonistic interactions (FIC index >4). In
particular, the MICs/MBCs for all the bacteria were reduced to one-half - one
sixteenth as a result of the drug combinations. A synergistic interaction was
also confirmed by time-kill studies for nine bacteria where the checkerboard
suggested synergy. Thus, a strong bactericidal effect was exerted through the
drug combinations, plus in vitro data suggested that sophoraflavanone G combined
with other antibiotics may be microbiologically beneficial rather than
antagonistic.
PMID- 18051311
TI - Redoxcitrinin, a biogenetic precursor of citrinin from marine isolate of fungus
Penicillium sp.
AB - A chemical analysis of the fermentation of the marine-derived fungus Penicillium
sp. led to the isolation of a biogenetic precursor of citrinin, redoxcitrinin
(1), together with polyketide mycotoxins, phenol A (2), citrinin H2 (3), 4
hydroxymellein (4), citrinin (5), and phenol A acid (6). The structures of
compounds 1-6 were determined on the basis of physicochemical data analyses.
Among them, compounds 1-3 exhibited a potent radical scavenging activity against
1,1-diphenyl-2-picrylhydrazyl (DPPH) with IC50 values of 27.7, 23.4, and 27.2
microM, respectively.
PMID- 18051312
TI - Genetic and antigenic characterization of swine H1N2 influenza viruses isolated
from Korean pigs.
AB - H1N2 influenza viruses are circulating in pigs worldwide and cause considerable
economic losses to the pig industry. We genetically analyzed the genes of our
isolates from Korean pigs, and compared the antigenicity of our isolates with
swine H1N2 viruses isolated from pigs in the U.S.A. In addition, we serologically
surveyed the infection rate of swine H1N2 viruses in pigs. We found that H1N2
isolates from Korean pigs are genetically more related to swine H1N2 viruses
isolated from pigs in the U.S.A. than those in European countries. When
antigenicity was compared, our isolates were weakly reacted to antibodies against
swine H1N2 viruses isolated from pigs in the U.S.A. The serological surveillance
using sera from pigs in Korea showed that about 46% was positive for H1N2
viruses. Our results suggest that swine H1N2 viruses are widespread in Korean
pigs, and the development of a vaccine against H1N2 viruses may help to control
their infection in pigs.
PMID- 18051313
TI - Microorganisms against Plasmodiophora brassicae.
AB - In order to find microorganisms showing antifungal activities against
Plasmodiophora brassicae, which causes club root, Korean salt-fermented fishery
products were tested. Several fermented broths of microorgansims isolated from
Ammodytes personatus fishery products showed high antifungal activities. The
identification of microorganisms and their in vivo antifungal activities are
reported herein.
PMID- 18051314
TI - Prions and prion diseases: fundamentals and mechanistic details.
AB - Prion diseases, often called transmissible spongiform encephalopathies (TSEs),
are infectious diseases that accompany neurological dysfunctions in many
mammalian hosts. Prion diseases include Creutzfeldt-Jakob disease (CJD) in
humans, bovine spongiform encephalopathy (BSE, "mad cow disease") in cattle,
scrapie in sheep, and chronic wasting disease (CWD) in deer and elks. The cause
of these fatal diseases is a proteinaceous pathogen termed prion that lacks
functional nucleic acids. As demonstrated in the BSE outbreak and its
transmission to humans, the onset of disease is not limited to a certain species
but can be transmissible from one host species to another. Such a striking nature
ofprions has generated huge concerns in public health and attracted serious
attention in the scientific communities. To date, the potential transmission
ofprions to humans via foodbome infectiorn and iatrogenic routes has not been
alleviated. Rather, the possible transmission of human to human or cervids to
human aggravates the terrifying situation across the globe. In this review, basic
features about prion diseases including clinical and pathological
characteristics, etiology, and transmission of diseases are described. Based on
recently accumulated evidences, the molecular and biochemical aspects of prions,
with an emphasis on the molecular interactions involved in prion conversion that
is critical during prion replication and pathogenesis, are also addressed.
PMID- 18051315
TI - Tylosin production by Streptomyces fradiae using raw cornmeal in airlift
bioreactor.
AB - Using a 50-l airlift bioreactor, for the effective production of tylosin from
Streptomyces fradiae TM-224 using raw cornmeal as the energy source, various
environmental factors were studied in flask cultures. The maximum tylosin
concentration was obtained at 32 degrees C and pH between 7.0 and 7.5. When seed
was inoculated after 24 h of culture, the maximum tylosin concentration, 5.7 g/l,
was obtained after 4 days of culture. Various concentrations of raw cornmeal were
tested to investigate the optimum initial concentration for the tylosin
production. An initial raw cornmeal concentration of 80 g/l gave the highest
tylosin concentration, 5.8 g/l, after 5 days of culture. Of the various nitrogen
sources, soybean meal and fish meal were found to be the most effective for the
production of tylosin. In particular, with the optimal mixing ratio, 12 g/l of
soybean meal to 14 g/l of fish meal, 7.2 g/l of tylosin was obtained after 5 days
of culture. To compare raw cornmeal and glucose for the production oftylosin in
the 50-1 airlift bioreactor for 10 days, fed-batch cultures were carried out
under the optimum culture conditions. When raw corn meal was used as the energy
source, the tylosin production increased with increasing culture time. The
maximum tylosin concentration after 10 days of culture was 13.5 g/l, with a
product yield from raw cornmeal of 0.123 g/g of consumed carbon source, which was
about 7.2 times higher than that obtained when glucose was used as the carbon
source.
PMID- 18051316
TI - Generation of FISH probes using laser microbeam microdissection and application
to clinical molecular cytogenetics.
AB - Chromosome microdissection and the reverse FISH technique is one of the most
useful methods for the identification of structurally abnormal chromosomes. In
particular, the laser microbeam microdissection (LMM) method allows rapid
isolation of a target chromosome or a specific region of chromosomes without
damage of genetic materials and contamination. Isolated chromosomes were directly
amplified by the degenerate oligonucleotide-primed polymerase chain reaction (DOP
PCR), and then the FISH probes labeled with spectrum green- or spectrum red-dUTP
were generated by nick-translation. Whole chromosome painting (WCP) probes were
successfully generated from only 5 copies of the chromosome. With this method, we
produced 24 WCP probes for each human chromosome. We also tried to characterize a
marker chromosome, which seemed to be originated from chromosome 11 on
conventional banding technique. The marker chromosomes were isolated by the LMM
method and analyzed by reverse FISH. We elucidated that the marker chromosome was
originated from the short arm of chromosome 5 (5p11-->pter). A fully automated
and computer-controlled LMM method is a very simple laboratory procedure, and
enables rapid and precise characterization of various chromosome abnormalities.
PMID- 18051317
TI - The analysis and application of a recombinant monooxygenase library as a
biocatalyst for the Baeyer-Villiger reaction.
AB - Because of their selectivity and catalytic efficiency, BVMOs are highly valuable
biocatalysts for the chemoenzymatic synthesis of a broad range of useful
compounds. In this study, we investigated the microbial Baeyer-Villiger oxidation
and sulfoxidation of thioanisole and bicyclo[3.2.0]hept-2-en-6-one using whole
Escherichia coli cells that recombined with each of the Baeyer-Villiger
monooxygenases originated from Pseudomonas aeruginosa PAO1 and two from
Streptomyces coelicolor A3(2). The three BVMOs were identified in the microbial
genome database by a recently described protein sequence motif; e.g., BVMO motif
(FXGXXXHXXXW). The reaction products were identified as (R)-/(S)sulfoxide and 2
oxabicyclo/3-oxabicyclo[3.3.0]oct-6-en-2-one by GC-MS analysis. Consequently,
this study demonstrated that the three enzymes can indeed catalyze the Baeyer
Villiger reaction as a biocatalyst, and effective annotation tools can be
efficiently exploited as a source of novel BVMOs.
PMID- 18051318
TI - Cloning, purification, and characterization of a new DNA polymerase from a
hyperthermophilic archaeon, Thermococcus sp. NA1.
AB - Genomic analysis of Thermococcus sp. NA revealed the presence of a 3,927-base
pair (bp) family B-type DNA polymerase gene, TNA1_pol. TNA1_pol, without its
intein, was overexpressed in Escherichia coli, purified using metal affinity
chromatography, and characterized. TNA1_pol activity was optimal at pH 7.5 and 75
degrees C. TNA1_pol was highly thermostable, with a half-life of 3.5 h at 100
degrees C and 12.5 h at 95 degrees C. Polymerase chain reaction parameters of
TNA1_pol such as error-rate, processivity, and extension rate were measured in
comparison with rTaq, Pfu, and KOD DNA polymerases. TNA1_pol averaged one
incorrect bp every 4.45 kilobases (kb), and had a processivity of 150 nucleotides
(nt) and an extension rate of 60 bases/s. Thus, TNA1_pol has a much faster
elongation rate than Pfu DNA polymerase with 7-fold higher fidelity than that of
rTaq.
PMID- 18051319
TI - Molecular modeling and its experimental verification for the catalytic mechanism
of Candida antarctica lipase B.
AB - Quantum mechanical and molecular dynamics simulation analysis has been performed
on the model system for CALB (Candida antarctica lipase B) with esters to study
the reaction mechanism and conformational preference of catalytic hydrolysis and
the esterification reaction. Using quantum mechanical analysis, the ping-pong bi
bi mechanism was applied and energies and 3-dimensional binding configurations of
the whole reaction pathways were calculated. Further molecular dynamics
simulation analysis was performed on the basis of the transition state obtained
from quantum mechanical study to observe the effect of structures of,the
substrates. Calculation results using substrates of different chain length and
chiral configurations were compared for conformational preference. The calculated
results showed very small influence on chain length, whereas chiral conformation
showed big differences. Calculated results from molecular modeling studies have
been compared qualitatively with the experimental data using racemic mixtures of
(+/-)-cis-4-acetamido-cyclopent-2-ene-1-ethyl acetate as substrates.
PMID- 18051320
TI - Cloning and characterization of squalene synthase (SQS) gene from Ganoderma
lucidum.
AB - This report provides the complete nucleotide sequences of the full-length cDNA
encoding squalene synthase (SQS) and its genomic DNA sequence from a triterpene
producing fungus, Ganoderma lucidum. The cDNA of the squalene synthase (SQS)
(GenBank Accession Number: DQ494674) was found to contain an open reading frame
(ORF) of 1,404 bp encoding a 468-amino-acid polypeptide, whereas the SQS genomic
DNA sequence (GenBank Accession Number: DQ494675) consisted of 1,984 bp and
contained four exons and three introns. Only one gene copy was present in the G
lucidum genome. The deduced amino acid sequence of Ganoderma lucidum squalene
synthase (Gl-SQS) exhibited a high homology with other fungal squalene synthase
genes and contained six conserved domains. A phylogenetic analysis revealed that
G. lucidum SQS belonged to the fungi SQS group, and was more closely related to
the SQS of U. maydis than to those of other fungi. A gene expression analysis
showed that the expression level was relatively low in mycelia incubated for 12
days, increased after 14 to 20 days of incubation, and reached a relatively high
level in the mushroom primordia. Functional complementation of Gl-SQS in a SQS
deficient strain of Saccharomyces cerevisiae confirmed that the cloned cDNA
encoded a squalene synthase.
PMID- 18051321
TI - Effects of culture conditions on osteogenic differentiation in human mesenchymal
stem cells.
AB - Human bone marrow-derived mesenchymal stem cells (hBMMSCs) must differentiate
into osteogenic cells to allow for successful bone regeneration. In this study,
we investigated the effects of different combinations of three soluble osteogenic
differentiation-inducing factors [L-ascorbic acid (AC), beta-glycerophosphate
(betaG), and bone morphogenic protein-2 (BMP-2)] and the presence of a
hydroxyapatite (HA) substrate on hBMMSC osteogenic differentiation in vitro.
hBMMSCs were cultured in medium containing various combinations of the soluble
factors on culture plates with or without HA coating. After 7 days of culture,
alkaline phosphatase (ALP) activity, calcium deposition, and osteoprotegerin
(OPG) and osteopontin (OPN) expression were measured. The effects of individual
and combined factors were evaluated using a factorial analysis method. BMP-2
predominantly affected expression of early markers of osteogenic differentiation
(ALP and OPG). HA had the highest positive effect on OPN expression and calcium
deposition. The interaction between AC, betaG, and HA had the second highest
positive effect on ALP activity.
PMID- 18051322
TI - Assessment of lipopolysaccharide-binding activity of Bifidobacterium and its
relationship with cell surface hydrophobicity, autoaggregation, and inhibition of
interleukin-8 production.
AB - This study was performed to screen probiotic bifidobacteria for their ability to
bind and neutralize lipopolysaccharides (LPS) from Escherichia coli and to verify
the relationship between LPS-binding ability, cell surface hydrophobicity (CSH),
and inhibition of LPS-induced interleukin-8 (IL-8) secretion by HT-29 cells of
the various bifidobacterial strains. Ninety bifidobacteria isolates from human
feces were assessed for their ability to bind fluorescein isothiocyanate (FITC)
labeled LPS from E. coli. Isolates showing 30-60% binding were designated LPS
high binding (LPS-H) and those with less than 15% binding were designated LPS-low
binding (LPS-L). The CSH, autoaggregation (AA), and inhibition of LPS-induced IL
8 release from HT-29 cells of the LPS-H and LPS-L groups were evaluated. Five
bifidobacteria strains showed high levels of LPS binding, CSH, AA, and inhibition
of IL-8 release. However, statistically significant correlations between LPS
binding, CSH, AA, and reduction of IL-8 release were not found. Although we could
isolate bifidobacteria with high LPS-binding ability, CSH, AA, and inhibition of
IL-8 release, each characteristic should be considered as strain dependent.
Bifidobacteria with high LPS binding and inhibition of IL-8 release may be good
agents for preventing inflammation by neutralizing Gram-negative endotoxins and
improving intestinal health.
PMID- 18051323
TI - Bifidus fermentation increases hypolipidemic and hypoglycemic effects of red
ginseng.
AB - Antihyperlipidemic and antihyperglycemic effects of Red Ginseng (RG, steamed and
dried root of Panax ginseng C. A. Meyer, family Araliaceae), major component of
which is ginsenoside Rg3, and Bifidodoterium-fermented RG (FRG), major component
of which is ginsenoside Rh2, were investigated. Orally administered RG and FRG
potently reduced the serum triglyceride levels in corn-oil-induced
hypertriglycemidemic mice as well as total cholesterol and triglyceride levels in
Triton WR-1339-induced hyperlipidemic mice. Of the saponin and polysaccharide
fractions of RG and FRG, the polysaccharide fraction inhibited postprandial blood
glucose elevation of maltose- or starch-loaded mice and reduced the blood
triglyceride levels in corn-oil-induced hypertriglycemidemic mice. The saponin
fraction and its ginsenosides Rg3 and Rh2 reduced blood triglyceride and total
cholesterol levels in Triton WR1339-induced hyperlipidemic mice. The inhibitory
effect of FRG and its main constituents against hyperlipidemia and hyperglycemia
in mice were more potent than those of RG. These findings suggest that
hypolipidemic and hypoglycemic effects of RG can be enforced by Bifidus
fermentation and FRG may improve hyperlipidemia and hyperglycemia.
PMID- 18051324
TI - Inhibitory effects of cordycepin (3'-deoxyadenosine), a component of Cordyceps
militaris, on human platelet aggregation induced by thapsigargin.
AB - Cordycepin (3'-deoxyadenosine) is an adenosine analog, isolated from Cordyceps
militaris, and it has been used as an anticancer and anti-inflammation ingredient
in traditional Chinese medicine. We investigated the effects of cordycepin (3'
deoxyadenosine) on human platelet aggregation, which was induced by thapsigargin,
a tumor promoter, and determined the cytosolic free Ca2+ levels ([Ca2+]i) (an
aggregation-stimulating molecule) and cyclic-guanosine monophosphate (cGMP) (an
aggregation-inhibiting molecule). Cordycepin inhibited thapsigargin-induced
platelet aggregation in a dose-dependent manner, and it clearly reduced the
levels of [Ca+]i, which was increased by thapsigargin (1 microM) or U46619 (3
microM). Cordycepin also increased the thapsigargin-reduced cGMP levels.
Accordingly, our data demonstrated that cordycepin may have a beneficial effect
on platelet aggregation-mediated thrombotic diseases through the [Ca2+]i
regulating system such as cGMP.
PMID- 18051325
TI - The effect of pulse electric field on accumulation of selenium in cells of
Saccharomyces cerevisiae.
AB - Cultures of Saccharomyces cerevisiae were subjected to the effect of PEF (pulse
electric field) and a source of selenium. The culture period after which yeast
cells were subjected to PEF treatment was optimized, as was the duration of the
exposure. Optimization of the nutrient medium composition in S. cerevisiae
cultures resulted in an over 1.8-fold increase in selenium accumulation with
relation to cultures on the initial substrate. Optimization of the pH value and
of culture duration resulted in selenium accumulation increase by approximately
78%. A significant correlation was found between the accumulation of selenium in
yeast cells and its concentration in the culture substrate. The highest
accumulation of selenium in the biomass of yeast, approx. 240 microg/g d.m., was
obtained after 15-min exposure to PEF on a 20-h culture. An approx. 50% higher
content of selenium in cells was recorded, as compared with the control culture
without the application of PEF.
PMID- 18051326
TI - Biodegradation of endocrine-disrupting bisphenol A by white rot fungus Irpex
lacteus.
AB - Biodegradation of endocrine-disrupting bisphenol A was investigated with several
white rot fungi (Irpex lacteus, Trametes versicolor, Ganoderma lucidum,
Polyporellus brumalis, Pleurotus eryngii, Schizophyllum commune) isolated in
Korea and two transformants of T versicolor (strains MrP 1 and MrP 13). I.
lacteus degraded 99.4% of 50 mg/l bisphenol A in 3 h incubation and 100% in 12 h
incubation. which was the highest degradation rate among the fungal strains
tested. T. versicolor degraded 98.2% of 50 mg/l bisphenol A in 12 h incubation.
Unexpectedly, the transformant of the Mn-repressed peroxidase gene of T.
versicolor, strain MrP 1, degraded 76.5% of 50 mg/l bisphenol A in 12 h
incubation, which was a lower degradation rate than wild-type T. versicolor. The
removal of bisphenol A by I. lacteus occurred mainly by biodegradation rather
than adsorption. Optimum carbon sources for biodegradation of bisphenol A by I.
lacteus were glucose and starch, and optimum nitrogen sources were yeast extract
and tryptone in a minimal salts medium; however, bisphenol A degradation was
higher in nutrient-rich YMG medium than that in a minimal salts medium. The
initial degradation of endocrine disruptors was accompanied by the activities of
manganese peroxidase and laccase in the culture
PMID- 18051328
TI - Development of a novel vector system for programmed cell lysis in Escherichia
coli.
AB - Although widely used as a host for recombinant protein production, Escherichia
coli is unsuitable for massive screening of recombinant clones, owing to its poor
secretion of proteins. A vector system containing T4 holin and T7 lysozyme genes
under the control of the ptsG promoter derivative that is inducible in the
absence of glucose was developed for programmed cell lysis of E. coli. Because E.
coli harboring the vector grows well in the presence of glucose, but is lysed
upon glucose exhaustion, the activity of the foreign gene expressed in E. coli
can be monitored easily without an additional step for cell disruption after the
foreign gene is expressed sufficiently with an appropriate concentration of
glucose. The effectiveness of the vector was demonstrated by efficient screening
of the amylase gene from a Bacillus subtilis genomic library. This vector system
is expected to provide a more efficient and economic screening ofbioactive
products from DNA libraries in large quantities.
PMID- 18051327
TI - Production of monoclonal antibody against Listeria monocytogenes and its
application to immunochromatography strip test.
AB - An immunochromatography (ICG) strip test based on a monoclonal antibody for the
rapid detection of L. monocytogenes in meat and processed-meat samples was
developed in this study. A monoclonal antibody (MAb) specific to L. monocytogenes
was produced from cloned hybridoma cells (FKLM-3B12-37) and used to develop an
ICG strip test. The antibody showed a stronger binding to L. monocytogenes than
other Listeria species, and a weak cross-reaction to S. aureus based on an ELISA.
The detection limit of the ICG strip test was 10(5) cell/ml. In total, 116 meat
and processed-meat samples were collected and analyzed using both the ICG strip
test and a PCR. The ICG strip test and PCR indicated L. monocytogenes
contamination in 34 and 27 meat samples, respectively. The 7 meat samples not
identified as L. monocytogenes positive by the PCR were also tested using an API
kit and found to be contaminated by Listeria species. In conclusion, the ICG
strip test results agreed well with those obtained using the PCR and API kit.
Thus, the developed ICG has potential use as a primary screening tool for L.
monocytogenes in various foods and agricultural products, generating results
within 20 min without complicated steps.
PMID- 18051329
TI - Cloning of four genes involved in limonene hydroxylation from Enterobacter
cowanii 6L.
AB - Genes encoding proteins responsible for limonene catabolism were cloned from a
limonene-degrading microorganism, Enterobacter cowanii 6L, which was isolated
from citron (Citrus junos) peel. The 8.6, 4.7, and 7.7 kb fragments (CD3, CD4,
and CD6) of E. cowanii 6L chromosomal DNA that confer to E. coli the ability to
grow on limonene have been cloned and their corresponding DNA sequences were
determined. Nine open reading frames (ORFs) were identified, and the four ORFs
(921 bp of CD3-2; 1515 bp of CD4-1; 1776 bp of CD6-1; and 1356 bp of CD6-2) that
encode limonene hydroxylase were confirmed by independently expressing these
genes in E. coli. FAD and NADH were found to stimulate the hydroxylation reaction
if added to cell extracts from E. coli recombinants, and multiple compounds
(linalool, dihydrolinalool, perillyl alcohol, alpha-terpineol, and gamma
terpineol) were the principal products observed. Our results suggest that the
isolate E. cowanii 6L has a broad metabolic capability including utilization of
limonene. This broad metabolic ability was confirmed by identifying four novel
limonene hydroxylase functional ORFs in E. cowanii 6L.
PMID- 18051330
TI - Simultaneous detection and identification of Bacillus cereus group bacteria using
multiplex PCR.
AB - Bacillus cereus group bacteria share a significant degree of genetic similarity.
Thus, to differentiate and identify the Bacillus cereus group efficiently, a
multiplex PCR method using the gyrB and groEL genes as diagnostic markers is
suggested for simultaneous detection. The assay yielded a 400 bp amplicon for the
groEL gene from all the B. cereus group bacteria, and a 253 bp amplicon from B.
anthracis, 475 bp amplicon from B. cereus, 299 bp amplicon from B. thuringiensis,
and 604 bp amplicon from B. mycoides for the gyrB gene. No nonspecific amplicons
were observed with the DNA from 29 other pathogenic bacteria. The specificity and
sensitivity of the B. cereus group identification using this multiplex PCR assay
were evaluated with different kinds of food samples. In conclusion, the proposed
multiplex PCR is a reliable, simple, rapid, and efficient method for the
simultaneous identification of B. cereus group bacteria from food samples in a
single tube.
PMID- 18051331
TI - Effect of 3,3',4',5-tetrachlorosalicylanilide on reduction of excess sludge and
nitrogen removal in biological wastewater treatment process.
AB - A metabolic uncoupler, 3,3',4',5-tetrachlorosalicylanilide (TCS), was used to
reduce excess sludge production in biological wastewater treatment processes.
Batch experiments confirmed that 0.4 mg/l of TCS reduced the aerobic growth yield
of activated sludge by over 60%. However, the growth yield remained virtually
constant even at the increased concentrations of TCS when cultivations were
carried out under the anoxic condition. Reduction of sludge production yield was
confirmed in a laboratory-scale anoxic-oxic process operated for 6 months.
However, it was found that ammonia oxidation efficiency was reduced by as much as
77% in the presence of 0.8 mg/l of TCS in the batch culture. Similar results were
also obtained through batch inhibition tests with activated sludges and by
bioluminescence assays using a recombinant Nitrosomonas europaea (pMJ217).
Because of this inhibitory effect of TCS on nitrification, the TCS-fed continuous
system failed to remove ammonia in the influent. When TCS feeding was stopped,
the nitrification yield of the process was resumed. Therefore, it seems to be
necessary to assess the nitrogen content of wastewater if TCS is used for
reducing sludge generation.
PMID- 18051332
TI - Detection and kinetics of mucosal pathogenic bacteria binding with
polysaccharides.
AB - The detection and kinetics of mucosal pathogenic bacteria binding on
polysaccharide ligands were studied using a surface plasmon resonance biosensor.
The kinetic model applied curve-fitting to the experimental surface plasmon
resonance sensorgrams to evaluate the binding interactions. The kinetic
parameters for the mucosal pathogenic bacteria (Pseudomonas aeruginosa,
Pseudomonasfluorescens, Serratia marcescens) with the alginate ligand were
determined from a kinetic model. In addition, the binding interactions of the
mucosal pathogenic bacteria with polysaccharide binding pairs (Pseudomonas
aeruginosa/alginate, Streptococcus pneumoniae/pneumococcal polysaccharide,
Staphylococcus aureus/pectin) were also compared with their kinetic parameters.
The rate constants of association for Pseudomonas aeruginosa with the alginate
ligand were higher than those for Pseudomonas fluorescens. Serratia marcescens
had no detectable interaction with the alginate ligand. The adhesion affinity of
Pseudomonas aeruginosa with alginate was higher than that for the other binding
pairs. The binding affinities of the pathogenic bacteria with their own
polysaccharide were higher than that of Staphylococcus aureus with pectin.
Measuring the contact angle was found to be a feasible method for detecting
binding interactions between analytes and ligands.
PMID- 18051333
TI - Involvement of extracellular matrix and integrin-like proteins on conidial
adhesion and appressorium differentiation in Magnaporthe oryzae.
AB - Conidial adhesion and appressorium formation of Magnaporthe oryzae on the rice
surface are important early events in the infection process. As an initiative
step to understand the mechanisms underlying these cellular processes at a
biochemical level, the effect of a human fibronectin antibody (HFA) and RGD
peptides on conidial adhesion and appressorium formation was evaluated. HFA
inhibited conidial adhesion and appressorium formation in a dosage-dependent
manner. RGD peptides also inhibited these cellular events. Conidial adhesion and
appressorium formation inhibited by RGD peptides were restored by chemicals
involved in the cyclic AMP-dependent signaling pathway. These results suggest
that extracellular matrix proteins might be involved in conidial adhesion and
appressorium formation through integrin-like receptor mediation and modulation of
cAMP-dependent signaling in the cells.
PMID- 18051334
TI - Functional analysis of the residues C770 and G771 of E. coli 16S rRNA implicated
in forming the intersubunit bridge B2c of the ribosome.
AB - Structural analyses have shown that nucleotides at the positions 770 and 771 of
Escherichia coli 16S rRNA are implicated in forming one of highly conserved
intersubunit bridges of the ribosome, B2c. To examine a functional role of these
residues, base substitutions were introduced at these positions and mutant
ribosomes were analyzed for their protein synthesis ability using a specialized
ribosome system. The results showed requirement of a pyrimidine at the position
770 for ribosome function regardless of the nucleotide identity at the position
771. Sucrose gradient profiles of ribosomes revealed that the loss of protein
synthesis ability of mutant ribosome bearing a base substitution from C to G at
the position 770 stems from its inability to form 70S ribosomes. These findings
indicate involvement of nucleotide at the position 770, not 771, in ribosomal
subunit association and provide a useful rRNA mutation that can be used as a
target to investigate the physical interaction between 16S and 23S rRNA.
PMID- 18051335
TI - Repeated batch production of epothilone B by immobilized Sorangium cellulosum.
AB - Production of extracellular epothilone B, one of the potent anticancer agents, by
free and immobilized Sorangium cellulosum was studied using the repeated batch
culture process. The concentration of alginate used in immobilization was
directly related to the mass transfer rate of nutrients, mechanical stability,
and the epothilone B production yield. With the optimized 3% (w/v) calcium
alginate carrier, a prolonged repeated batch culture was investigated for the 5
repeated batches for 24 days. The maximum productivity of epothilone B obtained
from the alginate-immobilized cells was 5.03 mg/l/day, which is 3 times higher
than that of free cells (1.68 mg/l/day).
PMID- 18051336
TI - Development of a monitoring vector for Leuconostoc mesenteroides using the green
fluorescent protein gene.
AB - The vector pCW5 with plasmid pC7, originally isolated in Lactobacillus
paraplantarum C7 derived from kimchi, was constructed using a p32 strong
promoter, the pC7 replicon, and green fluorescent protein (GFP) as the reporter.
The constructed vector was transformed into E. coli and Leuconostoc
mesenteroides, and GFP expression detected using a Western blot analysis. GFP
fluorescence was recognized in E. coli and Leuconostoc mesenteroides using a
confocal microscope. In addition, GFP fluorescence was also clearly detected in
several industrially important lactic acid bacteria (LAB), including
Lactobacillus bulgaricus, Lactobacillus paraplantarum, and Lactobacillus
plantarum. Thus, pCW5 was shown to be effective for Leuconostoc mesenteroides
when using GFP as the reporter, and it can also be used as a broad-host-range
vector for other lactic acid bacteria.
PMID- 18051337
TI - Antifungal cyclopeptolide from fungal saprophytic antagonist Ulocladium atrum.
AB - The saprophytic fungus Ulocladium atrum Preuss is a promising biological control
agent for Botrytis cinerea in greenhouse- and field-grown crops. However, despite
its known potent antifungal activity, no antifungal substance has yet been
reported. In an effort to characterize the antifungal substance from U atrum, we
isolated an antibiotic peptide. Based on extensive spectroscopic analyses, its
structure was established as a cyclopeptolide with a high portion of N-methylated
amino acids, and its 1H and 13C chemical shifts were completely assigned based on
extensive 1D and 2D NMR experiments. Compound 1 exhibited potent antifungal
activity against the plant pathogenic fungus Botrytis cinerea and moderate
activity against Alternaria alternate and Magnaporthe grisea.
PMID- 18051339
TI - Role of oral appliances in the management of sleep bruxism and temporomandibular
disorders.
PMID- 18051338
TI - Batch and continuous culture kinetics for production of carotenoids by beta
ionone-resistant mutant of Xanthophyllomyces dendrorhous.
AB - A beta-ionone-resistant mutant strain isolated from the red yeast
Xanthophyllomyces dendrorhous KCTC 7704 was used for batch and continuous
fermentation kinetic studies with glucose media in a 2.5-1 jar fermentor at 22
degrees C and pH 4.5. The kinetic pattern of growth and carotenoid concentration
in the batch fermentations exhibited a so-called mixed-growth-associated product
formation, possibly due to the fact that the content of intracellular carotenoids
depends on the degree of physical maturation toward adulthood. To determine the
maximum specific growth rate constant (microm) and Monod constant (k(s)) for the
mutant, glucose-limited continuous culture studies were performed at different
dilution rates within a range of 0.02-0.10 h(-1). A reciprocal plot of the steady
state data (viz., reciprocal of glucose concentration versus residence time)
obtained from continuous culture experiments was used to estimate a microm of
0.15 h(-1) and k(s) of 1.19 g/l. The carotenoid content related to the residence
time appeared to assume a typical form of saturation kinetics. The maximum
carotenoid content (Xm) for the mutant was estimated to be 1.04 microg/mg dry
cell weight, and the Lee constant (k(m)), which was tentatively defined in this
work, was found to be 3.0 h.
PMID- 18051340
TI - Evolution and integration of current restorative endodontic concepts.
PMID- 18051341
TI - Understanding and managing dental caries: a medical approach.
AB - This article has taken a medical approach toward the understanding and managing
of dental caries. Caries has been defined as a point in a person's life when
there is an imbalance between protective and pathologic factors such that the
process of demineralization of tooth structure by acid from bacteria in the tooth
biofilm exceeds the patient's ability to remineralized tooth structure. The
patient diagnosed with caries is out of balance. Caries is a diagnosis of a
person. People have caries, teeth have lesions. Caries lesion detection,
classification, and analysis are done at the level of the tooth surface. Caries
is a process in time. Today we establish a diagnosis. For the future we establish
a prediction. Patients can be diagnosed as caries active, caries balanced, or
caries undetermined. For an improved treatment plan, future risk and prognosis
assessments are accomplished by classifying all patients as either low, moderate,
or high risk. A combination of diagnosis and risk or prognosis assessment leads
to five treatment groups, each with an appropriate protocol for managing the
disease process. The five protocols follow from the use of the four-step medical
model for caries management. In the end, there are two treatment plans for these
patients: a restorative therapy plan that treats the holes and a disease
management plan that treats the disease. Surgical treatment does not manage the
disease. Today we are managing tooth decay with medicine instead of a drill.
PMID- 18051342
TI - Ten fundamental components of dental practice management.
PMID- 18051343
TI - Access to dental care: a social justice discussion.
PMID- 18051345
TI - What is a Jewish dentist doing in church?
PMID- 18051344
TI - Surgical soft tissue management.
PMID- 18051346
TI - On the road again.
PMID- 18051347
TI - Nanotechnology in biodevices.
AB - Nanotechnology is the creation and utilization of materials, devices, and systems
through the control of matter on the nanometer. The technology has been applied
to biodevices such as bioelectronics and biochips to improve their performances.
Nanoparticles, such as gold (Au) nanoparticles, are the most widely used of the
various other nanotechnologies for manipulation at the nanoscale as well as
nanobiosensors. The immobilization of biomolecules is playing an increasingly
important role in the development of biodevices with high performance.
Nanopatterning technology, which is able to increase the density of chip arrays,
offers several advantages, including cost lowering, simultaneous multicomponent
detection, and the efficiency increase of biochemical reactions. A microfluidic
system incorporated with control of nanoliter of fluids is also one of the main
applications of nanotechnologies. This can be widely utilized in the various
fields because it can reduce detection time due to tiny amounts of fluids,
increase signal-to-noise ratio by nanoparticles in channel, and detect multi
targets simultaneously in one chamber. This article reviews nanotechnologies such
as the application of nanoparticles for the detection of biomolecules, the
immobilization of biomolecules at nanoscale, nanopatterning technologies, and the
microfluidic system for molecular diagnosis.
PMID- 18051348
TI - Identification and molecular characterization of novel cry1-type toxin genes from
Bacillus thuringiensis K1 isolated in Korea.
AB - To clone novel cry1-type genes from the Bacillus thuringiensis K1 isolate, about
2.4-kb-long PCR fragments were amplified with two primer sets of ATG1-F/N400-R
and 1BeATG1-F/N400-R. Using PCR-RFLP, three novel cry1-type genes, cry1-1, cry1
7, and cry1-44, were obtained from B. thuringiensis K1 and the complete coding
sequences of these novel genes were analyzed. The Cry1-1, Cry1-7, and Cry1-44
proteins showed maximum similarities of about 78.0%, 99.7%, and 91.0% with the
Cry1Ha1, Cry1Be1, and Cry1Ac2 proteins, respectively. These novel cry1-type genes
were expressed using a baculovirus expression vector system and their
insecticidal activities were investigated. Whereas all three novel genes were
toxic to Plutella xylostella larvae, only Cry1-1 showed insecticidal activity
against Spodoptera exigua larvae.
PMID- 18051349
TI - Isolation and characterization of biopolymers extracted from the bark of
Acanthopanax sessiliflorus and their anticomplement activity.
AB - The crude biopolymer (AS-S1) and endo-biopolymer (AS-S2) were isolated from the
dry stem bark of Acanthopanax sessiliflorus and tested for anti complement
activity. The two potent anticomplement biopolymers, AS-1 and AS-2-Fr.I, were
isolated by the combination of ion-exchange chromatography and gel filtration
methods from the endo-biopolymers (AS-S2). The anticomplement activity of AS-1
(MW 12 kDa) and AS-2-Fr.I (MW 180 kDa) were found to be 84.4% and 100.0%,
respectively, at the concentration of 25 microg/ml. Activated pathway of the
complement system occurred in both classical and alternative pathways, as
evidenced by crossed immunoelectrophoresis (CIEP), where a major pathway was
detected to be the classical one. It was found that the anticomplement activities
of the periodate oxidized were decreased significantly, but those of pronase
digested biopolymers of AS-1 and AS-2-Fr.I were decreased very little. The AS-1
contained 2,4,6-tri-O-methyl-D-glucitol, 2,3,6-tri-O-methyl-D-glucitol, and 2,3,6
tri-O-methyl-D-galacitol, which indicated that AS-1 contained a (1 --> 3), (1 -->
4)-linked glucopyranosyl residue and a (1 --> 4)-linked galactosyl residue. AS-2
Fr.I contained mainly 2,4-di-O-methyl-D-mannitol and 2,3,4-tri-O-methyl-D
galacitol, which contained (1 --> 3), (1 --> 6) linked mannosyl and (1 --> 6)
linked galactosyl residues.
PMID- 18051350
TI - Cloning, characterization, and expression of xylanase A gene from Paenibacillus
sp. DG-22 in Escherichia coli.
AB - The xynA gene encoding the xylanase A of Paenibacillus sp. DG-22 was isolated
with a DNA probe obtained by PCR amplification, using degenerated primers deduced
from the amino acid residues of the known N-terminal region of the purified
enzyme and the conserved region in the family 11 xylanases. The positive clones
were screened on the LB agar plates supplemented with xylan, by the Congo-red
staining method. The xynA gene consists of a 630-bp open reading frame encoding a
protein of 210 amino acids, and the XynA preprotein contains a 28-residues signal
peptide whose cleavage yields a 182-residues mature protein of a calculated
molecular weight of 20,000 Da and pI value of 8.77. The cloned DNA fragment also
has another ORF of 873 nucleotides that showed 76% identity to the putative
transcriptional activator of Bacillus halodurans C-125. Most of the xylanase
activity was found in the periplasmic space of E. coli. The xynA gene was
subcloned into pQE60 expression vector to fuse with six histidine-tag. The
recombinant xylanase A was purified by heating and immobilized metal affinity
chromatography. The optimum pH and temperature of the purified enzyme were 6.0
and 60 degrees C, respectively. This histidine-tagged xylanase A was less
thermostable than the native enzyme.
PMID- 18051351
TI - Cloning, expression, and characterization of Bacillus sp. snu-7 inulin
fructotransferase.
AB - A gene encoding inulin fructotransferase (di-D-fructofuranose 1,2': 2,3'
dianhydride [DFA III]-producing IFTase, EC 4.2.2.18) from Bacillus sp. snu-7 was
cloned. This gene was composed of a single, 1,353-bp open reading frame encoding
a protein composed of a 40-amino acid signal peptide and a 410-amino acid mature
protein. The deduced amino acid sequence was 98% identical to Arthrobacter
globiformis C11-1 IFTase (DFA III-producing). The enzyme was successfully
expressed in E. coli as a functionally active, His-tagged protein, and it was
purified in a single step using immobilized metal affinity chromatography. The
purified enzyme showed much higher specific activity (1,276units/mg protein) than
other DFA III-producing IFTases. The recombinant and native enzymes were
optimally active in very similar pH and temperature conditions. With a 103-min
half-life at 60 degrees C, the recombinant enzyme was as stable as the native
enzyme. Acidic residues and cysteines potentially involved in the catalytic
mechanism are proposed based on an alignment with other IFTases and a DFA IIIase.
PMID- 18051352
TI - Isolation and characterization of mucous exopolysaccharide (EPS) produced by
Vibrio furnissii strain VB0S3.
AB - Marine bacterial strains were isolated from coastal regions of Goa and screened
for the strains that produce the highest amount of mucous exopolysaccharide
(EPS). Our screening resulted in the identification of the strain Vibrio
furnissii VB0S3 (hereafter called VB0S3), as it produced the highest EPS in batch
cultures during the late logarithmic growth phase. The isolate was identified as
VB0S3 based on morphological and biochemical properties. Growth and EPS
production were studied in mineral salts medium supplemented with NaCl (1.5%) and
glucose (0.2%). The exopolymer was recovered from the culture supernatant by
using three volumes of cold ethanol precipitation and dialysis procedure.
Chemical analyses of EPS revealed that it is primarily composed of neutral
sugars, uronic acids, and proteins. Fourier-transform infrared (FT-IR)
spectroscopy revealed the presence of carboxyl, hydroxyl, and amide groups, which
correspond to a typical heteropolymeric polysaccharide, and the EPS also
possessed good emulsification activity. The gas chromatographic analysis of an
alditol-acetate derivatized sample of EPS revealed that it was mainly composed of
galactose and glucose. Minor components found were mannose, rhamnose, fucose,
ribose, arabinose, and xylose. EPS was readily isolated from culture
supernatants, which suggests that the EPS was a slime-like exopolysaccharide.
This is the first report of exopolysaccharide characterization that describes the
isolation and characterization of an EPS expressed by Vibrio furnissii strain
VB0S3. The results of the study contribute significantly and go a long way
towards an understanding of the correlation between growth and EPS production,
chemical composition, and industrial applications of the exopolysaccharide in
environmental biotechnology and bioremediation.
PMID- 18051353
TI - Microcosm study for revegetation of barren land with wild plants by some plant
growth-promoting rhizobacteria.
AB - Growth promotion of wild plants by some plant growth-promoting rhizobacteria
(PGPR) was examined in the microcosms composed of soils collected separately from
a grass-covered site and a nongrass-covered site in a lakeside barren area at
Lake Paro, Korea. After sowing the seeds of eight kinds of wild plants and
inoculation of several strains of PGPR, the total bacterial number and microbial
activity were measured during 5 months of study period, and the plant biomasses
grown were compared at the end of the study. Acridine orange direct counts in the
inoculated microcosms, 1.3-9.8 x 10(9) cells x g soil(-1) in the soil from the
grass-covered area and 0.9-7.2 x 10(9) cells x g soil(-1) in the soil from the
nongrass-covered site, were almost twice higher than those in the uninoculated
microcosms. The number of Pseudomonas sp., well-known bacteria as PGPR, and the
soil dehydrogenase activity were also higher in the inoculated soils than the
uninoculated soils. The first germination of sowed seeds in the inoculated
microcosm was 5 days earlier than the uninoculated microcosm. Average lengths of
all plants grown during the study period were 26% and 29% longer in the
inoculated microcosms starting with the grass-covered soil and the nongrass
covered soil, respectively, compared with those in the uninoculated microcosms.
Dry weights of whole plants grown were 67-82% higher in the inoculated microcosms
than the uninoculated microcosms. Microbial population and activity and growth
promoting effect by PGPR were all higher in the soils collected from the grass
covered area than in the nongrass-covered area. The growth enhancement of wild
plants seemed to occur by the activities of inoculated microorganisms, and this
capability of PGPR may be utilized for rapid revegetation of some barren lands.
PMID- 18051354
TI - Cloning and overexpression of a Paenibacillus beta-glucanase in Pichia pastoris:
purification and characterization of the recombinant enzyme.
AB - Isolation, expression, and characterization of a novel endo-beta-1,3(4)-D
glucanase with high specific activity and homology to Bacillus lichenases is
described. One clone was screened from a genomic library of Paenibacillus sp. F
40, using lichenan-containing plates. The nucleotide sequence of the clone
contains an ORF consisting of 717 nucleotides, encoding a beta-glucanase protein
of 238 amino acids and 26 residues of a putative signal peptide at its N
terminus. The amino acid sequence showed the highest similarity of 87% to other
beta-1,3-1,4-glucanases of Bacillus. The gene fragment Bg1 containing the mature
glucanase protein was expressed in Pichia pastoris at high expression level in a
3-1 high-cell-density fermenter. The purified recombinant enzyme Bgl showed
activity against barley beta-glucan, lichenan, and laminarin. The gene encodes an
endo-beta-1,3(4)-D-glucanase (E. C. 3.2.1.6). When lichenan was used as
substrate, the optimal pH was 6.5, and the optimal temperature was 60 degrees C.
The K(m), V(max), and k(cat) values for lichenan are 2.96 mg/ml, 6,951
micromol/min x mg, and 3,131 s(-1), respectively. For barley beta-glucan the
values are 3.73 mg/ml, 8,939 micromol/min x mg, and 4,026 s(-1), respectively.
The recombinant Bg1 had resistance to pepsin and trypsin. Other features of
recombinant Bg1 including temperature and pH stability, and sensitivity to some
metal ions and chemical reagents were also characterized.
PMID- 18051355
TI - Monitoring of microbial diversity and activity during bioremediation of crude oil
contaminated soil with different treatments.
AB - The present study compared the microbial diversity and activity during the
application of various bioremediation processes to crude oil-contaminated soil.
Five different treatments, including natural attenuation (NA), biostimulation
(BS), biosurfactant addition (BE), bioaugmentation (BA), and a combined treatment
(CT) of biostimulation, biosurfactant addition, and bioaugmentation, were used to
analyze the degradation rate and microbial communities. After 120 days, the level
of remaining hydrocarbons after all the treatments was similar, however, the
highest rate (k) of total petroleum hydrocarbon (TPH) degradatioN was observed
with the CT treatment (P < 0.05). The total bacterial counts increased during the
first 2 weeks with all the treatments, and then remained stable. The bacterial
communities and alkane monooxygenase gene fragment, alkB, were compared by
denaturing gradient gel electrophoresis (DGGE). The DGGE analyses of the BA and
CT treatments, which included Nocardia sp. H17-1, revealed a simple dominant
population structure, compared with the other treatments. The Shannon-Weaver
diversity index (H') and Simpson dominance index (D), calculated from the DGGE
profiles using 16S rDNA, showed considerable qualitative differences in the
community structure before and after the bioremediation treatment as well as
between treatment conditions.
PMID- 18051356
TI - Molecular cloning and functional expression of esf gene encoding enantioselective
lipase from Serratia marcescens ES-2 for kinetic resolution of optically active
(S)-flurbiprofen.
AB - An enantioselective lipase gene (esf) for the kinetic resolution of optically
active (S)-flurbiprofen was cloned from the new strain Serratia marcescens ES-2.
The esf gene was composed of a 1,845-bp open reading frame encoding 614 amino
acid residues with a calculated molecular mass of 64,978 Da. The lipase expressed
in E. coli was purified by a three-step procedure, and it showed preferential
substrate specificity toward the medium-chain-length fatty acids. The esf gene
encoding the enantioselective lipase was reintroduced into the parent strain S.
marcescens ES-2 for secretory overexpression. The transformant S. marcescens BESF
secreted up to 217 kU/ ml of the enantioselective lipase, about 54-fold more than
the parent strain, after supplementing 3.0% Triton X-207. The kinetic resolution
of (S)-flurbiprofen was carried out even at an extremely high (R,S)-flurbiprofen
ethyl ester [(R,S)-FEE] concentration of 500 mM, 130 kU of the S. marcescens ES-2
lipase per mmol of (R,S)-FEE, and 1,000 mM of succinyl beta-cyclodextrin as the
dispenser at 37 degrees C for 12 h, achieving the high enantiomeric excess and
conversion yield of 98% and 48%, respectively.
PMID- 18051357
TI - Distinct regulation of the sprC gene encoding Streptomyces griseus protease C
from other chymotrypsin genes in Streptomyces griseus IFO13350.
AB - The sprC gene encodes Streptomyces griseus protease C (SGPC), a bacterial
chymotrypsin-like serine protease. Because the published data on sprC was not
complete, we cloned and analyzed a new DNA fragment spanning downstream to
upstream of the sprC gene from S. griseus IFO13350. The cloned 2.3-kb DNA
fragment was placed on a high-copy number plasmid and introduced into
Streptomyces lividans TK24. Chymotrypsin activity of the transformant was 8.5
times higher than that of the control after 3 days of cultivation and stably
maintained until 9 days of cultivation, which clearly indicated that the cloned
2.3-kb fragment contained the entire sprC gene with its own promoter. When the
same construct was introduced in the S. griseus IFO13350 (wild strain) and its
two mutant strains in the A-factor regulatory cascade, deltaadpA and HO1, the
chymotrypsin activity increased fivefold only in the deltaadpA strain.
Transcriptional analysis based on RT-PCR revealed that the sprC gene is normally
transcribed in both strains; however, earlier transcription was observed in the
wild strain compared with the deltaadpA strain. A gel mobility shift assay showed
that the AdpA protein did not bind to the promoter region of sprC. All these data
clearly indicate that the expression of sprC is not dependent on the AdpA
protein, but is distinctly regulated from other chymotrypsin genes composing an
AdpA regulon. Earlier morphological differentiation was observed in S. lividans
TK24, and S. griseus IFO13350 and HO1, transformed with the expression vector.
The transformant of S. griseus deltaadpA formed markedly larger colonies.
Antisense repression of sprC resulted in severe decrease of chymotrypsin
activity, down to one-third of the control, and delayed morphological
differentiation. All these data suggest that SGPC is related to normal
morphogenesis in S. griseus.
PMID- 18051358
TI - Enhanced proteomic analysis of Streptomyces peucetius cytosolic protein using
optimized protein solubilization protocol.
AB - Improvements in the dissolution of proteins in two-dimensional gel
electrophoresis have greatly advanced the ability to analyze the proteomes of
microorganisms under a wide variety of physiological conditions. This study
examined the effect of various combinations of chaotropic agents, a reducing
agent, and a detergent on the dissolution of the Streptomyces peucetius cytosolic
proteins. The use of urea alone in a rehydration buffer as a chaotropic agent
gave the proteome a higher solubility than any of the urea and thiourea
combinations, and produced the highest resolution and clearest background in two
dimensional gel electrophoresis. Two % CHAPS, as a detergent in a rehydration
buffer, improved the protein solubility. After examining the effect of several
concentrations of reducing agent, 50 mM DTT in a rehydration buffer was found to
be an optimal condition for the proteome analysis of Streptomyces. Using this
optimized buffer condition, more than 2,000 distinct and differentially expressed
soluble proteins could be resolved using two-dimensional gel electrophoresis with
a pI ranging from 4-7. Under this optimized condition, 15 novel small proteins
with low-level expression, which could not be analyzed under the non-optimized
conditions, were identified. Overall, the optimized condition helped produce a
better reference gel for Streptomyces peucetius.
PMID- 18051359
TI - Two bacterial entophytes eliciting both plant growth promotion and plant defense
on pepper (Capsicum annuum L.).
AB - Plant growth-promoting rhizobacteria (PGPR) have the potential to be used as
microbial inoculants to reduce disease incidence and severity and to increase
crop yield. Some of the PGPR have been reported to be able to enter plant tissues
and establish endophytic populations. Here, we demonstrated an approach to screen
bacterial endophytes that have the capacity to promote the growth of pepper
seedlings and protect pepper plants against a bacterial pathogen. Initially, out
of 150 bacterial isolates collected from healthy stems of peppers cultivated in
the Chungcheong and Gyeongsang provinces of Korea, 23 putative endophytic
isolates that were considered to be predominating and representative of each
pepper sample were selected. By phenotypic characterization and partial 16S rDNA
sequence analysis, the isolates were identified as species of Ochrobacterium,
Pantoea, Pseudomonas, Sphingomonas, Janthinobacterium, Ralstonia, Arthrobacter,
Clavibacter, Sporosarcina, Acidovorax, and Brevundimonas. Among them, two
isolates, PS4 and PS27, were selected because they showed consistent colonizing
capacity in pepper stems at the levels of 10(6)-10(7) CFU/g tissue, and were
found to be most closely related to Pseudomonas rhodesiae and Pantoea ananatis,
respectively, by additional analyses of their entire 16S rDNA sequences.
Drenching application of the two strains on the pepper seedlings promoted
significant growth of peppers, enhancing their root fresh weight by 73.9% and
41.5%, respectively. The two strains also elicited induced systemic resistance of
plants against Xanthomonas axonopodis pv. vesicatoria.
PMID- 18051360
TI - Production of gamma-aminobutyric acid (GABA) by Lactobacillus buchneri isolated
from kimchi and its neuroprotective effect on neuronal cells.
AB - Lactic acid bacteria that accumulated gamma-aminobutyric acid (GABA) in culture
medium were screened to identify strains with high GABA-producing ability. One
strain, MS, which was isolated from kimchi, showed the highest GABA-producing
ability among the screened strains. MS was identified as Lactobacillus buchneri
based on Gram-staining, metabolic characteristics, and 16S rDNA sequence
determination. Optimum culture conditions for GABA production were determined:
MRS broth containing 5% MSG, 1% NaCl, and 1% glucose, at an initial pH of 5.0,
the incubation temperature at 30 degrees C for 36 h. Under these conditions, MS
produced GABA at a concentration of 251 mM with a 94% GABA conversion rate.
Moreover, culture extracts of Lb. buchneri MS partially or completely protected
neuronal cells against neurotoxicant-induced cell death.
PMID- 18051361
TI - Enrichment of electrochemically active bacteria using a three-electrode
electrochemical cell.
AB - Electrochemically active bacteria were successfully enriched in an
electrochemical cell using a positively poised working electrode. The positively
poised working electrode (+0.7 V vs. Ag/AgCl) was used as an electron acceptor
for enrichment and growth of electrochemically active bacteria. When activated
sludge and synthetic wastewater were fed to the electrochemical cell, a gradual
increase in amperometric current was observed. After a period of time in which
the amperometric current was stabilized (generally 8 days), linear correlations
between the amperometric signals from the electrochemical cell and added BOD
(biochemical oxygen demand) concentrations were established. Cyclic voltammetry
of the enriched electrode also showed prominent electrochemical activity. When
the enriched electrodes were examined with electron microscopy and confocal
scanning laser microscopy, a biofilm on the enriched electrode surface and
bacterium-like particles were observed. These experimental results indicate that
the electrochemical system in this study is a useful tool for the enrichment of
an electrochemically active bacterial consortium and could be used as a novel
microbial biosensor.
PMID- 18051362
TI - Development of bioreactor system for L-tyrosine synthesis using thermostable
tyrosine phenol-lyase.
AB - An efficient enzyme system for the synthesis of L-tyrosine was developed using a
fed-batch reactor with continuous feeding of phenol, pyruvate, and ammonia. A
thermo- and chemostable tyrosine phenol-lyase from Symbiobacterium toebii was
employed as the biocatalyst in this work. The enzyme was produced using a
constitutive expression system in Escherichia coli BL21, and prepared as a
soluble extract by rapid clarification, involving treatment with 40% methanol in
the presence of excess ammonium chloride. The stability of the enzyme was
maintained for at least 18 h under the synthesis conditions, including 75 mM
phenol at pH 8.5 and 40 degrees C. The fed-batch system (working volume, 0.5 1)
containing 1.0 kU of the enzyme preparation was continuously fed with two
substrate preparations: one containing 2.2 M phenol and 2.4 M sodium pyruvate,
and the other containing 0.4 mM pyridoxal-5-phosphate and 4 M ammonium chloride
(pH 8.5). The system produced 130 g/l of L-tyrosine within 30 h, mostly as
precipitated particles, upon continuous feeding of the substrates for 22 h. The
maximum conversion yield of L-tyrosine was 94% on the basis of the supplied
phenol.
PMID- 18051364
TI - Ectopic expression of apple MbR7 gene induced enhanced resistance to transgenic
Arabidopsis plant against a virulent pathogen.
AB - A disease resistance related gene, MbR7, was identified in the wild apple
species, Malus baccata. The MbR7 gene has a single open reading frame (ORF) of
3,288 nucleotides potentially encoding a 1,095-amino acid protein. Its deduced
amino acid sequence resembles the N protein of tobacco and the NL27 gene of
potato and has several motifs characteristic of a TIR-NBS-LRR R gene subclass.
Ectopic expression of MbR7 in Arabidopsis enhanced the resistance against a
virulent pathogen, Pseudomonas syringae pv. tomato DC3000. Microarray analysis
confirmed the induction of defense-related gene expression in 35S::MbR7
heterologous Arabidopsis plants, indicating that the MbR7 gene likely activates a
downstream resistance pathway without interaction with pathogens. Our results
suggest that MbR7 can be a potential target gene in developing a new disease
resistant apple variety.
PMID- 18051363
TI - Molecular cloning and characterization of trehalose biosynthesis genes from
hyperthermophilic archaebacterium Metallosphaera hakonesis.
AB - The trehalose (alpha-D-glucopyranosyl-[1,1]-alpha-D-glucopyranose) biosynthesis
genes MhMTS and MhMTH, encoding a maltooligosyltrehalose synthase (MhMTS) and a
maltooligosyltrehalose trehalohydrolase (MhMTH), respectively, have been cloned
from the hyperthermophilic archaebacterium Metallosphaera hakonesis. The ORF of
MhMTS is 2,142 bp long, and encodes 713 amino acid residues constituting a 83.8
kDa protein. MhMTH is 1,677 bp long, and encodes 558 amino acid residues
constituting a 63.7 kDa protein. The deduced amino acid sequences of MhMTS and
MhMTH contain four regions highly conserved for MTSs and three for MTHs that are
known to constitute substrate-binding sites of starch-hydrolyzing enzymes.
Recombinant proteins obtained by expressing the MhMTS and MhMTH genes in E. coli
catalyzed a sequential reaction converting maltooligosaccharides to produce
trehalose. Optimum pH of the MhMTS/MhMTH enzyme reaction was around 5.0 and
optimum temperature was around 70 degrees C. Trehalose-producing activity of the
MhMTS/ MhMTH was notably stable, retaining 80% of the activity after
preincubation of the enzyme mixture at 70 degrees C for 48 h, but was gradually
abolished by incubating at above 85 degrees C. Addition of thermostable 4-alpha
glucanotransferase increased the yield of trehalose production from maltopentaose
by 10%. The substrate specificity of the MhMTS/MhMTH-catalyzed reaction was
extended to soluble starch, the most abundant maltodextrin in nature.
PMID- 18051365
TI - Substitution of Pro206 and Ser86 residues in the retinal binding pocket of
Anabaena sensory rhodopsin is not sufficient for proton pumping function.
AB - Anabaena sensory rhodopsin is a seven transmembrane protein that uses all
trans/13-cis retinal as a chromophore. About 22 residues in the retinal-binding
pocket of microbial rhodopsins are conserved and important to control the quality
of absorbing light and the function of ion transport or sensory transduction. The
absorption maximum is 550 nm in the presence of all-trans retinal at dark. Here,
we mutated Pro206 to Glu or Asp, of which the residue is conserved as Asp among
all other microbial rhodopsins, and the absorption maximum and pKa of the proton
acceptor group were measured by absorption spectroscopy at various pHs. Anabaena
rhodopsin was expressed best in Escherichia coli in the absence of extra leader
sequence when exogenous all-trans retinal was added. The wild-type Anabaena
rhodopsin showed small absorption maximum changes between pH 4 and 11. In
addition, Pro206Asp showed 46 nm blue-shift at pH 7.0. Pro206Glu or Asp may
change the contribution to the electron distribution of the retinal that is
involved in the major role of color tuning for this pigment. The critical residue
Ser86 (Asp 96 position in bacteriorhodopsin: proton donor) for the pumping
activity was replaced with Asp, but it did not change the proton pumping activity
of Anabaena rhodopsin.
PMID- 18051366
TI - The within-host population dynamics of normal flora in the presence of an
invading pathogen and antibiotic treatments.
AB - A mathematical competition model between normal flora and an invading pathogen
was devised to allow analysis of bacterial infections in a host. The normal flora
includes the various microorganisms that live on or within the host and act as a
primary human immune system. Despite the important role of the normal flora, no
mathematical study has been undertaken on models of the interaction between it
and invading pathogens against a background of antibiotic treatment. To quantify
key elements of bacterial behavior in a host, pairs of nonlinear differential
equations were used to describe three categories of human health conditions,
namely, healthy, latent infection, and active infection. In addition, a cutoff
value was proposed to represent the minimum population level required for
survival. The recovery of normal flora after antibiotic treatment was also
included in the simulation because of its relation to human health recovery. The
significance of each simulation parameter for the bacterial growth model was
investigated. The devised simulation showed that bacterial proliferation rate,
carrying capacity, initial population levels, and competition intensity have a
significant effect on bacterial behavior. Consequently, a model was established
to describe competition between normal flora and an infiltrating pathogen. Unlike
other population models, the recovery process described by the devised model can
describe the human health recovery mechanism.
PMID- 18051367
TI - Expression profiles and pathway analysis in HEK 293 T cells overexpressing HIV-1
Tat and nucleocapsid using cDNA microarray.
AB - Human immunodeficiency virus type 1 (HIV-1) infections are responsible for a
substantial number of deaths annually and represent a significant threat to
public health. According to the latest study, the Tat (Transactivator of
transcription) protein is essential in transcription and replication of viral
genes, and is among the early expression genes involved in the life cycle of HIV.
The virion NC (nucleocapsid) plays an important role in early mRNA expression and
contributes to the rapid viral replication that occurs during HIV-1 infection.
Therefore, we attempted to elucidate the relationship between the Tat protein and
nucleocapsid protein. In a comparison of two independently prepared and
hybridized samples, flag NC overexpressed HEK 293T cells and pTat overexpressed
HEK 293T cells, and hybridization showed the differences in expression in each
case. Among the microarray results confirmed with real-time reverse transcriptase
assay, twelve genes were identified to be involved according to their gene
expression profiles. Of approximately 8,208 human genes that were analyzed, we
monitored candidate genes that might have been related to NC and Tat genes from
gene expression profiles. Additionally, the pathways could be viewed and analyzed
through the use of PathwayStudio software. The pathways from the gene list were
built and paths were found among the molecules/cell objects/processes by the
curation method.
PMID- 18051368
TI - Construction of conjugative gene transfer system between E. coli and moderately
thermophilic, extremely acidophilic Acidithiobacillus caldus MTH-04.
AB - A genetic transfer system for introducing foreign genes to biomining
microorganisms is urgently needed. Thus, a conjugative gene transfer system was
investigated for a moderately thermophilic, extremely acidophilic biomining
bacterium, Acidithiobacillus caldus MTH-04. The broad-host-range IncP plasmids
RP4 and R68.45 were transferred directly into A. caldus MTH-04 from Escherichia
coli by conjugation at relatively high frequencies. Additionally the broad-host
range IncQ plasmids pJRD215, pVLT33, and pVLT35 were also transferred into A.
caldus MTH-04 with the help of plasmid RP4 or strains with plasmid RP4 integrated
into their chromosome, such as E. coli SM10. The Km(r) and Sm(r) selectable
markers from these plasmids were successfully expressed in A. caldus MTH-04.
Futhermore, the IncP and IncQ plasmids were transferred back into E. coli cells
from A. caldus MTH-04, thereby confirming the initial transfer of these plasmids
from E. coli to A. caldus MTH-04. All the IncP and IncQ plasmids studied were
stable in A. caldus MTH-04. Consequently, this development of a conjugational
system for A. caldus MTH-04 will greatly facilitate its genetic study.
PMID- 18051369
TI - "Bring to lab" of 19 novel species among 60 isolates retrieved from a freshwater
pond.
AB - We report here on the cultivation of numerous novel bacterial species from a
eutrophic freshwater pond. A total of 60 strains, 15 strains per each culture
medium, were obtained from the surface of a eutrophic freshwater pond by
employing a conventional dilution-plating method with four different kinds of
culture media, including R2A, 1/10R2A, PCA, and 1/10PCA. Among the 60 strains
isolated, 27 strains showed less than 97% 16S rRNA gene sequence similarities to
validly published species, and thus they are considered to comprise 19 novel
species. Of the 27 strains assigned to the novel species, the majority of the
strains (20 strains) were affiliated with the Alphaproteobacteria and
Betaproteobacteria. The remaining 7 strains were affiliated with the
Gammaproteobacteria, Firmicutes, Actinobacteria, and Deinococci. Because we have
isolated 19 novel species from a usual freshwater pond using a conventional
culturing technique, our results suggest that an unexplored ecosystem, even if it
looks like a common ecosystem found elsewhere, harbors diverse unidentified
microbes, which will be definitely further characterized.
PMID- 18051370
TI - Cadaverine protects Vibrio vulnificus from superoxide stress.
AB - An electron paramagnetic resonance (EPR) signal characteristic of the 5,5'
dimethyl-1-pyrroline-N-oxide (DMPO)-OH spin adduct, which is formed from the
reaction of DMPO with superoxide radicals generated by xanthine oxidase-mediated
reaction, was significantly reduced by the cadaverine or Escherichia coli Mn
containing superoxide dismutase (MnSOD). Likewise, cytochrome c reduction by
superoxide was inhibited by cadaverine, and the inhibition level increased in
proportion to the level of cadaverine. The cadA mutant of Vibrio vulnificus,
which does not produce cadaverine because of the lack of lysine decarboxylase,
exhibits less tolerance to superoxide stress in comparison with wild type. The
results indicate that cadaverine scavenges superoxide radicals, and protects
cells from oxidative stress.
PMID- 18051371
TI - R-type pyocin is required for competitive growth advantage between Pseudomonas
aeruginosa strains.
AB - R-type pyocin is a bacteriophage tail-shaped bacteriocin produced by Pseudomonas
aeruginosa, but its physiological roles are relatively unknown. Here we describe
a role of R-type pyocin in the competitive growth advantages between P.
aeruginosa strains. Partial purification and gene disruption revealed that the
major killing activity from the culture supernatant of PA14 is attributed to R
type pyocin, neither F-type nor S-type pyocins. These findings may provide
insight into the forces governing P. aeruginosa population dynamics to promote
and maintain its biodiversity.
PMID- 18051372
TI - [Chemical biology: overview].
PMID- 18051373
TI - [Fluorescent probes to visualize only what we want to watch: measurements of
reactive oxygen species, enzyme activity, and selenoproteins].
PMID- 18051374
TI - [Detection of in-vivo free radical reaction using magnetic resonance].
PMID- 18051375
TI - [Development of fluorescent probes for nitric oxide].
PMID- 18051376
TI - [Mechanism-based molecular design of highly selective peroxynitrite probe].
PMID- 18051377
TI - [Development of Zn2+ fluorescent probes for visualizing Zn2+ functions in
neuronal system].
PMID- 18051379
TI - [Chemistry in studies of fluorescent proteins].
PMID- 18051378
TI - [Development of fluorescent probes for intracellular magnesium measurement and
their applications to analysis of cellular mechanism].
PMID- 18051380
TI - [Fluorescent bioimaging using degraton probes].
PMID- 18051381
TI - [Imaging molecular processes in living cells].
PMID- 18051382
TI - [HaloTag: a novel technology for in vivo bioimaging and protein functional
analysis].
PMID- 18051383
TI - [Fluorescent labeling of proteins by in-cell-directed chemistry].
PMID- 18051384
TI - [Molecular design of hypoxic tumor cell-targeting drugs and imaging probes].
PMID- 18051385
TI - [In-vivo cancer fluorescence imaging with novel precisely-designed fluorescence
probes].
PMID- 18051386
TI - [Fluorescent detection of protein kinase activity: methodology to visualize
"phosphate"].
PMID- 18051387
TI - [Fluorescent molecular thermometers for bioimaging].
PMID- 18051388
TI - [Control of cellular microenvironment with a caged compound immobilized on a
surface].
PMID- 18051389
TI - [Bioprobe for imaging RNA in living cells].
PMID- 18051390
TI - [Advanced fluorescence microscopes for future work].
PMID- 18051391
TI - [Imaging and nano-manipulation of single biomolecules].
PMID- 18051392
TI - [Strategy for chemical biology using affinity magnetic nanobeads].
PMID- 18051393
TI - [Reprogramming the genetic code using flexizyme].
PMID- 18051394
TI - [From systematic analysis of protein interaction networks to chemical-biology].
PMID- 18051395
TI - [Synthesis of chemical probes based on combinatorial chemistry and lab
automation].
PMID- 18051396
TI - [Unelucidated biological phenomena].
PMID- 18051397
TI - [Chemical biology of bioactive natural products controlling the rhythmic movement
in plants].
PMID- 18051398
TI - [Chemical factors depend on the density of organisms].
PMID- 18051399
TI - [Mating behavior and pheromone production in moths].
PMID- 18051400
TI - [Screening of bioactive metabolites that suppress inflammation].
PMID- 18051401
TI - [Cancer cell informatics and chemical biology].
PMID- 18051402
TI - [Peptide-lead drug discovery].
PMID- 18051403
TI - [Protease inhibitors].
PMID- 18051404
TI - [Kinase inhibitors].
PMID- 18051405
TI - [Development of farnesyltransferase inhibitor for anti-cancer drugs].
PMID- 18051406
TI - [Identification of target molecules for small-molecule inhibitors using yeast].
PMID- 18051407
TI - [Biosynthesis of natural products from microbes].
PMID- 18051408
TI - [Bioorganic chemistry of plant triterpene biosynthesis].
PMID- 18051409
TI - [Biosynthesis of a hybrid terpenoid polyketide-derived natural product and
production of useful prenylated compounds by using prenyltransferase].
PMID- 18051410
TI - [Glycochemical biology].
PMID- 18051411
TI - [Biological diversity of protein lysine acetylation which has been revealed by
small molecule inhibitors].
PMID- 18051412
TI - [New generation of Ca2+ indicators with greatly improved fluorescence
properties].
PMID- 18051413
TI - [Measurement of cytosolic free Ca2+ in individual small cells using fluorescence
microscopy with dual excitation wavelengths].
PMID- 18051414
TI - [Fluorescence ratio imaging of cyclic AMP in single cells].
PMID- 18051415
TI - [Quantitation of transcription and clonal selection of single living cells with
beta-lactamase as reporter].
PMID- 18051416
TI - [Green fluorescent protein as a marker gene expression].
PMID- 18051417
TI - [Crystal structure of the Aequorea victoria green fluorescent protein].
PMID- 18051418
TI - [Fluorescent indicators for Ca2+ based on green fluorescent proteins and
calmodulin].
PMID- 18051419
TI - [Rapid photolytic release of adenosine 5'-triphosphate from a protected analogue:
utilization by the Na:K pump of human red blood cell ghosts].
PMID- 18051420
TI - [In-vivo imaging of tumors with protease activated near-infrared fluorescent
probes].
PMID- 18051421
TI - [In-vivo visualization of gene expression using magnetic resonance imaging].
PMID- 18051422
TI - [Two-photon laser scanning fluorescence microscopy].
PMID- 18051423
TI - [Engineering chemical reactivity on cell surfaces through oligosaccharide
biosynthesis].
PMID- 18051424
TI - [Identification of the cardiac beta-adrenergic receptor protein: solubilization
and purification by affinity chromatography].
PMID- 18051425
TI - [An approach to the targeted attachment of peptides and proteins to solid
supports].
PMID- 18051426
TI - [A receptor for the immunosuppressant FK506 is a cis-trans peptidyl-prolyl
isomerase].
PMID- 18051427
TI - [Potent and specific inhibition of mammalian histone deacetylase both in vivo and
in vitro by trichostatin A].
PMID- 18051428
TI - [A mammalian histone deacetylase related to the yeast transcriptional regulator
Rpd3p].
PMID- 18051429
TI - [New type of synthetic peptide library for identifying ligand-binding activity].
PMID- 18051430
TI - [Controlling signal transduction with synthetic ligands].
PMID- 18051431
TI - [Small molecule inhibitor of mitotic spindle bipolarity identified in a phenotype
based screen].
PMID- 18051432
TI - [Synthetic small molecules that control stem cell fate].
PMID- 18051433
TI - [Chemical switch for inhibitor-sensitive alleles of any protein kinase].
PMID- 18051434
TI - [Synthesis of proteins by native chemical ligation].
PMID- 18051435
TI - [Proteomic profiling of mechanistically distinct enzyme classes using a common
chemotype].
PMID- 18051436
TI - [Drug target validation and identification of secondary drug target effects using
DNA microarrays].
PMID- 18051437
TI - [Rapid identification of subtype-selected agonists of the somatostatin receptor
through combinatorial chemistry].
PMID- 18051438
TI - [Exploiting chemical libraries, structure, and genomics in the search for kinase
inhibitors].
PMID- 18051439
TI - [Role of LXRs in control of lipogenesis].
PMID- 18051441
TI - [Discovering high-affinity ligands for proteins: SAR by NMR].
PMID- 18051440
TI - [In-vivo activation of the p53 pathway by small-molecule antagonists of MDM2].
PMID- 18051442
TI - [Mechanisms in the action of anesthetics].
PMID- 18051443
TI - [Therapeutic angiogenesis using bone marrow cells].
PMID- 18051444
TI - [Entity of TRALI and its therapeutic strategy].
PMID- 18051445
TI - [General anesthesia/pain control in large and companion animals].
PMID- 18051446
TI - [Neuronal regenerative medicine by using embryonic stem cells].
PMID- 18051447
TI - [Clinical use of heart rate variability in the field of anesthesiology].
PMID- 18051448
TI - [Mechanisms of surgical injury-induced pain].
PMID- 18051449
TI - [Clinical electroencephalographic monitoring of depth of anesthesia].
PMID- 18051450
TI - [Pathologic pain and vision are cross-modal].
PMID- 18051451
TI - [Contribution of CB1 receptor in the DRG for nociception and its use for pain
treatment].
PMID- 18051452
TI - [Introduction: position of the research on anesthetic mechanisms from the
anesthesiologist's perspectives].
PMID- 18051453
TI - [Mechanisms of consciousness and anesthetic action explored by functional brain
imaging].
PMID- 18051454
TI - [Analysis of hypnotic or analgesic effect of anesthetic agents by in-vivo patch
clamp recordings from somatosensory cortex in rats].
PMID- 18051455
TI - [Role of presynaptic mechanisms in propofol-induced facilitation of gamma-amino
butyric acid (GABA)-mediated inhibitory neurotransmission].
PMID- 18051456
TI - [Approach to mechanism of general anesthesia from sleep physiology--from micro to
macro].
PMID- 18051457
TI - [Introduction: clinical aspects of spinal actions of anesthetic (anesthesia
related) drugs].
PMID- 18051458
TI - [Pain and glutamate receptors].
PMID- 18051459
TI - [Function of spinal GABA receptor and its modulation].
PMID- 18051460
TI - [Opioids and spinal analgesia].
PMID- 18051461
TI - [Alpha2-adrenergic receptors in the dorsal horn of the spinal cord--their
function and the descending inhibitory systems].
PMID- 18051462
TI - [Prostaglandin and nociceptin/orphanin FQ].
PMID- 18051463
TI - [Kampo medicine for the pain and the psychosomatic disorder--diagnosis and
treatment].
PMID- 18051464
TI - [Management of climacteric disturbance by Kampo medicine].
PMID- 18051465
TI - [Clinical evaluation of Kampo medicine for the menopausal symptoms].
PMID- 18051466
TI - [Application of herb medicine in pain clinic--focusing on the basic research of
Aconiti tuber].
PMID- 18051467
TI - [Addictive behavior disorders].
AB - "Addiction" used to remind anyone of the use or abuse of chemical substances. In
recent years, however, researchers and clinicians have begun to classify other
excessive behaviors including gambling, eating shopping and self-injury into the
addictive behavior. Above all, pathological gambling and bulimia nervosa patients
often make trouble for psychiatrists and psychologists, not only for their
family. On the other hand, the neural substrata underlying substance dependence
have been revealed. Especially, it is implicated that the mesolimbic neuron plays
a crucial role on the reward system. The recent studies suggest that reduced
activation of the reward system might be related to the addictive behaviors such
as pathological gambling, binge eating and sexual behavior. Further biological
researches about the addictive behavior would help our deeper understanding of
its disorders. As to the pharmacotherapy, many studies have demonstrated the
efficacy of selective serotonin reuptake inhibitors (SSRIs) in treating the
addictive behaviors.
PMID- 18051468
TI - [Difference in mechanisms between psychological and physical dependence].
PMID- 18051469
TI - [Development of a manualized cognitive behavioral therapy program for Japanese
drug addicts and a study of the efficacy of the program].
AB - In the West, various psychological programs have been developed to treat drug
dependence. Although some programs have been performed as trials in a few
facilities in Japan, there are no psychological treatment programs available in
many facilities, and their effects on Japanese drug abusers have not been well
studied. We developed a manualized cognitive behavior therapy (CBT) program for
Japanese clients with drug dependence based on a review of the literature. The
program focused on the development of relapse prevention skills through role
playing, enhancement of motivation for recovery and self-care using relaxation
technique. We studied the effects of the program for Japanese drug abusers. We
evaluated the change after treatment, and compared findings between an
intervention group and a control group. The results indicated that the program
improved self-efficacies to cope with cravings and situations that increased the
risk of relapse only in the intervention group, and physical complaints were
decreased in the intervention group, although such complaints were increased in
the control group. Seventy percent of the intervention group members indicated
satisfaction and 50% indicated that the program was useful. These findings showed
that the relapse prevention program was effective for Japanese clients. However,
most emotional factors relevant to craving were not adequately changed in either
group. We should improve the program, allowing the clients an opportunity to try
the relapse prevention skills outside the facility and focus the emotion during a
trial in vivo.
PMID- 18051471
TI - [Space surgery].
PMID- 18051470
TI - [A preliminary study on outpatient relapse prevention program for methamphetamine
dependent patients: Serigaya Methamphetamine Relapse Prevention Program
(SMARPP)].
AB - Although methamphetamine use disorder has been prevalent in Japan for more than
fifty years, there have been hardly any effective medical treatment modalities
other than improving methamphetamine-induced psychosis through hospitalization
and/or participation in self help groups and private rehabilitation centers. As
such limited social resources for recovering methamphetamine dependents are
insufficient to prevent patients from relapse, there are growing needs for
developing effective outpatient treatment program based on a chronic care
perspective. We have developed a relapse prevention program for Japanese
methamphetamine abusers, modifying "Matrix" model and incorporating other
treatment materials. Then a preliminary study on implementing the program was
conducted in an outpatient setting at Kanagawa Psychiatric Center, Serigaya
Hospital. Of sixty eight methamphetamine dependent patients who visited the
hospital for the first time between September 2006 and February 2007, four agreed
to participate in the study. The program was manual- and workbook-based, and we
suggested participants to attend to the session three-times per week for two
months. Also participants were asked randomly to turn in urine samples once a
week. The participants consisted of a female and three males, with an average age
of thirty. The length of abstinent period since the last use varied
substantially, from five days to more than four years. Three had the experience
of serving in prison for violating the Stimulant Drugs Control Law. The results
of the present study were that all four completed the program, and presented with
negative urine samples throughout the period. However, in terms of treatment
retention, two out of the four dropped out of the outpatient treatment within a
month after the program termination. These outcomes suggest that a relapse
prevention program may successfully be provided for Japanese methamphetamine
abusers in an outpatient setting, with a favorable, treatment retaining effect
during the program period.
PMID- 18051473
TI - [Trends in neonatal surgery in Japan].
AB - A nationwide survey on neonatal surgery is conducted every five years by the
Japanese Society of Pediatric Surgeons. The first survey was in 1964, and the
most recent was in 2003. Three thousands seven hundreds and nine cases were
collected in 2003. The number of newborns undergoing surgical management had
increased substantially, in spite of a gradual decline in the annual birth rate
for more than three decades. The overall mortality rate for newborns having
surgery had improved to 9.0% in 2003, down from 32% in 1968. Intestinal
perforations showed the worst mortality rate of 31.6%, probably due to an
increment in the rate of low birth-weight babies. The mortality rate of Bochdalek
hernias had been improving gradually and achieved 25.4%. Esophageal atresias and
omphaloceles, which are frequently associated severe anomalies, had a mortality
rate of more than 10%. The management of low birth-weight babies and of severe
complicated anomalies should be improved.
PMID- 18051474
TI - [Antenatal diagnosis of neonatal surgical diseases].
AB - With advances in noninvasive fetal diagnostic tools, such as ultrasonography and
magnetic resonance imaging, the percentage of neonatal surgical patients detected
antenatally has increased. Recently, color Doppler as well as 3D imaging has
contributed to more accurate diagnoses with ultrasonography. The significance of
antenatal diagnosis for neonatal surgical disease and the current progress in
fetal surgery are described and discussed. At present, congenital diaphragmatic
hernia with extremely severe hypoplastic lung, congenital cystic adenomatoid
malformation with hydrops, obstructive uropathy with oligohydramnios, and simple
ovarian cyst greater than 5cm in diameter are considered to be appropriate
indications for fetal surgery.
PMID- 18051475
TI - [Current and future strategy in the treatment of congenital diaphragmatic
hernia].
AB - The severity of congenital diaphragmatic hernia (CDH) depends on the degree of
lung hypoplasia. It is still difficult to rescue the most severely affected
infants with cardiopulmonary insufficiency immediately after birth. However, the
recent treatment strategy has improved the outcome of CDH. High-frequency
ventilation (HFV) and gentle ventilation have been reported to be effective in
the treatment of CDH by minimizing the barotrauma of the hypoplastic lung.
Various vasodilators such as nitric oxide and prostaglandin-E1 have been found to
be improve the pulmonary hypertension due to hypoplastic lung. On the other hand,
the indications for extracorporeal membrane oxygenation (ECMO), which used to be
the most powerful life support for severe CDH, have become limited. In our
institute, antenatally diagnosed CDH infants with inadequate oxygenation despite
maximum respiratory support immediately after birth are excluded from ECMO
candidates because of fatal lung hypoplasia. Recently, it has been reported that
temporary tracheal occlusion can accelerate fetal lung growth and improve the
outcome of severe CDH. Percutaneous fetal endoluminal tracheal occlusion is
expected to become an effective and minimally invasive treatment for fatal lung
hypoplasia due to CDH.
PMID- 18051476
TI - [Present and future treatment of esophageal atresia].
AB - There has been steady improvement in the overall survival rate of patients with
esophageal atresia during the past 30 years. With modern neonatal intensive care,
very low birth-weight infants with esophageal atresia are also expected to
survive. However, there are many questions in the treatment including delayed
primary surgery and multistaged esophageal elongation for extremely low birth
weight infants and patients with long-gap esophageal atresia, respectively. On
the other hand, reports of thoracoscopic repair of esophageal atresia are
increasing, although there has been no report on long-term follow-up. This
procedure has many advantages including wound cosmesis and little thoracic
deformity, but it is technically difficult even for experienced endoscopic
surgeons and is performed only in a few children's hospital in Japan. Easier
thoracoscopic surgery using robotic technology is expected in the near future.
PMID- 18051477
TI - [Bowel perforation in the newborn baby].
AB - The pattern of neonatal gastrointestinal perforation has changed with the
previous high frequency of gastric rupture being replaced by necrotizing
enterocolitis (NEC) in recent years. NEC has become the most common cause of
gastrointestinal perforation resulting in a surgical emergency in the Neonatal
Intensive Care Unit (NICU). Over the last 20 years, the infant mortality rate
attributable to NEC has not decreased. However, in our institutions, more than
70% of babies with NEC are premature infants weighing less than 1,000g, which is
one of the main reasons why the mortality rate due to neonatal gastrointestinal
perforation has not improved in recent years. NEC totalis or massive necrosis of
nearly all of the intestine is uniformly fatal. Limited resection followed by
second-look laparotomy after abdominal drainage is one method used to limit the
length of intestinal resection but most of these infants died from sepsis with
cardiovascular collapse and multisystem organ failure. Among extremely low birth
weight infants surviving after NEC significant growth delay and adverse
neurodevelopmental outcome are common sequelae. More recently, many extremely low
birth-weight infants are commenced on early low-volume feeds of breast milk or
probiotics. This appears to be reducing the incidence of NEC and may explain a
drop in the mortality rate over the last five years.
PMID- 18051478
TI - [Recent development of congenital heart surgery in neonates and small infants].
AB - Cardiac surgery has been developed dramatically during these 50 years since Gross
and Lellihei pioneered repair of intracardiac anomalies using cardiopulmonary
bypass in mid 1950's. In late 1960's and early 1970's, the technique of deep
hypothermia and circulatory arrest (DHCA) has been developed in Japan and Sir
Brian Barratt-Boyes in New Zealand used Kyoto technique (DHCA) to repair many
infants and small children with complex congenital heart diseases (CHD). The
introduction of DHCA was an important development in the history of the treatment
of CHD, allowing the approach of previously unrepairable lesions. Open heart
surgery in neonates has been adopted worldwide since Castaneda in Boston
performed neonatal arterial switch in mid 1980's. Since then, most of our efforts
have been led to repair most of the CHD primarily in neonate and small infants.
Mortality of open heart surgery in neonates has dropped significantly during
recent 10 years and now, more than 95% of the children with CHD survive in Japan.
Surgical strategies and results in each CHD were summarized.
PMID- 18051479
TI - [The actual situation of MDRP infections].
PMID- 18051480
TI - [What we can learn from a case of medical malpractice--cases of a central venous
hyperalimentation catheter breaking on attempted removal leaving the end of the
catheter in the heart].
PMID- 18051481
TI - [Surgery for atrial fibrillation].
PMID- 18051482
TI - [Modular organization of a teaching process in the postgraduate training of
physicians].
PMID- 18051483
TI - [European Foundation for Education in Anesthesiology (FEEA): the first steps in
Russia].
AB - The mission, structure, and working schedule of the Foundation for European
Education in Anesthesiology (FEEA), an international non-profitable organization
with 70 centers being located in Europe, Central and South Americas, Africa, and
Asia, are described in the article. The first FEEA seminars in Russia were
organized in St. Petersburg in 2006-2007 by the Association and Society of
Anesthesiologists and by all the anesthesiology departments of the city. Today's
geography of FEEA seminars dedicated to European Society of Anesthesiology
diploma exam training is expanding in Russia.
PMID- 18051484
TI - [Extramural training of medical students in the area "anesthesiology and
reanimatology"].
PMID- 18051485
TI - [Training of anesthesiology-resuscitations physicians in clinical residency:
resident's experience].
AB - An educational process in clinical residency is discussed in terms of the results
of anonymous questionnaire survey: the respondents were 77 residents in
anesthesiology. A significant proportion (28%) of the trainees felt knowledge
shortage and even more (47%) were diffirent in their own professional future.
There were serious problems regarding practical training organization. Half of
the trainees pointed out patients' inaccessibility. In the residents' opinion,
the best clinical teacher is a staff anesthetist (42%) or assistant professor
(39%). The vast majority (84%) of the trainees believe that one tutor should
teach more than two trainees. The ways of solving these or those problems in
clinical residency training and the prospects for primary postgraduate education
in anesthesiology are discussed.
PMID- 18051486
TI - [Informational needs of anesthesiologists and their address satisfaction in the
postgraduate training system].
AB - Large-scale questionnaire survey of anesthesiologists, the attendees of advanced
training courses, showed the rating of the most important applied topics. Of
paramount value appeared to be issues devoted to respiratory support and
monitoring. Every third respondent reported the importance of applied
psychological training for anesthetists. Moreover, a list of topics the attendees
should like to listen to, but they had not obtained necessary information was
compiled. Among these topics, these included applied intensive care, the legal
aspects of the specialty, etc. Knowledge of the current informational needs of
attendees is required for the effective operation of postgraduate education.
PMID- 18051487
TI - [Mechanisms of psychological adaptation of anesthesiologists-resuscitators to the
stress-induced conditions of professional occupation and possibilities of their
correction within a teaching process].
AB - High psychological tension results in the development of specific coping
behavior. Ninety-six anesthesiologists, including 62 (64.6%) males and 34 (35.4%)
females, were examined to reveal the mechanisms of psychological adaptation to
stress-induced conditions of professional occupation. The respondents were
ascertained to most frequently use cognitive, emotional, and behavioral
constructive copings in settling stress situations. Nonadaptive copings most
commonly contemplate a refusal to get over difficulties due to the lack of faith
in power, to the underestimation of the importance of negative events, to
passivity, isolation, etc., which is traditionally considered to be a
manifestation of the emotional burnout syndrome in attendants. Psychological
training within a teaching process may be regarded as a help to physicians in
developing constructive coping strategies, training in self-diagnosis and self
help in the early manifestations of emotional burnout.
PMID- 18051488
TI - [Quality assurance of the therapy of patients in the department of anesthesiology
and intensive care].
AB - Emphasis is laid on the fact that the normative legal basis of an
anesthesiological-resuscitative service is contrary to the real conditions of its
operation at the present stage. Data on the age-sex and nosological structures of
patients treated at an intensive care unit of a large multidisciplinary hospital,
the scope of their examination and treatment, and the results of work time
keeping in the employees of the unit are given. The authors' proposals to improve
the organization of service work and to upgrade its quality are presented in
conclusion.
PMID- 18051489
TI - [The cardiac performance recovery pattern and central hemodynamics in patients
with coronary heart disease during coronary bypass surgery under extracorporeal
circulation].
AB - Central hemodynamic parameters were retrospectively studied in 284 patients.
After aortic declamping, sinus rhythm spontaneously restored in 179 patients
(Group 1), ventricular fibrillation occurred in 105 (Group 2). The preoperative
parameters were similar in both groups. The number of grafts and the time of
aortic clamping and cardiopulmonary bypass (CPB) were higher in Group 1. In the
groups, the volume of cardioplegic solution and the average dose of phenylephrine
and nitroglycerin per perfusion did not differ. After CPB, the values of cardiac
output (CO) and cardiac index (CI) were significantly higher in Group 1 than in
Group 2. At the end of an operation and 3 hours after its termination, there were
no differences between two groups. Twelve hours after surgery, cardiac output and
systolic blood pressure were significantly higher in Group 1. Following 24 hours
of surgery, heart rate was significantly greater in Group 1 than in Group 2 After
surgery, all hemodynamic parameters were within normal physiological values. The
mean duration and the degree of inotropic support did not differ in the groups.
The incidence of atrial fibrillation, perioperative myocardial infarction, and
low cardiac output syndrome were comparable in both groups. Thus, various modes
of cardiac performance recovery affect perioperative hemodynamics; however, this
impact is insignificant and does not make management policy be changed in such
patients. After aortic declamping, ventricular fibrillation requiring for
defibrillation is not a clinical sensitive factor that negatively affects the
intra- and postoperative period.
PMID- 18051490
TI - [Risk factors of prolonged intensive care after early activation of
cardiosurgical patients].
AB - The purpose of the study was to reveal the predictors of more than 24-hour
intensive care unit stay for patients activated early (up to 5 hours) after
surgery under extracorporeal circulation and to explore the possibilities of
predicting the prolongation of postoperative intensive care in the clinical
situation under examination. The protocols of anesthetic maintenance, early
activation, and postoperative intensive care were analyzed in 83 patients (50
males and 33 females) aged 31 to 82 years, who had been operated on under
extracorporeal circulation for various cardiosurgical diseases. The multiple
regression analysis showed that the significant predictors of more prolonged
intensive care after early activation were the level of arterial lactatemia (p =
0.0021), the dosages of adrenaline and/or noradrenaline (p = 0.0048), age (p =
0.0051), and female sex (p = 0.0142). It was shown that the multiple regression
analysis could approximately predict the duration of intensive care after early
activation. The predicted and actual durations of intensive care in patients with
an intensive care unit stay length of more than 24 hours coincided in 52% of
cases. In patients with an intensive care unit stay length of less than 24 hours,
the estimated and actual durations of intensive care were in agreement in 92% of
cases. It is concluded that it is expedient to take into account the results of
the performed analysis in choosing the optimum postoperative management policy in
cardiosurgical patients who are to undergo early activation.
PMID- 18051491
TI - [Efficiency of preemptive intravenous paracetamol analgesia in abdominal
surgery].
AB - In a randomized, controlled study, 50 patients underwent elective surgery for
abdominal cancer lesions under perioperative epidural analgesia. All the patients
were randomized to receive paracetamol in a single intravenous dose of 1 g or
placebo 30 minutes prior to the start of surgery. The use of 1 g of paracetamol
as a single intravenous preemptive dose in abdominal surgery with perioperative
epidural analgesia does not reduce the consumption of the analgesic and the
intensity of pain in the postoperative period.
PMID- 18051492
TI - [Oxidative stress and hepatic monooxygenase function in patients with coronary
heart disease before and after cardiac surgery].
AB - The parameters of lipid peroxidation (LPO) and hepatic monooxygenase function
(HMF) were studied in 92 patients with coronary heart disease (CHD). After
termination of and within the first 24 hours after myocardial revascularization,
the levels of malonic dialdehyde and conjugate trienes were found to show 45.6
and 62.1% increases, respectively. The half-life of antipyrine (AP T(1/2))
increased by 23.5%. Studies on postoperative days 3-4 and 10-12 revealed a
reduction in LPO rates and normalized HMF. After off-pump surgery, LPO and HMF
significantly unchanged. On the contrary, open heart surgery resulted in a
noticeable activation of LPO and an almost 1.5-fold decrease in HMF. The increase
in oxidative stress and the deceleration of hepatic microsomal oxidation were
ascertained to be directly related to the duration of extracorporeal circulation
and the time of myocardial ischemia. After surgery, the rate of LPO and the
reduction of HMF were more considerable in patients with multiple organ
dysfunctions, as compared with the uncomplicated postoperative period. Within the
first 24 hours following surgery, AP T(1/2) increased by 83.4%, but on
postoperative days 10 to 14, it remained to be decreased by almost 1.5 times as
compared with the baseline. Thus, LPO activation is one of the leading mechanisms
of decelerated hepatic xenobiotic biotransformation after myocardial
revascularization. Diminished lower HMF enhances the sensitivity of CHD patients
to drug therapy and requires a differential approach to its use.
PMID- 18051493
TI - [Does nitroglycerin show the properties of a selective venodilator?].
AB - The central hemodynamic effect of continuous intravenous nitroglycerin infusion
at an increasing rate (0.01-0.1 microg x kg(-1) x min(-1)) was evaluated by
noninvasive (tetrapolar rheography) and invasive (pulmonary arterial
catheterization) techniques. The study included 10 healthy volunteers and 30
patients having usual indications for nitroglycerin infusion. The findings
suggest that when even infused at low rates, nitroglycerin canses mainly
arteriolar vasodilatation, which appears as increased stroke volume due to the
predominance of diminished postload over lowered preload. It is obvious that the
notion of nitroglycerin as a selective venodilator should be revised.
PMID- 18051494
TI - [Efficiency of antioxidative therapy for acute poisoning by cautery substances].
AB - Analysis of the clinical and laboratory parameters in patients with acute
poisoning by cauterants has demonstrated that inclusion of the antioxidant
mexidole into the package of therapeutic measures is effective in treating the
above pathology. This is supported by the reductions in the proportion of fatal
outcomes by more than twice and in the incidence of pneumonia complicating the
course of the underlying disease, as well as by the increase in the rate of
regeneration of ulceronecrotic defects in the gastrointestinal tract and by the
decrease in the number of gastric cicatricial deformities when mexidole is
locally used.
PMID- 18051495
TI - [Noninvasive cardiac output determinations].
AB - The thermodilution determination of cardiac output (CO) by pulmonary arterial
catheterization is considered to be the gold standard. However, a diversity of
complications associated with the insertion and use of a catheter has made for
the design of CO-measuring devices in a mini- or noninvasive mode. This
prospective controlled study has been conducted to compare various CO
determination techniques by the shape of the arterial curve (ART), as shown by
the use of a transesophageal Doppler ultrasonic sensor (TEDUS) determining the
blood flow velocity in the descending thoracic aorta by the Fick's backward
equation with partial CO2 recirculation, by measuring thoracic bioimpedance
(Tbio) by bolus thermodilution as the reference method (CO BT). In all the study
examined, the mean CO values are lower than those in the reference method. In
addition, there was a wide scatter of the CO values calculated by alternative
methods. The mean difference and its standard deviation are 1.27 +/- 2.26 (ART);
0.87 +/- 1.57 (TEDUS); 1.01 +/- 1.46 (Fick); and 1.46 +/- 1.70 (Tbio). The errors
of the methods, as compared to CO BT have the following values: APT, 27% (24-31%
conference interval (CI)); TEDUS, 19% (17-21% CI); Fick, 20% (19-22%); Tbio, 25%
(22-27%). By taking into account the findings, it should be stated that there is
not any accurate mini- or noninvasive CO determination method that could
completely substitute for the classical thermodilution technique.
PMID- 18051497
TI - [Regional anesthesia: combined spinal epidural anesthesia].
AB - The review deals with the promising method of regional anesthesia--combined
epidural anesthesia. It gives indications for anesthesia, the specific features
of its technical performance, and possible complications.
PMID- 18051496
TI - [Comparison of cardiac output measurements by six different methods before and
after extracorporeal circulation].
PMID- 18051498
TI - [Therapy for chronic pain: historic experience and prospects].
PMID- 18051499
TI - [Study on the THz spectra of tyrosine].
AB - Terahertz time-domain spectroscopy (TDS) has been used to study the spectral
properties of tyrosine at room temperature. The terahertz spectra of sample were
observed. The result shows that the sample has the spectral response in terahertz
spectral range, a fact demonstrating that the terahertz spectra of tyrosine could
be used to study the molecular structure and vibration of sample. Two absorption
peaks were found at 0.23 and 2.46 THz respectively for the first time. The
corresponding theoretical spectra were given by using HF and DFT methods for both
tyrosine monomer and dimmer. The difference between the theoretical and
experimental results was analyzed in detail. The origin of the observed
absorption at 0.23 THz was assigned to the wagging of the two tyrosine molecules
linked by hydrogen bond.
PMID- 18051500
TI - [Using the statistic preprocessing method to raise the measurement accuracy of
dynamic spectrum].
AB - The development of the non-invasive measurement of blood compositions by near
infrared spectroscopy has attracted significant interests in recent years. But it
has not yet been applied to the clinical field except the oximeter. The most
important and difficult problem is that the instrument accuracy does not meet the
requirement of the non-invasive measurement of blood compositions due to the
effect of the individual discrepancy and complicated measurement conditions. So
how to reach the instrument accuracy required is its key part. Based on the
dynamic spectroscopy, a new non-invasive measurement method of blood compositions
is presented, where the measurement accuracy is raised through the outlier
eliminating and the assembly average of several measurement results. It has been
proved by the experiment that the measurement accuracy can be raised by the
outlier eliminating and the assembly average of several measurement results.
PMID- 18051501
TI - [Study of thermal diffusivity by piezoelectric photoacoustic phase].
AB - Piezoelectric photoacoustic phase information can be applied to determine the
thermal diffusivity of sample. In actual experiments, the results of phase versus
modulation frequency deviate from the theory heavily because of the disturbance
of instrumental contribution from the whole detecting system. Considering that
the instrumental contribution of phase from PA cell can be ignored reasonably,
there will be no additional phase contribution after the piezoelectric PA cell
has been replaced by microphone PA cell while the other experimental conditions
have been kept invariable. Based on this hypothesis, the conventional
photoacoustic cell with microphone is employed to determine the instrumental
contribution of whole detecting system by studying the PA phase of solid rare
earth complexes. The instrumental contribution of phase was considered to be
constant for that the same detecting system was used in both the microphone PA
method and piezoelectric PA method. This method has been proved by detecting the
thermal diffusivity of aluminium sample. The corrected results of experiments
match the theory well, showing that the method of subtracting the instrumental
contribution from the original experimental phase can be applied reasonably in
relevant chopping frequency region. While in lower chopping frequency region,
there will be a little deviation from the theoretical results. The method has
been also employed to the photoacoustic detection of leaf, and the thermal
diffusivity of leaf can be acquired by studying the piezoelectric photoacoustic
phase.
PMID- 18051502
TI - [Calibration of the detection performance for hyperspectral imager].
AB - As an image-spectrum merging technology, hyperspectral imaging has been used in
battlefield reconnaissance rapidly. The calibration of hyperspectral imager is a
process that corrects itself's output. An UV/Vis/NIR hyperspectral military
detection system based on BTCCD and concave grating parts is analyzed, and it's
spectral resolution is 3.3 nm. Some calibration and evaluation methods are
discussed for hyperspectral imaging system which operates at 0.25-1.1 mm
waveband. Image quality assessment based on an uniform radiation source with
target, spectral quality assessment based on laser and Hg lamp, and radiation
performance calibration based on high accuracy standard are researched
detailedly. After several steps of radiation calibration and spectral fidelity
verification, the reflective curve which represents the objective spectral
character was obtained, satisfying the requirement of 0.2 mrad spatial resolution
and +/-0.5% dispersive linearity. Finally, satisfactory results were obtained
with these methods in a military detection system.
PMID- 18051503
TI - [Study on the emission spectra of N2 plasma jet by AC glow discharge].
AB - N2 plasma jet was generated from a nozzle using an AC discharge at about 15 kV.
The emission spectra were obtained by using the concentration modulation
spectroscopic technique. The dependence of spectral signal on the discharge
current was discussed. It was found that the vibrational temperature decreased
first and then increased downstream from the nozzle by measuring the emission
spectra from different axial positions in the jet. A phenomenological model was
presented to explain the vibrational temperature variation with different axial
position z. The N2+/N2 ratio varied along the axis and the property of discharge
in the experimental system was studied.
PMID- 18051504
TI - [Spectrum analysis of modulated polarized light in phase retardation
measurement].
AB - The fundamental principle of light modulation is presented. The characteristic of
light modulator is discussed both in time and frequency domains. Fourier
transform spectrum of the intensity shows that the intensity distribution of
outgoing light is the superposition of a series of Dirac functions in the
frequency domain. The corresponding intensity distribution curves are also
presented. A novel method for phase retardation measurement based on modulated
polarized light is introduced. The spectrum analysis indicates that the perfect
compensation condition can be satisfied only when the odd frequency components
disappear. Under this condition, the phase retardation to be detected can be
directly obtained from the compensation quantity of the compensator. This method
is a direct measurement which is clearly superior to those indirect methods,
especially in terms of high accuracy and low error. Based on the theoretical
analysis, relevant experiments were conducted. And experimental results with high
precision were obtained.
PMID- 18051505
TI - [Investigation of optical and EPR spectra of ZnO : V3+ crystal].
AB - In the present paper, the 45 X 45 energy matrix of the 3d2 ions in trigonal
symmetry with the strong-field-coupling mechanism is established. The forty-five
optical energy levels and five EPR parameters (including the zero-field splitting
D, g factors g//, g perpendicular and hyperfine structure constants A//, A
perpendicular) of ZnO : V3+ cryst are calculated from the diagonalization of this
complete energy matrix. The calculated results are in agreement with the observed
values. Based on the calculation, it was found that the local structure of V3+
impurity center is different from the corresponding structure in the host
crystal, i. e., the V3+ ion in ZnO does not occupy the exact Zn2+ site, but is
displaced by DeltaZ approximately 0.003 nm along the c3 axis. The reasonableness
of these results is discussed.
PMID- 18051506
TI - [The inspection of amoxicillin medicines studied by the terahertz time-domain
spectroscopy technique].
AB - The amoxicillin medicines are widely used in the clinical medicine and ordinary
life. The inspection of them is vitally important to the health of the patients
and the protection of the legal manufactures. Terahertz is a newly developed
electromagnetic radiation with many characteristic properties. In the present
paper, three amoxicillin capsules produced by three different companies were
studied by the terahertz time-domain spectroscopy technique. The time-domain
spectra and the frequency-domain spectra were obtained, and consequently both the
absorption coefficient and the refraction index were calculated. The results
indicated that the absorption coefficient of the three samples were almost the
same, while the refractive indexes of them were different to some extent. It is
suggested that the terahertz time-domain spectroscopy is a powerful candidate
technique in the quality inspection of penicillin medicines and the crackdowr on
the fake drugs.
PMID- 18051507
TI - [Spectrum study on highly saturated blue organic top-emitting devices with
microcavity structure].
AB - The blue top-emitting organic light-emitting devices with cavity effect have been
fabricated. The authors used the TBADN : 3% DSAPh as emitting material of blue
microcavity OLEDs. The devices consisted of Ag/ITO/CuPc/NPB/TBADN : 3%
DSAPh/Alq3/LiF/Al(Ag) structure. On a patterned glass substrate, silver was
deposited as reflective anode, and copper phthalocyanine (CuPc) layer as HIL and
4'-bis[N-(1-Naphthyl)-N-phenyl-amino] biphenyl (NPB) layer as HTL were made.
Aluminum and silver thin films were made as semi-transparent cathode. The
transmittance of aluminum and silver (Al/Ag) cathode was about 30%. In EL
spectrum, the full width at half maximum (FWHM) was only 17 nm. By changing the
thicknesses of ITO, highly saturated color with Commission Internationale de
L'Eclairage chromaticity coordinates (CIEx,y) of (0.141, 0.049) was obtained. In
the present article, the emission intensity of spectrum was studied. An
appropriate cathode transmittance will result in maximal emission intensity. By
using the formula of microcavity, the approximative curve that describes the
change of emission intensity with cathode transmittance (or reflectance) was
figured out.
PMID- 18051508
TI - [Influence of sample surface roughness on mathematical model of NIR quantitative
analysis of wood density].
AB - Near infrared spectroscopy is widely used as a quantitative method, and the main
multivariate techniques consist of regression methods used to build prediction
models, however, the accuracy of analysis results will be affected by many
factors. In the present paper, the influence of different sample roughness on the
mathematical model of NIR quantitative analysis of wood density was studied. The
result of experiments showed that if the roughness of predicted samples was
consistent with that of calibrated samples, the result was good, otherwise the
error would be much higher. The roughness-mixed model was more flexible and
adaptable to different sample roughness. The prediction ability of the roughness
mixed model was much better than that of the single-roughness model.
PMID- 18051509
TI - [Application study of ant colony algorithm in near infrared spectroscopy
quantitative analysis].
AB - Ant colony algorithm is a novel bio-inspired optimization algorithm, which
simulates the foraging behavior of ants for solving various complex combinatorial
optimization problems. The advantages of ant colony algorithm are intelligent
search, global optimization, robustness, distributed computation and easy
combination with other heuristic method. Near infrared spectroscopy quantitative
analysis has been applied in many fields, whereas the key step is building the
calibration model of measured data. In the present paper, ant colony algorithm
was used to build the quantitative analysis model of Fourier transform near
infrared diffuse spectroscopy for protein in cereal. Satisfied results were
obtained. For calibration set, the correlation coefficient and relative standard
deviation were 0.943 and 3.41%, respectively, while for prediction set, the
correlation coefficient and relative standard deviation were 0.913 and 4.67%,
respectively.
PMID- 18051510
TI - [Application of FTIR array of correlation coefficient to the identification of
Salvia miltiorrhizae Bge].
AB - Based on the fingerprint infrared spectrum database, array of correlation
coefficient has been first applied to identify traditional Chinese medicine
slviae miltiorrhizae Bge. from different producing areas and growing
environments. The result showed that the setting of high correlation coefficient
in particular ranges of spectrum could differentiate the producing area of
Slviae, while the setting of low correlation coefficient threshold of R5 to R7
ranges could identify wild or cultivated samples. This approach seems to be not
only a simple but also an accurate method for identifying the character of
different Slviae.
PMID- 18051511
TI - [FTIR spectroscopy analysis of mosses with different kinds of peristomes and its
systematic significance].
AB - The spectra of 23 species of mosses belonging to different kinds of peristomes
were determined by Fourier transform infrared (FTIR) spectroscopy. Based on
comparing the differences in the spectra of five representative species, four
ranges of 1790-1330 cm(-1), 1200-960 cm(-1), 895-830 cm(-1) and 725-655 cm(-1)
were selected as the characteristic spectra for analysis. The results show that
hierarchical cluster analysis (HCA) and principal components analysis (PCA) using
the second derivatives FTIR spectra can effectively identify five kinds of
mosses: Sphagnidae, Nematodontae, Acrocarpi-Haplolepideae, Acrocarpi
Diplolepideae, and Pleurocarpi-Diplolepideae, which are well suited for the known
moss systems based on peristome structure. Therefore, FTIR spectra combined with
chemometrics can be used as a useful tool in systematic research on bryophytes.
PMID- 18051512
TI - [Theoretical study on infrared vibration spectrum of (SiO2)nO2H4].
AB - Infrared vibration spectra of nanosize (SiO2)n,O2H4 with line, ring and cage
structures were calculated using the density-functional theory (B3LYP) at 6
31G(d) level. For quasi 1D (one dimension) line and ring structure, the
frequencies of stronger infrared vibration modes monotonically change as a
function of the length, which shows strong size effect. The IR vibration modes in
directions parallel and perpendicular to the axis of nanoline or nanoring have
opposite size dependence trends, showing the real vibration anisotropy property
of nano scale material. Due to constructional complexity, cage structure does not
have quasi 1D character. Morever, IR vibration modes increase as structural
symmetry decreases. Vibrations of two-membered ring, isolated hydroxyls of three
structures and framework SiO4 tetrahedron in cage structure agree well with
experimental data. Theoretical calculation result of IR spectra can be used to
guide characterization of silicon oxide nano scale materials fabricated in
experiment.
PMID- 18051513
TI - [Study on identification of Gastrodia elata Bl. by Fourier self-deconvolution
infrared spectroscopy].
AB - In the present article the FTIR spectra of the wild and planting Gastrodia elata
Bl. from different habitats and its confusable varieties such as Canna edulis Ker
Gawl, Colocasia esculenta (L.) Schott and Solanum tuberosum L. were obtained by
horizontal attenuated total reflection infrared spectroscopy (HATR-FTIR), and
were all transformed by Fourier self-deconvolution. The authors investigated the
discrepancy extent of Fourier self-deconvolution of Gastrodia elata Bl and
confusable varieties under various bandwidth and enhancement, and found that the
discrepancy extent of Gastrodia elata Bl and confusable varieties was the most
obvious when the bandwidth was between 75.0 and 76.0 and enhancement was 3.2. By
adopting Fourier self-deconvolution infrared spectroscopy (FSD-IR) analytical
method the samples were studied in detail. The results showed that we could find
out the difference among them by means of Fourier self-deconvolution infrared
spectroscopy, although it was very difficult to find out the difference in FSD-IR
spectra of wild and planting Gastrodia elata Bl., and asexual reproduction and
sexual reproduction Gastrodia elata Bl. The difference in FSD-IR spectra between
Gastrodia elata Bl. and its confusable varieties is also very great. Therefore,
this method can be used to recognize different Gastrodia elata Bl. and its
confusable varieties simply, rapidly and accurately.
PMID- 18051514
TI - [Analysis and identification of sea cucumber and products].
AB - As high-grade health foods, the deep-processed products of sea cucumber, such as
its capsule, injection and etc, have a broad prospect. But so far there is not a
generalized efficient verification method or standard for these products. In this
research, the authors used Fourier transform infrared spectroscopy (FTIR) and two
dimensional correlation infrared spectroscopy (2D-IR) to determine fine sea
cucumber products. At first, by processing live sea cucumber, the authors got
some standard spectra. Then the authors used them to compare with different kinds
of products, from the correlation coefficient of which the authors found the sea
cucumber capsule made by Hai-Yan-Tang Corp. the most correlative with ours. This
indicates that the capsule is filled with pure sea cucumber powder and has high
quality. The authors' research also implies that the quality-verification for sea
cucumber products by using a database of their standard spectra is quite
possible.
PMID- 18051515
TI - [Estimation of soluble solids content of intact citrus fruit by Vis/NIR
spectroscopy].
AB - Visible/Near-infrared (Vis/NIR) spectroscopy has become a very popular technique
for the non-invasive assessment of intact fruit. The feasibility of using Vis/NIR
spectroscopic technology for rapid quantifying soluble solids content (SSC) of
citrus fruit was investigated by means of spectral transmittance mode. A total of
110 citrus fruit samples were used to develop the calibration and prediction
models. The relationship between actual SSC and Vis/NIRS spectra of citrus fruit
samples was analyzed via pricipal component regression (PCR) and partial least
squares (PLS) regression method using TQ 6.2 spectral analysis software. Models
based on the different spectral pre-processing methods were compared in the
present research. Performance of different models was assessed in terms of root
mean square errors of prediction (RMSEP) and correlation coefficients (r2) of
prediction set of samples. The best predictive models feature a RMSEP of 0.538%
and correlation coefficient (r2) of 0.801 for SSC. The results show that the
Vis/NIR transmittance technique is a feasible, accurate and fast method for non
invasive estimation of citrus fruit SSC.
PMID- 18051516
TI - [Study on the relationship between spectral properties of oilseed rape leaves and
their chlorophyll content].
AB - Chlorophyll is the important factors of the crop in its growth stage, and it is
the favorable indicator of nutrition stress and photosynthesis. Site-specific
crop nutrition diagnosis is the basics of the scientific fertilizer management,
and it is essential for the practice of precision agriculture. Spectral
properties of the oilseed rape leaves in different nitrogenous fertilizer levels
were measured using visible-near infrared reflectance spectroscopy (Vis-NIRS)
with natural illumination in the present study. According to the unique spectral
properties of the crop, multiple stepwise regression technique was used to find
the relationships between chlorophyll content and red edge, green edge. The
result shows that the model with two independent variables (red edge, green edge)
was better than with the one independeot variable (red edge). The correlation
coefficient was 0.863, 0.848, and SEC was 5.273, 5.459, respectively. It can be
seen the combination of the red edge and green edge can accurately predict
chlorophyll content.
PMID- 18051517
TI - [Study on quality detection of milk powder based on near infrared spectroscopy
(NIR)].
AB - The traditional NIR model was usually built according to various parameters of an
individual type of milk powder so that it's really time-consuming. To simplify
the application of NIR in real-time quality detection of milk powder, it was
proposed in the present paper to build NIR models for a sample set composed of
different types of milk powder. With 70 samples provided by one manufacturer, 6
NIR models including acidity, fat, lactose, sucrose, protein and ash, were built
by optimizing algorithms. The results indicated that these NIR models except the
acidity model have good stability and high prediction ability (RSD<10%, RPD>3).
PMID- 18051518
TI - [Research on discrimination method of orange juice variety based on spectroscopy
technology].
AB - In order to quickly analyze varieties of orange juice with near infrared spectra,
firstly, principal component analysis (PCA) was used to analysze the clustering
of orange juice samples, and the characteristic differentia of four orange juice
varieties was obtained through qualitative analysis. Then plentiful spectral data
were compressed by wavelet transform (WT) and the model was built with radial
basis function neural network (RBF-NN), which offered a quantitative analysis of
orange juice varieties discrimination. The model regarded the compressed data as
the input of RBF-NN input vectors and built a RBF-NN model. Two hundred forty
samples from four varieties were selected randomly to build the training model,
which in turn was used to predict the varieties of 60 unknown samples. The
discrimination rate of 100% was achieved by WT-RBFNN method. It was indicated
that wavelet transform combined with RBF-NN is an available method for variety
discrimination based on the near infrared reflectance spectroscopy technology. It
offered a new approach to the fast discrimination of varieties of orange juice.
PMID- 18051519
TI - [Application of near infrared sensor to the radio control count system].
AB - Using reflective near infrared sensor, radio receiving/transmitting module and
four-in-one function mix count integrated circuits, the radio control infrared
counter was studied when a product enters the infrared surveillance area, part of
the infrared signal will be reflected by the product, received by the
photosensitive tube installed parallel with the transmitting tube, and
transformed to a similar frequency electric signal, which is amplified by the
internal circuits of infrared sensor module. After comparing and processing, a
high level signal will be output to start transmitting module. When the receiving
device receives the radio control encoded signal, it will demodulate and decode
the signal, and send out an encoded pulse. By counting this pulse signal, the
statistics of the products can be realized. The whole device is of a module
configuration. It has the advantage of simple installing, strong anti-detonation
ability, far distance-transmitting, work reliability, precise counting and high
luminance digital display. It can be used in heavy industry, light and textile
industry, food industry, and farming and animal husbandry, to radio control the
count of yield or volume in some facilities or assembly lines.
PMID- 18051520
TI - [Rapid measurement of citric acids in orange juice using visible and near
infrared reflectance spectroscopy].
AB - Visible and near infrared reflectance spectroscopy (Vis/NIRS) as a new method was
proposed for the rapid and nondestructive measurement of citric acids in orange
juice. High performance liquid chromatography (HPLC) was used as a reference
method for the spectral analysis of citric acids. The original spectral data were
preprocessed by the smoothing method with five smoothing points in order to
eliminate the noise. Before modeling, large spectral data were compressed by
wavelet transform (WT) in Matlab7.01 with the edited program to reduce the
dimensions and modeling time, and then the new variables after being compressed
were used to build PLS calibration in spectral software Unscrambler 9.5.
Considering the effect of different wavelet functions and decomposed scales on
the data compressed, the optimal wavelet function Db4 and decomposed scale 5 were
determined by predictive residual error sum of squares (PRESS). A total of forty
samples were used in our experiment, including thirty samples for the calibration
model and ten unknown samples for the prediction. The quality of the calibration
model was evaluated by the correlation coefficients (r) and standard error of
calibration (SEC), and the prediction results were assessed by correlation
coefficients (r) and standard error of prediction (SEP). Comparing WT-PLS model
with PLS model, the result of WT-PLS model was r of 0.901 and SEP of 0.937, while
the result of PLS model was r of 0.849 and SEP of 1.662, indicating that the
prediction result from PLS model with wavelet transform was better than that from
PLS model.
PMID- 18051521
TI - [Influence of sample loading and test conditions on NIR veracity and study of
analysis error source].
AB - The influence of sample test conditions on the NIR veracity was studied with
homemade grating diffuse NIR instrument using Yunnan flue-cured tobacco. Deducing
analysis error was achieved by model self-emendation when a global NIR model was
set up. Without regarding the influence of loading samples and test conditions,
the test repetition error, re-loading error and samples tightness error, which
were brought by instrument S/N, accounted for 50%, 30% and 20% of the total
error, respectively. Depressing sample could reduce errors brought by sample
tightness. Changes in test conditions could bring more analysis error, which was
larger than the total of repetition error. These results theoretically explain
the influence of sample test conditions on the NIR analysis veracity, which can
provide basic theory data for farther improvement of homemade instrument and
offer a new idea for resolving this problem.
PMID- 18051522
TI - [Influence of sample annum and distribution of chemical values on NIR veracity].
AB - The influence of sample annum and the distribution of sample component on NIR
veracity was studied with homemade grating diffuse NIR instrument using Yunnan
flue-cured tobacco. Results showed that sample annum had an obvious influence on
the total sugar and nicotine models, but had an unconspicuous influence on the
total-nitrogen model. Models set up by samples, whose component content
distribution was normal school, was better than those set up by even
distribution. The conclusion in this study has a significant referenced value for
the method and principle to select representative samples to modeling from a
large amount of specimens.
PMID- 18051523
TI - [Surface enhanced Raman spectroscopic study on the gold-labeled protein self
assembled surface].
AB - The human IgG molecules were labeled with 13 nm gold nanoparticles and the
complex of the gold-labeled human IgG molecules was immobilized on a silicon
surface modified by 3-aminopropyltriethoxysilane and glutaraldehyde. The method
increases not only the tightness but also the surface coverage for immobilization
of the complex and retains protein configuration well on the silicon surface. The
self-assembled complex surface was observed by AFM. The complex aggregated on the
silicon surface and the "island" monolayer of the complex was obtained. Meanwhile
the SERS spectrum of the complex self-assembled "island" monolayer on silicon
surface was presented. In the present paper, the gold labeled human IgG molecules
were self-assembled on the silicon surface, SERS spectra of protein were obtained
and as SERS active substrates were provided for the study of the protein
molecules.
PMID- 18051524
TI - [Study of Raman spectra of single carcinoma of nasopharynx cell].
AB - The Raman spectra from carcinoma of nasopharynx cell lines (CNE2) and normal
airway epithelial cell lines (HBE) were investigated using a laser tweezers Raman
spectroscopy (LTRS). The Raman scattering measurements were obtained from three
different places in every single cell. Visual inspection of the spectra shows
that the differences observed in spectra of the cancer cells and normal cells are
obvious. The peak ratio I1 304/I1 336 is 1.05 for the normal cell and 1.22 for
the cancer cell. Using a combination of principal component analysis (PCA) and
discriminant function analysis (DFA), the authors are able to predict cancer
cells, and normal cells and the DFA is better for single Raman spectrum. The
sampling locations did not seriously affect the result of PCA and DFA. PCA and
DFA also show that the uniformity of normal cells is better than that of cancer
cells. The results indicate that the Raman spectra may offer the experimental
basis for colorectal cancer diagnosis.
PMID- 18051525
TI - [Excitation-emission-matrix spectral property of dissolved organic matter in
seawater of Jiaozhou Bay, China].
AB - Excitation-emission matrix spectroscopy (EEMs) was applied to measure the
fluorescence properties of dissolved organic matter (DOM) in seawater collected
from Jiaozhou Bay in June, 2005. The study areas include central Bay area,
receptor areas of Dagu River, Licun River and Haibo River. The aim was to
investigate the influence of discharges from several typical rivers to the
receiving seawater. Dagu River discharges mostly freshwater, while the other two
rivers discharges include more wastewaters from factories and sewages treatments.
The results indicate that there is a redshift of the position of humic-like
fluorescence peaks due to the discharges from sewages and wastewaters from
factories. The intensities of protein-like and humic-like peaks were the
strongest in the samples from area near Haibo River and Licun River, while the
weakest fluorescence intensities of both protein-like and humic-like were
observed in the samples collected from central bay area. This suggests that river
inputs are the mains sources of DOM in seawater of Jiaozhou Bay, while DOM
produced by bioactivity in situ dominates those in central Bay area. The
relationships of protein-like and humic-like fluorescence intensities vary
depending on the water samples. The DOM in seawater of Jiaozhou Bay may be
polluted by protein-like organic matter produced by human activity, as indicated
by higher ratios of protein-like and humic-like fluorescence intensities. The
results suggest the potential utility of EEMs technique for trace DOM and land
based pollution sources.
PMID- 18051526
TI - [Progress in predicting animal feed intake of plant secondary compounds by
spectral analysis].
AB - Study on feed intake of phytophagic animals is a key issue in promoting animal
productivity and conservation of wild life. However, how to accurately predict
the feed intake of grazing animal and wild life is a long remaining problem.
Under the mechanism of co-evolution, plant produces secondary compounds such as
phenolics, terpenoids and nitrogen-containing compounds to avoid or reduce animal
herbivorous damage as a defensive strategy, while animal attained detoxification
capacity of biotransforming and mineralizing the compounds by microbial
activities and reactions such as hydrolysis and reduction. The attributes of
feedstuff and the amount of a particular feed consumed by the animal affect
directly the urinary excretion of secondary metabolites. Plant secondary
compounds and their metabolites can be efficiently extracted, separated and
structure-identified by spectroscopic analytic method. Then the feed intake of
the animal can be accurately measured or predicted by the inference model of
concentration-ratio that is based on the regression of correlating the secondary
metabolites to the precursors in plant. Aromatic compounds, an universal
occurrence in vascular plants, play an important role in predicting feed intake
of ruminants. Progresses have been made all-around about the new method.
Intensive studies have found that different species and developing stage of plant
have varying kinds and levels of secondary compounds, and the age, gender and
type of animal have different capacity of metabolizing the compounds. Increasing
concentrations of the compounds in the diet led to a dose-dependent decrease in
food intake best described as an exponential decay. Animals that had not
previously been exposed to the compounds ate significantly more when first
offered food containing the compound than on subsequent days. Advanced
spectroscopic analytic method has been developed and widely applied in extraction
(e. g. microwave assisted extraction and ultrasonic extraction), separation and
purification (e. g. paper chromatography, VLC, GC, HSCCC, Micro-LC and HPLC), and
structure-identification (e. g. Fourier transform infrared spectroscopy,
ultraviolet spectroscopy, and nuclear magnetic resonance spectroscopy) of plant
secondary compounds and their metabolites. Studies suggest that some aromatic
compounds like phenolic alkaloids, flavonoids, tannins, lignin and N-alkane are
suited internal markers and find that the method to predict animal feed intake of
plant secondary compound by spectral analysis is quick, accurate and applicable.
The further focus should be on selecting appropriate compounds and their fate in
metabolizing and excretion, and the development of intelligentized spectroscopy
equipments.
PMID- 18051527
TI - [Spectrofluorometric detection of protein with a novel hydrophilic cyanine dye].
AB - A sensitive fluorescence quantitative determination for bovine serum albumin
(BSA) or human serum albumin (HSA) has been developed by using a new hydrophilic
cyanine dye 1, 1'-sulfonopropyl-3,3,3', 3'-tetramethylindolium-5,5'-disulfonic
potassium (STDP) as a fluorescence probe. Using BSA as a representative protein,
characteristics of the fluorescence reaction of STDP with protein were
investigated. Effects of the concentration of the hydrophilic cyanine dye, pH
value of the buffer solution, and ion-intensity of NaCl were also studied as well
as the ratio of ethanol. In the citrate-HCl buffer solution, the fluorescence
emission wavelength of BSA-STDP system was 562 nm with the maximum excitation
wavelength of 548 nm, and the Stokes displacement was 14 nm. With the pH ranging
from 1.0 to 2.0, the fluorescence was increasing and up to the maximum at pH 2.0.
However, in the pH range of 3.0-5.0, the interaction of BSA and STDP was weakened
due to the decrease in positive charge on the BSA chain, which resulted in an
observable decrease of the enhancement of the fluorescence intensity. At the
optimum pH of 2.0, electrostatic interactions of positive charges of the BSA
chain and negative charges on the sulfonic groups of STDP were carried out. The
interactions of the indole group of STDP and some active groups of BSA (viz.
amido, carboxyl or sulfhydryl) were also achieved, and resulted in the
combination of indole group of cyanine dye into the chain of BSA. So the
hydrophobic effect and the protection provided by the skeleton chain of BSA were
both improved to prevent the fluorescent energy of STDP from losing in the
solution, which caused a notable fluorescence increase with an observable shift
to the longer emission wavelength. Furthermore, with the augmentation of BSA, the
alpha-helix structure of BSA molecular turned from the unwrapped state to the
enfolded state, in favor of restraining free-oscillation of fluorescence probe in
the solution and maintaining a high energy transfer efficiency. Such a fact
fueled a highly enhancement of the fluorescence too. Besides, effects of the
concentration of cyanine dye on the determination of BSA were also investigated.
The fluorescence intensity (DeltaF) was enhanced with the increase in the
quantity of STDP and gained the peak at 1.00 micromol x L(-1). However, when STDP
ranged from 1.50 to 5.00 micromol x L(-1), some negative congregate effects on
the nature of cyanine dye might happen and resulted in a too high fluorescence
background. A rapid decrease of the fluorescence intensity was observed. The
effects of ion-intensity of NaCl and ethanol on the fluorescence of BSA-STDP
system were obvious. Though the fluorescence still remained high at the level of
NaCl of 0.025 mol x L(-1), a rapid decrease happen at the level of NaCl from 0.05
to 0.15 mol x L(-1). With the addition of ethanol, the dissolvation capacity of
both STDP and BSA was improved and their interactions were accelerated. An
increasing fluorescence with the augment of ethanol was obtained and the maximum
was achieved with the ratio of ethanol at 10%. Influences of coexistent
substances such as amino acid, metal ions such as Cu2+, Na+, Ca2+, Mg2+, Al3+ and
Fe3+ were also investigated. Most substances had no notable influences on the
determination of BSA except Fe3+ and Cu2+ ions. Under the optimum conditions, the
fluorescence of STDP was enhanced markedly with the addition of the BSA or HSA
protein. Good calibration curves of the proteins were obtained in the range of
0.20-15.00 microg x mL(-1) for BSA and 0.20-12.00 microg x mL(-1) for HSA with
detection limits (3sigma/K) of 0.01 microg x mL(-1). Applied to simulant BSA
samples, this method was adaptable. And the results were satisfied with good
recoveries ranging from 94.5% to 103.3% at the revels of 4.00, 6.00 and 8.00
microg x mL(-1) respectively.
PMID- 18051528
TI - [The study of fermentation liquid's absorption spectra in elastase fermentation].
AB - The present article studied the fermentation liquid's absorption spectra,
bacteria growth period and elastase' production in elastase fermentation, and
compared and analyzed the their relation. The results show that the changes in
the absorption spectra were closely related with bacteria growth and elastase'
production. The UV spectroscopic technique is helpful for detecting the change of
the organic nitrogen base and enzyme. The study offers a new method to detect
fermentation process and is basic for detecting fermentation process on line by
UV spectroscopic technique.
PMID- 18051529
TI - [Studies on the interaction between puerarin and bovine serum albumin].
AB - The interaction of puerarin and bovine serum albumin (BSA) under physiological
condition was studied by fluorospectrophotometry. The experiment demonstrated
that the quenching mechanism of puerarin a BSA was static quenching process. The
quenching constant is 7.29 x 10(12) L x mol(-1) x s(-1), and the binding constant
is 5.04 x 10(4) L x mol(-1). According to the Forster nonradiative energy
transfer theory, the binding distance between donor (BSA) and acceptor (puerarin)
was calculated to be 3.35 nm. The influence of the presence of puerarin on
structure of BSA was studied by synochronous fluorescence method, the binding
distance between BSA and puerarin was also measured, and the binding mechanism
was discussed. In addition, the effect of some ions on the binding constant of
puerarin with BSA was also studied.
PMID- 18051530
TI - [Monitoring of photodynamic therapy of port wine stain by fluorescence
spectroscopy].
AB - The blood drug level and the formation of photoproduct were monitored during
photodynamic therapy (PDT) of port wine stain (PWS) by fluorescence spectroscopy.
The irradiation was implemented by a 532 nm double-frequency Nd:YAG laser, and
the collection of fluorescence spectra was completed with the use of spectrograph
and ICCD. In the experiment for validation of the system, the fluorescence basis
spectra of hematoporphyrin monomethyl ether (HMME)-sensitized mouse normal skin
were constructed, and, by least-square fitting, HMME fluorescence (624 nm) could
be discriminated from that of photoproduct (652 nm). The fitting of fluorescence
spectra measured from PWS patients skin containing PSD-007 was performed with the
same basis spectra as those from the mouse skin. The curves of blood drug level
of different patients with significant variance, as well as those of formation
and bleaching of photoproduct were obtained. Fluorescence spectroscopy monitoring
system and fitting method presented here can provide technical means for rigorous
quantitative PDT dosimetry method, and the results obtained here will make for
the individual scheme of PDT.
PMID- 18051531
TI - [Preparation and spectra characterization of optically variable pigments with
four symmetrical configurations].
AB - The optically variable pigments with four symmetrical configurations were
obtained by using the mica titanium as the host materials, which was pretreated
in acid and alkaline condition. The reflection differences between the host
materials and the coatings were increased by optimizing the number of layers and
the arrangement order. The optically variable effect was investigated by X-Rite
MA86II five angles spectrophotometer. The impact of symmetrical configurations on
improving the color travel effect was studied, and the influence of different
coating materials on the hue and the color travel effect was researched. The
results show that the reflection rate and the color travel effect of the pigment
were improved by coating another material on three symmetrical configurations.
PMID- 18051532
TI - [Study on the spectrum response of Brassica Campestris L leaf to the zinc
pollution].
AB - In the present paper, the spectrum response of Brassica Campestris L leaf to the
stress of heavy metal zinc pollution was studied in three spectral rangess of the
red edge position (680-740 nm), the visible spectrum (460-680 nm) and the near
infrared spectrum (750-1000 nm). The results indicate that the Zn content in
cabbage leaves increases and the chlorophyll level reduces with the increase in
Zn concentration in soil. With the Zn content of Brassica Campestris L leaves
increasing, the leaf spectral reflectivity in visible light (A1) and the range of
red edge shift (S) ascends, the the leaf spectral reflectivity in the near
infrared light (A2) decreases. The three indices of A1, A2 and S are fitted much
linearly with the logarithm of zinc content in Brassica Campestris L leaves with
the high squared regression coefficients of 0.942, 0.981 and 0.969 respectively.
The regression models are reliable to estimate the zinc content in Brassica
Campestris L leaves.
PMID- 18051533
TI - [Fluorescence microscopic study of environmental water sample by a self-ordered
ring technique with microwave heating].
AB - A self-ordered ring (SOR) technique with microwave heating is proposed for the
environmental water samples based on the capillary flow of solvent on the solid
surface of glass slide support. In the reaction medium of pH 4.58 HAc-NaAc
containing poly (vinyl alcohol)-124, a SOR of berberine with the outer diameter
of 1.1 mm and the ring belt width of 19.2 microm can be formed using microwave
heating and its fluorescence was quenched by picric acid. The maximum
fluorescence intensity (Imax) was found to be proportional to picric acid
content. When a 0.1 microL droplet of berberine-picric acid mixture was spotted
on the solid surface, picric acid in the range of 1.3-30.0 x 10(-7) mol x L(-1)
can be detected, and the limit of detection can reach 1.3 x 10(-8) mol x L(-1).
With the present method, the contents of picric acid in natural water samples and
synthetic samples were satisfactorily determined with its recoveries of 96.3%
108.0% and RSD lower than 3.3%. The sensitivity enhanced 50 times than that by
spot analysis, and 60 times than that in solution. Moreover, the interference
from background was reduced greatly. The authors believe that the SOR technique
will find wide practicability and superiority in both environment and
biochemistry.
PMID- 18051534
TI - [Applications of quantum dots to biological probes].
AB - Quantum dots (QDs) have shown unique optical properties compared with traditional
organic dyes. Now, more and more attention has been paid to them, especially in
the fields of biological medicine and materials. Much work about QDs application
in biology has been done by many researchers. In resent years, QDs have been
widely used as biological probes. By observing the conjugation site between QDs
and target molecules or tracking the movement of QDs in live cells, some
information about transferring signals mechanism may be obtained, therefore,
offering apparent evidence for controlling cell's growth and finding the factors
in the deterioration of cancer. In the present paper, interactions among macro
molecules are introduced with fluorescence resonance energy transfer (FRET),
fluorescent labeling of biological macro molecules, labeling and imaging of cells
and tissues, and imaging in vivo. Furthermore, some developments and problems in
application are summarized. Thirty seven references are cited.
PMID- 18051535
TI - [Application of hyperspectral data to the classification and identification of
severity of wheat stripe rust].
AB - Wheat stripe rust, caused by Puccinia strii formis f. sp. tritici, is one of
pandemic diseases causing severe losses in China. Monitoring and warning of this
disease is principal for its precise prediction and for implementing effective
measures to control it. The hyperspectral data used for analysis were attained
from 88 leaves including healthy leaves and infected leaves over a range of
disease severity levels. Support vector machine (SVM) was applied to classify and
identify the severity of wheat leaves infected by the pathogen. The model was
built based on 44 proof-read samples to estimate 44 proof-test samples. And the
identification accuracy is totally 97%. So SVM can be used in the classification
and identification of severity of wheat stripe rust based on attained
hyperspectral data.
PMID- 18051536
TI - [The common and variation peak ratio dual index sequence analysis on UV
fingerprint spectra of Paeonia Lactiflora Pall].
AB - Baishao and Chishao (Paeonia Lactiflora Pall.) and their close relative Danpi
(Paeonia Suffruticosa Andr) samples were estimated quantitatively, based on their
UV fingerprint spectra of the extracts obtained with chloroform, ethanol and
water, by applying the common and variation peak ratio dual index sequence
analysis method. The analytical results showed that the Baishao samples B2, B3
and B4 from the closest regions were the most similar samples. Their common peak
ratios were larger than 70 percent and their variation peak ratios were less than
33.3 percent. However, there existed obvious differences among Baishao sample
group 1 (B1 and B5), group 2 (B2, B3 and B4) and group 3 (B6) from different
regions. The common peak ratios among group 1 (B1 and B5) and group 2(B2, B3 and
B4) were lower than 60 percent, while those among group 1 (B1 and B5) and group 3
(B6) were less than 57 percent. The Baishao samples B1 and B5 from the same
region collected in different years were of significant disparity, their common
peak ratio was only 44.4 percent, but their variation peak ratios were larger
than 100 percent. In fact, this method reaches the limitation of quantitative
identification of herbs, and can distinguish at least two samples quantitatively.
PMID- 18051537
TI - [Spectroscopic study the interaction of cucurbit[n]urils with 1-naphthol, 2
naphthol].
AB - Interaction of cucurbit[n]urils with two naphthol isomers and the influence of pH
on the interaction were studied by using UV-Vis spectroscopy and fluorescence
spectrophotometry. The experimental results revealed that cucurbit[8]urils always
forms a 1:1 complex with the naphthol isomers. However, UV-Vis spectroscopy and
fluorescence spectrophotometry method showed no obvious interaction of
cucurbit[6]urils and cucurbit[7]urils with naphthol isomers in all pH values.
Formation constant of Kf was found to be 4.2 x 10(4) L x mol(-1), 1.6 x 10(4) L x
mol(-1) of 1-naphthol and 2-naphthol with cucurbit[8]urils respectively by using
fluorescence spectrophotometry. Formation constant of Kf was found to be 4.2 x
10(4) L x mol(-1), 5.4 x 10(4) L x mol(-1) of 1-naphthol and 2-naphthol with
cucurbit[8]urils respectively by using UV-Vis spectroscopy. There is no
interaction between cucurbit[8]urils and the naphthol isomers in strong
alkalescent medium. The cucurbit[8]urils could include the guest with a 1:1 ratio
in acid and neutral medium.
PMID- 18051538
TI - [Study on influence of pH on interaction of cucurbit[n=7,8] uril with two
naphthylamine isomers].
AB - The interaction of cucurbit[n=7,8]urils (Q[7], Q[8]) with two naphthylamine
isomers and the influence of pH on the interaction were studied by using UV-Vis
spectroscopy and 1H NMR technique. The experimental results revealed that Q[7]
always forms a 1:1 complex with the naphthylamine isomers respectively in the pH
range (-0.314
and pH<-0.3 respectively); the Q[8] could include the guest with a 1:1 ratio
between the "highest" and a "higher" pH or the "lowest" and a "lower" pH (
0.3K>Mg>Ca>Fe>Zn>Co>Cu, stem: K>Mg>Ca>Na>Fe>Zn>Cu>Co, leaf:
K>Mg>Ca>Na>Fe>Zn>Co>Cu, and root: K>Mg>Na>Fe>Ca>Zn>Cu>Co, but the contents of K,
Mg, Cu, Na and Fe in all different parts of limonium bicolor are relatively high.
The method has good precision and accuracy so that it is able to meet the
requirements for analysis.
PMID- 18051545
TI - [Determination of selenium in Tricholoma giganteum by flow injection-hydride
generation-atomic absorption spectrometry].
AB - Various test conditions and effect factors for the determination of selenium by
flow injection-hydride generation-atomic absorption spectrometry were discussed
and a method for the determination of selenium in tricholoma giganteum has been
developed. The linear range for selenium is 0-50 microg x L(-1). The relative
standard deviation is less than 3% and the recovery is 96%-105%. This method is
simple, rapid and has been applied to the determination of selenium in tricholoma
giganteum with satisfactory results.
PMID- 18051546
TI - [Determination of trace elements in cardiocrinum giganteum by FAAS].
AB - Conditions for simultaneous determination of multi-tract elements in Cardiocrinum
giganteum by flame atomic absorption spectrometry were studied. Elements K, Ca,
Na, Mg, Fe, Zn, Mn, Cu and Cr in different growth periods of Cardiocrinum
giganteum were determined, the relative standard deviation of the method is
between 0.20% and 2.1%, and the recovery is between 97.8% and 104.9%. Analytical
results were satisfactory. The results showed that some elements essential to
human such as Zn, K, Ca, Mg and Mn in Cardiocrinum giganteum are abundant,
implying that the nutritive value of Cardiocrinum giganteum is high.
PMID- 18051547
TI - [Study of the sensitizing effects of surfactants on the determination of aluminum
by air-acetylene flame atomic absorption spectrometry].
AB - The sensitizing effects of ten surfactants, such as cetyl trimethyl ammonium
bromide (CTMAB) and cetyl pyridinium chloride (CPC), on the determination of
aluminum were studied. The results showed that the cationic surfactants in the
experiment were good sensitizers for the determination of aluminum, and the
sensitization time was about 3.0. On the other hand, anionic surfactants had
inhibition action, while particular surfactants had no action. With the
sensitizing agent of CTMAB, the operating parameters of the spectrometer and the
effects of CTMAB, solution medium and interfering elements in aluminum-nickel
alloy sample were studied. The content of aluminum in aluminum-nickel alloy
sample was determined by air-acetylene flame atomic absorption spectrometry, the
linear range of aluminum of this method was 0.10-2.0 g x L(-1), the relative
standard deviation was 2.6%, and the recoveries were in the range of 97%-103%.
PMID- 18051548
TI - [Indirect determination of Vc with flame atomic absorption spectrometry].
AB - In the present paper, a new method for indirect determination of Vc by atomic
absorption spectrometry is proposed, based on the reducing properties of Ag+. The
effects of temperature, reaction time and use level of Ag+ on the experiment were
studied. Room-temperature and reaction time of 35 minutes were chosen. The
oxidant amount is 2.0 mL solution of Ag+ (1.0 microg mL(-1)). Meanwhile the AAS
working conditions for Ag determination were optimized. The proposed method
allows the determination of Vc in a wide range with a relative standard deviation
of 2.04%, and the detection limit is less than 1 microg x mL(-1). The method
cannot be disturbed by the colour of sample. The interference of coexistent
substance is also weak. Other methods for determining Vc could be remedied by
this method. Two kinds of standard curves were plotted, standard working curve of
sliver and standard working curve of Vc. The former is easier, while the latter
is more accurate and could be applied flexibly according to the physical
circumstances. This method is easy to control and has been applied to the
determination of ascorbic acid in pharmaceutical preparations and orange juice.
The recovery ratio of this method is 99.30%-106.06%. The results obtained in the
analysis agreed well with the iodimetry in pharmacopeia.
PMID- 18051549
TI - [Novel identification of donkeyhide glue by X-ray fluorescence analysis].
AB - A new fast identification method for a Chinese patent medicine donkeyhide glue
was established. Six samples from different producing areas were collected and
determined by X-ray fluorescence analysis(XRF). Elements characteristic graphs
were plotted and compared with those from the comparison sample. Many elements
such as Ca, Na, Cl, K, Fe, Zn, Al, Mg, etc are contained in the six samples, but
the contents of elements Cl, Ca, Na and K are obviously different. Some other
microelements occur only in one or two samples. According to the above
differentia the counterfeits of donkeyhide glue can be distinguished successfully
by XRF. XRF is accurate, robust a nd objective, and could b e applied in t he
discrimination of o th er traditionalChinese medicines.
PMID- 18051550
TI - [Study on absorption spectra of cell substrate for Hep-2 cell after being
radiated by X-ray].
AB - UV-absorption spectra of the Hep-2 cell's culture medium RPMI1640 (10% Foetal
Calf Serum) were collected by UV-3101 spectrophotometer after the Hep-2 cell was
radiated by X-ray and cultivated for 24, 48 and 72 h, and the absorbability of
the proteins in the substrate was analyzed. From these results it was found that
there were visible differences among these absorption spectra. In particular, the
absorption peaks of the RPMI1640 culture medium during the cultivation shifted
from 233 to 235 nm, while the absorption peak at 278 nm became more and more
smooth and even finally disappeared with the cultivation time. On the other hand,
the absorption intensity of the different-dose groups rose greatly with the time,
and were all lower than the control group until the cells were cultivated for 72
h after being radiated by X-ray. It was showed that the content of each amino
acid has already changed. That is, during the growing course of the cancer cells,
the tryptophan and casein were not depleted equivalently. And there were some
important relations between the absorption spectra and the cells' apoptosis and
necrosis induced by X-ray. This will be a foundation for the study of the best X
ray dose for the larynx carcinoma.
PMID- 18051551
TI - [Method for determination of RE2O3 by X-ray fluorescence spectrometry].
AB - A simple and rapid quantitative method for determining RE2O3 in rare earth oxide
by X-ray fluorescence spectrometry was developed. A new method for manufacturing
sample was brought up. The sample pedestal plate was lathed with
polytetrafluoroethylene. A filter paper located in the sample pedestal plate was
used to absorb 1 mL analytical solution, dried under infrared lamp or in stove
and measured by X-ray fluorescence spectrometry with a 6 microm covering film. No
remainder was detected in the sample pedestal plate. Vanadium was confirmed as
the internal standard to compensate the influence of the location of absorbing
filter paper within the sample pedestal plate, the analytical range was large,
the accuracy and precision were satisfactory, the results agreed with other
methods, and the method has been used in routine analyses for ten years. Also the
method for manufacturing sample has been applied to a variety of materials.
PMID- 18051552
TI - [The study on energy band structure of silicon nanowires with XPS].
AB - Silicon nanowires were obtained via oxide-assisted method, which was operated in
a high temperature furnace at 1250 degrees C under 1000 Pa for 5 h using Ar as
carrier gas. The silicon nanowires were etched with 5% HF aqueous solution for 5
min, and reacted with 1 X 10(-3) mol X L(-1) AuCL3 solution, and Au-modified
silicon nanowires were obtained. The crystal structure of the products was
characterized with XRD, and both of the patterns of Si and Au were observed. The
morphology checked with SEM and TEM indicated large scale uniform silicon
nanowires and Au particles on the surface of silicon nanowires. The average
diameter of Au nanoparticls was 8 nm. The energy band structures obtained with
XPS showed that gold nanoparticles are in negative charge and exist both at donor
and acceptor levels. The Fermi level moved towards the top of valence band due to
oxygen.
PMID- 18051553
TI - [Beam hardening simulated correction research for X-ray TICT in testing
composites workpiece].
AB - In X-ray TICT, when X-ray is transmitted in the materal, the phenomenon of energy
spectrum hardening takes place, resulting in artifacts. Thus, hardening
correction has to be done. In the present paper, the phenomenon of X-ray beam
hardening resulting in analyized, and the relation between the X-ray beam sum and
the transmission thickness in testing composites workpiece is discussed.
According to the Beer law and the characteristics of the interaction between X
ray and the material, and getting the data of X-ray beam sum, the relation
equation between the beam sum and transmission thickness is simulated firstly,
and testing composites workpiece. Then, the relation and the method of
equivalence are carried out between the equivalent transmission thickness and the
transmission thickness for X-ray beam sum being corrected for monochromatic ray
beam. Finally, the attenuation coefficient beam hardening simulated value for X
ray equivalent monochromatic is reasoned out in testing composites workpiece.
Then, the attenuation coefficient simulated value that has been corrected is used
for product back-projection reconstruction. Thus, the effect caused by X-ray beam
hardening is wipped off effectively in testing composites workpiece.
PMID- 18051555
TI - [Preparation and spectra analysis of nano-ZnS].
AB - The nano-zinc sulfide was prepared in RPBR (rotating packed bed reactor) using
zinc nitrate and hydrogen sulfide as the raw materials. The size and morphology,
crystal structure, composition and optical properties of the nano-zinc sulfide
were analyzed by transmission electron microscopy (TEM), X-ray diffraction (XRD),
X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectrometry
(FTIR), UV-visible spectrophotometer and energy dispersive X-ray detector (EDX).
The results show that the nano-zinc sulfide particles are spherical and their
average size is about 42 nm. The XRD pattern shows that the nano-zinc sulfide is
in a good sphalerite crystal phase. The XPS spectra show that the binding energy
of the S (2p) is 162.6 eV, while those of the Zn 2p3/2 and 2p1/2 are 1021.4 and
1044.6 eV respectively. The IR spectrum shows that the nano-zinc sulfide has good
transmittance within the wavelength number range from 400 to 4000 cm(-1). The UV
Vis spectrum shows that the nano-zinc sulfide has strong absorption within the
wavelength range from 200 to 340 nm. The optical gap of the nano-zinc sulfide is
3.57 eV by calculation. The EDX spectrum shows that the nano-zinc sulfide has
high purity.
PMID- 18051554
TI - [Determination and analysis of toluene diisocyanate metabolites in mice using gas
chromatography-mass spectrometry].
AB - In the present research we used gas chromatography-mass spectrometry (G C-MS) to
determine metabolites of toluene diisocyanate (TDI) in mice and deduce the
pathway for toluene diisocyanate metabolism in the organism. Conditions for TDI
chromatography: Supelco PTETM-5 chromatographic column (30 mm x 0.25 mm x 0.25
microm); initial column temperature: 90 degrees C, which was maintained for 30
min, then the temperature was increased at a rate of 40 degrees C x min(-1) to
280 degrees C, and maintained for 5.25 min; temperature for the vaporizing
chamber: 250 degrees C; carrier gas: helium flowing at 1.0 microL x min(-1).
Conditions for chromatography of TDI metabolites in the organism: 94% methyl, 4%
ethenyl-bonded-phase fused-silica capillary column (30 + 2 m x 0.25 + 0.02 mm);
initial column temperature: 30 degrees C, which was maintained for 5 min, after
and then was increased at a rate of 80 degrees C x min(-1) to 280 degrees C, and
maintained for 5 min; temperature for the vaporizing chamber: 250 degrees C;
carrier gas: helium flowing at 1.0 microL x min(-1). Conditions for mass
spectrometry: EI for ionization; 70 eV for ionization energy; 280 degrees C for
connecting tube temperature; 35-350 micro for range of scanning; and 1.0 microL
for sample size. The results showed that 2 ,4-toluene diisocyanate was
metabolized into 2,4-diaminotoluene. Under the conditions selected for GC-MS, TDI
metabolites in the organism can be isolated and identified.
PMID- 18051556
TI - [Structure and photoluminescence of ASnO3 (A = Ca, Sr and Ba) : Eu
nanocrystalline].
AB - The present paper reports the photoluminescence properties of nanocrytalline
ASnO3 (A = Ca, Sr and Ba) : 1% Eu phosphor synthesized by the Pechini-type sol
gel method. The powder was characterized by X-ray diffraction (XRD), UV-Vis
absorption spectra, transmission electron microscopy (TEM) and photoluminescence
measurements. The experimental results show that BaSnO3 has the ideal cubic
peroskite structure, while SrSnO3 and CaSnO3 are both distorted from cubic
symmetry by an octahedral tilting distortion. The difference of structure in
induced the different spectral properties of ASnO3 : 1% Eu. On the other hand,
when A2+ changes from Ca2+ to Ba2+, the luminescence intensity becomes weak. So
CaSnO3 : 1% Eu seems to be a good candidate for new phosphors.
PMID- 18051557
TI - [Supervised feature extraction based on FDA and galaxy spectra classification].
AB - With the recent technological advances in wide field survey astronomy and the
implementation of several large scale astronomical survey proposals, celestial
spectra are becoming very rich and the study of automated processing methods is
attracting more and more attention. In the present work, the authors pointed out
that it is necessary to investigate supervised feature extraction by analyzing
the characteristics of the spectra classification research in literature and the
limitations of unsupervised feature extraction methods. And the authors studied
supervised feature extraction based on Fisher discriminant analysis (FDA) and its
application in galaxy spectra classification. FDA could effectively reduce
dimension and extract the features based on the classifying capability by fusing
information in training data. Experiments show its superior performance in
dimensional reduction for galaxy spectra classification.
PMID- 18051558
TI - [Attaching importance to the management of allergic rhinitis in children].
PMID- 18051559
TI - [Genetic epidemiological study on allergic rhinitis in Nantong region of Jiangsu
Province].
AB - OBJECTIVE: To explore the effects of genetic factors on the occurrence of
allergic rhinitis (AR). METHODS: The morbidity rate of AR was surveyed by
multistage sampling among 95 300 individuals (23,825 families) in Natong region,
Jiangsu province. And a genetic epidemiologic investigation on AR was carried out
to estimate the segregation ratio and heritability (h2) of AR by the methods of
Li-Mantel-Gart and Falconer respectively. RESULTS: The morbidity rate of AR in
Natong region was 1.20% (Male 1.21%, Female 1.18%, no statistical significance
between them); By the data of the AR ancestry, the segregation ratio of AR in
Nantong region was 0.078, significantly less than 0.25, and the genetic model
belonged to polygenetics. The 1st, the 2nd, and the 3rd generation h2 of AR were
(82.6 +/- 2.19)%, (80.8 +/- 2.93)%, (78.4 +/- 7.04)%. The h2 of AR was (81.86 +/-
1.70)%. In the ancestry of AR, the morbidity rate of the 1st generation with AR
was 12.11%; the 2nd generation with AR was 5.12%; the 3rd generation with AR was
2.75%; and the morbidity rate of AR in general population was 1.20%. CONCLUSIONS:
The heredity in family with AR is obvious. Several genes plus the environmental
factors may cause AR, which accords with the characteristics of the polygene
heredity disease.
PMID- 18051560
TI - [Cardiac safety evaluation of loratadine in the treatment of allergic rhinitis in
elderly patients].
AB - OBJECTIVE: To evaluate the cardiac safety of the second-generation H1
antihistamine loratadine in the treatment of allergic rhinitis in elderly
patients. METHODS: Forty patients with perennial allergic rhinitis were enrolled
in the study. There were 25 males and 15 females, aged 50 to 88 years (mean, 64.4
years-old). 17 cases (42.5%) had a history of cardiovascular diseases and/or
presented abnormal ECG parameters, but had no prolonged QT-interval. The subjects
received loratadine 10 mg once-daily for 30 days. A series of baseline ECG
recordings was obtained before treatment. ECG effects of the treatments were then
compared with the baseline ECGs. RESULTS: There were no changes in sinus rhythm
in all patients 30 days after treatment by loratadine. No statistically
significant difference was found between the heart rates, P durations, PR and QRS
intervals at baseline and end-point ECGs (P > 0.05), with no significant
prolongation of the QT as well as QTc corrected for heart rate using Bazett'
formula (P > 0.05). CONCLUSION: The results suggest no cardiotoxicity of
loratadine, at the usual recommended dose, in long-term treatment of allergic
rhinitis in the elderly.
PMID- 18051562
TI - [Differential gene expression profile in seasonal allergic rhinitis with and
without asthma].
AB - OBJECTIVE: To screen the differential expression gene profile in nasal mucosa of
seasonal allergic rhinitis (SAR) and SAR with asthma, oligonucleotide microarray
(Affymetrix HG-U133-plus2) was employed to analyze the changes of gene
expressions with GeneSpring software. METHODS: Inferior turbinate mucosa was
obtained from five SAR patients and four SAR with asthma patients. Total RNA was
extracted from the nasal mucosal biopsies and pooled into one SAR control pool
and one SAR with asthma patient pool, and biotin-labeled cRNA probes were
hybridized with Affymetrix HG-U133-plus2 array. The hybridization results were
confirmed by RT-PCR analysis. The analysis of differential expression profiles
were performed by GeneSpring software 7.3. RESULTS: Out of 47,000 analysed
transcripts, 1,900 genes were differentially expressed at least 2-fold in which
849 genes were up-regulated and 1,051 genes were down-regulated in nasal mucosa
of SAR with asthma patients compared with that in SAR patients. These genes were
involved in cell metabolism, gene transcription, cell proliferation, signal
transduction, immune response, enzyme activity, transmembrane receptor activity,
cytoskeletal protein binding, and many other aspects. Pathway analysis displayed
161 groups, of which including more than 20 genes were as follow: cytokine
cytokine receptor interaction, focal adhesion, cell adhesion molecules (CAMs),
regulation of actin cytoskeleton, cell communication, gap junction, MAPK
signaling pathway, calcium signaling pathway, leukocyte transendothelial
migration, and purine metabolism. CONCLUSIONS: The data suggested that
multigentic expression and regulation changes were involved in the development of
SAR and SAR complicated with asthma, whose molecular mechanisms might be
elucidated by identification of these differential genes.
PMID- 18051561
TI - [Relationship between alpha- and beta-isoform of corticosteroid receptors and
corticosteroid resistant allergic rhinitis].
AB - OBJECTIVE: To clarify the relationship between the expression of alpha- and beta
isoform of corticosteroid receptors (CS) in peripheral blood mononuclear cell
(PBMC) and response to corticosteroid in patients with allergic rhinitis (AR).
METHODS: Semi-quantitative RT-PCR was used to detect the expression of CS-alpha,
beta in PBMC in patients with AR and to observe the different responses to
corticosteroid in controls. Immunocytochemical assay was used to detect the
expression of protein of CS-alpha and CS-beta. RESULTS: 1) The expression of CS
alpha mRNA was detected in the sensitive group and the resistant group of
patients with AR and the controls with CS-alpha/GAPDH mRNA (x +/- s) 1.15 +/-
0.75, 1.63 +/- 0.78, 1.27 +/- 0.51 respectively. 2) The expression of CS-beta
mRNA in PBMC in the resistant group of patients with AR was significantly higher
than that in the sensitive group and the controls (P < 0.05), with CS-beta/GAPDH
mRNA 1.42 +/- 0.73, 0.82 +/- 0.59, 0.80 +/- 0.68 respectively. 3) The number of
CS-beta-positive PBMC in the resistant group was significantly higher than that
in the sensitive group and the controls (P < 0.01), with the number of CS-beta
positive PBMC 28.8% +/- 9. 9%, 5.9% +/- 3.2%, 5.5% +/- 6.8% respectively.
CONCLUSIONS: It is shown that the excessive expression of CS-beta may serve as a
novel predictor of corticosteroid resistance in patients with AR.
PMID- 18051563
TI - [Prenatal diagnosis for hereditary deaf families assisted by genetic testing].
AB - OBJECTIVE: To provide prenatal diagnosis for deaf families, which the first child
was confirmed to be hereditary deafness caused by gap junction beta-2 (GJB2) or
SLC26A4 (PDS) mutation, to avoid another deaf birth in these families. METHODS:
Eight deaf families joined in this study. Each family had one child with severe
to profound hearing loss while parents had normal hearing except a deaf father
from family 8; mothers had been pregnant for 6-28 weeks. Genetic testing of GJB2,
SLC26A4 and mitochondrial DNA (mtDNA) A1555G mutation were firstly performed in
probands and their parents whose DNA was extracted from peripheral blood, and
then prenatal testing was carried out in the fetus whose DNA was extracted from
different fetus materials depending on the time of gestation. RESULTS: The
probands from family 1-4 were found to carry homozygous or compound GJB2
mutations while their parents carried corresponding heterozygous GJB2 mutations.
The probands from family 5-8 and the deaf father from family 8 were found to
carry compound SLC26A4 mutations while their parents and the mother from family 8
carried a single SLC26A4 mutation. Prenatal testing showed that the fetuses from
family 1, 5, 8 only carried the paternal mutation and the fetuses from family 2,
3, 6 didn't carry any GJB2 or SLC26A4 mutations. The new born babies from these
six families all had normal hearing revealed by new born hearing screening.
However, the fetuses from family 4,7 carried the same mutations with probands in
each family. The parents from family 4, 7 decide to terminate pregnancy.
CONCLUSION: Prenatal diagnosis assisted by genetic testing can provide efficient
information about hearing condition of their offsprings.
PMID- 18051564
TI - [Primary investigation of central auditory function in patients with temporal
lobe epilepsy].
AB - OBJECTIVE: To investigate the function of central auditory, Speech-perception-in
noise test (SPIN test) was used to assess that whether temporal lobe epilepsy
patients have central auditory processing disorders. METHODS: Four audiological
test were performed in 9 patients with temporal lobe epilepsy and 19 age-matched
normal hearing persons as controls, which include pure tone audiometry, acoustic
immittance measurement, ABR (auditory brainstem response) and SPIN test. All the
temporal lobe epilepsy patients were performed by CT or MRI and diagnosed by the
neurologists prior to the test. The testing materials of speech and noise in SPIN
test was recorded in independent tracks and the S/N was identified by -25 dB by
pre-experiment. In the test, every words were read twice and both the results
were recorded. RESULTS: In the ABR test, only wave V latency showed longer values
in the left ear, other waves like I, III and inter-peak intervals had'nt shown
significant statistical differences in both ears of temporal lobe epilepsy
patients. However, in the SPIN test, there's no significant statistical
differences in both left and right ears of the controls by the first-time hearing
(P = 0.107), and the differences showed by the second-time hearing (P = 0.048);
but in the comparison of both ears in temporal lobe epilepsy patients, both time
of hearing showed no significant statistical differences with P = 0.174 and P =
0.163. In additional, the SPIN recognition score of the temporal lobe epilepsy
patients, whether in the fist or second time hearing, whether in the monaural or
binaural models, presented significant statistical differences compared to the
controls (P = 0.000). CONCLUSIONS: There was no statistically significant
difference in pure tone audiometry and ABR test for all the groups. But the
speech recognition score obtained from SPIN test of tempol lobe epilepsy patients
is lower than the normal persons, which has statistically significant difference.
(P < 0.001) Then we can come to the conclusion that Temporal lobe epilepsy
patients had central auditory dysfunctions and SPIN test is a sensitive way to
assess this abnormal.
PMID- 18051565
TI - [Retrospective analysis of the effect of intranasal endoscopic resection of
olfactory neuroblastoma].
AB - OBJECTIVE: To investigate the effectiveness of intranasal endoscopic resection of
olfactory neuroblastoma and the significance of assistant radiotherapy. METHODS:
Six patients (4 men and 2 women) ranging in age from 9-68 years (median age, 48
years) with olfactory neuroblastoma treated endoscopically at the Third
Affiliated Hospital of SUN Yat-sen University between August 2001 and September
2005 were retrospectively analyzed. The Kadish clinical stage was determined for
all six cases, two cases with stage B, and 4 with stage C. The duration of
endoscopic follow-up ranged from fourteen months to sixty three months. RESULTS:
The tumor in five patients was completely excised including one case underwent
craniotomy firstly and one case underwent neck dissection and the another case
had her tumor subtotally resected. Five cases underwent assistant radiotherapy
after operation without any evidence of recurrence so far, while the other one
(Kadish stage B, tumor totally resection), who had no radiotherapy after first
procedure, died from local recurrence and cerebellar metastasis 31 months after
operation. The medium survival duration was 44.5 months. CONCLUSIONS: With simple
approach, excellent visualization, a less operative invasiveness and no scar on
face, the endoscopic approach appears to be the method of choice for the
treatment of olfactory neuroblastoma. But it was necessary for good prognosis to
combine with radiotherapy after operation.
PMID- 18051566
TI - [CT evolution of nasal steroid management of chronic rhinosinusitis].
AB - OBJECTIVE: To evaluate the efficacy of topical steroid treatment of nonpolypoid
chronic sinusitis. METHODS: Two cohorts of adult and children with nonpolypoid
chronic sinusitis were investigated. The first cohort consisted of 11 pediatric
patients [mean age, (11.8 +/- 3.2) years] with a mean Lund score of 10.3 +/- 5.7
(x +/- s). The second cohort consisted of 13 adult patients [mean age, (36.7 +/-
11.0) years] with a mean Lund score of 12.2 +/- 5.7. The mean duration of the
nasal budesonide (Rhinocort) treatment was (7.5 +/- 3.2) weeks for adult patients
(256 microg/d) and (7.0 +/- 3.4) weeks for pediatric patients (128 microg/d).
Each Lund score and the patient's assessment of nasal symptoms were evaluated
after treatment. RESULTS: The average Lund score was significantly decreased to
6.5 -/+ 7.5 (t = 3.82, P < 0.01) in adult patients and to 2.6 +/- 3.7 (t = 5.08,
P < 0.01) in pediatric patients after treatment. Thirty-eight percent of the
adult patients and 73% of the pediatric patients were cured on CT images. The
patient's self-assessment of efficacy was positively correlated with pretreatment
Lund score in adult patients (r = 0.676, P < 0.05), but not so in pediatric
patients. CONCLUSIONS: These findings demonstrate that most of patients with
nonpolypoid chronic sinus did well with topical steroid treatment. Therefore,
surgery was required in few patients.
PMID- 18051567
TI - [Treatment experience of tracheal stenosis from endotracheal granuloma forming
after tracheostomy].
PMID- 18051568
TI - [Surgical management of tumor in the conjunctive area among neck, thorax and
axilla].
AB - OBJECTIVE: To study the surgical management of tumors in the conjunctive area
among the neck, thorax and axilla and its efficacy. METHODS: From Oct. 1999 to
March 2006, eleven cases with benign tumors in the area between the neck, thorax
and axilla were collected and analysed. Among them, five neurilemmoma, three
neurofibroma, two chondroma and one meningioma, respectively. CT scans showed
that the neck, thorax and axilla were affected simultaneously more or less. In
this group, direct invasion to the vertebra was found in 4 cases, and spinal
compression in one patient. After the disarticulation and displacement of the
clavicle, the tumor was removed with the structures of importance in the neck,
thorax and axilla under direct views. A bypass was constructed between the
axillary vein and the medial end of the subclavian vein. RESULTS: All these
tumors were completely removed, injury of vertebral artery was encounter in two
cases, and immediate repair was successfully carried out. No other serious
complications were found in this group. During the follow-up period from 3 months
to 3 years and 5 months, local recurrence was found in no patient. CONCLUSIONS:
Benign tumors in the area between neck, thorax and axilla could be successfully
dissected and removed with displacement of the medial portion of the clavicle.
PMID- 18051569
TI - [Parathyroid adenoma complicating laryngeal obstruction: report of a case].
PMID- 18051570
TI - [Prevention of facial nerve paralysis induced by herpes simplex virus type 1 (HSV
1) in mouse and establishment of a relapse model induced by reactivation of
latent HSV-1].
AB - OBJECTIVE: To establish an animal model of Bell's palsy induced by the
reactivation of latent herpes simplex virus type 1 (HSV-1), and observe the
effect of interferon and IgG on the facial nerve paralysis induced by HSV-1
infection. METHODS Totally 64 four-week-old female Balb/c mice weighted 16-18
gram were selected. Using scratching the surface of bilateral auricles by a 26
gauge needle, 25 microl HSV-1 with a titer of 6.7 x 10(8) PFU/ml was inoculated
into the left auricle and the same volume of PBS was placed in the right in order
to develop a mouse model of latent HSV-1. In this study, interferon and IgG
administration were performed before and after facial nerve paralysis and
continued for 3 days. Controls were given normal sodium instead of interferon and
IgG, and the incidence and duration of facial nerve paralysis were compared in
the groups interferon and IgG and control. Ciclosporin was given to the mice
eight weeks after recovery from facial nerve paralysis caused by inoculation with
HSV-1. The HSV-1 DNA in bilateral facial nerve and bilateral trigeminal ganglion
after the treatment were examined with polymerase chain reaction (PCR) analysis.
RESULTS There were 10 mice of facial nerve paralysis in the first group. The
incidence of facial nerve paralysis was 50% and the duration of facial nerve
paralysis was (7.2 +/- 2.2) days. There were 6 mice of facial nerve paralysis in
the second group. The incidence of facial nerve paralysis was 30% and the
duration of facial nerve paralysis was (4.5 +/- 1.8) days. There were 16 mice of
facial nerve paralysis in the control group. The incidence of facial nerve
paralysis was 67% and the duration of facial nerve paralysis was (8.9 +/-2.6)
days. IgG didn't reduce the incidence and duration of facial nerve paralysis by
statistics analysis (P > 0.05), but interferon reduced the incidence and duration
of facial nerve paralysis (P < 0.05). After administration of ciclosporin, 3/28
of mice developed facial nerve paralysis. The HSV-1 DNA was detected from facial
nerve of all the mice of facial palsy. No facial palsy was observed in mice in
which no HSV-1 DNA was detected from facial nerve. CONCLUSIONS: Facial nerve
paralysis might be caused by reactivation of latent HSV-1, and the reactivation
might be related with immunosuppression. Administration of interferon reduces the
incidence and duration of facial nerve paralysis. Administration of IgG can't
reduced the incidence and duration of facial nerve paralysis.
PMID- 18051571
TI - [Expression and clinical significance of Endostatin, vascular endothelial growth
factor and fibroblast growth factor basic-2 in laryngeal carcinoma].
AB - OBJECTIVE: To evaluate the expression and clinical significance of Endostatin,
vascular endothelial growth factor (VEGF) and fibroblast growth factor basic-2
(FGF-2) in the laryngeal squamous cell carcinoma (LSCC). METHODS: The expression
of Endostatin, VEGF and FGF-2 in 50 specimens of LSCC, 40 specimens of para
carcinoma and 10 specimens of normal laryngeal tissues were examined by Flow
cytometry. RESULTS: Compared with para-carcinoma and normal laryngeal tissues,
the expression level and positive rate of Endostatin, VEGF, FGF-2 in LSCC were
different in statistics (P < 0.05); the expression level and positive rate of
endostatin, VEGF, FGF-2 in LSCC are obviously higher than those in para-carcinoma
and normal laryngeal tissues. The expression level and positive rate of
Endostatin, VEGF, FGF-2 were no difference in statistics between para-carcinoma
and normal laryngeal tissues (P > 0.05). The expression level and positive rate
of Endostatin, VEGF, FGF-2 in LSCC were associated with lymphoid metastasis and
clinical stage, not associated with age, sex and clinical group. CONCLUSIONS:
Endostatin, VEGF and FGF-2 play important role in the incidence, development and
prognosis of the LSCC.
PMID- 18051572
TI - [One case of pleomorphic adenoma of the larynx].
PMID- 18051573
TI - [Investigation of CD133 as putative marker of tumor-initiating cell in laryngeal
carcinoma].
AB - OBJECTIVE: To detect the expression of CD133 in human established larynx tumors
cell line, Hep-2 cell line and observe tumorigenicity of CD133(+) tumorigenic
cells, CD133(-) tumorigenic cells and unsorted Hep-2 cells in vivo. The marker of
cancer stem cells in Hep-2 cell line was explored. METHODS: Flow cytometry was
used to detect the expression of putative tumor-initiating cell marker CD133 in
Hep-2 cell line. The immunomagnetic beads separation was applied to purify CD133
positive cells. CD133(+) tumor cells , CD133(-) tumor cells and unsorted Hep-2
cells were injected to severe combined immune deficiency mice individually to
observe their ability of forming new tumors. To determine whether the difference
in tumorigenicity in subpopulation of Hep-2 cells was due to differences in cell
growth activity and cell cycle. The growth of sorted cells in vitro was observed
with HE stain and analyzed cell cycle of CD133(+) cells and unsorted cells by
flow cytometry. RESULTS: Only a small proportion (3.15 +/- 0.83)% of cells in Hep
2 cell line express CD133. Both unsorted cells and sorted cells were all
consistent with the character of malignant tumor. Comparison of the cell cycle
status of sorted and unsorted cancer cells after magnetic sorting revealed that
both cells exhibited a similar cell cycle distribution. In 20 injection sites, 16
sites contained tumor in CD133(+) group, whereas only 10 sites in unsorted group,
7 sites in CD133(-) group contained tumor. Compared with CD133(-) group (X2 =
8.286, P = 0.004) and unsorted group (X2 = 3.956, P = 0.047), CD133 positive
cells possessed a marked capacity for giving rise to new tumors in vivo.
CONCLUSIONS: CD133 is one of makers for cancer stem cell in human larynx tumors,
Hep-2 cell line. Identification of it provides a powerful tool to investigate the
tumorigenic process in the larynx and to develop therapies targeted to the tumor
initiating cell.
PMID- 18051574
TI - [In vivo study on the tumor-suppressing effects of VP3 gene on nasopharyngeal
carcinoma transplanted tumor].
PMID- 18051575
TI - [Correlation between the expression of NF-kappaB and the T helper cell
predominant differentiation in a rat model of otitis media with effusion].
PMID- 18051576
TI - [Applied anatomy about operation on labyrinthine segment of facial nerve via
middle cranial fossa approach].
PMID- 18051577
TI - [Reconstruction of maxillary defects by distraction osteogenesis of zygoma and
free fibula composite flap].
PMID- 18051578
TI - [Congenitally malformed external-middle ear accompanied by cholesteatoma in
temporal bone in a patient].
PMID- 18051579
TI - [Accessory auricle in the nasopharynx in a case].
PMID- 18051580
TI - [Nasal mucus and nasal inflammation].
PMID- 18051581
TI - [Allergen-specific subcutaneous immunotherapy for allergic rhinitis].
PMID- 18051582
TI - [The summary of 5th international conference on newborn hearing screening].
PMID- 18051583
TI - Commemorating the 125th anniversary of the birth of professor Frantisek Burian
(17.9.1881 - 15.10.1965).
PMID- 18051584
TI - Grafting posterior tibial nerve with ipsilateral sural nerve cables in leg
replantation--a common sense approach.
AB - In a leg replantation requiring grafting of the posterior tibial nerve, the
benefits of utilization of the ipsilateral sural nerve are shown. Sparing the
other leg for obtaining nerve graft and using the incision to harvest the sural
nerve for achieving fasciotomies minimizes the therapy trauma and helps to
accomplish early rehabilitation. The simplicity and effectiveness of the
procedure are discussed in context with peripheral nerve reconstructions
requiring nerve grafts.
PMID- 18051585
TI - Bilateral cheek-to-nose advancement flap: an alternative to the paramedian
forehead flap for reconstruction of the nose.
AB - Skin cancer on the nose is commonly treated with surgical excision resulting in
defects that require closure. The surgeon is faced with many reconstructive
options. The paramedian forehead flap is one commonly used technique. In this
study we describe the bilateral cheek-to-nose advancement flap as an alternative
to the paramedian forehead flap in patients with strong nasolabial folds and
prominent cheek tissue laxity, who require closure of MOHS surgery defects on the
nasal dorsum and sidewall. Twelve patients were treated with the latter flap and
evaluated after 2 weeks and 6 months. The patients' subjective and the surgeons'
objective evaluation after 6 months were either completely satisfied or
satisfied. The bilateral cheek-to-nose advancement flap is a reliable tool in the
interventional portfolio of the reconstructive surgeon.
PMID- 18051586
TI - Case series: variations in the embryology of congenital midline cervical clefts.
AB - Congenital midline cervical clefts (CMCC) is a clinical diagnosis and represents
a spectrum of rare developmental anomalies. Fewer than 100 cases have been
reported overall, the first being described by Bailey in 1924 (1). It is not a
true cleft because it does not include a gap between adjacent skin flaps. It is
thought to represent a failure of midline fusion of the branchial arches although
this is controversial. It is a clinical diagnosis and presents at birth with a
ventral midline defect of the skin of the neck. This consists of a skin tag
(nipple-like projection), an atrophic mucosal surface and a caudal sinus. It may
be associated with a subcutaneous fibrous cord, which can cause a vertical
midline tethering restricting cervical extension. Associated defects may be a
median cleft of the mandible, tongue and lower lip. There may be an associated
delay in mandibular development and hypoplasia or absence of neck structures such
as the hyoid bone. Associated thyroglossal and bronchogenic cysts may occur as
well as defects in other parts of the body such as a sternal cleft (2). CMCC has
been previously reported in the literature as being of a branchial origin,
however a review of the histology of previous cases suggests a combined branchial
and bronchogenic component (2-6). We report on two cases that presented with the
clinical picture of a classical CMCC. Our first case appears to have a
bronchogenic origin with possible branchial components and the second case
appears to be solely branchial in origin. There is much debate as to the
embryology of this clinical entity; we shall endeavour to address the main
theories.
PMID- 18051587
TI - Vacuum-assisted closure (VAC) therapy in the management of digital pulp defects.
AB - Vacuum-assisted closure (VAC) therapy is a relatively new technique in the field
of wound management. It has been increasingly used in treating various types of
open wounds with effective results and minimal adverse effects. Digital injuries
can occasionally be problematic. We report a new application of vacuum-assisted
closure therapy in treating digital pulp defects.
PMID- 18051588
TI - Unexpected ulnar nerve schwannoma. The reasonable risk of misdiagnosis.
AB - Peripheral nerve tumors are rare clinical entities that can present with mild
symptoms and no neurological deficit. The authors describe one case of
asymptomatic schwannoma of the ulnar nerve in a 64-year-old man. The mass was
associated with mild and sporadic discomfort due to the mass size; the clinical
neurological examination was not conclusive, with Tinel's sign negative; surgery
confirmed the nervous origin of the tumor and the histopathologic exam confirmed
the diagnosis of schwannoma. These tumors are difficult to diagnose clinically
and have often been confused with other benign tumors such as lipomas,
hemangiomas, synovial cysts, neurofibromas, etc. Clinical care is important to
prevent, during the surgery, the unfortunate resection of the nerve; all too
frequently this diagnosis is made intraoperatively or postoperatively with the
potential to compromise the outcome of the treatment. An appropriate degree of
awareness is necessary for the inclusion of peripheral nerve tumors as a
differential diagnosis of an upper extremity mass.
PMID- 18051589
TI - Cancer chemopreventive effects of lactic acid bacteria.
AB - Lactic acid bacteria (LAB) provide several potential health and nutritional
benefits, including improving the nutritional value of food, controlling serum
cholesterol levels, and controlling some types of cancer. Numerous in vitro, in
vivo, human, and epidemiological studies have provided evidence of the
chemopreventive effects of LAB on colon, bladder, liver, breast, and gastric
cancers. These effects act via diverse mechanisms, including alteration of the
gastrointestinal microflora, enhancement of the host's immune response, and
antioxidative and antiproliferative activities. This review discusses the recent
progresses on the chemopreventive effects of LAB on specific cancer types and the
underlying molecular mechanisms.
PMID- 18051590
TI - Analysis of factors affecting the periplasmic production of recombinant proteins
in Escherichia coli.
AB - Five fusion proteins between Z domains derived from Staphylococcal Protein A and
Green Fluorescent Protein or Human Proinsulin were produced on the periplasm of
Escherichia coli. The effects of the molecular weight and amino acid composition
of the translocated peptide, culture medium composition, and growth phase of the
bacterial culture were analyzed regarding the expression and periplasmic
secretion of the recombinant proteins. It was found that secretion was not
affected by the size of the translocated peptide (17-42 kDa) and that the highest
periplasmic production values were obtained on the exponential phase of growth.
Moreover, the highest periplasmic values were obtained in minimal medium, showing
the relevance of the culture medium composition on secretion. In silico
prediction analysis suggested that with respect to the five proteins used in this
study, those that are prone to form alpha-helix structures are more translocated
to the periplasm.
PMID- 18051591
TI - Critical factors to high thermostability of an alpha-amylase from
hyperthermophilic archaeon Thermococcus onnurineus NA1.
AB - Genomic analysis of a hyperthermophilic archaeon, Thermococcus onnurineus NA1
[1], revealed the presence of an open reading frame consisting of 1,377 bp
similar to alpha-amylases from Thermococcales, encoding a 458-residue polypeptide
containing a putative 25-residue signal peptide. The mature form of the alpha
amylase was cloned and the recombinant enzyme was characterized. The optimum
activity of the enzyme occurred at 80 degrees C and pH 5.5. The enzyme showed a
liquefying activity, hydrolyzing maltooligosaccharides, amylopectin, and starch
to produce mainly maltose (G2) to maltoheptaose (G7), but not pullulan and
cyclodextrin. Surprisingly, the enzyme was not highly thermostable, with half
life (t(1/2)) values of 10 min at 90 degrees C, despite the high similarity to
alpha-amylases from Pyrococcus. Factors affecting the thermostability were
considered to enhance the thermostability. The presence of Ca2+ seemed to be
critical, significantly changing t(1/2) at 90 degrees C to 153 min by the
addition of 0.5 mM Ca2+. On the other hand, the thermostability was not enhanced
by the addition of Zn2+ or other divalent metals, irrespective of the
concentration. The mutagenetic study showed that the recovery of zinc-binding
residues (His175 and Cys189) enhanced the thermostability, indicating that the
residues involved in metal binding is very critical for the thermostability.
PMID- 18051592
TI - Analysis of active center in hyperthermophilic cellulase from Pyrococcus
horikoshii.
AB - A hyperthermostable endoglucanase from Pyrococcus horikoshii with the capability
of hydrolyzing crystalline cellulose was analyzed. A protein engineering study
was carried out to obtain a reduced-size mutant. Five amino acid residues at both
the N- and C-terminus were found to be removable without any loss of activity or
thermal stability. Site-directed mutagenesis was also performed on R102, N200,
E201, H297, Y299, E342, and W377, residues possibly involved in the active center
or in the recognition and binding of a cellulose substrate. The activity of the
resulting mutants was considerably decreased, confirming that the mutated
residues were all important for activity. A reduced-size enzyme, as active as the
wild-type endoglucanase, was successfully obtained, plus the residues critical
for its activity and specificity were confirmed. Consequently, an engineered
enzyme with a reduced size was obtained, and the amino acids essential for
activity were confirmed by site-directed mutagenesis and comparison with a known
three-dimensional structure.
PMID- 18051593
TI - Detection of nitrate/nitrite bioavailability in wastewater using a luxCDABE-based
Klebsiella oxytoca bioluminescent bioreporter.
AB - In the present study, we have constructed a bioluminescent bioreporter for the
assessment of nitrate/nitrite bioavailability in wastewater. Specifically, an
approximately 500-bp DNA fragment containing a nitrate/nitrite-activated nasR
like promoter (regulating expression of genes encoding nitrite reductase in the
genus Klebsiella) was fused upstream of the Vibrio fischeri luxCDABE gene
cassette in a modified mini-Tn5 vector. Characterization of this strain,
designated W6-1, yielded dose-dependent increased bioluminescence coincident with
increased nitrate, nitrite, and ammonium added to the growth medium from 1 to 11
ppm. Bioluminescence in response to nitrogen species addition was light dependent
up to 10, 7, and 8 ppm with nitrate, nitrite, and ammonium, respectively. This
response was linear in the range from 1 to 8 ppm for nitrate (R2 = 0.98), 1 to 6
ppm for nitrite (R2 = 0.99), and 1 to 7 ppm for ammonium (R2 = 0.99). A
significant bioluminescent response was also recorded when strain W6-1 was
incubated with slurries from aged, nitrate/nitrite contaminated wastewater. Thus,
bioreporter strain W6-1 can be used to elucidate factors that constrain the use
of nitrate/nitrite in wastewaters.
PMID- 18051594
TI - Evaluation of arabinofuranosidase and xylanase activities of Geobacillus spp.
isolated from some hot springs in Turkey.
AB - Some hot springs located in the west of Turkey were investigated with respect to
the presence of thermophilic microorganisms. Based on phenotyping characteristics
and 16S rRNA gene sequence analysis, 16 of the isolates belonged to the genus
Geobacillus and grew optimally at about 60 degrees C on nutrient agar. 16S rRNA
gene sequence analysis showed that these isolates resembled Geobacillus species
by > or = 97%, but SDS-PAGE profiles of these 16 isolates differ from some of the
other species of the genus Geobacillus. However, it is also known that analysis
of 16S rRNA gene sequences may be insufficient to distinguish between some
species. It is proposed that recN sequence comparisons could accurately measure
genome similarities for the Geobacillus genus. Based on recN sequence analysis,
isolates 11, IT3, and 12 are strains of G stearothermophilus; isolate 14.3 is a
strain of G thermodenitrificans; isolates 9.1, IT4.1, and 4.5 are uncertain and
it is required to make further analysis. The presence of xylanase and
arabinofuranosidase activities, and their optimum temperature and pH were also
investigated. These results showed that 7 of the strains have both xylanase and
arabinofuranosidase activities, 4 of them has only xylanase, and the remaning 5
strains have neither of these activities. The isolates 9.1, 7.1, and 3.3 have the
highest temperature optima (80 degrees C), and 7.2, 9.1, AO4, 9.2, and AO17 have
the highest pH optima (pH 8) of xylanase. Isolates 7.2, AO4, AC15, and 12 have
optimum arabinofuranosidase activities at 75 degrees C, and only isolate AC 15
has the lowest pH of 5.5.
PMID- 18051595
TI - Purification, characterization, and cloning of fibrinolytic metalloprotease from
Pleurotus ostreatus mycelia.
AB - A fibrinolytic protease (PoFE) was purified from the cultured mycelia of the
edible oyster mushroom Pleurotus ostreatus, using a combination of various
chromatographies. The purification protocol resulted in an 876-fold purification
of the enzyme, with a final yield of 6.5%. The apparent molecular mass of the
purified enzyme was estimated to be 32 kDa by SDS-PAGE, fibrin-zymography, and
size exclusion using FPLC. The optimal reaction pH value and temperature were pH
6.5 and 35 degrees C, respectively. PoFE effectively hydrolyzed fibrinogen,
preferentially digesting the A alpha-chain and the B beta-chain over the gamma
chain. Enzyme activity was enhanced by the addition of Ca2+, Zn2+, and Mg2+ ions.
Furthermore, PoFE activity was potently inhibited by EDTA, and it was found to
exhibit a higher specificity for the chromogenic substrate S-2586 for
chymotrypsin, indicating that the enzyme is a chymotrypsin-like metalloprotease.
The first 19 amino acid residues of the N-terminal sequence were
ALRKGGAAALNIYSVGFTS, which is extremely similar to the metalloprotease purified
from the fruiting body of P. ostreatus. In addition, we cloned the PoFE protein,
encoding gene, and its nucleotide sequence was determined. The cDNA of cloned
PoFE is 867 nucleotides long and consists of an open reading frame encoding 288
amino acid residues. Its cDNA showed a high degree of homology with PoMEP from P.
ostreatus fruiting body. The mycelia of P. ostreatus may thus represent a
potential source of new therapeutic agents to treat thrombosis.
PMID- 18051596
TI - Shelf-life extension of fresh-cut iceberg lettuce (Lactuca sativa L) by different
antimicrobial films.
AB - This study was conducted to investigate the antibacterial activity and shelf-life
extension effect of iceberg lettuce packed in BN/PE film. The BN/PE film has a
strong microbial suppression effect on pathogenic bacteria such as Escherichia
coli, Salmonella enteritidis, and S. typhimurium. The number of psychrophiles and
mesophiles during 5 days of cold storage of fresh-cut iceberg lettuce at 10
degrees C packaged in BN/PE film was strictly suppressed in comparison with other
tested films (OPP, PE, and PET film). When fresh processed iceberg lettuce was
processed and stored under the current conditions, the shelf-life of the product
was longer than 5 days in the BN/PE film package, whereas the shelf-life when
using the other films tested, PE, OPP and PET, was no longer than 3-4 days. The
decay rates of the iceberg lettuce packed in the BN/PE film was maintained at
29.8 +/- 2.1% on the 5th day of preservation. The samples packed in BN/PE film
maintained an excellent visual quality during the 3 days of storage without
significant differences in comparison with the initial visual quality. No
browning was observed in the samples packed in BN/PE film for up to 3 days. The
texture of shredded iceberg lettuce packaged in BN/PE film remained unchanged up
to 3 days, and then a moderate decrease in texture was observed after 4 days of
storage. In addition, the overall acceptability of fresh-cut iceberg lettuce
packaged in BN/PE film did not change for up to 3 days, whereas the samples
packaged in the other films were inedible by 3 days of storage. In conclusion,
the shelf-life of fresh-cut iceberg lettuce packaged in the BN/PE film was
extended to more than 5 days at 10 degres C, whereas that in the other films was
2 days at 10 degrees C. Therefore, the shelf-life extension effect of the fresh
cut iceberg lettuce in BN/PE film packaging was very effective compared with the
other films tested.
PMID- 18051597
TI - Characterization and action patterns of two beta-1,4-glucanases purified from
cellulomonas uda CS1-1.
AB - Two beta-1,4-glucanases (DI and DIII fractions) were purified to homogeneity from
the culture filtrate of a cellulolytic bacteria, Cellulomonas sp. CS1-1, which
was classified as a novel species belonging to Cellulomonas uda based on
chemotaxanomic and phylogenetic analyses. The molecular mass was estimated as
50,000 Da and 52,000 Da for DI and DIII, respectively. Moreover, DIII was
identified as a glycoprotein with a pI of 3.8, and DI was identified as a non
glycoprotein with a pI of 5.3. When comparing the ratio of the CMC-saccharifying
activity and CMC-liquefying activity, DI exhibited a steep slope, characteristic
of an endoglucanase, whereas DIII exhibited a low slope, characteristic of an
exoglucanase. The substrate specificity of the purified enzymes revealed that DI
efficiently hydrolyzed CMC as well as xylan, whereas DIII exhibited a high
activity on microcrystalline celluloses, such as Sigmacells. A comparison of the
hydrolysis patterns for pNP-glucosides (DP 2-5) using an HPLC analysis
demonstrated that the halosidic bond 3 from the nonreducing end was the
preferential cleavage site for DI, whereas bond 2, from which the cellobiose unit
is split off, was the preferential cleavage site for DIII. The partial N-terminal
amino acid sequences for the purified enzymes were 1Ala-Gly-Ser-Thr-Leu-Gln-Ala
Ala-Ala-Ser-Glu-Ser-Gly-Arg-Tyr15- for DI and 1Ala-Asp-Ser-Asp-Phe-Asn-Leu-Tyr
Val-Ala-Glu-Asn-Ala-Met-Lys15- for DIII. The apparent sequences exhibited high
sequence similarities with other bacterial beta-1,4-glucanases as well as beta
1,4-xylanases.
PMID- 18051598
TI - Effectiveness of various Pseudomonas spp. and Burkholderia caryophylli containing
ACC-deaminase for improving growth and yield of wheat (Triticum aestivum L.).
AB - This study assessed the possible role of different traits in selected plant
growth-promoting rhizobacteria (PGPR) for improving wheat growth and yield under
natural conditions. Rhizobacteria exhibiting 1-aminocyclopropane-1-carboxylate
(ACC)-deaminase activity were isolated and screened for their growth-promoting
activity in wheat under axenic conditions. Five isolates belonging to Pseudomonas
and one Burkholderia caryophylli isolate that showed promising performances under
axenic conditions were selected and characterized for in vitro ACC-deaminase
activity, chitinase activity, auxin production, P solubilization, and root
colonization. These isolates were then used as inocula for wheat cultivated under
natural conditions in pot and/or field trials. Significant increases in root
elongation, root weight, tillers per pot, 1,000-grain weight, and grain and straw
yields were observed in response to inoculation with PGPR in the pot trials.
Inoculation with these PGPR was also effective under field conditions and
increased the wheat growth and yield significantly. However, the efficacy of the
strains was inconsistent under the axenic, pot, and field conditions.
Pseudomonasfluorescens (ACC50), which exhibited a relatively high in vitro ACC
deaminase activity, chitinase activity, auxin production, and P solubilization
and more intensive root colonization, was the most efficient isolate under the
field conditions. Therefore, these results demonstrated that ACC-deaminase
activity is an efficient parameter for the selection of promising PGPR under
axenic conditions. However, additional traits of PGPR, including auxin
production, chitinase activity, P solubilization, and root colonization, are also
important for selecting PGPR as biofertilizers.
PMID- 18051599
TI - In vitro evolution of lipase B from Candida antarctica using surface display in
hansenula polymorpha.
AB - ALipase B from Candida antarctica (CalB) displayed on the cell surface of H.
polymorpha has been functionally improved for catalytic activity by molecular
evolution. CalB was displayed on the cell surface by fusing to a cell-wall anchor
motif (CwpF). A library of CalB mutants was constructed by in vivo recombination
in H. polymorpha. Several mutants with increased whole-cell CalB activity were
acquired from screening seven thousand transformants. The two independent mutants
CalB10 and CalB14 showed an approximately 5 times greater whole-cell activity
than the wild-type. When these mutants were made as a soluble form, CalB 10
showed 6 times greater activity and CalB14 showed an 11 times greater activity
compared with the wild-type. Sequence analyses of mutant CALB genes revealed
amino acid substitutions of Leu278Pro in CalB10 and Leu278Pro/Leu219Gln in
CalB14. The substituted Pro278 in both mutants was located near the proline site
of the alpha10 helix. This mutation was assumed to induce a conformational change
in the alpha10 helix and increased the k(cat) value of mutant CalB approximately
6 times. Site-directed mutagenized CalB, LQ (Leu219Gln) was secreted into the
culture supernatant at an amount of approximately 3 times more without an
increase in the CalB transcript level, compared with the wild-type.
PMID- 18051600
TI - Development of a protein secretion system with the application of sec-dependent
protein secretion components.
AB - In order to induce high levels of protein secretion, we have constructed a
recombinant plasmid, designated pBP244, into which was incorporated key
components of the type-II Sec-dependent secretion system, including LepB (signal
peptidase), SecA (ATPase), and SecB (chaperone). The biological activities of the
LepB, SecA, and SecB components expressed from genes harbored by pBP244 appeared
to play their normal roles. In order to evaluate the protein secretion, a pspA
(Streptococcus pneumoniae surface protein A) gene was cloned into pBP244,
resulting in pBP438. S. typhimurium harboring pBP438 grown until the stationary
phase, secreted a higher level of PspA into the culture supernatants than did the
strain harboring pYA3494. The strain harboring pBP438 secreted a supernatant
amount 1.71-fold, a periplasmic space amount 1.47-fold, and an outer membrane
amount 1.49-fold higher than that of pYA3494. S. typhimurium chi8554 kept the
Asd+ plasmid pBP244 and pBP438 for 60 generations in LB broth harboring DAP,
thereby indicating that pBP244 and pBP438 were quite stable in the Salmonella
strain.
PMID- 18051601
TI - Candicidal action of resveratrol isolated from grapes on human pathogenic yeast
C. albicans.
AB - Resveratrol (3,5,4'-trihydroxystilbene) is a naturally occurring, multi
biofunctional chemical existing in grapes and various other plants as a
polyphenol type, and it is one of the best known natural anticancer and
antiatherosclerosis reagents. In this study, we investigated the antifungal
action by resveratrol in Candida albicans, which is a human infectious fungi as
an agent of candidiasis. Resveratrol displayed potent fungicidal activity in an
energy-dependent manner, without any hemolytic effects against human
erythrocytes. It was found that the serum-induced mycelial forms, which play a
crucial role in the pathogenesis of C. albicans during host tissue invasion, were
disrupted by resveratrol. To understand the correlation between lethal effects
and resveratrol action, we examined the physiological changes of C. albicans. A
significant accumulation of intracellular trehalose was induced by stress
responses to resveratrol action, and a remarkable arrest of cell-cycle processes
at the S-phase in C. albicans occured. Therefore, the fungicidal effects of
resveratrol demonstrate that this compound is a potential candidate as an
antifungal agent in treating infectious diseases by candidal infections.
PMID- 18051603
TI - Streptochlorin, a marine natural product, inhibits NF-kappaB activation and
suppresses angiogenesis in vitro.
AB - Angiogenesis is an essential step in tumor progress and metastasis. Accordingly,
small molecules that inhibit angiogenesis would appear to be a promising way to
cure angiogenesis-related diseases, including cancer. In the present study, we
report that streptochlorin, a small molecule from marine actinomycete, exhibits a
potent antiangiogenic activity. The compound potently inhibited endothelial cell
invasion and tube formation stimulated with vascular endothelial cell growth
factor (VEGF) at low micromolar concentrations where it showed no cytotoxicity to
the cells. In addition, streptochlorin inhibited TNF-alpha-induced NF-kappaB
activation in the newly developed cell-based reporter gene assay. These data
demonstrate that streptochlorin is a new inhibitor of NF-kappaB activation and
can be a basis for the development of novel anti-angiogenic agents.
PMID- 18051604
TI - Identification of Pseudomonas aeruginosa genes crucial for hydrogen peroxide
resistance.
AB - An opportunistic human pathogen, Pseudomonas aeruginosa, contains the major
catalase KatA, which is required to cope with oxidative and osmotic stresses. As
an attempt to uncover the H2O2-dependent regulatory mechanism delineating katA
gene expression, four prototrophic H2O2-sensitive mutants were isolated from
about 1,500 TnphoA mutant clones of P. aeruginosa strain PA14. Arbitrary PCR and
direct cloning of the transposon insertion sites revealed that one insertion is
located within the katA coding region and two are within the coding region of
oxyR, which is responsible for transcriptional activation of several antioxidant
enzyme genes in response to oxidative challenges. The fourth insertion was within
PA3815 (IscR), which encodes a homolog of the Escherichia coli iron-sulfur
assembly regulator, IscR. The levels of catalase and SOD activities were
significantly reduced in the iscR mutant, but not in the oxyR mutant, during the
normal planktonic culture conditions. These results suggest that both IscR and
OxyR are required for the optimal resistance to H2O2, which involves the
expression of multiple antioxidant enzymes including KatA.
PMID- 18051605
TI - Expression analysis of the csp-like genes from Corynebacterium glutamicum
encoding homologs of the Escherichia coli major cold-shock protein cspA.
AB - Three csp-like genes were identified in the Corynebacterium glutamicum genome and
designated cspA, cspB, and cspA2. The genes cspA and cspA2 encode proteins,
comprising of 67 amino acid residues, respectively. They share 83% identity with
each other. Identity of those proteins with Escherichia coli Csp proteins was
near 50%. The cspB gene encodes a protein composed of 127 amino acids, which has
40% and 35% sequence identity with CspA and CspA2, respectively, especially at
its N-terminal region. Analysis of the gene expression profiles was done using
transcriptional cat fusion, which identified not only active expression of the
three genes at the physiological growth temperature of 30 degrees C but also
growth phase-dependent expression with the highest activity at late log phase.
The promoters of cspA and cspA2 were more active than that of cspB. The
expression of the two genes increased by 30% after a temperature downshift to 15
degrees C, and such stimulation was more evident in the late growth phase. In
addition, the cspA gene appeared to show DNA-binding activity in vivo, and the
activity increased at lower temperatures. Interestingly, the presence of cspA in
multicopy hindered the growth of the host C. glutamicum cells at 20 degrees C,
but not at 30 degrees C. Altogether, these data suggest that cspA, cspB, and
cspA2 perform functions related to cold shock as well as normal cellular
physiology. Moreover, CspA and its ortholog CspA2 may perform additional
functions as a transcriptional regulator.
PMID- 18051606
TI - Plant growth-promoting potential of endophytic bacteria isolated from roots of
coastal sand dune plants.
AB - Endophytic bacteria associated with the roots of coastal sand dune plants were
isolated, taxonomically characterized, and tested for their plant growth
promoting activities. Ninety-one endophytic bacterial isolates were collected and
assigned to 17 different genera of 6 major bacterial phyla based on partial 16S
rDNA sequence analyses. Gammaproteobacteria represented the majority of the
isolates (65.9%), and members of Pseudomonas constituted 49.5% of the total
isolates. When testing for antagonism towards plant pathogenic fungi, 25 strains
were antagonistic towards Rhizoctonia solani, 57 strains were antagonistic
towards Pythium ultimum, 53 strains were antagonistic towards Fusarium oxysporum,
and 41 strains were antagonistic towards Botrytis cinerea. Seven strains were
shown to produce indole acetic acid (IAA), 33 to produce siderophores, 23 to
produce protease, 37 to produce pectinase, and 38 to produce chitinase. The
broadest spectra of activities were observed among the Pseudomonas strains,
indicating outstanding plant growth-promoting potential. The isolates from C.
kobomugi and M. sibirica also exhibited good plant growth-promoting potential.
The correlations among individual plant growth-promoting activities were examined
using phi coefficients, and the resulting data indicated that the production of
protease, pectinase, chitinase, and siderophores was highly related.
PMID- 18051607
TI - Exopolysaccharide production and mycelial growth in an air-lift bioreactor using
Fomitopsis pinicola.
AB - For effective exopolysaccharide production and mycelial growth by a liquid
culture of Fomitopsis pinicola in an air-lift bioreactor, the culture
temperature, pH, carbon source, nitrogen source, and mineral source were
initially investigated in a flask. The optimal temperature and pH for mycelial
growth and exopolysaccharide production were 25degrees C and 6.0, respectively.
Among the various carbon sources tested, glucose was found to be the most
suitable carbon source. In particular, the maximum mycelial growth and
exopolysaccharide production were achieved in 4% glucose. The best nitrogen
sources were yeast extract and malt extract. The optimal concentrations of yeast
extract and malt extract were 0.5 and 0.1%, respectively. K2HPO4 and MgSO4 x 7H2O
were found to be the best mineral sources for mycelial growth and
exopolysaccharide production. In order to investigate the effect of aeration on
mycelial growth and exopolysaccharide production in an air-lift bioreactor,
various aerations were tested for 8 days. The maximum mycelial growth and
exopolysaccharide production were 7.9 g/l and 2.6 g/l, respectively, at 1.5 vvm
of aeration. In addition, a batch culture in an air-lift bioreactor was carried
out for 11 days under the optimal conditions. The maximum mycelial growth was
10.4 g/l, which was approximately 1.7-fold higher than that of basal medium. The
exopolysaccharide production was increased with increased culture time. The
maximum concentration of exopolysaccharide was 4.4 g/l, which was about 3.3-fold
higher than that of basal medium. These results indicate that exopolysaccharide
production increased in parallel with the growth of mycelium, and also show that
product formation is associated with mycelial growth. The developed model in an
air-lift bioreactor showed good agreement with experimental data and simulated
results on mycelial growth and exopolysaccharide production in the culture of F
pinicola.
PMID- 18051608
TI - Sufflavibacter maritimus gen. nov., sp. nov., novel Flavobacteriaceae bacteria
isolated from marine environments.
AB - Four Gram-negative, chemoheterotrophic, nonmotile, yellow-colored strains were
isolated from the East Sea or from deep-sea sediments of Nankai Trough by
standard dilution plating. Characterization by polyphasic approaches indicated
that the four strains are members of the same species. Phylogenetic analyses
based on 16S rRNA gene sequences revealed that the strains formed a coherent and
novel genus-level lineage within the family Flavobacteriaceae. The dominant
cellular fatty acids were i-C15:0, 3-OH i-C17:0, and 2-OH i-C15:0 and/or C16:1
omega7c. Predominance of 2-OH i-C 15:0 and/or C16:1omega7c clearly differentiated
the strains from closely related members. The DNA G+C contents ranged 35.1-36.2
mol%. It is proposed, from the polyphasic evidence, that the strains should be
placed into a novel genus and species named Sufflavibacter maritimus gen. nov.,
sp. nov., with strain IMCC 1001T (=KCCM 42359T=NBRC 102039T) as the type strain.
PMID- 18051609
TI - Engineering and characterization of the isolated C-terminal domain of 5
enolpyruvylshikimate-3-phosphate (EPSP) synthase.
AB - 5-Enolpyruvylshikimate-3-phosphate (EPSP) synthase catalyzes the formation of
EPSP and inorganic phosphate from shikimate-3-phosphate (S3P) and
phosphoenolpyruvate (PEP) in the biosynthesis of aromatic amino acids. To
delineate the domain-specific function, we successfully isolated the
discontinuous C-terminal domain (residues 1-21, linkers, 240-427) of EPSP
synthase (427 residues) by site-directed mutagenesis. The engineered C-terminal
domains containing no linker (CTD), or with gly-gly (CTD(GG)) and gly-ser-ser-gly
(CTD(GSSG)) linkers were purified and characterized as having distinct native
like secondary and tertiary structures. However, isothermal titration calorimetry
(ITC), 15N-HSQC, and 31P-NMR revealed that neither its substrate nor inhibitor
binds the isolated domain. The isolated domain maintained structural integrity,
but did not function as the half of the full-length protein.
PMID- 18051611
TI - Acaricidal effects of quinone and its congeners and color alteration of
Dermatophagoides spp. with quinone.
AB - Acaricidal activity of the active constituent derived from Pyrus ussuriensis
fruits against Dermatophagoides farinae and D. pteronyssinus was examined and
compared with that of the commercial benzyl benzoate. The LD50 value of the ethyl
acetate fraction obtained from the aqueous extract of P ussuriensis fruits was
9.51 and 8.59 microg/cm3 against D. farinae and D. pteronyssinus, respectively.
The active constituent was identified as quinone by spectroscopic analyses. On
the basis of LD50 values with quinone and its congeners, the compound most toxic
against D. farinae was quinone (1.19 microg/cm3), followed by quinaldine (1.46),
benzyl benzoate (9.32), 4-quinolinol (86.55), quinine (89.16), and 2-quinolinol
(91.13). Against D. pteronyssinus, these were quinone (1.02 microg/ cm3),
followed by quinaldine (1.29), benzyl benzoate (8.54), 4-quinolinol (78.63),
quinine (82.33), and 2-quinolinol (86.24). These results indicate that the
acaricidal activity of the aqueous extracts can be mostly attributed to quinone.
Quinone was about 7.8 and 8.4 times more toxic than benzyl benzoate against D.
farinae and D. pteronyssinus. Additionally, quinaldine was about 6.4 and 6.6
times more toxic than benzyl benzoate against D. farinae and D. pteronyssinus,
respectively. Furthermore, the skin color of the dust mites was changed from
colorless-transparent to dark brown-black by the treatment of quinone. These
results indicate that quinone can be very useful as potential control agents,
lead compounds, or the indicator of house dust mites.
PMID- 18051610
TI - Analysis of the stress effects of endocrine disrupting chemicals (EDcs) on
Escherichia coli.
AB - In this study, three of the representative EDCs, 17beta-estradiol, bisphenol A,
and styrene, were employed to find their mode of toxic actions in E. coli. To
accomplish this, four different stress response genes, recA, katG, fabA, and grpE
genes, were used as a representative for DNA, oxidative, membrane, or protein
damage, respectively. The expression levels of these four genes were quantified
using a real-time RT-PCR after challenge with three different EDCs individually.
Bisphenol A and styrene caused high-level expression of recA and katG genes,
respectively, whereas 17beta-estradiol made no significant changes in expression
of any of those genes. These results lead to the classification of the mode of
toxic actions of EDCs on E. coli.
PMID- 18051612
TI - The virulence of Vibrio vulnificus is affected by the cellular level of
superoxide dismutase activity.
AB - The virulence of superoxide dismutase (SOD) mutants of Vibrio vulnificus, as
tested by intraperitoneal injection into mice, decreases in the order of sodC
mutant, sodA mutant, and sodB mutant lacking CuZnSOD, MnSOD, and FeSOD,
respectively. The survival of SOD mutants under superoxide stress also decreases
in the same order. The virulence of soxR mutant, which is unable to induce MnSOD
in response to superoxide, is similar to that of the sodA mutant, as the survival
of the soxR mutant under superoxide stress is similar to that of the sodA mutant.
Consistently, the lowered survival of the soxR mutant is complemented not only
with soxR but also with sodA. Thus, the virulence of V. vulnificus is
significantly affected by the cellular level of SOD activity, and an increase in
SOD level through MnSOD induction by SoxR under superoxide stress is essential
for virulence.
PMID- 18051613
TI - Isolation and structure determination of streptochlorin, an antiproliferative
agent from a marine-derived Streptomyces sp. 04DH110.
AB - An antiproliferative agent, streptochlorin, was isolated from the fermentation
broth of a marine actinomycete isolated from marine sediment. Phylogenetic
analysis of the 16S rRNA gene sequence indicated that the strain belongs to the
genus Streptomyces. Bioactivity guided fractionation of the culture extract by
solvent partitioning, ODS open flash chromatography, and reversed-phase HPLC gave
a pure compound, streptochlorin. Its structure was elucidated by extensive 2D NMR
and mass spectral analyses. Streptochlorin exhibited significant
antiproliferative activity against human cultured cell lines.
PMID- 18051614
TI - [Strengthening parenting competences through primary prevention: one ounce of
prevention weighs more than one pound of therapy].
AB - Upon a clarification of the term and main components of parenting competences,
the present contribution first delineates research-focused aspects and various
target groups for primary familial prevention. Then, an overview of a selection
of preventive measures for German speaking countries aiming at strengthening
parental competences is provided for universal prevention programs including
available information on the effectiveness of the corresponding programs. Next,
an account of some meta-analytic findings and cost-benefit analyses concerning
the relevance of parenting programs is presented. Finally, some desiderata
concerning the development and evaluation of preventive approaches to
strengthening parenting competences are briefly addressed.
PMID- 18051615
TI - [Promoting sensitivity and parenting competencies in teenage mothers].
AB - Relevant concepts of parenting emphasize biologically oriented behavioral
tendencies as intuitive parenting that unconsciously guides interaction with the
infant. They can be violated by risk factors or adverse life conditions and are
to be perceived in parental problems to cope with the infants' needs and even in
neglecting and maltreating behavioral displays. In particular high risk groups as
teenage mothers are affected. Early and preventive intervention efforts promoting
parent-child relationship seem to be successful to prevent maltreatment and later
behavioral problems in the child. An attachment-oriented and video-based program
for intervention with teenage mothers is described. Chances and limits of
promoting parent-child attachment in teenage mothers are discussed.
PMID- 18051616
TI - [Promotion of parental competence with triple P].
AB - Parental competencies have great impact on child development. The concept of
parental competency is a comprehensive construct, that involves functional and
dysfunctional rearing behavior as well as evaluation of parental coping with
challenging rearing situations and self-efficacy of rearing. Enhancing parental
education is an important challenge on the background of high prevalence rates of
child behavior problems. In the present randomised controlled study the effects
of a Triple P parent training on parental competence was examined. 280 families
were randomly assigned to a training of a control group. After pre-assessment,
the training group participated in a Triple P parent training. 6 and 12 month
after pre-assessment, post- and follow-up-1-year-assessments were conducted.
Mothers in the training group showed significantly increased parental
competencies compared to the control group. Especially overt functional and
dysfunctional rearing behavior changed positively. Fathers showed no such change,
but did often also not participate in the training. The study illustrates that
parental competence can be promoted through Triple P.
PMID- 18051617
TI - [17 tips on parenting--which strategies do parents apply?].
AB - The necessity to make available education support to parents is undisputed
because of the epidemiology of child behavior problems. Parent trainings are a
method to increase parenting competence. Triple P as a successful example of a
parenting and family support program is introduced briefly with his theoretical
bases, intervention levels, basic principles and education strategies. In
addition, findings are reported to the effectiveness and consumer satisfaction.
The present work examines which Triple P-strategies use parents after the
training in the everyday life. The data come from the project "Zukunft Familie"
in which the long-term effectiveness of the Triple P-training was examined in a
controlled study in 280 families. 144 parents took part in the Triple P-group
training and filled before, directly after the intervention and with 1-year
follow up questionnaires to the education strategies. The results show that the
positive education strategies were used for promotiong child development already
before the training more often as the ideas to managing misbehavior. More than
90% of the parents, above all, the positive education strategies also practised
with the 1-year follow-up. In addition, there was a significant decrease by the
use of the "time out"-strategy.
PMID- 18051618
TI - [ELTERN-AG--the low threshold school for parents for the early childhood].
AB - ELTERN-AG is a selective program of primary prevention. It is a low threshold
program and establishes resources of the parents. The groups are homogeneous
which means that all families have similar problems. It works with deprived
parents from the moment when they plan to get a baby up to their child's school
entry. The concept ELTERN-AG theoretically derives from the need-oriented
psychological model set up by Epstein (1990) and Grawe (2004) and was modified by
Armbruster (2006). It assumed, that deprived people are prevented from meeting
their essential needs, so that they are not able to perceive and meet the
children's basic needs adequately. ELTERN-AG aims in 20 sessions at the training
of competences in early education and the strengthening of the parents' self-help
potentials through empowerment. The results of the 3-year long scientific
accompanying research show that parents that participated in a course see
themselves strengthened when dealing with their children, assess in total the
relationship to children more positively and are more confident when coping with
problems which occur in connection with their children. During the course parents
notice a change about themselves which is recognized by mentors as well as
professional multipliers and is confirmed as positive change.
PMID- 18051619
TI - [Research and practice. Learning from the results of a study on problems that
elicit nursing surveillance].
PMID- 18051620
TI - [Epidemiology of problems related to drugs and devices in nursing homes in
various regions of Italy].
AB - The premises, questions and methodological problems of a multicentre study aimed
at describing the epidemiology of patients' problems that require a nursing
intervention are described. The main points and methodological challenges are
presented and discussed: (a.) the choice of focusing on patients cared for in
Nursing homes and districts because a. they are complex, originate very high
nursing workload, b. few studies and data from the nursing point of view are
produced; c. information on everyday care and problems are lacking, d. they are
marginal populations both in research and organization of care; (b.) the need of
involving nurses in a surveillance exercise, to increase the attention on
problems, their causes and potential avoidability, focusing the attention on
problems related to drugs and devices; (c.) the importance-and challenge- of
describing what happens in everyday' care, taking in account the variability
related to contexts and people. The recognition of a problem is, in fact, related
to factors such as the knowledge of the patient and his/her story, the level of
knowledge and expertise of the nurse, the attention paid, the context and the
organization. The main lessons learned are summarised.
PMID- 18051621
TI - [The safety of drug therapies: strategies and methods for nurses].
PMID- 18051622
TI - [Condemned to pain].
PMID- 18051602
TI - Annotation and expression profile analysis of cDNas from the Antarctic diatom
Chaetoceros neogracile.
AB - To better understand the gene expression of the cold-adapted polar diatom, we
conducted a survey of the Chaetoceros neogracile transcriptome by cDNA sequencing
and expression of interested cDNAs from the Antarctic diatom. A non-normalized
cDNA library was constructed from the C. neogracile, and a total of 2,500 cDNAs
were sequenced to generate 1,881 high-quality expressed sequence tags (ESTs)
(accession numbers EL620615-EL622495). Based on their clustering, we identified
154 unique clusters comprising 342 ESTs. The remaining 1,540 ESTs did not
cluster. The number of unique genes identified in the data set is thus estimated
to be 1,694. Taking advantage of various tools and databases, putative functions
were assigned to 939 (55.4%) of these genes. Of the remaining 540 (31.9%) unknown
sequences, 215 (12.7%) appeared to be C. neogracile-specific since they lacked
any significant sequence similarity to any sequence available in the public
databases. C. neogracile consisted of a relatively high percentage of genes
involved in metabolism, genetic information processing, cellular processes,
defense or stress resistance, photosynthesis, structure, and signal transduction.
From the ESTs, the expression of these putative C. neogracile genes was
investigated: fucoxanthin chlorophyll (chl) a,c-binding protein (FCP), ascorbate
peroxidase (ASP), and heat-shock protein 90 (HSP90). The abundance of ASP and
HSP90 changed substantially in response to different culture conditions,
indicating the possible regulation of these genes in C. neogracile.
PMID- 18051623
TI - [Restraining without coercion].
PMID- 18051624
TI - [The new challenges of interventions in humanitarian emergencies: working with
Doctors Without Borders].
PMID- 18051625
TI - Rigid spine syndrome: vacuolar variant multimodal evoked potentials.
AB - BACKGROUND: Overt or covert central nervous system (CNS) abnormalities have been
reported in various primary muscle diseases, including congenital muscular
dystrophies. PURPOSE: To ascertain by neurophysiologic techniques evidence of CNS
dysfunction in a relatively large, homogeneous group of patients with the
"vacuolar variant" of the rigid spine syndrome (RSS). METHODS: Standard evoked
potential (EP) techniques were used to study the visual, auditory and
somatosensory pathways in patients with RSS. RESULTS: Abnormal values were
recorded in 78% (7/9) of patients, specifically of visual pathways (2/9),
brainstem auditory pathways (2/9), and somatosensory pathways (5/9), and the
retina (1/9). Abnormal findings were not correlated with clinical measures of
patient age, disease duration nor degree of weakness. CONCLUSION:
Electrophysiological studies showed that the CNS is not primarily involved in any
disease process that underlies this congenital myopathy. There were reasonable
other explanations for most abnormal measurements.
PMID- 18051626
TI - Electromyographic validation of the deltoideus (anterior portion) and pectoralis
major (clavicular portion) muscles in pull-over exercises.
AB - The electromyographic activity of the deltoideus (anterior portion) and
pectoralis major (clavicular portion) muscles was analyzed in 24 male volunteers
in two different modalities of pull-over exercises. The PMC activity varied from
weak to moderate in both modalities, while the DA activity was moderate in the
pull-over and strong in the pull-over with bent arms exercises.
PMID- 18051627
TI - Electromyographic analysis of the arm muscles in "back support" exercises.
AB - This study aims at quantifying through electromyography the actions of the biceps
brachii-BB (long head), triceps brachii-TB (long head) and deltoideus-DA
(clavicular portion) muscles, during the going (G) and return (R) phases in "back
support" exercises. Surface electrodes were placed at the muscles, according to
DELAGI (1981). It was used a specific software and a A/D plate to take the
signals. After being collected, the records were processed resulting in efficient
values (RMS), were normalized by maximum isometric contraction (MVIC = 100%) and
statistically analysed using the Friedman, DSM and Wilcox non-parametric tests.
All the muscles presented electromyographic activity of the movements. The
triceps brachii was the muscle with higher activity in both phases of the
movement. It was concluded that the exercise is indicated for the arm muscle
strength development.
PMID- 18051628
TI - Changes in soleus motoneuron pool reflex excitability and surface EMG parameters
during fatiguing low- vs. high-intensity isometric contractions.
AB - The fatigue-related changes in soleus motoneuron pool reflex excitability and
surface electromyography (EMG) parameters, and maximal voluntary contraction
(MVC) force of the plantarflexor (PF) muscles during repeatedly sustained low-
(30% MVC) vs. high-intensity (70% MVC) isometric contractions were evaluated
Twelve young men with mean (+/- SE) age of 22.4 +/- 0.3 years participated in two
fatigue tasks on separate days with at least 1-week interval. The fatigue task
consisted of three sustained isometric contractions of PF muscles at a target
force level until exhaustion separated with 2-min pause between contractions. M
wave (muscle compound action potential) amplitude (M(max)), Hoffmann reflex
maximal amplitude (H(max)) to M-wave amplitude ratio (H(max)/M(max)), and root
mean square amplitude (RMS) and median frequency (MF) of EMG power spectrum were
recorded from the soleus muscle. The M(max) remained constant immediately post
fatigue and during recovery for low- and high-intensity fatigue tasks, whereas
H(max)/M(max) was significantly (p < 0.05) reduced only after high-intensity
fatigue task. The increase in RMS and decrease in MF during isometric
contractions, and reduction in MVC force immediately after the exercise was
greater (p < 0.05) for low-intensity fatigue task. We conclude that low-intensity
isometric contractions, repeatedly sustained to fatigue, resulted in a marked
increase in the EMG amplitude and spectral compression without a significant post
fatigue reflex inhibition of soleus motoneuron pool. High-intensity contractions,
however, resulted in post-fatigue reflex inhibition of soleus motoneuron pool and
less pronounced EMG spectral compression during fatiguing contractions. A failure
of neuromuscular transmission-propagation was not evident after repetitive
fatiguing isometric contractions.
PMID- 18051629
TI - The relationship between skin temperature and neuronal characteristics in the
median, ulnar and radial nerves of non-impaired individuals.
AB - The purpose of this study was to evaluate the relationship between the nerve
conduction characteristics in the median, ulnar and radial nerves and presenting
skin temperature in non-impaired individuals as they were subjected to
electrophysiological testing. Previous researchers artificially manipulated the
skin temperature and demonstrated that there was a positive relationship between
temperature and nerve conduction velocity and a negative correlation between
distal latency and evoked motor action potential (EMAP). The sample population
was 50 non-impaired individuals derived from a venue in the New York City and
Long Island region. The independent variable was skin temperature. The dependent
variables were: motor nerve conduction velocity, motor distal latency, EMAP of
median and ulnar nerves, sensory distal latency and sensory nerve action
potential (SNAP) of median, ulnar and radial nerves. The data were analyzed using
descriptive statistics, the Bonferroni correction factor and correlation
statistics. The study showed significant correlation in median motor distal
latency, ulnar motor distal latency, ulnar sensory distal latency, median sensory
amplitude, and ulnar sensory amplitude. The NCV, the distal latency and the
amplitude of the median, ulnar and radial nerves were similar in values to other
researchers' normal values.
PMID- 18051630
TI - Neurophysiological monitoring of lumbosacral spinal roots during spinal surgery:
continuous intraoperative electromyography (EMG).
AB - INTRODUCTION: Neurophysiological monitoring during spinal surgery reduces the
associated neurological complications. Continuous EMG recording has developed an
useful technique for spinal root monitoring PATIENTS AND METHODS: Fifty four
patients who underwent surgery for several lumbosacral spinal lesions (low and
high degree spondylolisthesis, spinal stenosis, degenerated or herniated lumbar
discs) were studied to evaluate the effectiveness of continuous EMG recording in
monitoring spinal root function during surgery. Electrical root or screw
stimulation was also performed in nine of them. To correlate surgical spinal root
lesion with a precise EMG injury activity an animal study with 5 pigs was
performed; lesion was produced by prolonged spinal root traction. RESULTS: In the
porcine group EMG discharges lasting longer than one minute after cessation of
root traction was noted in 74% of spinal root levels (neurotonic discharges or
pseudo-rhythmic activity in 70% of the cases). Spinal root lesion was
demonstrated through EMG three weeks after surgery. In the patient group
pathological-significant EMG activity was not recorded in any case during
monitoring. Mechanical or chemical root stimulation during surgery produced brief
lasting EMG bursts of no pathological significance. Only a patient developed a
mild acute L5-S1 radiculopathy after surgery (1 false negative) and post
operative deficit was not observed in the rest. Electrical stimulation of spinal
roots and screws allowed to identify root level and prove the adequate placement
of screws. CONCLUSION: Spontaneous and evoked EMG recordings are simple
techniques that provide continuous information about lumbosacral spinal roots
function throughout surgery.
PMID- 18051631
TI - Introduction of the vastus medialis oblique H-reflex during traction of the leg.
AB - To clarify the excitability of the alpha-motoneuron pool corresponding to the
vastus medialis oblique (VMO), this study investigated the H-reflex from the VMO
by traction of the leg. One healthy male subject participated in this study, and
retesting was performed after 3 days. The surface stimulating electrodes were
applied over the course of the femoral nerve on the skin immediately distal to
the inguinal ligament. An active electrode for recording the H-reflex was placed
on the VMO, and a reference electrode was placed immediately proximal to the
patella. The H-reflex was recorded before, during and after traction of the leg.
Two patterns of H-reflex modulations were found as follows: 1) the H-reflex
amplitudes tended to be lower during traction than before traction, 2) the H
reflex amplitudes tended to be higher after traction. With regard to the decrease
in H-reflex amplitude during traction of the leg, the Ib inhibition for the VMO
was believed to have occurred by prolonged stretching of the quadriceps tendon
and patellar tendon. Also, with regard to the tendency of the VMO H-reflex to
increase after traction, disinhibition of the alpha-motoneuron might have
occurred in this study.
PMID- 18051632
TI - Changes in ulnar nerve conduction velocity across the elbow in different angles
of elbow flexion.
AB - OBJECTIVES: The goal of this study is to determine the changes in motor and
sensory nerve conduction velocity (NCV) of ulnar nerve at elbow area in different
angles of elbow flexion and also to define the optimum angle at which there is an
ideal correlation between the across elbow and below elbow NCVs of ulnar nerve.
METHODS: Motor and sensory NCVs of ulnar nerve were studied in 50 able-bodied
subjects (100 limbs) for the below elbow and across elbow segments to evaluate
the effect of 5 different angles of elbow (0 degrees, 45 degrees, 90 degrees, 110
degrees 135 degrees of elbow flexion) on NCV changes of ulnar nerve. At each
angle the across elbow NCVs were measured and compared with below elbow segments.
RESULTS: At 0 degrees of elbow flexion the across elbow NCVs were found to be
slower than below elbow segments and at 45 degrees there was no statistical
difference between below elbow and across elbow NCV. At each subsequent angles of
elbow flexion there was an increment in motor and sensory NCVs for the across
elbow compared to below elbow segment (P < 0.05). This increment progressed as
the degree of flexion increased, so the most erroneous increment was found at 135
degrees of elbow flexion. CONCLUSIONS: Since the 45 degrees of elbow flexion was
found to be the position of least variation in motor and sensory NCVs between the
across elbow and below elbow segments, this position of elbow flexion seems to be
the ideal angle during nerve conduction study of ulnar nerve at elbow area. In
this position the upper limit of normal difference between across elbow and below
elbow motor NCVs (mean + 2SD) was calculated 8 m/sec.
PMID- 18051633
TI - Lumbosarcral radiculopathties--the importance of EDX information other than
needle electromyography.
AB - OBJECTIVE: This study evaluates the importance of varying electrodiagnostic (EDX)
parameters abnormalities in patients with possible lumbosacral radiculopathies
(LSR). METHODS: 34 patients referred for EDX studies with clinical findings
consistent with a LSR without other causes for their symptoms were evaluated.
Studies included not only standard (Routine EDX) nerve conduction studies (NCS)
including F-waves and needle electromyography (nEMG) but also a multiparameter
automated analysis system using prefabricated nerve conduction electrodes without
nEMG (NC-stat EDX). RESULTS: Abnormal Routine EDX was present in 24 of the
patients. Abnormal nEMG was present in only 14 of these patients, all of whom
also had other relevant NCS abnormalities. Abnormal NC-stat EDX was found in 29
of the patients. In all but one patient there was agreement in the radicular
localization between Routine and NC-stat EDX. In 30 patients, there was recent
computed tomography or magnetic resonance imaging of the lumbosacral region.
Comparable statistical agreements with the radiographic information were obtained
for Routine EDX and NC-stat data. This was true including when the analyses were
based on the neuroradiological evaluation of likely root injury. CONCLUSION: This
study emphasizes the importance of EDX studies other than nEMG in the evaluation
of patients with possible LSR and supports the value of a computerized
mutliparameter methodology in these patients.
PMID- 18051634
TI - Predators, parasitoids, and pathogens: a cross-cutting examination of intraguild
predation theory.
AB - Although the canonical concept of intraguild predation evokes images of predators
and prey, several subdisciplines within ecology have developed theory not
specifically framed in terms of predation and competition and often using system
specific terminology, yet functionally quite similar. Here, we formulate models
combining exploitation and competition in predator-prey, host-parasitoid, and
host-pathogen communities to compare dynamics, food web structure, and
coexistence criteria for these disparate communities. Although dynamic stability
in the coexistence region varies strongly among systems, in all cases coexistence
of two consumers on a single resource occurs only if the intraguild prey species
is more efficient than the intraguild predator at suppressing the abundance of
the basal resource, and if the intraguild predator accrues a sufficient gain from
attacking the intraguild prey. In addition, equilibrial abundances of all species
in all three formulations respond similarly to increases in productivity of the
basal resource. Our understanding of predator-prey and parasitoid-host
communities has benefited from explicit examination of intraguild predation (IGP)
theory, and we suggest that future research examining pathogen communities, in
particular, will benefit substantially from explicit recognition of predictions
from IGP theory.
PMID- 18051635
TI - The influence of intraguild predation on prey suppression and prey release: a
meta-analysis.
AB - Intraguild predation (IGP) occurs when one predator species consumes another
predator species with whom it also competes for shared prey. One question of
interest to ecologists is whether multiple predator species suppress prey
populations more than a single predator species, and whether this result varies
with the presence of IGP. We conducted a meta-analysis to examine this question,
and others, regarding the effects of IGP on prey suppression. When predators can
potentially consume one another (mutual IGP), prey suppression is greater in the
presence of one predator species than in the presence of multiple predator
species; however, this result was not found for assemblages with unidirectional
or no IGP. With unidirectional IGP, intermediate predators were generally more
effective than the top predator at suppressing the shared prey, in agreement with
IGP theory. Adding a top predator to an assemblage generally caused prey to be
released from predation, while adding an intermediate predator caused prey
populations to be suppressed. However, the effects of adding a top or
intermediate predator depended on the effectiveness of these predators when they
were alone. Effects of IGP varied across different ecosystems (e.g., lentic,
lotic, marine, terrestrial invertebrate, and terrestrial vertebrate), with the
strongest patterns being driven by terrestrial invertebrates. Finally, although
IGP theory is based on equilibrium conditions, data from short-term experiments
can inform us about systems that are dominated by transient dynamics. Moreover,
short-term experiments may be connected in some way to equilibrium models if the
predator and prey densities used in experiments approximate the equilibrium
densities in nature.
PMID- 18051636
TI - The interaction of cannibalism and omnivory: consequences for community dynamics.
AB - Although cannibalism is ubiquitous in food webs and frequent in systems where a
predator and its prey also share a common resource (intraguild predation, IGP),
its impacts on species interactions and the dynamics and structure of communities
are still poorly understood. In addition, the few existing studies on cannibalism
have generally focused on cannibalism in the top-predator, ignoring that it is
frequent at intermediate trophic levels. A set of structured models shows that
cannibalism can completely alter the dynamics and structure of three-species IGP
systems depending on the trophic position where cannibalism occurs. Contrary to
the expectations of simple models, the IG predator can exploit the resources more
efficiently when it is cannibalistic, enabling the predator to persist at lower
resource densities than the IG prey. Cannibalism in the IG predator can also
alter the effect of enrichment, preventing predator-mediated extinction of the IG
prey at high productivities predicted by simple models. Cannibalism in the IG
prey can reverse the effect of top-down cascades, leading to an increase in the
resource with decreasing IG predator density. These predictions are consistent
with current data. Overall, cannibalism promotes the coexistence of the IG
predator and IG prey. These results indicate that including cannibalism in
current models can overcome the discrepancy between theory and empirical data.
Thus, we need to measure and account for cannibalistic interactions to reliably
predict the structure and dynamics of communities.
PMID- 18051637
TI - Alternative prey and the dynamics of intraguild predation: theoretical
perspectives.
AB - A rich body of theoretical literature now exists focused on the three-species
module of intraguild predation (IGP), in which a top predator both attacks and
competes with an intermediate predator. Simple models of intraguild predation are
often unstable, either because one consumer is excluded, or because sustained
oscillations emerge from long feedback loops. Yet, many natural IGP systems
robustly persist. Standard models of intraguild predation simplify natural
systems in crucial ways that could influence persistence; in particular, many
empirical IGP systems are embedded in communities with alternative prey species.
We briefly review the key conclusions of standard three-species IGP theory, and
then present results of theoretical explorations of how alternative prey can
influence the persistence and stability of a focal intraguild predation
interaction.
PMID- 18051638
TI - Habitat structure affects intraguild predation.
AB - Intraguild predation is thought to be ubiquitous in natural food webs. Yet,
theory on intraguild predation predicts the intraguild prey to persist only under
limited conditions. This gap between theory and empirical observations needs
scrutiny. One reason might be that theory has focused on equilibrium dynamics and
a limited set of species (usually three) that interact in well-mixed populations
in unstructured habitats, and these assumptions will often not hold in natural
systems. In this review, we focus on the effects of habitat structure on
intraguild predation. Habitat structure could reduce encounter rates between
predators and prey and could create refuges for prey. In both cases, habitat
structure could reduce the strength of intraguild interactions, thereby
facilitating species coexistence. A meta-analysis of studies on manipulation of
habitat structure shows that intraguild prey indeed suffer less from intraguild
predation in structured habitats. This was further confirmed by a meta-analysis
in which studies on intraguild predation were classified according to habitat
structure. Intraguild predation reduced densities of the intraguild prey
significantly more in habitats with little structure than in habitats rich in
structure. The effect of intraguild predation on the shared prey was negative,
and not significantly affected by habitat structure. We conclude that habitat
structure may increase persistence of the intraguild prey by decreasing the
strength of the interaction between intraguild predator and intraguild prey.
PMID- 18051639
TI - Trade-offs, temporal variation, and species coexistence in communities with
intraguild predation.
AB - Intraguild predation/parasitism (IGP: competing species preying on or
parasitizing each other) is widespread in nature, but the mechanisms by which
intraguild prey and predators coexist remain elusive. Theory predicts that a
trade-off between resource competition and IGP should allow local niche
partitioning, but such trade-offs are expressed only at intermediate resource
productivity and cannot explain observations of stable coexistence at high
productivity. Coexistence must therefore involve additional mechanisms beside the
trade-off, but very little is known about the operation of such mechanisms in
nature. Here I present the first experimental test of multiple coexistence
mechanisms in a natural community exhibiting IGP. The results suggest that, when
resource productivity constrains the competition-IGP trade-off, a temporal refuge
for the intraguild prey can not only promote coexistence, but also change species
abundances to a pattern qualitatively different from that expected based on the
trade-off or a refuge alone. This is the first empirical study to demonstrate a
mechanism for why communities with IGP do not lose species diversity in highly
productive environments. These results have implications for diversity
maintenance in multi-trophic communities, and the use of multiple natural enemies
in biological control.
PMID- 18051640
TI - Pre-migratory life history stages of juvenile Arctic birds: costs, constraints,
and trade-offs.
AB - Many young birds on the Arctic tundra are confronted by a challenging task: they
must molt their feathers and accumulate fat stores for the autumn migration
before climatic conditions deteriorate. Our understanding of the costs and
constraints associated with these stages is extremely limited. We investigated
post-juvenal molt and premigratory fattening in free-ranging juvenile White
crowned Sparrows (Zonotrichia leucophrys gambelii) on the Arctic tundra. We found
evidence for trade-offs between premigratory fat accumulation and molt: heavily
molting birds had significantly less fat. Birds increased the rate of fat
accumulation as the season progressed, but we found no evidence of a similar
increase in rate of molt. Using a controlled captive study to isolate the
energetic costs of body feather replacement, we found no difference in fat or
size-corrected mass of birds actively growing body feathers as compared to
controls. Molting birds, however, consumed 17% more food than controls,
suggesting a significant cost of body feather growth. Our results provide
evidence of significant costs, constraints, and trade-offs associated with post
juvenal molt and premigratory fat accumulation in young Arctic birds.
PMID- 18051641
TI - Geographical gradients in diet affect population dynamics of Canada lynx.
AB - Geographical gradients in the stability of cyclic populations of herbivores and
their predators may relate to the degree of specialization of predators. However,
such changes are usually associated with transition from specialist to generalist
predator species, rather than from geographical variation in dietary breadth of
specialist predators. Canada lynx (Lynx canadensis) and snowshoe hare (Lepus
americanus) populations undergo cyclic fluctuations in northern parts of their
range, but cycles are either greatly attenuated or lost altogether in the
southern boreal forest where prey diversity is higher. We tested the influence of
prey specialization on population cycles by measuring the stable carbon and
nitrogen isotope ratios in lynx and their prey, estimating the contribution of
hares to lynx diet across their range, and correlating this degree of
specialization to the strength of their population cycles. Hares dominated the
lynx diet across their range, but specialization on hares decreased in southern
and western populations. The degree of specialization correlated with cyclic
signal strength indicated by spectral analysis of lynx harvest data, but overall
variability of lynx harvest (the standard deviation of natural-log-transformed
harvest numbers) did not change significantly with dietary specialization. Thus,
as alternative prey became more important in the lynx diet, the fluctuations
became decoupled from a regular cycle but did not become less variable. Our
results support the hypothesis that alternative prey decrease population cycle
regularity but emphasize that such changes may be driven by dietary shifts among
dominant specialist predators rather than exclusively through changes in the
predator community.
PMID- 18051642
TI - Predator hunting mode and habitat domain alter nonconsumptive effects in predator
prey interactions.
AB - Predators can affect prey populations through changes in traits that reduce
predation risk. These trait changes (nonconsumptive effects, NCEs) can be
energetically costly and cause reduced prey activity, growth, fecundity, and
survival. The strength of nonconsumptive effects may vary with two functional
characteristics of predators: hunting mode (actively hunting, sit-and-pursue, sit
and-wait) and habitat domain (the ability to pursue prey via relocation in space;
can be narrow or broad). Specifically, cues from fairly stationary sit-and-wait
and sit-and-pursue predators should be more indicative of imminent predation
risk, and thereby evoke stronger NCEs, compared to cues from widely ranging
actively hunting predators. Using a meta-analysis of 193 published papers, we
found that cues from sit-and-pursue predators evoked stronger NCEs than cues from
actively hunting predators. Predator habitat domain was less indicative of NCE
strength, perhaps because habitat domain provides less reliable information
regarding imminent risk to prey than does predator hunting mode. Given the
importance of NCEs in determining the dynamics of prey communities, our findings
suggest that predator characteristics may be used to predict how changing
predator communities translate into changes in prey. Such knowledge may prove
particularly useful given rates of local predator change due to habitat
fragmentation and the introduction of novel predators.
PMID- 18051643
TI - Herbivory mediates grass-endophyte relationships.
AB - Endophytic fungi are plant symbionts living asymptomatically within plant
tissues. Neotyphodium spp., which are asexual vertically transmitted systemic
fungal endophytes of cool-season grasses, are predicted to be plant mutualists.
These endophytes increase host plant resistance to environmental stresses and/or
increase the production of alkaloid-based herbivore deterrents. The ubiquity of
this defense mutualism is unclear, and a variety of alternative mechanisms may
explain the observed variation in infection rates, levels of deterrence, and the
maintenance of asexual endophytes in grass populations. We found that grass
endophyte interactions are variable and ordered along an herbivory gradient in an
undisturbed subarctic alpine ecosystem. Native grass populations in grazed sites
had significantly greater frequency of Neotyphodium infection compared to
ungrazed sites. Tillers from grazed sites had significantly higher hyphal
densities compared to ungrazed sites. The ability of grass-Neotyphodium
constituents to deter vertebrate herbivory in natural systems is thought to be
rare. In grazed meadows, we showed that endophyte infection resulted in the
deterrence of grazing by native vertebrate herbivores. However, the same
herbivores did not distinguish between infected and uninfected grass harvested
from ungrazed areas. These results demonstrate that the relationship between
vertically transmitted endophytes and grasses in the alpine tundra vary greatly
within populations. This may be based in part on defense mutualism and is
consistent, under varying levels of herbivory, with the predictions of optimal
defense theory.
PMID- 18051644
TI - Increased plant size in exotic populations: a common-garden test with 14 invasive
species.
AB - The "evolution of increased competitive ability" (EICA) hypothesis predicts that
exotic species will adapt to reduced herbivore pressure by losing costly defenses
in favor of competitive ability. Previous studies often support the prediction
that plants from exotic populations will be less well defended than plants from
native populations. However, results are mixed with respect to the question of
whether plants from exotic populations have become more competitive. In a common
garden experiment involving plants from two native and two exotic populations of
14 different invasive species, we tested whether exotic plants generally grow
larger than conspecific native plants, and whether patterns of relative growth
depend on the intensity of competition. We found a quite consistent pattern of
larger exotic than native plants, but only in the absence of competition. These
results suggest that invasive species may often evolve increased growth, and that
increased growth may facilitate adaptation to noncompetitive environments.
PMID- 18051645
TI - Quasi-Poisson vs. negative binomial regression: how should we model overdispersed
count data?
AB - Quasi-Poisson and negative binomial regression models have equal numbers of
parameters, and either could be used for overdispersed count data. While they
often give similar results, there can be striking differences in estimating the
effects of covariates. We explain when and why such differences occur. The
variance of a quasi-Poisson model is a linear function of the mean while the
variance of a negative binomial model is a quadratic function of the mean. These
variance relationships affect the weights in the iteratively weighted least
squares algorithm of fitting models to data. Because the variance is a function
of the mean, large and small counts get weighted differently in quasi-Poisson and
negative binomial regression. We provide an example using harbor seal counts from
aerial surveys. These counts are affected by date, time of day, and time relative
to low tide. We present results on a data set that showed a dramatic difference
on estimating abundance of harbor seals when using quasi-Poisson vs. negative
binomial regression. This difference is described and explained in light of the
different weighting used in each regression method. A general understanding of
weighting can help ecologists choose between these two methods.
PMID- 18051646
TI - On the choice of statistical models for estimating occurrence and extinction from
animal surveys.
AB - In surveys of natural animal populations the number of animals that are present
and available to be detected at a sample location is often low, resulting in few
or no detections. Low detection frequencies are especially common in surveys of
imperiled species; however, the choice of sampling method and protocol also may
influence the size of the population that is vulnerable to detection. In these
circumstances, probabilities of animal occurrence and extinction will generally
be estimated more accurately if the models used in data analysis account for
differences in abundance among sample locations and for the dependence between
site-specific abundance and detection. Simulation experiments are used to
illustrate conditions wherein these types of models can be expected to outperform
alternative estimators of population site occupancy and extinction.
PMID- 18051647
TI - Random forests for classification in ecology.
AB - Classification procedures are some of the most widely used statistical methods in
ecology. Random forests (RF) is a new and powerful statistical classifier that is
well established in other disciplines but is relatively unknown in ecology.
Advantages of RF compared to other statistical classifiers include (1) very high
classification accuracy; (2) a novel method of determining variable importance;
(3) ability to model complex interactions among predictor variables; (4)
flexibility to perform several types of statistical data analysis, including
regression, classification, survival analysis, and unsupervised learning; and (5)
an algorithm for imputing missing values. We compared the accuracies of RF and
four other commonly used statistical classifiers using data on invasive plant
species presence in Lava Beds National Monument, California, USA, rare lichen
species presence in the Pacific Northwest, USA, and nest sites for cavity nesting
birds in the Uinta Mountains, Utah, USA. We observed high classification accuracy
in all applications as measured by cross-validation and, in the case of the
lichen data, by independent test data, when comparing RF to other common
classification methods. We also observed that the variables that RF identified as
most important for classifying invasive plant species coincided with expectations
based on the literature.
PMID- 18051649
TI - Habitat provisioning for aboveground predators decreases detritivores.
AB - Although provisioning of habitat by ecosystem engineers is one of the most common
biological interactions, previous studies have mostly focused on facilitative or
bottom-up processes. Here we show that engineering effects can indirectly
strengthen top-down effects mediated by predator abundance. We conducted a small
scale manipulative field experiment and broad-scale field observations of the
plant, web spider, and detrital insect system in forest understory habitats. In
the field experiment, artificially increasing architectural elements enhanced the
abundance of spiders by providing physical support for web building. Moreover,
aerial insects derived from the detrital food web decreased in response to
increased spider abundance. As artificial architecture per se did not affect
aerial detritivores, these results indicate that ecosystem engineering indirectly
strengthens top-down effects mediated by predators. In field observations
conducted in 12 cedar forests, path analyses supported the importance of an
indirect pathway originating from understory vegetation complexity to spider
abundance and to aerial detritivores. The effect size of spiders on detrital
insects was similar in the field experiment and in the observations. These
results indicate that the engineering effects of plants cascade to detrital
insects through web spiders across different scales.
PMID- 18051648
TI - Quantitative approaches to the analysis of stable isotope food web data.
AB - Ecologists use stable isotopes (delta13C, delta15N) to better understand food
webs and explore trophic interactions in ecosystems. Traditionally, delta13C vs.
delta15N bi-plots have been used to describe food web structure for a single time
period or ecosystem. Comparisons of food webs across time and space are
increasing, but development of statistical approaches for testing hypotheses
regarding food web change has lagged behind. Here we present statistical
methodologies for quantitatively comparing stable isotope food web data. We
demonstrate the utility of circular statistics and hypothesis tests for
quantifying directional food web differences using two case studies: an arthropod
salt marsh community across a habitat gradient and a freshwater fish community
from Lake Tahoe, USA, over a 120-year time period. We calculated magnitude and
mean angle of change (theta) for each species in food web space using mean
delta13C and delta15N of each species as the x, y coordinates. In the coastal
salt marsh, arthropod consumers exhibited a significant shift toward dependence
on Spartina, progressing from a habitat invaded by Phragmites to a restored
Spartina habitat. In Lake Tahoe, we found that all species from the freshwater
fish community shifted in the same direction in food web space toward more
pelagic-based production with the introduction of nonnative Mysis relicta and
onset of cultural eutrophication. Using circular statistics to quantitatively
analyze stable isotope food web data, we were able to gain significant insight
into patterns and changes in food web structure that were not evident from
qualitative comparisons. As more ecologists incorporate a food web perspective
into ecosystem analysis, these statistical tools can provide a basis for
quantifying directional food web differences from standard isotope data.
PMID- 18051650
TI - Trophic structure of coastal Antarctic food webs associated with changes in sea
ice and food supply.
AB - Predicting the dynamics of ecosystems requires an understanding of how trophic
interactions respond to environmental change. In Antarctic marine ecosystems,
food web dynamics are inextricably linked to sea ice conditions that affect the
nature and magnitude of primary food sources available to higher trophic levels.
Recent attention on the changing sea ice conditions in polar seas highlights the
need to better understand how marine food webs respond to changes in such broad
scale environmental drivers. This study investigated the importance of sea ice
and advected primary food sources to the structure of benthic food webs in
coastal Antarctica. We compared the isotopic composition of several seafloor taxa
(including primary producers and invertebrates with a variety of feeding modes)
that are widely distributed in the Antarctic. We assessed shifts in the trophic
role of numerically dominant benthic omnivores at five coastal Ross Sea
locations. These locations vary in primary productivity and food availability,
due to their different levels of sea ice cover, and proximity to polynyas and
advected primary production. The delta15N signatures and isotope mixing model
results for the bivalves Laternula elliptica and Adamussium colbecki and the
urchin Sterechinus neumeyeri indicate a shift from consumption of a higher
proportion of detritus at locations with more permanent sea ice in the south to
more freshly produced algal material associated with proximity to ice-free water
in the north and east. The detrital pathways utilized by many benthic species may
act to dampen the impacts of large seasonal fluctuations in the availability of
primary production. The limiting relationship between sea ice distribution and in
situ primary productivity emphasizes the role of connectivity and spatial
subsidies of organic matter in fueling the food web. Our results begin to provide
a basis for predicting how benthic ecosystems will respond to changes in sea ice
persistence and extent along environmental gradients in the high Antarctic.
PMID- 18051651
TI - Biodiversity mediates productivity through different mechanisms at adjacent
trophic levels.
AB - Biodiversity may enhance productivity either because diverse communities more
often contain productive species (selection effects) or because they show greater
complementarity in resource use. Our understanding of how these effects influence
community production comes almost entirely from studies of plants. To test
whether previous results apply to higher trophic levels, we first used
simulations to derive expected contributions of selection and complementarity to
production in competitive assemblages defined by either neutral interactions,
dominance, or a trade-off between growth and competitive ability. The three types
of simulated assemblages exhibited distinct interaction signatures when diversity
effects were partitioned into selection and complementarity components. We then
compared these signatures to those of experimental marine communities. Diversity
influenced production in fundamentally different ways in assemblages of
macroalgae, characterized by growth-competition trade-offs, vs. in herbivores,
characterized by dominance. Forecasting the effects of changing biodiversity in
multitrophic ecosystems will require recognizing that the mechanism by which
diversity influences functioning can vary among trophic levels in the same food
web.
PMID- 18051652
TI - A multivariate analysis of beta diversity across organisms and environments.
AB - We examined variability in hierarchical beta diversity across ecosystems,
geographical gradients, and organism groups using multivariate spatial mixed
modeling analysis of two independent data sets. The larger data set comprised
reported ratios of regional species richness (RSR) to local species richness
(LSR) and the second data set consisted of RSR:LSR ratios derived from nested
species-area relationships. There was a negative, albeit relatively weak,
relationship between beta diversity and latitude. We found only relatively subtle
differences in beta diversity among the realms, yet beta diversity was lower in
marine systems than in terrestrial or freshwater realms. Beta diversity varied
significantly among organisms' major characteristics such as body mass, trophic
position, and dispersal type in the larger data set. Organisms that disperse via
seeds had highest beta diversity, and passively dispersed organisms showed the
lowest beta diversity. Furthermore, autotrophs had lower beta diversity than
organisms higher up the food web; omnivores and carnivores had consistently
higher beta diversity. This is evidence that beta diversity is simultaneously
controlled by extrinsic factors related to geography and environment, and by
intrinsic factors related to organism characteristics.
PMID- 18051653
TI - Modification of hosts' behavior by a parasite: field evidence for adaptive
manipulation.
AB - Parasites relying on trophic transmission to complete their life cycles often
induce modifications of their host's behavior in ways that may increase their
susceptibility to predation by final hosts. These modifications have often been
interpreted as parasite adaptations, but very few studies have demonstrated that
host manipulation has fitness benefits for the parasite. The aim of the present
study was to address the adaptive significance of parasite manipulation by
coupling observations of behavioral manipulation to estimates of trophic
transmission to the definitive host in the natural environment. We show that the
acanthocephalan parasite Pomphorhynchus laevis manipulates the drifting behavior
of one of its intermediate hosts, the amphipod Gammarus pulex, but not of a
sympatric host, the introduced amphipod Gammarus roeseli. We found a 26.3-28.3
times higher proportion of infected G. pulex in the stomach content of one of the
definitive hosts of P. laevis, the bullhead Cottus gobio, than in the benthos. No
such trend was observed for G. roeseli. The bell-shaped curve of mean parasite
abundance (MPA) relative to host size observed in G. pulex also supported an
increased predation mortality of P. laevis-infected individuals compared to
uninfected amphipods. Again, no such pattern was observed in G. roeseli.
Furthermore, our results indicate that the modifications induced by P. laevis are
specific to the definitive host and do not increase the risk of predation by
inappropriate hosts, here the adult edible frog Rana esculenta. Overall, our
study is original in that it establishes, under field conditions, a direct link
between parasitic manipulation and increased transmission to the definitive host,
and more importantly, identifies the specificity of the manipulation both in the
intermediate host species and toward the definitive host.
PMID- 18051654
TI - The inflationary effects of environmental fluctuations ensure the persistence of
sink metapopulations.
AB - Under current rates of environmental change many populations may be found in
habitats of low quality and low conservation value, creating population sinks. We
test recent theory that suggests, surprisingly, that stochastic environmental
variability may enhance the long-term persistence of sink metapopulations. Using
experimental populations of Paramecium aurelia we show that it is possible for a
metapopulation comprised entirely of sink populations to persist for many
generations in a random environment. In accordance with the theory, we show that
positive temporal autocorrelation and low spatial correlation in the environment
can ensure the long-term persistence and enhance the mean and maximum abundance
of sink metapopulations. High levels of spatial correlation in the environment
created strong population synchrony and limited the persistence time of the sink
metapopulations. These results have important implications for the development of
a theory underlying the synergistic effects of habitat fragmentation and
environmental change on population persistence.
PMID- 18051655
TI - Population inertia and its sensitivity to changes in vital rates and population
structure.
AB - Because the (st)age structure of a population may rarely be stable, studies of
transient population dynamics and population momentum are becoming ever more
popular. Yet, studies of "population momentum" are restricted in the sense that
they describe the inertia of population size resulting from a demographic
transition to the stationary population growth rate. Although rarely mentioned,
inertia in population size is a general phenomenon and can be produced by any
demographic transition or perturbation. Because population size is of central
importance in demography, conservation, and management, formulas relating the
sensitivity of population inertia to changes in underlying vital rates and
population structure could provide much-needed insight into the dynamics of
populations with unstable (st)age structure. Here, we derive such formulas, which
are readily computable, and provide examples of their potential use in studies of
life history and applied arenas of population study.
PMID- 18051656
TI - Host plant quality and local adaptation determine the distribution of a gall
forming herbivore.
AB - Herein we report results of transplant experiments that link variation in host
plant quality to herbivore fitness at the local scale (among adjacent plants)
with the process of local (demic) adaptation at the landscape scale to explain
the observed distribution of the specialist gall former Belonocnema treatae
(Hymenoptera: Cynipidae) within populations of its host plant, Quercus
fusiformis. Field surveys show that leaf gall densities vary by orders of
magnitude among adjacent trees and that high-gall-density trees are both rare (<
5%) and patchily distributed. B. treatae from each of five high-gall-density
trees were reared on (1) the four nearest low-gall-density trees, (2) the four
alternative high-gall-density trees, and (3) their natal trees (control). Each
treatment (source X rearing site) was replicated three times. Nine components of
performance that sequentially contribute to fitness were evaluated with over
21000 galls censused across the 25 experimental trees. When reared on their natal
trees and compared with low-gall-density neighbors, transplanted gall formers had
higher gall initiation success (P < 0.05), produced more (P < 0.001) and larger
galls (P < 0.001), and produced a higher proportion of galls that exceeded the
threshold size for natural enemy avoidance (P < 0.05). Comparison of gall-former
performance on natal vs. alternative high-gall-density trees demonstrated
significant (P < 0.001) differences in six performance measures with five
differing in the direction predicted by the hypothesis of local adaptation.
Overall, these linked experiments document direct and indirect effects of host
plant variation on gall-former performance and demonstrate convincingly that (1)
high-gall-density trees equate to high-quality trees that are surrounded by trees
of relatively lower quality to the herbivore and (2) gall-former populations have
become locally adapted to individual trees.
PMID- 18051657
TI - Variation in responses of late-seral herbs to disturbance and environmental
stress.
AB - Clonal herbs that attain maximum development in late-seral forest are often
assumed to have similar responses to disturbance and to be functionally
equivalent. However, little is known about the demographic or physiological
responses of these plants to disturbance or to the altered conditions of the post
disturbance environment. Following harvest of a mature coniferous forest, we
compared abundance, demographic changes, and physiological acclimation of three
clonal herbs (Asarum caudatum, Clintonia uniflora, and Pyrola picta) that differ
in belowground morphology and leaf longevity. We measured ramet density, leaf
area, and demographic variables (survival, clonal growth, flowering, and seedling
establishment) before and for two years after harvest, and in adjacent
undisturbed forest. Acclimation to increased solar radiation was assessed two
years after harvest by measuring leaf mass per unit area (LMA) and chlorophyll
a:b ratios of leaves produced in the current year. Although initial declines in
abundance were similar, demographic responses indicate that patterns of recovery
varied greatly among species. Two years after logging, ramet survival and clonal
growth (production of new ramets) of Clintonia were greater in the harvest area
than in the forest. Asarum had lower survival in the harvest area, but greater
clonal growth, and Pyrola showed no difference in either survival or growth
between environments. Only Asarum produced seedlings, although their survival was
low in the harvest area. All species had higher LMA in the harvest area, but only
Clintonia (with annual leaves) had a higher chlorophyll a:b ratio, suggesting the
greatest potential for acclimation to increased light. Our results demonstrate
that forest herbs with greater rhizome plasticity and shorter leaf duration have
greater potential to acclimate after disturbance than those with rigid
architectures and persistent leaves. Thus, species with comparable successional
roles can vary substantially in their demographic and physiological responses to
disturbance, with potential consequences for long-term recovery.
PMID- 18051658
TI - Multidecadal climate variability and climate interactions affect subalpine fire
occurrence, western Colorado (USA).
AB - This study investigates the influence of climatic variability on subalpine forest
fire occurrence in western Colorado during the AD 1600-2003 period. Interannual
and multidecadal relationships between fire occurrence and the El Nino Southern
Oscillation (ENSO), Pacific Decadal Oscillation (PDO), and Atlantic Multidecadal
Oscillation (AMO) were examined, in addition to the effects of phase interactions
among these oscillations. Fires occurred during short-term periods of significant
drought and extreme cool (negative) phases of ENSO and PDO and during positive
departures from mean AMO index. At longer time scales, fires exhibited 20-year
periods of synchrony with the cool phase of the PDO, and 80-year periods of
synchrony with extreme warm (positive) phases of the AMO. Years of combined
positive AMO and negative ENSO and PDO phases represent "triple whammies" that
significantly increased the occurrence of drought-induced fires. Fires were
synchronous with this phase combination over 0-30 year periods and distinctly
asynchronous with the opposite phase combination. Overall, because fires are
synchronous at supra-annual to multidecadal time scales with warm AMO events,
particularly when combined with cool ENSO and PDO phases, this suggests that we
may be entering a qualitatively different fire regime in the next few decades due
to the recent shift in 1998 to a likely long-term warm AMO phase. Although
uncertainty remains regarding the effects of CO2-induced warming at regional
scales, given the multidecadal persistence of the AMO there is mounting evidence
that the recent shift to the positive phase of the AMO will promote higher fire
frequencies in the region.
PMID- 18051659
TI - Effects of macrophyte functional group richness on emergent freshwater wetland
functions.
AB - Most plant diversity-function studies have been conducted in terrestrial
ecosystems and have focused on plant productivity and nutrient uptake/retention,
with a notable lack of attention paid to belowground processes (e.g., root
dynamics, decomposition, trace gas fluxes). Here we present results from a
mesocosm experiment in which we assessed how the richness of emergent macrophyte
functional groups influences aboveground and belowground plant growth and
microbial-mediated functions related to carbon and nitrogen cycling, with an
emphasis on methane (CH4) efflux and potential denitrification rates. We found
that an increase in the richness of wetland plant functional groups enhanced
belowground plant biomass, altered rooting patterns, and decreased methane
efflux, while having no effect on aboveground plant production or denitrification
potential. We hypothesize that the greater root production and increased rooting
depth in the highest diversity treatments enhanced CH4 oxidation to a relatively
greater degree than methane production, leading to an overall decrease in CH4
efflux across our plant functional group richness gradient.
PMID- 18051660
TI - Influence of fish on habitat choice of water birds: a whole system experiment.
AB - It is notoriously difficult to study population interactions among highly mobile
animals that cannot be meaningfully confined to experimental plots of limited
size. For example, migratory water birds are believed to suffer from competition
with resident fish populations for shared food resources. While observational
evidence in support of this hypothesis is accumulating, replicated experiments
addressing this issue at the proper spatial scale are lacking. Here, we report
from a replicated whole-system experiment in which we stocked large (0.07 km2),
shallow (< or =2.5 m deep), highly eutrophic ponds in the bird protection area
"Ismaninger Speichersee mit Fischteichen" with different densities of carp and
assessed the responses of water birds and their food resources during summer over
several years. In all years, the biomasses of benthic macroinvertebrates,
macroalgae, and macrophytes as well as the densities of herbivorous, carnivorous,
and omnivorous water birds were reduced in carp ponds compared to fishless ponds.
The negative effects of carp on food resources and on the numbers of water birds
feeding in carp ponds increased over the season (May-September) and were stronger
at high than at low stocking densities of carp. Consequently, differences in
resource densities between ponds with and without carp increased, and the ranking
of ponds with respect to resource densities became more predictable over the
season. These factors may have contributed to a seasonal improvement of the
birds' abilities to track resource densities across ponds, as suggested by tight
correlations of bird numbers on ponds with resource densities late, but not
early, in the season.
PMID- 18051661
TI - Avian herbivory: an experiment, a field test, and an allometric comparison with
mammals.
AB - Mechanistic studies on herbivore functional responses have largely taken place in
mammals; very little has been done in herbivorous birds so far. Here we aim to
fill that gap by experimentally quantifying the (short-term) functional response
of a large avian herbivore, the Bewick's Swan (Cygnus columbianus bewickii). We
explicitly distinguish between encounter-limited and handling-limited foraging by
analyzing the results in the framework of the models of D. E. Spalinger and N. T.
Hobbs, originally developed for mammalian herbivory. Bite size in captive swans
was experimentally manipulated by varying sward height. The time interval between
two bites increased with bite size, which supports the handling-limited model
(process 3) and rejects the encounter-limited models (processes 1 and 2).
Subsequently, we took the obtained functional response parameters into the field
in order to predict, from measurements of sward height, (1) bite sizes, (2)
handling times, and (3) short-term intake rates in free-ranging swans. Indeed,
for all three variables, the observed values closely matched the experimentally
based predictions. Finally, we review functional response parameters available in
the literature on avian herbivores and scale them allometrically in relation to
mammals. This analysis revealed that maximum bite sizes, and therefore maximum
intake rates, in herbivorous birds are smaller than in herbivorous mammals. We
hypothesize and provide evidence that birds compensate by longer daily foraging
times.
PMID- 18051662
TI - Flightlessness and the energetic cost of wing molt in a large sea duck.
AB - Although the replacement of feathers apparently represents the major event of
somatic production in the annual cycle of wild birds, knowledge about the
energetics of molt has always been hampered by logistical and technical
difficulties, which are exacerbated by the fact that birds are able to compensate
behaviorally to buffer any variation in energy demand. During wing molt, sea
ducks (Mergini) and other diving birds lose all of their wing feathers at once,
leading to a period of temporary flightlessness of variable duration, a condition
that considerably restricts their movements and increases the probability of
predation. In the present study, we present the first results aimed at
quantifying the duration of flightlessness, energy expenditure, and foraging
effort during molt of a wing-propelled diving bird, the Common Eider (Somateria
mollissima). Data loggers were implanted in the body cavity of 13 females to
record heart rate and hydrostatic pressure (depth) every two seconds for a period
of 220 days. Flight frequency and duration were assessed from elevated and
constant heart rate, and the absence of flight was used to quantify the duration
of flightlessness, which lasted, on average, 36 +/- 8 days (mean +/- SD). Using a
period of four weeks before and four weeks after the flightless period, we found
that dive depth (ranging from 1 to 2 m, on average) and daily diving time did not
vary during the course of the study. Daily metabolic rate increased by 9%, and
resting metabolic rate by 12% from the pre-molt period to the flightless period
and remained high during the post-molt period. This study indicates that the
energetic costs of replacing flight remiges in female eiders are substantial,
although this is not associated with any change in foraging effort, which
suggests that female Common Eiders lose mass during wing molt. Finally, estimates
of energy savings associated with the total absence of flights during wing molt
represent 6% of daily metabolic rate or 14% of resting metabolic rate. This
finding contrasts with the classical view that little or no benefit is associated
with a flightless condition. We suggest that such energy savings may have favored
the evolution of temporary flightlessness in diving birds.
PMID- 18051663
TI - Age and race, BMI and CVD mortality.
PMID- 18051664
TI - Evaluating accountability in the Vaccines for Children program: protecting a
federal investment.
AB - The Vaccines for Children (VFC) program supplies health-care providers with
federally purchased vaccines at no cost for administration to eligible children.
Evaluation of vaccine accountability activities ensures appropriate and timely
vaccinations are delivered. Program grantees in 50 states, Washington, five large
U.S. metropolitan cities, and five U.S. territories and possessions completed a
Web-based survey between December 2002 and January 2003 focused on current
vaccine accountability operational systems. Most grantees required providers to
complete profiles describing the vaccination needs and demographics of their
practices. More than half requested providers use benchmarking data, doses
administered reports, and/or claims or encounter data to determine their VFC
program-eligible population size; however, > 65% did not have written procedures
for investigating and reconciling discrepancies between estimated vaccine needs
and actual vaccine-use data. Most grantees had written standard policies
requiring providers to report vaccine loss and wastage routinely and to explain
why they occurred. Ninety percent of grantees did not have procedures to check
providers for fraud and abuse sanctions, and 52% did not have written procedures
to address complaints of vaccine fraud and abuse. These results suggested
specific areas in which the Centers for Disease Control and Prevention should
work with grantees to improve vaccine accountability practices. As a result,
enhancements to the VFC program are being implemented to address these areas and
their impact evaluated for their effectiveness in ensuring the continued success
of the VFC program in protecting the nation's most vulnerable children and
adolescents.
PMID- 18051665
TI - Respiratory protection programs for firefighters: a survey of practices for the
state of Kentucky.
AB - OBJECTIVE: Firefighters play a crucial role as first responders in a variety of
situations that can expose them to respiratory hazards. To ensure their safety
and health, fire departments should have a respiratory protection program in
place for all firefighters. A survey of Kentucky fire departments was conducted
to assess their respiratory protection practices, barriers to program
implementation, and medical evaluation programs. METHOD: A 21-question survey
assessing respiratory practices during the previous 12 months was mailed to all
Kentucky fire departments. RESULTS: A 62% survey response rate was achieved, with
116 of Kentucky's 120 counties returning at least one survey. All respondents
indicated they were utilizing some type of respiratory protection, but only 37%
indicated they had a written respiratory protection program. Compensation status
and department sizes were found to be significant variables (p < 0.01) in
determining if a fire department had a written respiratory protection program.
Lack of funding (48%) and lack of understanding (39%) were cited as the greatest
barriers to program implementation. Only 51% indicated they require their
firefighters to receive a fit testing of their respirator, and 23% indicated they
had a health-care provider who reviewed medical questionnaires or provided
medical evaluations. CONCLUSION: This survey indicates that many Kentucky fire
departments are not meeting the legal and voluntary respiratory protection
standards and guidelines, and demonstrates the need for improved education and
funding to ensure that firefighters are adequately protected from respiratory
hazards. This is particularly applicable to small rural volunteer departments,
which had the greatest gap in compliance.
PMID- 18051666
TI - Comparison of health and social characteristics of people leaving New York City
jails by age, gender, and race/ethnicity: implications for public health
interventions.
AB - OBJECTIVES: We compared health and social needs by gender, age, and race/
ethnicity of people leaving New York City jails and assessed the implication of
these differences for the development of jail reentry programs. METHODS: Surveys
were completed with 1,946 individuals (536 men, 704 women, and 706 adolescent
males) between 1997 and 2004. Structured questionnaires captured data on
demographic, criminal justice, substance use, and health characteristics.
Bivariate comparisons were performed to determine variations between men and
women, men and male adolescents, and non-Latino black and Hispanic/Latino
respondents. RESULTS: The majority of participants were black and
Hispanic/Latino, reported high levels of substance use, had high rates of
recidivism, and experienced difficult living circumstances. Compared with men,
women were more likely to be homeless, use illicit drugs, report drug charges at
index arrest, have health problems, and be parents. Adolescent males were more
likely than men to rely on illegal activities for income and to have used
marijuana and alcohol recently, and were less likely to report homelessness or
health problems. Ethnic/racial differences between black and Hispanic/Latino
respondents within gender and age groups were smaller than differences among
these groups. CONCLUSIONS: Jails concentrate individuals with multifaceted health
and social problems, providing opportunities to engage at-risk populations in
comprehensive reentry programs. Gender, age, and ethnic/racial differences among
incarcerated populations require that interventions be tailored to the specific
needs of these different groups.
PMID- 18051667
TI - Impact of a smoke-free hospital campus policy on employee and consumer behavior.
AB - OBJECTIVE: Although smoke-free hospital campuses can provide a strong health
message and protect patients, they are few in number due to employee retention
and public relations concerns. We evaluated the effects of implementing a clean
air policy on employee attitudes, recruitment, and retention; hospital
utilization; and consumer satisfaction in 2003 through 2005. METHODS: We
conducted research at a university hospital campus with supplemental data from an
affiliated hospital campus. Our evaluation included (1) measurement of employee
attitudes during the year before and year after policy implementation using a
cross-sectional, anonymous survey; (2) focus group discussions held with
supervisors and security personnel; and (3) key informant interviews conducted
with administrators. Secondary analysis included review of employment records and
exit interviews, and monitoring of hospital utilization and patient satisfaction
data. RESULTS: Employee attitudes toward the policy were supportive (83.3%) at
both institutions and increased significantly (89.8%) at post-test at the
university hospital campus. Qualitatively, administrator and supervisor attitudes
were similarly favorable. There was no evidence on either campus of an increase
in employee separations or a decrease in new hiring after the policy was
implemented. On neither campus was there a change in bed occupancy or mean daily
census. Standard measures of consumer satisfaction were also unchanged at both
sites. CONCLUSION: A campus-wide smoke-free policy had no detrimental effect on
measures of employee or consumer attitudes or behaviors.
PMID- 18051668
TI - Potential implications of missing income data in population-based surveys: an
example from a postpartum survey in California.
AB - OBJECTIVES: Income data are often missing for substantial proportions of survey
participants and these records are often dropped from analyses. To explore the
implications of excluding records with missing income, we examined
characteristics of survey participants with and without income information.
METHODS: Using statewide population-based postpartum survey data from the
California Maternal and Infant Health Assessment, we compared the age, education,
parity, marital status, timely prenatal care initiation, and neighborhood poverty
characteristics of women with and without reported income data, overall, and by
race/ethnicity/nativity. RESULTS: Overall, compared with respondents who reported
income, respondents with missing income information generally appeared younger,
less educated, and of lower parity. They were more likely to be unmarried, to
have received delayed or no prenatal care, and to reside in poor neighborhoods;
and they generally appeared more similar to lower- than higher-income women.
However, the patterns appeared to vary by racial/ethnic/nativity group. For
example, among U.S.-born African American women, the characteristics of the
missing-income group were generally similar to those of low-income women, while
European American women with missing income information more closely resembled
their moderate-income counterparts. CONCLUSIONS: Respondents with missing income
information may not be a random subset of population-based survey participants
and may differ on other relevant sociodemographic characteristics. Before
deciding how to deal analytically with missing income information, researchers
should examine relevant characteristics and consider how different approaches
could affect study findings. Particularly for ethnically diverse populations, we
recommend including a missing income category or employing multiple-imputation
techniques rather than excluding those records.
PMID- 18051669
TI - Epidemiology of non-canine bite and sting injuries treated in U.S. emergency
departments, 2001-2004.
AB - OBJECTIVES: This study was conducted to estimate the burden of non-canine-related
bite and sting injuries in the U.S.; describe the affected population, injury
severity, and bite or sting source; and provide considerations for prevention
strategies. METHODS: Data were from the 2001 through 2004 National Electronic
Injury Surveillance System-All Injury Program (NEISS-AIP) (a stratified
probability sample of U.S. hospitals). Records included information about age,
body part affected, cause, diagnosis, disposition, and gender. Narrative
descriptions were coded for the source of the bite or sting. RESULTS: Between
2001 and 2004, an estimated 3.6 million people were treated in emergency
departments for injuries related to non-canine bites and stings. Results detail
the reported sources of the bite or sting, and examine sources by gender and age
group. Common sources included bees (162,000 cases annually), spiders (123,000
cases annually), and cats (66,000 cases annually). Female adults were more likely
than male adults to be treated for cat bites. Although rare, of the known
venomous snakebites, more than half (58.4%) of the patients were hospitalized.
CONCLUSIONS: Our results demonstrate the public health burden of non-canine
related bite and sting injuries. More than 900,000 people were treated in
emergency departments annually for non-canine bite or sting injuries, or roughly
1.7 injuries per minute. Treatment consumes substantial health-care resources.
While preventing these injuries should be the first line of defense, resources
could be conserved by educating the public about immediate first aid and when
warning signs and symptoms indicate the need for professional or emergency care.
PMID- 18051670
TI - Panel classification of self-reported exposure histories: a useful exposure index
after a mass-casualty event.
AB - OBJECTIVE: Although rapid epidemiologic investigations of toxic exposures require
estimates of individual exposure levels, objective measures of exposure are often
unavailable. We investigated whether self-reported exposure histories, when
reviewed and classified by a panel of raters, provided a useful exposure metric.
METHODS: A panel reviewed exposure histories as reported by people who
experienced a chlorine release. The panelists received no information about
health-care requirements or specific health effects. To each exposure case, each
panelist assigned one of five possible exposure severity ratings. When assigned
ratings were not in initial agreement, the panelists discussed the case and
assigned a consensus rating. Percent agreement and kappa statistics assessed
agreement among panelists, Kendall's W measured agreement among panelists in
their overall ordering of the exposure histories, and Spearman's rho compared the
resultant rankings with individual health outcome. RESULTS: In 48% of the cases,
the panelists' initial ratings agreed completely. Overall, initial ratings for a
given case matched the consensus rating 69% to 89% of the time. Pair-wise
comparisons revealed 85% to 95% agreement among panelists, with weighted kappa
statistics between 0.69 and 0.83. In their overall ranking of the exposure
histories, the panelists reached significant agreement (W = 0.90, p < 0.0001).
Disagreement arose most frequently regarding probable chlorine concentration and
duration of exposure. This disagreement was most common when panelists
differentiated between adjacent categories of intermediate exposure. Panel
assigned exposure ratings significantly correlated with health outcome
(Spearman's rho = 0.56; p < 0.0001). CONCLUSION: Epidemiologists and public
health practitioners can elicit and review self-reported exposure histories and
assign exposure severity ratings that predict medical outcome. When objective
markers of exposure are unavailable, panel-assigned exposure ratings may be
useful for rapid epidemiologic investigations.
PMID- 18051671
TI - Rapid assessment of exposure to chlorine released from a train derailment and
resulting health impact.
AB - OBJECTIVES: After a train derailment released approximately 60 tons of chlorine
from a ruptured tanker car, a multiagency team performed a rapid assessment of
the health impact to determine morbidity caused by the chlorine and evaluate the
effect of this mass-casualty event on health-care facilities. METHODS: A case was
defined as death or illness related to chlorine exposure. Investigators gathered
information on exposure, treatment received, and outcome through patient
questionnaires and medical record review. An exposure severity rating was
assigned to each patient based on description of exposure, distance from
derailment, and duration of exposure. A case involving death or hospitalization >
or = 3 nights was classified as a severe medical outcome. Logistic regression was
used to examine factors associated with severe medical outcomes. RESULTS: Nine
people died, 72 were hospitalized in nine hospitals, and 525 were examined as
outpatients. Fifty-one people (8%) had a severe medical outcome. Of 263 emergency
department visits within 24 hours of the incident, 146 (56%) were in Augusta,
Georgia; at least 95 patients arrived at facilities in privately owned vehicles.
Patients with moderate-to-extreme exposure were more likely to experience a
severe medical outcome (relative risk: 15.2; 95% confidence interval 4.8, 47.8)
than those with a lower rating. CONCLUSIONS: The rapid investigation revealed
significant morbidity and mortality associated with an accidental release of
chlorine gas. Key findings that should be addressed during facility, community,
state, and regional mass-casualty planning include self-transport of symptomatic
people for medical care and impact on health-care facilities over a wide
geographic area.
PMID- 18051673
TI - Lessons learned from the 1918-1919 influenza pandemic in Minneapolis and St.
Paul, Minnesota.
PMID- 18051672
TI - Evaluation findings from the Institute for Public Health and Faith
Collaborations.
AB - OBJECTIVE: The Institute for Public Health and Faith Collaborations sought to
cultivate boundary leadership to strengthen collaboration across religious and
health sectors to address health disparities. This article presents findings from
an evaluation of the Institute and its impact on participating teams of faith and
public health leaders. METHODS: . Self-administered surveys were completed by
participating team members (n = 243) immediately post-Institute. Semistructured
telephone interviews were conducted with at least one health and one faith leader
per team six to eight months after the Institute. RESULTS: Significant self
reported improvement occurred for all short-term outcomes assessed, with the
largest increases in describing organizational frames and why they are important
for community change, and understanding the role of boundary leaders in community
systems change. Six months after the Institute, participants spoke of
inspiration, team building, and understanding their own leadership strengths as
important outcomes. Leadership growth centered on functioning in groups, making a
change in their work, a renewed faith in self, and a renewed focus on applying
themselves to faith/health work. Top team accomplishments included planning or
implementing a program or event, or solidifying or sustaining a collaborative
structure. The majority felt they were moving in the right direction to reduce
health disparities, but had not yet made an impact. CONCLUSIONS: Results suggest
the Institute played a role in helping to align faith and health assets in many
of the participating teams.
PMID- 18051674
TI - A model for enhancing evidence-based capacity to make informed policy decisions
on the introduction of new vaccines in the Americas: PAHO's ProVac initiative.
PMID- 18051675
TI - Massachusetts et al. v Environmental Protection Agency: implications for public
health policy and practice.
PMID- 18051676
TI - [Thyroid cancer incidence among Chernobyl emergency workers: follow-up period
1986-2003].
AB - A vast amount of research articles devoted to the increase in childhood thyroid
cancer incidence in the most contaminated by radionuclides territories of
Belarus, Russia and Ukraine affected by the Chernobyl accident were published
recent years. However, the amount of research studies of thyroid cancer incidence
among the Chernobyl emergency workers (liquidators) is quite scanty. In the
article results of the study of thyroid cancer incidence in the cohort of the
Chernobyl liquidators (103427 persons) residing in 6 administrative regions of
Russia (North-West, Volgo-Vyatsky, Central-Chernozemny, Povolzhsky, North
Caucasus and Urals) are described and discussed. For the period 1986-2003 eighty
seven cases of thyroid cancer were detected in the cohort. Statistically
significant excess of the incidence among liquidators over baseline incidence,
SIR = 3.39 (95% CI: 2.73; 4.16), among men of Russia has been found. The highest
thyroid cancer incidence (SIR = 6.49) was registered among liquidators who had
been involved in mitigation works during April-July 1986. At the same time no
statistically significant relationship between the incidence rate and external
radiation dose, ERR = 1.68 (95% CI: -0.95; 6.46), was detected.
PMID- 18051677
TI - [Postnatal effects in children irradiated during the intra-uterine development,
as a result of failure at the Chernobyl NPP].
AB - Somatic and genetic effects at children who have undergone the influence of
ionizing radiation during in utero development and at the subsequent stages
ontogenesis are investigated, in view of dozes of the general irradiation and
equivalent dozes of an irradiation of red bone brain. 1144 children were
examined: 1st group consisted of children who have been born from women pregnant
at the moment of failure, evacuated from Pripyat; 2nd group included children who
have been born from women pregnant at the moment of failure, stayed to live in a
zone of the strict radiation control; 3rd group was the control group--children
who have been born in 1986, living in safe region of the Ukraine according to
radiation conditions. The presence of direct correlation link between quantity of
small anomalies of the development and the total radiation dose of the fetus (R =
0.61, p < 0.002) and inverse link with fetus age at the moment of radiation
factor effect (R = -0.53, p < 0.003) has been established. Significant
correlation links between the total radiation dose and the level of children
health (R = 0.45, p < 0.03); the equivalent radiation dose of the red bone marrow
and the frequency of damages in the chromosomal apparatus of the somatic cells
(1st group--R = 0.51, p < 0.02; 2nd group--R = 0.62, p < 0.002) have been
revealed.
PMID- 18051679
TI - [Development of radioadaptive properties for microscopic fungi, long time located
on terrains with a heightened background radiation after emergency on Chernobyl
NPP].
AB - In 7 species of micromycetes which were isolated from radioactive contaminated
areas of Chernobyl NPP zone under exposure of two artificial sources: y-low
energy (121Sn) and radiation mix type gamma + beta (137Cs). Two new earlier
unknown radioadaptive properties for fungi--radiotropism and radiostimulation
were established. The strains, which were isolated from clean areas, did not have
such features. All investigated strains, which have shown positive radiotropism
at the same time, have shown stimulation of conidia germination and of length of
the emergent hyphum under exposure to one of sources of radiation. It was shown
that micro fungi having radioadaptive properties, the adaptive response to high
(100-1000 Gy) ionizing radiation doses was found that is evidence of that these
strains have high radio resistance level. Nevertheless fungal strains have shown
varied response to presence of ionizing radiation depending on its type and
absorbed dose.
PMID- 18051678
TI - [The estimation of 90Sr and 137Cs excretion parameters from the organism of wild
and laboratory small mammals in vivo, after natural contamination of them in the
Chernobyl zone].
AB - In the course of laboratory experiment, parameters of 90Sr and 137Cs excretion
were estimated in individuals of bank vole Clethrionomys glareolus, captured at
one of the most contaminated sites of the Chernobyl zone. The animals were kept
under laboratory conditions using "clean" feed during 50 days. The similar
investigation was carried out with laboratory mice Mus musculus (Big Blue line)
during a longer period (184 days). The measurements of 90Sr content in the
animals' body were in vivo carried out, using a specially designed beta
spectrometer with appropriate software, and 137Cs one--by the gamma-spectrometer.
During the experiment, the animals had lost less 0.4% of activity due to physical
decay of radionuclides. The organism was depurated mainly through biological
excretion. In accordance with parameters of one-component exponential decay
equation, 99.3% of 137Cs initial content in vole was excreted with half-life
period of 2.18 days, and mice--4.4 days (99%). 90Sr excretion had longer half
life period: 11.7 days (56%) in voles, and 49.9 days (87%) in laboratory mice.
The rest radionuclides amount of given model was considered as non-excreted from
the organism during the observation period. It was determined on the example of
voles that 90Sr and 137Cs loss in males was faster than in females, and among
females more intensive excretion was in lactating females. 137Cs excretion from
the body of bank vole is mainly with urine (74.7%), whereas 90Sr one--with feces
and urine in approximately equal amounts. Due to the birth of babies and
consequent feeding female lose appreciably less amount of radionuclides body
burden than at daily loss with urine and feces.
PMID- 18051680
TI - [The adaptive response of first generation offsprings irradiated parents].
AB - In offspring's of first generation irradiated inhabitants of Techa river
(fathers, mothers and both parents) the spontaneous level of damaged blood
lymphocytes, sensitivity of lymphocytes to the additional acute irradiation in
dose 1.0 Gy and radioinduced adaptive response after adaptive (5 cGy) and
challenge (1.0 Gy) irradiation 5 h after was studied. The micronuclei test with
cytochalasin B as a criteria of the effect have been used. It was shown, that
descendents of irradiated parents differ from the control group. The main
difference is the significant decrease of the adaptive response frequency in the
progeny. In the offspring's of the irradiated fathers and mothers there is no one
individuals with the adaptive response; in the offspring's of both irradiated
parents the frequency of individuals with adaptive response decreases in control
from 19.5% to 6.8%. The distribution of descendents according to response on
adaptive irradiation differ significantly from the control distribution and from
the each other. And the tendency to the radiosensitivity increase after adaptive
irradiation was observed. In the whole joint group of progeny the mean
spontaneous cell frequency with micronuclei decreased, but the sensitivity of
lymphocytes to the additional acute irradiation doesn't differ from the control.
The results of the paper permit to suppose that transgenerational genome
instability in human can be determined. Earlier discovered decrease of the
adaptive response frequency in the Techa river livings is observed in the
offspring's of irradiated fathers, mothers and both parents.
PMID- 18051681
TI - [The effects of chronic radiation exposure on the frequency of mutations at
minisatellite DNA loci in residents of the Techa Riverside Villages].
AB - The frequency of mutations at eight minisatellite DNA loci (B6.7, CEB1, CEB15,
CEB25, CEB 36, MS1, MS31 and MS32) in peripheral blood cells were assessed for
exposed residents of the Techa riverside villages as a function of individual
exposure doses. The frequency of minisatellite mutations was found to be
significantly higher in male gametes than in female ones; no clear-cut dose
effect relationship was traced. There was no evidence of dependence of mutation
frequency on exposure dose rates in the year of conception, the offspring's
intrauterine red bone marrow dose and soft tissues doses.
PMID- 18051682
TI - [The phenomenon of the single-strand DNA breaks level decrease in blood system
cells in first generation chronically irradiated mice].
AB - For the first time has been shown experimentally that chronic low dose-rate gamma
radiation (0.04 mGy/hr) exposure leads to decrease of the single-strand DNA
breaks level in spleen cells in 2.3 times (p < 0.001) and blood leukocytes in 6.1
times (p < 0.01), a decrease of the apoptotic cells frequency in 1.3 times (p <
0.05) and an increase in the spleen relative mass ratio B 1.2 times (p < 0.001)
in CBA mice offspings (F1) from the chronically irradiated parents and exposed to
chronic irradiation during the embryonic and postembryonic periods. A hypothesis
about the more compact chromatin structure of blood system cells in the
individuals of the first generation from chronically irradiated mice is proposed.
PMID- 18051683
TI - [The influence of low doze gamma-irradiation on life span of Drosophila mutants
with defects of DNA damage sensation and repair].
AB - It was shown that life span of wild type strain Canton-S increased after low doze
gamma-irradiation. It was revealed the decrease of life span after irradiation in
Drosophila mutants with defects of DNA damage sensation and repair genes mei-9
and mei-41, both in homozygous and heterozygous lines. In mei-41 line males'
lives longer than females in contrast to other lines.
PMID- 18051684
TI - [The effect of low-dose rate radiation simulating the high-altitude flight
conditions on mice in vivo].
AB - In present work, we investigated the peculiarities of the effect of a low-dose
rate high-LET radiation that simulates the spectral and component composition of
the radiation field formed in the atmosphere at a height of 10 km on mice in
vivo. The dose dependence and adaptive response were examined. Irradiation of
mice was performed for 24 h a day in the radiation field behind the concrete
shield of the Serpukhov accelerator of 70 GeV protons for the time (15-31 days)
necessary to accumulate the required doses. The experiments demonstrated that
irradiation of mice in vivo in the dose range of 11.5-31.5 cGy leads to an
increase in cytogenetic damage to bone marrow cells and induces no adaptive
response in bone marrow cells.
PMID- 18051685
TI - [The hormonal and genetic effects induced by an irradiation in small dozes at
Tradescantia (a clone 02)].
AB - The purpose of the present work was studying the possible interrelation of the
hormonal status of plants and size of the genetic effects induced by an
irradiation in small dozes. The frequency of somatic mutations in strings
Tradescantia (a clone 02) at an irradiation in dozes up to 28 cGy was estimated.
Influence radiations in a range from background up to 28 cGy on the maintenance
in inflorescences Tradescantia (a clone 02) the basic groups of plant hormones is
investigated: abscisic acid, cytokinin, auxin and gibberellin A3. It is shown,
that small dozes of an irradiation cause extremely radical changes of hormonal
balance in fabrics of inflorescences Tradescantia. Received results are discussed
with attraction of the data on influence phytohormones on kinetics a cellular
cycle.
PMID- 18051686
TI - [The recovery parameters of DNA strand breaks of Ehrlich ascites cells after the
combined action of ionizing radiation and hyperthermia].
AB - A mathematical model of DNA strand breaks postirradiation repair and the
methodology allowing to differentiate the mechanism of inhibition of DNA strand
breaks recovery after combined actions of ionizing radiation and hyperthermia
have been described in this paper. Using this model and the results published by
other authors for DNA strand breaks of Ehrlich ascites cells, there have been
obtained the data showing that the portion of DNA-damages that the cell incapable
to recover after consecutive thermoradiation action was risen with an increase in
thermal load under insignificant change of repair constant. It means the
mechanism of DNA strand breaks recovery inhibition is realized in a greater
extent through the formation of irreversible damages but not through the damage
of repair process itself.
PMID- 18051687
TI - [The prognosis of the portion of irreversible radiation damages after
simultaneous action of hyperthermia and ionizing radiation on yeast cells].
AB - The results of experimental research of diploid yeasts cells survival after
simultaneous action of hyperthermia and ionizing radiation (60Co) have been
described. It was shown that the cell ability to liquid holding recovery
decreased with an increase in the temperature, at which the exposure was carried
out. due to the increase in the irreversible component determining the relative
part of radiation damage which cells are incapable to recover. To predict
theoretically the relative part of irreversible radiation damage after combined
action, the mathematical model was suggested taking into account the synergistic
interaction of agents. Good correlation between experimental results and model
prediction was demonstrated. The importance of the results obtained for the
interpretation of the mechanism of synergistic interaction of various factors is
discussed.
PMID- 18051688
TI - [Study of dose-rate effects of neutron radiation in a wild-type and a repair
deficient yeasts saccharomyces].
AB - We report here a comparative analysis of RBE for lethality of a single pulse
(duration 65 micros) of fast neutron with ultra high dose rates (up to 6 x 10(6)
Gy/s) and continuous neutron radiation (3.6 x 10(3) s) of the pulse reactor BARS
6. Three diploid strains, one haploid strain and three diploid repair-deficient
strains (rad52-1/rad52-1; rad54/rad54; rad2/rad2) were used. The RBE values
(D(0gamma)/1D(0n)) of a single pulse and continuous neutron irradiation were
equal (1.7-1.8) with maximum RBE (4.1-3.1) in region of low doses (shoulder
region). Haploid cells were found to be more (3 times) sensitive to both gamma
rays and neutrons than the wild type. There was no obvious decrease in the RBE of
1.9 in highly sensitive haploid cells as compared with highly resistant diploid
cells. The repair-deficient strains (rad52-1/rad52-1; rad54/rad54) were more (up
to 10 fold) sensitive to both neutrons and gamma-rays as compared with their
parent line. The RBE values of 1.5-1.7 of neutrons for these mutants (independent
by of the mode of irradiation) were found. The repair-deficient mutant rad2/rad2
had similar sensitivity as a wild type and a RBE value was 2.0. We have concluded
that biological effectiveness of the neutrons of pulse reactor BARS-6 was
independent of the dose-rate, differing up to 10(8) fold. The RBE didn't vary
significantly with the capacity of cells to repair DNA damages.
PMID- 18051689
TI - [The assessment of biocenosis contamination in the region of radioactive waste
storage (RWS) placing and influence of this storage on rodents].
AB - The results of radiative and of chemical monitoring show definite contamination
of this zone by 90Sr and toxic metals. The essential local contaminations of
geosystems (up to 2.3 x 10(4) Bk/kg of soil) require in environmental condition
assessment at biocenosis level. Biotesting found the increase of
metallothioneines levels in kidney (up to 15.63 microg/g of tissue) and liver (up
to 19.22 microg/g of tissue) of rodents inhabited in the region of RWS placing as
compared with the control group (3.51 and 4.44 microg/g of tissue accordingly).
Besides, the decrease of total quantity of leucocytes (by 14.5% as compared with
the control group) and absolute quantity all forms of them in animal blood were
noted. It was assumed the increase of protein--MT is the result of complex
influence by ionizing radiation and toxic metals.
PMID- 18051690
TI - [The concentration and distribution of 137Cs in soils of forest and agricultural
ecosystems of Tula Region].
AB - The paper deals with a comparative study of 137Cs contamination in forest, old
arable and cultivated soils of Tula Region. Initial interception of Chernobyl
derived 137Cs is higher in forest ecosystems: oak-forest > birch-forest > pine
forest > agricultural ecosystems. Vertical migration of 137Cs in deeper layers of
soils was intensive in agricultural ecosystems: cultivated soils > old arable
soils > birch-forest soils > oak-forest soils > pine-forest soils. In study have
been evaluated spatial variability of 137Cs in soil and asymmetrical
distribution, that is a skew to the right. Spatial heterogeneity of 137Cs in
agricultural soils is much lower than in forest soils. For cultivated soil are
determined the rate of resuspension, which equal to 6.1 x 10(-4) day(-1). For
forest soils are described the 137Cs concentration in litter of different
ecosystems. The role of main accumulation and barrier of 137Cs retain higher
layers of soils (horizon A1(A1E) in forest, horizon Ap in agricultural
ecosystems) in long-term forecast after Chernobyl accident.
PMID- 18051691
TI - [The accumulation of radionuclides 137Cs and 90Sr by different kinds and grades
legumes crops].
AB - The decision of protein problem on contaminated by radionuclides territories as a
result of the accident on Chernobyl NPP is not possible without increase of the
sowing areas legumes crops (peas, lupine, soybean). Introduction in agricultural
manufacture of grades with a low level of accumulation radionuclides (peas--Aist,
Polesskay, Gomelskay Agat; soybean--Severnaya zvezda, Mageva) will allow to
receive agricultural production adequate "Republican allowable levels of the
contents of 137Cs and 90Sr in agricultural raw material and forages" on arable
lands at their higher density of radioactive contamination: 137Cs--1300-1480
kBq/m2 (35.0-40.0 Ci/km2): 90Sr--18.5-37.0 kBq/m2 (0.50-1.00 Ci/km2).
PMID- 18051692
TI - Every day brings a first impression.
PMID- 18051693
TI - Just a minute.
PMID- 18051694
TI - A tribute to the Calgary Family Nursing Unit: lessons that go beyond family
nursing.
PMID- 18051695
TI - Darwin's surprise: why are evolutionary biologists bringing back extinct deadly
viruses?
PMID- 18051696
TI - Poverty and human development.
PMID- 18051697
TI - Clinical utility of B-type natriuretic peptide in early severe sepsis and septic
shock.
AB - B-type natriuretic peptide (BNP) has diagnostic, therapeutic, and prognostic
utility in critically ill patients. For severe sepsis and septic shock patients
in particular, similar clinical utility from the most proximal aspects of
hospital presentation to the intensive care unit has not been examined. BNP
levels were measured at 0, 3, 6, 12, 24, 36, 48, 60, and 72 hours in 252 patients
presenting to the emergency department with severe sepsis and septic shock. The
clinicians were blinded to the BNP levels. Elevated BNP levels (>100 pg/mL) were
seen in 42% and 69% of patients on presentation and at 24 hours, respectively.
Elevated BNP ranges (>230 pg/mL) were significantly associated with myocardial
dysfunction and severity of global tissue hypoxia. When adjusted for age, gender,
history of heart failure, renal function, organ dysfunction, and mean arterial
pressure, a BNP greater than 210 pg/mL at 24 hours was the most significant
independent indicator of increased mortality: odds ratio 1.061 (1.026-1.097), P <
.001, 95% confidence interval. Patients with severe sepsis and septic shock often
have elevated BNP levels, which are significantly associated with organ and
myocardial dysfunction, global tissue hypoxia, and mortality. Serial BNP levels
may be a useful adjunct in the early detection, stratification, treatment, and
prognostication of high-risk patients.
PMID- 18051698
TI - The effect of wild type P53 gene transfer on growth properties and tumorigenicity
of PANC-1 tumor cell line.
AB - BACKGROUND: The p53 protein function is essential for the maintenance of the
nontumorigenic cell phenotype. Pancreatic tumor cells show a very high frequency
of p53 mutation. To determine if restoration of wild type p53 function can be
used to eliminate the tumorigenic phenotype in these cells, pancreatic tumor cell
lines, PANC-1 and HTB80, differing in p53 status were stably transfected with
exogenous wild type p53 gene. METHODS: The transfection was performed using
Polybrene/DMSO-Assisted Gene Transfer method. The wild type p53 gene integration
into genomic DNA was detected by Southern blot and PCR. Furthermore, the
expression of wild type p53 protein was detected in selected clones by
immunohistochemistry and Western blot. RESULTS: While HTB80 cell line failed to
produce a stable p53 expressing clone, the PANC-1 cells produced stable lines.
Following characterization of clones, the growth rate and tumorigenicity of PANC
1 wild type p53 clones were compared to the control cells. Our data showed that
the expression of wild type p53 decreased the growth rate of PANC-1 cells. It was
also observed that the expression of wild type p53 in PANC-1 cells suppressed its
potential for tumor formation in nude mice, completely, while the parental line
leads to the formation of a relatively large tumor. CONCLUSION: Our results
suggest that gene therapy based on restoration of wild type p53 protein function
in pancreatic tumor cells with high amount of mutant p53 is a feasible option in
pancreatic cancer treatment.
PMID- 18051699
TI - Differential expression of rheumatoid factor-associated cross-reactive idiotypes
in Iranian seropositive and seronegative patients with rheumatoid arthritis.
AB - INTRODUCTION: High levels of rheumatoid factors (RF) are detectable in serum of
the majority of patients with rheumatoid arthritis (RA), but 5-10% of patients
remain seronegative (SN). Despite clinical and genetic similarities between these
two subsets of RA, it has been proposed that they may be regarded as distinct
clinical entities. METHODS: In the present study a panel of monoclonal antibodies
(mAb) recognizing RF-associated cross-reactive idiotypes (CRI) linked to the VH1
(G8), VH4 (LC1), VK3b (17-109) and a mAb recognizing the VK3 subgroup (C7) of
immunoglobulin variable region (IgV) gene products were used to quantitate the
level of expression of these gene products in serum and synovial fluid of 35
seropositive (SP) and 8 SN RA patients by capture ELISA. RESULTS: While the
concentration and relative proportion of the IgV are recognized by the mAb G8, 17
109 and C7 were significantly higher in serum and synovial fluid of the SP RA,
compared to the SN-RA patients (G8, p = 0.009; 17-109, p = 0.0001; C7, p =
0.001). The CRI recognized by the mAb LC1 was highly represented in serum and
synovial fluid of the SN-RA patients. There have been no significant differences
in the level of expression of these IgV gene products (other than the product
recognized by C7 mAb in SP patients) between serum and synovial fluid of either
group of patients. CONCLUSION: Our results suggest that the expressed repertoire
of Ig VH and VK genes in these two subsets of RA is differentially regulated and
may be influenced by selective mechanisms leading to positive or negative
selection of certain genes.
PMID- 18051700
TI - Assessment of morphological and functional changes in the mouse testis and
epididymal sperms following busulfan treatment.
AB - BACKGROUND: Busulfan, a cytotoxic drug, which is currently used as a
chemotherapeutic agent, has many side effects on different body organs. In this
research, the effects of busulfan on sperm parameters and microstructure of mouse
testis were investigated. METHODS: Busulfan was injected intrapretoneally at 10,
20, 30, 40 and 50 mg/kg and testes were removed after 4, 6 and 8 weeks, weighed
and processed for light microscopic examination. Transverse and cross section
diameters of testes, seminiferous tubules diameters, percentage of different
types of tubules, epithelium thickness, spermatogenic cell numbers and capsule
thickness as well as the sperm parameters in epididymis were measured. RESULTS:
There was a significant decline in sperm numbers and marked changes in testes
structures. Almost 8 weeks after the injection of drug, some of the changes are
reversed. Accordingly, the changes in percent of normal tubules without sperm,
abnormal tubules and capsule thickness were increased until 6 weeks of drug
administration, the changes declined thereafter. CONCLUSION: In general, busulfan
caused a decrease in all analyzed parameters (except capsule thickness, normal
tubules without sperm and abnormal tubules), probably due to the arrest of
spermatogenesis. Our results also revealed that some of the changes are
reversible and dose dependent.
PMID- 18051701
TI - Identification of Mycobacterium tuberculosis CTL epitopes restricted by HLA
A*0201 in HHD mice.
AB - BACKGROUND: CD8 T cells are thought to play an important role in protective
immunity to tuberculosis. The major histocompatibility complex class I subtype
HLA-A*0201 is one of the most prevalent class I alleles, with a frequency of over
30% in most populations. HLA-A*0201 transgenic, H-2D(b)/mouse beta2-microglobulin
double-knockout mice (HHD) which express human HLA-A*0201 but no mouse class 1,
was shown to provide a powerful model for studying induction of HLA-A*0201
restricted immune responses in vivo. METHODS: HHD mice were immunized with
plasmid DNA encoding MPB51 by using a gene gun, and IFN-gamma production from the
immune spleen cells was analyzed in response to a synthetic overlapping peptide
library covering the mature MPB51 sequence. catatonic T lymphocytes (CTL)
activity was measured using cytotoxicity assay and the three-color flowcytometry
was used to reveal IFN-gamma-producing immune spleen cells. RESULTS: Our findings
were shown that only one peptide, p51-70, appeared to stimulate the immune
splenocytes to produce IFN-gamma. Flow cytometric analysis with intracellular IFN
gamma and the T-cell phenotype revealed that the p51-70 peptide contains an
immunodominant CD8+ T-cell epitope. Further analysis with computer-assisted
algorithms permitted identification of a T-cell nona mer epitope, p54-62.
Finally, we proved that the p54-62/HLA-A*0201 complex is strongly recognized by
HLA class I-restricted CD8+ MPB5 1-specific CTL cells. CONCLUSION: These results
suggest that vaccination with MPB51 gene elicited MPB51-specific CTL. In
addition, the P54-62 epitope thus represent potential subunit component for the
design of vaccines against tuberculosis.
PMID- 18051702
TI - Depletion of serotonin synthesis with p-CPA pretreatment alters EEG in urethane
anesthetized rats under whole body hyperthermia.
AB - BACKGROUND: Serotonin is believed as an important factor in brain function. The
role of serotonin in cerebral psycho-patho-physiology has already been well
established. However, the function of serotonin antagonist in anesthetized
subjects under hyperthermia has not been studied properly. METHODS: Experiments
were performed in three groups of urethane-anesthetized rats, such as: (i)
control group, (ii) whole body hyperthermia group and (iii) p-CPA (para
Chlorophenylalanine) pretreated hyperthermia group. Hyperthermia was produced by
subjecting the rats to high ambient temperature of 38 +/- 1 degrees C (relative
humidity 45-50%). Each group was divided for EEG (electroencephalogram) study and
for determination of edematous swelling in the brain. RESULTS: Urethane
anesthetized rats under hyperthermia show highly significant reduction in their
survival time. The body temperature recorded during the hyperthermia was observed
with significant and linear rise with marked increase in brain water content,
which was analyzed just after the death of the subjects. The results of the
electroencephalographic study in urethane-anesthetized rats recorded before death
indicate that brain function varies in systematic manner during hyperthermia as
sequential changes in EEG patterns were observed. However, a serotonin
antagonist, p-CPA pretreatment increases the survival time with significant
reduction in edematous swelling in brain but it does not affect the relationship
between the core body temperature and the brain cortical potentials as observed
in urethane anesthetized subjects exposed to whole body hyperthermia. The core
body temperature in p-CPA pretreated rats show non-linear relationship with
respect to the exposure time as it was observed in drug untreated subjects.
CONCLUSION: The findings of the present study indicate that although pretreatment
of p-CPA in rats has a marked correlation between the extravasations of the blood
brain barrier under hyperthermia but shows minimum effect on the EEG in a model
of hyperthermia under irreversible anesthesia.
PMID- 18051703
TI - A study of the oxidation-induced conformational and functional changes in
neuroserpin.
AB - BACKGROUND: Neuroserpin, a member of the Serine Proteinase Inhibitor (Serpin)
superfamily, is known to be a neuroprotective factor in the focal ischemic stroke
followed by reducing the microglial activation. Neuroserpin is a protein rich of
methionine residues that can scavenge the free radical species which may increase
its neuroprotective effect. On the other hand, the oxidative modifications of the
amino acid residues in neuroserpin may lead to changes in its conformation and
function. In this study, it was investigated the changes in the conformation and
the function of the oxidized neuroserpin. METHODS: Neuroserpin expressed in E.
coli, BL21 or M15 harboring plasmid pQE81L containing neuroserpin cDNA. Expressed
neuroserpin was purified by resin sulfopropyl A50 precharged with 0.1 M NiSO4
under denaturing condition. Neuroserpin was oxidized under oxidative stress
condition in the presence of different concentration of hydrogen peroxide. The
oxidation of neuroserpin was conveniently detected by a carbonyl content assay
using 2, 4 dinitrophenylhydrazine. Changes in tertiary structure of neuroserpin
were monitored by spectrofluorimeter to study the alteration of intrinsic
fluorescence and also fluorescence of 8-anilinonaphthalin-1 sulfonic acid (ANS)
in native and oxidized form of neuroserpin. RESULTS: Total expressed neuroserpin
was estimated 4-5 mg/lit in 2XYT culture media. SDS-PAGE analysis of purified
neuroserpin showed a single band which reflects the efficiency of the resin SP
A50 for purification of the proteins containing 6xHis tag. Carbonyl content of
oxidized and native neuroserpin was estimated 12.3 +/- 0.3 and 0.45 +/- 0.05,
respectively. The inhibitory activity of oxidized neuroserpin decreased up to 40
60% as compared with native form of neuroserpin. Intrinsic fluorescence and also
the emission of ANS bind to the hydrophobic region of the protein altered from
380 to 85 and in the case of ANS from 105 to 150 in oxidized and native form of
neuroserpin, respectively. CONCLUSION: The decreased intrinsic fluorescence
intensity, an enhancement in the fluorescence of ANS, and loss of the inhibitory
activity up to 40-60% in neuroserpin, all suggested a conformational modification
in the protein under the oxidative stress condition. Remaining the inhibitory
activity of neuroserpin reflects that the protein tolerates the oxidative stress
condition effectively.
PMID- 18051704
TI - Comparing invasive and non-invasive of isolated Shigella flexneri by electron
microscopy of cell culture, SDS-PAGE and Congo red method.
AB - BACKGROUND: The aim of this study was to compare invasive and non-invasive
strains of Shigella flexneri isolated from Tehran by a 120 kDa protein band by
SDS-PAGE, electron microscopy of cell culture and Congo red dye methods. METHODS:
S. flexneri strains were isolated by standard bacterial methods from fecal
specimens of children attending to the 3 children's hospitals. Phenotype analysis
for screening virulent of strains of S. flexneri was done on a plate of tryptic
soy agar contained 0.003% Congo red dye. Whole membrane protein preparations were
used to examine the protein profiles of the inner and outer membrane of these
Gram-negative bacteria. The protein mixture was electrophoresed through a
polyacrylamide gel. The gel was stained with Coomassie brilliant blue R250 and
destained with ethanol and acetic acid. HeLa cell culture was done by two-step
preparations: one for light microscopy and the other for electron microscopy.
RESULTS: Some of S. flexneri (46%) were Congo red positive colonies. S. flexneri
with negative Congo red phenotype could not enter the HeLa cell culture. A 120
kDa protein band was found in 46% of these bacteria which could enter into HeLa
cell culture. Pseudopod structures which facilitate bacterial cell-to-cell spread
were readily identified by electron microscopy. DISCUSSION: Since the existence
of 120-kDa protein band was corresponded to enter of S. flexneri into the HeLa
cell culture and correlated with Congo red dye positive, for identification of
invasive and non-invasive S. flexneri strains, the use of a 120-kDa protein band
by SDS-PAGE or a simple, rapid and very cheap Congo red dye method is
recommended. Because, there are some deaths due to Shigella sp. in our country,
notification on the isolation of these bacteria in both children hospitals
laboratories and private clinical laboratories is important.
PMID- 18051705
TI - Intercellular trafficking of VP22, a herpes simplex virus type 1 tegument
protein.
AB - BACKGROUND: The herpes simplex virus type 1 (HSV-1) tegument protein, VP22 has
been reported to have the property of intercellular transport. The previous
studies have shown that following expression of a fusion protein containing VP22;
it spreads to every cell in a monolayer and concentrates in the nucleus. In spite
of these reports, some studies have shown that VP22 trafficking and its nucleus
accumulation is an artifact and no improvement in translocation of proteins fused
to VP22 has been detected. METHODS: To better understand about VP22
translocation, VP22-GFP (Green Fluorescent Protein) vector was constructed and
its nuclear accumulation, transportation to the nomtransfected cells and
translocation between different cell types were studied by fluorescent
microscope. RESULTS: VP22-fusion protein was detected in nontransfected cells
which in some of them the fusion protein was shown in nucleus. CONCLUSION: The
results demonstrated that VP22 can easily transport between different cells but
nuclear accumulation of the protein is not common in all of the recipient cells.
PMID- 18051706
TI - Distribution of hepatitis B virus (HBV) genotypes among HBV carriers in Isparta.
AB - BACKGROUND: The aim of this study was to investigate the prevalence of hepatitis
B virus (HBV) genotypes in Isparta, Southwest of Turkey, as well as the clinical
features and transmission route for patients with HBV infections. METHODS:
Patients (n = 135) with HBV infection were included in the study. Epidemiological
and clinical data were obtained. HBV genotypes were determined with a preS2
epitope ELISA kit. RESULTS: Although the HBV transmission route remained
unidentified in 51.1% of the patients, blood contact was determined as the most
common probable transmission route (38.5%). One hundred twenty-four (91.8%) of
135 samples, could be genotyped. One hundred fifteen (85.1%) were genotyped as
type D/E, six (4.4%) were genotyped as type A, two (1.4%) were genotyped as type
C, and one (0.7%) were genotyped as type F. CONCLUSION: Genotype D/E is
determined as the predominant HBV genotype circulating in Isparta, Southwest of
Turkey. No relationship between genotypes and disease severity and transmission
route has been detected.
PMID- 18051707
TI - The effect of Teucrium polium (Calpoureh) on liver function, serum lipids and
glucose in diabetic male rats.
AB - BACKGROUND: Teucrium polium is an analgesic, antidiabetic and antilipeidemic
herbal medicament. The aim of this survey was to evaluate the effect of aqueous
extract T. polium on liver enzymes linked to liver dysfunction, serum lipids and
glucose, in diabetic male rats. METHODS: A total of 20 Sprague-Dawly male rats
became diabetic by intraperitoneal injection of streptozotocin (60 mg/kg). the
animals were divided randomly into two groups. Experimental group was fed
Teucrium polium (50 mg/kg) for a month but control group was received the same
volume of distilled water. Liver enzymes, biochemical parameters (cholesterol,
triglyceride, low density lipoprotein, alanine transaminase, aspartae
transaminase) and glucose were measured by kinetic (Enzymatic) and colorimetric
methods. Data obtained were analyzed and mean values were compared by paired
student's t-test. The results were expressed as mean +/- SD. Significant
differences were set at P < 0.05. RESULTS: Our results showed that in test group,
serum glucose values decreased significantly (P < 0.05), but cholesterol,
triglyceride, low density lipoprotein, alanine transaminase and aspartae
transaminase increased significantly after use of T. polium (P < 0.05). This
parameters value did not show any changes in control group. CONCLUSION: Although
the aqueous extract of Teucrium polium has strong hypoglycemic properties in
experimental animals, but because of some hepatotoxic effects, it is not suitable
to use it in human as an antidiabetic agent.
PMID- 18051708
TI - [Modern prevention strategies of cervical cancer].
AB - Cervical cancer is the most common malignancy of the female genital tract. Its
incidence is still increasing with lower average onset age. Mass screening should
be above prevention and treatment, and three screening programs, including the
optimal program, the general program, and the basic program, are currently
adopted in China. Cervical intraepithelial neoplasia (CIN) , a precancerous
lesion, can be confirmed by the combined use of cytology, colposcopy, and
histology and then managed with standardized approach. Human papillomavirus (HPV)
infection is an essential factor during the development of cervical cancer, and
persistent infection of high-risk HPVs may lead to CIN and subsequently develop
to cervical cancer. High-risk HPV detection can be used for screening,
differentiation of the atypical squamous cells of undetermined significance/ low
grade squamous intraepithelial lesion (ASCUS/LSIL) triage, and follow-up after
treatment. The modern strategy of HPV infection is "to treat the disease, CIN,
means to treat the virus, HPV". The licensing of HPV vaccine is an important
event in cancer prevention, and this vaccine can be used for the primary
prevention. However, early diagnosis and early treatment are still the most basic
strategies for cervical cancer prevention and treatment.
PMID- 18051709
TI - [Highly efficient expression of codon-optimized human papillomavirus 16 L2E7 gene
in Escherichia coli].
AB - OBJECTIVE: To enhance the expression level of human papillomavirus (HPV) 16 L2E7
in Escherichia coli (E. coli), in aim of providing high-level expression of HPV16
L2E7 strain for pre-clinical high-throughout production. METHODS: The whole L2E7
gene was optimized by software of Synthetic Gene Designer, reflecting E. coli
codon usage. Two parts of codon-optimized gene were cloned into pET9a vector step
by step. The positive clone, which was sequenced to be corrected, was transfected
to BL21 (DE3+) via isopropyl-beta-D-thiogalactoside (IPTG) induction. They
produced the HPV16 L2E7 fusion protein, which was further detected by SDS-PAGE
and Western blot. The induction temperature, induction time, and IPTG
concentration were also optimized by a series of experiments. Further
purification modes of this protein were also explored. RESULTS: Codon-optimized
HPV16 L2E7 was highly expressed in E. coli. The target protein accounted for
nearly 60% of the total cell extract. CONCLUSION: High-level expression of HPV16
L2E7 was successfully constructed.
PMID- 18051710
TI - [Linkage of modified human papillomavirus type 16 E7 to CD40 ligand enhances
specific CD8+ T-lymphocyte induction and anti-tumour activity of DNA vaccine].
AB - OBJECTIVE: To develop human papillomavirus (HPV) 16 DNA vaccine for the treatment
of HPV16 infection and its related tumors. METHODS: HPV16 oncogene E7 was
modified by combined approaches including insertion and replication of specific
region of E7 gene, murine codon optimization, and point-mutation at transforming
regions of the E7 protein. The resulting artificial gene, named as mE7, was
obtained by gene synthesis. The mE7 gene was then genetically fused to murine
CD40 ligand (CD40L) by overlapping PCR to form the mE7/CD40L fusion gene. The
mE7/CD40L gene was inserted into pVR1012 plasmid and then immunized C57/BL6 mice
intramuscularly. The E7-specific IFN-gamma-secreting CD8+ T cells were analyzed
with EIISPOT, and E7-specific antibody was measured by indirect ELISA. FACS
assays were performed to analyze the activation of E7-specific Th cells. Mice
were vaccinated, followed by tumor challenged or challenged before immunization.
Tumor growth was observed. RESULTS: The mE7 DNA vaccine elicited an increased E7
specific antibody level (P < 0.01), E7-specific IFN-gamma-secreting CD8+ T (P <
0.01), and CD4+ T cells number (P < 0.05), compared with those of mice immunized
with wE7 gene. Furthermore, the mE7/CD40L DNA vaccine elicited an increased
number of E7-specific IFN-gamma secreting CD8+ T cell compared with that of mice
immunized with mE7 gene (P < 0.01); however, no significant differences were
found between mice immunized with the mE7 gene and mE7/CD40L fusion gene in the
E7-specific antibody production and Th cell activation. In the preventive
experiment, all mice received the mE7 or mE7/CD40L remained tumor-free 7 weeks
after challenges with TC-1 tumor cells, while the wE7 group exhibited tumor
growth within 2 weeks. In the therapeutic experiment, all the mice in the wE7
group exhibited tumor growth within 8 days, while among mice receiving the mE7
and mE7/CD40L, 30% and 45% of mice remained tumor-free after TC-1 challenge,
respectively. HE staining of tumor tissues showed copious lymphocytes
infiltration around tumor cells in mE7 and mE7/CD40L mice with regression of
tumor growth. CONCLUSIONS: The mE7 DNA vaccine increases the E7-specific humoral
and cellular immune responses, and the fusion of CD40L to mE7 gene enhances the
specific immune responses and anti-tumor effects against HPV16 E7-expressing
murine tumors. mE7/CD40L may therefore be a suitable and promising target for
HPV16 therapeutic vaccine.
PMID- 18051711
TI - [Relationship between human papillomavirus 16 infection and the expression of
p33(ING1b), human telomerase reverse transcriptase in cervical squamous cell
carcinoma of Uygur female in Xinjiang Uygur Autonomous Region].
AB - OBJECTIVE: To explore the relationship between human papillomavirus (HPV) 16
infection and the expression of p33(ING1b), human telomerase reverse
transcriptase (hTERT) in cervical squamous cell carcinoma of Uygur Female in
Xinjiang Uygur Autonomous Region. METHODS: Polymerase chain reaction (PCR) and
immunohistochemical methods were used to detect HPV16 infection and the
expression of p33(ING1b) and hTERT in the normal control group (n=12), the
patients with cervical intraepithelial neoplasm (CIN) (n=34), and the patients
with cervical squamous cell carcinoma (SCC) (n=50). RESULTS: In the cervical
tissues of Uygur female, the HPV16 infection rate was 0 in control group, 22.2%
in the CIN 1 group, 44.0% in CIN 2 & CIN 3 group, and 74.0% in SCC group (P =
0.000). The expression rate of p33(ING1b) decreased was 91.7% in control group,
77.7% in CIN 1 group, 68.0% in CIN 2 & CIN 3 group, and 36.0% in SCC group (P =
0. 000). The expression rate of hTERT was 50.0% in control group, 66.6% in CIN 1
group, 88.0% in CIN 2 & CIN 3 group, and 94.0% in SCC group (P = 0.000). In the
cervical tissues of Uygur female, the HPV16 infection rate was negatively
correlated with the expression of p33(ING1b) (r = -0.294, P = 0.004), and was
positively correlated with the expression of hTERT (r = 0.286, P = 0.005). The
expression of p33(ING1b) was negatively correlated with the expression of hTERT
(r = -0.361, P = 0.000). CONCLUSION: The infection of HPV 16 correlates with the
decreased expression of p33(ING1b) and increased expression of hTERT in the
cervical squamous cell carcinoma of Uygur female in Xinjiang.
PMID- 18051712
TI - [Comparative proteome analysis of human papillomavirus-infected cervical
specimens and the difference between the high- and low-risk genotypes of human
papillomavirus].
AB - OBJECTIVE: To perform an comparative proteome analysis of human papillomavirus
infected cervical specimens and to investigate different expressions between high
and low-risk genotypes. METHODS: The cervical specimens were divided into two
groups (cervical intraepithelial neoplasia group and condyloma acuminatum group)
according to their genotypes. Using comparative proteome technology, high-risk
human papillomavirus-infected cervical intraepithelial neoplasia, low-risk human
papillomavirus-infected condyloma acuminatum, and normal cervical intraepithelial
tissue were compared. The differential expression protein spots were identified
by mass spectrometry. RESULTS: Totally 26 differential spots were selected and
analyzed, and 22 peptide mass fingerprints (PMF) maps were obtained by MALDI-TOF
MS. Eighteen proteins were preliminarily identified after searching the NCBInr
database. The function information of these 18 proteins mainly involved cell
metabolism, signal transduction, cell secretion, cell cytoskeleton construction,
cell proliferation, and apoptosis. CONCLUSION: The proteomic expressions after
the cervical infection of high- or low-risk genotype of human papillomavirus are
obviously different.
PMID- 18051713
TI - [Clinical evaluation of the xMAP technology in detection of high-risk human
papillomavirus].
AB - OBJECTIVE: To evaluate the clinical application value of flexible multi-analyte
profiling (xMAP) technology in detecting high-risk human papillomavirus (HR-HPV).
METHODS: Totally 1 061 women, aged 21-65 years, were randomly enrolled into the
study. Cervical exfoliated cells were used in xMAP technology and hybrid capture
II (hc2). Pathological diagnosis was used as golden standard. Consistency of
these two methods was assessed. RESULTS: The sensitivity and specificity of xMAP
technology were 80.31% and 85.83%, respectively. The positive and negative
predictive values were 44.5% and 96.9%, respectively. The Kappa value for
consistency between xMAP technology and hc2 was 0.58. CONCLUSIONS: The
specificity of xMAP technology is similar to hc2 test, but the sensitivity is
inferior to hc2. However, these two methods show good consistency in the
detection of HR-HPV.
PMID- 18051714
TI - [Application of high-risk human papillomavirus testing in women with abnormal
cytology].
AB - OBJECTIVE: To detect the high-risk human papillomavirus (HPV) infectious
condition in women with abnormal cytology and evaluate its values in the
screening of high grade squamous intraepithelial lesion. METHODS: We used hybrid
capture 2 (hc2) method to examine 949 patients with abnormal cervical cytology
results [ > or =atypical squamous cells of undetermined significance (ASC-US)
according to the 2001 The Bethesda System diagnosis criteria]. All subjects also
received colposcopy for tissue studies. RESULTS: Among 949 patients with abnormal
cytology, the diagnoses of atypical squamous cells (ASC), low grade squamous
intraepithelial lesion (LSIL), and high grade squamous intraepithelial lesion
(HSIL) were made in 432, 310, and 207 patients, respectively. The high-risk HPV
positive rate in ASC, LSIL, and HSIL were 40.3%, 44.8%, and 89.4%, respectively.
The numbers of patients with pathologically confirmed results of negative
intraepithelial lesion or malignancy (NILM), cervical intraepithelial neoplasia
1, 2, 3 (CIN 1, 2, 3), and squamous cell carcinoma (SCC) were 335, 388, 118, 101,
and 7, and the high-risk HPV positive rate was 17.3%, 66.2%, 92.4%, 97.0%, and
100%, respectively. Among patients with atypical squamous cells of undetermined
significance (ASC-US), rate of HSIL in high-risk HPV positive group and negative
group were 10.2% and 0.8%, respectively (P < 0.01). In screening HSIL, the
sensitivities of cytology [ > or = ASC cannot exclude HSIL (ASC-H)] and cytology
( > or = ASC-H) plus high-risk HPV testing were 0.925 and 0.991, and the
specificities were 0.510 and 0.748, respectively (P < 0.01). Sensitivitives of
cytology ( > or = LSIL) and cytology (> or = LSIL) plus high risk HPV in
detecting HSIL were 0.898 and 0.982, respectively, while the specificitives were
0. 567 and 0.779, respectively (P < 0.01). CONCLUSIONS: The positive rate of high
risk HPV increases with the gravity of cervical lesions. In patients with
abnormal cervical cytology, high-risk HPV testing can improve the sensitivity and
specificity in the screening of HSIL.
PMID- 18051715
TI - [Inhibition of malignant activities of nasopharyngeal carcinoma cell by ectopic
expression of BCSC-1 gene].
AB - OBJECTIVE: To study effects of ectopic expression of BCSC-1 gene on the malignant
activi-BCSC-1 cDNA was isolated by RT-PCR ties of human nasopharyngeal carcinoma
cell CNE-2L2. METHODS: and inserted into pMAL-c2X and pcDNA4/myc-His A. BCSC-1
protein was expressed in prokaryocytes. Rabbit antiserum to BCSC-1 was developed
by means of immunization of rabbit with the BCSC-1 protein. Expression of BCSC-1
gene in wild type CNE-2L2 cell (W cell) was examined by real-time RT-PCR and
immunofluorescence staining with the antiserum as a probe. pcDNA4/myc-His A-BCSC
1 was transfected into W cell at the presence of LipofectAmine. The cells were
selected by G418 and cloned. Ectopic expression of BCSC-1 gene in W cell was
examined by Western blot. Cell growth was detected by drawing of growth curves
and colony formation tests. Cells were inoculated into nude mice. Size of tumors
was assayed once a week. Lungs of the mice were sectioned continuously and
metastatic loci in lungs were examined upon a microscope. RESULTS: Rabbit BCSC-1
antiserum was prepared. Expression of BCSC-1 gene in W cell was found to be very
low. CNE-2L2 cell with ectopic expression of BCSC-1 gene was developed. Growth in
vitro, colony formation, tumorigenesis in nude mice, and lung metastasis of the
tumor were profoundly inhibited of the cell with ectopic expression of BCSC-1
gene in comparison with controls, wild type cell and the cell transfected with
mock. Conclusion Ectopic expression of BCSC-1 gene exerts profound inhibitive
effect on the malignant activities of CNE-2L2 cell.
PMID- 18051716
TI - [Identification and expression of non-coding RNAs NC28 and NC119 in human
tumors].
AB - OBJECTIVE: To explore and identify the non-coding RNAs related to tumors.
METHODS: We used RT-PCR and Northern blot to analyze non-coding RNAs in tumor
tissues and cell lines. RESULTS: Two predicted non-coding RNAs were confirmed to
be expressed in cancer tissues and cell lines by RT-PCR and DNA sequencing. We
detected the expression of two non-coding RNA transcripts by Northern blot. The
length of NC28 was about 1800 nt, and that of NC119 was about 1200nt.
CONCLUSIONS: NC28 and NC119 have a tumor-associated expression pattern. The non
coding RNAs may play a role in the development of tumors.
PMID- 18051717
TI - [Measurement of glutamine concentration in Zelanian rabbits' skeletal muscle by
non-invasive magnetic resonance spectroscopy].
AB - OBJECTIVE: To study the feasibility of non-invasive magnetic resonance
spectroscopy (MRS) in measuring glutamine (GLN) level in Zelanian rabbits'
skeletal muscle. METHODS: Non-invasive MRS was used to get the data of peak
height ratio of GLN + glutamic acid (GLx) at 3.8 ppm and creatine (Cr) at 3.0
ppm, peak area ratio of GLx at 3.8 ppm and Cr at 3.0 ppm. High performance liquid
chromatography (HPLC) was used to examine the actual GLx levels of muscle from 22
Zelanian rabbits. The feasibility of MRS was then evaluated by HPLC method.
RESULTS: The ratio of peak height and peak area of GLx and Cr by means of MRS
were 0.162 +/- 0.045 and 0.092 +/- 0.065, respectively. The average concentration
of GLx in skeletal muscle by means of HPLC was (4.19 +/- 2.50) micromol/g. The
ratio of GLx and plasma Cr level by means of HPLC was 4.576 -/+ 0.599. The ratio
of peak height and peak area of GLx and Cr by means of MRS were correlated
significantly with the ratio of concentration of GLx in skeletal muscle and
plasma Cr by means of HPLC (r = 0.7, P = 0.001; r = 0.6, P = 0.001). CONCLUSION:
Non-invasive MRS is feasible to measure GLN level in skeletal muscle of rabbit.
PMID- 18051718
TI - [Experimental siCD44-targeted therapy of human nasopharyngeal carcinoma mediated
by adenovirus].
AB - OBJECTIVE: To explore the possibility of treating solid tumor with siCD44.
METHODS: Human nasopharyngeal carcinoma cell CNE-2L2 with high expression of CD44
was used in this study. The malignant activities of cells were examined by colony
formation test, tumorigenesis, and lung metastasis of the tumor in nude mice. Ad5
siCD44 was constructed and adenoviruses were produced in 293 cells. CNE-2L2 cells
were subcutaneously inoculated into nude mice. When tumors grew to 50-100 mm3,
Ad5-siCD44 was injected into tumors, and Ad5-egfp and PBS were also injected as
controls. The size and weight of tumors were compared after 2 weeks. RESULTS:
Suppression of CD44 expression profoundly inhibited the malignant activities of
CNE-2L2 cell. The average sizes of the tumors were (3.139 +/- 0.850), (3.612 +/-
0.888), and (1.512 +/- 0.742) cm3 after the intra-tumor injection of PBS, Ad5
egfp, and Ad5-siCD44, respectively, after two weeks. Significant difference was
found between Ad5-siCD44 group and control groups (P < 0.05). The average weights
were (2.28 +/- 0.73), (1.83 +/- 0.26), and (1.20 +/- 0.64) g, respectively, and
significant difference was also found between Ad5-siCD44 group and control groups
(P < 0.05). CONCLUSION: Intra-tumor injection of Ad5-siCD44 can exhibit the
therapeutic effect on the tumor inoculated with CNE-2L2 cells with high
expression of CD44 in nude mice.
PMID- 18051719
TI - [Effects of interleukin-1beta on nitric oxide production and ATP synthesis in rat
surplus hepatocytes after partial hepatectomy].
AB - OBJECTIVE: To detect the nitric oxide (NO) production and energy metabolism of
the interleukin (IL)-1beta-treated residual hepatocytes from rats after partial
hepatectomy. METHODS: Forty rats were equally divided into partial hepatectomies
(PH) group and control group. In the control group the rats were otherwise
matched and underwent sham surgeries. The residual hepatocytes were separated by
the collagenase perfusion method. The hepatocytes were cultured with cytokines
such as IL-1beta. The production of NO in the two groups were measured with
Griess reagent method, the production of inducible nitric oxide synthase (iNOS)
protein detected with Western blot, the content of the nucleotide in the
hepatocytes detected with high-performance liquid chromatography, and the content
of the ketone body in the hepatocytes of the two groups determined with the
enzymatic method. Afterwards the ketone body ratio (acetoacetate/beta-hydroxy
butyrate, KBR) was calculated. RESULTS: The production of NO in the PH group was
twice as much as that in the Sham group. IL-1beta decreased the content of ATP
and the KBR in the hepatocytes of both groups, and the decrease magni tude in the
PH group was significantly larger than that in the Sham group. After the
injection of L-arginine, the production of NO in the hepatocytes in the PH group
increased, and the level of ATP and KBR decreased. N(G)-methyl-L-arginine (L
NMMA), the inhibitor of NO synthase, inhibited the production of NO and reversed
the decrease of ATP and KBR. CONCLUSION: After partial hepatectomy, increased NO
production in the hepatocytes after the treatment of interleukin-1beta may
disturb the function of mitochondria by inhibiting the synthesis of ATP.
PMID- 18051720
TI - [Hemocompatibility of bovine pericardium with additional sodium bisulfite
treatment].
AB - OBJECTIVE: To evaluate the hemocompatibility of glutaraldehyde (GA)-tanned bovine
pericardium additionally treated by sodium bisulfite (SOB) solution. METHODS: The
hemocompatibility of GA-tanned bovine pericardium treated by SOB solution is
evaluated by using dynamic clotting time test, blood platelet adhension test, D
dimeride determination, and complement activation test. The GA-tanned bovine
pericardium was used as control. RESULTS: The curve of absorbance-clotting time
of two kinds of bovine pericardium was similar in dynamic clotting time test.
There was no significant difference between SOB-treated and control groups in
blood platelet adhension test. The D-dimeride contents of all bioprostheses were
at normal level, and the D-dimeride content of GA-tanned bovine pericardium
treated by SOB solution was significantly lower than that of control group (P <
0.05). In complement activation test, the level of complement C3a in SOB-treated
group was significantly lower than that in control group (P < 0.05). CONCLUSION:
GA-tanned bovine pericardium treated by SOB solution meets the demands of cardiac
interstitial implanted materials in hemocompatibility.
PMID- 18051721
TI - [Alterations in gene expression of calcium handling proteins in patients with
chronic atrial fibrillation].
AB - OBJECTIVE: To discuss the role of calcium-overloading in initiation and
maintenance of atrial fibrillation (AF). METHODS: The right atrial appendages
were obtained from 14 patients with AF and 12 patients with sinus rhythm. The
mRNA expression of proteins influencing the calcium homeostasis was measured by
semi-quantitative reverse transcription-polymerase chain reaction (RT-PCR) and
normalized to the mRNA level of glyceraldehyde-3- phosphate dehydrogenase. The
left atrial diameter (LAD), mitral valvular area (MVOA), and systolic pulmonary
arterial pressure were obtained by echocardiography before surgery. RESULTS:
Compared to sinus rhythm group, the mRNA levels of L-type calcium channel alc,
sarcoplasmic reticulum (SR), calcium adenosine triphosphatase (Ca2+ -ATPase), and
ryanodine receptor type-2 (R(Y) R2) were significantly decreased (P < 0.01); the
mRNA level of inositol triphosphate receptor type-1 (IP3R1) was significantly
increased (P < 0.05). No changes in the mRNA expression of phospholamban and
calsequestrin were observed between two groups (P > 0.05). Correlations were
found between MVOA and mRNA levels of LVDC-Calc, SR Ca2+ -ATPase (r = 0.719, P =
0.004; r = 0.625, P = 0.017). The mRNA level of SR Ca2+ -ATPase was negatively
correlated with LAD (r = -0.573, P = 0.032). CONCLUSIONS: Calcium loading may be
responsible for the occurrence and maintenance of AF, and abnormal regulation in
the mRNA expression may be the molecular mechanism of intracellular Ca2+
overload. The progressive nature of AF involves structural change.
PMID- 18051723
TI - [Clinical evaluation of hemofiltration without anticoagulation in critically ill
patients at high risk of bleeding].
AB - OBJECTIVE: To explore the safety and therapeutic efficacy of hemofiltration
without anticoagulation in critically ill patients at high risk of bleeding.
METHODS: We retrospectively analyzed 41 patients undergoing bedside
hemofiltration in the Intensive Care Unit of PUMC Hospital from December 2005 to
December 2006. The hemofiltration cases with or without anticoagulation were
compared. RESULTS: Totally 224 hemofiltration circuits were performed in these 41
patients, including 114 (50.89%) circuits without anticoagulation in 27 patients
at high risk of bleeding and 110 (49.11%) with anticoagulation by heparin. The
circuit life of hemofiltration without anticoagulation was (13.21 +/- 9.73) hours
(3-55 hours), and that of hemofiltration with anticoagulation was (28. 35 +/-
26.43) hours (9-126) hours (P < 0.01). The circuit life had no correlation with
prothrombin time (PT), activitated partial thromboplastin time (APTT), fibrinogen
(Fbg), and platelet count (PLT) levels before the therapy (P > 0.05). The levels
of blood creatinine, blood urea nitrogen, potassium, and pH value significantly
improved after both therapies (P < 0.05). Urea reduction rates were (38.4 +/-
26.7)% and (24.3 +/- 13.2)% in hemofiltration with and without anticoagulation,
respectively. The levels of Fbg and PLT significantly decreased after therapy in
both groups (P < 0.01). PT and APTT did not change in hemofiltration without
anticoagulation (P > 0.05), while APTIT was prolonged in hemofiltration with
heparin (P < 0.01). Of the 21 patients who initially received hemofiltration with
heparin, 7 patients turned to hemofiltration without anticoagulation for
hemorrhagic complications. Seventeen (41.5%) of 41 patients died during hospital
stay, but none of them died of complications of hemofiltration. CONCLUSIONS:
Hemofiltration without anticoagulation reduces bleeding risks and achieves an
acceptable circuit life. The strategy can be applied as an alternative to
critically ill patients at high risks of bleeding who need continuous blood
purification.
PMID- 18051722
TI - [Biological activity of human single-chain antibody against amyloid beta peptide
involved in Alzheimer's disease].
AB - OBJECTIVE: To explore the biological activity of recombinant human single-chain
antibody against amyloid beta peptide in vitro. METHODS: Human single-chain
antibody against amyloid beta peptide was obtained from recombinant bacteria. The
antigen-binding activity of this antibody was measured by enzyme-linked
immunosorbent assay (ELISA) and competitive ELISA. Human neuroblastoma SH-SY5Y
cells were used as cell models to test the protective role of human single-chain
antibody against amyloid beta peptide. RESULTS: Recombinant human single-chain
antibody was mainly located in the insoluble inclusion bodies of bacteria. The
antibody was dissolved by urea and purified by metal affinity chromatography as
active form to bind synthetic amyloid beta peptide 40 or amyloid beta peptide 42.
The improvement of the survival rates of human neuroblastoma cells was
significantly superior in amyloid peptide 42 plus equimolar antibody group than
in amyloid peptide 42 group (P < 0.05), and was significantly superior in the
amyloid peptide 40 plus equimolar antibody group than in amyloid peptide 40 group
(P < 0.01). CONCLUSION: The recombinant human single-chain antibody against beta
amyloid peptide 40 from E. coli can partially inhibit the neurotoxicity effect of
amyloid beta peptide in vitro.
PMID- 18051724
TI - [Hemodynamic responses to orotracheal intubation with upsherscope or Macintosh
direct laryngoscope].
AB - OBJECTIVE: To compare the hemodynamic responses to orotracheal intubation via
Upsher-scope (USSP) or Macintosh direct laryngoscope (MDLS) under general
anesthesia. METHODS: Fifty patients with ASA grade I-II and undergoing the
elective plastic surgery and requiring orotracheal intubation were randomly
allocated to either the USSP (U group) (n=25) or MDLS (M group) (n=25). After
standard intravenous anesthetic induction, orotracheal intubation was performed
using a USSP or a MDLS. Noninvasive systolic blood pressure (SBP), diastolic
blood pressure (DBP), and heart rate (HR) were recorded before and after
anesthetic induction, at intubation and every minute thereafter for 5 minutes.
The time spent in tracheal intubation was recorded. The mean blood pressure (MBP)
and rate-pressure product (RPP) were calculated. RESULTS: The intubation time was
not significantly different between these two groups (P > 0.05). After anesthetic
induction, SBP, DBP, MAP, and RPP in these two groups decreased significantly as
compared with preinduction values. The orotracheal intubation caused significant
increases in SBP, DBP, MAP, and RPP in these two groups in comparision with
postinduction values (P < 0.05), but these hemodynamic changes lasted only 1 to 2
minutes and then decreased gradually to the postinduction level. The blood
pressure changes caused by orotracheal intubation did not exceed the preinduction
values (P > 0.05). As compared to, the maximal HR values in these two groups
during observation (from the beginning of intravenous anesthetic induction to 5
min after intubation) were significantly higher than their preinduction values (P
< 0.05). The maximal RPP values in M group during observation were significantly
higher than their preinduction values (P < 0.05), but no such significant
difference was observed in U group (P > 0.05). The hemodynamic data at each time
point during the observation had no significant differences between these two
groups. (P > 0.05). CONCLUSIONS: Orotracheal intubation using the USSP and MDLS
may result in similar hemodynamic responses. The standard general anaesthesia can
effectively inhibit the pressor, but not the tachycardiac responses caused by
orotracheal intubation via USSP or MDLS. USSP is not superior than MDLS in
palliating the adverse cardiovascular stress responses to orotracheal intubation.
PMID- 18051725
TI - [Risk factors in the living environment of early spontaneous abortion pregnant
women].
AB - OBJECTIVE: To study the relationship between early spontaneous abortion and
living environment, and explore the risk factors of spontaneous abortion.
METHODS: We conducted analysis based on the interview of 200 spontaneous abortion
cases and the matched control (age +/- 2 years) by using multifactor Logistic
regression analysis. RESULTS: The proportions of watching TV > or =10 hours/week,
operating computer > or =45 hours/week, using copycat, microwave oven and mobile
phone, electromagnetism equipment near the dwell or work place, e. g. switch room
< or =50 m and launching tower < or =500 m in the cases are significantly higher
than those in the controls in single factor analysis (all P < 0.05). After
adjusted the effect of other risk factors by multifactor analysis, using
microwave oven and mobile phone, contacting abnormal smell of fitment material >
or =3 months, having emotional stress during the first term of pregnancy and
spontaneous abortion history were significantly associated with risk of
spontaneous abortion. The odds ratios of these risk factors were 2.23 and 4.63,
respectively. CONCLUSION: Using microwave oven and mobile phone, contacting
abnormal smell of fitment material > or =3 months, having emotional stress during
the first term of pregnancy, and spontaneous abortion history are risk factors of
early spontaneous abortion.
PMID- 18051726
TI - [Etiological diagnosis and specific treatment of chronic cough in 106 patients].
AB - OBJECTIVE: To analyze the etiological diagnosis and efficacy of specific therapy
of chronic cough. METHODS: Totally 106 patients with chronic cough were diagnosed
using a diagnostic protocol based on the Guideline on Diagnosis and Treatment of
Chronic Cough established by China Medical Association, which included history
inquiry, physical examination, pulmonary function tests, X-ray or CT of paranasal
sinuses or chest, 24-hour esophageal pH monitoring, and sputum cell
differentials. The etiological diagnosis was made according to clinical
manifestations, examination results, and response to specific therapy. RESULTS:
The cause of chronic cough was confirmed in 101 patients (95.3%). Cough due to a
single cause was found in 81 patients (80.2%), and due to multiple causes in 20
patients (19.8%). The causes included cough variant asthma (CVA) in 66 patients
(62.3%), postnasal drip syndrome (PNDs) in 15 patients (14.1%), gastroesophageal
reflux (GERC) in 11 patients (10.4%), post-infection cough (PIC) in 4 patients
(3.8%), angiotensin converting enzyme inhibitor (ACEI) induction in 3 patients
(2.8%), and eosinophilic bronchitis (EB) in 2 patients (1.9%). Five patients
(4.7%) had not been definitely diagnosed. After specific therapy based on
diagnosis, cough disappeared in 92 patients (91.1%) and alleviated in 9 patients
(8.9%). CONCLUSIONS: CVA, PNDs, and GERC are the most common causes of chronic
cough. Specific therapy based on definite cause can result in good outcome.
PMID- 18051727
TI - [Current advances in the mechanic studies of human papillomavirus-induced
oncogenesis].
AB - Human papillomavirus (HPV) is a common small DNA tumor virus that specifically
infects squamous epithelial cells and causes benign or malignant epithelial
lesions such as genital warts and cervical cancer. High-risk HPV is detected in
specimens of more than 90% of cervical cancer. In the 7. 9 kb genome of HPV, E6
and E7 are the crucial viral oncoproteins that consistently maintained after
viral integration into host cell genome. These two proteins interfere with cell
proliferation and differentiation through interacting with important tumor
suppressors including p53 and pRb. High-risk HPV E6/E7 also induces genomic
instability, facilitating cell transformation.
PMID- 18051728
TI - [Human papillomavirus types and their related diseases].
AB - With the development of biomolecular technology, more human papillomavirus (HPV)
types have been found to be related with various diseases. High-risk HPV 16, 18,
31, 33, 45, 52, and 61 have shown causal relationship with cancers of the cervix,
vulva, vagina, penis, anus, oral cavity, and oropharynx. Low-risk HPV 6 and 11
have shown causal relationship with genital warts. HPV may also cause cancer of
the larynx. However, the relationships between HPV and esophagus and lung cancers
are still controversial.
PMID- 18051729
TI - [Advances in human papillomavirus therapeutic vaccine].
AB - Human papillomavirus (HPV) infection is very common but with limited therapies
available. Although the prophylactic vaccination will be promoted worldwide soon,
it can only show its benefits decades later. For individuals who already have
established infections and dysplasias, it has little efficacy. In contrast, the
therapeutic vaccines bridge the temporal deficit by fighting against the
established HPV infections and HPV-related diseases. HPV oncogenes may be
delivered in viral and bacterial vectors, in peptides or protein, in nucleic acid
form, or in cell-based vaccines. This review summarizes the clinical trials of
HPV therapeutic vaccines under the way and the different preclinical research
strategies that are under investigations.
PMID- 18051730
TI - [Role of high-risk human papillomavirus testing in the screening and management
of cervical cancer precursors].
AB - Human papillomavirus (HPV) infection is an essential cause of cervical cancer.
HPV testing therefore may maximize the clinical benefits of cervical screening
and abnormal cervical cytology management. A negative HPV test in combination
with a normal Pap test result in women age 30 years or older allows the safe
extension of the cervical screening interval to 3 years. However, because HPV
infection is common in young women and is usually transient, HPV testing is not
recommended as part of primary cervical screening for women younger than 30
years. HPV testing is recommended for women of any age as a triage test with
atypical squamous cells of undetermined significance (ASC-US) results and as an
option for follow-up of women with HPV-positive ASC-US, atypical squamous cells
"cannot rule out high-grade", low-grade squamous intraepithelial lesions, or
atypical granular cells not found to have CIN 2/3. HPV testing is also
recommended as an alternative to colposcopy and/or cytology for follow-up of
treated cases. Proper use of HPV testing improves the management of women with
cytologic abnormalities.
PMID- 18051731
TI - Measuring obesity to assess cardiovascular risk--inch tape, weighing machine, or
both?
PMID- 18051732
TI - Body-mass index, waist-size, waist-hip ratio and cardiovascular risk factors in
urban subejcts.
AB - BACKGROUND AND OBJECTIVE: Influence of obesity as determinant of cardiovascular
risk factors has not been well studied. To determine association of obesity,
measured by body-mass index (BMI), waist-size or waist-hip ratio (WHR), with
multiple risk factors in an urban Indian population we performed an
epidemiological study. METHODS: Randomly selected adults > or = 20 years were
studied using stratified sampling. Target sample was 1800 (men 960, women 840).
1123 subjects (response 62.4%) were evaluated and blood samples were available in
532 men and 559 women (n=1091, response 60.6%). Measurement of anthropometric
variables, blood pressure, fasting blood glucose and lipids was performed.
Atherosclerosis risk factors were determined using current guidelines. Pearson's
correlation coefficients (r) of BMI, waist and WHR with various risk factors were
determined. BMI was categorized into five groups: <20.0 Kg/m2, 20.0-22.9, 23.0
24.9, 25.0-29.9, and > or = 30 Kg/m2; waist size was divided into five groups and
WHR into six groups in both men and women. Prevalence of cardiovascular risk
factors, smoking, hypertension, diabetes, metabolic syndrome and dyslipidaemias
was determined in each group and trends analyzed using least-squares regression.
RESULTS: There is a significant positive correlation of BMI, waist-size and WHR
with systolic BP (r= 0.46 to 0.13), diastolic BP (0.42 to 0.16), fasting glucose
(0.15 to 0.26), and LDL cholesterol (0.16 to 0.03) and negative correlation with
physical activity and HDL cholesterol (-0.22 to -0.08) in both men and women
(p<0.01). With increasing BMI, waist-size and WHR, prevalence of hypertension,
diabetes, and metabolic syndrome increased significantly (p for trend <0.05). WHR
increase also correlated significantly with prevalence of high total and LDL
cholesterol and triglycerides (p <0.05). CONCLUSIONS: There is a continuous
positive relationship of all markers of obesity (body-mass index, waist size and
waist hip ratio) with major coronary risk factors- hypertension, diabetes and
metabolic syndrome while WHR also correlates with lipid abnormalities.
PMID- 18051733
TI - Role of simple needle aspiration in the management of spontaneous pneumothorax.
AB - OBJECTIVE: To determine the efficacy of simple needle aspiration in the
management of primary spontaneous pneumothorax. Not all patients with
pneumothorax require intercostal tube drainage (ICTD). Some patients can be
managed conservatively by simple needle aspiration of air from pleural space. It
is a cheap and easy alternative to ICTD which can be done on out patient basis.
MATERIALS AND METHODS: All patients of spontaneous pneumothorax presenting for
the first time were included in this study, after obtaining baseline
investigations like chest X-ray, ECG, serum creatinine, blood sugar etc. They
were managed by ICTD or simple needle aspiration. Chest X-ray was done 6 hours
after the procedure (ICTD), 24 hours after aspiration and prior to ICTD removal
or before hospital discharge. Patients who had undergone simple needle aspiration
were allowed to go home following a few hours of observation after explaining the
warning symptoms and the need for reevaluation after 24 hrs, if prompt follow-up
could be ensured otherwise they were admitted along with patients undergoing
ICTD. RESULTS: Eighty two patients of spontaneous pneumothorax were included in
this study during a-period of 12 months (May- 04 to April - 05). Out of these 40
(49%) patients were managed by ICTD, 42 (51%) patients were subjected to simple
needle aspiration. Thirty two (76%) patients responded to simple needle
aspiration while the remaining 10 patients required ICTD finally. There were no
complications in the patients managed by simple needle aspiration; they had less
chest pain and lesser duration of hospital stay. CONCLUSION: Spontaneous
pneumothorax may be managed by simple needle air aspiration primarily, unless
contraindicated (tension pneumothorax), or in patients requiring mechanical
ventilation. For symptomatic patient it is the recommended procedure.
PMID- 18051734
TI - Glycemic level at the first visit and prediction of GDM.
AB - OBJECTIVE: To evaluate the glycemic level at the first visit that is likely to
predict gestational diabetes mellitus (GDM). METHODS: Consecutive pregnant women
underwent a 75g oral glucose tolerance Test (OGTT) recommended by WHO and
diagnosed GDM if 2hr post plasma glucose (PG) value > or = 140 mg/dl. Women with
normal OGTT results at the first visit were screened again with an OGTT at the
subsequent visits. RESULTS: A total of 4151 pregnant women from different
trimesters underwent OGTT. Of them 739 women (17.8%) had GDM. Among the GDM
women, 528 (71.4%) were detected at the first visit. On screening during
subsequent visits, GDM was diagnosed in the remaining 211(28.6%) women who had
normal OGTT in the first visit. We performed the analysis taking the glycemic
level in the first visit of 211 pregnant women who manifested GDM in the
subsequent visit. During normal pregnancy, 2hr PG level is < 120 mg/dl. Taking
this value into consideration among the 211 women who turned to have GDM in the
subsequent visits 119 women (56.4%) had 2hrPG > or = 120 mg/dl and the remaining
92(43.6%) had 2hrPG < 120 mg/dl. CONCLUSION: Pregnant women irrespective of 2 hr
PG > or = or < 120 mg/dl at initial visit progressed to GDM in the subsequent
visit. No glycemic level in the early weeks of pregnancy predicts GDM and at the
same time at no statistically significant glycemic cut-off level could we say
that a woman will not develop GDM. Hence rescreening in the subsequent trimester
or visits is essential.
PMID- 18051735
TI - A man with multiple membrane calcification: flurosis.
PMID- 18051736
TI - A case with discrete atherosclerotic aneursyms of all coronary vessels.
PMID- 18051737
TI - Medical treatment of ulcerative colitis.
AB - Ulcerative colitis is an inflammatory bowel disease of uncertain etiology with
recurrent symptoms and considerable morbidity. There is no known medical cure for
ulcerative colitis. The ultimate goal of treatment in any patient suffering from
ulcerative colitis is inducing and maintaining clinical remission. New uses are
being found for old drugs, and more and more new drugs are becoming available.
This article is not only intended to help clinicians to evaluate new treatments
for ulcerative colitis, but also to revisit older treatments with a critical eye.
PMID- 18051738
TI - Causation, prevention and reversal of vascular endothelial dysfunction.
AB - Insulin Resistance along with endothelial dysfunction give rise to a
constellation of syndromes designated as IRS/MBS metabolic syndrome. Endothelial
dysfunction starts early in life much before the development of structural
atherosclerosis. Recent insights into vascular biology enable us to understand
the molecular mechanisms underlying endothelial dysfunction, and the scope and
need for prevention of "pre-clinical" coronary atherosclerosis through lifestyle
modification; diet, exercise and stress management. Diminished production of
nitric oxide (NO) and/or increased inactivation of NO through oxidative stress
(reactive oxygen species ROS and reactive nitrogen species (RNS) are the basis of
endothelial dysfunction hence increasing the bioavailability of NO and decreasing
its inactivation is the aim of prevention and reversal of endothelial
dysfunction. Insulin regulates constitutive NOS gene expression in endothelial
cells in vivo; vasodilation is an important component of Insulin-stimulated whole
body glucose uptake. Successful strategies are: PPAR alpha and gamma agonists
which increase NO production in endothelium; anti-oxidants such as vit. E and C;
supplementation with L-arginine, tetrahydrobiopterin-BH4 or sepiapterin
(precursor of BH4), SOD mimetic tempol, statins which apart from lowering
cholesterol improve NO production, selective beta1 adrenoreceptor antagonists
such as nebivolol; suppression of angiotensin-mediated endothelin production by
ACE inhibitors and ATR blockers; CB1 receptor blockers, PKCb inhibitors, nitric
oxide donors (glyceryl trinitrate and isosorbide dinitrate), dietary supplements
of EPA/DHA and regular physical exercise and control of mental stress.
PMID- 18051739
TI - Granulomatous hypophysitis--an interesting and rare cause mimicking pituitary
mass.
AB - Idiopathic granulomatous hypophysitis is a rare entity. The usual clinical
presentation is that of an expanding mass lesion with varying degree of
hypopituitarism. This patient described presented to us with severe headache
along with panhypopituitarism and post-operative diabetes insipidus.
PMID- 18051740
TI - Cerebrotendinous xanthomatosis: a treatable cause of metabolic ataxia.
AB - Cerebrotendinous xanthomatosis is an exceptionally rare condition in Indian
subcontinent, however, it is potentially treatable if diagnosed. We present and
discuss the clinical presentation and investigations in a case of
cerebrotendinous xanthomatosis (CTX).
PMID- 18051741
TI - Barium poisoning mimicking Guillain-Barre syndrome.
AB - Barium poisoning is an important cause of areflexic quadriplegia associated with
hypokalemia. Presenting features may mimic Guillain-Barre syndrome. A high index
of suspicion of barium poisoning in two patients who presented with features
resembling Guillain-Barre syndrome, led to timely management and early recovery
in both the cases.
PMID- 18051742
TI - Medical philately. Sir Arthur Conan Doyle (1859-1930).
PMID- 18051743
TI - Bilateral superior cerebellar artery infarcts: unusual presentation in two
patients of stroke in young.
AB - Stroke or cerebrovascular disease is one of the most important causes of high
morbidity and mortality throughout the world. Stroke in young individuals poses a
major problem as these individuals are bread earners of the family. Ischaemic
strokes are increasingly being attributed to causes other than atherothrombotic
disease. Disorders of coagulation leading to thrombotic disorders are relatively
uncommon conditions which are implicated in approximately 1% of all ischaemic
strokes and 4-8% of young strokes. Bilateral superior cerebellar infarcts due to
hypercoagulable state are extremely rare situations. Here we present two patients
with unusual presentation of stroke in young due to hypercoagulable state.
PMID- 18051744
TI - The syndrome of inappropriate antidiuretic hormone secretion (SIADH) and
neurological crisis due to acute intermittent porphyria, successfully treated
with haemodialysis.
AB - We report an eighteen year old female, a case of acute intermittent porphyria
with syndrome of inappropriate antidiuretic hormone secretion, as presenting
feature for its rarity. The neurological crisis was successfully treated with
haemodialysis.
PMID- 18051745
TI - Gujjar lung.
PMID- 18051746
TI - Health professionals' characteristics associated with requisitioning
electroencephalography in breath holding spells.
PMID- 18051747
TI - Cardiogenic unilateral pulmonary edema.
PMID- 18051748
TI - Nitrogen control in Corynebacterium glutamicum: proteins, mechanisms, signals.
AB - In order to utilize different nitrogen sources and to survive in a situation of
nitrogen limitation, microorganisms have developed sophisticated mechanisms to
adapt their metabolism to a changing nitrogen supply. In this communication, the
recent knowledge of nitrogen regulation in the amino acid producer
Corynebacterium glutamicum is summarized. The core adaptations of C. glutamicum
to nitrogen limitation on the level of transcription are controlled by the global
regulator AmtR. Further components of the signal pathway are GlnK, a P(II)-type
signal transduction protein, and GlnD. Mechanisms involved in nitrogen control in
C. glutamicum regulating gene expression and protein activity are repression of
transcription, protein-complex formation, protein modification by adenylylation,
change of intracellular localization, and proteolysis.
PMID- 18051749
TI - Effect of culture conditions on canthaxanthin production by Dietzia
natronolimnaea HS-1.
AB - This study investigated the effects of various culture parameters (carbon
sources, temperature, initial pH of culture, NaCl concentration, and light) on
the growth and canthaxanthin production by Dietzia natronolimnaea HS-1. The
results showed that the most effective carbon source for growth and canthaxantin
production was glucose, and the best pH and temperature were 7 and 31 degrees C,
respectively. In addition, the biomass and canthaxanthin production increased in
a medium without NaCl and in the presence of light. Under the optimized
conditions, the maximum biomass, total carotenoid, and canthaxanthin production
were 6.12 +/- 0.21 g/l, 4.51 +/- 0.20 mg/l, and 4.28 +/- 0.15 mg/l, respectively,
in an Erlenmeyer flask system, yet increased to 7.25 g/l, 5.48 mg/l, and 5.29
mg/l, respectively, in a batch fermenter system.
PMID- 18051750
TI - Morphology and adhesion of Campylobacter jejuni to chicken skin under varying
conditions.
AB - The adhesion of Campylobacter jejuni to chicken skin, along with the associated
morphological changes under aerobic conditions at 4, 25, and 37 degrees C and
microaerobic (O2 5%, CO2 10%, N2 85%) conditions, were investigated using
confocal laser scanning microscopy (CLSM), flow cytometry, and plate counting.
The morphological change of C. jejuni from a spiral shape to a coccoid form or
VBNC form (viable but nonculturable form) progressed rapidly under aerobic
conditions at 25, 37, and 4 degrees C. As regards adhesion, the C. jejuni cells
were mostly located in the crevices and feather follicles of the chicken skin,
where the cells in the feather follicles floated freely in the entrapped water,
even after the skin was rinsed quite thoroughly. CLSM also revealed the
penetration of some spiral-shaped C. jejuni cells into the chicken skin. Even
after changing their shape at various temperatures, coccoid-form C. jejuni cells
were still found in the crevices and feather follicles of the chicken skin.
PMID- 18051751
TI - Comparative proteomic analyses of the yeast Saccharomyces cerevisiae KNU5377
strain against menadione-induced oxidative stress.
AB - The Saccharomyces cerevisiae KNU5377 strain, which was isolated from spoilage in
nature, has the ability to convert biomass to alcohol at high temperatures and it
can resist against various stresses. In order to understand the defense
mechanisms of the KNU5377 strain under menadione (MD) as oxidative stress, we
used several techniques for study: peptide mass fingerprinting (PMF) by matrix
assisted laser desorption/ionization-time of flight (MALDI-TOF) mass spectrometry
(MS) followed by two-dimensional (2D) gel electrophoresis, liquid chromatography
electrospray ionization tandem mass spectrometry (LC-ESI-MS/MS), and surface
enhanced laser desorption ionization-time of flight (SELDI-TOF) technology. Among
the 35 proteins identified by MALDI-TOF MS, 19 proteins including Sod1p, Sod2p,
Tsa1p, and Ahp1p were induced under stress condition, while 16 proteins were
augmented under normal condition. In particular, five proteins, Sod1p, Sod2p,
Ahp1p, Rib3p, Yaf9p, and Mnt1p, were induced in only stressed cells. By LC-ESI
MS/MS analysis, 37 proteins were identified in normal cells and 49 proteins were
confirmed in the stressed cells. Among the identified proteins, 32 proteins were
found in both cells. Five proteins including Ye1047cp and Met6p were only
upregulated in the normal cells, whereas 17 proteins including Abp1p and Sam1p
were elevated in the stressed cells. It was interesting that highly hypothetical
proteins such as Ynl281wp, Ygr279cp, Ypl273wp, Ykl133cp, and Ykr074wp were only
expressed in the stressed cells. SELDI-TOF analysis using the SAX2 and WCX2 chips
showed that highly multiple-specific protein patterns were reproducibly detected
in ranges from 2.9 to 27.0 kDa both under normal and stress conditions.
Therefore, induction of antioxidant proteins, hypothetical proteins, and low
molecular weight proteins were revealed by different proteomic techniques. These
results suggest that comparative analyses using proteomics might contribute to
elucidate the defense mechanisms of KNU5377 under MD stress.
PMID- 18051752
TI - Metabolic characterization of lactic acid bacterium Lactococcus garvieae sk11,
capable of reducing ferric iron, nitrate, and fumarate.
AB - A lactic acid bacterium capable of anaerobic respiration was isolated from soil
with ferric iron-containing glucose basal medium and identified as L. garvieae by
using 16S rDNA sequence homology. The isolate reduced ferric iron, nitrate, and
fumarate to ferrous iron, nitrite, and succinate, respectively, under anaerobic
N2 atmosphere. Growth of the isolate was increased about 30-39% in glucose basal
medium containing nitrate and fumarate, but not in the medium containing ferric
iron. Specifically, metabolic reduction of nitrate and fumarate is thought to be
controlled by the specific genes fnr, encoding FNR-like protein, and nir,
regulating fumarate-nitrate reductase. Reduction activity of ferric iron by the
isolate was estimated physiologically, enzymologically, and electrochemically.
The results obtained led us to propose that the isolate metabolized nitrate and
fumarate as an electron acceptor and has specific enzymes capable of reducing
ferric iron in coupling with anaerobic respiration.
PMID- 18051753
TI - Production of acyl-homoserine lactone quorum-sensing signals is widespread in
gram-negative Methylobacterium.
AB - Members of Methylobacterium, referred as pink-pigmented facultative
methylotrophic bacteria, are frequently associated with terrestrial and aquatic
plants, tending to form aggregates on the phyllosphere. We report here that the
production of autoinducer molecules involved in the cell-to-cell signaling
process, which is known as quorum sensing, is common among Methylobacterium
species. Several strains of Methylobacterium were tested for their ability to
produce N-acyl-homoserine lactone (AHL) signal molecules using different
indicators. Most strains of Methylobacterium tested could elicit a positive
response in Agrobacterium tumefaciens harboring lacZ fused to a gene that is
regulated by autoinduction. The synthesis of these compounds was cell-density
dependent, and the maximal activity was reached during the late exponential to
stationary phases. The bacterial extracts were separated by thin-layer
chromatography and bioassayed with A. tumefaciens NT1 (traR, tra::lacZ749). They
revealed the production of various patterns of the signal molecules, which are
strain dependent. At least two signal molecules could be detected in most of the
strains tested, and comparison of their relative mobilities suggested that they
are homologs of N-octanoyl-DL-homoserine lactone (C8-HSL) and N-decanoyl-DL
homoserine lactone (C10-HSL).
PMID- 18051754
TI - IVET-based identification of virulence factors in Vibrio vulnificus MO6-24/O.
AB - Vibrio vulnificus is an opportunistic pathogen that causes septicemia in humans.
To identify the genes associated with its pathogenicity, in vivo expression
technology (IVET) was used to select genes specifically expressed in a host, yet
not significantly in vitro. Random lacZ-fusions in the genome of V. vulnificus
strain MO6-24/O were constructed using an IVET vector, pSG3, which is a suicide
vector containing promoterless-aph and -lacZ as reporter genes. A total of
approximately 18,000 resulting library clones were then intraperitoneally
injected into BALB/c mice using a colony forming unit (CFU) of 1.6 x 10(6). Two
hours after infection, kanamycin was administered at 200 microg per gram of mouse
weight. After two selection cycles, 11 genes were eventually isolated, which were
expressed only in the host. Among these genes, VV20781 and VV21007 exhibiting a
homology to a hemagglutinin gene and tolC, respectively, were selected based on
having the highest frequency. When compared to wild-type cells, mutants with
lesions in these genes showed no difference in the rate of growth rate, yet a
significant decrease in cytotoxicity and the capability to form a biofilm.
PMID- 18051755
TI - Transcriptome analysis of phosphate starvation response in Escherichia coli.
AB - Escherichia coli has a PhoR-PhoB two-component regulatory system to detect and
respond to the changes of environmental phosphate concentration. For the E. coli
W3110 strain growing under phosphate-limiting condition, the changes of global
gene expression levels were investigated by using DNA microarray analysis. The
expression levels of some genes that are involved in phosphate metabolism were
increased as phosphate became limited, whereas those of the genes involved in
ribosomal protein or amino acid metabolism were decreased, owing to the
stationary phase response. The upregulated genes could be divided into
temporarily and permanently inducible genes by phosphate starvation. At the peak
point showing the highest expression levels of the phoB and phoR genes under
phosphate-limiting condition, the phoB- and/or phoR-dependent regulatory
mechanisms were investigated in detail by comparing the gene expression levels
among the wild-type and phoB and/or phoR mutant strains. Overall, the phoB
mutation was epistatic over the phoR mutation. It was found that PhoBR and PhoB
were responsible for the upregulation of the phosphonate or glycerol phosphate
metabolism and high-affinity phosphate transport system, respectively. These
results show the complex regulation by the PhoR-PhoB two-component regulatory
system in E. coli.
PMID- 18051756
TI - Molecular and ecological analyses of microbial community structures in biofilms
of a full-scale Aerated Up-Flow Biobead process.
AB - Molecular and cultivation techniques were used to characterize the bacterial
communities of biobead reactor biofilms in a sewage treatment plant to which an
Aerated Up-Flow Biobead process was applied. With this biobead process, the
monthly average values of various chemical parameters in the effluent were
generally kept under the regulation limits of the effluent quality of the sewage
treatment plant during the operation period. Most probable number (MPN) analysis
revealed that the population of denitrifying bacteria was abundant in the biobead
#1 reactor, denitrifying and nitrifying bacteria coexisted in the biobead #2
reactor, and nitrifying bacteria prevailed over denitrifying bacteria in the
biobead #3 reactor. The results of the MPN test suggested that the biobead #2
reactor was a transition zone leading to acclimated nitrifying biofilms in the
biobead #3 reactor. Phylogenetic analysis of 16S rDNA sequences cloned from
biofilms showed that the biobead #1 reactor, which received a high organic
loading rate, had much diverse microorganisms, whereas the biobead #2 and #3
reactors were dominated by the members of Proteobacteria. DGGE analysis with the
ammonia monooxygenase (amoA) gene supported the observation from the MPN test
that the biofilms of September were fully developed and specialized for
nitrification in the biobead reactor #3. All of the DNA sequences of the amoA
DGGE bands were very similar to the sequence of the amoA gene of Nitrosomonas
species, the presence of which is typical in the biological aerated filters. The
results of this study showed that organic and inorganic nutrients were
efficiently removed by both denitrifying microbial populations in the anaerobic
tank and heterotrophic and nitrifying bacterial biofilms well-formed in the three
functional biobead reactors in the Aerated Up-Flow Biobead process.
PMID- 18051757
TI - Detection and characterization of a lytic Pediococcus bacteriophage from the
fermenting cucumber brine.
AB - Of the twelve lytic bacteriophages recovered from five different fermenting
cucumber tanks that were inoculated with Pediococcus sp. LA0281, a lytic phage,
phips05, was characterized in the present study. The plaques were mostly clear
and round-shaped on the lawn of starter strain, indicating lytic phage. Overall
appearance indicated that it belongs to the Siphoviridae family or Bradley's
group B1, with a small isometric head and a flexible noncontractile tail with
swollen base plate. The average size was found to be 51.2 nm in head diameter and
11.6 nm wide x 129.6 nm long for the tail. The single-step growth kinetics curve
showed that the eclipse and the latent period were 29 min and 34 min,
respectively, and an average burst size was calculated to be 12 particles per
infective center. The optimum proliferating temperature (35 degrees C) was
slightly lower than that of cell growth (35 to 40 degrees C). The structural
proteins revealed by SDS-PAGE consisted of one main protein of 33 kDa and three
minor proteins of 85, 58, and 52 kDa. The phage genome was a linear double
stranded DNA without cohesive ends. Based on the single and double digestion
patterns obtained by EcoRI, HindIII, and SalI, the physical map was constructed.
The overall size of the phage genome was estimated to be 24.1 kb. The present
report describes the presence of a lytic phage active against a commercial
starter culture Pediococcus sp. LA0281 in cucumber fermentation, and a
preliminary study characterizes the phage on bacterial successions in the process
of starter-added cucumber fermentation.
PMID- 18051758
TI - Black rice (Oryza sativa L. var. japonica) hydrolyzed peptides induce expression
of hyaluronan synthase 2 gene in HaCaT keratinocytes.
AB - Black rice (Oryza sativa L. var. japonica) has been used in folk medicine in
Asia. To understand the effects of black rice hydrolyzed peptides (BRP) from
germinated black rice, we assessed the expression levels of about 20,000
transcripts in BRP-treated HaCaT keratinocytes using human 1A oligo microarray
analysis. As a result, the BRP treatment showed a differential expression ratio
of more than 2-fold: 745 were activated and 1,011 were repressed. One of the most
interesting findings was a 2-fold increase in hyaluronan synthase 2 (HAS2) gene
expression by BRP. Semiquantitative RT-PCR showed that BRP increased HAS2 mRNA in
dose-dependent manners. ELISA showed that BRP effectively increased hyaluronan
(HA) production in HaCaT keratinocytes.
PMID- 18051759
TI - A two-strain mixture of rhizobacteria elicits induction of systemic resistance
against Pseudomonas syringae and Cucumber mosaic virus coupled to promotion of
plant growth on Arabidopsis thaliana.
AB - We evaluated a commercial biopreparation of plant growth-promoting rhizobacteria
(PGPR) strains Bacillus subtilis GB03 and B. amyloliquefaciens IN937a formulated
with the carrier chitosan (BioYield) for its capacity to elicit growth promotion
and induced systemic resistance against infection by Cucumber Mosaic Virus (CMV)
and Pseudomonas syringae pv. tomato DC3000 in Arabidopsis thaliana. The
biopreparation promoted plant growth of Arabidopsis hormonal mutants, which
included auxin, gibberellic acid, ethylene, jasmonate, salicylic acid, and
brassinosteroid insensitive lines as well as each wild-type. The biopreparation
protected plants against CMV based on disease severity in wild-type plants.
However, virus titre was not lower in control plants and those treated with
biopreparation, suggesting that the biopreparation induced tolerance rather than
resistance against CMV. Interestingly, the biopreparation induced resistance
against CMV in NahG plants, as evidenced by both reduced disease severity and
virus titer. The biopreparation also elicited induced resistance against P.
syringae pv. tomato in the wild-type but not in NahG transgenic plants, which
degrade endogenous salicylic acid, indicating the involvement of salicylic acid
signaling. Our results indicate that some PGPR strains can elicit plant growth
promotion by mechanisms that are different from known hormonal signaling
pathways. In addition, the mechanism for elicitation of induced resistance by
PGPR may be pathogen-dependent. Collectively, the two-Bacilli strain mixture can
be utilized as a biological inoculant for both protection of plant against
bacterial and viral pathogens and enhancement of plant growth.
PMID- 18051760
TI - Characterization of paraplantaricin C7, a novel bacteriocin produced by
Lactobacillus paraplantarum C7 isolated from kimchi.
AB - A Lactobacillus paraplantarum strain producing a bacteriocin was isolated from
kimchi using the spot-on-the lawn method and named L. paraplantarum C7. The
bacteriocin, paraplantaricin C7, was found to inhibit certain Lactobacillus
strains, including L. plantarum, L. pentosus, and L. delbrueckii subsp. lactis.
It also inhibited Enterococcus faecalis, yet did not inhibit most of the other
LAB (lactic acid bacteria) tested. The maximum level of paraplantaricin C7
activity was observed under the culture conditions of 25 degrees C and a constant
pH of 4.5. Paraplantaricin C7 retained 90% of its activity after 10 min of
treatment at 100 degrees C and remained stable within a pH range of 2-8. Based on
a culture supernatant, paraplantaricin C7 was purified by DEAE-Sephacel column
chromatography and C18 reverse-phase HPLC. SDS-PAGE and activity staining were
then conducted using the purified paraplantaricin C7, and its molecular mass
determined to be about 3,800 Da. The 28 N-terminal amino acids from the purified
paraplantaricin C7 were determined, and the structural gene encoding
paraplantaricin C7, ppnC7, was cloned by PCR using degenerate primers based on
the N-terminal amino acid sequence. The nucleotide sequences for ppnC7 and other
neighboring orfs exhibited a limited homology to the previously reported
plantaricin operon genes. Paraplantaricin C7 is a novel type II bacteriocin
containing a double glycine leader sequence.
PMID- 18051761
TI - Influence of aeration during propagation of pitching yeast on fermentation and
beer flavor.
AB - The effect of yeast propagated at different aeration conditions on yeast
physiology, fermentation ability, and beer quality was investigated using three
strains of Saccharomyces cerevisiae. It was shown that yeast cells grown under
continuous aeration conditions during propagation were almost two times higher as
compared with discontinuous aeration conditions. The maximum of cell growth of
all samples reached between 36 h and 48 h. The concentration of trehalose was
increased under continuous aerated yeasts, whereas glycogen was decreased. It was
also observed that the concentration of glycogen and trehalose in yeast cells had
no direct effect on subsequent fermentation ability. The effect of yeast
propagated under different aeration conditions on subsequent fermentation ability
was different from yeast strains, in which the influence will be most pronounced
at the first fermentation. Later, the yeasts might regain its original
characteristics in the following fermentations. Generally, continuously
propagated yeast had a positive effect on beer quality in subsequent
fermentation. Hence, the concentration of aroma compounds obtained with yeast
propagated under 6 1/h for 48 h aeration was lower than those grown under other
aeration conditions in the bottom yeasts; in particular, the amounts of
phenylethyl alcohol, ester, and fatty acids were decreased.
PMID- 18051762
TI - Differential stringent responses of Streptomyces coelicolor M600 to starvation of
specific nutrients.
AB - This study focused on the involvement of the unusual nucleotide (p)ppGpp, a
stringent factor, during the morphological and physiological differentiation of
Streptomyces coelicolor. Two genes, relA and rshA, were disrupted to demonstrate
the roles of the stringent factor in the differentiation. The intracellular
concentration of (p)ppGpp in the wild-type (M600) and disrupted mutants was
measured in relation to the intentional starvation of a specific nutrient such as
carbon, nitrogen, and phosphate or the in situ depletion of nutrients in a batch
culture. As a result, it was found that the morphological characteristic of the
deltarelA mutant was a bld phenotype forming condensed mycelia, whereas the
deltarshA mutant grew fast-forming spores and straightforward mycelia. In both
mutants, the production of actinorhodin (Act) was completely abolished, yet the
undecylprodigiosin (Red) production was increased. Intracellular (p)ppGpp was
detected in the deltarelA mutant in the case of limited phosphate, yet not with
limited carbon or nitrogen sources. In contrast, (p)ppGpp was produced in the
deltarshA mutant under limited carbon and nitrogen conditions. Therefore,
(p)ppGpp in S. coelicolor was found to be selectively regulated by either the
RelA or RshA protein, which was differentially expressed in response to the
specific nutrient limitation. These results were also supported by the in situ
ppGpp production during a batch culture. Furthermore, it is suggested that RelA
and RshA are bifunctional proteins that possess the ability to both synthesize
and hydrolyze (p)ppGpp.
PMID- 18051763
TI - Statistical optimization of medium components for the production of biosurfactant
by Bacillus licheniformis K51.
AB - The nutritional medium requirement for biosurfactant production by Bacillus
licheniformis K51 was optimized. The important medium components, identified by
the initial screening method of Plackett-Burman, were H3PO4, CaCl2, H3BO3, and Na
EDTA. Box-Behnken response surface methodology was applied to further optimize
biosurfactant production. The optimal concentrations for higher production of
biosurfactants were (g/l): glucose, 1.1; NaNO3, 4.4; MgSO4 x 7H2O, 0.8; KCl, 0.4;
CaCl2, 0.27; H3PO4, 1.0 ml/l; and trace elements (mg/l): H3BO3, 0.25; CuSO4, 0.6;
MnSO4, 2.2; Na2MoO4, 0.5; ZnSO4, 6.0; FeSO4, 8.0; CoCl2, 1.0; and Na-EDTA, 30.0.
Using this statistical optimization method, the relative biosurfactant yield as
critical micelle dilution (CMD) was increased from 10x to 105x, which is ten
times higher than the non-optimized rich medium.
PMID- 18051764
TI - Hydrogen sulfide removal by immobilized Thiobacillus novellas on SiO2 in a
fluidized bed reactor.
AB - The removal of hydrogen sulfide (H2S) from aqueous media was investigated using
Thiobacillus novellas cells immobilized on a SiO2 carrier (biosand). The optimal
growth conditions for the bacterial strain were 30 degrees C and initial pH of
7.0. The main product of hydrogen sulfide oxidation by T. novellus was identified
as the sulfate ion. A removal efficiency of 98% was maintained in the three-phase
fluidized-bed reactor, whereas the efficiency was reduced to 90% for the two
phase fluidized-bed reactor and 68% for the two-phase reactor without cells. The
maximum gas removal capacity for the system was 254 g H2S/m3/h when the inlet H2S
loading was 300 g/m3/h (1,500 ppm). Stable operation of the immobilized reactor
was possible for 20 days with the inlet H2S concentration held to 1,100 ppm. The
fluidized bed bioreactor appeared to be an effective means for controlling
hydrogen sulfide emissions.
PMID- 18051765
TI - Identification and functional analysis of vibrio vulnificus SmcR, a novel global
regulator.
AB - Recently, quorum sensing has been implicated as an important global regulator
controlling the production of numerous virulence factors such as capsular
polysaccharides in bacterial pathogens. The nucleotide and deduced amino acid
sequences of smcR, a homolog of V. harveyi luxR identified from V. vulnificus
ATCC29307, were analyzed. The amino acid sequence of SmcR from V. vulnificus was
72 to 92% similar to those of LuxR homologs from Vibrio spp. Functions of SmcR
were assessed by the construction of an isogenic mutant, whose smcR gene was
inactivated by allelic exchanges, and by evaluating its phenotype changes in
vitro and in mice. The disruption of smcR resulted in a significant alteration in
biofilm formation, in type of colony morphology, and in motility. When compared
with the wild-type, the smcR mutant exhibited reduced survival under adverse
conditions, such as acidic pH and hyperosmotic stress. The smcR mutant exhibited
decreased cytotoxic activity toward INT 407 cells in vitro. Furthermore, the
intraperitoneal LD50 of the smcR mutant was approximately 10(2) times higher than
that of parental wild-type. Therefore, it appears that SmcR is a novel global
regulator, controlling numerous genes contributing to the pathogenesis as well as
survival of V. vulnificus.
PMID- 18051766
TI - Qualitative and quantitative analysis of genetically modified pepper.
AB - For the development of qualitative and quantitative PCR methods of genetically
modified (GM) pepper developed in Korea, a capsanthin-capsorubin synthase (CCS)
gene was used as the endogenous reference gene. The primer pair ccs-F/R
amplifying the pepper endogenous gene gave rise to an amplicon of 102 bp. No
amplified product was observed when DNA samples from 16 different plants were
used as templates. The construct-specific primer pairs amplifying the junction
region of the bar gene and Ti7 introduced in GM pepper gave rise to an amplicon
of 182 bp. Quantitative PCR assay was performed using a TaqMan probe and a
standard plasmid as a reference molecule, which contained both an endogenous and
event-specific sequence. For the validation of this method, the test samples
containing 0.1, 1, 3, 5, and 10% GM pepper were quantified.
PMID- 18051767
TI - Characterization of site-specific recombination by the integrase MJ1 from
enterococcal bacteriophage phiFC1.
AB - Bacteriophage phiFC1 integrase (MJ1) was previously shown to perform a site
specific recombination between a phage attachment site (attP) and a host
attachment site (attB) in its host, Enterococcus faecalis, and also in a non-host
bacterium, Escherichia coli. Here, we investigated biochemical features of MJ1
integrase. First, MJ1 integrase could perform in vitro recombination between attP
and attB in the absence of additional factors. Second, MJ1 integrase interacted
with att sites. Electrophoretic mobility shift assays and DNase I footprinting
revealed that MJ1 integrase could efficiently bind to all the att sites and that
MJ1 integrase recognized relatively short sequences (approximately 50 bp)
containing an overlapping region within attB and attP. These results demonstrate
that MJ1 integrase indeed catalyzes an integrative recombination between attP and
attB, the mechanism of which might be simple and unidirectional, as found in
serine integrases.
PMID- 18051768
TI - Functions of metallothionein generating interleukin-10-producing regulatory CD4+
T cells potentiate suppression of collagen-induced arthritis.
AB - Metallothionein, a cysteine-rich stress response protein that is naturally
induced by a variety of immunologic stressors, has been shown to suppress
autoimmune disorders through mechanisms not yet fully defined. In the present
study, we examined the underlying mechanisms by which metallothionein might
mediate such regulation of autoimmunity. Naive CD4+ T cells from metallothionein
deficient mice differentiated to produce significantly less IL-10, TGF-gamma, and
repressor of GATA, but more IFN-gamma and T-bet, when compared with those from
wild-type mice. The levels of IL-4 and GATA-3 production were not different
between the two groups of mice. Conversely, treatment with exogenous
metallothionein during the priming phase drove naive wild-type CD4+ T cells to
differentiate into cells producing more IL-10 and TGF-beta, but less IFN-gamma
than untreated cells. Metallothionein-primed cells were hyporesponsive to
restimulation, and suppressive to T cell proliferation in an IL-10-dependent
manner. Lymphocytes from metallothionein-deficient mice displayed significantly
elevated levels of AP-1 and JNK activities in response to stimulation compared
with those from wild-type controls. Importantly, transgenic mice overexpressing
metallothionein exhibited significantly reduced susceptibility to collagen
induced arthritis and enhanced IL-10 level in the serum, relative to their
nontransgenic littermates. Taken together, these data suggest that
metallothionein is able to promote the generation of IL-10- and TGF-beta
producing type 1 regulatory T-like cells by downregulating JNK-dependent AP-1
activity. Thus, metallothionein may play an important role in the regulation of
Th1-dependent autoimmune arthritis, and may represent both a potential target for
therapeutic manipulation and a critical element in the diagnostic assessment of
disease potential.
PMID- 18051769
TI - Interaction proteome analysis of Xanthomonas Hrp proteins.
AB - Because of the importance of the type III protein-secretion system in bacteria
plant interaction, its function in bacterial pathogenesis of plants has been
intensively studied. To identify bacterial proteins interacting with Xanthomonas
hrp gene products that are involved in pathogenicity, we performed the
glutathione-bead binding analysis of Xanthomonas lysates containing GST-tagged
Hrp proteins. Analysis of glutathione-bead bound proteins by 1-DE and MALDI-TOF
has demonstrated that Avr proteins, RecA, and several components of the type III
secretion system interact with HrpB protein. This proteomic approach could
provide a powerful tool in finding interaction partners of Hrp proteins whose
roles in host-pathogen interaction need further studies.
PMID- 18051770
TI - Thermal resistance and inactivation of Enterobacter sakazakii isolates during
rehydration of powdered infant formula.
AB - Enterobacter sakazakii may be related to outbreaks of meningitis, septicemia, and
necrotizing enterocolitis, mainly in neonates. To reduce the risk of E. sakazakii
in baby foods, thermal characteristics for Korean E. sakazakii isolates were
determined at 52, 56, and 60 degrees C in saline solution, rehydrated powdered
infant formula, and dried baby food. In saline solution, their D-values were 12
16, 3-5, and 0.9-1 min for each temperature. D-values increased to 16-20, 4-5,
and 2-4 min in rehydrated infant formula and 14-17, 5-6, and 2-3 min in dried
baby food. The overall calculated z-value was 6-8 for saline, 8-10 for powdered
infant formula, and 9-11 for dried baby food. Thermal inactivation of E.
sakazakii during rehydration of powdered infant formula was investigated by
viable counts. Inactivation of cultured E. sakazakii in infant formula milk did
not occur for 20 min at room temperature after rehydration with the water at 50
degrees C and their counts were reduced by about 1-2 log CFU/g at 60 degrees C
and 4-6 log CFU/ml with the water at 65 and 70 degrees C. However, the
thermostability of adapted E. sakazakii to the powdered infant formula increased
more than two times. Considering that the levels of E. sakazakii observed in
powdered infant formula have generally been 1 CFU/100 g of dry formula or less,
contamination with E. sakazakii can be reduced or eliminated by rehydrating water
with at least 10 degrees C higher temperature than the manufacturer-recommended
50 degrees C.
PMID- 18051771
TI - Crystallization and preliminary X-ray diffraction analysis of BcOMT2 from
Bacillus cereus: a family of O-methyltransferase.
AB - O-Methyltransferases (OMTs), one of the ubiquitous enzymes in plants, bacteria,
and humans, catalyze a methyl-transfer reaction using S-adenosylmethionine and a
wide range of phenolics as a methyl donor and acceptor, respectively. Substrates
for most bacterial OMTs have largely remained elusive, but recent investigation
using BcOMT2, an OMT from Bacillus cereus, suggested that ortho
dihydroxyflavonoids could serve as substrates. To elucidate the functional and
structural features of BcOMT2, we expressed, and purified BcOMT2, and
crystallized an apoenzyme and its ternary complex in the presence of a flavonoid
and S-adenosylhomocysteine. Each crystal diffracted to 1.8 angstroms with its
space group of C2 and P2(1)2(1)2(1), respectively. Structural analysis of apo
BcOMT2 and its ternary complex will provide the structural basis of
methyltransfer onto (iso)flavonoids in a regiospecific manner.
PMID- 18051772
TI - Phosphatidylcholine is required for the efficient formation of photosynthetic
membrane and B800-850 light-harvesting complex in Rhodobacter sphaeroides.
AB - No phosphatidylcholine (PC) was detected in the membrane of Rhodobacter
sphaeroides pmtA mutant (PmtA1) lacking phosphatidylethanolamine (PE) N
methyltransferase, whereas PE in the mutant was increased up to the mole %
comparable to the combined level of PE and PC of wild type. Neither the fatty
acid composition nor the fluidity of membrane was altered by pmtA mutation.
Consistently, aerobic and photoheterotrophic growth of PmtA1 were not different
from wild type. However, PmtA1 showed an extended lag phase (15 h) after the
growth transition from aerobic to photoheterotrophic conditions, indicating the
PC requirement for the efficient formation of intracytoplasmic membrane (ICM).
Interestingly, the B800-850 complex of PmtA1 was decreased more than twofold in
comparison with wild type, whereas the level of the B875 complex comprising the
fixed photosynthetic unit was not changed. Since puc expression is not affected
by pmtA mutation, PC appears to be required for the proper formation of the B800
850 complex in the ICM of R. sphaeroides.
PMID- 18051773
TI - Kinetics properties of guaiacol peroxidase activity in Crocus sativus L. corm
during rooting.
AB - BACKGROUND: Guaiacol peroxidases (GP) are haem-containing enzymes participating
in many physiological processes in plants. The expression pattern of these
enzymes is organ-specific and developmentally regulated. METHODS: The presence of
GP activity in extract samples, prepared from Crocus sativus L. corms that were
either dormant or rooting for 3, 6 and 10 days, was investigated. RESULTS:
Kinetic studies revealed a significant similarity among GP activities detectable
in the corm at different stages of development: in all extract samples, the
activity was maximal at pH 7.5 and after preincubation at 30-40 degrees C. When
guaiacol was used as the varying substrate, Michaelis-Menten kinetics behavior
was observed in all extract samples and resulted in similar KM values; catalytic
efficiencies were also very similar. The corm GP activity was inhibited by
cyanide, azide and ascorbate. The GP activities from different extract samples
had the same sensitivities to azide, cyanide and ascorbate and the type of
inhibition by azide and cyanide was competitive and uncompetitive, respectively,
while ascorbate inhibited the GP activity non-competitively. Corm extract samples
from different stages of rooting similarly responded to temperature treatment and
a biphasic Arrhenius plot resulted for each extract sample studied. When dormant,
3-, 6- and 10-days-rooting corm extracts were submitted to non-denaturing
polyacrylamide gel electrophoresis, the GP-specific activity staining revealed
one band on the gel, with the same migrating distances. CONCLUSION: This finding
in combination with kinetic studies demonstrated that at least one form of GP,
with an apparent molecular weight of 68 kDa, was expressed during development of
Crocus sativus L. corm.
PMID- 18051775
TI - Influence of E1-deleted recombinant adenoviruses on B7.1 and IL-2 expression in
C1498 cells.
AB - BACKGROUND: Knowing that adenoviral vectors could initiate innate immunity, the
ability of E1-deleted recombinant adenovirus (Ad-E1Delta) in induction of B7.1
and IL-2 molecules was studied. METHODS: The expression of green fluorescent
protein in C1498 cells following transfection of these cells with adenovirus
green fluorescent protein vector confirmed the ability of adenovirus vectors in
infecting the cells and inducing the expression of the gene of interest. The
expression of B7.1 molecule on the surface of the cells was assayed upon
infection with Ad-E1Delta vector. Adenovirus-IL-2/B7.1 vector capable of inducing
IL-2 and B7.1 expression in the cells was used as the positive control vector.
RESULTS: According to the FACS results, about 4.17% of normal cells expressed
B7.1 on their surface, while this level was increased in Ad-E1Delta transduced
cells up to 14.43%. These results demonstrate that Ad E1? vector considerably
(about 3 folds) increases the expression of B7.1 on the cells. No detectable IL-2
was secreted into the medium of non-transduced and Ad-E1Delta transduced
cellscells. CONCLUSION: Data indicate that the infection of C1498 cells with
recombinant adenoviruses stimulates expression of B7.1 on the cell surface rather
than secretion of IL-2 into the medium.
PMID- 18051774
TI - Cloning and expression of coxsakievirus B3 viral protein-1 in E. coli.
AB - BACKGROUND: Viral protein-1 (VP1) is a major capsid protein of Coxsakievirus B3
(CVB3) that plays an important role in directing viruses towards permissive cells
and acts as a main antigenic site of the virus in eliciting of host immune
response, hence it seems VP1 can be considered as a vaccine candidate against
CVB3 infection. In this study, cDNA of VP1 was prepared, cloned into pET
expression vector and the recombinant protein (VP1) was over expressed in E.
coli. METHODS: The viruses were grown in suspension cultures of Vero cells with
an input virus multiplicity of 10-50 plaque-forming units/cell. After observing
complete cytopathic effect, the total RNA (cells and virus) was prepared for RT
PCR and by using specific primers, VP1 cDNA was amplified and ligated into pET
vectors (32 a and 28 a). The recombinant vector was transferred into competent E.
coli (BL-21) and after selection of proper colony, which carried correct cDNA
within the vector; cells were cultured and induced with isopropyl B-D
thiogalactopyranoside, in order to express protein (VP1). The cultures were
tested for presence of VP1 by SDS-PAGE and Western-Blotting analysis. RESULTS:
Molecular techniques such as PCR which showed exact defined size of the VP1 (819
bp), restriction digestion and finally immunoblot analysis of over expressed
protein; all confirmed the correct cloning and expression of VP1 in this
research. CONCLUSION: In this research, full length of VP1 as major capsid
protein of CVB3 was over expressed in E. coli which, can be used for further
studies, including neutralizing antibody production against CVB3.
PMID- 18051776
TI - Distribution of enterococcal species and detection of vancomycin resistance genes
by multiplex PCR in Tehran sewage.
AB - BACKGROUND: Enterococci are important because of their role as the leading cause
of nosocomial infections which have a significant role in the dissemination and
persistence of antimicrobial resistance genes. METHODS: In this study, we
determined the distribution of enterococcal species in the sewage treatment
plants in Iran. Furthermore, we improved a rapid and specific PCR method using
primers (sodA and ddl genes) for identification of enterococci spp. RESULTS AND
CONCLUSION: A total number of 712 enterococci spp. were isolated and the results
showed that 56%, 24%, 12%, 4%, 2%, 1% and 1% isolates were E. faecium, E. hirae,
E. faecalis, E. gallinarum, E. casseliflavus, E. mundtii and other enterococcal
spp., respectively. The use of species-specific PCR was in agreement with the
biochemical tests. Furthermore, multiplex PCR was developed to study the presence
of vancomycin resistant genes in E. faecium or E. faecalis. The multiplex PCR
appeared to be a useful, rapid and specific method for detecting and
discriminating genotypes for vancomycin-resistant Enterococcus.
PMID- 18051777
TI - Monitoring pyrethroid insecticide resistance in major malaria vector Anopheles
culicifacies: comparison of molecular tools and conventional susceptibility test.
AB - BACKGROUND: Anopheles culicifacies is a main malaria vector in southeastern part
of Iran, bordring Afghanistan and Pakistan. So far, resistance to DDT, dieldrin,
malathion and partial tolerance to pyrethroids has been reported in An.
stephensi, but nothing confirmed on resistance status of An. culicifacies in
Iran. METHODS: In current study, along with WHO routine susceptibility test with
DDT (4%), dieldrin (0.4%), malathion (5%), permethrin (0.25%), lambadacyhalothrin
(0.1%), and deltamethrin 0.025, we cloned and sequenced segment VI of domain II
(SII6) in voltage-gated sodium channel (vgsc) gene of An. culicifacies specimens
collected in Sistan and Baluchistan province (Iran). RESULTS: A 221-bp amplified
fragment showed 91% and 93% similarity with exon I and exon II of An. gambiae.
The size of intron II in An. culicifacies is 62 bp, while in An. gambiae is 57
bp. The major difference within An. culicifacies specimens and also with An.
gambiae is in position 29 of exon I, which led to substitution of Leu to His
amino acid. CONCLUSION: This data will act as first report on partial sequence of
vgsc gene and its polymorphism in An. culicifacies. A Leu to His amino acid
substitution detected upstream the formerly known knockdown resistance (kdr)
mutation site could be an indication for other possible mutations related to
insecticide resistance. However, the result of WHO susceptibility test carried
out in Baluchistan of Iran revealed a level of tolerance to DDT and dieldrin, but
almost complete susceptibility to pyrethroids in An. culicifacies. We postulate
that the molecular diagnostic tool developed for detection and identification of
kdr-related mutations in An. culicifacies, could be useful in monitoring
insecticide resistance in Iran and neighbouring countries such as Pakistan and
Afghanistan. A phylogenetic tree also constructed based on the sequence of exon I
and II, which readily separated An. culicifacies populations from An. stephensi,
An. fluviatilis and An. gambiae.
PMID- 18051778
TI - Effect of esophageal distention on basal and stimulated gastric acid secretion in
rats.
AB - BACKGROUND: It is well established that the esophageal distention (ED) leads to
gastric relaxation, partly by vago-vagal reflex, but till now, the effect of ED
on gastric acid secretion has not been investigated. The aim of this study was to
investigate the effect of ED on basal and stimulated gastric acid secretion.
METHODS: Adult male Wistar rats (200-240 g) were deprived of food but not the
water 24 h before the experiments. Under urethane anesthesia (1.2 g/kg, i.p.),
animals underwent tracheostomy and laparotomy. A catheter was inserted in the
stomach through duodenum for gastric distention and gastric washout and the
esophagus was cannulated with a distensible balloon orally to distend esophagus
(0.3 ml, 10 min). Gastric acid secretion was stimulated by gastric distention,
carbachol (4 microg/kg, i.p.) or histamine (5 mg/kg, s.c.). Effects of vagotomy,
NG-nitro-L-arginine methyl ester (L-NAME, 10 mg/kg, i.v.) and also hexamethonium
were investigated. RESULTS: Basal and gastric distention- and carbachol,
histamine-stimulated acid secretion were reduced by the ED (P<0.05, P<0.0001,
P<0.01 and P<0.02, respectively). L-NAME (10 mg/kg, i.v.) elevated the acid
output (P<0.002). Vagotomy reduced the inhibitory effect of the esophagus
distention on gastric distention-induced acid secretion (P<0.01). CONCLUSION:
These results indicate that the vagus nerves are involved in the inhibitory
effect of the ED on the basal and stimulated gastric acid secretion. Furthermore,
nitric oxide could be involved.
PMID- 18051779
TI - Influence of flavonols as in vitro on low density lipoprotein glycation.
AB - INTRODUCTION: The non-enzymatic glycation of Low density lipoprotein (LDL) is a
naturally occurring chemical modification of apolipoprotein B as a result of
condensation between lysine residues and glucose. Glycated LDL is poorly
recognized by LDL receptors and initiates different processes that can be
considered proatherogenic. Thus, LDL glycation may contribute in the increased
atherosclerotic risk of patients with diabetes. The objective of this study was
to investigate the effect of naturally occurring flavonols on LDL glycation in
vitro. METHODS: In this study, LDL was isolated from EDTA-plasma by
ultracentrifugation using a single step discontinuous gradient. Then, glucose was
added to LDL and LDL glycation level was estimated in absence and presence of
flavonols by sodium periodate assay. RESULTS: This study was showed that five
flavonols: quercetin, myricetin, kaempferol, rutin and morin decreased LDL
glycation in a dose-dependent manner. Also, it was demonstrated this nutrients
decreased electrophoretic mobility of glycated LDL. CONCLUSION: The results of
this investigation show that flavonols probably with their antioxidant properties
inhibited LDL glycation and thus may have a role in ameliorating atherosclerotic
risk of patients with diabetes mellitus.
PMID- 18051780
TI - Determination of relationships between the ultrasound velocity and the physical
properties of bovine cortical bone femur.
AB - BACKGROUND: Accurate measurements of physical characteristics of bone are
essential for diagnosis, assessment of change following treatment, and therefore,
indirectly, for evaluation of new forms of therapy. This is particularly true of
osteoporosis and aging skeleton, in which fractures occur easily. METHODS: In
this study an ultrasonic system was set-up and calibrated on Plexiglas tubes of
variable thickness then used to detect the cortical bone thickness change in calf
and bovine adult femurs. Lamb waves have been generated and detected using a pair
of Piezoelectric point transducers (transmitter and receiver) operating at 60 kHz
in contact with the surface of the bone. RESULTS: A link has been established
between the ultrasound velocity and the bone thickness. On the other hand, the
density variation has been also investigated by the simulation of the bone
decalcification chemically. The results show that the velocity is very sensitive
to both thickness and density, its value reduces as the cortical bone thickness
and density decrease. CONCLUSION: This technique might be considered in the
attendance of certain bone diseases expressing itself by gradual change in
physical properties.
PMID- 18051781
TI - Designing E1 deleted adenoviral vector by homologous recombination.
AB - BACKGROUND: Adenoviruses are used extensively to deliver genes into mammalian
cells, particularly where there is a requirement for high-level expression of
transgene products in cultured cells, or for use as recombinant viral vaccines or
in gene therapy. In spite of their usefulness, the construction of adenoviral
vectors (AdV) is a cumbersome and lengthy process that is not readily amenable to
the generation of large collection of clones. METHODS: In this project, to delete
E1 gene in adenovirus, an adenoviral plasmid containing lateral sites of E1
region of adenovirus was made and recombination in the 293A cells between the
homologous region of this linearized plasmid and the adenovirus genome resulted
in the formation of the complete adenoviral recombinant. RESULTS: This
recombination resulted in loss of E1 region and we constructed a recombinant
adenovirus type 5 vector that E1 gene was deleted by homologous recombination.
CONCLUSION: Homologous recombination is more easy and fast technique in the
production of AdV.
PMID- 18051782
TI - Rapid DNA extraction protocol from stool, suitable for molecular genetic
diagnosis of colon cancer.
AB - BACKGROUND: Colorectal cancer (CRC) is one of the most common forms of cancers in
the world and is curable if diagnosed at the early stage. Analysis of DNA
extracted from stool specimens is a recent advantage to cancer diagnostics. Many
protocols have been recommended for DNA extraction from stool, and almost all of
them are difficult and time consuming, dealing with high amount of toxic
materials like phenol. Their results vary due to sample collection method and
further purification treatment. In this study, an easy and rapid method was
optimized for isolating the human DNA with reduced PCR inhibitors present in
stool. METHODS: Fecal samples were collected from 10 colonoscopy-negative adult
volunteers and 10 patients with CRC. Stool (1 g) was extracted using
phenol/chloroform based protocol. The amplification of P53 exon 9 was examined to
evaluate the extraction efficiency for human genomic targets and also compared
its efficiency with Machiels et al. and Ito et al. protocols. RESULTS: The
amplification of exon 9 of P53 from isolated fecal DNA was possible in most cases
in 35 rounds of PCR using no additional purification procedure for elimination of
the remaining inhibitors.inhibitors. CONCLUSION: A useful, rapid and easy
protocol for routine extraction of DNA from stool was introduced and compared
with two previous protocols.
PMID- 18051783
TI - [In order to perform clinical trials efficiently in Japan--important issues
regarding monitoring by sponsors].
AB - The guideline for Good Clinical Practice (GCP) of new drugs was enforced as
Ministerial Ordinance No. 28, dated March 27, by the Ministry of Health, Labor
and Welfare. In Article 21 of the guideline, a sponsor shall prepare the
operating procedures of monitoring, and perform monitoring in conformity with the
procedures. The Pharmaceuticals and Medical Devices Agency (PMDA) performs the
GCP review of the application of pharmaceuticals and medical devices, including
both the document-based conformity review and on-site GCP review, in order to
protect human subjects and ensure the integrity of data in clinical trials. The
purpose of monitoring is to perform clinical trials ethically and scientifically.
Important issues in monitoring raised by the GCP reviews by the PMDA are
summarized in this study. Our findings both directly and indirectly reflect the
verification of the GCP guidance of investigational sites. We hope that the
appropriate monitoring will encourage investigators to perform clinical trials
effectively, resulting in clinical trials conforming to the GCP guidelines. Our
review will lead to more effective and safer new drugs and medical devices
applied in Japan. This is not an official PMDA guidance or policy statement.
PMID- 18051784
TI - [Results of a survey of community-acquired-pneumonia and evaluation of old and
new Japanese Respiratory Society guidelines].
AB - We evaluated the usefulness of domestic and foreign guidelines for the diagnosis
and treatment of patients with community-acquired-pneumonia at 23 institutions in
6 prefectures of the Tohoku Area, from December 2003 to November 2004. Based on
the old and new Japanese Respiratory Society (JRS) guidelines, we evaluated
severity, clinical efficacy and detection of atypical pneumonia. As for severity,
the old guidelines led to the diagnosis of an excessive number of 'severe' cases.
On the other hand, patients were appropriately diagnosed as having mild,
moderate, severe, or very severe disease based on the new JRS guidelines (2005).
The severity classification often correlated with the Pneumonia Severity Index
(PSI) of the IDSA guidelines. The efficacy rate for patients who were prescribed
the recommended drug according to the old JRS guidelines was 85.7% and for those
who did not use the recommended drug it was 68.7% (p < 0.001).
PMID- 18051785
TI - [Relationship between smoking cessation rates and underlying diseases].
AB - PURPOSE: To compare smoking cessation rates among patients with underlying
diseases treated with the same anti-smoking program and to analyze the
relationship between disease entity and cessation rate, retrospectively. SUBJECT:
Between June and December 2006, 98 patients were treated at the Nicotine
Addiction Clinic in Nagoya Medical Center. Of these, 97 who had been covered by a
social insurance were enrolled in this study. RESULTS: Underlying diseases were
psychological in 18 (20%), cancer in 9 (9%). cerebrovascular in 13 (13%), and
respiratory in 9 (9%). The overall smoking cessation rate at one month was 61%.
Cessation rates for each underlying disease were 100% in hypertension, 100% in
ischemic heart diseases, 100% in diabetes mellitus, 89% in cancer, 85% in
cerebrovascular diseases, 44% in respiratory diseases, 28% in psychological
disorders, and 17% in HIV infection. CONCLUSIONS: The most prevalent type
underlying disease was psychological disorders. Smoking cessation rates were high
in ischemic heart diseases, cancer, and hypertension, but low in psychological
disorders and HIV infection.
PMID- 18051786
TI - [The clinical significance of mast cell tryptase in bronchial alveolar lavage
fluid in interstitial lung diseases].
AB - Mast cell tryptase plays an important role in fibrosis. Tryptase levels in
bronchial alveolar lavage fluid (BALF) from patients with interstitial lung
diseases are frequently increased, but little is known of the clinical
significance. The study population consisted of 93 patients [38 with sarcoidosis,
23 with collagen vascular disease (CVD), and 32 with idiopathic pulmonary
fibrosis (IPF)]. BALF tryptase levels were measured with a newly developed B12
antibody-fluoroimmunocap method (UniCAP method), which can detect an activated
form of tryptase. We examined the relationship between BALF tryptase levels and
clinical parameters of the diseases. BALF tryptase was detected in 7 (18.4%)
patients with sarcoidosis, 7 (30.4%) with CVD, and 14 (45.8%) with IPF. In
tryptase-positive group, serum ACE levels and the numbers of BALF-mast cells and
lymphocytes were higher than the tryptase-negative group in sarcoidosis, serum
LDH levels were higher in CVD, and the number of BALF-lymphocyte and Hugh-Jones
grade were higher in IPF. Furthermore, tryptase-positive IPF cases had a poorer
outcome than the tryptase-negative group by Kaplan-Meier analysis. Tryptase in
BALF detected with the UniCAP method may be associated with disease activity in
sarcoidosis and CVD, and with severity and poor prognosis in IPF. BALF tryptase
measurement may be useful in the assessment of disease activity and severity in
various interstitial lung diseases.
PMID- 18051787
TI - [A case of bronchocentric granulomatosis associated with Aspergillus that was
difficult to differentiate from lung cancer].
AB - We encountered a case of suspected bronchocentric granulomatosis (BCG) occurring
in a COPD patient. Chest CT on admission showed a nodular shadow with indentation
and a notch-like opacity in right S(3)a. Based on these findings, lung cancer was
suspected. As we could not establish a definitive diagnosis, video-assisted
thoracic surgery was performed. The histological findings of the resected
specimen demonstrated BCG with clustering of fungal hyphae in the bronchioles. As
the lesion was resected completely, we did not give additional treatment with an
antifungal agent. It is difficult to differentiate BCG from lung cancer
preoperatively. However, considering the homogeniecity of the nodule, it may be
able to differentiate this type of lesion from lung cancer based on the feature.
We report a rare case of a solitary nodule caused by BCG with Aspergillosis.
PMID- 18051788
TI - [A case of desquamative interstitial pneumonia with adenocarcinoma of the lung].
AB - A 73-year-old woman was admitted to our hospital because of dyspnea on effort and
diffuse ground-glass opacities in bilateral lower lung fields on a chest
radiograph. CT scans demonstrated a spiculated nodule measuring 9 mm in diameter
in the right S3 and diffuse ground-glass opacities in bilateral lower lobes.
Right upper lobectomy and lung biopsy of the right lower lobe were performed
using video-assisted thoracoscopic surgery. Histological examination revealed
adenocarcinoma in the right S3 and an accumulation of pigmented macrophages in
the alveolar spaces of the lower lobe, which was compatible with desquamative
interstitial pneumonia (DIP). Five months' cessation of smoking was not
sufficiently effective for DIP. Therefore, corticosteroid therapy was started,
and resulted in rapid improvement in symptoms, pulmonary function and CT findings
had been achieved. It is unlikely that the lung cancer and DIP were closely
associated etiologically, because the adenocarcinoma was located far from the
area of DIP.
PMID- 18051789
TI - [A case of chest pain variant asthma].
AB - A 45-year-old woman afflicted with bronchial asthma consulted our hospital
because of severe constricting pain at the sternal area. Her chest pain improved
with montelukast, and she was diagnosed to have chest pain variant asthma. Chest
pain variant asthma is rare.
PMID- 18051790
TI - [Sjogren's syndrome with multiple bullae due to pulmonary nodular amyloidosis].
AB - We reported a case of Sjogren's syndrome with pulmonary involvement diagnosed by
video-assisted thoracoscopic lung biopsy. The patient was a 54-year-old woman
with antiphospholipid syndrome. Her chest radiograph and CT scan showed multiple
nodules with or within cystic lesions. The thoracoscopic lung biopsy specimens
revealed nodular amyloid deposits associated with bronchiolitis. The mechanism of
bulla formation appeared to be a check-valve mechanism caused by the narrowing of
the airway due to bronchiolitis with mononuclear cell infiltration. She has no
symptoms without respiratory failure or functional impairment, therefore we are
following her closely without therapy.
PMID- 18051791
TI - [A case of miliary tuberculosis showing acute respiratory failure during
pregnancy].
AB - A 36-year-old Philippine woman had had fever and general fatigue from September,
2006 (11th week of pregnancy). She was admitted with high fever, general fatigue
and dyspnea on October 16, 2006 (13th week of pregnancy). A chest radiograph on
admission showed bilateral miliary shadows and ground glass shadows. She already
had severe hypoxia on admission. As acid-fast bacilli were positive in urine
(Gaffky 8) and sputum (Gaffky 1), we diagnosed as miliary tuberculosis and
pulmonary tuberculosis complicated with acute respiratory distress syndrome
(ARDS). We treated her with antituberculosis chemotherapy, corticosteroid,
sivelestat sodium hydrate, direct hemoperfusion using a polymyxin B immobilized
column, and mechanical ventilation, but she died due to respiratory failure. We
emphasize that in this case pregnancy has the risk of to causing disease
progression of miliary tuberculosis and we should treat immediately and
intensively for miliary tuberculosis complicated with ARDS.
PMID- 18051792
TI - [Successful corticosteroid therapy of a recurrent thymoma with pure red cell
aplasia].
AB - A 71-year-old man underwent extended thymomectomy with partial resection of the
upper lobe of the left lung and pericardium for stage III invasive thymoma in
March, 2000. Postoperative chemotherapy and radiation therapy were carried out.
The patient did well until June 2005, when a mediastinal mass and pleural
dissemination were detected. The recurrence of the thymoma was strongly suspected
radiographically. Combination chemotherapy of carboplatin and paclitaxel achieved
partial remission. About three months after the last chemotherapy, the patient
had a severe anemia in February 2006. Based on the blood data and the bone marrow
examination, pure red cell aplasia was diagnosed. Prednisolone treatment (50 mg
daily) resulted in dramatic regression of recurrent mediastinal and pleural
tumors, as well as improvement of pure red cell aplasia.
PMID- 18051793
TI - [A case of tuberculous pleurisy with a rapid decrease in pleural effusion].
AB - We report a rare case of tuberculous pleurisy with a rapid decrease in pleural
effusion by levofloxacin. A 73-year-old woman admitted due to dyspnea on exertion
of one month duration. She had aortitis syndrome which had been treated with oral
prednisolone for 6 months. Chest roentgenogram showed left pleural effusion,
which was exudative with lymphocyte predominance and an increased level of
adenosine deaminase. One-week administration of levofloxacin remarkably decreased
effusion. as demonstrated on chest roentgenogram 2 weeks after treatment. Since
Mycobacterium tuberculosis was isolated in culture from bronchial lavage fluid
and sputum, we prescribed antituberculous therapy with isoniazid, rifampicin and
ethambutol. resulting in complete resolution.
PMID- 18051795
TI - [A case of allergic bronchopulmonary aspergillosis localized in one bronchial
segment].
AB - A 64-year-old woman was admitted with a chest radiograph abnormality. She had no
compliant and the results of her physical examination were normal. A chest
radiograph showed a 25-mm area of patchy opacity in the left upper lung field.
Chest CT demonstrated a finger-like opacity along the left B3a and c that was
highly suggestive of mucoid impaction of the bronchi. Bronchofiberscopy revealed
mucous plugs in left B3, in which the filariform fungul hyphae were detected by
Grocott stain. Rosenberg's clinical criteria have been cited frequently for the
diagnosis of allergic bronchopulmonary aspergillosis (ABPA). On the one hand his
criteria have historical significance, but at the same time various problems have
been pointed out. Although the patient was not given a diagnosis of ABPA based on
Rosenberg's criteria, she was given it based on the presence of type I
immunologic response, mucoid plugs, hyphae of Aspergillus, central
bronchiectasis. She had localized opacity only along a segmental bronchus. Our
report may provide valuable information on the course of ABPA.
PMID- 18051794
TI - [Direct hemoperfusion with a polymyxin B-immobilized fiber column eliminates
neutrophils and improves pulmonary oxygenation--a comparison of two cases with
acute exacerbation of idiopathic pulmonary fibrosis].
AB - Idiopathic pulmonary fibrosis (IPF) is characterized by radiographically evident
interstitial infiltrates predominantly affecting the lung bases and by
progressive dyspnea and worsening pulmonary function. Acute exacerbation of IPF
is recognized widely as an accelerated phase occurring suddenly in the course of
IPF, which leads to a catastrophic outcome. No treatment has proven to be
effective so far. We describe two cases of acute exacerbation of IPF which were
treated by direct hemoperfusion with a polymyxin B-immobilized fiber column (PMX)
after steroid pulse therapy. One patient died on the 21st day after direct
hemoperfusion and the other is alive at present. The survivor showed significant
improvement of pulmonary oxygenation correlating with a decrease in the
neutrophil count, SP-D and KL-6 after direct hemoperfusion with PMX. On the other
hand, the non-survivor showed no improvement of pulmonary oxygenation, SP-D and
KL-6 despite a decrease in neutrophil count comparable with that of the survivor.
Neutrophil count which decreased temporarily after direct hemoperfusion with PMX
soon convert to increase in the non-survivor, which is a characteristic
difference between two. We reached the conclusions that (1) direct hemoperfusion
with PMX absorbs neutrophils and this mechanism is effective to improve pulmonary
oxygenation, (2) sometimes neutrophil absorption is not enough to control
pulmonary inflammation in patients with acute exacerbation of IPF.
PMID- 18051796
TI - [A case of exogenous lipoid pneumonia with marked elevation of serum KL-6 and
interstitial lung shadows due to aspiration of liquid paraffin].
AB - A 64-year-old man who ingested liquid paraffin as a laxative for over two years,
was admitted to our hospital with a persistent interstitial lung shadow and
marked elevation of serum KL-6. He had no overt symptoms although his chest
radiograph revealed ground glass opacities in the left lower lung field and right
middle and lower lung fields. We performed fiberoptic bronchoscopy. Exogenous
lipoid pneumonia was diagnosed based on microscopic analysis of the
bronchoalveolar lavage fluid that revealed the presence of lipid-laden alveolar
macrophages. We instructed the patient to discontinue liquid paraffin ingestion
and observed his clinical course. The chest radiograph and thoracic computed
tomography revealed a tendency to improve and serum KL-6 decreased with time.
Serum KL-6 may be an important index of the severity of exogenous lipoid
pneumonia.
PMID- 18051797
TI - [A case of bronchial infection of Wangiella dermatitidis].
AB - A 81-year-old woman was admitted to our hospital because of bloody sputum. Chest
radiograph showed an enhanced right pulmonary hilum shadow. Computed tomography
(CT) revealed localized bronchiectasis of the right lower lung and a nodule
protruding into the bronchus. A parenchymal shadow was also seen distal to the
nodule. Transbronchial biopsy revealed a cluster of yeast-like fungi and the
bronchial lavage culture showed several olive-black colored colonies on Sabouraud
agar. Before culture, we empirically administered fluconazole (400 mg/day) on the
assumption of candida infection based on the yeast like microscopic findings,
however that was not appropriate. Substitution by itraconazole (200 mg/day) made
a slight improvement of the shadow on CT. Later polymerase chain reaction of
specimens taken by TBLB identified the olive-black fungus as Wangiella
dermatitidis. W. dermatitidis is a dematicious fungus sometimes causing tinea
nigra or subcutaneous infection. In compromised patients, it causes
phaeohyphomycosis especially in the central nerve system. In cystic fibrosis
patients, this fungus is recognized to colonize the respiratory tract and rarely
causes pneumonia. This case had no previous immunosuppressing disease, except for
localized bronchiectasis which was found by CT on admission. We think this is a
very rare W. dermatitidis infection case showing a nodular lesion in an
immunocompetent patient.
PMID- 18051798
TI - Adrenalectomy for metastatic adrenal tumors.
AB - The indications for adrenalectomy in cases of metastatic adrenal tumor remain
controversial. To clarify indications and outcomes of adrenalectomy for adrenal
metastasis, we performed a retrospective review of all 8 patients who underwent
adrenalectomy for adrenal metastasis between 1990 and 2006 in Asahikawa Medical
College Hospital. The Primary tumor was renal cell carcinoma in 2 cases, and
eccrine poro carcinoma, rectal cancer, lung cancer, melanoma, bladder cancer and
cancer of unknown origin in 1 case each. Open adrenalectomy was performed in all
cases, including 1 case that was converted from laparoscopic adrenalectomy. Of
the 4 patients with solitary adrenal metastasis, 3 were considered tumor-free
after adrenalectomy, while the remaining patient was not due to unresectable
primary tumor. Of the 3 patients with complete resection, one remained alive as
of 88 months after adrenalectomy but was then lost to follow-up, and the other 2
patients remain alive 12 and 7 months after adrenalectomy. Of the 2 patients with
other resectable metastasis who were tumor-free after removal of all metastases,
one was alive 31 months postoperatively and the other died 23 months after
operation. The remaining 2 cases with other unresectable metastasis died within 6
months after adrenalectomy. At least in cases of solitary adrenal metastasis,
adrenalectomy can be effective if other valid methods are unavailable.
PMID- 18051799
TI - [Clinical study on renal trauma].
AB - We analyzed 26 cases of renal trauma, which occurred during the last 7 years and
6 months. Computed tomography was performed in all cases. Four cases were of type
Ib, 13 cases of type II, 3 cases of type IIIa, 5 cases of type IIIb and 1 case of
type IVa, according to the classification of renal injury by the Japanese
association for the surgery of trauma. Conservative treatment was done in 21
cases, selective transcatheter arterial embolization (TAE) in 4 cases, and
surgical treatment in 1 case. Conservative treatment was effective for type I and
II renal trauma. In the cases of type IIIa and IIIb renal trauma, open surgery
could be avoided and the affected kidney preserved by early TAE.
PMID- 18051800
TI - Results of extracorporeal shock wave lithotripsy for the treatment of upper
urinary tract stones.
AB - The treatment results of 697 renal units in 687 patients treated for upper
urinary tract stones using a Piezolith 2500 at the Ageo Central General Hospital
during the 5-year period between August 1999 and July 2004 were analyzed. The
stone-free rate and the success rate were calculated according to the stone size
and location. Univariate and multivariate logistic regression analyses were
performed to estimate the effect of the patient age, sex, affected side, stone
location and stone size on the stone-free rate and the success rate. Overall, the
stone-free rate and the success rate at 3 months after treatment were 82.6% and
91.9%, respectively. The stone-free rates in renal and ureteral stones were 60.5%
and 88.7%, respectively. The success rates for renal and ureteral stones were
93.6% and 91.6%, respectively. In a univariate logistic regression analysis,
patient age and stone size were significant negative factors for both the stone
free rate and the success rate and the stone location in ureter was a significant
positive factor only for the stone-free rate. In a multivariate analysis, stone
location in the ureter had a positive effect on the stone-free rate, although a
negative effect on the success rate. Extracorporeal shock wave lithotripsy for
upper urinary tract stones was an effective and safe treatment modality. However,
if pre-treatment factors indicate unfavorable outcome, the other treatment
options should be considered for the first line therapy.
PMID- 18051801
TI - [Clinical study of the urinary tract infections due to Escherichia coli harboring
extended-spectrum beta lactamase].
AB - Multiple drug resistance is one of the problems associated with the treatment of
urinary tract infection. Urine bacterial culture confirmed extended-spectrum beta
lactamase (ESBL)-producing Escherichia coli in 56 patients in the Department of
Urology, Hokkaido Social Welfare Association Furano Hospital. The mean age of the
patients was 83 years, and the male-to-female ratio was 1:2. The source of
infection was cystitis in 51 patients and pyelonephritis in 5 patients. The most
common underlying disease was neurogenic bladder in 42 patients, and a urinary
tract catheter had been placed in 33 patients. Before the detection of ESBL
producing E. coli, common bacteria included E. coli, Enterococcus, and
Pseudomonas. ESBL-producing E. coli were sensitive to the following antibiotics:
carbapenem; cephamycin; aminoglycoside; and synthesized penicillin. ESBL
producing E. coli are resistant to multiple drugs. The use of urinary tract
catheterization and antibiotics for asymptomatic urinary tract infection should
be kept to a minimum.
PMID- 18051802
TI - Evaluation of hematuria and proteinuria positivity in relation to ageing in 6,651
apparently healthy men and women.
AB - We examined the positivity of hematuria and proteinuria in relation to ageing in
6,651 apparently healthy persons (2,556 women and 4,095 men) who underwent
multiphasic health screening in our Medical Checkup Center. Commercially
available dipsticks were used. The time from urine collection to dipstick
analysis was within 60 minutes. The mean age of women was 48.2 years (range 10 to
82) and that of men was 49.9 years (range 7 to 89). Approximately 30.1, 1.5, and
0.7% of the women had hematuria, proteinuria, and hematoproteinuria,
respectively; and 11.4, 4.0, 1.5% of the men had the corresponding urine
abnormalities, respectively. Hematuria was 2.6 times more common in women than in
men, and proteinuria was 2.7 times more common in men than in women. The
positivity of hematuria increased linearly with age in women (Rs = 0.943, P =
0.0350). On the other hand, the positivity of proteinuria or hematoproteinuria
was not correlated with age (P = 0.8386 and P = 0.0639, respectively). In men,
the positivity of hematuria or hematoproteinuria was not correlated with age (P =
0.0845 and P = 0.0845, respectively). However, the positivity of proteinuria in
those more than 30-year age group increased linearly with age (Rs = 1.000, P =
0.0455). The true meaning of such gender- and/or age-related differences in
urinary abnormalities remains to be determined.
PMID- 18051803
TI - [Extraperitoneal bilateral tubeless cutaneous ureterostomy with umbilical stoma].
AB - In some patients with intractable symptoms from incurable pelvic cancer, for
example, urgency, severe hematuria, fistula formation and hydronephrosis,
palliative urinary diversion with intestinal segments may not be available.
Percutaneous nephrostomy or indwelling of double-J ureteral stents were usually
performed. However, they suffered catheter trouble and their quality of life
considerably worsened. Therefore, we developed a new technique of bilateral
extraperitoneal tubeless cutaneous ureterostomy with single umbilical stoma. It
resulted in symptom relief in 3 patients. The advantage of this method was as
follows. The dissection was entirely extraperitoneal. The operative time was
short and the procedure could be performed easily. The left ureter need not be
led behind the mesosigmoid. Finally, ostomy care was easy with only one bag.
PMID- 18051804
TI - [Laparoscopic examination of the nonpalpable testis].
AB - We evaluated the results and advantages of laparoscopic examination in 28
patients with 32 nonpalpable testes. Between April 1991 and May 2006, 28
patients, 12 months to 12 years old, with 32 nonpalpable testes underwent
diagnostic laparoscopy under general anesthesia before surgical management of the
testes. If the blind end of the vas deferens and/or spermatic vessels was
observed, the diagnosis of vanishing testis was made, and no further examination
or treatment was performed. If intra-abdominal testis was observed, laparoscopic
orchiectomy or open orchiopexy was performed. If the internal spermatic vessels
and vas deferens entered into the internal inguinal ring, the diagnosis of intra
canalicular testis was made so that the inguinal canal was opened for surgical
interventions. Of the 32 nonpalpable testes 10 were on the right side and 22 were
on the left side (4 patients had bilateral undescended testes). There were 7
(21.8%) vanishing, 5 (15.6%) intra-abdominal and 20 (62.5%) intra-canalicular
testes. There were no complications related to laparoscopy. Laparoscopy can be
safely performed to assess the location of the non-palpable testes. Another
advantage of the laparoscopic examination is that orchiopexy or orchiectomy can
be immediately performed after the examination to avoid a second surgery.
PMID- 18051805
TI - [Case of retroperitoneal paraganglioma].
AB - Paragangliomas are rare neuroendocrine tumors that arise from hyperplastic
paraganglionic cells and occur in or near the ganglia of the autonomic nervous
system. Paragangliomas are classed as either functional or nonfunctional based on
production of catecholamines. We report a case of retroperitoneal nonfunctional
paraganglioma, which was successfully resected. A 26-year-old man presented with
complaints of a mass palpable in his left lateral region. Blood and urine
studies, including catecholamines, were within normal limits. Computed tomography
and magnetic resonance imaging showed a solid cystic mass in the left
retroperitoneal space without any distant metastasis. On exploration, there was
an encapsulated, vascular mass 10 cm in diameter. There was no pedicle and no
connection of the tumor to the abdominal or retroperitoneal organs. Complete
excision of the tumor was performed smoothly. The postoperative period was
uneventful. Histological findings were of paraganglioma, and there was no
surgical margin. The patient did not receive any further treatment. Nine months
after surgery, there were no signs of tumor recurrence.
PMID- 18051806
TI - [Renal cell carcinoma with malignant pleural mesothelioma after asbestos
exposure: a case report].
AB - A 66-year-old man visited complaining of cough and sore throat. He had been
exposed to asbestos 43 years ago. Chest X-ray revealed left pleural effusion and
abnormal shadow in the right lung field. Chest computed tomography (CT) showed
multiple enhanced nodules in the right pleural cavity. Abdominal CT showed a 3-cm
enhanced tumor in the lower pole of the left kidney. Left radical nephrectomy was
performed. Pathological diagnosis was renal cell carcinoma. Postoperatively
pleural biopsy was performed by using thoracoscopy. White plaque was seen at the
costal pleura and surface of lung. Pathological diagnosis was malignant pleural
mesothelioma based on using mesothelium-associated antibodies: calretinin (+),
CK5/6 (+), D2-40 (+), HBME-1 (+), TTF-1 (-), MOC31 (-), CEA (-). Combination
therapy (extrapleural pneumonectomy, chemotherapy, and radiotherapy) was
initiated. Malignant mesothelioma is a devastating neoplasm with a strong
etiological relationship with asbestos exposure. The incidence is rising in
industrialized countries, with the peak expected in the year 2020. However, renal
cell carcinoma with malignant pleural mesothelioma is very rare and this is the
2nd case in the Japanese literature.
PMID- 18051807
TI - [Massive residual stones after ESWL for staghorn cystine calculi were completely
dissolved by oral administration of alkaline citrate: a case report].
AB - A 62-year-old woman was referred to our hospital for bilateral renal stones.
Ultrasonography (US) and computed tomography (CT) revealed bilateral staghorn
calculi and atrophic left kidney. She had extracorporeal shock-wave lithotripsy
(ESWL) for right renal stone during the first 6 months. However, ESWL was not
effective and the patient did not want to continue this treatment. Her stone was
composed of cystine. We started oral administration of alkaline citrate. Then
massive residual stones were completely dissolved during the next 32 months.
PMID- 18051808
TI - [Triple cancer in the urinary system: a case report].
AB - A 74-year-old male was referred to our hospital due to microhematuria that was
pointed out at his health check-up. Cystoscopy showed many papillary bladder
tumors under 5 mm in size. Intravenous pyelography also showed deformity of the
right kidney and shadow defects in the left renal pelvis. Abdominal computed
tomography revealed an 8 cm tumor invading the renal vein in the right kidney,
and a 3 cm tumor in the left renal pelvis. Prostate biopsy was performed with PSA
3.4 ng/ml, and he was also diagnosed with prostate carcinoma. First, he received
right radical nephrectomy, and secondly left nephroureterectomy and cystectomy.
Our case should be called triple cancer because bladder cancer was thought to be
daughter tumor of renal pelvic tumor. This is, to our knowledge, the 11th case
report that occurred in the urinary tact, and the first case that needed total
resection of urinary tract.
PMID- 18051809
TI - [Carcinosarcoma of the prostate: a case report].
AB - A 63-year-old Japanese man with a 1-month history of dyschezia and dysuria was
referred to our hospital. Transrectal ultrasonography and magnetic resonance
imaging demonstrated a mass involving the prostate, measuring about 6.5 cm. The
serum prostate specific antigen was 20.3 ng/ml. By the transperineal needle
biopsy, it was suspected to be fibrosarcoma. Total pelvic exenteration was
performed. Histopathological examination of the tumor revealed carcinosarcoma of
the prostate. One month after the operation local recurrence was manifested by
perineal pain. The recurrent tumor grew rapidly with severe pain. The patient's
condition deteriorated, and finally he died of the disease nine months after the
operation. Carcinosarcoma of the prostate is a rare neoplasm and very aggressive.
There is no established protocol for its treatment and its prognosis is usually
poor. This is the 49th case reported in the literature.
PMID- 18051810
TI - [Urinary retention caused by female urethral diverticulum].
AB - We report a 49-year-old female who had circumferential urethral diverticulum. She
was admitted to our department for urinary retention. A cystic lesion surrounding
the urethra had been detected by magnetic resonance imaging. We diagnosed the
lesion as a urethral diverticulum after injecting dye to the cystic mass from
vagina and confirming its discharge from the urethra at the pubic side by
urethroscopy. Transvaginal resection of the diverticulum was peformed. In
general, 4% of female urethral diverticula cause urinary retention. On the other
hand, 1% of female bladder outlet obstruction is caused by urethral diverticula.
It is neccessary for us to keep in mind urethral diverticulum when we evaluate
female patients with urinary retention.
PMID- 18051811
TI - [Neoadjuvant and adjuvant chemotherapy with methotrexate, cisplatin and bleomycin
for advanced penile cancer: a case report].
AB - A 58-year-old male consulted our hospital because of penile swelling and pain
with bilateral inguinal lymphadenopathy. Pathological examination of the penile
tumor and right superficial inguinal lymph node biopsy demonstrated moderately
differentiated squamous cell carcinoma with lymph node metastasis. We diagnosed
the tumor inoperable radically and adjuvant chemotherapy with methotrexate,
cisplatin and bleomycin was administered, followed by partial penectomy and left
superficial lymphadenectomy. The surgical specimens showed few viable tumor
cells. This combination chemotherapy is suggested to be effective for the
treatment of advanced penile cancer.
PMID- 18051812
TI - [Case of self mutilation of urethra in a Munchausen's syndrome patient].
AB - A 33-year-old man presented with urethral bleeding and syncope. Urethroscopy
revealed erosive lesion with bleeding at bulbar urethra. Magnetic resonance
imaging, biopsy, and blood examination were performed, but the cause of urethral
bleeding was not identified. By accident, chopsticks with blood ware detected in
his ward. It was revealed that urethral bleeding was caused by self-mutilation
with chopsticks. He consulted a psychiatrist, and was diagnosed with
'munchausen's syndrome'.
PMID- 18051813
TI - [New aspect of glaucoma associated with narrow chamber angle].
PMID- 18051814
TI - [The journal impact factor in ophthalmological publications].
AB - The journal impact factor, calculated annually by Thomson Scientific
(Connecticut, USA), is widely used for many functions including the process of
academic evaluation. This article reviews the current trend of the impact factor
of journals dedicated to ophthalmology. Journals publishing a larger volume of
articles on a broad range of topics, such as Archives of Ophthalmology, American
Journal of Ophthalmology, and Ophthalmology, rank at the top in this aspect, and
the majority of journals from the Europe and Japan have a lower impact factor. It
is desirable to keep in mind inherent drawbacks of the journal impact factor and
to use it wisely when assessing a person for tenure or grant.
PMID- 18051815
TI - [Medial canthal anatomy and the lacrimal drainage system].
AB - The Lacrimal drainage system has a close relationship with the orbicularis oculi
muscle. The lacrimal sac and the lacrimal canaliculus are divided into 2
compartments by Horner's muscle. Namely, the lacrimal sac has one part attached
to Horner's muscle and one part unattached, and the lacrimal canaliculus has one
part in Horner's muscle and a part out side. The upper part of the sac dilates
during contraction of Horner's muscle and is compressed during muscle relaxation.
On the other hand, the lower part of the sac, affected by the orbital pressure,
is compressed during contraction of the orbicularis oculi muscle, but dilates
during relaxation. The lacrimal canaliculus in Horner's muscle is compressed
during contraction of Horner' s muscle, and dilates during muscle relaxation.
However, the contraction of the Horner's muscle dilates the part of the
canaliculus not covered by the muscle, but the muscle relaxation compresses the
part between Horner's muscle and the medial canthal tendon. The movement of this
part functions as a valve. In addition to this dynamic drainage, the architecture
of the lacrimal sac and nasolacrimal duct aids the lacrimal drainage as a static
drainage system.
PMID- 18051816
TI - [Appositional angle closure in narrow angle eyes].
AB - Primary angle closure glaucoma (PACG) is a vision-threatening type of glaucoma. A
high prevalence of PACG has been reported in the Asian region. In this review
article, we would like to introduce novel findings on appositional angle closure,
which plays an important role in the processes of PACG, based upon a new
classification. Also, our studies of narrow angle eyes with the use of ultrasound
biomicroscopy(UBM) revealed interesting features of appositional angle closure.
Our studies showed that appositional angle closure was found frequently in dark
conditions, and also that the width and location of the angles examined were
significantly related to the presence of appositional angle closure. The use of
UBM enabled us to observe physiologic changes in the angle structure in dark
conditions, and provided us important information on the pathogenesis of PACG.
PMID- 18051817
TI - [Iris movement mediates pupillary membrane regression].
AB - In the course of mammalian lens development, a transient capillary meshwork
called as the pupillary membrane (PM) forms. It is located in the pupil area to
nourish the anterior surface of the lens, and then regresses to clear the optical
path. Although the involvement of the apoptotic process has been reported in PM
regression, the initiating factor remains unknown. We initially found that
regression of the PM coincided with the development of iris motility, and that
iris movement caused cessation and resumption of blood flow within the PM.
Therefore, we investigated whether the development of the capacity of the iris to
constrict and dilate can function as an essential signal that induces apoptosis
in the PM. Continuous inhibition of iris movement with mydriatic agents
suppressed apoptosis of the PM and resulted in the persistence of PM in rats. The
distribution of apoptotic cells in the regressing PM was diffuse and showed no
apparent localization. These results indicated that iris movement induced
regression of the PM by changing the blood flow within it. This study suggests
the importance of the physiological interactions between tissues-in this case,
the iris and the PM-as a signal to advance vascular regression during organ
development.
PMID- 18051818
TI - [Molecular mechanism of choroidal neovascularization in age-related macular
degeneration].
AB - The exudative form of age-related macular degeneration (AMD) is characterized by
choroidal neovascularization (CNV). Retinal pigment epithelial cells (RPE)
secrete various angiogenesis-related factors, especially vascular endothelial
growth factor (VEGF) and pigment epithelium-derived factor (PEDF). The imbalance
between the VEGF and PEDF secreted by RPE is a key contributor to the development
of CNV in AMD. The earliest clinical hallmark of AMD is the presence of drusen.
Although drusen are an epidemiological risk factor for the development of CNV,
the mechanism of how drusen induce the development of CNV remains unclear. Recent
proteome analysis demonstrated that amyloid beta (Abeta) deposition was specific
to drusen from eyes with AMD. We focused on Abeta and investigated the effect of
Abeta on cultured human RPE cells as well as ocular findings in neprilysin gene
disrupted mice, which leads to an increased deposition of Abeta. Our study
demonstrates that Abeta accumulation affects the balance between VEGF and PEDF in
the RPE, and reproduces features characteristic of human AMD, such as RPE atrophy
and basal deposit formation in neprilysin gene-disrupted mice.
PMID- 18051819
TI - [Erythropoietin as a retinal angiogenic factor in proliferative diabetic
retinopathy].
AB - Although retinal neovascularization in proliferative diabetic retinopathy(PDR) is
a major cause of legal blindness, its mechanism is not fully understood. In this
study, we focused on the angiogenic activity of erythropoietin (Epo) and
evaluated its potential role in treating retinal angiogenesis in PDR. METHODS: We
measured Epo and vascular endothelial growth factor (VEGF) levels in the vitreous
fluids of 73 PDR patients and 71 nondiabetic (NDM) patients. We evaluated Epo
expression and regulation in retinal neovascularization with soluble Epo
receptor. RESULTS: The vitreous Epo level was significantly higher in patients
with PDR than in NDM patients. Multivariate logistic-regression analyses
indicated that Epo and VEGF were independently associated with PDR and that Epo
was more strongly associated with PDR than VEGF was. The blockade of Epo
inhibited retinal neovascularization in vitro and in vivo. CONCLUSIONS: Our data
suggest that Epo is a potent angiogenic factor that acts independently of VEGF
during retinal angiogenesis in PDR.
PMID- 18051820
TI - [Relationship between proliferative diabetic retinopathy and sleep-disordered
breathing].
AB - PURPOSES: To investigate the prevalence of sleep-disordered breathing (SDB) in
proliferative diabetic retinopathy (PDR) patients and clarify the relationship
between SDB and PDR. SUBJECT AND METHODS: Sixty-four PDR patients who had
undergone vitrectomy in our hospital were included in this study. Forty-two
patients were male, and 22 were female. Pulseoximetry was conducted during the
nighttime; the sleeping 3% oxygen desaturation index (ODI/h) was calculated. If
ODI was > or = 10/h, SDB was diagnosed. Blood glucose control and the presence of
hypertension and albuminuria were evaluated and compared between the SDB and non
SDB groups. RESULTS: Fifty percent of male patients, 27% of female patients and
42% of all PDR patients were diagnosed as having SDB. The presence of
hypertension and albuminuria was 96% and 74%, respectively, in the SDB group,
both significantly higher than in the non-SDB group. There were no significant
differences between the two groups in preoperative HbAlc levels. However,
compared with the non-SDB group, the prevalence of insulin therapy was
significantly higher in the SDB group. CONCLUSION: PDR cases had a high frequency
of SDB. It appears that SDB might hamper blood glucose control, raise the
occurrence of hypertension and albuminuria, and is thus related to the
progression of diabetic retinopathy.
PMID- 18051821
TI - [A case of Leber's hereditary optic neuropathy in a female patient with the
recrudescence of hyperthyroidism].
AB - BACKGROUND: Thyroid hormone increases oxygen consumption and regulates
mitochondrial biogenesis. On the other hand, in Leber's hereditary optic
neuropathy(LHON), retinal ganglion cells are exposed to the oxidative stress
generated during the process of adenosine 5'-triphosphate (ATP) synthesis,
eventually leading to a loss of vision. Although there is a possibility that the
thyroid hormone may have a role in the development or the course of LHON, no case
has been reported indicating a relation between them. We report a female case
with LHON who also presented exacerbation of hyperthyroidism during the course of
the disease. CASE: The patient was a thirty-nine-year-old woman who complained of
bilateral loss of vision. Her corrected visual acuity was 0.2 in the right eye,
and 0.1 in the left. Fundus examination showed characteristic findings of LHON in
both eyes. The blood free thyroxin(FT4) level at that time was abnormally high.
The diagnosis of LHON was confirmed by the presence of mitochondrial DNA mutation
at the nucleotide position 11778. Her visual acuity improved after one month of
FT4 normalization. A year later, her corrected visual acuity recovered to 0.9 in
the right eye and 1.0 in the left. CONCLUSION: Hyperthyroidism may be a trigger
in the development of LHON.
PMID- 18051822
TI - [Genetics in ischaemic heart disease].
AB - The role of genetic factors in the pathogenesis of ischaemic heart disease has
recently raised a considerable interest among researchers. Numerous
investigations aim at finding variants of genes which might be responsible for
increasing the risk of this illness. Many studies investigate polymorphic
variants of genes whose protein products contribute to the genesis and
development of atherosclerosis of coronary arteries, thrombogenesis and
fibrinolysis and other processes significant for the progression of ischaemic
heart disease. Genes whose polymorphisms are potentially connected with a given
illness are called genes candidates. In ischaemic heart disease the most often
analyzed genes are those connected with metabolism of lipids, the coagulation and
fibrinolytic system and the renin-angiotensin-aldosterone system. Factors of
inflammation (cytokines, TNF), proliferation of smooth muscles cells and
vasoactivation are also important. The analysis of genetic multifunctional basis
of the disease is rather difficult. Manifestation of the illness is connected
with accumulation of several genetic determinants, while the clinical picture is
additionally modified by environmental factors. Studies of genetic
etiopathogenesis of ischaemic heart disease may result in effective prevention
and treatment in particular patients.
PMID- 18051823
TI - [The some risk factors for atherosclerosis in men with coronary artery disease
depending on the age].
AB - The aim of this study was to search to the factors favouring the earlier
revealing of a coronary artery disease by comparing selected immunological,
hormonal and biochemical parameters between two groups--younger and older men
with coronary artery disease and with responding control groups. MATERIAL AND
METHODS: In the study were included 93 men--60 with stable angina, confirmed by
coronarography (at least 6 months after CABG, PTCA or myocardial infraction, with
EF > 40%) and 33 men without atherosclerotic changes in the coronary vessels, as
the control group. The two groups were divided depending on age: the younger
subgroups [under 60-ty years old--group A with CAD (n=30) and B without CAD
(n=18)] and the older subgroup [over 70-ty years old group C with CAD (n=30) and
D without CAD (n=15)]. RESULTS: Significantly lower concentration were noted for
TNF-alpha--in control groups--by about 50% in the younger and 23% in the older
group (r = 0.49) and homocysteine (by about 20% and 22% respectively, r = 0.40).
Patients with CAD had higher concentration of CRP then in the control groups (by
52% and 54%, r = 0.28). Weak but positive correlation with the presence of a
coronary heart disease was show for IgE and anti-gliadin antibodies (r = 0. 22
0.29). CONCLUSION: The younger and older men with CAD statistically significantly
differed in concentration of TNF-alpha and homocysteine.
PMID- 18051825
TI - [Food challenges in children with asthma].
AB - Food-induced symptoms are often reported and increasing problem, also for
children with asthma. Evaluation of the possibility of concomitant food allergy
in patients with asthma and implementation of adequate therapy can improve
quality of life in these patients. The aim of the study was to evaluate the
prevalence of IgE food allergy and the influence of food allergen ingestion on
the course of asthma in children allergic to inhalant allergens during food
challenges. MATERIAL AND METHODS: The study performed in 304 children with atopic
asthma (age: 5.5-18 years, mean 9.5 +/- 4.69 years) was directed towards the
possibility of concomitant food allergy. In each child a detailed questionnaire
examination, skin prick tests with food allergens, double-blind placebo
controlled food challenges (DBPCFC) were performed, and the level of specific IgE
was determined (Unicap 100). RESULTS: On the basis of questionnaire data
suspicion of food allergy was determined in 164 (66.9%) children. Skin prick
tests with food allergens were positive in 36 (21.9%) children. Specific food IgE
were detected in 40 (24.4%) children. DBPCFC were performed in 70 children with
atopic asthma. IgE-related food allergy was diagnosed in 24 (9.8%) children with
asthma. The most frequent complaints during food challenges were gastrointestinal
symptoms and exacerbation of atopic eczema; exacerbation of asthma, mainly in the
form of cough were determined in 11 (4.5%) children; egg appeared to be the most
common food associated with a positive challenge result. CONCLUSIONS: Food
allergy more often occurred in children with asthma and concomitant skin and
gastrointestinal disorders than in those only with asthma. The diagnosis of
possible concomitant food allergy should be considered in children with asthma.
PMID- 18051824
TI - [Interferon gamma (IFN-gamma) level in broncholaveolar lavage (BAL) fluid is
positively correlated with CD4/CD8 ratio in selected interstitial lung diseases].
AB - IFN-gamma a potent antifibrotic activity in interstitial lung diseases (ILD). T
cells, both Th1 and Tc1, are considered to be the main local source of IFN-gamma.
MATERIAL AND METHODS: BAL fluids of 98 patients with ILD, incl. idiopathic
pulmonary fibrosis (IPF/UIP), sarcoidosis, extrinsic allergic alveolitis (EAA),
asbestosis and silicosis (n=16, 49, 7, 10, 16 resp.) were tested with ELISA for
IFN-gamma levels. Results were compared with BAL cytoimmunology and patients'
clinical data. RESULTS: Significantly increased IFN-gamma levels were found in
non-treated patients with EAA (7.8 +/- 2.1), IPF (6.1 +/- 1.8), Loefgren's
syndrome, LS (11.9 +/- 2.6) and progressive sarcoidosis, PS (6.4 +/- 1.2, p <
0.05 for all), whereas the results in pneumoconioses were comparable to those
obtained in controls (2.0 +/- 1.1 pg/ml, median +/- SEM). IFN-gamma results were
positively correlated with total number of CD4+ cells (r(s) = +0.38, p < 0.05),
CD4+ cells percentage (r(s) = +0.32, p < 0.005) and CD4+/CD8+ ratio (r(s) =
+0.38, p = 0.0007), but negatively correlated with CD8+ cell percentage (r(s) =
0.39, p < 0.0005). In IPF patients with CD4/CD8 < or =1 (n=9) IFN-gamma level was
lower as compared with the group with CD4/CD8 >1 (n=7), 2.8 +/- 1.3 vs. 7.3 +/-
1.0 pg/ml. In sarcoidosis, IFN-gamma level did not seem to have a prognostic
role, since values obtained in PS did not differ remarkably from those in stable
sarcoidosis and LS. Moreover, subsequent steroid treatment in 7 patients with
progressive sarcoidosis did not change significantly IFN-gamma levels in BAL
fluid. CONCLUSIONS: Increased IFN-gamma level was found in non-treated patients
with IPF, Loefgren's syndrome and progressive sarcoidosis. CD4+ (Th1), but
neither CD8+ (Tc1) nor NK cells seem to be the main local source of IFN-gamma in
ILD. Relatively low CD4/CD8 ratio in ILD may indicate the patients with increased
risk of lung fibrosis.
PMID- 18051826
TI - [Evaluation of bronchial hyperreactivity in children with asthma undergoing food
challenges].
AB - It has been shown that food allergy does not always manifest within the
respiratory tract in such as obvious way as: dyspnea, cough or wheezing. The aim
of the study was to evaluate the influence of food allergens on bronchial
reactivity in children with asthma challenged with food. MATERIALS AND METHODS: A
total of 304 patients (age range 5.5 to 18 years, mean 9.5 +/- 4.69 years) with
atopic asthma were evaluated for food allergy by means of questionnaire, skin
prick testing with food allergens, specific IgE tests (Unicap 100) and double
blind placebo-controlled food challenge (DBPCFC). Bronchial hyperreactivity was
measured by methacholine inhalation challenges performed before and after DBPCFC
in 70 asthmatic patients suspected of food allergy. RESULTS: IgE-related food
allergy was confirmed by DBPCFC in 24 asthmatic children. The mean FEV1 before
and after food challenges in the group with IgE-related food allergy, as well as
in the group without IgE-related food allergy, was not significantly different (p
> 0.05). The mean PC20 in IgE-related food allergy group was 1.41 +/- 1.12 mg/ml
and 0.86 +/- 0.71 mg/ml before and after food challenge respectively which was
significantly different (p < 0.05). In the group without IgE-related food allergy
the mean PC20 was 1.93 +/- 1.68 and 2.02 +/- 1.75 before and after challenge
respectively (p > 0.05). CONCLUSIONS: IgE-related food allergy was present in
9.8% children with asthma. Prevalence of respiratory manifestations induced by
foods in children with asthma is 4.1%. Food challenges in these children
increased bronchial reactivity, without exacerbation. Evaluation of food allergy
in patients with asthma is indicated.
PMID- 18051827
TI - [Estimation of pulse pressure in subjects with metabolic syndrome].
AB - The occurrence of the metabolic syndrome (MS) increases the cardiovascular risk
in comparison with healthy subjects. The pulse pressure (pp) is an independent
risk factor for cardiovascular complications. The aim of the study was to
evaluate the pulse pressure in subjects with MS accompanied or not by
cardiovascular diseases. Material and methods. The study comprised 104 subjects
with MS aged 48.65 +/- 7.89 years--group I; 477 clinically healthy subjects aged
45.75 +/- 4.68 years--group II; 137 subjects with MS accompanied by
cardiovascular diseases aged 60.05 +/- 12.77 years--group III; 94 subjects with
cardiovascular diseases without MS aged 57.80 +/- 9.67 years--group IV. Metabolic
syndrome was diagnosed on the basis of NCEP ATP III criteria. Increased value of
pulse pressure (pp) was above 63 mmHg. RESULTS: Increased values of pp (> 63
mmHg) were found in 20.2% subjects with MS--group I, in 7.1% clinically healthy
subjects--group II, in 40.88% subjects with MS accompanied by cardiovascular
diseases and in 36.17% subjects with cardiovascular diseases without MS.
CONCLUSIONS: Pulse pressure is simple and helpful method in assessment of
vascular changes and cardiovascular risk in subjects with MS. In subjects with
cardiovascular diseases with and without MS, increased values of pulse pressure
are found in similar percentage of cases.
PMID- 18051828
TI - [The effectiveness of treatment with trazodone in patients with primary insomnia
without and with prior history of hypnotics use].
AB - The treatment of primary insomnia frequently lasts longer than four weeks, the
maximal time allowed for daily hypnotics use. Antidepressants are important
alternative for long-term insomnia treatment. The aim of the study was compared
the efficacy of trazodone in the treatment of patients with primary insomnia with
and without prior history of hypnotics use. MATERIAL AND METHODS: 28 patients (9
M/19 F, mean age 55.9 +/- 11.8) were treated with trazodone, dose 25-150 mg/d,
for three months. Before the start of the treatment the patients were observed
for seven days without pharmacological treatment to exclude the hypnotics
dependency. The Athens Insomnia Scale (AIS), the Sheehan Disability Scale (SDS),
the Clinical Global Impression (CGI), the Leeds Sleep Questionnaire (LSEQ) were
completed before (Day 0) and after each month of treatment (Day 30, 60, 90).
Additionally the patients completed the sleep diaries and two actigraphic
recordings for seven days were performed. RESULTS: The treatment with trazodone
decreased the score in the AIS (13.5 +/- 2.4 vs. 6.3 +/- 4.3 points; p < 0.001),
increased the sleep time (5.1 +/- 1.3 vs. 6.1 +/- 0.9 hours; p < 0.001) and
decreased the sleep latency (82.0 +/- 69.2 vs. 45.9 +/- 41.2 minutes; p < 0.01)
from sleep diaries. No significant differences were observed between the patients
with and without prior history of hypnotics use. Significant improvement was
observed also in all other used scales. Only the actigraphic recordings provided
no significant changes during the trazodone treatment. CONCLUSION: Trazodone
improves sleep quality and daytime functioning independently from prior history
of hypnotics use.
PMID- 18051829
TI - [Estimation of medical protocol correctness in the light of opinions issued as
ordered by the judiciary or prosecution authorities].
AB - Medical personnel, most commonly physicians, are held responsible for situations
in which in the course of medical treatment some adverse effects develop that
endanger the patient's health and life. Such effects generally include death, a
severe damage to patient's health as well as the exposing of patients to the
danger of such effects developing in them. The effects of the kind result either
from some medical malpractice or from medical personnel's negligence. The aim of
the paper was to analyse medico-legal expertises issued as ordered by the Polish
Judiciary and Prosecution Authorities in cases of alleged medical malpractice
that had been issued by the Chair and Department of Forensic Medicine, Medical
University of Gdansk, and to compare the results with those from similar studies
done by Chairs and Departments of Forensic Medicine at the Medical Universities
of Katowice, Krakow, and Wroclaw. MATERIAL AND METHODS: Analysis was done of 372
medico-legal expertises issued in the years 1990-2004 by the Chair and Department
of Forensic Medicine, Medical University of Gdansk. The results obtained were
compared with those from a similar analysis of medicolegal expertises issued in
Gdarisk in the years 1968-1977 and also with those from studies presented by
Departments of Forensic Medicine at the Medical Universities of Katowice, Krakow,
and Wroclaw. RESULTS: Legal proceedings were generally conducted by the Public
Prosecutor's Office, less frequently by the police, and only in 7.2% were such
cases subject to the civil law procedure. Most frequently assessed health care
facilities included hospitals (66.1%), clinics of medical universities (16.6%),
and ambulance service (13.4%). Seldom were the charges of medical malpractice
brought against basic health care facilities (9.4%) or private practices (3.5%).
As revealed in the analyzed material, adverse health effects of a disease or
injury included death--70.5%, a severe damage to patient's health--9.1%, and the
endangering of patients with development of such effects--0.4%. In 60.8% of the
cases analyzed, medical protocol implemented by medical personnel was assessed as
proper. Medical malpractice were found in 18.5% of the cases, including
diagnostic malpractice--1.8% therapeutic malpractice--2.7%, and those of logistic
nature--4%. Similar results were obtained by the above mentioned Departments of
Forensic Medicine. Negligence in the rendering of health care was found in 13.4
of the medico-legal expertises analyzed. Conclusions. In the material subjected
to this analysis criminal cases are predominant. Medical personnel, including
medical practitioners, are more and more frequently charged with exposing
patients to the immediate danger of loss of life or a severe damage to their
health (Art. 160 of the Polish Penal Code). There are more and more cases in
which the adverse effects endangering patient's health or life result from
negligence rather than from some medical malpractice.
PMID- 18051830
TI - [Chronic intestinal ischemia--not rare cause of abdominal pains--case
description].
AB - Chronic intestinal ischemia is a diagnostic problem for the physicians from
internal department as well for the surgeons. The clinical manifestation of this
disease is not clear and the symptoms are similar to many other disorders. This
requires from the physician a wide knowledge and many-sided diagnostic process.
The success depends also on the good cooperation between radiologist and vascular
surgeon. The authors presents the case of 59-aged patient with occlusion of
superior mesenteric artery. After the angioplasty there was a complete relief of
ischemic symptoms.
PMID- 18051831
TI - [Heart rate turbulence--a new predictor of sudden cardiac death].
AB - Prevention of sudden cardiac deaths (SCD) is one of major challenges of todays
cardiology. Factors, that would permit identification of patients with highest
risk of SCD, have been sought for many years now. Although ventricle-dependent
sinus arrhythmia has been observed for a few decades, quantification of this
phenomenon was performed by Schmidt et al., who introduced new concept of heart
rate turbulence (HRT). HRT parameters comprise of turbulence onset (TO) and
turbulence slope (TS). When no HRT is observed, SCD risk is markedly increased.
The mechanism behind HRT is not fully known, but it is though to be caused by
baroreflex sensitivity. This factor has been evaluated in many clinical studies
aiming at determining methods of analysis and usefulness of HRT also in others
pathological entities. This paper is a short review of these studies.
PMID- 18051832
TI - [Endothelium--characteristics and functions].
AB - Endothelium is more and more likely to be considered as the biggest endocrine
gland of human body. According to number, mass, surface of endothelial cells in
adolescent, ability of synthesis and release of substances with multidirectional
biological functions, endothelium should be treated as integral organ.
Endothelial cells form barrier between blood and smooth muscle cells, playing
crucial role in regulation of vasomotorics, hemostasis, angiogenesis,
inflammatory processes and immunology. Strategic localization places endothelial
cells in very first line of contact with cells and substances migrating from
blood to tissue. Endothelium, via large number of yet not in-depth known
mechanisms, can react to changes in pressure, blood flow and gas concentration.
It is an easy stimulated and fast reacting structure, capable of quick activation
and change in its own function. Mechanical damage, or loss of functional
integrity, disturbs homeostasis of microenvironment, leading to development of
pathological states, like hypertension, atherogenesis, thrombotic lesions,
disturbances in perfusion of tissue and organs. The aim of this study was rewiev
of the role of endothelial cells in vasomotorics, hemostasis, tumorgenesis and
angiogenesis.
PMID- 18051833
TI - [Treatment of liver failure using tissue engineering techniques].
AB - Liver transplantation is the only efficient method of treatment of liver failure.
Short time between liver end-stage insufficiency and transplantation procedure is
the main problem limiting the number of liver transplants. In this paper the
methods of liver support in patients awaiting a liver transplant using tissue
engineering techniques were introduced. The methods of liver support using
bioartificial liver and isolated hepatocytes transplantation were described.
PMID- 18051834
TI - [Colorectal cancer chemoprevention].
AB - Colorectal cancer (CRC) is the second most common malignancy in the world and a
leading cause of cancer death. Prevention can lead to decreased incidence of this
neoplasm. Chemoprevention may be one option. The article presents a summary of
the main information on CRC chemoprevention based on internet database MEDLINE.
PMID- 18051835
TI - [Stress oxidative in schizophrenia].
AB - Etiopathogenesis of schizophrenia development is unknown yet in 1% of human
population. There is damaged metabolism of biological membranes, abused
production of free radicals and altered activity of antioxidant enzymes in
patients with schizophrenia. Recently, a study showed that many of the genes and
proteins whose expression is modified in the schizophrenic brain are related to
glutathione and oxidative stress pathways. According to the researchers changes
in permeability of biological membranes in brain could involve the
pathophisiology of all--subtypes of schizophrenia as a result of oxidative
stress. Brain is particularly sensitive to oxidative damage. There is a lot of
phospholipids and polyunsaturated fatty acids in brain tissue under physiological
conditions. The changes in polyunsaturated fatty acids metabolism, increased
lipid peroxidation and the presence of oxidative stress are found in
schizophrenia. They can course to appear instabilities of neuron membranes or
even cell death owing to oxidative stress. Mainly to getting to know the
molecular disease mechanism is associated with a disorder of the brain. The
results suggest that at least some of the schizophrenia disease process can be
traced in peripheral tissue like plasma, blood cells or liver. In various types
of schizophrenia antipsychotic treatment affects oxidative state of erythrocyte
membranes in a different way. Research into changes of antioxidant enzymes level
during the treatment of typical and atypical neuroleptic drugs could contribute
to widening the knowledge on the reasons of undesirable effects during
pharmacotherapy with typical neuroleptic drugs.
PMID- 18051837
TI - [Results of implementation of the subprograms "Goal-oriented medical examination
of the Moscow population for early tuberculosis detection"].
AB - The implementation of the subprograms "Goal-oriented medical examination of the
Moscow population for early tuberculosis visage a differential screening of the
population in relation to the risk of tuberculosis; fitting of health care
facilities with current digital fluorographic equipment; improvement of an
epidemiological screening of patients with tuberculosis. Over 7 years of
implementation of the Program, advances were made: the population's coverage with
preventive examinations increased by 11%; that of detively; the active detection
of patients with respiratory abnormalities and those with pulmonary tuberculosis
increased by 2.0 and 2.4 times, respectively; the proportion of tuberculosis
patients actively detected rose by 1.5 times; 151 digital fluorographs and 11 X
ray units were purchased; a program for machine fluorography study accounting was
worked out.
PMID- 18051836
TI - [Masked depression--history and present days].
AB - Masked depression is a special form of an atypical depression. In the 70's and
80's years it was often identified at patients who complained on somatic
diseases, without any distinguishable organic disorder. Depression symptoms were
of secondary importance, with lesser intensification, some of them didn't appear
at all. The psychiatrists of the time created a lot of terms to describe them,
i.e.: a depression equivalent, a vegetative equivalent, a depression without a
depression, a hidden depression. Current classifications: ICD-10 (International
Classification of Diseases, 10th Revision) and DSM-IV (Diagnostic and Statistical
Manual of Mental Disorders, 4th Edition, published by the American Psychiatric
Association) do not contain the term masked depression. It doesn't mean that have
disappeared the problem of atypical depression syndrome with a picture
significantly different from the commonly known. The american scientists claim
that such group contains 6-7% of depression disorders. The lack of proper
diagnosis and disease entity qualification leads to serious somatic and
psychological consequences for patients. Improper diagnosis and treatment of a
patient limits his or her social and professional life, forms suicide rate and
makes high costs of diagnosis and treatment.
PMID- 18051838
TI - [Adolescence tuberculosis in Moscow: epidemiological situation and problems].
AB - The paper provides the data of analysis of antituberculous care to teenagers in
Moscow and characterizes its problems. Emphasis is placed on the tense and
unstable situation associated with the detection of the disease in this age
group. In the bulk of adolescents, the disease is identifies when they come to
see a doctor, including at somatic hospitals. Active tuberculosis detection
techniques (tuberculin diagnosis and fluorography) fail to produce adequate
effect mainly due to organizational problems (the bulk of teenagers are outside
the organized collective bodies or the latter are frequently changed; the
detection of tuberculosis in Moscow nonresidents or in whose who enter secondary
specialized colleges claims attention). Age-related sociomedical risk factors,
such as hormonal rearrangement, comorbidity, a negative attitude towards
preventive medical measures, deviant behavior, social family, and dysadaption,
are of importance for the development of tuberculosis. A sociomedical portrait of
an adolescent with tuberculosis is given. Attention is drawn to the fact that on
implementing antituberculous measures, it is a need for an interaction of a
tuberculosis-controlling service with general care health network facilities,
including those that deal with the problems of social diseases and educational
establishments.
PMID- 18051839
TI - [The centralized mycobacteriological laboratory is a necessary component of a
phthisiological service in large towns of Russia].
AB - The paper presents the main points of the authors' own concept of the
centralization of mycobacteriological service in large towns of the Russian
Federation. The main points of step-by-step organizational and methodological
measures required to solve this problem are described in detail. Consecutive
measures to realize the proposed mycobacteriological service centralization model
originated in January 2004 on a model of the Moscow Eastern Administrative
District with 1380 thousand inhabitants are described.
PMID- 18051840
TI - [Types of skin lesions in pulmonary sarcoidosis and their prognostic value].
AB - When sarcoidosis is suspected, a patient should be meticulously examined for
dermal changes, the favorite sites of which are the skin of the face, ears, upper
and lower extremities, and trunk. Elements may vary in number from solitary to
multiple. When dermal changes are suspected of sarcoidosis, a dermatologist
should examine the patient and, if there are some doubts about the nature of
dermal elements, biopsy and histological study should be made since the
morphological verification of the diagnosis primarily requires the use of
extrapulmonary sites of the process, namely superficial peripheral lymph nodes,
as well as the skin. The findings suggest that skin sarcoidosis characterized by
the long-term chronic or progressive course is encountered at different (X-ray)
stages of sarcoidosis of respiratory organs and is of poor prognostic value. The
severity of dermal manifestations is no less and, in individual cases, more
significant than pulmonary symptoms. Great or ugly skin lesions require
prednisolone treatment. In some cases, long-term therapy cannot yield a result.
Delagil treatment of dermal manifestations remains to be effective.
PMID- 18051841
TI - [Investigation of Mycobacterium tuberculosis sensitivity to fluoroquinolone, by
revealing gyrA gene mutations].
AB - The resistance of Mycobacterium tuberculosis (MBT) to fluoroquinolones is
associated with the mutations concentrated in the gyrA gene that is a structural
gene of a gyrase A subunit. Detection of mutations in this portion of the gene
allows the sensitivity of MBT to this group of drugs to be rapidly determined.
PMID- 18051842
TI - [Opportunistic fungi isolated from patients with pulmonary tuberculosis
(characterization of species)].
AB - The species composition of pathogenic fungi isolated from various clinical
materials was studied in the diagnosis of respiratory mycotic infections in
patients with tuberculosis. The paper presents data on the composition of
detected opportunistic microscopic fungi from a group of likely pathogens of
bronchopulmonary mycoses, as well as fungi that have pronounced toxicogenic and
allergenic properties.
PMID- 18051844
TI - [Role of prophylactic programs in students' health care].
AB - Clinical and epidemiologic methods determined real incidence of major chronic
noninfectional diseases and certain risk factors in students. The data obtained
prove unfavorable health state of the young people, necessity of regular
prophylactic medical examinations that could serve for early diagnosis.
PMID- 18051843
TI - [Chemical hair analysis in ore-dressing and processing plant workers].
AB - The article presents results of studies covering elements content of hair and
mineral bone density among workers engaged into Utchalinsky ore-dressing and
processing enterprise (Utchaly town, Bashkortostan Republic) and among residents
of copper-zinc geochemical province. Finding is dysbalance between levels of
essential and toxic elements in hair, that is due to environmental and
occupational factors. Analysis of elements homeostasis and mineral bone density
could be recommended for early diagnosis of osteoporosis.
PMID- 18051845
TI - [Physician continuing education on occupational diseases as an integral part of
the national project "Health Promotion"].
AB - The author's concepts are evaluated positively and additional organizational and
practical recommendations are suggested to increase quality of doctors'
postgraduate training in occupational therapy.
PMID- 18051846
TI - [Cardiovascular diseases in workers engaged into metal mining industry and
mechanical engineering].
AB - Peculiarities of cardiovascular diseases among workers exposed to noise and
vibration include hyperkinetic hemodynamic type supporting early terms of
cardiovascular functions disorder. Veloergometry and echocardiography are highly
informative and diagnostic value, so helpful in early diagnosis of circulatory
disorders. The authors specified objective criteria of risk associated with
occupationally related cardiovascular diseases.
PMID- 18051847
TI - [Predictive value of endopulmonic cytogram in pulmonary tuberculosis among
workers having concomitant chronic bronchitis and engaged into industry with
pneumoconiosis hazard].
AB - The study covered influence of quartz industrial dust and concomitant chronic
bronchitis on neutrophil--macrophage index in tuberculous workers engaged into
pneumoconiosis hazard industry. Increased more than 0.7, the index suggests
unfavorable prognosis. Better prognosis requires mandatory examination of
respiratory tract and sanation therapy.
PMID- 18051848
TI - [Photometric method determining trifluoromethanesulfonic acid in work-place air].
PMID- 18051849
TI - [An efficient tool for the construction of multiple-cistronic vectors: FMDV 2A].
AB - Recently, cancer therapy with mutiple genes has been attached with great
attention. However, at present there is no efficient tool to construct multiple
cistrons. The large sizes and the imbalance in expression of most traditional
tools, such as ribosome entry sites (IRESes),greatly block their wide employment
in the construction of multiple cistronic gene therapy vectors. The self-cleaving
peptide 2A from foot-and-mouth disease virus (FMDV) has a very small size, and
more importantly, high cleavage activity in artifical bicistron, which bring new
hope for mutiple genes therapy stategy. In this article, the characteristics and
cleavage activities of FMDV 2A will be elucidated,and we further outline its
applications in cancer gene therapy.
PMID- 18051850
TI - [The function of glutathione/glutathione peroxidase system in the oxidative
stress resistance systems of microbial cells].
AB - The physiological roles of the glutathione(GSH)/glutathione peroxidase(GPx)
system in protecting microbial cells against oxidative stress were reviewed. In
eukaryotic model microbe Saccharomyces cerevisiae,this system is obligatory in
maintaining the redox balance and defending the oxidative stress. However, the
GSH/GPx system only conditionally exists in prokaryotes. Namely,for those
prokaryote bacteria containing glutathione reductase and GPx, e.g. Haemophilus
influenzae and Lactococcus lactis, by taking up GSH, they might develop a
conditional GSH-dependent GPx reduction system, which conferred cells a stronger
resistance against oxidative challenge.
PMID- 18051851
TI - [The mechanism and efficiency affecting factor of cationic liposome
transfection].
AB - Cationic liposome is a greatly promising gene carrier. In this paper, the
structure trait of cationic liposome was briefly introduced, the mechanism of
cationic liposomes mediated gene delivery and the main influencing factor of
transfection efficiency in the transfection process were discussed chiefly.
PMID- 18051852
TI - [The basics of 14-3-3 protein family and research progress on therapeutic
applications of 14-3-3 protein].
AB - The 14-3-3 proteins comprise a family of highly conserved acidic protein with
subunit molecular mass 28-33kD and are widely found in different eukaryotic
cells. 14-3-3 proteins were the first polypeptides shown to have
phosphoserine/threonine (pSer/Thr) binding properties which firmly established
its importance in cell signaling. 14-3-3 proteins tend to form dimeric proteins
to modulate protein-protein interactions. 14-3-3 proteins have been shown to
contribute to the regulation of such crucial cellular processes as metabolism,
signal transduction, cell cycle control, cell growth and differentiation,
apotosis, protein trafficking, transcription, stress responses and malignant
transformation. Many reports link 14-3-3 to disorders, particularly the
neurological disorders and cancer. The 14-3-3 test has been used for the
diagnosis of prion diseases. 14-3-3 could be exploited for therapeutic purposes.
In this review, we discuss the structure, function of 14-3-3 protein and the
related research progress in therapeutic applications.
PMID- 18051853
TI - [Study on the atrazine-degrading genes in Arthrobacter sp. AG1].
AB - Atrazine could be used as the sole carbon, nitrogen and energy sources for growth
by strain Arthrobacter sp. AG1, and the atrazine-degrading genes of AG1 were
found to be the combination of trzN, atzB and atzC. The atrazine chloride
hydrolysase gene trzN was cloned by PCR amplification,whose sequence shared 99%
identity with that of Norcardioides sp. C190. Two large plasmids were found in
AG1,and trzN and atzB were confirmed to be localized on the larger plasmid pAG1
by the method of southern hybridization. Subculture of AG1 in liquid LB for three
generations, 34% of the subsequent cells were found to lose degrading activity,
however, neither plasmid was lost. PCR amplification results showed that the
mutants had only lost the trzN gene instead of atzB and atzC. It was deduced that
mutation might be due to the trzN gene deletion from the plasmid. This study
provided new evidence that atrazine metabolic genotypes were resulted from
horizontal gene transfer between different bacteria under environmental selective
pressure.
PMID- 18051854
TI - [Selection and inhibitory effect analysis of siRNAs specific to ORF2-4 of porcine
reproductive and respiratory syndrome virus].
AB - RNA interference (RNAi) is a powerful tool in gene function research. In order to
investigate the role of GP2, GP3 and GP4 of porcine reproductive and respiratory
syndrome virus (PRRSV) in the viral replication, small interference RNAs (siRNAs)
directed to ORF2, ORF3 and ORF4 were designed and 12 short hairpin RNA (shRNA)
expression vectors were constructed (designed as 21,22,23,24,31,32,33,34,41,42,43
and 44). Cells treated with shRNA expression vectors were infected by PRRSV. The
effective shRNA expression vectors were selected by fluorescent quantatitive PCR
(FQ-PCR). The virus titer of supernatant of the cells treated with effective
shRNA expression vectors (23,24,31,34 and 41) were reduced by 184 to 4.65 folds
compared with that of controls.
PMID- 18051855
TI - [Rapid disruption of Bombyx mori nucleopolyhedrovirus orf60 by red recombination
system].
AB - BmNPV bacmid constructed recently and Red recombinant system were used to rapidly
disrupted Bombyx monri nucleopolyhedrovirus (BmNPV) orf60 in Escherichia coli (E.
coli) BW25113. BmNPV bacmid isolated from E. coli BmDH10Bac was electroporated
into E. coli BW25113, which harbors plasmid pKD46 encoding lamda Red
recombinase,to produce E. coli BW25113-Bac, which could be used for gene deletion
of BmNPV. A linear fragment was amplified by PCR from plasmid pKD3 (containing a
chloramphenicol acetyltransferase gene cat) using a pair of primers with length
of 63bp,which had 45 bp homologous to the orf60 gene and 18bp homologous to cat
sequences. The linear fragment was electroporated into E. coli BW25113-Bac and
homologous recombination occurred between the linear fragment and orf60 with the
help of lamda Red recombinase. Three specific primer pairs were used to confirm
the replacement of orf60 by cat gene. Western blot analysis showed that orf60 was
not expressed in BmN cells infected with knockout bacmid.
PMID- 18051856
TI - [Infectious bovine rhinotracheitis viral gG expression and gG-ELISA development].
AB - Taking the genome DNA of Infectious Bovine Rhinotracheitis Virus (IBRV) as the
template, the gG gene was amplified with PCR and cloned into the T cloning vector
pMD18-T. After being identified by restriction digestion and DNA sequencing, the
insert was subcloned into the expression vector pGEX-KG. Sodium docecyl sulfate
polyacrylamide gel electrophoresis (SDS-PAGE) and Western blot assay showed that
this gene was expressed as both soluble form and inclusion body by the
transformed E. coli BL21 strain (DE3). The fusion protein was purified and used
as the coating antigen to develop the indirect Enzyme-Linked Immunosorbent Assay
(ELISA). Comparison between this gG-ELISA and commercial IBRV gB-ELISA Kit
(IDEXX) was made in the detection of 380 cow serum samples. The results
demonstrated an agreement of 92%. By using this novel gG-ELISA, 1248 cow serum
samples were tested and the average positive rate of IBRV antibodies for imported
cows is 21.7%, while the positive rate ranged greatly from 0.0%-41.5% for Hubei
local Chinese Black and White Dairy Cows.
PMID- 18051857
TI - High-level expression of the Hcc domain of Clostridium botulinum neurotoxin
serotype A in Escherichia coli and its immunogenicity as an antigen.
AB - A completely synthetic gene encoding the He domain of Clostridium botulinum
neurotoxin serotype A (AHc, 1287 bp, 429 aa, -50 kD) was constructed with
oligonucleotides. After expressed in Escherichia coli, soluble product AHc was
gained and verified by SDS-PAGE and Western blot analysis. The expressive level
of recombinant AHc in E. coli was very high (36%-53% of soluble total proteins)
and the purified yield was more than 30 mg/L by one-step purification. Then, the
purified AHc was used to vaccinate Balb/c mice, which developed a strong and
specific immune response as expected following administration of AHe protein via
the subcutaneous route. Results from BoNT/A neutralization assay showed that the
serum from mice vaccinated with AHc contained high titer protective antibody.
These results showed that the soluble, stable and high-levelly expressive AHc not
only could be produced by the prokaryotic expression system built in our lab, but
also owned strong immunogenicity to prepare antitoxin for treatment and as sub
unit candidate vaccine for prophylaxis against botulinum toxin serotype A.
PMID- 18051858
TI - [Expression and analysis of recombinant pIL-18 in Pichia pastoris].
AB - The porcine IL-18 gene was amplified from recombinant plasmid pGEM-IL-18 by PCR,
then the pPIC9K-IL-18 of fusion expression vector was constructed by inserting IL
18 fragment,and was transformed to GS115 by electroporation, multi-copy
recombinant strains were screened by G418. The expression of recombinant fusion
protein was induced by methanol, SDS-PAGE was used to analyze expression product,
fusion protein was purified by Sephadex G-100 column, bioactivity of IL-18 was
measured by MTT assays. Experiment results show fusion protein of pIL-18 secreted
by GS115,expression reaches the secretion peak of 160 mg/L at 72 h. We have
expressed and purified successfully the recombinant pIL-18 with obvious
biological activity in Pichia pastoris.
PMID- 18051859
TI - [Expression of human intestinal trefoil factor in Pichia pastoris and its
biological activity on intestinal epithelium in vitro].
AB - In order to produce relatively large amounts of recombinant human intestinal
trefoil factor and assess its biological activity. The expression plasmid pPIC9
hITF containing AOX1 promotor and the sequences of secreting signal peptides was
transformed into the yeast cells. Then through selection, positive transformants
were cultivated in fermentation basal salts medium in a 5L fermenter to obtain
large amount product with low cost. The secreted peptides were then purified by a
combination of ionic exchange chromatography and molecular sieve. To verify the
product, electrospray mass spectrometry analyses was used to determine the
structure of rhITF and Western Blotting was performed to test the immunological
activity. Furthermore, the biological activity of the peptide was examined by
experiments from cell to tissue. The nucleotide sequence of rhITF was the same as
expected. With a 5-L fermenter, 253mg of hITF was isolated at the purity of 96%
from 3.5 L of yeast fermentation broth. The expression level for recombinant
human ITF in this yeast system was 73.33mg/L. In our study, we provided a way to
gain a production among milligram to gram of recombinant human ITF by the use of
a yeast expression system. As human ITF are difficult to purify in any
significant amount from tissue extraction, the way described may become a
valuable tool in obtaining pure peptide for further studies of trefoil peptide
function.
PMID- 18051860
TI - [Study on the characteristics of tissue expression of hormone sensitive lipase
and triacylglycerol hydrolase in pigs].
AB - The specific expression of TGH and HSL genes in different tissues of Bamei pig
was investigated by RT-PCR and Western blot in this study. The result of RT-PCR
showed that the expression of HSL could be detected in all these seven tissues
examined, and which was higher expressed in fat, lower in heart, liver, lung,
spleen and kidney. Expression of TGH gene could also be detected in seven
tissues, and higher in liver and fat, lower in heart and kidney and lowest in
spleen and lung. The result of Western blot showed that, HSL gene was highest
expressed in epiploica fat and subcutaneous fat, higher in other tissues, but
couldn' t be detected in kidney. Expression of TGH was detected in epiploica fat,
subcutaneous fat, liver, lung and spleen, and highest in fat and liver, but it
hadn't be found in heart and kidney. These results suggested that both HSL and
TGH could be regulated by post-transcriptional, and their function was involved
in different tissues.
PMID- 18051861
TI - [Isolation and analysis of a high expression promoter in rice].
AB - The expression of plant gene is controlled by its promoter. The isolation and the
function analysis of promoter are important for studying the genetic engineering
and the regulation expression of plant genes. In this paper, we cloned a
promoter, 0s252, which was predicted to be highly expressed in the stem of rice
from the EST database. After the construction of the Os252::GUS expression
vector, it was transformed into rice. The integration of transgenes into
transgenic rice genome was confirmed through PCR analysis. X-Gluc staining showed
that Os252 can promote GUS gene expression in leaf, stem and matured seed. GUS
enzyme activities driven by Os252 promoter in leaf and seed are about 190% and
250% of that driven by the 35S promoter. Thus, the Os252 promoter can be applied
for rice genetic engineering.
PMID- 18051862
TI - [Construction of novel recombinant strain harboring glycerol dehydratase
reactivating factor capable of producing 1,3-propanediol].
AB - The dhaB gene encoding glycerol dehydratase and dhaG dhaF gene encoding glycerol
dehydratase reactivating factor from Citrobacterfreundii were amplified by PCR.
The temperature control expression vector pHsh harboring yqhD, dhaB, dhaG and
dhaF gene was transformed into E. coli JM109 to yield the recombinant strain E.
coli JM109 (pHsh-dhaB-dhaG-dhaF-yqhD). The results from SDS-PAGE analysis show
that the recombinant product was consistent with the molecular weight predicted
from gene sequence. The fermentation result show that the yield of 1,3
propanediol was increased by 28% compared with E. coli JM109(pHsh-dhaB-yqhD).
PMID- 18051863
TI - [Type III secretion study of popN- mutant of Pseudomonas aeruginosa and proteases
degradation].
AB - Pseudomonas aeruginosa is an important opportunistic human pathogen. It encodes
many virulence factors and one of them is type III secretion system (TTSS).
Effectors proteins can be delivered into host cells directly by this system,
causing necrosis or apoptosis. popN gene is the first gene in the popN operon of
TTSS gene cluster. To investigate its function, popN gene deletion mutant was
generated in this study, and we found this mutant can secrete effectors proteins
constitutively under non-inducting condition in DMEM medium containing serum. The
results indicated that PopN is a negative regulator of the TTSS expression.
However, no secreted effector proteins were detectable when the popN- mutant was
grown in LB medium under non-inducting condition. To investigate the possible
reasons, effects of growth status and protease (s) inhibitors on the TTSS were
investigated. We present evidences that indicate protease mediated degradation of
secreted effector proteins played a key role in the phenotypic inconsistency of
popN- mutant.
PMID- 18051864
TI - [Preparation of a novel telomerase inhibitory protein LPTS-L].
AB - The gene for LPTS is originally cloned as a human liver-related putative tumor
suppressor (LPTS) gene that encodes a full length protein of 328 amino acids
(LPTS-L). LPTS-L is also identified as a telomerase inhibitor to regulate
telomere length in the cells. To facilitate the functional and structural studies
of LPTS-L protein, the cDNA for LPTS-L was cloned into the expression vector pET
24 in frame to generate a recombinant plasmid pET-24-LPTS. The LPTS-L protein was
expressed in E. coli BL21 solublely, and purified by Ni Sepharose affinity
chromatography which, however, is not fit for large scale protein purification.
The gene of LITS-L was then PCR amplified to remove the 6 x His tag, and cloned
into pET-24a. The non-fusion protein of LPTS-L was expressed in E. coli B21, and
purified by phosphocellulose P11 chromatography. The purity of LPTS-L protein was
about 55% after that procedure,and arrived at 80% after second purification by
Sephadex G-100 chromatography. Western Blotting analysis showed that the band
reflects the specific binding of anti-LPTS antiserum against the purified LPTS-L
protein. The TRAP assay was performed to detect the telomerase inhibitory
activity of LPTS-L protein in vitro. It was observed that the purified LPTS-L
inhibited the activity of telomerase greatly, similarly with that of LPTS-L
protein purified by Ni Sepharose 4B. Our results suggest that phosphocellulose
P11 plus Sephadex G-100 chromatography could substitute for Ni Sepharose 4B
affinity chromatography for preparation of purified LPTS-L protein. Through this
study, a technique for preparation of LPTS-L protein in a large scale is
established.
PMID- 18051865
TI - [Construction, fermentation and purification of high polymer spider dragline silk
protein containing RGD peptide].
AB - Spider silk is a natural protein fibroin with excellent character as it is light
and tenacious. It has a wild potential applications in the biomedical field due
to its good biocompatibility and degradation. Arginine-glycine-aspartic acid
(RGD) is a highly conserved amino acid sequence of many adhesion protein.
Biological materials binding with RGD peptide in the surface can promote cells
adhesion, migration and proliferation. Our lab had constructed the 16 muhimers
with the introduced RGD peptide codons which involve cell adhesion for the first
time. It was found that the mechanical capability of the 16 mulimer protein was
very limited because of the big gap in molecular weight with nature spider
proteins when it was used to made biomaterial scaffold.In this paper,based on the
16 multimers of the highly, repetitive sequence of spider dragline silk and with
RGD peptide condons which has been constructed by our lab forestall, it was used
to construct the 32 and 64 multimers sequence of spider dragline silk by the
strategy of "head to tail". The 32 and 64 multimers were ligated into prokaryotic
expression vector pET-30a, and then the B121 (DE3) pLysS. The fragments were in
agreement with the desired through digestion, agarose gel electrophoresis
respectively. By registration into the GenBank data-base, the serial numbers of
DQ469929 and DQ837297 were gained respectively. The expression of recombinant
protein was introduced by the addition of IPTG. SDS-PAGE analysis shows that the
molecular weight of products expressed here are 102 kD and 196.6kD in agreement
with the desired respectively. It was the first time for the high polymer spider
dragline silk protein expressed in prokaryotic biology. Furthermore, a larger
quantity of synthetical proteins with high density fermentation were searched
after, and a suit of high efficient purification methods for 32 multimers protein
were established.
PMID- 18051866
TI - [Comparison of immune responses induced by recombinant attenuated Salmonella
typhi carrying eukaryotic expression plasmid or prokaryotic expression plasmid of
HCV core protein].
AB - Hepatitis C virus (HCV) core protein is considered to be an attractive candidate
for development of protective HCV vaccines. However, this protein may attenuate
the induction of systemic immune responses due to its immunomodulatory
properties. In this study, we constructed a HCV core gene-containing eukaryotic
expression plamid pCI-C, and an in vivo-inducible prokaryotic expression plasmid
pZW-C, and transformed the recombinant plasmids into an attenuated Salmonella
typhimurium aroA strain SL7207. The resulting bacterial strains SL7207/pCI-C and
SL7207/pZW-C were used to orally immunize BALB/c mice, and the immune responses
specific to HCV core protein were assessed. Immunization with the recombinant
bacteria SL7207/pCI-C led to a persistent drop in percentage of CD3 CD4 T cells,
and induced a weak anti-core IgG production. Splenocytes from SL7207/pCI-C
immunized mice developed a relatively weak proliferation response and inferior
cytotoxic activity compared to those from the mice immunized with bacteria
SL7207/pZW-C. Boost immunization with SL7207/ pCI-C yielded limited improvement
in immune strength, while the boost with bacteria SL7207/pZW-C significantly
enhanced the immune response. These results suggest that de novo synthesis of
native HCV core protein may blunt the induction of immune responses. Attenuated
S. typhimurium carrying HCV core protein could efficiently activate systemic
cellular and humoral responses, and may be a promising strategy for the
development of core-based HCV vaccines.
PMID- 18051867
TI - [Proteomics analysis of fat body from silkworm (Bombyx mori)].
AB - High resolution two-dimensional polyacrylamide gel electrophoresis, followed by
computer-assisted analysis, was applied to investigate the fat body of silkworm,
Bombyx mori. 722 spots were obtained by silver stain from 18 cm, pH 3-10 gel.
Most of them were distributed in the area from 15 kD to 90 kD with pH 4 - 8. The
matrix-assisted laser desorption ionization time of flight mass spectrometry were
applied for identifying the major spots of the 2D map. A total of 41 spots were
excised to identify by a combination of MALDI-TOF MS after digested with trypsin.
The result showed among the 34 proteins identified, plenty of them were involved
in metabolism and immunity. Additionally, Heat shock proteins, 30K proteins and
Actin were also detected in the fat body of silkworm. The result will provide a
useful tool for understanding the role of fat body in silkworm.
PMID- 18051868
TI - [Expression of pectin lyase 1 from Aspergillus oryzae in Escherichia coli].
AB - Pectin lyases from Aspergillus oryzae and Aspergillus niger are usually used for
the production of traditional fermented foods, but these fungi produce less
pectinases under natural conditions. The cDNA coding mature Pell (without signal
peptide) was amplified from Aspergillus oryzae by RT-PCR. Pell cDNA was cloned
into pET-28a ( + ) expression vector, then was transformed into E. coli Turner
(DE3) plac I cells to express Pell with 6-His tag. For improving the efficiency
of Pell expression in E. coli, the conditions of expressing the Pell in E. coli
were optimized. E. coli Turner (DE3) plac I cells with pET-28a ( + )-pell was
first cultivated at 37 degrees C, 220 r/min until OD600 reached about 0.8. Then,
cultivation broth was added with 0.05-0.1 mmol/L IPTG and continuously incubated
at 15 degrees C, at 170 r/min for 60 h for expressing of Pell. The recombinant
expressed Pell activity could reach 400 u/mL medium, which is 4000-fold of Pell
produced naturally by A. oryzae and superior than known recombinant amount of
pectin lyases expressed in different fungi expression systems.
PMID- 18051869
TI - [The influence of controlling redox potential on ethanol production by
Saccharomyces cerevisiae].
AB - Redox electrode was used to control redox potential at four different levels (-50
mV, -100 mV, -150 mV, - 230mV) for the study of ethanol fermentation. The result
showed that there was notably influence on the yield of ethanol, the formation of
glycerol, the secretion of organic acid, biomass and the death of cell by
controlling redox potential at different levels. For example: the biomass of ORP
at -50 mV was 1.26, 1.86, 2.59 times higher than ORP at -100 mV, -150 mV, -230 mV
respectively, the final glycerol concentration was 1.2, 1.1, 1.7 times higher but
final ethanol concentration was 0.87, 0.49, 0.51 times lower compared to the
latest three ORP control level. And take biomass, ethanol yield, glycerol
concentration, and unconsumed glucose into consider, we get the conclusion that
it is very favorable for ethanol fermentation by control ORP at 150 mV. So it
give us a apocalypse that we can use redox electrode to control the ethanol
fermentation exactly on bioreactor scale.
PMID- 18051870
TI - [Potential use of the herbicide quizalofop-p-ethyl for eicosapentaenoic acid
overproduction by the diatom Nitzschia laevis].
AB - The diatom Nitzschia laevis is a good alternative source of eicosapentaenoic acid
(EPA). Besides strategies for high cell density culture, EPA productivity may be
further improved by herbicides. The effect of the herbicide quizalofop-p-ethyl on
the growth and EPA production was studied in this paper. As the solvent of the
herbicide, DMSO was proved to inhibit the growth and EPA production of N. laevis.
The concentration of DMSO in the medium should not exceed 0.2%. Quizalofop-p
ethyl could cause morphology damage to the N. laevis cells. With the increasing
concentration of quizalofop-p-ethyl from 0 mmol/L to 0.4 mmol/L, the dry cell
weight production decreased, while at the same time, the lipid content of the dry
cell mass increased. When treated with 0.1 mmol/L quizalofop-p-ethyl, the EPA
content increased from 3.00% to 3.58% (of dry cell weight, DW), and the
proportion of EPA (20:5) in total fatty acids (TFA) increased from 25.15% to
32.88% . These results indicated that the herbicide quizalofop-p-ethyl could
stimulate the accumulation of EPA; therefore it might be useful for selecting
algae colonies that overproduce EPA.
PMID- 18051871
TI - [Purification of L-sorbose/L-sorbosne dehydrogenase from Ketogulonigenium vulgare
and construction and selection of genomic library].
AB - L-sorbose/L-sorbosone dehydrogenase from Ketogulonigenium vulgare S2 can
transform L-sorbose to 2-KLG, which is widely used in production of Vitamin C. In
order to obtain the engineering strain producing L-sorbose/L-sorbosone
dehydrogenase and simplify the fermentation technology, firstly, this enzyme was
purified by the methods of ammonium sulfate precipitation, DEAE Sepharose Fast
Flow and Q Sepharose High Performance. Then, the purified L-sorbose/L-sorbosone
dehydrogenase was injected to rabbit to obtain antibody. Next, the genomic
library of Ketogulonigenium vulgare S2 was constructed by inserting the
restriction fragments of chromatosomal DNA digested with Sau3A I into cosmid
pKC505 vector digested by Hpa I and Pst I, which were packed with lamda phage
package protein and transferred into E. coli DH5alpha in vitro. Finally, the
positive strain K719# was selected from more than 12,000 clones via Dot-ELISA.
Through the test of SDS-PAGE and thin layer chromatography, the results showed
that the engineering strain K719# had the same biological activity as
Ketogulonigenium vulgare S2 after adding coenzyme PQQ.
PMID- 18051872
TI - [Study on the metabolism of Escherichia coli DH5alpha and its acetate-tolerant
mutant DA19 based on key enzyme activity analysis].
AB - The differences in metabolism in Escherichia coli DH5alpha and its acetate
tolerant mutant DA19 were analyzed based on the activity of key enzymes involved
in central metabolism when both strains were continuously cultured in nitrogen
source-limited defined media. The activity of glucose-6-phosphate dehydrogenase
(G6PDH) and isocitrate dehydrogenase (ICDH) in DA19 increased as compared with
those in DH5alpha, while acetate kinase (ACK) and phosphofructosekinase (PFK)
decreased. These indicated that more carbon flux of DA19 entered the
phosphopentose pathway (PPP) and less entered the glycolytic (EMP) pathway and
acetic acid production (Ack-Pta) pathway. Therefore, the differences in activity
of key enzyme coincided with increased cell yield based on consumed glucose
(Y(X/G)) and decreased production of acetic acid and pyruvate of DA19. G6PDH and
ICDH in DH5alpha were up-regulated by addition of adenine, while ACK and PFK were
down-regulated. On the other hand, adenine had little effect on those in DA19.
The enzymes except PFK in both strains were down-regulated by sodium acetate,
especially the activity of ICDH in DH5alpha. These results suggested changed flux
of central metabolic pathways were also consistent with the changes of growth
properties and byproducts formation.
PMID- 18051873
TI - [Constitutive expression of human angiostatin in Pichia pastoris using glycerol
as only carbon source].
AB - Carbon source plays an important role in the constitutive expression of foreign
proteins in Pichia pastoris. In present study, glucose , glycerol , methanol and
oil acid, was used respectively as the only carbon source to constitutively
express hAS in Pichia pastoris GS115 (pGAP9K-AS)in shaking flask. The result
shows that oleic acid is the best (163 mg/L) compared with glycerol (83mg/L),
glucose (76 mg/L)and methanol (57 mg/L). Since oleic acid is insoluble in water,
glycerol was used as the carbon source in the high-density cell culture of GS115
(pGAP9K-AS) in a 30 liter bioreactor and 169 mg/L of angiostatin was obtained
after 48h of culture. The expressed angiostatin is immunologically active as
shown by Western blotting. The recombinant hAS inhibits bFGF induced CAM
angiogenesis and suppresses the growth of B16 melanoma in C57BL/6J mice. The
tumor inhibition rate is 90% after 12 days of treatment. Statistics analysis
revealed that the tumor volume difference of mice between the hAS group and PBS
group is prominent (P < 0.01).
PMID- 18051874
TI - [A Pichia pastoris with alpha-1, 6-mannosyltransferases deletion and its use in
expression of HSA/GM-CSF chimera].
AB - Yeast is a widely used host for recombinant protein expression. However,
glycoproteins derived from yeast contain N-glycan of high mannose type and are
usually hyperglycosylated. alpha-1,6-mannosyltransferases gene (och1) encodes the
enzyme that initiates the first step of out-chain elongation of high mannose type
N-glycan in yeast, which is different from that in human. So, a high efficient
method to knockout target gene by two-step recombination was established and was
used to delete och1. In the first recombinant, a plasmid with och1::ADE1 and ura3
gene was linearized in the downstream of och1 and inserted to the och1 site of P.
pastoris genome, where the upstream and downstream of och1 were duplicated. In
the second recombinant, the duplicated fragments of och1 were exchanged and the
och1 deletion strains were selected on the plates containing 5-FOA, but no
adenine. Then the och1 deletion strain was applied to express an human serum
albumin (HSA) granulocyte-macrophage colony-stimulating factor (GM-CSF) chimera.
Different with the hyperglycosylated HSA/GM-CSF chimera expressed in wild type P.
pastoris, the chimera expressed in the och1 deletion strain, contained smaller N
glycan. The results suggested that the och1 mutant yeast may be more suitable for
production of recombinant glycoproteins. And the och 1 deletion strain could be
used for further re-engineering to produce complex human glycoproteins.
PMID- 18051875
TI - [Producing recombinant adenovirus encoding green fluorescent protein (Ad-GFP) by
suspension cultured HEK-293 N3S cells].
AB - Adenovirus vectors are one of the most promising gene transfer systems. They are
of great value for gene therapy because these vectors achieve temporal high-level
transgene expression and high gene transfer efficiency. To meet increasing needs
of adenovirus vectors for gene therapy programs, parallel development of
efficient, scalable and reproducible production processes is required. Perfusion
cultivation of 293 cells is one of the most commonly used methods to produce
adenovirus vectors and it is suitable for industrialized production specially.
Experimental studies had been carried out to produce recombinant adenovirus
containing the green fluorescent protein gene (Ad-GFP) by perfusion cultivation
of HEK-293 N3S cells in a 5L stirring bioreactors. Perfusion rate was 1-2
volume/day. To infect the 293 N3S cells with Ad-GFP at the density of (2-4) x
10(6) cells/ ml. The time of collecting cells was 48 hours post infection. After
three rounds of freeze/thaw and centrifugation, the crude viral lysates were
stored at--80 degrees C until use. Then to get the Ad-GFP products by 2 x CsCl
gradient purification. The purity of the products was determined by the A260/A280
ratio and a high performance liquid chromatography (HPLC) assay. The infective
titer was determined by a TCID50 assay. The culture term was 10-12 days. The
infectious titer, the number of virus particle and the ratio of infectious titer
to virus particle for the product were 1.0 x 10(11) IU/mL, 1.68 x 10(12) VP/mL
and 6.0% IU/VP respectively. The A260/A280 ratio was 1.33, and the purity
determined by HPLC was 99.2%. The cell specific productivity was around 1000
IU/cell. By perfusion cultivation of 293 N3S cells in a 5L stirring bioreactors,
we established the production process for Ad-GFP, which paves a way to produce
other recombinant adenovirus for gene therapy.
PMID- 18051876
TI - [Study of target pegylated recombinant mutant human granulocyte colony
stimulating factor].
AB - Recombinant mutant human granulocyte colony stimulating factor (rmhG-CSF) was
pegylated, purified and characterized. rhG-CSF was mutated in position
1,3,4,5,17, and cysteine was added in C-terminal. rmhG-CSF was pegylated by PEG
Mal 20000 and separated by ion-exchange chromatography, gel filtration
chromatography. Analysis of SDS-PAGE showed thar the purity of the separated PEG
rmhG-CSF was greater than 95%. and in intro and in vivo bioactivity study showed
that target modified PEG-rmhG-CSF kept full bioactivity which was better than
traditional pegylation method, and longer half-life was proved in mice.
PMID- 18051877
TI - [The oral immune efficacy of recombinant lactobacillus casei expressing CSFV E290
peptide and it elicited specific CTL response].
AB - The gene encoding classical swine fever virus (CSFV) T cell epitope E290 peptide
was synthesized by PCR, cloned into the expression vector pPG-VP2 and named pPG
VP2-E290. The recombinant plasmid was electrotransformed into Lactobacillus casei
393 generating pPG-VP2-E290/L. casei 393. Specific anti-CSFV E290 peptide
immunoglobulin G (IgG) antibody was detected by indirect ELISA in the serum of
BALB/c mice and rabbits immunized with recombinant strain by oral administration.
The CTL of E290 was analyzed with lymphocytes taken from the immunized mice, and
the immunized rabbits were attacked with CSFV to validate the protective function
of E290 antibody induced. RESULT: The recombinant expression system constructed
with L. casei 393 in this study show a good immunization property and could
elicit the mice and rabbits to produce high anti-E290 antibody levels.
Furthermore, E290 peptide antibody could elicit specific CTL response, and
restrain attack of CSFV to rabbits.
PMID- 18051878
TI - [Effects of short-term continuous shear stress on cells growth and recovery of
Laminaria japonica gametophytic cells in photobioreactor].
AB - Laminaria japonica gametophytic cells were cultivated in a photobioreactor under
continuous shear stress (0-1000 r/min) in 60 hours and the following static
cultivation within 23.5 days. The content of chlorophyll a reached the maximum
value of 2.36 mg/L at the end of continuous shear stress when the agitation speed
was 90 r/min, while the chlorophyll a (chl a) concentration decreased quickly and
nitrogen and phosphorus were released under high shear force (270-1000 r/min).
The cell injury ratio at 1000r/min was as 18 times as that of the control. During
the recovery course, gametophytic cells showed themselves distinct recovery
capability at all agitation speeds. Furthermore, the content of chl a is a more
exact index as biomass than dry cells weight (DCW). Besides cell injury ratio,
the liberation of phosphorus demonstrates the cells injury.
PMID- 18051879
TI - [Preparation of metal chelate affinity chromatographic medium and its application
in the purification of 6 x histidine-tagged protein].
AB - Using Sepharose CL-6B as support, 3-Chloro-1, 2-epoxypropane as activated agent,
carboxymethylated aspartate (CM-Asp) as chelating ligand, A chelate affinity
chromatographic medium based on Co2+, named Co-CM-Asp-Sepharose, was prepared and
used to purify 6 x His-tagged fusion proteins. The amount of Co-CM-Asp-Sepharose
reacted with 200 microL of lysate, the incubation time, wash condition and the
imidazole concentration in the elution buffer were optimized. The purification
results using Co-CM-Asp-Sepharose and Ni-NTA-Agarose (product of Qiagen) were
compared. The CD155D1 fusion protein was also purified from 5mL of lysate and the
amount of protein was determined by Bradford method. The results show that 60
microL of Co-CM-Asp-Sepharose (50% suspension) was suitable for the protein
purification from 200 microL of lysate, the optimal incubation time of medium and
lysate was 30 min, the optimal imidazole concentration in the eluting buffer was
200 mmol/L, and 200 microg of fusion protein was obtained. In a big scale
experiment, 4.6 mg of fusion protein was obtained from 5 mL of lysate using 1.5
mL of Co-CM-Asp-Sepharose (50% suspension). Compared with Ni-NTA-Agarose, the Co
CM-Asp-Sepharose medium exhibits higher selectivity and the protein possesses
higher purity.
PMID- 18051880
TI - [Eukaryonization of T7 RNA polymerase prokaryotic expression system and
development of its couple expression system].
AB - To make transcription of the target gene be driven by T7 RNA polymerase (T7 RNAP)
in the eukaryotic cells, and the transcripts be CAP-independent translated.
Firstly, the T7 RNAP was introduced into eukaryotic cells by two methods: (1) the
BHK-21 cells were contransfected by the plasmid expressing T7 RNAP and pIERS-EGFP
ET vector; (2) by transfection of the cell line stably expressing T7 RNAP. The
internal ribosome entry site (IRES) element from FMDV was cloned into the
downstream of the T7 promoter sequence of the prokaryotic expressing vector pET
40a-c (+), resulted in the plasmid would express the transcripts carrying the
IERS element at its 5' end. The enhanced green fluorescent protein (EGFP) gene
was cloned into the downstream of the IERS element, resulted in plasmid pIERS
EGFP-ET. Then, the two kinds of cells expressing T7 RANP were transfected by
pIERS-EGFP-ET. The green fluorescence in the transfected cells was observed under
a fluorescence microscope equipped with a video documentation system. And the
expressional efficiency was analyzed with flow cytometry (FCM). The results show
that the IRES element from FMDV has the role of initiating CAP-independent
translation, and lay foundation for researching function of the element and
interrelated proteins. It would be potential for expressing target gene by the T7
RNAP couple expression system.
PMID- 18051881
TI - [Research of real-time fluorescent PCR in the rapid differential detection of H5,
H9, H7 subtype avian influenza inactivated vaccines].
AB - Specific primers and TaqMan MGB probes were designed with Primer Express 2.0
software according to the conserved region of the H5, H9, H7 subtype AIV
hemagglutinin gene to make research of real-time fluorescent one-step PCR in the
differential detection of H5, H9, H7 subtype avian influenza inactivated
vaccines. The result showed that the method was specific and reproducible. No
cross-reaction was discovered with other avian disease vaccines. Real-time
fluorescent PCR provided a specific, sensitive, rapid and convenient method for
the subtype identification of avian influenza inactivated vaccines.
PMID- 18051882
TI - [A novel system for producing lentiviral vectors].
AB - The aim was to develop a cell culture system capable of producing high titer
lentiviral vector stocks with recombinant vaccinia viruses as helpers. BHK21 was
co-transfected by three main plasmids containing the transducing plasmid pVECRNA,
the packaging plasmid pGAGPOL and the envelop plasmid pVSVG, and thereafter
infected with the vaccinia vTF-3 containing bacteriophage T7 RNA polymerase gene
using Lipofectamine2000. After 4 days incubation, the culture supernatant of
lentiviral vectors was collected and judged by RT-PCR and the Western blot, the
results showed that lentiviral vectors were found in the culture supernatant;
approximately (11.71 +/- 0.80) x 10(11) copies of lentiviral vector RNA were
present per mL of cell culture supernatant, as detected by Real-time PCR; the
vector stocks with titers was up to (1.3 +/- 0.18) x 10(8) tu/mL, as detected by
flow cytometry , which is one order of magnitude higher than the output of
classical manufacture system. These results suggest that the new
poxviral/lentiviral hybrid system for efficient lentiviral vector production was
initially established. It provides the basis for the future development of
industrial application.
PMID- 18051883
TI - [Establishment of indirect ELISA diagnose based on the VP1 structural protein of
foot-and-mouth disease virus (FMDV) in pigs].
AB - The complete gene encoding the structural protein of FMDV(VP1) was subcloned into
expression vector pPROex-HT, resulting in the fusion expression plasmid pPROexHT
VP1. After transformed into E. coli BL21(DE3) and induced by IPTG, the fusion
protein was expressed in high level. Western blot was performed to confirm that
the expressed fusion protein could specifically react with antiserum against
FMDV. Based on the fusion protein further purified, a novel indirect ELISA (VP1
ELISA) was developed to detect FMDV antibody in pigs. Comparison between VPl
ELISA and the government standard kit (liquid phase block ELISA) showed the two
methods had 96.25 percent agreement by detecting 80 serum samples, indicating
that the indirect VP1-ELISA was specific and sensitive.
PMID- 18051884
TI - [Studies on seeds germination and seedlings growth of Notopterygium incisum].
AB - OBJECTIVE: To investigate the appropriate culture medium, process of seeds
germination and seedlings growth, and optical measures for seedling management of
Notopterygium incisum. METHOD: Germination time and duration, germination
potential and germination ratio were determined through controlled temperature,
photoperiod, culture medium and seedling depth in illumination incubators.
RESULT: The appropriate temperature was 15 degrees C, and the most suitable
culture medium was A6 (mixture of sawdust, vermiculite, charcoal, and perliet),
and the most suitable semination depth is 1-1.5 cm. CONCLUSION: High-qualified
seedlings can be germinated through the dormancy-broken seeds, and soil and other
culture mediums with high organic matter content and loosing texture are suitable
for germination and seedlings raising of N. incisum.
PMID- 18051885
TI - [Establishment of chromatographic fingerprint and quality assessment on Sichuan
native medicinal plant Alisma plantago-aquatica].
AB - OBJECTIVE: To establish chromatographic fingerprint of Sichuan native medicinal
plant Alisma plantago-aquatica by RP-HPLC for the quality control. METHOD: The
gradient elution mode was applied in chromatographic separation, and data were
analyzed by "Computer Aided Similarity Evaluation" software to compare the
quality of A. plantago-aquatica samples from different habitats. RESULT: The HPLC
chromatographic fingerprinting of A. plantago-aquatica with 26 characteristic
peaks was established from 17 lots of A. plantago-aquatica samples, peak 16 and
22 were identified as 24-acetyl alisol A and 23-acetyl alisol B, respectively.
CONCLUSION: The chromatographic fingerprinting of A. plantago-aquatica with high
specificity can be used to control its quality and assure lot to lot consistency.
The RP-HPLC fingerprint method is repeatable, feasible in analysis of A. plantago
aquatica.
PMID- 18051886
TI - [Study on conditions of seed germination of Cistanche].
AB - OBJECTIVE: To study the effect of fluridone concentration, stimulating period,
temperature and salt on the seed germination of three species of Cistanche.
METHOD: The seeds were cultured in Petri dish, and the germination percentage was
counted. RESULT: The highest germination percentage was observed in Cistanche
tubulosa, C. deserticola, C. sala seeds pre-treated by 0.1 mg x L(-1) fluridone
for 24-29 h. The optimal temperature for the seeds germination of three species
of Cistanche was at 20-30 degrees C, and the seeds did not germinate at sub-or
supraoptimal temperatures (5 and 35 degrees C). The salt tolerance of C. sala
seeds was strong, and the critical value of NaCl concentration was 0.04 mol x L(
1). By contrast, C. tubulosa and C. deserticola seeds were more sensitive to the
salt stress, the critical value of NaCl concentration was 0.02 mol x L(-1).
CONCLUSION: The optimal germination condition and the method of testing
germination percentage of three species of Cistanche seeds are as follow: the
seeds are pre-treated by 0.1 mg x L(-1) fluridone for 24 h and then cultured at
20-30 degrees C in salt solution which concentration is lower than 0.02 mol x L(
1).
PMID- 18051888
TI - [SEM observation on leaf epidermis of different Ephedra species].
AB - OBJECTIVE: Characters of stem epidermis, leaf epidermis and stoma could be used
as important microcosmic morphological characteristic when inheritance trend is
studied in Ephedra breeding and identification. METHOD: The stomatic density,
stoma major axis and mimor axis, stomatic morphylogy, characters of leaf and stem
epidermis of 6 Ephedra plants' stems were examined by SEM. RESULT: The stomatic
density and characteristic of leaf epidermis and stem epidermis in six Ephedra
species was differenc, there were no obvious morphological differences in stoma
shape and size. The guard cells were covered with heavy cuticle and sunken
stomata, which were the typical characteristics of xerophytes. The stomas of leaf
lower epidermis were oblong or hexagon, but the stomas of steam epidermis were
narrowed-oblong or dumbbell-shape, they all belonged to anomalous type.
CONCLUSION: The stoma type and characters of Ephedra plants is stable and
conservative, there was no obvious morphological differences in stoma shape and
size between species, so it is difficult to distinguish different species by the
variance of stomas, but that can be applyed to distinguish Ephedra from others at
plant taxonomy.
PMID- 18051887
TI - [Observation on gametophyte development of Cibotium barometz].
AB - OBJECTIVE: To observe the spores germinating process of Cibotium barometz, and
understand the growth principle provided for experience for indoor culturing and
further research. METHOD: The spores of C. barometz were cultured both in
inorganic medium and in the soil from original habitat, and the whole process of
spores germination and the development of gametophytic were observed under
microscope. RESULT: The spores germinated about 1-2 weeks after being sowed, and
the type of germination belonged to Vittaria-type. The prothallial plates formed
in 25 days after being sowed, while hairs developed after the formation of the
prothallial plate. The gametophyte formed about 40 days after being sowed. But
the type of mature prothalli was cordate. The antheridia formed in 60 days after
inoculation, while the archegonia developed in 10 days after the formation of
antheridia. CONCLUSION: Soil based indoor culturing of C. barometz spores is
practical and can be used for cultivation of C. barometz.
PMID- 18051889
TI - [Research on quality of Epimedium extract in market].
AB - OBJECTIVE: To review the quality of Epimedium extract in the market. METHOD: The
contents of icariin, epimedin C, sagittatoside B and total flavonoids in
Epimedium extracts sold in the market were assayed by the methods of HPLC and UV
respectively. HPLC fingerprintings were obtained at the same time. RESULT: The
contents of icariin in most of the extracts are closely similar with the ones
labeled by the companies. 3 type chromatograms were classified in all the HPLC
fingerprintings, and were corresponded with their raw materials. The contents of
epimedin C, sagittatoside B and total flavonoids were different in the samples
with the same content of icariin. CONCLUSION: We can primarily confirm the origin
of raw materials by comparing the HPLC fingerprinting of extracts with the ones
of materials. The difference of extracts quality mainly comes from the difference
of materials. So we suggest that Epimedium extract product should be labeled the
origin of materials, and assayed with more compound contents, to ensure the
quality stabilization.
PMID- 18051890
TI - [Study on dynamical changes of Ginkgo biloba resources in Pizhou city of Jiangsu
Province base on RS and GIS].
AB - OBJECTIVE: To analyse the dynamical changes of the Ginkgo biloba's resources from
2001 to 2006, in Pizhou city, Jiangshu province by useing spatial analytical
function of GIS and RS technology. METHOD: Use the GIS and RS technology,
extracted the information of G. biloba by scientific investigation, researched
the spatial distribution and dynamical changes of G. biloba based on landsat 5
TM: the Apr. 3rd, 2001; Jan. 16th, 2005; July 30th, 2006. RESULT: Ginkgo biloba's
resource was 1.61 x 10(5) hm2 in 2001, 1.84 x 10(5) hm2 in 2005, 1.88 x 10(5) hm2
in 2006. CONCLUSION: Ginkgo biloba's resource rised from 1.61 x 10(5) hm2 to 1.88
x 10(5) hm2 from 2001 to 2006, showed the gradually rise.
PMID- 18051891
TI - [RAPD analysis on genetic relationship among varieties of Rehmannia glutinosa].
AB - OBJECTIVE: To define the genetic relationship and other genetic characters of
different varieties of Rehmannia glutinosa. METHOD: RAPD reactions were conducted
on total 54 samples from 16 varieties and 2 populations of R. glutinosa. The data
were analyzed by Phylips, Popgene and Arlequin software. RESULT: The majority
rule consensus tree defined the 54 samples to 3 groups. Population genetics
analysis and AMOVA showed that the genetic diversity among varieties/populations
much greater than that within varieties/populations, but within group II the
genetic diversity among varieties was similar to that within varieties.
CONCLUSION: Due to the long-time asexual propagation of R. glutinosa, some
genetic differentiation has been accumulated and fixed within the species. It was
shown that the genetic distance between wild population and cultivated varieties
was greater than the genetic distance among cultivated varieties. The wild
resource of the plant should be paid more attention and studies.
PMID- 18051892
TI - [Studies on cutaneous permeation in vitro of Kushen recipe gel].
AB - OBJECTIVE: To establish a suitable dosage form for a traditional anti-anaphylaxis
Chinese medicine of Kushen recipe, and investigate the effect of cutaneous
permeation in vitro of the recipe. METHOD: Techniques of extracting with ethanol
and purifying with absorbent resin to obtain alkaloids from Kushen recipe were
adopted, while volatile oil was extracted by steam distillation. The extraction
was made to gel. The skin from SD rats' abdomen was used as permeability
barriers. Then effects of permeation of the aqueous extraction, the purifying
extraction and the gel were compared by Valia-Chien and Franz diffusion cell
method. HPLC was utilized to quantitate the alkaloids in permeating liquid.
RESULT: In view of the permeation cumulation quantity, the permeation velocity
and the lag time of the four kinds of alkaloids, the effect of permeation of
purifying extraction was better than the aqueous extraction, and the purifying
extraction gel surpassed both the aqueous extraction and the purifying
extraction. CONCLUSION: It was certified that the purifying extraction gel had
improved the effect of cutaneous permeation of alkaloids, and it is the befitting
dosage form for Kushen recipe to treat anaphylaxis disease in skin.
PMID- 18051893
TI - [Freeze-drying of oleanolic acid-loaded nanosuspensions].
AB - OBJECTIVE: Several cryoprotectants were employed to study the protective effect
on the freeze-drying process of the oleanolic acid-loaded nanosuspensions (OLA
LS) in order to select the optimum formulation. METHOD: The protective effect was
evaluated by measuring the mean particle size of samples before and after freeze
drying process. RESULT: Sucrose with the concentration of 10% was selected as the
optimum cryoprotectant. The average size of excellent sample was 236.3 nm (versus
211.2 nm of fresh one), and a much higher polydispersity index of 0.242 (versus
0.180). CONCLUSION: The optimum lyophilized powder could be obtained with
suitable type of cryoprotectant with appropriate concentration and proper freeze
drying conditions.
PMID- 18051894
TI - [Cutaneous permeation comparison of Kechuan acupoint patch and power].
AB - OBJECTIVE: To compare the cutaneous permeation of Kechuan acupoint patch and
power, and evaluate the possibility of dosage form reform of Kechuan recipe.
METHOD: Take the Eugend and Ephedrine as the indexes, HPLC was employed to
determine their contents, the pond with Franz diffusion were used to measured the
cutaneous. RESULT: The permeation of Patch matched with Higuchi Equation. Take
Eugend as the index, the permeation rate of total of Patch is 2.319 and 1.738
times of the powder, and 1.784 and 1.215 times of the powder with the Ephedrineas
as index. CONCLUSION: The permeation rate of Kechuan acupoint patch was more
rapid than the powder. Moreover, the total quantity of permeation of patch was
also more than the powder.
PMID- 18051895
TI - [Investigation on one-pot extraction of active ingredient group from Yupingfeng
powder by HPLC].
AB - The extraction of active ingredient group, i.e., prim-o-glucosylcimifugin,
astragaloside, and 5-o-methylvisammiosode from Yupingfeng powder with one-pot
method was studied in this work. A HPLC method was used to determine the content
of each active constituent mentioned above in the extract. The influences of
extraction temperature, time, volume percent of ethanol in water and its amount
added on the content and yield of active ingredient group were investigated by
orthogonal test. The experimental results showed that the optimized extraction
conditions were as follows: 1g of Yupingfeng powder was one-pot extracted for 4
hours at 80 degrees C with 90% ethanol as solvent, and the yield and content of
active ingredient group were 0.16%, 0.53% respectively. The active ingredient
group in Yupingfeng powder could be effectively one-pot extracted under the
conditions above.
PMID- 18051896
TI - [Simultaneous determination of 5 active components in Fructus Cnidii by HPLC].
AB - OBJECTIVE: To develop an RP-HPLC method for simultaneous determination of 5
constituents in Fructus Cnidii. METHOD: Analysis was performed on an Alltech C18
(4.6 mm x 250 mm, 5 microm) column. The mobile phases were acetonitrile water and
acetic acid with gradient elution. The flow rate was 1 mL x min(-1). The
monitoring wavelength was 325 nm and 245 nm. The column temperature was 40
degrees C. RESULT: The linear response ranges were 1-20 microg x mL(-1) (r =
0.999 9) for xanthotoxin, 1-20 microg x mL(-1) (r = 0.999 9) for isopimpinellin,
11-20 microg x mL(-1) (r = 0.999 8) for bergapten, 100-1 200 microg x mL(-1) (r =
0.999 7) for imperatorin, 100-2 000 microg x mL(-1) (r = 0.999 9) for osthole.
The average recoveries were all above 95%. CONCLUSION: The method is simple,
sensitive and accurate with good reproducibility.
PMID- 18051898
TI - [Studies on triterpenoid constituents in leaf of Ilex pernyi].
AB - OBJECTIVE: To investigate the chemical constituents of Ilex pernyi. METHOD: The
chemical constituents were isolated by various column chromatographic methods.
The structures were identified by spectral data. RESULT: Eight triterpenoid
compounds were isolated and identified as ursolic acid (1), lupeol (2), alpha
amyrin (3), uvaol (4), 3beta-hydroxyurs-11-ene-13beta-olide (5), pomolic acid
(6), lup-20 (29)-ene-3beta, 24-diol (7), 3beta, 23-dihydroxy-urs-12-en-28-oic
acid (8). CONCLUSION: The eight compounds were obtained from this plant for the
first time.
PMID- 18051897
TI - [Studies on polyphenolic chemical constitutents from root of Salvia yunnansis].
AB - OBJECTIVE: To study the chemical constituents in the root of Salvia yunnansis.
METHOD: Compounds were isolated and purified by Diaion HP20, Sephadex LH - 20,
ODS chromatography. Their structures were determined by spectral analysis and
chemical evidence. RESULT: Twelve compounds were isolated and identified from the
root of S. yunnansis protocatechaldehyde (1), caffeic acid (2), ferulic acid (3),
rosmarinic acid (4), salvianolic acid A (5), salvianolic acid C (6),
lithospermicacid (7), lithospermicacid B (8), 9'-methyl lithospermate B (9), 9"'
methyl lithospermate B (10), 9',9'''-dimethyl lithospermate B (11), 9'-ethyl
lithospermate B (12). CONCLUSION: The compounds 1, 2, 3, 5, 6, 9, 10, 11 and 12
were first isolated from S. yunnanensis.
PMID- 18051899
TI - [Studies on chemical constituents from root of Actinidia macrosperma].
AB - OBJECTIVE: To study the chemical constituents of root of Actinidia macrosperma.
METHOD: Chromatographic methods were used to isolate compounds from A.
macrosperma and spectroscopic methods were used to identify the structures of the
isolated compounds. RESULT: Eight compounds were obtained and identified as 12
oleanene-2alpha, 3alpha, 24-triol (1), isotachioside (2), asiatic acid (3),
catechin (4), epicatechin (5), ursolic acid (6), beta-daucosterol (7), beta
sitosterol (8). CONCLUSION: All these compounds were isolated from this plant for
the first time, compound 1, 2 were obtained from this genus for the first time.
PMID- 18051900
TI - [Studies on chemical constituents from root of Cynanchum atratum].
AB - OBJECTIVE: To study the chemical constituents from the roots and rhizome of
Cynanchum atratum. METHOD: The chemical constituents were isolated and repeatedly
purified by silica gel chromatography and the structures were elucidated by the
NMR spectra and physicochemical properties. RESULT: Twelve compounds were
obtained and nine of them were identified as 2, 4-dihyroxyacetophe none (1), 2, 6
dihyroxyacetophenone (2), 4-hydroxybenzenemethanol (3), benzoic acid (4), beta
amyrin acetate (5), palmitic acid (6), beta-sitosterol (7), beta-daucosterol (8),
glaucogenin C-3-O-alpha-D-oleandropyranosyl-(1 --> 4)-beta-D-digitoxopyranosyl-(1
-->4)-alpha-D-oleandropyranoside (9). CONCLUSION: Seven compounds were obtained
from C. atratum for the first time.
PMID- 18051901
TI - [Study on variation of actinoside C in leaves of Actinidia kolomikta with
different growth periods by RP-HPLC].
AB - OBJECTIVE: To determine actinoside C in the leaves of Actinidia kolomikta with
different growth periods. METHOD: The separation was performed at 25 degrees C on
ZORBAX Extend C18 column (4.6 mm x 250 mm, 5 microm), using amixture of methanol
and water (51:49) as a mobile phase. The flow rate was 1.2 mL x min(-1), and the
wavelength for measurement was 267 nm. RESULT: The results showed that the
contents of actinoside C in the leaves of A. kolomikta were variety in different
growth periods. Actinoside C could reach its highest content in the middle ten
days of June, then the content would decrease in the middle ten days of July
slightly, it could reach their lowest content in the middle ten days of August.
CONCLUSION: The optimal collective date for A. kolomikta are in the middle ten
days of June.
PMID- 18051902
TI - [Determination of macrozamin in Rhizoma Heterosmilacis Japonicae by RP-HPLC].
AB - OBJECTIVE: To develop a RP-HPLC method for the determination of the content of
macrozamin in Rhizoma Heterosmilacis Japonicae. METHOD: A Century C18 AQ column
(4.6 mm x 250 mm, 5 microm) was used with the mobile phase consisted of water
(4:96). The flow rate was 1.0 mL x min(-1). The detection wavelength was set at
215 nm, and the column temperature was 35 degrees C. RESULT: The calibration
curve was linear (r = 0.999 8) in the range of 19.12 - 382.4 microg x mL(-1) for
macrozamin, the average recovery of the method was 99.5%, with RSD 2.1% (n = 9).
CONCLUSION: This method can be used for the quality study of Rhizoma
Heterosmilacis Japonicae.
PMID- 18051903
TI - [Effects of salvianolic acid B on cerebral energy charge and activity of ATPase
in mice with cerebral ischemia].
AB - OBJECTIVE: To observe the effect of salvianolic acid B (SalB) on high energy
phosphate and activity of ATPase of cerebral ischemia in mice, and to study the
role of SalB on hydrocephalus further. METHOD: NIH mice were divided into four
groups randomly: Sham-operated group, cerebral ischemia group, SalB-treated group
and Nimodipine (Nim)-collated group. In Sal B-treated group, mice were injected
with SalB (22.5 mg x kg(-1)) in vena caudalis at 30 min before the experiment. In
Nim-collated group, Nim (0.03 mg x kg(-1)) was injected into tail vein at the
same time, while the mice in Sham-operated group and cerebral ischemia group were
injected the same volume normal saline. The acute cerebral ischemia model was
established by ligating bilateral common carotid arteries for 30 min in mice,
then the mice were killed and the content of adenosine triphosphate (ATP),
adenosine diphosphate (ADP), adenosine monophosphate (AMP), phosphocreatine (PCr)
were observed, and the cerebral energy charge (EC) was computed. At the same
time, activity of Na(+) -K(+) -ATPase and Ca2(+) -ATPase, content of water in
brain tissue were measured. RESULT: Compared with cerebral ischemia group, EC and
content of ATP, ADP, PCr in SalB-treated group heightened evidently (P < 0.01).
Moreover, activity of Na(+)-K+ ATPase and Ca2+ ATPase in SalB-treated group had a
remarkable increase (P < 0.01). But the content of water in brain tissue
decreased markedly (P < 0.05). CONCLUSION: The mechanism that SalB can relieve
content of water in brain tissue of cerebral ischemia in mice, may be associated
with improving the content of high-energy phosphoric acid compounds and enhancing
the activity of ATPase.
PMID- 18051904
TI - [Effect on mouse S180 MDR tumour cell expression correlated factorial matter by
70% ethanol with Huanglian Jiedu Tang].
AB - OBJECTIVE: To observe the effect on P170, LRP, TOPO II of S180 tumour MDR mice
for matter by 70% ethanol with Huanglian Jiedu Tang, and then discuss the
molecular biology base for clinic. METHOD: 18-22 gramme mice were divided into
four groups for normal S180 tumour cell group, matter by 70% ethanol with
Huanglian Jiede Tang 100 mg x kg(-1) and 50 mg x kg(-1) in random. Each mouse was
given S180 cell 0.2 mL by celiac, and after 24 hours give cisplatin for Injective
3 mg x kg(-1), ip, once a week. And give cyclophosphamide and 5-FU 3 mg x kg(-1),
ig, once every day. After 15 days, collect lively mice ascites and give it for
onefold normal mice. And then repeat before process. At the same time, every
group was given corresponding medicine for 0.2 mL x 10 g(-1). The normal group
and the model group were given the same cubage water, all together fore weeks. At
last observd the P170, LRP, TOPO II by flow cytometry. RESULT: Matter by 70%
ethanol with Huanglian Jiedu Tang could obviously reduce the express of P170 and
LRP, and the activiation of TOPO II. CONCLUSION: Matter by 70% ethanol with
Huanglian Jiedu Tang can intervene the ocurrence of the multi-drug resistance of
tumour cells by regulating the biology gene.
PMID- 18051905
TI - [Effects of Dioscorea septemloba on bone metabolism in ovariectomized rats].
AB - OBJECTIVE: To investigate the effects of the decoction of Rhizoma Dioscorea
septemlobae (RD) on the bone metabolism in ovariectomized rats. METHOD: Thirty
female, 3-month-old Wistar rats without pregnancy and deliver were randomly
divided into 6 groups: sham (sham-operation), ovariectomy (OVX), OVX +
diethylstilbestrol, OVX + high dose RD (4 g x kg(-1) x d(-1)), OVX + middle dose
RD (2 g x kg(-1) x d(-1)) and OVX + low dose RD (1 g x kg(-1) x d(-1)) (n = 5 in
every group). After 12-week period of continuous treatment, the urinary samples
and blood samples were collected for the determination of serum estrodiol (E2),
calcium (Ca), phosphorus (P), bone glaprotein (BGP), alkaline phosphatase (ALP),
urinary calcium/creatinine (Ca/Cr), phosphorus/ creatinine (P/Cr) and
deoxypyridioline/creatinine (DPD/Cr). The uteri were removed and weighed. The
bone mineral density (BMD) and the biomechanical parameters of the femur of the
rats in every group were determined, respectively. RESULT: The coefficient of
uteri in every dose group of OVX + RD was significantly higher than that in the
OVX group (P < 0.01). The concentration of serum ALP, BGP and urinary DPD/Cr,
Ca/Cr in the OVX group was significantly higher than that in the sham group (P <
0.05), respectively, However, that in the every dose of OVX + RD was lower than
that in the OVX group, respectively. There was no significan difference in the
concentration of serum Ca, P and urinary P/Cr in every group, respectively. The
bone mineral density (BMD) in the OVX group was (0.032 +/- 0.007) g x cm(-2) and
was significantly lower than that in the sham group (P < 0.01). However, the
value in the group of every dose OVX + RD was significantly higher than that in
the OVX group (P < 0.05, P < 0.01), respectively. The maximum loading, deflection
and the maximum strain of the femur in the OVX group were (125.78 +/- 15.48) N,
(1.87 +/- 0.22) mm, (9.34 +/- 1.10) % and were significantly lower than those in
the sham group (P < 0.05, P < 0.01), respectively. The maximum loading and
maximum stress were increased in different extent in the every dose group of OVX
+ RD, respectively. CONCLUSION: The decoction of RD can inhibit bone absorption,
decline bone turnover and improve the loss of bone in ovariectomized rats.
PMID- 18051906
TI - [Protective effect of Jinhuang-1 on acute respiratory distress syndrome rats
induced by oleic acid].
AB - OBJECTIVE: To observe the protective effect of Jinhuang-1 (JH-1) on oleic acid
induced acute respiratory distress syndrome (ARDS) in rats and provide a basis to
clinical application. METHOD: Oleic acid was injected into the tail vein of rats
and JH-1 were administered to rats. After four days, their blood were collected
for the blood gas analysis. The superoxide dismutase (SOD), the malondialdehyde
(MDA), nitic oxide (NO) content and the positive expression ratio of tumor
necrosis factor-alpha (TNF-alpha) were determined. The pathological changes of
microstructure of lung were observed. RESULT: The results demonstrated that JH-1
could significantly increase PO2, reduce PCO2 of oleic acid-induced ARDS rats,
increase the superoxide dismutase, decrease the malondialdehyde, nitic oxide
content and the positive expression ratio of TNF-alpha, and improve the
histological destruction on lung. CONCLUSION: JH-1 plays a protective role for
ARDS rats induced by oleic acid. The mechanism is probably related to attenuating
lipid peroxidation and decreasing NO content and the expression of TNF-alpha in
lung.
PMID- 18051907
TI - [Effects of ethyl acetate extract of Semen Hoveniae on liver microsomal
cytochrome P450 isoenzyme in rat].
AB - OBJECTIVE: To investigate the effects of the ethyl acetate extract of Semen
Hoveniae (ESH) on liver microsomal cytochrome P450 isoenzyme in rats. METHOD: The
rats were given orally the ESH in the doses of 0.14, 0.17, 0.2 g x kg (equivalent
to the crude herb) for 10 days respectively. Rat liver microsomal cytochrome
P450, NADPH-Cyt C reductase, erythromycin N-demethylase (ERD), Aniline
hydroxylase (ANH), aminopyrine N-demethylase (ADM) activities were quantitated by
UV chromatography. The levels of mRNA expression of CYP1A1, CYP2C11, CYP2E1 and
CYP3A1 were detected by semi-quantitative reverse transcripatase-polymerase chain
reaction (RT-PCR). RESULT: The cytochrome P450 content, NADPH-Cyt C reductase
activities and erythromycin N-demethylase (ERD) activities were not affected.
Aniline hydroxylase (ANH) activities in liver were decreased by up to35.1%;
aminopyrine N-demethylase (ADM) activitiesin liver were increased by up to 42.4%.
The mRNA expression of CYP1A1, CYP2C11 and CYP3A1 were found to be increased
markedly. CONCLUSION: A specific effect of ESH on liver microsomal cytochrome
P450 isoenzyme in rats was observed in this investigation. ESH had various
effects on liver microsomal cytochrome P450 isoenzyme.
PMID- 18051908
TI - [Effects of tetrandrine on Ang II-induced cardiomyocyte hypertrophy and p-ERK1/2
expression].
AB - OBJECTIVE: To observe effects of tetrandrine (Tet) on angiotensin II (Ang II)
induced cardiomyocyte hypertrophy and the activity and expression of
phosphorylated ERK1/2 (p-ERK1/2). METHOD: In the primary culture of neonatal rat
cardiomyocytes, as indexes of cardiomyocyte hypertrophy, pulsation rate was
measured under phase contrast microscope. Cell size was determined by cell
morphology analytical system. The total protein was determined by coomassie
brilliant blue and protein synthesis rate was measured by [3H]-Leucine
incorporation. ERK activity was measured by immuno-precipitation. The expression
of p-ERK1/2 was assessed using Western blot. RESULT: Tet can decrease Ang II
induced elevations of the pulsation rate, cell size, total protein and protein
synthesis rate; inhibit the activity and expression of p-ERK1/2. CONCLUSION: The
anti-hypertrophic effect of Tet on Ang II-induced cardiomyocyte hypertrophy was
associated with inhibition of ERK1/2 signaling pathway.
PMID- 18051909
TI - [Effect of total flaveos of Gymostemma pentaphyllum on protein expression of
Fas/FasL genes and TNF-alpha concentration in cultured neonatal rat
cardiomyocytes with hypoxia-reoxygenation].
AB - OBJECTIVE: To study the effect of total flaveos of Gymostemma pentaphyllum on the
protein expression of apoptosis-associated Fas/FasL gene and tumor necrosis
factor-alpha (TNF-alpha) concentration in cultured neonatal rat cardiomyocytes
with hypoxia-reoxygenation (H/R). METHOD: A cultured primary neonatal rat
cardiomyocytes model with H/R was erected, experiments were divided into six
groups, (1)control group, (2)H/R group, (3)15 mg x L(-1) TFG plus H/R group,
(4)45 mg x L(-1) TFG plus H/R group, (5) 105 mg x L(-1) TFG plus H/R group,
(6)105 mg x L(-1) TFG group. TNF-aconcentration in cultured cardiomyocytes with
H/R, was determined by ELISA method, the protein expression of Fas/FasL genes
were estimated by immunohisto-chemistry. RESULT: After cardiomyocytes were made
with H/R, Compared with control group, the positive expression index (PEI) of
Fas/FasL proteins in cardiomyocytes increased significantly, Compared with H/R
groups, the PEI of Fas/FasL proteins were lower significantly in groups with
different dosages of TFG (P < 0.05). TFG inhibited the secretion of TNF-alpha
from myocardial cells and increased the survival rate of myocardial cells.
CONCLUSION: The protein expression of apoptosis-associated Fas/FasL genes
increased during H/R. The TFG can protect myocardium against H/R injury by
decreasing the production of TNF-alpha, downregulating the protein expression of
Fas/FasL genes, and then inhibiting myocyte apoptosis.
PMID- 18051910
TI - [Clinical study of super crush-run Tongxinluo capsule on treatment of stroke].
AB - OBJECTIVE: To evaluate the clinical efficacy and security of super crush-run tong
xinluo capsule (SCTXLC) for apoplexy due to energy-deficiency and blood-stasis.
METHOD: The randomised controlled double blind non-inferiority trial versus
paroxetine, parallel contrast, different Kinds of Techniques and dosage, the
clinical trial design was adopted, 144 patients with stroke of convalescent stage
were selected by 2 group, which course of diseases was in 2 weekens to 3 months,
neurological deficit scores was 8 to 30, grade of acaties of daily living scores
was 2 to 5. the treatment group (n = 72) received SCTXLC 0.26 g (a capsule), 4
capsules at a time, three times a day, while that of the control group (n = 72)
received common crush-run tong xinluo capsule (CCTXLC) 0.38 g (a capsule), 4
capsules at a time, three times a day, the therapeutic course for both groups was
28 d. RESULT: The synthesis total effective rates of the stroke in treatment
group and control group were 91.3% and 87.3% respectively, showing no significant
difference. The Lower Bound Upper Bound of Asymptotic 95% Confidence Interval of
the total effective rates difference is -4.57%, over the beforehand Lower Bound
of 15%, non-inferiority trial versus paroxetine was eligible. The adverse
reactions occurred was 1 patient in the treatment group and 2 patients in control
group in clinical trial. CONCLUSION: SCTXLC has definite effect for apoplexy due
to energy-deficiency and blood-stasis, the efficacy in the treated group was
equal to that in the control group, and favourable satety for usage.
PMID- 18051911
TI - [Review on experimental research of Chinese herbal medicine intervenion for
breast cancer].
AB - A review was about the mechanism of Chinese herbal medicine and its compounds
intervening breast cancer in recent years. Experimental results showed that
Chinese herbal medicine and its compounds have the activity of anti-cancer,
through inhibiting the growth and proliferation of breast cancer cells,
restraining the metastasis, appearing the estrogen-like activity and improving
multi-drug resistance, and so on.
PMID- 18051912
TI - Do we deserve trial by media?
PMID- 18051913
TI - NICE guideline supports normal birth.
PMID- 18051914
TI - Biological nurturing (2). The physiology of lactation revisited.
PMID- 18051915
TI - Researching colic: a crying matter. Part 1: Causes and risk factors.
PMID- 18051916
TI - The knitted breast project.
PMID- 18051917
TI - Preconceptual care: why we need Foresight. Part 1: From diet to drugs.
PMID- 18051918
TI - Intrapartum care guidance: putting women first.
PMID- 18051919
TI - Midwifery basics: diet matters (2). Maternal overweight in pregnancy.
PMID- 18051920
TI - Nuchal cord at birth: to cut or not to cut?
PMID- 18051921
TI - Is there a future for normal birth? 2001.
PMID- 18051922
TI - So you want to be a midwife? Part 5: Preparing for the interview.
PMID- 18051923
TI - Birth by numbers.
PMID- 18051924
TI - No child need have unrecognized diabetes mellitus.
PMID- 18051925
TI - Non-alcoholic fatty-liver disease in pediatric populations.
AB - The increasing prevalence of obesity is not only observed in adults. Children are
affected by obesity and related diseases, such as chronic liver disease, more
frequently than in the past. Nonalcoholic fatty-liver disease is an important
cause of chronic liver disease and in the near future will become important
worldwide. Considering this phenomenon, it is important for gastroenterologists
and hepatologists to be aware of the presence of nonalcoholic fatty-liver disease
in pediatric populations and to treat it adequately. This practice will have
important benefits for future generations. This review discusses the most
important aspects in epidemiology, diagnostics and treatment of nonalcoholic
fatty-liver disease in children.
PMID- 18051926
TI - The rise of insulin and the fall of ghrelin.
PMID- 18051927
TI - Ghrelin serum levels during oral glucose tolerance test in prepubertal obese
children with insulin resistance.
AB - BACKGROUND: An involvement of ghrelin in glucose metabolism has been suggested;
nevertheless, the relationship between ghrelin and insulin resistance (IR)
remains unclear. AIMS: 1. To investigate the effect of glucose loading on ghrelin
in prepubertal obese children with IR. 2. To assess possible correlations between
IR and changes in circulating ghrelin. PATIENTS AND METHODS: Twenty prepubertal
obese, insulin-resistant and 18 age- and sex-matched lean children were studied.
Fasting glucose, insulin and ghrelin levels were measured. In the obese group,
measurements were repeated during an OGTT. RESULTS: Ghrelin levels were decreased
at 60 min, but thereafter increased to baseline values. The fall in circulating
ghrelin was negatively correlated with IR and the respective rise in insulin
levels. CONCLUSIONS: In prepubertal, insulin-resistant obese children, ghrelin is
significantly suppressed shortly after glucose intake. It is possible that the
above effect is attenuated by IR and the resultant increase in insulin levels.
PMID- 18051928
TI - Incidence of childhood type I and non-type 1 diabetes mellitus in a diverse
population: the Chicago Childhood Diabetes Registry, 1994 to 2003.
AB - BACKGROUND: Reports of increasing risk for type 1 (T1) and type 2 diabetes
mellitus in youth are emerging, but information on socioeconomically diverse
populations is limited. METHOD: The Chicago Childhood Diabetes Registry is a city
wide study of patients 0-17 years old at onset. Incidence data came from medical
records and interviews; census data provided denominators; analyses used Poisson
regression. Non-type 1 (nT1) patients had a type 2-like clinical course or
related indicators. RESULTS: There were 1,366 incident cases: 719 in non-Hispanic
Black (NHB), 379 in Hispanic, 229 in non-Hispanic White (NHW), and 39 in children
of other ethnicities. Average annual incidence was 16.0 (95% CI: 14.6,
17.6)/10(5) for boys, 20.1 (18.3, 22.1)/10(5) for girls, and 18.1 (16.9,
19.3)/10(5) overall. Risk was 21.6 (19.6, 23.8)/10(5) for NHB, 14.6 (13.0,
16.4)/10(5) for Hispanic, and 18.1 (15.9, 20.6)/10(5) for NHW. Children aged 10
14 years experienced the highest incidence, irrespective of ethnicity. T1 was
predominant in all ethnic groups, except NHB, where the rates of T1 and nT1 were
similar. Over ten years there was a marked increase in all childhood diabetes in
Chicago, averaging 2.73% (95% CI: 0.49, 5.02) per annum, adjusted for age. This
increase was confined to nT1, with an average annual percent change of +6.23%
(2.28, 10.34), while T1 incidence remained stable. CONCLUSIONS: Incidence of
childhood diabetes increased between 1994-2003, driven primarily by nT1,
suggesting a role for behavioral and/or environmental determinants of insulin
resistance. These estimates are likely to be conservative, if nT1 cases were more
apt to be missed.
PMID- 18051929
TI - Diabetes mellitus in Asian Indian children and adolescents.
AB - AIM: To study the clinical and metabolic profile of type 1 and type 2 diabetes
mellitus in children and adolescents in a South Asian population. RESEARCH DESIGN
AND METHODS: Sixty children were recruited. They were divided into three groups:
Group I--type 2 diabetes mellitus (DM2), Group II--type 1 diabetes mellitus
(DM1), and Group III--healthy controls. The clinical history and biochemical
parameters (HbA1c, serum insulin, C-peptide, triglycerides, total cholesterol and
HDL-cholesterol) were recorded. Homeostasis model assessment for insulin
resistance (HOMAIR) and quantitative insulin sensitivity check index (QUICKI)
were calculated. RESULTS AND CONCLUSIONS: Children and adolescents with DM2 had a
significant family history of DM and clinical features of insulin resistance,
including increased body mass index, waist:hip ratio and acanthosis nigricans.
They also had decreased insulin sensitivity together with dyslipidemia of
metabolic syndrome, i.e. high triglyceride, high total cholesterol and low HDL
cholesterol. The presence of these predictors of cardiovascular disorders is
known to contribute to morbidity and mortality. Hence, DM2 needs to be recognized
early in Asian Indian children.
PMID- 18051930
TI - Decompensated hyperglycemic hyperosmolarity without significant ketoacidosis in
the adolescent and young adult population.
AB - AIM: To identify patients aged 10-30 years with probable hyperglycemic
hyperosmolar syndrome (HHS), to describe demographic and clinical profiles, and
to attempt to assess risk factors for poor outcomes. STUDY DESIGN: Retrospective
cohort study (medical records review). SETTING: A 944-bed tertiary care teaching
and research hospital and a 425-bed affiliated facility. PATIENTS: 10-30 year-old
patients with a primary or secondary discharge diagnosis of HHS or diabetic
ketoacidosis (DKA). Patients with a serum glucose >600 mg/dl in the absence of
significant ketoacidosis (possible HHS) were profiled. Further stratification
based on measured or calculated serum osmolarity >320 mOsm/kg (probable HHS) was
undertaken. INTERVENTIONS: Patients received treatment for hyperglycemic crises,
consisting primarily of fluids, electrolyte replacement and insulin. MEASUREMENTS
AND MAIN RESULTS: Of the 629 admissions, 10 with a diagnosis of HHS and 33 with a
diagnosis of DKA met the initial study criteria for HHS. 60% were African
Americans and 89% were new-onset diabetics. From this group, 20 admissions had
serum osmolarity > or =320 mOsm/kg. Fisher's exact test and Pearson coefficients
were used to examine associations between risk factor and poor outcomes and
correlations between admission data and length of hospital stay, respectively.
Serious complications occurred in four patients (including two deaths, 10%
mortality) and were limited to those with unreversed shock over the first 24
hours of admission and who received <40 ml/kg of intravenous fluids over the
first 6 hours of treatment. CONCLUSIONS: HHS was underdiagnosed in this
population and occurred disproportionately in African Americans. Serious
complications occurred exclusively in those with unreversed shock and inadequate
fluid resuscitation.
PMID- 18051931
TI - Relationship between endothelial dysfunction, carotid artery intima media
thickness and circulating markers of vascular inflammation in obese hypertensive
children and adolescents.
AB - BACKGROUND: Adhesion molecules released by dysfunctional endothelium are
considered as markers of vascular inflammation in early atherosclerosis. Non
invasive ultrasound methods are now available to detect first preclinical signs
of the disease. AIM: To investigate the relationship between selected adhesion
molecules and ultrasound indicators of early atherosclerosis: endothelial
function measured by flow-mediated dilatation (FMD) and intima media thickness
(IMT). PATIENTS: The study group consisted of 85 children, mean age 14.6 years,
of whom 22 were obese, 31 were hypertensive, and 32 obese and hypertensive. The
control group included 26 healthy children. METHODS: Adhesin concentrations were
determined by ELISA. FMD and IMT were evaluated by ultrasound. RESULTS: A
positive correlation was found between sICAM-1 (soluble intercellular adhesion
molecule 1) and IMT (r = 0.32, p = 0.013, 95% CI: 0.11 to 0.49) and a negative
correlation between IMT and FMD (r = -0.26, p = 0.04, 95% CI: -0.43 to -0.04) in
the whole study group. In the particular groups, we found significant
correlations only in obese hypertensive children. sICAM-1 correlated positively
with IMT (r = 0.52, p = 0.001, 95% CI: 0.2 to 0.72) and negatively with FMD (r =
0.31, p = 0.027, 95% CI: -0.6 to -0.2). sE-selectin correlated positively with
IMT (r = 0.41, p = 0.012). In regression models, IMT correlated with sICAM-1
(beta = 0.37, p = 0.03) and body mass index (beta = 0.55, p = 0.02), and FMD
correlated negatively with sICAM-1 (beta = -0.47, p = 0.04). CONCLUSIONS: The
association between inflammatory markers of the endothelium with impaired
vasodilatation activity and the first atherosclerotic structural changes in the
common carotid arteries were found in obese hypertensive children and
adolescents. The coexistence of obesity and hypertension predisposes these young
patients to closely related disturbances connected with early atherosclerosis.
PMID- 18051932
TI - Ketoacidosis at onset of type 1 diabetes mellitus in children in Bosnia and
Herzegovina: frequency and clinical presentation.
PMID- 18051933
TI - Central precocious puberty associated with pituitary duplication and midline
defects.
AB - Central precocious puberty (CPP) is due to premature activation of the
hypothalamic-pituitary-gonadal axis. It may be idiopathic or result from
congenital or acquired CNS lesions. We describe a 7.4 year-old Brazilian girl
with CPP who also presented hypertelorism, limitation of lateral neck rotation
and synkinesis of the hands. Sellar and cervical column MRIs revealed pituitary
duplication and rudimentary intervertebral disks. We present the clinical and
imaging observations of this case, and a thorough literature review of this rare
developmental abnormality.
PMID- 18051934
TI - Mineralocorticoid deficiency in post-operative cerebral salt wasting.
AB - Acute hyponatremia, following neurosurgery, results from inappropriate
antidiuretic hormone secretion (SIADH) or cerebral salt wasting (CSW). CSW is due
to abnormally high atrial or brain natriuretic peptides (ANP, BNP), which block
all stimulators of zona glomerulosa steroidogenesis, resulting in
mineralocorticoid deficiency. A 3 year-old girl presented CSW at day 4, after
resection of craniopharyngioma and hypophysectomy. Hyponatremia, hyperkalemia and
high natriuresis occurred on day 8, with low renin and aldosterone and elevated
BNP 120.3 ng/ml (undetectable before surgery). Fludrocortisone 100 microg/day
controlled natriuresis and restored electrolytes within 24 hours. A 5 year-old
boy presented CSW at day 6 after partial resection of optic glioma.
Fludocortisone 100 microg/day restored electrolytes within 8 hours. ANP was
elevated, 60.6 ng/l, aldosterone and renin were low. Fludrocortisone
supplementation should be considered in CSW, as excessive natriuresis is
controlled, and electrolytes are easily restored, avoiding life-threatening
complications of this complex disorder.
PMID- 18051935
TI - Femoral hypoplasia in two newborns associated with maternal diabetes mellitus.
AB - Femoral hypoplasia is a rare disorder, with an estimated incidence of 0.11
0.2/10,000 live births. Although the majority of cases are sporadic, a few
familial cases have been described. Poor diabetic control, exposure to drugs,
viral infections, radiation, focal ischemia and trauma between the 4th and 8th
week of gestation have been implicated as possible etiological factors. Femoral
hypoplasia with other congenital anomalies was described in children of diabetic
mothers. The isolated form is uncommon. We present here two newborns with femoral
hypoplasia due to maternal diabetes mellitus. One of them has isolated and
unilateral left femoral hypoplasia diagnosed after birth; the other has bilateral
femoral hypoplasia and bowing diagnosed by ultrasound at 24 weeks' gestation.
PMID- 18051936
TI - How should careproviders respond when the medical system leaves a patient short?
PMID- 18051937
TI - Autonomy and the family as (in)appropriate surrogates for DNR decisions: a
qualitative analysis of dying cancer patients' talk.
PMID- 18051938
TI - What families say about surrogacy: a response to "autonomy and the family as
(in)appropriate surrogates for DNR decisions".
PMID- 18051939
TI - The armchair ethicist: it's all about location.
PMID- 18051940
TI - Ethics consultation: continuing its analysis.
PMID- 18051941
TI - Professional clinical ethicist: knowing why and limits.
PMID- 18051942
TI - Memento ... life imitates art: the request for an ethics consultation.
AB - This was a troublesome case, without complete consensus about the right thing to
do. It seemed there were arguments on both sides: those who thought that the goal
of comfort care without treatment was most consistent with his wishes, versus
those who thought the additional testing and treatment might have produced a net
benefit in spite of the burdens. Ultimately, the patient was placed in a facility
with a do-not-resuscitate (DNR) order in effect and a plan for comfort care. He
may live a few months or more. We all hope that whatever time he has is spent
free of pain and symptoms, and that he is able to live a life of quality
consistent with his wishes. The main story line is consistent with actual events;
however, there have been changes in terms of gender, disease, and relationships
that have been included to protect the anonymity of the patient.
PMID- 18051943
TI - Memory identity and capacity.
PMID- 18051944
TI - Decision-making capacity, memory and informed consent, and judgment at the
boundaries of the self.
PMID- 18051945
TI - The challenges of amnesia in assessing capacity, assigning a proxy, and deciding
to forego life-prolonging medical treatment.
PMID- 18051946
TI - Harvard Medical School Public Forum: insuring the uninsured: does Massachusetts
have the right model? 17 May 2007.
PMID- 18051947
TI - Multiplex reverse transcriptase-PCR assay for typing and subtyping of influenza A
(H5 & H9) virus in Iran.
AB - BACKGROUND: Avian influenza virus (AIV) infection is a major cause of bird or
human mortality and morbidity, therefore the rapid identification of the virus is
of important clinical and epidemiological implication. METHODS: A multiplex
Reverse Transcriptase PCR (RT-PCR) was optimized for the detection of influenza A
virus and the H5 and H9 subtypes. The influenza type A specific primers were
directed to the region of the influenza A matrix gene that is conserved among
most type A influenza viruses. The H5 and H9 primers were directed to H5 and H9
hemagglutinin (HA) gene regions that are conserved among H5 and H9 subtypes. The
selected primer sets were used in the RT-PCR for simultaneous detection of
matrix, H5 and H9 responding specific sequences in a multiplex format. RESULTS:
Three reaction conditions were optimized which include: i) RT-PCR typing using
matrix gene primers for five subtypes of flu A (H1, H3, H5, H7 and H9), ii) RT
PCR subtyping for H5 and H9 subtypes, and iii) multiplex subtyping of H5 and H9.
In this study, the multiplex RT-PCR was applied to 147 cloacal and tracheal swabs
of clinical poultry cases with similar influenza symptoms. CONCLUSIONS: These
results suggest that multiplex RT-PCR assay can be a useful test for rapid
detection and subtyping of AIV in clinical samples.
PMID- 18051948
TI - Opioid receptors of the central amygdala and morphine-induced antinociception.
AB - BACKGROUND: The amygdala is a forebrain region, which is known as a modulator of
pain sensation. The amygdala, particularly the central nucleus, has high
concentrations of enkephalins relative to dynorphins and has high concentrations
of opioid receptors. We here studied the role of central nuclei of amygdala in
morphine antinociception. METHODS: In this study, we used 130 male Wistar rats
(200-250 g). Bilateral two guide cannula were inserted into central nuclei of
amygdala. The drugs were administrated via intra central-amygdala and
intraperitoneal. The antinociceptive effect was measured by formalin test.
RESULTS: Bilateral microinjections of morphine (50 and 100 microg/rat) into the
central nuclei of amygdala elicited powerful suppression of nociceptive behaviors
in both phases of formalin test. The intraperitoneal administration of naloxone
(1 and 2 mg/kg) decreased significantly the antinociception induced by the intra
amygdaloid injection of morphine. Our data also showed that microinjection of
naloxone (50 and 100 microg/rat) into the central nuclei of amygdala could reduce
the analgesic effects of systemic morphine (7 mg/kg). On the other hand,
bilateral neurotoxic lesions of the central nuclei of amygdala attenuated the
antinociception induced by subcutaneous or intra-amygdaloid injection of
morphine. CONCLUSION: These findings suggest that morphine analgesia in the
formalin test depends on ascending connections to the forebrain, probably the
amygdala.
PMID- 18051949
TI - Isolation and identification of anionic surfactant degrading bacteria from
activated sludge.
AB - BACKGROUND: Linear alkylbenzene sulfonate (LABS) is an anionic surfactant widely
used all over the world. They will eventually end-up and accumulate in household
or industrial sewage. Due to their high foaming capabilities which can cause
numerous problems in sewage treatment facilities as well as direct toxic effects
on many different organisms in ecosystem; they are generally considered as
serious pollutants. Many reports have indicated that common bacteria can readily
degrade LABS. METHODS: In this survey, two different bacteria were isolated from
Tehran municipal active sludge that showed the ability to degrade LABS rapidly
and actively upon using it as their sole source of carbon. Biochemical tests as
well as 16S rRNA gene sequencing performed. RESULTS: Results have indicated the
two isolates to be Acinetobacter johnsoni and Pseudomonas beteli. After
experiments to optimize the pH and temperature for growth of the two bacterial
isolates, the extent of LABS, utilization was evaluated by HPLC method. The
Pseudomonas beteli and Acinetobacter johnsoni isolates were able to degrade 96.4%
and 97.2% of the original LABS levels after 10 days of growth, respectively.
Mixed culture of the two isolates did not significantly increase LABS utilization
(97.6%). CONCLUSION: Our study showed the ability of two isolated steains to
rapidly biodegrade LABS under aerobic conditions.
PMID- 18051950
TI - Effect of matrigel on function and morphology of human endometrial epithelial
cell in vitro.
AB - INTRODUCTION: The importance of extra cellular matrix (ECM) in development and
function of different cells has been reported but little is known about its role
in human endometrial epithelial cells. The aim of the present study was to
examine effects of artificial ECM (Matrigel) and progesterone on the function and
morphology of human endometrial epithelial cells in vitro. METHODS: Endometrial
samples were removed, with informed patients consent and Ethics Committee
approval, from 17 previously fertile women undergoing total abdominal
hysterectomy. The tissue was dissociated and centrifuged to provide an epithelial
rich suspension which was cultured either on plastic or seeded into Matrigel to
produce polarized cells and then supplemented with or without progesterone (10(
6) M). The amount of nucleic acid content of the cells in both in vitro model
systems was examined by DNA, RNA extraction methods. The DNA and RNA content were
later measured by spectrophotometry. RESULTS: The amount of total RNA in cells
grown on Matrigel (23 +/- 1.5 pg/cell) was more than double that in cells grown
on pllastic (9.1 +/- 1.4 pg/cell). Cells cultured on both in vitro model systems
had RNA induced by steroid hormones, but the extent of induction was greater in
cells grown on Matrigel (30 +/- 2 pg/cell) than those on plastic (12 +/- 1.9
pg/cell). Cells cultured on Matrigel were differentiated and became polarized but
cells grown on plastic proliferated to full confluency. Cells grown on Matrigel
with progesterone supplementation were highly polarized, euchromatic and had
greater mitochondria and accumulation of glycogen, when compared to
unsupplemented cultures. CONCLUSION: These results suggest that ECM plays an
important role in gene expression, polarization and differentiation of human
endometrial epithelial cells in vitro. Endometrial cells grown on ECM responded
to steroid hormone in a manner to that reported in endometrial cells in vivo.
PMID- 18051951
TI - Characterization of beta-lactamases from urinary isolates of Escherichia coli in
Tehran.
AB - BACKGROUND: Knowledge of antimicrobial resistance patterns in E. coli, the
predominant pathogen associated with urinary tract infections (UTI) is important
as a guide in selecting empirical antimicrobial therapy. METHODS: To describe the
antimicrobial susceptibility of E. coli associated with UTI in a major university
hospital in Tehran (Iran), seventy-six clinical isolates of E. coli were studied
for susceptibility to beta-lactam antibiotics by the disc diffusion method and
Minimal Inhibitory Concentrations determination. RESULTS: All isolates were
resistant to ampicillin, amoxicillin and oxacillin. Resistance to the other
tested antibiotics was shown to be 93.4% to cefradine, 76.3% to carbenicillin,
47.3% to cefazoline, 50% to cefalexin and 32.8% to cephalothin while 1.3%
expressed resistance to cefoxitime, and 2.6% were resistant to ceftizoxime and
ceftriaxone. Two isolates (2.4%) harbored extended spectrum ?-lactamases (ESBL)
shown by the double disc diffusion method. Substrate hydrolysis by ultra violet
spectroscopy showed that 87.4% harbored penicillinases, 9% produced
cephlosporinases and 3.6% degraded both substrates. Clavulanic acid inhibited
enzyme activity in 82.9%, of which 78.95% was penicillinases (group IIa) and
3.95% was cephalosporinases (group IIb) of the Bush classification system. The
rest of the isolates (6.58 %) were placed in group IV ?-lactamases. No group III
?-lactamase was found, as EDTA inhibited none of the enzymes. DNA amplification
by polymerase chain reaction using specific primers for ampC, TEM and SHV type ?
lactamases for all of the isolates showed that 47 organisms (60%) carried the TEM
gene and 18 isolates (24%) harbored blaTEM and ampC genes. About 26% of the
organisms harbored SHV type enzymes. type enzymes. CONCLUSION: These results
indicate that E. coli can posses a variety of beta-lactamases that are
responsible for beta-lactam resistance.
PMID- 18051952
TI - Study of changes in some pathophysiological stress markers in different age
groups of an animal model of acute and chronic heat stress.
AB - BACKGROUND: This study demonstrates the changes in six different
pathophysiological parameters such as body weight, body temperature, fecal pellet
count, blood-brain barrier (BBB) permeability, plasma corticosterone level and
emergence of hemorrhagic peptic ulcer spots due to exposure to high environmental
heat in three different age groups of freely moving rats. METHODS: Each age group
of rats was sub divided into three groups: (i) acute heat stress-subjected to a
single exposure for four hours in the Biological Oxygen Demand incubator at 38
degrees C; (ii) chronic heat stress-exposed for 21 days daily for one hour in the
incubator at 38 degrees C, and (iii) handling control groups. The data were
recorded for the analyses of the changes in different parameters just after the
heat exposure from acute stressed rats and on 1st, 3rd, 6th, 9th, 12th, 15th,
18th and 21st day on chronic stressed rats for body temperature, body weight,
fecal pellets count. For the analysis of changes in three other parameters, BBB
permeability, plasma corticosterone level and peptic ulcer spots following
chronic exposure to high environmental heat, data were recorded on 22nd day for
the analysis. RESULTS: Analysis of variance (ANOVA-1) of the observations
demonstrates a significant increase in body temperature, fecal pellet count, BBB
permeability (except in adult group), plasma corticosterone level and emergence
of hemorrhagic peptic ulcer spots in all three different age group of rats due to
exposure to acute heat stress. However, chronic heat was found responsible for
the significant reduction in body weight in weaning and young rats, increase in
body temperature, number of fecal pellets excreted (in early days of chronic
stress) and number of peptic ulcer spots in all three age groups of rats. At the
same time, BBB extravasations were not observed in rats except very mild in
weaning group. CONCLUSION: The results of the present study indicate that the
acute as well as chronic exposure to hot environment significantly alters the
physiology of different organs of the body.
PMID- 18051953
TI - Comparative effects of copper, iron, vanadium and titanium on low density
lipoprotein oxidation in vitro.
AB - INTRODUCTION: Oxidation of low density lipoprotein (LDL) has been strongly
implicated in the phathogenesis of atherosclerosis. The use of oxidants in
dietary food stuff may lead to the production of oxidized LDL and may increase
both the development and the progression of atherosclerosis. The present work
investigated the effects of some elements including: copper (Cu), iron (Fe),
vanadium (V) and titanium (Ti) on in vitro LDL oxidation quantitatively. METHODS:
The first LDL fraction was isolated from fresh plasma by single vertical
discontinuous density gradient ultracentrifugation. The formation of conjugated
dienes and thiobarbituric acid reactive substances and increase in
electrophoretic mobility of LDL were monitored as markers of the oxidation of
LDL. RESULTS: It was demonstrated that Cu, Fe, V and Ti exhibited strong oxidant
activity in this respect (P<0.001). Oxidation of LDL in the presence of Cu was
more and appeared to be in this order Cu>Fe>V>Ti. DISCUSSION: Cu, Fe, V and Ti
are redox-active transition metals that may cause oxidative damage to lipids,
proteins and DNA molecules. We suggest that these elements may also influence the
oxidation of LDL in vivo, which could increase both the development and
progression of atherosclerosis.
PMID- 18051954
TI - The expression of signal regulatory protein-alpha in normal and osteoarthritic
human articular cartilage and its involvement in chondrocyte mechano-transduction
response.
AB - BACKGROUND: Signal regulatory proteins (SIRP) belong to immunoglobulin super
family (IgSF) and relate to integrin signaling cascades. It has been shown that
SIRPalpha is expressed in a variety of cells including myeloid cells and neurons.
In the present study the expression of this IgSF member in articular chondrocytes
was investigated. METHODS: Using a panel of anti-SIRPalpha antibodies,
immunohistochemistry, Western-blotting and electrophysiology methods, expression
of SIRPalpha and its role in chondrocyte mechano-transduction were assessed.
RESULTS: No identifiable positive signal was obtained by using
immunohistochemistry methods on frozen and paraffin sections. SIRPalpha is
expressed by both normal and osteoarthritis cultured chondrocytes. The
electrophysiological response of chondrocytes in the presence of SE7C2 mAb was
significantly inhibited whereas; SE5A5 did not show any modification in this
response. CONCLUSIONS: It seems likely that SIRPalpha could be associated with
other proteins such as integrins, CD47 and ion channels, which contribute to the
electrophysiological response of human articular chondrocytes. In any case, this
study has provided a specific functional role for SIRPalpha in chondrocyte
mechano-transduction.
PMID- 18051955
TI - Analysis of HLA-G gene expression in B-lymphocytes from chronic lymphocytic
leukemia patients.
AB - BACKGROUND: The human leukocyte antigen G (HLA-G) molecule exhibits limited
tissue distribution, low polymorphism and alternative splicings that generate
seven HLA-G isoforms. HLA-G exerts multiple immunoregulatory functions. Recent
studies indicate an ectopic up-regulation in tumor cells that may favor their
escape from anti-tumor immune responses. This study it is an effort to clarify
the presence of HLA-G in B-cell chronic lymphocytic leukemia (B-CLL) patients.
METHODS: HLA-G mRNA expression was studied in a pilot study in circulating B-CLL
and also healthy controls by reverse transcription (RT)-PCR using a set of pan
HLA-G primers. RESULTS: RT-PCR was performed on B-cells from 74 B-CLL patients
and 12 healthy controls. The data showed HLA-G gene expression in 20% of the B
CLL patients. No expression of HLA-G could be detected in the healthy control
group. CONCLUSION: These data suggest that HLA-G is expressed at the gene level
in B cells from B-CLL patients but not in B cells from healthy controls. Further
study is required to clarify the role of HLA-G as a regulatory factor that could
affect immune response in B-CLL patients.
PMID- 18051956
TI - Preliminary report of NAD+-dependent amino acid dehydrogenase producing bacteria
isolated from soil.
AB - BACKGROUND: Amino acid dehydrogenases (L-amino acid: oxidoreductase deaminating;
EC 1.4.1.X) are members of the wider superfamily of oxidoreductases that catalyze
the reversible oxidative deamination of an amino acid to its keto acid and
ammonia with the concomitant reduction of either NAD+, NADP+ or FAD. These
enzymes have been received much attention as biocatalysts for use in biosensors
or diagnostic kits to screen amino acid metabolism disorders such as
phenylketonuria (PKU), maple syrup urine disease (MSUD), homocystinuria (HCY) and
hyperprolinemia. This study was aimed to isolation and screening of novel amino
acid dehydrogenases from soil bacteriadehydrogenases from soil bacteria. METHODS:
The enzyme producing bacteria were selected among L-methionine and L
phenylalanine utilizers isolated from soil by thin layer chromatography, activity
staining and confirmed by enzyme assay. Bacterial strains were identified by
phenotypic and biochemical characteristics. The steady-state kinetic studies of
enzymes were also performed. RESULTS: In total of 230 tested strains, four of
them were recognized as amino acid dehydrogenase producers that belong to species
of Pseudomonas, Citrobacter and Proteus. They exhibited the desired NAD+
dependent dehydrogenase activities toward L-isoleucine, L-methionine, L-cysteine,
L-serine and L-glutamine in oxidative deamination reaction. The specific activity
of L-isoleucine dehydrogenase, L-methionine dehydrogenase and L-glutamine
dehydrogenase for oxidative deamination of L-isoleucine, L-methionine and L
glutamine were 1.59, 1.2 and 0.73 U/mg, respectively. The Kcat/Km (s(-1).mM(-1))
values in these strains were as follows: L-isoleucine, 113.6, L-methionine, 62.05
and L-glutamine, 95.83. CONCLUSION: This is the first report of occurrence a
specific isoleucine dehydrogenase, glutamine dehydrogenase and methionine
dehydrogenase in bacteria.
PMID- 18051957
TI - ["Frog" in the mouth].
PMID- 18051959
TI - [Even physicians underestimate the radiation load. The false picture of the CT].
PMID- 18051958
TI - [Scars caused by navel piercing. Correction at the expense of insurance or the
patient?].
PMID- 18051960
TI - [Pre-travel prophylactic measures].
AB - Before a patient embarks on a journey, his immunization status needs to be
checked and, where applicable, booster vaccinations or specific vaccinations for
the destination country given. In last-minute travelers, some vaccinations may
still usefully be given - even up to the day of departure. Whether malaria
prophylaxis is required for the planned country of destination should be checked
against current recommendations.
PMID- 18051961
TI - [Counseling the elderly traveler].
AB - The medical counseling of senior citizens must take account of the physiological
changes related to ageing as well as any previous illnesses. Points to be
considered include booking (e.g. destination, handicapped ticket), pre-travel
preparations (e.g. fit-to-travel, vaccinations, doctor's letters, first-aid kit,
insurance) as also concrete on-tour information (e.g. monitoring of the amounts
of fluid drunk, skin care, dietary recommendations).
PMID- 18051962
TI - [The illnesses of a traveler returned from the tropics: how to deal with fever or
diarrhea].
AB - In patients returning from an endemic region who present with a feverish illness,
malaria should always be the first disease you should suspect and investigate. In
the case of uncomplicated traveler's diarrhea in the adult in contrast,
unspecific symptomatic treatment suffices, and no specific diagnostic work-up is
required. Only when certain alarm symptoms are present are further measures such
as the search for pathogens or chemotherapy indicated.
PMID- 18051963
TI - [Overcoming illness: good self-treatment for type 2 diabetes].
PMID- 18051964
TI - [Radiculopathies and post-diskectomy syndrome. How neuropathic is backache?].
PMID- 18051965
TI - [Chronic backache with neuropathic component. Individual basic therapy plus
multimodal approach].
PMID- 18051966
TI - [Internist patients are strongly thrombosis endangered. CERTIFY study has
arrived].
PMID- 18051967
TI - [LMWH and UFH in direct comparison. Consent: CERTIFY].
PMID- 18051968
TI - [Colleagues' tips for avoidance of prescription errors. Thus we go forward
against error devil].
PMID- 18051969
TI - [Choice tariffs in the legal health insurance. Beginning of the end of the
solidarity principle?].
PMID- 18051970
TI - Building holistic bridges from life to death.
PMID- 18051971
TI - Reflexology and intergrative imagery: promoting healing in patients, families &
practitioners.
PMID- 18051972
TI - Simple dying.
PMID- 18051973
TI - My encounter with hospice.
PMID- 18051974
TI - Palliative care assessment: screening for appropriateness.
PMID- 18051975
TI - Using integrative therapies: from health to transition.
PMID- 18051976
TI - Palliative care in a senior independent living community.
PMID- 18051977
TI - I want to work in the gift shop where only the flowers die.
PMID- 18051978
TI - Son Brian.
PMID- 18051979
TI - Being an exemplary hospice nurse.
PMID- 18051980
TI - The gift.
PMID- 18051981
TI - Using healing touch for end of life care.
PMID- 18051982
TI - Have you laughed today?
PMID- 18051983
TI - Mary Natschke, 2007 Holistic Nurse of the Year. Interview by Lynne Nemeth.
PMID- 18051984
TI - [Sound levels of the Piezosurgery. Risk of permanent damage to hearing].
AB - In the past, research has regularly been carried out concerning the sound levels
of various drilling devices and the impact these have on those who regularly use
these devices. The present research is concerned with the possible permanent
damage to hearing which can occur during the use of a newly developed instrument,
the Piezosurgery. Measurements have been performed in a standardized set-up in
which use is made of the lower jaws of pigs and a weight scale for measuring
various degrees of pressure. The boundary values of the permissible exposure to
noise were determined. The values of the Piezosurgery were compared with
conventional drilling machines. It was concluded that using the Piezosurgery for
less than 1.5 hours per day implies no risk of permanent damage to hearing. This
means that the risk in the case of Piezosurgery is somewhat lower than that of
conventional drilling devices.
PMID- 18051985
TI - [Post-academic dental specialties 16. Post-academic specialization in
maxillofacial prosthodontics].
AB - Maxillofacial prosthodontics is a specialization in dental health practiced in
centers for special dental care in The Netherlands by a limited number of
dentists and technicians. The necessary skills, which are largely unique in the
field of dentistry, were described in 2001 in a training program by the Dutch
Association for Gnathology and Prosthodontics. Multidisciplinary diagnostics,
treatment planning, and care delivery are taught in a modular system. A shortage
of maxillofacial prosthodontists and maxillofacial technicians calls for a
structural training of young colleagues.
PMID- 18051986
TI - [Post-academic dental specialties 17. Implant-retained craniofacial prostheses].
AB - The surgical and prosthetic aftercare of craniofacial prostheses supported by
endosseous implants were registered in patients treated with implant-retained
craniofacial prostheses in a university clinic during the last two decades.
Aftercare was registered during the period from implant placement until the last
follow-up visit. Implant survival rate was 95.2% in non-irradiated and 78.8% in
irradiated bone. Discoloration of the silicon material, becoming loose of the
acrylic clip carrier from the silicone, rupture of the silicone, and ill-fitting
of the prosthesis were main reasons for fabrication of a new craniofacial
prosthesis.
PMID- 18051987
TI - [A fall onto the mouth].
AB - A 34-year-old woman appeared at the office of a general dental practitioner after
a bicycle accident. At first, the only injury seemed to be some small tooth
enamel fractures. Several weeks later, she developed a swelling in the lower lip
and was referred to an oral and maxillofacial surgeon. A radiograph of the lower
lip revealed some of the tooth enamel fragments. This case-report illustrates the
importance of a meticulous examination in case of a patient with a dental trauma.
PMID- 18051988
TI - [Advanced glycation and lipoxidation end products--amplifiers of inflammation:
the role of food].
AB - Chronic diseases (CD) represent the main cause of mortality in developed
countries. The increase in the prevalence of of CD is associated with changes in
lifestyle habits, including those related to the consumption of processed
foodstuffs. In these foods advanced glycation end products (AGE) and advanced
lipoperoxydation products (ALE) are formed as a consequence of the reactivity of
proteins, carbohydrates, lipid and other components. The aim of the present
review is to offer a perspective of how AGE and ALE affect the physiology and
development of CD. Continous intake of AGE and ALE contributes to the exccesive
accumulation of these products into body tissues, which in turn negatively
influence the innate immune system, inflammatory responses, and resistance to
diseases. This is achieved by direct interaction of AGE and ALE with specific
cell AGE receptors (RAGE) that have a key role as master switches regulating the
development of CD. Long-life molecules, namely collagen and myelin, and low
turnover tissues, e.g. connective, bone and neural tissues, are the main targets
of AGE and ALE. In these tissues, AGE and ALE lead to the synthesis of insoluble
compounds that severely alter cellular functionality. It has been reported
associations of AGE and ALE with allergic and autoimmune diseases, Alzheimer
disease and other degenerative disorders, catarats, atherosclerosis, cancer, and
diabetes mellitus type 2, as well as a number of endocrine, gastrointestinal,
skeleton-muscle, and urogenital alterations. Controlling all those pathologies
would need further dietary recommendations aiming to limit the intake of
processed foods rich in AGE and ALE, as well as to reduce the formation of those
products by improving technological processes applicable to foods.
PMID- 18051989
TI - [Nutrient intake in women with pagophagia and other forms of pica during the
pregnancy].
AB - OBJECTIVE: The aim of this investigation was to know and assess the
characteristics of nutrient intake in pregnant women diagnosed with pica
(consumption of non nutritive substances). MATERIALS AND METHODS: We studied a
group of 71 women presenting pagophagia (compulsive consumption of ice) and other
forms of pica, which was compared to a control group including the same number of
women with similar socio-cultural and anthropometrical characteristics but
without the eating disorder. Both groups were randomly selected among women
assisted at the Hospital de la Matanza, province of Buenos Aires, Argentina.
RESULTS: we did not observed statistically significant differences in energy,
total proteins, iron, and calcium consumption. However, women with pica showed
lower intakes (p < 0.03) of carbohydrates, animal proteins, hemic iron, and zinc.
The median values for women with and without the disorder were, respectively:
carbohydrates (g): 379/426; animal proteins (g): 35/39; hemic iron (mg): 1.5/1.9;
zinc (mg): 7.1/8.1, calcium (mg): 625/612. In both groups, meat was the main
source of iron and zinc, and its intake was lower in the group with pica. The
daily average amounts consumed were 117 + 53 g for women with pica and 154 + 71 g
(p < 0.03) for the control group. Only 22% of pregnant women with the disorder
referred using iron supplements during their pregnancy. CONCLUSIONS: As compared
with control women, pregnant women with pica disorder showed lower intake in
traditionally marginal nutrients such as iron and zinc. These results show the
need for early diagnosing this disorder during pregnancy in order to prevent or
correct it and properly counseling pregnant women about food selection and
supplementation regimens.
PMID- 18051990
TI - Rice bran supplementation during nutritional recovery period of malnourished rats
improves colon development.
AB - OBJECTIVE: To investigate the colon's development in rats subjected to protein
energy malnutrition followed by supplementation with rice bran. MATERIALS AND
METHODS: Weaned Wistar male rats (21 days old), weight (40-50 g) were divided
into two groups: diet with 17% protein (C; control group) or an aproteic diet (A;
aproteic group), for 12 days. After this, 50% of the rats from each group were
sacrificed. The remaining rats were further distributed in the three groups for a
recovery (21 days): control (C) continued to receive the control diet whereas the
aproteic group (A) received either a control diet (AC) or a control diet
supplemented with 5% of rice bran (ARB). RESULTS: The A group showed alterations
in the colon and cecum, excreted dry feces mass and fecal nitrogen, compared with
C rats. In the proximal colon of A rats, the external muscularis and the width of
the colon wall were higher whereas in the distal colon they were lower than C.
After the recovery period, the relative cecum mass, colon mass and colon length
of the recovered groups (AC and ARB) were higher than in the C group. Dry feces
and fecal nitrogen excreted of the rats from recovered groups were lower than C
group. Colon length of the AC group was lower than in the C group. Only the
crypt's depth from ARB group was higher than in the C group. CONCLUSION: Control
diet supplemented with 5% rice bran, reestablished the large intestine of
aproteic rats. The recovery in the ARB group was even better than in the AC rats.
PMID- 18051991
TI - [Dietary habits and their relationship with the knowledge on the concept of a
balanced diet in a group of young women with overweight/obesity].
AB - OBJECTIVE: To know the dietary habits of a population of women with
overweight/obesity and their knowledge on the concept of proper feeding in order
to design nutrition educational campaigns focused on this population. MATERIALS
AND METHODS: A group of 67 women, aged 20 to 35 years with body mass index 24-35
kg/m2 were asked about their frequency of food intake and what they thought to be
correct to achieve a balanced diet. RESULTS: Studied women more frequently
consumed oils (4.3 +/- 4.5 times/week) and sweets (2.5 +/- 3.6 times/week) and
less frequently water (-19.1 +/- 22.7 times/week), vegetables (-4.3 +/- 5.7
times/week), fruits (-3.7 +/- 6.2 times/week), legumes (-1.3 +/- 2.0 times/week)
and fish (-2.2 +/- 3.7 times/week) than what they thought they should consume.
When comparing what they declare to consume to what has been established as
minimal recommended intake for the different groups of foods it is observed that
the intake of grains and legumes, fruits and vegetables, and slightly less that
of fish and eggs, should de increased. CONCLUSIONS: given the incorrect dietary
habits observed in a population of women with overweight/obesity, it should be
convenient to carry out nutrition educational campaigns. This education could
help improve the diet of all the population and the occurrence of overweight and
obesity could be prevented in many cases. Besides, in those cases in which the
pathology is already present, this could prevent following regimens that are
harmful for the health and for weight management.
PMID- 18051992
TI - [Vitamin B complex and homocysteine in chronic renal failure].
AB - Metabolic, biochemical, and hormonal changes occur in chronic renal failure
usually associated with hyponutrition states. In predialysis patients, knowing
the nutritional state about water-soluble vitamins such as thiamine, riboflavin,
pyridoxine, cianocobalamine, and folic acid is becoming more and more important
since some of the manifestations of chronic renal failure may be due to the
deficiency of some of these water-soluble vitamins. The metabolic pathways in
which most of these vitamins participate are interrelated and it is difficult to
understand how the individual deficits of each vitamin affect renal pathology.
This work aims at reviewing not only this issue but also the status of these
water-soluble vitamins that different authors have found in groups of predialysis
patients. On the other hand, the issue on the high prevalence of
hyperhomocysteinemia in chronic renal failure as the main mortality risk factor
due to cardiovascular pathologies as well as the implication of these vitamins in
the metabolism of homocysteine, and consequently in plasma levels of this
metabolite in predialysis patients is reviewed.
PMID- 18051993
TI - Oral glutamine in addition to parenteral nutrition improves mortality and the
healing of high-output intestinal fistulas.
AB - OBJECTIVE: Anastomotic leakage is one of the most important causes of morbidity
and mortality in gastrointestinal surgery. We investigated the effect of oral
glutamine on the healing of high-output intestinal fistula. SETTING: A tertiary
Universitary Hospital of the University of Mato Grosso, Cuiaba, Brazil. PATIENTS
AND METHODS: 28 patients (25 males and 3 females; median age = 45 [18-71] years
old) admitted with high output post-operative small bowel fistulas (median volume
in 24 h: 850 [600-2,200] mL) during a 4 years period were retrospectively
studied. INTERVENTIONS: In the first two years 19 (67.9%) patients received only
TPN as the initial nutritional support. In the last two years however, due to a
change in the protocol for the nutritional support in cases of intestinal fistula
9 patients (32.1%) received oral glutamine (0.3 g/kg/day; 150 mL/day) in addition
to TPN. Endpoints of the study were mortality, resolution of the fistula, and
length of hospital stay (LOS). RESULTS: The overall mortality was 46.4% (13
patients). Fistula closure was observed in all other 15 patients (53.6%) that
survived. In the subset of survived patients LOS was similar in those who
received or not received glutamine. The multivariate regression analysis showed
that resolution of the fistula was 13 times greater in patients that received
oral glutamine (OR = 13.2 (95% CI = 1.1-160.5); p = 0.04) and 15 times greater in
non-malnourished patients (OR = 15.4 [95% CI = 1.1-215.5]; p = 0.04).
CONCLUSIONS: We conclude that oral glutamine accelerated the healing and
diminished the mortality in this series of patients with post-operative high
output intestinal fistula receiving TPN.
PMID- 18051994
TI - [Nutritional status in chronic renal failure patients assisted at the
hemodialysis program of the "Hermanos Ameijeiras" Hospital].
AB - INTRODUCTION: Heterogeneity of malnutrition rates associated with End-Stage Renal
Disease (ESRD) under Haemodialysis (HD) points towards differing performances of
the used indicators. OBJECTIVES: To identify those indicators that better
describe the nutritional state of the patient with ESRD under HD. SETTING:
Program of Haemodialysis, Service of Nephrology, "Hermanos Ameijeiras" Hospital
(Havana City, Cuba). METHODS: Twenty-eight patients were recruited. Hospital
admissions between december 2001-december 2002 were recorded. The condition of
the patient (alive/deceased) after one year of observation was registered. The
Subjective Global Assessment (SGA) form was administered to each patient. The
nutritional profile included: height, weight, mid-arm circumference (MAC),
triceps skinfold (TSF), albumin and total lymphocyte count (TLC). Nutritional
status as described by means of albumin and MAC was correlated with SGA score,
the ocurrence of hospital admissions and the final condition of the patient.
RESULTS: Malnutrition rates were as follows (albumin < 35 g/L): 42.9%; (MAC < cut
off value): 60.7%; [(MAC < cut-off value) and/or (albumin < 35)]: 71.4%; (SGA
score = At Risk/Malnourished): 42.9%. SGA score was an independent predictor of
the ocurrence of admissions [OR = 14.14; CI 95%: 1.5-137.3] and mortality [OR =
21.0; CI 95%: 2.9-151.4]. Serum albumin was an independent predictor of the
patient's mortality [OR = 21.0; CI 95%: 2.9-151.4]. The [(MAC < Cut-off value)
and/or (albumin < 35)] rule failed to predict patient's morbimortality. Seventy
seven point eight percent of patients with +60 years-old died during the year of
observation [OR = 13.2; IC 95%: 1.9-89.5]. CONCLUSIONS: Increased mortality
associated with diminished values of albumin and MAC justifies the fostering of
nutritional intervention aimed to preserve lean tissues.
PMID- 18051995
TI - [Nutritional aversions and preferences of 14-18 years old adolescents, schooled
at the city of Santander].
AB - OBJECTIVE: To describe the nutritional aversions and preferences of 14-18 years
old adolescents schooled at Santander and analyze the changes taking place within
the last decade. SUBJECTS: A cross-sectional study was carried out analyzing a
sample of 1134 adolescents: 549 males (48.4%, 95% CI: 45.5%-5.3%) and 585 females
(5.6%, 95% CI: 48.7%-54.5%), ages comprised between 14 and 18 years, and schooled
at centers of secondary educational level from Santander, by means of a
questionnaire. RESULTS: vegetables (54.7%) and legumes (18.7%) represent the main
nutritional aversions of adolescents. On the other hand, grains (53.3%) and meats
(14.6%) are the preferred foods. Lentils, lettuce, strawberry, water, and pasta
generally were the best-valued foods within the groups of foods and drinks to
which they belong. CONCLUSION: nutritional aversions and preferences of
adolescents from Santander generally are very similar to those observed in other
studies and we have not observed important changes within the last decade. The
data obtained may be useful to observe future trends on nutritional preferences
that, together with other parameters, may help characterized the nutritional
behavior of our adolescents.
PMID- 18051996
TI - [Prevalence of hyponutrition in the elderly at admission to the hospital].
AB - BACKGROUND: The population older than 60 years in Mexico is growing changing the
classic pyramidal demographic structure. This fact is increasing the risk of
malnutrition in the elderly, specially under nutrition which is a common problem
among elderly people living at home and during hospitalizations, condition that
is closely related to the increasing of morbidity, mortality and costs.
OBJECTIVE: Describe the prevalence of malnourished elderly who needs hospital
admission. DESIGN: Cross-sectional observational study. SETTING: Third-level
reference hospital. SUBJECTS: Ninety seven consecutive subjects older than 60
years admitted to hospitalization. INTERVENTIONS: During the first three days of
admission all subjects were evaluated to determine their nutritional status using
Mini Nutritional Assessment and Subjective Global Assessment; albumin, total
lymphocytes, level of income and school grade were also included. RESULTS: Just
48% of patients have finished primary school and 66% had middle economic incomes.
According to Mini Nutritional Assessment 69% of patients had risk associated to
malnutrition (18% at high risk and 50% at moderate risk). The short form of the
Mini Nutritional Assessment described 73% of patients at risk related to
malnutrition in correlation with the complete Mini Nutritional Assessment. 46%
and 20% of patients were classified at moderate malnutrition and severe
malnutrition respectively using the Subjective Global Assessment. Kappa between
Mini Nutritional Assessment and Subjective Global Assessment was of 42%. The
Nutritional Risk Index mean value was of 85.9 +/- 11, with 80% of patients at
risk associated with malnutrition when moderate and severe risk was included.
Kappa between Nutritional Risk Index and Mini Nutritional Assessment was 11%. 70%
of patients had serum albumin values under 3 g/dl. According to Chang's method
52% had caloric undernutrition, 29% protein undernutrition and 18% mixed
undernutrition. CONCLUSIONS: Malnutrition is a common problem in elderly
population at hospital admissions according to different methods used. Mini
Nutritional Assessment and Subjective Global Assessment are useful low cost and
replicable nutritional evaluation tools in elderly population. Mini Nutritional
Assessment could have a better value to predict morbidity and mortality in
institutionalized and community elderly subjects.
PMID- 18051997
TI - [Consensus on paediatric parenteral nutrition: a document approved by
SENPE/SEGHNP/SEFH].
AB - In current medical practice, standardization of procedures has become a desirable
objective. The Spanish Society of Parenteral and Enteral Nutrition (SENPE) has
undertaken a considerable effort to create clinical practice guidelines or
documents of consensus and quality gold standards in artificial nutrition. As a
result the SENPE group of standardization has put together a document called
"Consensus of Paediatric Parenteral Nutrition" supported by the Spanish Society
of Gastroenterology, Hepatology and Nutrition (SEGHNP) and by the Spanish Society
of Hospital Pharmacies (SEFH). This is a reduced version of our work. The
complete version is available at www.nutricionhospitalaria.com and www.senpe.com.
This document covers many aspects such as paediatric patient indications,
vascular access, macro and micro nutrients needs; also preparation in special
situations, components, forms of prescription, possibilities for standardization
of the elaboration and prescription, elaboration, administration, monitoring,
complications and side effects. Much research has been done, incorporating
current publications, books and clinical practice guidelines such as those
published by the American Society for Parenteral and Enteral Nutrition (ASPEN)
and by the European Society of Paediatric Gastroenterology, Hepatology and
Nutrition (ESPGHAN) in collaboration with the European Society for Clinical
Nutrition and Metabolism (ESPEN).
PMID- 18051998
TI - [Patient with Crohn's disease and seizures due to hypomagnesemia].
AB - We report a patient with Crohn's disease who suffered several generalized
convulsions. He was studied with cerebral image techniques and neurophysiologic
tests without getting the aetiology of the convulsions. A severe depletion in
magnesium levels was suspected at the time of the initial evaluation by the
Nutritional Team Group. Low serum magnesium levels were confirmed in the first
blood test. Convulsions disappeared when magnesium was normalised by intravenous
infusion. It is necessary for specialist physicians to be on the alert of severe
complications of nutrients deficiency and that this kind of patients should be
checked by the Nutrition Team.
PMID- 18051999
TI - [Sucessful dietetic-therapy in primary intestinal lymphangiectasia and recurrent
chylous ascites: a case report].
AB - OBJECTIVES: Primary intestinal lymphangiectasia is a lymphatic system's disorder,
where lymphatic drainage is blockaged. Clinically it produces malabsorption,
protein-losing enteropathy, hypogammaglobulin in blood, and several degrees of
malnutrition. Its treatment is not easy and includes dietetic-therapy and drugs.
MATERIAL AND METHOD: A 35-year-old-woman case report is exposed. She has
recurrent chylosa ascites, requiring several admissions and evacuatory
paracentesis. After food-fat was replaced by medium-chain triacyl-glicerol
enriched diet, a clinical, analytical and anthropometric improvement was
demonstrated. CONCLUSIONS: The major way of treatment in intestinal
lymphangiectasia in this case is the employement of specific-diet and adaptaded
basic-food. It's difficult and high collaboration of the patient is required,
being necessary medical revisions during the whole life, due to the not well
known evolution of this long-standing disease.
PMID- 18052000
TI - [Influence of nutrition and social environment on bone maturation in children].
PMID- 18052001
TI - [Structure of populations and ecological nishes of ectoparasites in the parasite
communities of small forest mammals].
AB - The paper reports the results of eight-year investigations on the ectoparasites
of rodents and insectivores carried out in southern taiga of the Ilmen-Volkhov
lowland (Novgorod Region) and Kurgolovsky reserve (Leningrad Region). Twelve
species of small mammals were captured including three dominate species--bank
vole Clethrionomys glareolus (2722 specimens), common shrew Sorex araneus (1658
specimens), and wood mouse Apodemus uralensis (367 specimens). Parasite community
of the bank vole comprises 34 species of mites, ticks, and insects, the community
of common shrew comprises 25 species, and the community of A. uralensis includes
28 species. Taxonomic diversity of the ectoparasite communities was shown to be
based on the diversity of types of parasitism and ecological nishes of the host
body. Permanent ectoparasites are found to be represented by 2 species of lie and
14 species of acariform mites. The group of temporary parasites includes 13
species of fleas, 10 species of gamasid mites. 3 ixodid species and 1
Trombiculidae. There is a common pool of temporary parasites of small mammals in
the ecological system of taiga. Significance of different shrew and rodent
species as hosts were found to be dependent on the population density in possible
hosts and many other factors. Species diversity in the parasite communities of
different small mammal species is dependent on the number of possible ecological
nishes in the host body. Actual infill of these nishes by ectoparasites is
usually lesser than potential one. Species composition of temporary parasites,
their occurrence and abundance changes according to season. Interspecific
competition in the temporary parasite species can decrease because of the
seasonal disjunction of their population peaks. Diversification of the ecological
niches of ectoparasites allow simultaneous feeding of more parasite individuals
on one host, than in the case of parasitising of single species or several
species with similar ecological nishes. The distribution of parasites on their
hosts was also studied. The aggregative distribution has been found in ixodid
larvae only, and the distribution of fleas was close to the Poisson distribution.
Deviations from the aggregative distribution can be an effect of several
independent factors, including limited ability of small mammals for providing
numerous parasites with food. On the most part of hosts simultaneous parasitizing
of no more than 1-3 individuals of each tick, mite, and flea species was
registered. Excessive infestation by ectoparasites may probably be limited by
effective reactions of self-purification in the mammal hosts.
PMID- 18052002
TI - [Morpho-cytogenetic characteristic of the mosquito Anopheles artemievi (Diptera:
Culicidae), a malaria vector from the complex maculipennis].
AB - Detailed morphological analysis of all stages of the mosquito Anopheles artemievi
Gordeev et al., 2005 is carried out. Characteristic traits that allow
discriminating of An. artemievi and An. messeae Falleroni, 1926 in the area of
joint occurrence of these two species are provided. Cytogenetic description of
the polytene chromosomes from the nurse cells of ovaries is given. Differences of
An. artemievi from previously studied species of the complex maculipennis by the
structre of the polytene chromosomes from the nurse cells of ovaries are shown.
PMID- 18052003
TI - [Species composition and ecological peculiarities of the mosquito genera Aedes
and Anopheles (Diptera: Culicidae) in the Novgorod Region].
AB - Five mosquito species form the genera Aedes and Anopheles are found in the
Novgorod Region as a result of eight-year investigation (1997-2004). The species
Ae. rossicus is for the first time recorded in the Novgorod Region.
PMID- 18052004
TI - [Investigation of the sensory organs on antennae of the horseflies Hybomitra
bimaculata and Tabanus bovinus (Diptera: Tabanidae) by scanning electron
microscope].
AB - Sensory organs on the antennae of the horseflies Hybomitra bimaculata Macq. and
Tabanus bovinus Loew are represented by the same morphological types of sensilla.
Never differences in the topographical distribution of the sensilla on antennae
have been also found, which can be explained by the similarity of ecological and
behavioural adaptations of these insects. First and second antennal segments are
found to be supplied with tactile hairs and proprioceptors. Other antennal
segments bear sensory organs of several morphological types. Short thin olfactory
hairs are most numerous among them. They are present on all segments of the
antennal flagellum and belong to two morphological types different by the hair
length. In the upper parts of the antennal segments from third to seventh several
sensilla trichoidea are present, which probably serve as tactile and taste
receptors.
PMID- 18052005
TI - [Component communities of parasites in the grayling Thymallus thymallus (L.)
(Salmoniformes, Thymallidae) and the minnow Phoxinus phoxinus (L.)
(Cypriniformes, Cyprinidae) from the Pechora River].
AB - Component communities of parasites of Thymallus thymallus and Phoxinus phoxinus
are described. The communities differ in the number of species and in the number
of dominate species, which is one in P. phoxinus and usually two in T. thymallus.
In the first case the dominate species is allogenic, while in the second case
dominate species are autogenic. Values of the species diversity indexes are also
different for these communities. The differences between parasite communities of
T. thymallus and P. phoxinus underline positions of these hosts in
hydrobiocenose. Species specialists take first place in the parasite communities
both of the hosts, that is characteristic for the parasite communities of the
fishes from the boreal submountain faunistic complex. Parasite communities in
both of the hosts consist of three groups of species discriminated by the ratio
of their biomasses, that suggests forming of the groups by a coordination of the
species biomasses.
PMID- 18052006
TI - [Helminthofauna of the common shrew Sorex araneus L. (Soricidae) from Samarskaya
Luka].
AB - Ecological analysis of the helminthofauna of common shrew Sorex araneus L. from
Samarskaya Luka (Samara Region) has been carried out. Twenty-three helminth
species are found including 3 species of trematodes, 8 cestodes, 10 nematodes,
and 2 acanthocephales. Dependence of the structure of helminthofauna on the host
age is revealed.
PMID- 18052007
TI - [Relictolepis gen. n.--a new cestode genus (Cyclophyllidea: Hymenolepididae) from
rodents of the Russian Far East and the description of R. feodorovi sp. n].
AB - A new cestode genus and species Relictolepis feodorovi gen. et sp. n. having
armed scolex is described ex Clethrionomis rufocanus Sundevall, 1846 (Rodentia,
Microtinae) from the Russian Far East.
PMID- 18052008
TI - Identifying patients at risk of chronic kidney disease.
PMID- 18052009
TI - The CDC and USPSTF recommendations for HIV testing.
PMID- 18052010
TI - It's time to normalize testing for HIV.
PMID- 18052011
TI - Behavioral change counseling in the medical home.
PMID- 18052012
TI - Temporomandibular joint disorders.
AB - Temporomandibular joint disorders are common in adults; as many as one third of
adults report having one or more symptoms, which include jaw or neck pain,
headache, and clicking or grating within the joint. Most symptoms improve without
treatment, but various noninvasive therapies may reduce pain for patients who
have not experienced relief from self-care therapies. Physical therapy modalities
(e.g., iontophoresis, phonophoresis), psychological therapies (e.g., cognitive
behavior therapy), relaxation techniques, and complementary therapies (e.g.,
acupuncture, hypnosis) are all used for the treatment of temporomandibular joint
disorders; however, no therapies have been shown to be uniformly superior for the
treatment of pain or oral dysfunction. Noninvasive therapies should be attempted
before pursuing invasive, permanent, or semi-permanent treatments that have the
potential to cause irreparable harm. Dental occlusion therapy (e.g., oral
splinting) is a common treatment for temporomandibular joint disorders, but a
recent systematic review found insufficient evidence for or against its use. Some
patients with intractable temporomandibular joint disorders develop chronic pain
syndrome and may benefit from treatment, including antidepressants or cognitive
behavior therapy.
PMID- 18052013
TI - Temporomandibular joint (TMJ) pain.
PMID- 18052014
TI - Metastatic carcinoma of the long bones.
AB - Breast, prostate, renal, thyroid, and lung carcinomas commonly metastasize to
bone. Managing skeletal metastatic disease can be complex. Pain is the most
common presenting symptom and requires thorough radiographic and laboratory
evaluation. If plain-film radiography is not sufficient for diagnosis, a bone
scan may detect occult lesions. Patients with lytic skeletal metastases may be at
risk for impending fracture. Destructive lesions in the proximal femur and hip
area are particularly worrisome. High-risk patients require immediate referral to
an orthopedic surgeon. Patients who are not at risk for impending fracture can be
treated with a combination of radiotherapy and adjuvant drug therapy.
Bisphosphonates diminish pain and prolong the time to significant skeletal
complications.
PMID- 18052015
TI - Nonspecific low back pain and return to work.
AB - As many as 90 percent of persons with occupational nonspecific low back pain are
able to return to work in a relatively short period of time. As long as no "red
flags" exist, the patient should be encouraged to remain as active as possible,
minimize bed rest, use ice or heat compresses, take anti-inflammatory or
analgesic medications if desired, participate in home exercises, and return to
work as soon as possible. Medical and surgical intervention should be minimized
when abnormalities on physical examination are lacking and the patient is having
difficulty returning to work after four to six weeks. Personal and occupational
psychosocial factors should be addressed thoroughly, and a multidisciplinary
rehabilitation program should be strongly considered to prevent delayed recovery
and chronic disability. Patient advocacy should include preventing unnecessary
and ineffective medical and surgical interventions, prolonged work loss,
joblessness, and chronic disability.
PMID- 18052016
TI - Low back pain and your job: what you can do to get back to work.
PMID- 18052017
TI - Acute pericarditis.
AB - Although acute pericarditis is most often associated with viral infection, it may
also be caused by many diseases, drugs, invasive cardiothoracic procedures, and
chest trauma. Diagnosing acute pericarditis is often a process of exclusion. A
history of abrupt-onset chest pain, the presence of a pericardial friction rub,
and changes on electrocardiography suggest acute pericarditis, as do PR-segment
depression and upwardly concave ST-segment elevation. Although highly specific
for pericarditis, the pericardial friction rub is often absent or transient.
Auscultation during end expiration with the patient sitting up and leaning
forward increases the likelihood of observing this physical finding.
Echocardiography is recommended for most patients to confirm the diagnosis and to
exclude tamponade. Outpatient management of select patients with acute
pericarditis is an option. Complications may include pericardial effusion with
tamponade, recurrence, and chronic constrictive pericarditis. Use of colchicine
as an adjunct to conventional nonsteroidal anti-inflammatory drug therapy for
acute viral pericarditis may hasten symptom resolution and reduce recurrences.
PMID- 18052018
TI - Mind-body therapies for headache.
AB - Headache is one of the most common and enigmatic problems encountered by family
physicians. Headache is not a singular entity, and different pathologic
mechanisms are involved in distinct types of headache. Most types of headache
involve dysfunction of peripheral or central nociceptive mechanisms. Mind-body
therapies such as biofeedback, cognitive behavior therapy, hypnosis, meditation,
and relaxation training can affect neural substrates and have been shown to be
effective treatments for various types of headache. Meta-analyses of randomized
controlled trials show that the use of mind-body therapies, alone or in
combination, significantly reduces symptoms of migraine, tension, and mixed-type
headaches. Side effects generally are minimal and transient.
PMID- 18052020
TI - Predicting delirium in hospitalized older patients.
PMID- 18052019
TI - Headaches and mind-body therapy: what you should know.
PMID- 18052021
TI - Red, scaly lesion on the upper eyelid.
PMID- 18052022
TI - Trends in active pharmaceutical ingredient salt selection based on analysis of
the Orange Book database.
AB - The Orange Book database published by the U.S. Drug and Food Administration (FDA)
was analyzed for the frequency of occurrence of different counterions used for
the formation of pharmaceutical salts. The data obtained from the present
analysis of the Orange Book are compared to reviews of the Cambridge Structural
Database (CSD) and of the Martindale "The Extra Pharmacopoeia". As well as
showing overall distributions of counterion usage, results are broken down into 5
year increments to identify trends in counterion selection. Chloride ions
continue to be the most frequently utilized anionic counterions for the formation
of salts as active pharmaceutical ingredients (APIs), while sodium ions are most
widely utilized for the formation of salts starting from acidic molecules. A
strong trend toward a wider variety of counterions over the past decade is
observed. This trend can be explained by a stronger need to improve physical
chemical properties of research and development compounds.
PMID- 18052023
TI - 8-(3-(R)-aminopiperidin-1-yl)-7-but-2-ynyl-3-methyl-1-(4-methyl-quinazolin-2
ylmethyl)-3,7-dihydropurine-2,6-dione (BI 1356), a highly potent, selective, long
acting, and orally bioavailable DPP-4 inhibitor for the treatment of type 2
diabetes.
AB - A new chemical class of potent DPP-4 inhibitors structurally derived from the
xanthine scaffold for the treatment of type 2 diabetes has been discovered and
evaluated. Systematic structural variations have led to 1 (BI 1356), a highly
potent, selective, long-acting, and orally active DPP-4 inhibitor that shows
considerable blood glucose lowering in different animal species. 1 is currently
undergoing clinical phase IIb trials and holds the potential for once-daily
treatment of type 2 diabetics.
PMID- 18052025
TI - Novel amphiphilic probes for [18F]-radiolabeling preformed liposomes and
determination of liposomal trafficking by positron emission tomography.
AB - Positron-emission tomography (PET) is a noninvasive real-time functional imaging
system and is expected to be useful for the development of new drug candidates in
clinical trials. For its application with preformulated liposomes, we devised an
optimized [18F]-compound and developed a direct liposome modification method that
we termed the "solid-phase transition method". We were successful in using 1
[18F]fluoro-3,6-dioxatetracosane ([18F]7a) for in vivo trafficking of liposomes.
This method might be a useful tool in preclinical and clinical studies of lipidic
particle-related drugs.
PMID- 18052024
TI - Allosteric modulation of the dopamine receptor by conformationally constrained
type VI beta-turn peptidomimetics of Pro-Leu-Gly-NH2.
AB - A peptidomimetic of Pro-Leu-Pro-NH2, 7, possessing an indolizidinone type VI beta
turn mimic was synthesized via improved high-yielding protocols for the
preparation and Cbz protection of alpha-allylproline. Bicyclic peptidomimetic 7
and spirobicylic peptidomimetic 8 enhanced the binding of [3H] N
propylnorapomorphine to dopamine receptors indicating that a type VI beta-turn is
a possible bioactive conformation of the homochiral Pro-Leu-Pro-NH2 and Pro-Pro
Pro-NH 2 analogues of Pro-Leu-Gly-NH2 at the dopamine receptor allosteric
regulatory site.
PMID- 18052026
TI - Small, potent, and selective diaryl phosphonate inhibitors for urokinase-type
plasminogen activator with in vivo antimetastatic properties.
AB - A set of small nonpeptidic diaryl phosphonate inhibitors was prepared. Some of
these inhibitors show potent and highly selective irreversible uPA inhibition.
The biochemical and modeling data prove that the combination of a benzylguanidine
moiety with a diaryl phosphonate ester results in optimized molecules for
derivatizing the serine alcohol in the uPA active site. Selected compounds show
significant antimetastatic effects in the BN-472 rat mammary carcinoma model. We
report in this paper a preclinical proof of concept that selective, irreversible
uPA inhibitors could be valuable in antimetastatic therapy.
PMID- 18052027
TI - Biodegradable and pH-sensitive hydrogels for potential colon-specific drug
delivery: characterization and in vitro release studies.
AB - A novel pH-sensitive and biodegradable composite hydrogel, based on a
methacrylated and succinic derivative of dextran, named Dex-MA-SA, and a
methacrylated and succinic derivative of alpha,beta-poly( N-2-hydroxyethyl)- DL
aspartamide (PHEA), named PHM-SA, was produced by photocross-linking. The goal
was to obtain a colon-specific drug delivery system, exploiting both the pH
sensitive behavior and the colon-specific degradability. The hydrogel prepared
with a suitable ratio between the polysaccharide and the polyaminoacid was
characterized regarding its swelling behavior in gastrointestinal simulated
conditions, chemical and enzymatic degradability, interaction with mucin, and
cell compatibility on CaCo-2 cells. Moreover, 2-methoxyestradiol was chosen as a
model of anticancer drug and release studies, were performed in the absence or in
the presence of dextranase and esterase. The obtained hydrogel, due to its pH
sensitive swelling and enzymatic degradability, together with mucoadhesion and
cell compatibility, could be potentially useful as system for the oral treatment
of colonic cancer.
PMID- 18052028
TI - Visual detection of labeled oligonucleotides using visible-light-polymerization
based amplification.
AB - DNA biochip technology holds potential for highly parallel, rapid, and sensitive
genetic diagnostic screening of target pathogens and disease biomarkers. A
primary limitation involves a simultaneous, sequence-specific identification of
low copy number target polynucleotides using a clinically appropriate detection
methodology that implements only inexpensive detection instrumentation. Here, a
rapid (20 min), nonenzymatic method of signal amplification utilizing surface
initiated photopolymerization is presented in glass microarray format. Visible
light photoinitiators covalently coupled to streptavidin were used to bind biotin
labeled capture sequences. Amplification was achieved through subsequent contact
with a monomer solution and the appropriate light exposure to generate 20-240-nm
thick hydrogel layers exclusively from spots containing the biotin-labeled DNA.
An amplification factor of 10(6) to 10(7) was observed as well as a detectable
response generated from as low as approximately 10(4) labeled oligonucleotides
using minimal instrumentation, such as an optical microscope or CCD camera.
PMID- 18052029
TI - Securinega alkaloids from the wood of Securinega suffruticosa var. amamiensis.
AB - Three new Securinega alkaloids, secu'amamines B-D ( 1- 3), were isolated from the
wood of the Japanese medicinal plant Securinega suffruticosa var. amamiensis,
together with five known analogues ( 4, 6- 9). The structures 1- 3 were
elucidated by spectroscopic methods including 2D NMR, and all eight compounds
were evaluated for cytotoxicity against two cancer cell lines.
PMID- 18052030
TI - An antiproliferative bis-prenylated quinone from the New Zealand brown alga
Perithalia capillaris.
AB - Bioactivity-directed isolation work on the endemic New Zealand brown alga
Perithalia capillaris, seeking anti-inflammatory compounds, led to a new bis
prenylated quinone ( 4). This compound inhibited superoxide production by human
neutrophils in vitro (IC 50 2.1 microM), but was more potent at inhibiting
proliferation of HL60 cells (IC 50 0.34 microM). Two related bis-prenylated
phenols were also isolated, one known ( 2) and one new ( 5), with weaker
biological activities. This report extends the examples of bis-prenylated phenols
as chemotaxonomic markers for brown algae of the order Sporochnales.
PMID- 18052031
TI - Evaluation of quantitative methods for the determination of polyphenols in algal
extracts.
AB - Marine brown algae such as Ascophyllum nodosum and Fucus vesiculosus accumulate
polyphenols composed of phloroglucinol units. These compounds are of ecological
importance and, due to their antioxidative activity, of pharmacological value as
well. In this study four methods for the quantitative determination of
phlorotannins are compared: spectrophotometric determinations using Folin
Ciocalteu's phenol reagent or 2,4-dimethoxybenzaldehyde (DMBA), quantitative (1)H
NMR spectroscopy (qHNMR), and gravimetrical measurements. On the basis of the
relative standard deviation and the F-test, the determination using Folin
Ciocalteu's phenol reagent and qHNMR proved to be the most reliable and precise
methods.
PMID- 18052032
TI - Real-time fluorescence monitoring of tryptic digestion in proteomics.
AB - Ensuring that proteolytic digestions are complete before submitting samples for
downstream proteomic analyses is important, as failure or partial digestion can
waste valuable instrument time and make results difficult to interpret.
Conversely, overdigestion can also be problematic, such as when removing affinity
tags from recombinant proteins or using nonspecific proteases. The techniques of
HPLC, circular dichroism, SDS-PAGE, and MS have each been used to assess protein
digestion. These techniques are slow, may require expensive instrumentation, can
be inaccurate, and/or are unsuitable for real-time monitoring. Epicocconone is a
natural fluorophore that reacts reversibly with proteins to form a highly
fluorescent adduct and has previously been used to quantify proteins in 1D and 2D
gels and in solution. Here, we describe a new method for the real-time monitoring
of protein digestion based on epicocconone. This unique in situ fluorescent assay
can tracelessly follow proteolysis of samples, at low microgram levels, destined
for proteomics analysis or purification.
PMID- 18052033
TI - Species variation in the fecal metabolome gives insight into differential
gastrointestinal function.
AB - The metabolic composition of fecal extracts provides a window for elucidating the
complex metabolic interplay between mammals and their intestinal ecosystems, and
these metabolite profiles can yield information on a range of gut diseases. Here,
the metabolites present in aqueous fecal extracts of humans, mice and rats were
characterized using high-resolution (1)H NMR spectroscopy coupled with
multivariate pattern recognition techniques. Additionally, the effects of sample
storage and preparation methods were evaluated in order to assess the stability
of fecal metabolite profiles, and to optimize information recovery from fecal
samples. Finally, variations in metabolite profiles were investigated in healthy
mice as a function of time. Interspecies variation was found to be greater than
the variation due to either time or sample preparation. Although many fecal
metabolites were common to the three species, such as short chain fatty acids and
branched chain amino acids, each species generated a unique profile. Relatively
higher levels of uracil, hypoxanthine, phenylacetic acid, glucose, glycine, and
tyrosine amino acids were present in the rat, with beta-alanine being unique to
the rat, and glycerol and malonate being unique to the human. Human fecal
extracts showed a greater interindividual variation than the two rodent species,
reflecting the natural genetic and environmental diversity in human populations.
Fecal composition in healthy mice was found to change over time, which might be
explained by altered gut microbial presence or activity. The systematic
characterization of fecal composition across humans, mice, and rats, together
with the evaluation of inherent variation, provides a benchmark for future
studies seeking to determine fecal biomarkers of disease and/or response to
dietary or therapeutic interventions.
PMID- 18052034
TI - Effect of water deficit irrigation and inoculation with Botrytis cinerea on
strawberry (Fragaria x ananassa) fruit quality.
AB - Deficit irrigation (DI) detrimentally affected berry size but had a profound
effect on fruit physiology and biochemistry. Strawberry cv. Elsanta fruit from DI
treated plants had higher levels of abscisic acid (ABA). Dry matter content as a
proportion of fresh weight was increased by a quarter in fruit from water
stressed plants as compared to fruit harvested from plants held at or near field
capacity. Concomitant to this, the concentration of some taste-related (viz.
monosaccharides and sugar/acid ratios) and health-related compounds/parameters
(viz. antioxidant capacity and total phenolics) were generally much greater in DI
treated fruit. The effect of inoculation with Botrytis cinerea on fruit quality
was also tested. Fruit derived from inoculated plants displayed symptoms of gray
mold postharvest disease earlier than noninoculated fruit and had double the
concentration of ABA. Inoculation had no significant effects on all other target
analytes measured. There was no interaction between water treatment and
inoculation. The possible mechanisms for increased synthesis of ABA and the
different effects of pathogen-induced stress versus drought stress on fruit
quality are discussed.
PMID- 18052035
TI - Multivariate analysis for the evaluation of fiber, sugars, and organic acids in
commercial presentations of table olives.
AB - Table olives constitute an important part of the Mediterranean diet and the diet
of many non-olive-producing countries. The aim of this work was to determine the
fiber, sugar, and organic acid contents in Spanish commercial presentations of
table olives and characterize them by means of a multivariate analysis. The
selection of variables was carried out on the basis of a canonical analysis and
their classification, according to processing styles and cultivars, through a
linear discriminant analysis. Values of dietary fiber in table olives ranged from
2 to 5 g/100 g edible portion (e.p.). Some stuffing materials (almond, hot red
pepper, and hazelnut) or the addition of capers produced a significant increase
in the total dietary fiber in green olives. Glucose, fructose, and mannitol were
usually found in the ranges of 0-55, 0-70, and 0-107 mg/100 g e.p., respectively.
Succinic acid was detected only in green and directly brined olives (0-40 mg/100
g e.p.), while lactic and acetic acids were used within the ranges of 0-681 and 5
492.8 mg/100 g e.p., respectively. A multivariate analysis showed that fiber,
mannitol, and succinic, lactic, and acetic acids can be used to discriminate
between processing styles (95.5% correct assignations) and cultivars (61.20%).
Current data can also be used in the evaluation of the dietary value of table
olives.
PMID- 18052036
TI - Effect of milk protein concentrate on lipid oxidation and formation of fishy
volatiles in herring mince (Clupea harengus) during frozen storage.
AB - The effect of milk protein concentrate (MPC) at 0, 2, 4, and 6% on lipid
oxidation and volatile formation in frozen stored herring mince (-18 degrees C)
was evaluated by analyzing samples at 0, 2, and 4 months for fatty acid
composition, volatiles, and thiobarbituric acid reactive substances (TBARS).
Sensory evaluation was also conducted to assess the intensity of fishy odor, and
the volatiles were analyzed using static headspace gas chromatography-mass
spectrometry (SHGC-MS). The addition of 4 and 6% MPC to herring mince resulted in
a 33% and 50% reduction of TBARS, respectively, at month 4 and lessened the
intensity of fishy odor throughout storage. However, MPC did not protect fatty
acids from enzymatic degradation unless it was added immediately after mincing.
Volatile analysis using SHGC-MS showed that 4% MPC was able to reduce headspace
volatiles associated with fishy odor. MPC is most effective for reducing 4
heptenal, 3-methyl-1-butanol, 2-hexenal, and 1-penten-3-ol, which are known to be
potent odorants associated with lipid oxidation.
PMID- 18052037
TI - Coffee dietary fiber contents and structural characteristics as influenced by
coffee type and technological and brewing procedures.
AB - Coffee brews contain considerable amounts of soluble dietary fiber, mainly low
substituted galactomannans and type II arabinogalactans. Factors possibly
influencing the content and structures of dietary fiber in coffee brews, such as
type of coffee, roasting and grinding degree, and brewing procedure, were
studied. In addition, several commercial samples such as instant espresso,
instant coffee, instant cappuccino, decaffeinated coffees, and coffee pads were
analyzed. The dietary fiber contents of the coffee brews ranged from 0.14 to 0.65
g/100 mL (enzymatic-gravimetric methodology), proving an influence of the factors
investigated. For example, the drip brew of an arabica coffee contained
significantly more soluble dietary fiber than the drip brew of a comparable
robusta coffee, and depending on the brewing procedure, the soluble dietary fiber
content of beverages obtained from the same coffee sample ranged from 0.26 to
0.38 g/100 mL. Dietary fiber contents of coffee brews were enhanced only up to a
certain degree of roast. Drip brews of decaffeinated arabica coffees (commercial
samples) contained significantly less dietary fiber than any non-decaffeinated
drip brew investigated in this study. The observed differences in the dietary
fiber contents were accompanied by changes in the structural characteristics of
fiber polysaccharides, such as galactomannan/arabinogalactan ratio, galactose
substitution degree of mannans, or galactose/arabinose ratio of arabinogalactans
as analyzed by methylation analysis.
PMID- 18052038
TI - Assay of the set of all Sudan azodye (I, II, III, IV, and Para-Red) contaminating
agents by liquid chromatography-tandem mass spectrometry and isotope dilution
methodology.
AB - A high-throughput mass spectrometric method is presented for the simultaneous
detection of Sudan I, II, III, IV, and Para-Red azodyes in foodstuff. The method
is based on the use of deuterium-labeled internal standards and atmospheric
pressure chemical ionization (APCI) in a triple-quadrupole instrument. The gas
phase breakdown pattern of each labeled and unlabeled analogue displays the
naphthoic moiety as a common fragment. The search for the parents of this common
species (parent ion scans) allows, by flow injection and in a single run, the
evaluation of the presence of each polluting species spiked in typical
foodstuffs. A detailed assay of each azodye was performed by LC-APCI and isotope
dilution method, through the multiple reaction monitoring approach, using
deuterium-labeled internal standards. Sudan dyes can be quantified above the
threshold of 10 ppb except for Sudan Para-Red, for which the limit of
quantification was 20 ppb, likely due to the different ionization efficiency.
PMID- 18052039
TI - Predictive relationship between polyphenol and nonfat cocoa solids content of
chocolate.
AB - Chocolate is often labeled with percent cocoa solids content. It is assumed that
higher cocoa solids contents are indicative of higher polyphenol concentrations,
which have potential health benefits. However, cocoa solids include polyphenol
free cocoa butter and polyphenol-rich nonfat cocoa solids (NFCS). In this study
the strength of the relationship between NFCS content (estimated by theobromine
as a proxy) and polyphenol content was tested in chocolate samples with labeled
cocoa solids contents in the range of 20-100%, grouped as dark (n = 46), milk (n
= 8), and those chocolates containing inclusions such as wafers or nuts (n = 15).
The relationship was calculated with regard to both total polyphenol content and
individual polyphenols. In dark chocolates, NFCS is linearly related to total
polyphenols (r2 = 0.73). Total polyphenol content appears to be systematically
slightly higher for milk chocolates than estimated by the dark chocolate model,
whereas for chocolates containing other ingredients, the estimates fall close to
or slightly below the model results. This shows that extra components such as
milk, wafers, or nuts might influence the measurements of both theobromine and
polyphenol contents. For each of the six main polyphenols (as well as their sum),
the relationship with the estimated NFCS was much lower than for total
polyphenols (r2 < 0.40), but these relationships were independent of the nature
of the chocolate type, indicating that they might still have some predictive
capabilities.
PMID- 18052040
TI - Carboxyl-terminal disulfide bond of acid sphingomyelinase is critical for its
secretion and enzymatic function.
AB - The human acid sphingomyelinase (ASM, EC 3.1.4.12), a lysosomal and secretory
protein coded by the sphingomyelin phosphodiesterase 1 (SMPD-1) gene, catalyzes
the degradation of sphingomyelin (SM) to ceramide and phosphorylcholine. We
examined the structural-functional properties of its carboxyl-terminus (amino
acids 462-629), which harbors approximately 1/3 of all mutations discovered in
the SMPD-1 gene. We created four naturally occurring mutants (DeltaR608, R496L,
G577A, and Y537H) and five serial carboxyl-terminal deletion mutants (N620, N590,
N570, N510, and N490). Transient transfection of the His/V5-tagged wild-type and
mutant recombinant ASM in Chinese hamster ovary cells showed that all the mutants
were normally expressed. Nonetheless, none of them, except the smallest deletion
mutant N620 that preserved all post-translational modifications, were found
capable of secretion to the medium. Furthermore, only the N620 conserved
functional integrity (100% activity of the wild type); all other mutants
completely lost the ability to catalyze SM hydrolysis. Importantly, cell surface
biotinylation revealed that mutant DeltaR608 transfected CHO cells and
fibroblasts from a compound heterozygous Niemann-Pick disease type B (NPD-B)
patient (DeltaR608 and R441X) have defective translocation to the plasma
membrane. Furthermore, we demonstrated that the DeltaR608 and N590 were trapped
in the endoplasmic reticulum (ER) quality control checkpoint in contrast to the
wild-type lysosomal localization. Interestingly, while the steady-state levels of
ubiquitination were minimal for the wild-type ASM, a significant amount of Lys63
linked polyubiquitinated DeltaR608 and N590 could be purified by S5a-affinity
chromatography, indicating an important misfolding in the carboxyl-terminal
mutants. Altogether, we provide evidence that the carboxyl-terminus of the ASM is
crucial for its protein structure, which in turns dictates the enzymatic function
and secretion.
PMID- 18052043
TI - Structural analysis of immunotherapeutic peptides for autoimmune Myasthenia
gravis.
AB - Myasthenia gravis (MG) and its animal model, experimental MG (EAMG), are
autoimmune disorders in which major pathogenic antibodies are directed against
the main immunogenic region (MIR) of the nicotinic acetylcholine receptor
(nAChR). In an earlier attempt to develop peptide mimotopes capable of preventing
the anti-MIR-mediated pathogenicity, the peptide Pep.1 was initially identified
from phage display, and subsequently, Cyclic extended Pep.1 (Cyc.ext.Pep.1),
which incorporates eight additional residues into the Pep.1 sequence and has an
affinity for the anti-MIR antibody mAb198 3 orders of magnitude greater than that
of Pep.1, was developed. In an animal model, Pep.1 shows no ability to inhibit
mAb198-induced EAMG, whereas Cyc.ext.Pep.1 successfully blocks anti-MIR antibody
198 (mAb198)-induced EAMG. Our aim in this study was to identify the structural
characteristics related to the different affinities for mAb198 of Pep.1 and
Cyc.ext.Pep.1 using NMR spectroscopy and alanine scanning analysis. The NMR
structural analysis revealed that Pep.1 is very flexible in solution, whereas
Cyc.ext.Pep.1 is highly rigid within a region containing several turn structures.
Interestingly, TRNOE experiments revealed that mAb198-bound Pep.1, particularly
in the region between Asn7 and Glu11, shows significant structural similarity to
the region between Asn10 and Glu14 of Cyc.ext.Pep.1, which is critical for
interaction with mAb198. We therefore conclude the higher affinity of
Cyc.ext.Pep.1 for mAb198 reflects the fact that incorporation of additional
residues producing a single disulfide bond endows Pep.1 with a conformational
rigidity that mimics the structure of mAb198-bound Pep.1. Furthermore, our
results suggest that cyclic extended peptides could be utilized generally as
useful tools to optimize the affinity of phage library-derived peptide antigens.
PMID- 18052042
TI - Importance of the C-terminal domain of Harc for binding to Hsp70 and Hop as well
as its response to heat shock.
AB - Hsp90 is a molecular chaperone that acts in concert with Hsp70 to mediate the
folding of many important regulatory proteins (e.g., protein kinases) into
functional conformations. The chaperone activity of Hsp90 is primarily regulated
by its cochaperones. For example, the Hsp90 cochaperone Cdc37 recruits Hsp90 to
protein kinases as well as inhibiting its ATPase activity to promote the binding
of Hsp90 to protein kinases. Harc is a structurally related Hsp90 cochaperone
with a three-domain structure in which the middle domain binds Hsp90. In contrast
to Cdc37 though, Harc also binds to Hsp70 and Hop (Hsp70/Hsp90 organizing
protein). Here we demonstrate that deletion of the C-terminal domain of Harc
abolished the binding of Hsp70 and Hop and reduced the affinity of Hsp90 binding
to Harc. Significantly, the C-terminal domain of Harc bound Hsp70, but it did not
bind Hop or Hsp90. Size exclusion chromatography of cell lysates revealed that
Hop only formed a complex with Harc in the presence of Hsp90 and Hsp70,
consistent with a model in which the interaction of Hop with Harc is mediated via
the binding of Hop to Harc-bound Hsp90 and Hsp70. Notably, heat shock resulted in
a marked decrease in the solubility of Harc, a response that was further
augmented by the deletion of the C-terminal domain of Harc. This latter finding
is especially interesting given that bioinformatics analysis indicated that cells
may express splice variants of Harc that encode C-terminally truncated Harc
isoforms. Together, these findings indicate that the C-terminal domain of Harc is
a key determinant of its cochaperone functions.
PMID- 18052041
TI - Structure of the DNA-binding domain of the response regulator PhoP from
Mycobacterium tuberculosis.
AB - The PhoP-PhoR two-component signaling system from Mycobacterium tuberculosis is
essential for the virulence of the tubercle bacillus. The response regulator,
PhoP, regulates expression of over 110 genes. In order to elucidate the
regulatory mechanism of PhoP, we determined the crystal structure of its DNA
binding domain (PhoPC). PhoPC exhibits a typical fold of the winged helix-turn
helix subfamily of response regulators. The structure starts with a four-stranded
antiparallel beta-sheet, followed by a three-helical bundle of alpha-helices, and
then a C-terminal beta-hairpin, which together with a short beta-strand between
the first and second helices forms a three-stranded antiparallel beta-sheet.
Structural elements are packed through a hydrophobic core, with the first helix
providing a scaffold for the rest of the domain to pack. The second and third
helices and the long, flexible loop between them form the helix-turn-helix motif,
with the third helix being the recognition helix. The C-terminal beta-hairpin
turn forms the wing motif. The molecular surfaces around the recognition helix
and the wing residues show strong positive electrostatic potential, consistent
with their roles in DNA binding and nucleotide sequence recognition. The crystal
packing of PhoPC gives a hexamer ring, with neighboring molecules interacting in
a head-to-tail fashion. This packing interface suggests that PhoPC could bind DNA
in a tandem association. However, this mode of DNA binding is likely to be
nonspecific because the recognition helix is partially blocked and would be
prevented from inserting into the major groove of DNA. Detailed structural
analysis and implications with respect to DNA binding are discussed.
PMID- 18052044
TI - Topological features of both electron density and electrostatic potential in the
bis(thiosemicarbazide)zinc(II) dinitrate complex.
AB - The experimental electron density of the bis(thiosemicarbazide)zinc(II) dinitrate
complex, [Zn(CH5N3S)2](NO3)2,was studied. The Hansen-Coppens multipole model was
used to extract the electron density from high-resolution X-ray diffraction data
collected at 100 K. Careful strategies were designed for the electron density
refinements regarding the charge transfer between the anionic and the cationic
parts of the complex. Particular attention was also paid to the treatment of the
electron density of the zinc atom interacting with two thiosemicarbazide ligands
in a tetrahedral coordination. Nevertheless, the filled 3d valence shell of Zn
was found unperturbed, and only the 4s shell was engaged in the metal-ligand
interaction. Topological properties of both electron density and electrostatic
potential, including kinetic and potential energy densities, and atomic charges
were reported to quantify a metal-ligand complex with particular Zn-S and Zn-N
bonds and hydrogen-bonding features. Chemical activities were screened through
the molecular surface on which the three-dimensional electrostatic potential
function was projected. The experimental results were compared to those obtained
from gas-phase quantum calculations, and a good agreement was reached between
these two approaches. Finally, among other electrostatic potential critical
points, the values at the maxima corresponding to the nuclear sites were used as
indices of the hydrogen-bonding capacity of the thiosemicarbazide ligand.
PMID- 18052045
TI - Overtone-induced degradation of perfluorinated alcohols in the atmosphere.
AB - Perfluorinated alcohols (PFOHs) are thermally unstable and degrade via loss of
HF, ultimately forming perfluorocarboxylic acids. Experiments and calculations of
the high activation barrier for the decomposition of CF3OH suggest that the
reaction occurs exclusively heterogeneously, perhaps with the involvement of
water. Here, we use density functional theory calculations to show that PFOHs may
readily complex with water and are expected to be present as a few percent of the
total PFOH concentration under ambient atmospheric conditions. The presence of
water lowers the HF elimination barrier sufficiently that excitation to low-lying
O-H vibrational overtone levels (vOH >or= 3) may cause reaction. Photolysis rate
constants for CF3OH x H2O and CF3CF2OH x H2O were estimated to be 6.1 x 10(-8)
and 5.6 x 10(-8) s(-1), respectively. PFOH-water complexes should undergo
degradation much faster than the corresponding gas-phase unimolecular
decomposition of PFOHs, which requires excitation into the vOH = 5 or 6
vibrational level. Overtone-driven gas-phase reactions of PFOH-water complexes
could be moderately competitive with heterogeneous reactions with water in dry
locations. Overtone-induced degradation of PFOHs is likely a modest atmospheric
source of PFCAs to the environment.
PMID- 18052046
TI - Thermal behavior of 1,1-diamino-2,2-dinitroethylene.
AB - The thermal behavior of 1,1-diamino-2,2-dinitroethlene (DADNE) was followed by
differential scanning calorimetry (DSC) and thermogravimetry (TG). In addition,
it was further investigated by the combination techniques of in situ thermolysis
cell or fast thermolysis probe with rapid-scan Fourier transform infrared
spectroscopy (thermolysis/RSFT-IR and fast thermolysis/RSFT-IR) and by mass
spectroscopy (MS). The results showed that there was a phase transition (beta
DADNE to gamma-DADNE) at the temperature of 119 degrees C, and that the gas
products of DADNE consisted of CO2, CO, NO2, NO, N2O, HCN, and HNCO, of which
CO2, NO, N2O, and HCN present themselves in both the first and second stages of
DADNE decomposition process, whereas CO, NO2, and HNCO are only caused by the
second stage, in air atmosphere. The C=C and C-NH2 bonds of DADNE molecules are
broken in the first stage of the decomposition process in open air, and one of
the C-NO2 and one of the C-NH2 bonds of the molecules are broken in the same
stage under electrospray ionization condition.
PMID- 18052047
TI - Self-interaction corrected density functional theory for the study of
intramolecular electron transfer dynamics in radical carbocations.
AB - We derived an orbital dependent Kohn-Sham based scheme for the correction of the
self-interaction error in DFT, which is particularly suited for the study of open
shell molecular systems. Our approach is based on a weighted form of the Perdew
and Zunger (PZ) self-interaction correction scheme, in which an empirical
coefficient is introduced in front of the SIC term to remove overcorrections. The
method is used to investigate the first principle molecular dynamics of the
intramolecular electron transfer (IET) in bis(methylene) adamanthyl radical
cation and allows the analysis of the free energy surface that governs the IET
process at room temperature (300 K). Indeed, the thermal activation of all
molecular degrees of freedom provides important additional information about the
mechanisms involved in the IET process. Our study confirms and extends previous
results obtained with CASSCF and shows that there is no predominant degeneracy
lifting mode even at room temperature. However, we also identified regions in the
phase space for which there is a significant probability for a productive IET
event. In addition, we performed thermodynamic integrations along selected
reaction coordinates to determine an estimate of the activation free energy
barrier for the IET process.
PMID- 18052048
TI - Ozone reactions with alkaline-earth metal cations and dications in the gas phase:
room-temperature kinetics and catalysis.
AB - Room-temperature rate coefficients and product distributions are reported for the
reactions of ozone with the cations and dications of the alkaline-earth metals
Ca, Sr, and Ba. The measurements were performed with a selected-ion flow tube
(SIFT) tandem mass spectrometer in conjunction with either an electrospray (ESI)
or an inductively coupled plasma (ICP) ionization source. All the singly charged
species react with ozone by O-atom transfer and form monoxide cations rapidly, k
= 4.8, 6.7, and 8.7 x 10(-10) cm3 molecule(-1) s(-1) for the reactions of Ca+,
Sr+, and Ba+, respectively. Further sequential O-atom transfer occurs to form
dioxide and trioxide cations. The efficiencies for all O-atom transfer reactions
are greater than 10%. The data also signify the catalytic conversion of ozone to
oxygen with the alkaline-earth metal and metal oxide cations serving as
catalysts. Ca2+ reacts rapidly with O3 by charge separation to form CaO+ and O2+
with a rate coefficient of k = 1.5 x 10(-9) cm3 molecule(-1) s(-1). In contrast,
the reactions of Sr2+ and Ba2+ are found to be slow and add O3, (k >/= 1.1 x 10
11 cm3 molecule-1 s-1). The initial additions are followed by the rapid
sequential addition of up to five O3 molecules with values of k between 1 and 5 x
10(-10) cm3 molecule(-1) s(-1). Metal/ozone cluster ions as large as Sr2+(O3)5
and Ba2+(O3)4 were observed for the first time.
PMID- 18052049
TI - Rate coefficients for the OH + HC(O)C(O)H (glyoxal) reaction between 210 and 390
K.
AB - Rate coefficients, k1(T), over the temperature range of 210-390 K are reported
for the gas-phase reaction OH + HC(O)C(O)H (glyoxal) --> products at pressures
between 45 and 300 Torr (He, N2). Rate coefficients were determined under pseudo
first-order conditions in OH using pulsed laser photolysis production of OH
radicals coupled with OH detection by laser-induced fluorescence. The rate
coefficients obtained were independent of pressure and bath gas. The room
temperature rate coefficient, k1(296 K), was determined to be (9.15 +/- 0.8) x 10
12 cm3 molecule-1 s-1. k1(T) shows a negative temperature dependence with a
slight deviation from Arrhenius behavior that is reproduced over the temperature
range included in this study by k1(T) = [(6.6 +/- 0.6) x 10-18]T2[exp([820 +/-
30]/T)] cm3 molecule-1 s-1. For atmospheric modeling purposes, a fit to an
Arrhenius expression over the temperature range included in this study that is
most relevant to the atmosphere, 210-296 K, yields k1(T) = (2.8 +/- 0.7) x 10-12
exp[(340 +/- 50)/T] cm3 molecule-1 s-1 and reproduces the rate coefficient data
very well. The quoted uncertainties in k1(T) are at the 95% confidence level
(2sigma) and include estimated systematic errors. Comparison of the present
results with the single previous determination of k1(296 K) and a discussion of
the reaction mechanism and non-Arrhenius temperature dependence are presented.
PMID- 18052050
TI - Optical heterodyne detected accumulated acoustic grating responses in near
supercritical fluids.
AB - A novel third-order polarization effect due to an accumulated optical heterodyne
detected (OHD) transient acoustic grating response in near critical fluids was
observed and experimentally characterized. Femtosecond pump-probe responses in
near critical CO2 and CHF3 illustrate this phenomenon. This large optically
generated acoustic response due to electrostrictive coupling appears only when
pump and probe pulses are temporally overlapped and is pi out-of-phase with the
normal optical Kerr effect (OKE) birefringent signal. The local oscillator, the
laser intensity, and the modeled experimental repetition rate dependence identify
the accumulated heterodyne origin of these responses. The observed OHD
accumulated acoustic birefringent signal is inversely dependent on sound velocity
to the fifth power. A corresponding sound velocity dependent dichroic (in-phase)
response was also observed for these electronically nonresonant samples. The
accumulated effect described here may have applications for the design of
efficient modulators and as a simple and sensitive experimental technique for the
measurement of near critical fluid thermodynamic and acoustic parameters.
PMID- 18052051
TI - Imaging the dynamics of reactions between Cl atoms and the cyclic ethers oxirane
and oxetane.
AB - Direct current slice velocity map ion images of the HCl(nu' = 0, J') products
from the photoinitiated reactions of ground state Cl atoms with ethane, oxirane
(c-C2H4O), and oxetane (c-C3H6O), at respective mean collision energies of 5.5,
6.5, and 7.3 kcal mol-1(-1), were analyzed using a Legendre moment fitting
procedure. The experimental method and the fitting technique were tested by
comparing the derived center-of-mass (CM) frame angular scattering distribution
for the HCl(v' = 0, J' = 1) products from the reaction of Cl + C2H6 with those
determined by Suits and co-workers from a crossed molecular beam experiment. For
the Cl + c-C2H4O reaction, a broad, forward, and backward peaking CM frame
angular distribution of HCl(nu' = 0, J' = 2) products was determined, with an
average fraction of the available energy released as product translational energy
of f t, equal to 0.52 +/- 0.18. The HCl consumes only 1% of the available energy,
and conservation arguments dictate that the radical coproduct is significantly
internally excited, corresponding to an average fraction of the available energy
of f int(c-C2H3O), equal to 0.47 +/- 0.18. For the reaction of oxetane with Cl
atoms, abstraction of H atoms is possible from carbon atoms from positions either
alpha or beta to the O atom. The contributions to the reaction from these two H
atom abstraction channels were estimated to be 63 and 37%, consistent with an
unbiased propensity for removal of alpha- and beta-H atoms that are present in
2:1 abundance. The angular scatter of products in the CM frame is also broad and
forward-backward peaking and is reminiscent of the products of the Cl + CH3OH and
CH3OCH3 reactions. The derived mean fraction of the available energy channelled
into product translation is f t = 0.54 +/- 0.12 for each of the two abstraction
pathways. With only a small amount of energy in the rotation of the HCl(nu' = 0),
the remainder is accounted for by excitation of the radical coproduct internal
modes, with f int(c-C3H5O) = 0.42 +/- 0.12 for both alpha- and beta-H
abstraction. The broad product scattering in the CM frame observed for both
reactions of Cl atoms with the cyclic ethers is consistent with reactive
collisions over a wide range of impact parameters, as might be expected for
barrierless reactions with loose transition states.
PMID- 18052052
TI - Hydrogen bonding in protonated water clusters: an atoms-in-molecules perspective.
AB - This article highlights the results of a detailed study of hydrogen bonding in
the first and the second solvation shells of Eigen (H3O+) and Zundel (H5O2+)
cations solvated by water in a stepwise manner. It is evident from the results
that an electron density analysis clearly distinguishes the first and the second
solvation shell and helps in quantifying the strength of hydrogen bonding in
these clusters.
PMID- 18052053
TI - Study on nonlinear spectroscopy of tetraphenylporphyrin and dithiaporphyrin
diacids.
AB - The nonlinear absorption of two porphyrin diacids (H4TPP2+ and H2DSP2+), the
diprotonated forms of free base tetraphenylporphyrin (H2TPP) and dithiaporphyrin
(DSP), were studied in the wavelength range of 500-650 nm. The two porphyrin
diacids exhibited perturbed static and dynamic characteristics and enhanced
nonlinear absorption properties relative to their parent neutral complexes in
solution. Furthermore, for the dithiaporphyrin diacid, the introduction of S
atoms into the porphyrin core makes it a better candidate for optical limiting
relative to the simple porphyrin. Their photophysical parameters such as ground
and excited states absorption cross-sections, together with fluorescence lifetime
and intersystem crossing time, were determined.
PMID- 18052054
TI - Octacyanotungstate(V)-based magnetic complex consisting of dimeric Mn(2) and
tetrameric Mn(2)W(2).
AB - A novel zero-dimensional (0D) octacyanotungstate(V)-manganese(II) bimetallic
assembly, {[MnII(bipy)2]2(ox)}.{[MnII(bipy)2W(CN)8]2}.4H2O (1) (bipy = 2,2'
bipyridine, ox = C2O42-), was synthesized in methanol solution containing oxalic
acid. X-ray analysis shows 1 is crystallized in monoclinic crystal system with
C2/c space group and composed of two components of a dimeric Mn2 cation and a
quadrate tetrameric Mn2W2 anion. The Mn2 and Mn2W2 moieties are connected by
their respective pi-pi stacking to yield the alternative 2D layers, and the 2D
layers are linked by hydrogen bonding to form a 3D network. The investigation of
the magnetostructural correlation reveals that cyanide and oxalate bridges
mediate weak intracluster antiferromagnetic coupling between Mn and W ions and
between Mn ions, respectively. Further magnetic measurements and analysis show
the spin glasses and intercluster ferromagnetic interaction exist in complex 1.
PMID- 18052055
TI - Diorganoruthenium complexes incorporating noninnocent [C(6)H(2)(CH(2)ER(2))(2)
3,5](2)(2-) (E = N, P) bis-pincer bridging ligands: synthesis,
spectroelectrochemistry, and DFT studies.
AB - The dinuclear complex [(tpy)RuII(PCP-PCP)RuII(tpy)]Cl2 (bridging PCP-PCP =
3,3',5,5'-tetrakis(diphenylphosphinomethyl)biphenyl, [C6H2(CH2PPh2)2-3,5]22-) was
prepared via a transcyclometalation reaction of the bis-pincer ligand [PC(H)P
PC(H)P] and the Ru(II) precursor [Ru(NCN)(tpy)]Cl (NCN = [C6H3(CH2NMe2)2-2,6]-)
followed by a reaction with 2,2':6',2' '-terpyridine (tpy). Electrochemical and
spectroscopic properties of [(tpy)RuII(PCP-PCP)RuII(tpy)]Cl2 are compared with
those of the closely related [(tpy)RuII(NCN-NCN)RuII(tpy)](PF6)2 (NCN-NCN =
[C6H2(CH2NMe2)2-3,5]22-) obtained by two-electron reduction of [(tpy)RuIII(NCN
NCN)RuIII(tpy)](PF6)4. The molecular structure of the latter complex has been
determined by single-crystal X-ray structure determination. One-electron
reduction of [(tpy)RuIII(NCN-NCN)RuIII(tpy)](PF6)4 and one-electron oxidation of
[(tpy)RuII(PCP-PCP)RuII(tpy)]Cl2 yielded the mixed-valence species
[(tpy)RuIII(NCN-NCN)RuII(tpy)]3+ and [(tpy)RuIII(PCP-PCP)RuII(tpy)]3+,
respectively. The comproportionation equilibrium constants Kc (900 and 748 for
[(tpy)RuIII(NCN-NCN)RuIII(tpy)]4+ and [(tpy)RuII(PCP-PCP)RuII(tpy)]2+,
respectively) determined from cyclic voltammetric data reveal comparable
stability of the [RuIII-RuII] state of both complexes. Spectroelectrochemical
measurements and near-infrared (NIR) spectroscopy were employed to further
characterize the different redox states with special focus on the mixed-valence
species and their NIR bands. Analysis of these bands in the framework of Hush
theory indicates that the mixed-valence complexes [(tpy)RuIII(PCP
PCP)RuII(tpy)]3+ and [(tpy)RuIII(NCN-NCN)RuII(tpy)]3+ belong to strongly coupled
borderline Class II/Class III and intrinsically coupled Class III systems,
respectively. Preliminary DFT calculations suggest that extensive delocalization
of the spin density over the metal centers and the bridging ligand exists. TD-DFT
calculations then suggested a substantial MLCT character of the NIR electronic
transitions. The results obtained in this study point to a decreased metal-metal
electronic interaction accommodated by the double-cyclometalated bis-pincer
bridge when strong sigma-donor NMe2 groups are replaced by weak sigma-donor, pi
acceptor PPh2 groups.
PMID- 18052057
TI - First-row transition metal-halide complexes supported by a monoanionic [N(2)P(2)]
ligand.
AB - Metal-halide complexes of a multidentate monoanionic ligand
tBuN(H)SiMe2N(CH2CH2PiPr2)2, H[N2P2], with Ti, V, Cr, Mn, Fe, Co, and Ni have
been isolated and characterized. X-ray crystallographic studies were performed on
[N2P2]TiCl2 (3), [N2P2]CrCl2 (5), [N2P2]MnCl (6), [N2P2]FeCl (7), [N2P2]CoCl (8),
and [N2P2]NiBr (9), and the results revealed that the [N2P2] ligand exhibits
considerable flexibility in the manner in which it binds to first-row metals and
that three distinct coordination modes are observed: kappa3-N2P (Ti), kappa3-NP2
(Mn, Fe, Co), and kappa4-N2P2 (Cr, Ni). Electrochemical (CV) data and room
temperature magnetic susceptibilities are also described.
PMID- 18052056
TI - Synthesis and characterization of ruthenium bis(beta-diketonato) pyridine
imidazole complexes for hydrogen atom transfer.
AB - Ruthenium bis(beta-diketonato) complexes have been prepared at both the RuII and
RuIII oxidation levels and with protonated and deprotonated pyridine-imidazole
ligands. RuII(acac)2(py-imH) (1), [RuIII(acac)2(py-imH)]OTf (2), RuIII(acac)2(py
im) (3), RuII(hfac)2(py-imH) (4), and [DBU-H][RuII(hfac)2(py-im)] (5) have been
fully characterized, including X-ray crystal structures (acac = 2,4
pentanedionato, hfac = 1,1,1,5,5,5-hexafluoro-2,4-pentanedionato, py-imH = 2-(2'
pyridyl)imidazole, DBU = 1,8-diazabicyclo[5.4.0]undec-7-ene). For the acac
imidazole complexes 1 and 2, cyclic voltammetry in MeCN shows the RuIII/II
reduction potential (E1/2) to be -0.64 V versus Cp2Fe+/0. E1/2 for the
deprotonated imidazolate complex 3 (-1.00 V) is 0.36 V more negative. The RuII
bis-hfac analogues 4 and 5 show the same DeltaE1/2 = 0.36 V but are 0.93 V harder
to oxidize than the acac derivatives (0.29 and -0.07 V). The difference in
acidity between the acac and hfac derivatives is much smaller, with pKa values of
22.1 and 19.3 in MeCN for 1 and 4, respectively. From the E1/2 and pKa values,
the bond dissociation free energies (BDFEs) of the N-H bonds in 1 and 4 are
calculated to be 62.0 and 79.6 kcal mol(-1) in MeCN - a remarkable difference of
17.6 kcal mol(-1) for such structurally similar compounds. Consistent with these
values, there is a facile net hydrogen atom transfer from 1 to TEMPO* (2,2,6,6
tetramethylpiperidine-1-oxyl radical) to give 3 and TEMPO-H. The DeltaG degrees
for this reaction is -4.5 kcal mol(-1). 4 is not oxidized by TEMPO* (DeltaG
degrees = +13.1 kcal mol(-1)), but in the reverse direction TEMPO-H readily
reduces in situ generated RuIII(hfac)2(py-im) (6). A RuII-imidazoline analogue of
1, RuII(acac)2(py-imnH) (7), reacts with 3 equiv of TEMPO* to give the
imidazolate 3 and TEMPO-H, with dehydrogenation of the imidazoline ring.
PMID- 18052058
TI - Threshold CID investigation of isomeric Cu(I) azabox complexes.
AB - An improved method for deconvoluting energy-resolved collision-induced
dissociation cross sections yields ligand binding energies for organometallic
complexes with substantially less prior information than before. Application to
isomeric 2:1 complexes of azabox ligands with Cu(I) gives consistent results for
the binding energies of the ligands to homo- and heterochiral complexes with
pseudo-enantiomeric ligands for cases where previous deconvolution methods had
failed to give satisfactory results.
PMID- 18052059
TI - Combination of lacunary polyoxometalates and high-nuclear transition-metal
clusters under hydrothermal conditions. 5. A novel tetrameric cluster of
[{Fe(II)Fe(III)(12)(mu(3)-OH)(12)(mu(4)-PO(4))(4)}(B-alpha-PW(9)O(34))(4)](22)(
).
AB - A novel tetrameric cluster, (enH2)3.5H15[{FeIIFeIII12(mu3-OH)12(mu4-PO4)4}(B
alpha-PW9O34)4].83H2O (1; en = ethylenediamine), has been hydrothermally
synthesized and characterized by IR, optical diffuse reflectance,
thermogravimetric analysis, and single-crystal X-ray diffraction. The skeleton of
1 is composed of four tri-FeIII-substituted [FeIII3(mu3-OH)3(B-alpha-PW9O34)]3-
Keggin moieties fused together through a FeII2O2 unit and four mu4-PO4 bridges,
resulting in a unique tetramer with C2 symmetry. Magnetic measurements indicate
that 1 reveals the antiferromagnetic interactions between FeII and FeIII centers.
PMID- 18052060
TI - Ambient-temperature metal-to-ligand charge-transfer phosphorescence facilitated
by triarylboron: Bnpa and its metal complexes.
AB - A Cu(I) complex, 1, and a Pt(II) complex, 2a, of a triarylboron ligand, Bnpa,
with bright ambient-temperature phosphorescence have been obtained. The
phosphorescence of these complexes is highly sensitive toward molecular oxygen
and has a distinct response to fluoride ions. For 1, the fluoride ion causes
phosphorescent quenching and Bnpa dissociation, and for 2a, it switches
phosphorescent color from yellow to green. The Cu(I) complex has an exceptionally
high emission quantum yield (0.88) in the solid state.
PMID- 18052061
TI - Structural and initial biological analysis of synthetic arylomycin A2.
AB - The growing threat of untreatable bacterial infections has refocused efforts to
identify new antibiotics, especially those acting by novel mechanisms. While the
inhibition of pathogen proteases has proven to be a successful strategy for drug
development, such inhibitors are often limited by toxicity due to their
promiscuous inhibition of homologous and mechanistically related human enzymes.
Unlike many protease inhibitors, inhibitors of the essential type I bacterial
signal peptidase (SPase) may be more specific and thus less toxic due to the
enzyme's unique structure and catalytic mechanism. Recently, the arylomycins and
related lipoglycopeptide natural products were isolated and shown to inhibit
SPase. The core structure of the arylomycins and lipoglycopeptides consists of a
biaryl-linked, N-methylated peptide macrocycle attached to a lipopeptide tail,
and in the case of the lipoglycopeptides, a deoxymannose moiety. Herein, we
report the first total synthesis of a member of this group of antibiotics,
arylomycin A2. The synthesis relies on Suzuki-Miyaura-mediated biaryl coupling,
which model studies suggested would be more efficient than a lactamization-based
route. Biological studies demonstrate that these compounds are promising
antibiotics, especially against Gram-positive pathogens, with activity against S.
epidermidis that equals that of the currently prescribed antibiotics. Structural
and biological studies suggest that both N-methylation and lipidation may
contribute to antibiotic activity, whereas glycosylation appears to be generally
less critical. Thus, these studies help identify the determinants of the
biological activity of arylomycin A2 and should aid in the design of analogs to
further explore and develop this novel class of antibiotic.
PMID- 18052062
TI - A single residue switch converts abietadiene synthase into a pimaradiene specific
cyclase.
AB - Terpene synthases often catalyze complex cyclization reactions that typically
represent the committed step in particular biosynthetic pathways, leading to
great interest in their enzymatic mechanisms. We have recently demonstrated that
substitution of a specific Ile with Thr was sufficient to "short circuit" the
complex cyclization reaction normally catalyzed by ent-kaurene synthases to
instead produce ent-pimaradiene. Here we report that the complex
cyclization/rearrangement reaction catalyzed by abietadiene synthase can be
similarly cut short to produce pimaradienes by an analogous Ser for Ala change,
albeit with a slight shift in active site location to accommodate the difference
in substrate stereochemistry. This result has mechanistic implications for
enzymatic catalysis of abietadiene cyclization, and terpene synthases more
broadly. Furthermore, these defined single residue switches may be useful in
engineering product outcome in diterpene synthases more generally.
PMID- 18052063
TI - Iron-catalyzed olefin epoxidation in the presence of acetic acid: insights into
the nature of the metal-based oxidant.
AB - The iron complexes [(BPMEN)Fe(OTf)2] (1) and [(TPA)Fe(OTf)2] (2) [BPMEN = N,N'
bis-(2-pyridylmethyl)-N,N'-dimethyl-1,2-ethylenediamine; TPA = tris-(2
pyridylmethyl)amine] catalyze the oxidation of olefins by H2O2 to yield epoxides
and cis-diols. The addition of acetic acid inhibits olefin cis-dihydroxylation
and enhances epoxidation for both 1 and 2. Reactions carried out at 0 degrees C
with 0.5 mol % catalyst and a 1:1.5 olefin/H2O2 ratio in a 1:2 CH3CN/CH3COOH
solvent mixture result in nearly quantitative conversions of cyclooctene to
epoxide within 1 min. The nature of the active species formed in the presence of
acetic acid has been probed at low temperature. For 2, in the absence of
substrate, [(TPA)FeIII(OOH)(CH3COOH)]2+ and [(TPA)FeIVO(NCCH3)]2+ intermediates
can be observed. However, neither is the active epoxidizing species. In fact,
[(TPA)FeIVO(NCCH3)]2+ is shown to form in competition with substrate oxidation.
Consequently, it is proposed that epoxidation is mediated by
[(TPA)FeV(O)(OOCCH3)]2+, generated from O-O bond heterolysis of the
[(TPA)FeIII(OOH)(CH3COOH)]2+ intermediate, which is promoted by the protonation
of the terminal oxygen atom of the hydroperoxide by the coordinated carboxylic
acid.
PMID- 18052064
TI - A noncovalent switch for lysozyme.
AB - A new concept for the external control of protein activity is presented and
demonstrated on the example of an artificial Lysozyme switch. Radical
copolymerization of selected methacrylamide-based comonomer units tailored for
amino acid residues surrounding the active site furnishes polymeric protein hosts
that are able to inhibit enzymatic activity in a highly efficient dose-dependent
manner (IC50 approximately 1.0 equiv approximately 0.7 microM). All binding site
types on the polymer work cooperatively, using electrostatic attraction,
hydrophobic forces, and substrate mimicry. In a native gel electrophoresis, the
well-defined 2:1 complex (polymer/protein) migrates to the anode. Even at 250 mM
NaCl, a 10-fold polymer excess is able to shut down bacterial cell wall
degradation completely. A kinetic investigation points to a competitive mechanism
(Lineweaver-Burk plots). CD spectra of pure Lysozyme and its polymer complex are
indistinguishable; together with a total lack of preincubation time for maximum
inhibition, experimental evidence is thus produced for a preserved tertiary
enzyme structure-no denaturation occurs. Addition of the superior complexing
agent polyarginine to the enzyme-polymer complex mildly detaches the inhibitor
from the protein surface and leads to 90% recovery of enzymatic activity. Thus,
Lysozyme could be turned off, on, and off again by consecutive addition of the
polymeric inhibitor, polyarginine, and polymer again.
PMID- 18052065
TI - Direct detection of membrane channels from gels using water-in-oil droplet
bilayers.
AB - We form planar lipid bilayers between an aqueous droplet and a hydrogel support
immersed in a lipid-oil solution. By scanning the bilayer over the surface of an
SDS-PAGE gel, we are able to directly detect membrane proteins from gels using
single-channel recording. Using this technique, we are able to examine low levels
of endogenous protein from cell extracts without the need for over-expression. We
also use droplet bilayers to detect small molecules from hydrogels. The bilayers
show enhanced stability compared to conventional planar lipid bilayers, and both
bilayer size and position can be controlled during an experiment. Hydrogel
scanning with droplet bilayers provides a new method for the discovery and
characterization of ion channels with the potential for high-throughput
screening.
PMID- 18052066
TI - Synthesis of [59]fullerenones through peroxide-mediated stepwise cleavage of
fullerene skeleton bonds and X-ray structures of their water-encapsulated open
cage complexes.
AB - Fullerene skeleton modification has been investigated through selective cleavage
of the fullerene carbon-carbon bonds under mild conditions. Several cage-opened
fullerene derivatives including three [59]fullerenones with an 18-membered-ring
orifice and one [59]fullerenone with a 19-membered-ring orifice have been
prepared starting from the fullerene mixed peroxide 1, C60(OOtBu)6. The
prepositioned tert-butyl peroxy groups in 1 serve as excellent oxygen sources for
formation of hydroxyl and carbonyl groups. The cage-opening reactions were
initiated by photoinduced homolysis of the tBu-O bond, followed by sequential
ring expansion steps. A key step of the ring expansion reactions is the oxidation
of adjacent fullerene hydroxyl and amino groups by diacetoxyliodobenzene (DIB).
Aminolysis of a cage-opened fullerene derivative containing an anhydride moiety
resulted in multiple bond cleavage in one step. A domino mechanism was proposed
for this reaction. Decarboxylation led to elimination of one carbon atom from the
C60 cage and formation of [59]fullerenones. The cage-opened [59]fullerenones were
found to encapsulate water under mild conditions. All compounds were
characterized by spectroscopic data. Single-crystal structures were also obtained
for five skeleton-modified derivatives including two water-encapsulated
fulleroids.
PMID- 18052067
TI - A Molecular mechanism for the chemoselective hydrogenation of substituted
nitroaromatics with nanoparticles of gold on TiO2 catalysts: a cooperative effect
between gold and the support.
AB - Nanoparticles of gold on TiO2 are highly chemoselective for the reduction of
substituted nitroaromatics, such as nitrostyrene. By combining kinetics and in
situ IR spectroscopy, it has been found that there is a preferential adsorption
of the reactant on the catalyst through the nitro group. IR studies of
nitrobenzene, styrene, and nitrostyrene adsorption, together with quantum
chemical calculations, show that the nitro and the olefinic groups adsorb weakly
on the Au(111) and Au(001) surfaces, and that although a stronger adsorption
occurs on low-coordinated atoms in gold nanoparticles, this adsorption is not
selective. On the other hand, an energetically and geometrically favored
adsorption through the nitro group occurs on the TiO2 support and in the
interface between the gold nanoparticle and the TiO2 support. Such preferential
adsorption is not observed with nanoparticles of gold on silica which, contrary
to the Au/TiO2 catalyst, is not chemoselective for the reduction of substituted
nitroaromatic compounds. Therefore, the high chemoselectiviy of the Au/TiO2
catalyst can be attributed to a cooperation between the gold nanoparticle and the
support that preferentially activates the nitro group.
PMID- 18052068
TI - A frequency domain existence proof of single-molecule surface-enhanced Raman
spectroscopy.
AB - The existence of single-molecule surface-enhanced Raman spectroscopy (SMSERS) is
proven by employing a frequency-domain approach. This is demonstrated using two
isotopologues of Rhodamine 6G that offer unique vibrational signatures. When an
average of one molecule was adsorbed per silver nanoparticle, only one
isotopologue was typically observed under dry N2 environment. Additionally, the
distribution of vibrational frequencies hidden under the ensemble average is
revealed by examining the single-molecule spectra. Correlation with transmission
electron microscopy reveals that SMSERS active aggregates are composed of
multiple randomly sized and shaped nanoparticles. At higher coverage and in a
humid environment, adsorbate interchange was detected. Using 2D cross
correlation, vibrational modes from different isotopologues were anti-correlated,
indicating that the dynamic behavior was from multiple molecules competing for a
single hot spot. This allows hot-spot diffusion to be directly observed without
analyzing the peak intensity fluctuations.
PMID- 18052070
TI - Ruthenium-catalyzed cycloaddition of aryl azides and alkynes.
AB - The formation of 1,5-disubstituted 1,2,3-triazoles from aryl azides and alkynes
was readily accomplished using [Cp*RuCl]4 catalyst in dimethylformamide. It was
also demonstrated that the reaction provided higher yields, cleaner product, and
shorter reaction times when carried out under microwave irradiation.
PMID- 18052069
TI - Chemically adjusting plasma temperature, energy, and reactivity (CAPTEAR) method
using NOx and combustion for selective synthesis of Sc3N@C80 metallic nitride
fullerenes.
AB - Goals are (1) to selectively synthesize metallic nitride fullerenes (MNFs) in
lieu of empty-cage fullerenes (e.g., C60, C70) without compromising MNF yield and
(2) to test our hypothesis that MNFs possess a different set of optimal formation
parameters than empty-cage fullerenes. In this work, we introduce a novel
approach for the selective synthesis of metallic nitride fullerenes. This new
method is "Chemically Adjusting Plasma Temperature, Energy, and Reactivity"
(CAPTEAR). The CAPTEAR approach with copper nitrate hydrate uses NOx vapor from
NOx generating solid reagents, air, and combustion to "tune" the temperature,
energy, and reactivity of the plasma environment. The extent of temperature,
energy, and reactive environment is stoichiometrically varied until optimal
conditions for selective MNF synthesis are achieved. Analysis of soot extracts
indicate that percentages of C60 and Sc3N@C80 are inversely related, whereas the
percentages of C70 and higher empty-cage C2n fullerenes are largely unaffected.
Hence, there may be a "competitive link" in the formation and mechanism of C60
and Sc3N@C80. Using this CAPTEAR method, purified MNFs (96% Sc3N@C80, 12 mg) have
been obtained in soot extracts without a significant penalty in milligram yield
when compared to control soot extracts (4% Sc3N@C80, 13 mg of Sc3N@C80). The
CAPTEAR process with Cu(NO3)2.2.5H2O uses an exothermic nitrate moiety to
suppress empty-cage fullerene formation, whereas Cu functions as a catalyst
additive to offset the reactive plasma environment and boost the Sc3N@C80 MNF
production.
PMID- 18052071
TI - Indium-copper-mediated barbier-grignard-type alkylation reaction of imines in
aqueous media.
AB - An efficient system of In/CuI/InCl3 was developed for Barbier-Grignard-type
alkylation reactions of simple imines, using a one-pot condensation of various
aldehydes, amines (including aliphatic and chiral version), and alkyl iodides in
water or aqueous media. The reactions proceeded efficiently at room temperature
to give the desired products in moderate to good yields. Good
diastereoselectivities were obtained when using L-valine methyl ester as
substrate.
PMID- 18052072
TI - C-H amination in synthesis: an approach to the assembly of the B/C/D ring system
of aconitine.
AB - A strategy for the preparation of aconitine is described that attempts to exploit
chemoselective C-H amination and the electrophilic reactivity of oxathiazinane
N,O-acetals for assembling the complex, polycyclic carbon framework of the
natural product.
PMID- 18052073
TI - Triazine dendrimers with orthogonally protected amines on the periphery. Masking
amines with Dde and BOC groups provides an alternative to carrying protected
alcohols and disulfides through an iterative synthesis.
AB - An orthogonally protected dendrimer based on melamine displaying 24 Boc-protected
amines (Boc is t-butoxycarbonyl) and 12 Dde-protected (Dde is N-2-(4,4-dimethyl
2,6-dioxocyclohexylidene)ethyl) amines was synthesized using a convergent route
in four linear steps in 43% overall yield to provide 5 g of product.
Postsynthetic manipulation of this dendrimer produced a 57 kDa macromolecule
bearing poly(ethylene glycol) groups and pyridyldisulfide groups linked via
carbamate and amide bonds, respectively. These orthogonally protected amines
provide more reactive handles for chemical modification when compared to other
groups, notably hydroxyls, that have been explored. In addition, the Dde group
proves to be more stable to the synthetic methods employed than do the disulfides
used previously. Monochlorotriazine and dichlorotriazine intermediates are
invaluable as a route for eliminating unwanted byproducts arising from over
substitution of the triazine ring. Routes requiring three reactions on a simple,
generation zero core versus six reactions on a generation one core are compared.
PMID- 18052074
TI - Total synthesis of norbadione A.
AB - A short, convergent synthesis of the mushroom pigment norbadione A is described.
The construction of an appropriately substituted naphtholactone intermediate
involved a regioselective Diels-Alder reaction between a
bis(triisopropylsilyloxy)diene and 2,6-dichlorobenzo-1,4-quinone. A double Suzuki
Miyaura cross-coupling between a diboronate and two identical enol triflates was
another key feature of the synthesis.
PMID- 18052075
TI - Retro-Diels-Alder reaction of 4H-1,2-benzoxazines to generate o-quinone methides:
involvement of highly polarized transition states.
AB - Here, we describe mechanistic studies of the retro-Diels-Alder reaction of 4H-1,2
benzoxazines bearing various substituents on the benzene ring. 4H-1,2
Benzoxazines are very simple, but quite new, heterocyclic compounds that afford
substituted o-quinone methides (o-QMs) through retro-Diels-Alder reaction under
mild thermal conditions. The resultant o-QMs undergo Diels-Alder reaction in situ
with dienophiles to give phenol and chroman derivatives. The mechanism of the
generation of o-QMs has been little studied. Our experimental and density
functional theory (DFT) studies have yielded the following results. (1) The
generation of o-QMs, i.e., the retro-hetero-Diels-Alder reaction of 4H-1,2
benzoxazines, is rate determining, rather than the subsequent Diels-Alder
reaction of the resultant o-QM with dienophiles. (2) The reaction rate is
strongly influenced by the electronic features of substituents and the polarity
of the solvent. The reaction proceeds faster in a polar solvent such as dimethyl
sulfoxide, probably because of stabilization of the electronically polarized TS
structure. (3) The reactions show characteristic positional effects of
substitution on the benzene ring. While an electron-withdrawing group such as CF3
at C5, C6, or C7 positions decelerates the reaction, the same substituent at C8
accelerates the reaction, compared with the reaction of unsubstituted 4H-1,2
benzoxazine. In particular, substitution at C5 significantly decelerates the
reaction as compared with the unsubstituted case. This is due to the difference
in the inductive effect of CF3 at the different positions. Similar positional
effects occur with a halogen (Cl) and a nitro group. All these data support the
involvement of polarized TS structures, in which the O-N bond cleavage precedes
the C-C bond cleavage.
PMID- 18052076
TI - Membrane interaction of chrysophsin-1, a histidine-rich antimicrobial peptide
from red sea bream.
AB - Chrysophsin-1 is an amphipathic alpha-helical antimicrobial peptide produced in
the gill cells of red sea bream. The peptide has broad range activity against
both Gram-positive and Gram-negative bacteria but is more hemolytic than other
antimicrobial peptides such as magainin. Here we explore the membrane interaction
of chrysophsin-1 and determine its toxicity, in vitro, for human lung fibroblasts
to obtain a mechanism for its antimicrobial activity and to understand the role
of the unusual C-terminal RRRH sequence. At intermediate peptide concentrations,
solid-state NMR methods reveal that chrysophsin-1 is aligned parallel to the
membrane surface and the lipid acyl chains in mixed model membranes are
destabilized, thereby being in agreement with models where permeabilization is an
effect of transient membrane disruption. The C-terminal RRRH sequence was shown
to have a large effect on the insertion of the peptide into membranes with
differing lipid compositions and was found to be crucial for pore formation and
toxicity of the peptide to fibroblasts. The combination of biophysical data and
cell-based assays suggests likely mechanisms involved in both the antibiotic and
toxic activity of chrysophsins.
PMID- 18052077
TI - GTP-binding-defective forms of tissue transglutaminase trigger cell death.
AB - Tissue transglutaminase (TGase-2), which binds GTP and catalyzes the cross
linking of proteins (transamidation), has been implicated both in the promotion
of cell death and in the protection of cells against apoptotic insults. However,
a novel transcript originally identified from the brains of Alzheimer's patients,
encoding a truncated form of TGase-2 (called TGase-S), shows strong apoptotic
activity. TGase-S exhibits no detectable GTP-binding capability, suggesting that
its ability to induce cell death might be due to its inability to bind GTP. Thus,
we have examined whether eliminating the GTP-binding capability of full-length
human TGase-2 would prevent it from conferring protection against apoptotic
challenges and instead convert it into a protein that causes cell death. A number
of point mutants of human TGase-2 defective for binding GTP, as well as a mutant
that shows impaired GTP-hydrolytic activity, were generated. Similar to what we
had found for TGase-S, there was a time-dependent decrease in the expression of
the GTP-binding-defective TGase-2 mutants in different cell lines, whereas the
expression of wild-type TGase-2 and the GTP hydrolysis-defective mutant was
sustained. Moreover, the GTP-binding-defective TGase-2 mutants induced cell
death. The cell death responses triggered by these mutants were not due to their
transdamidation activity, because double-mutants that were both GTP-binding- and
transamidation-defective also stimulated cell death. Therefore, these results
point to the inability to bind GTP as being sufficient for the apoptotic activity
exhibited by the TGase-S protein. They also highlight a novel example of how the
loss of GTP-binding activity can convert a protein that provides protection
against apoptotic stimuli into a cell death-promoting factor.
PMID- 18052078
TI - Steady state kinetics of spleen tyrosine kinase investigated by a real time
fluorescence assay.
AB - Spleen tyrosine kinase (Syk) is a cytoplasmic tyrosine kinase that plays an
important signaling role in several types of immune cells. To improve our
understanding of the enzymology and activation mechanism of Syk, we characterized
the steady state kinetics of Syk substrate phosphorylation. A new real time
fluorescence kinase assay was employed that utilizes a nonnatural amino acid in
the peptide substrate which undergoes an enhancement in fluorescence following
phosphorylation. Characterizing the steady state kinetics using a Syk kinase
domain construct [Syk(360-635)] revealed that Syk employs a ternary complex
kinetic mechanism involving little cooperativity between substrate binding sites
and a Km(ATP) of 36 +/- 5 microM and a Km(peptide substrate) of 4.4 +/- 0.9
microM. The order of substrate binding was determined to be either random or
ordered with ATP binding first, as determined in substrate analogue inhibitor
studies. Utilizing the real time capabilities of the fluorescence assay, we
established that Syk demonstrates no lag phase in product formation. Furthermore,
a Syk mutant lacking tyrosine in the activation loop (Syk Y525F,Y526F) exhibited
activity identical to that of wild-type Syk. These two findings indicate that
autophosphorylation of the activation loop of Syk does not regulate Syk(360-635)
activity. We also compared the activity of Syk(360-635) to that of full-length
Syk and revealed that Syk(360-635) is 10-fold more active, suggesting that
residues outside the catalytic domain of Syk suppress kinase activity. The
findings presented here provide the first kinetic description of the Syk enzyme
mechanism.
PMID- 18052079
TI - On the relationship between thermal stability and catalytic power of enzymes.
AB - The possible relationship between the thermal stability and the catalytic power
of enzymes is of great current interest. In particular, it has been suggested
that thermophilic or hyperthermophilic (Tm) enzymes have lower catalytic power at
a given temperature than the corresponding mesophilic (Ms) enzymes, because the
thermophilic enzymes are less flexible (assuming that flexibility and catalysis
are directly correlated). These suggestions presume that the reduced dynamics of
the thermophilic enzymes is the reason for their reduced catalytic power. The
present paper takes the specific case of dihydrofolate reductase (DHFR) and
explores the validity of the above argument by simulation approaches. It is found
that the Tm enzymes have restricted motions in the direction of the folding
coordinate, but this is not relevant to the chemical process, since the motions
along the reaction coordinate are perpendicular to the folding motions. Moreover,
it is shown that the rate of the chemical reaction is determined by the
activation barrier and the corresponding reorganization energy, rather than by
dynamics or flexibility in the ground state. In fact, as far as flexibility is
concerned, we conclude that the displacement along the reaction coordinate is
larger in the Tm enzyme than in the Ms enzyme and that the general trend in
enzyme catalysis is that the best catalyst involves less motion during the
reaction than the less optimal catalyst. The relationship between thermal
stability and catalysis appears to reflect the fact that to obtain small
electrostatic reorganization energy it is necessary to invest some folding energy
in the overall preorganization process. Thus, the optimized catalysts are less
stable. This trend is clearly observed in the DHFR case.
PMID- 18052080
TI - Inhibitors bound to Ca(2+)-free sarcoplasmic reticulum Ca(2+)-ATPase lock its
transmembrane region but not necessarily its cytosolic region, revealing the
flexibility of the loops connecting transmembrane and cytosolic domains.
AB - Ca2+-free crystals of sarcoplasmic reticulum Ca2+-ATPase have, up until now, been
obtained in the presence of inhibitors such as thapsigargin (TG), bound to the
transmembrane region of this protein. Here, we examined the consequences of such
binding for the protein. We found that, after TG binding, an active site ligand
such as beryllium fluoride can still bind to the ATPase and change the
conformation or dynamics of the cytosolic domains (as revealed by the protection
afforded against proteolysis), but it becomes unable to induce any change in the
transmembrane domain (as revealed by the intrinsic fluorescence of the membranous
tryptophan residues). TG also obliterates the Trp fluorescence changes normally
induced by binding of MgATP or metal-free ATP, as well as those induced by
binding of Mg2+ alone. In the nucleotide binding domain, the environment of
Lys515 (as revealed by fluorescein isothiocyanate fluorescence after specific
labeling of this residue) is significantly different in the ATPase complex with
aluminum fluoride and in the ATPase complex with beryllium fluoride, and in the
latter case it is modified by TG. All these facts document the flexibility of the
loops connecting the transmembrane and cytosolic domains in the ATPase. In the
absence of active site ligands, TG protects the ATPase from cleavage by
proteinase K at Thr242-Glu243, suggesting TG-induced reduction in the mobility of
these loops. 2,5-Di-tert-butyl-1,4-dihydroxybenzene or cyclopiazonic acid,
inhibitors which also bind in or near the transmembrane region, also produce
similar overall effects on Ca2+-free ATPase.
PMID- 18052081
TI - Magnetic-sensitive silica nanospheres for controlled drug release.
AB - Recently, magnetic silica-based nanospheres have received great attention and
displayed magnificent potential for bioimaging and therapeutic purposes. This
study provided a way to accelerate drug release from magnetic-sensitive silica
nanospheres by controlled bursting to a therapeutically effective concentration
by a high-frequency magnetic field (HFMF). The magnetic-sensitive silica
nanospheres were synthesized by an in situ process, with particle sizes about 50
nm and able to release specific amounts of drug in a burst manner via short
exposure to a HFMF. The HFMF accelerates the rotation of magnetic nanoparticles
deposited in the silica matrix with generated heat energy and subsequently
enlarges the nanostructure of the silica matrix to produce porous channels that
cause the drug to be released easily. By taking these magnetic-responsive
controllable drug release behaviors, the magnetic silica nanospheres can be
designed for controlled burst release of therapeutic agents for especially urgent
physiological needs.
PMID- 18052082
TI - Model of drug-loaded fluorocarbon-based micelles studied by electron-spin induced
(19)f relaxation NMR and molecular dynamics simulation.
AB - Rf-IPDU-PEGs belong to a class of fluoroalkyl-ended poly(ethylene glycol)
polymers (Rf-PEGs), where the IPDU (isophorone diurethane) functions as a linker
to connect each end of the PEG chain to a fluoroalkyl group. The Rf-IPDU-PEGs
form hydrogels in water with favorable sol-gel coexistence properties. Thus, they
are promising for use as drug delivery agents. In this study, we introduce an
electron-spin induced 19F relaxation NMR technique to probe the location and drug
loading capacity for an electron-spin labeled hydrophobic drug, CT (chlorambucil
tempol adduct), enclosed in the Rf-IPDU-PEG micelle. With the assistance of
molecular dynamics simulations, a clear idea regarding the structures of the Rf
IPDU-PEG micelle and its CT-loaded micelle was revealed. The significance of this
research lies in the finding that the hydrophobic drug molecules were loaded
within the intermediate IPDU shells of the Rf-IPDU-PEG micelles. The molecular
structures of IPDU and that of CT are favorably comparable. Consequently, it
appears that this study opens a window to modify the linker between the Rf group
and the PEG chain for achieving customized structure-based drug-loading
capabilities for these hydrogels, while the advantage of the strong affinity
among the Rf groups to hold individual micelles together and to interconnect the
micellar network is still retained in hopes of maintaining the sol-gel
coexistence of the Rf-PEGs.
PMID- 18052084
TI - Direct electrical measurements on single-molecule genomic DNA using single-walled
carbon nanotubes.
AB - A unique nanoelectronic platform, based on single-walled carbon nanotubes
(SWNTs), has been fabricated for measuring electrical transport in single
molecule DNA. We have tested 80 base pairs of single- and double-stranded DNA
(ssDNA and dsDNA, respectively) of complex base sequences. About a 25-40 pA
current (at 1 V) was measured for the dsDNA molecule covalently attached to the
SWNT electrode at its termini. In the absence of base pair stacking, a ssDNA
carries a feeble current of approximately 1 pA or less. Gate-voltage-dependent I
V characteristics revealed that the bridging dsDNA molecule acts as a p-type
channel between SWNT source and drain electrodes.
PMID- 18052083
TI - Tunable resistive m-dPEG acid patterns on polyelectrolyte multilayers at
physiological conditions: template for directed deposition of biomacromolecules.
AB - This paper describes a new class of salt-responsive poly(ethylene glycol) (PEG)
self-assembled monolayers (SAMs) on top of polyelectrolyte multilayer (PEMs)
films. PEM surfaces with poly(diallyldimethylammonium chloride) as the topmost
layer are chemically patterned by microcontact printing (muCP) oligomeric PEG
molecules with an activated carboxylic acid terminal group (m-dPEG acid). The
resistive m-d-poly(ethylene glycol) (m-dPEG) acid molecules on the PEMs films
were subsequently removed from the PEM surface with salt treatment, thus
converting the nonadhesive surfaces into adhesive surfaces. The resistive PEG
patterns facilitate the directed deposition of various macromolecules such as
polymers, dyes, colloidal particles, proteins, liposomes, and nucleic acids.
Further, these PEG patterns act as a universal resist for different types of
cells (e.g., primary cells, cell lines), thus permitting more flexibility in
attaching a wide variety of cells to material surfaces. The patterned films were
characterized by optical microscopy and atomic force microscopy (AFM). The PEG
patterns were removed from the PEM surface at certain salt conditions without
affecting the PEM films underneath the SAMs. Removal of the PEG SAMs and the
stability of the PEM films underneath it were characterized with ellipsometry and
optical microscopy. Such salt- and pH-responsive surfaces could lead to
significant advances in the fields of tissue engineering, targeted drug delivery,
materials science, and biology.
PMID- 18052085
TI - Commentary: bioavailability of flavonoids and polyphenols: call to arms.
PMID- 18052086
TI - Metabolism and bioavailability of flavonoids in chemoprevention: current
analytical strategies and future prospectus.
AB - Flavonoids are structurally diverse and among the most ubiquitous groups of
dietary polyphenols distributed in various fruits and vegetables. Many have been
proposed to be bioactive compounds in the diet that are responsible for lowering
the risk of cancer and have been used in chemoprevention studies using animal
models of this disease. As for any xenobiotic, to evaluate the potential risks
and benefits of bioflavonoids to human health, an understanding of the
physiological behavior of these compounds following oral ingestion is needed as
well as their absorption, distribution, metabolism, and excretion (ADME). The
study on metabolism and bioavailability is very important in defining the
pharmacological and toxicological profile of these compounds. Due to great
structural diversity among flavonoids, these profiles differ greatly from one
compound to another, so that the most abundant polyphenols in our diet are not
necessarily the ones that reach target tissues. Therefore, careful analysis of
flavonoids and their metabolites in biological systems is critical. Mass
spectrometry in various combinations with chromatographic methods has been a
mainstay in applications that involve profiling and quantification of metabolites
in complex biological samples. Because of its speed, sensitivity and specificity,
liquid chromatography-tandem mass spectrometry (LC-MS/MS) has become the
technology of choice for sample analysis. This review describes the chemistry of
polyphenols and flavonoids, their ADME, and the various mass spectrometry-based
strategies used in the analysis of flavonoids, including future trends in this
field.
PMID- 18052088
TI - On the intractability of estrogen-related receptor alpha as a target for
activation by small molecules.
AB - The estrogen-related receptor alpha (ERRalpha) is a potential target for
activation in the treatment of metabolic disease. To date, no small-molecule
agonists of ERRalpha have been identified despite several high-throughput
screening campaigns. We describe the synthesis and profiling of a small array of
compounds designed on the basis of a previously reported agonist-bound crystal
structure of the closely related receptor ERRgamma. The results suggest that
ERRalpha may be intractable as a direct target for pharmacologic activation.
PMID- 18052089
TI - Facile stereospecific synthesis and biological evaluation of (S)- and (R)-2-amino
2-methyl-4-[123I]iodo-3-(E)-butenoic acid for brain tumor imaging with single
photon emission computerized tomography.
AB - Both enantiomers of 2-amino-2-methyl-4-iodo-3-(E)-butenoic acid (IVAIB, 5) were
radioiodoinated in 65-72% yield. (S)-IVAIB entered 9L gliosarcoma cells primarily
via A-type transport in vitro with higher uptake than (R)-IVAIB. Biodistribution
studies in rats with 9L gliosarcoma brain tumors demonstrated higher tumor to
brain ratios with (S)-IVAIB (75:1 at 1 h) than (R)-IVAIB (7.7:1). In this model,
(S)-IVAIB is superior to (R)-IVAIB and is a promising radiotracer for brain tumor
imaging.
PMID- 18052087
TI - Disposition of flavonoids via enteric recycling: enzyme stability affects
characterization of prunetin glucuronidation across species, organs, and UGT
isoforms.
AB - We characterized the in vitro glucuronidation of prunetin, a prodrug of genistein
that is a highly active cancer prevention agent. Metabolism studies were
conducted using expressed human UGT isoforms and microsomes/S9 fractions prepared
from intestine and liver of rodents and humans. The results indicated that human
intestinal microsomes were more efficient than liver microsomes in
glucuronidating prunetin, but rates of metabolism were dependent on time of
incubation at 37 degrees C. Human liver and intestinal microsomes mainly produced
metabolite 1 (prunetin-5- O-glucuronide) and metabolite 2 (prunetin-4'- O
glucuronide), respectively. Using 12 human UGT isoforms, we showed that UGT1A7,
UGT1A8, and UGT1A9 were mainly responsible for the formation of metabolite 1,
whereas UGT1A1, UGT1A8, and UGT1A10 were mainly responsible for the formation of
metabolite 2. This isoform-specific metabolism was consistent with earlier
results obtained using human liver and intestinal microsomes, as the former
(liver) is UGT1A9-rich whereas the latter is UGT1A10-rich. Surprisingly, we found
that the thermostability of the microsomes was isoform- and organ-dependent. For
example, human liver microsomal UGT activities were much more heat-stable (37
degrees C) than intestinal microsomal UGT activities, consistent with the finding
that human UGT1A9 is much more thermostable than human UGT1A10 and UGT1A8. The
organ-specific thermostability profiles were also evident in rat microsomes and
mouse S9 fractions, even though human intestinal glucuronidation of prunetin
differs significantly from rodent intestinal glucuronidation. In conclusion,
prunetin glucuronidation is species-, organ-, and UGT-isoform-dependent, all of
which may be impacted by the thermostability of specific UGT isoforms involved in
the metabolism.
PMID- 18052091
TI - Influence of nitrogen and sulfur on biomass production and carotenoid and
glucosinolate concentrations in watercress (Nasturtium officinale R. Br.).
AB - Watercress (Nasturtium officinale R. Br.) is a perennial herb rich in the
secondary metabolites of glucosinolates and carotenoids. 2-phenethyl
isothiocyanate, the predominate isothiocyanate hydrolysis product in watercress,
can reduce carcinogen activation through inhibition of phase I enzymes and
induction of phase II enzymes. Sulfur (S) and nitrogen (N) have been shown to
influence concentrations of both glucosinolates and carotenoids in a variety of
vegetable crops. Our research objectives were to determine how several levels of
N and S fertility interact to affect watercress plant tissue biomass production,
tissue C/N ratios, concentrations of plant pigments, and glucosinolate
concentrations. Watercress was grown using nutrient solution culture under a
three by three factorial arrangement, with three S (8, 16, and 32 mg/L) and three
N (6, 56, and 106 mg/L) fertility concentrations. Watercress shoot tissue
biomass, tissue %N, and tissue C/N ratios were influenced by N but were
unaffected by changes in S concentrations or by the interaction of NxS. Tissue
pigment concentrations of beta-carotene, lutein, 5,6-epoxylutein, neoxanthin,
zeaxanthin, and the chlorophyll pigments responded to changes in N treatment
concentrations but were unaffected by S concentrations or through N x S
interactions. Watercress tissue concentrations of aromatic, indole, and total
glucosinolate concentrations responded to changes in N treatments; whereas
aliphatic, aromatic, and total glucosinolates responded to changes in S treatment
concentrations. Individual glucosinolates of glucobrassicin, 4
methoxyglucobrassicin, and gluconasturriin responded to N fertility treatments,
while gluconapin, glucobrassicin, and gluconasturiin responded to changes in S
fertility concentrations. Increases in carotenoid and glucosinolate
concentrations through fertility management would be expected to influence the
nutritional value of watercress in human diets.
PMID- 18052090
TI - 2-(2'-((dimethylamino)methyl)-4'-(fluoroalkoxy)-phenylthio)benzenamine
derivatives as serotonin transporter imaging agents.
AB - A novel series of ligands with substitutions at the 5-position on phenyl ring A
and at the 4'-position on phenyl ring B of 2-(2'-((dimethylamino)methyl)-4'
(fluoroalkoxy)phenylthio)benzenamine (4'-2-fluoroethoxy derivatives 28-31 and 4'
3-fluoropropoxy derivatives 40-42) were prepared and tested as serotonin
transporter (SERT) imaging agents. The new ligands displayed high binding
affinities to SERT (Ki ranging from 0.03 to 1.4 nM). The corresponding 18F
labeled compounds, which can be prepared readily, showed excellent brain uptake
and retention after iv injection in rats. The hypothalamus region showed high
uptake values between 0.74% and 2.2% dose/g at 120 min after iv injection.
Significantly, the hypothalamus to cerebellum ratios (target to nontarget ratios)
at 120 min were 7.8 and 7.7 for [18F]28 and [18F]40, respectively. The selective
uptake and retention in the hypothalamus, which has a high concentration of SERT
binding sites, demonstrated that [18F]28 and [18F]40 are promising positron
emission computed tomography imaging agents for mapping SERT binding sites in the
brain.
PMID- 18052092
TI - Kinetics of molecular encapsulation of 1-methylcyclopropene into alpha
cyclodextrin.
AB - 1-methylcyclopropene (1-MCP), an ethylene inhibiting regulator, is commercially
available in the form of an inclusion complex with alpha-cyclodextrin (alpha-CD).
In this study, molecular encapsulation of gaseous 1-MCP into aqueous alpha-CD was
investigated in a closed, agitated vessel with a flat gas-liquid interface.
Molecular encapsulation of gaseous 1-MCP by alpha-CD is a simultaneous two-step
reaction which involves the aqueous dissolution of gaseous 1-MCP and the
encapsulation of the dissolved molecules by alpha-CD. The kinetics and mechanism
of molecular encapsulation were analyzed based on the depletion rate of 1-MCP in
the headspace of the vessel. The encapsulation rates could be explained
quantitatively by the gas absorption theory with a pseudo-first-order reaction
between 1-MCP and alpha-CD. The negative value of the calculated apparent
activation energy of encapsulation (-24.4 kJ/mol) implied the significant effect
of exothermic aqueous dissolution of 1-MCP. An encapsulation temperature of 15
degrees C was optimal; at this temperature, the highest 1-MCP yield and best
inclusion ratio of inclusion complex were obtained. Changes in the X-ray
diffraction pattern suggested that the crystal lattice structure of alpha-CD was
altered upon inclusion of 1-MCP.
PMID- 18052093
TI - Characterization of terpenoid volatiles from cultivars of eastern hemlock (Tsuga
canadensis).
AB - The volatile terpenoid fraction from needles in 13 cultivars of Tsuga canadensis
L. (Carriere) was analyzed by gas chromatography with mass spectrometry (GC-MS).
The results of this study are considered along with previously reported results
for foliar terpenoid levels of the Asian (T. sieboldii, T. chinensis, T.
diversifolia), western North American (T. mertensiana, T. heterophylla), and
eastern North American species (T. canadensis, T. caroliniana) of hemlock to draw
conclusions about the potential of cultivar host resistance to the hemlock woolly
adelgid (Adelges tsugae Annand). It is suggested that hemlocks in eastern North
America have adapted their terpenoid chemistry for protection against endemic
defoliators and that this has made them vulnerable to non-native, sucking pests
such as adelgids and scales. Some cultivars of T. canadensis have a terpenoid
profile that resembles that of the resistant noneastern North American species
and are candidates for biological screening for resistance. Among the cultivars,
the variation in terpenoid chemistry did not absolutely correspond with the
considerable differences in morphological characters observed, indicating that
the terpenoid chemistry is not definitively coupled with hemlock morphology.
PMID- 18052094
TI - Selenium and aflatoxin levels in raw Brazil nuts from the Amazon basin.
AB - Whereas selenium (Se) is an important antioxidant in human metabolism to prevent
cancer, aflatoxins are highly carcinogenic. Brazil nuts from Eastern and Western
Amazon regions were evaluated to find any relationship between Se and aflatoxins
levels. A total of 80 (in-shell and shelled) nuts samples were collected directly
from different forest sites and analyzed for Se by atomic emission spectrometry
and aflatoxins by liquid chromatography tandem mass spectrometry. The limit of
quantitation (LOQ) for Se was 2.0 mg/kg, and LOQ for total aflatoxins was 0.390
microg/kg. Nut Se levels from the Eastern region were higher than the Western, in
addition to the aflatoxins. The moisture content (mc) and water activity (aw) of
the raw nuts from the two regions did not present a significant difference, for
either in-shell or shelled. The mc was 24.5% (minimum of 20.1% and maximum of
30.4%) and 22.1% (minimum of 14.6% and maximum of 28.9%) and a w of 0.85 for both
regions. Further studies need to be carried out to discover the role of Se on
fungi growth stress and aflatoxin production mechanisms.
PMID- 18052095
TI - Ion-trap tandem mass spectrometry for the analysis of polychlorinated dibenzo-p
dioxins, dibenzofurans, and dioxin-like polychlorinated biphenyls in food.
AB - This paper reports on the applicability of gas chromatography coupled to ion-trap
tandem mass spectrometry (GC/ITMS/MS) for the analysis of polychlorinated dibenzo
p-dioxins (PCDDs), dibenzofurans (PCDFs), and dioxin-like polychlorinated
biphenyls (dl-PCBs) in food. MS/MS parameters were selected to achieve the high
sensitivity and selectivity required for food analysis. Good precision (RSD=5-18%
for PCDD/Fs and 6-14% for dl-PCBs) and low limits of detection for PCDD/Fs (0.1
0.93 pg/g of fat) and dl-PCBs (0.1-0.89 pg/g of fat) were obtained. A comparative
study of the congener-specific determination using both GC/ITMS/MS and GC-high
resolution mass spectrometry (GC/HRMS) was performed by analyzing several
matrices such as milk, fish oil, chicken, pork, fish, eggs, and a chicken
compound feed, at low pg/g levels. The results using GC/ITMS/MS were in good
agreement with those obtained by GC/HRMS. Consequently, GC/ITMS/MS is proposed
for the analysis of PCDD/Fs and dl-PCBs in food and feed samples.
PMID- 18052096
TI - Multienzyme inhibition assay for residue analysis of insecticidal
organophosphates and carbamates.
AB - A recently developed spectrophotometric assay for the detection of
organophosphorus and carbamate insecticides by means of cutinase inhibition has
been successfully extended to two esterases derived from Bacillus subtilis (BS2)
and rabbit liver. These esterases were selected because of their high sensitivity
to the examined insecticide classes and their pronounced inhibition profile. With
inhibition constants (ki) of 2.0x10(7) and 2.6x10(6) L/(mol.min) for rabbit liver
esterase and BS2, respectively, chlorpyrifos oxon proved to be the strongest
inhibitor directly followed by paraoxon. As compared to choline esterases and the
recently studied cutinase, both esterases are surprisingly strongly inhibited by
organophosphorus thions, showing k i in the range of 5.3x10(2) to 2.3x10(4)
L/(mol.min). All tested insecticidal carbamates were also inhibitors of BS2 and
rabbit liver esterase, albeit in a rather uniform manner. Generally, both enzymes
were found to be about 2 orders of magnitude more sensitive on the studied
insecticides than cutinase even with an enhanced sensitivity against plant matrix
effects. Plant extracts, obtained according to the QuEChERS method, were
subjected to solid-phase extraction (SPE) using a mixed mode strong anion
exchanger/primary secondary amine sorbent and C18endcapped cartridges for
superior cleanup. With spiked samples of apple juice, best recoveries of 73% (+/
61%), 94% (+/-25%), and 134% (+/-17%) were obtained for chlorpyrifos, parathion
methyl, and paraoxon, respectively. Results of exemplarily performed liquid
chromatography-mass spectrometry control measurements were well in accordance
with measurements obtained by enzyme inhibition.
PMID- 18052097
TI - Suppressive effect of a proanthocyanidin-rich extract from longan (Dimocarpus
longan Lour.) flowers on nitric oxide production in LPS-stimulated macrophage
cells.
AB - Anthocyanidins found in certain flowers have been shown to act as strong
antioxidants in various systems, exhibiting multiple biological actions. The
antioxidative effects of water extract and ethanolic extract of longan
(Dimocarpus longan Lour.) flowers were evaluated by radical scavenging activity
and compared to those of gallic acid, myricetin, and epigallocatechin gallate. In
this study, the suppressive effects of longan flower extracts on nitric oxide and
prostaglandin E2 production were investigated using a lipopolysaccharide
stimulated RAW 264.7 cell model. Abundant levels of phenolic compounds including
flavonoids, condensed tannins, and proanthocyanidins were found in water or
ethanolic extracts prepared from dried longan flowers. The antioxidative effect
of longan flower extract was similar to the effect exhibited by pure
antioxidants. Moreover, longan flower extract showed prominent inhibitory effects
on prostaglandin E2 production. Significant concentration-dependent inhibition of
nitric oxide production was detected when cells were cotreated with
lipopolysaccharide and various concentrations of longan flower extracts. These
inhibitory effects were further attributed to suppression of inducible nitric
oxide synthase protein expression and not to reduced enzymatic activity. These
results suggest that longan flower crude extracts, especially ethanolic extract,
have antioxidant and anti-inflammatory effects, and the probable mechanism
involves inhibition of inflammation by proanthocyanidins. Preliminary
observations suggest that longan flower extract, especially alcoholic extract,
could be another potential source of natural dietary antioxidant and anti
inflammatory agent.
PMID- 18052098
TI - Synthesis, herbicidal activities, and 3D-QSAR of 2-cyanoacrylates containing
aromatic methylamine moieties.
AB - A series of novel 2-cyanoacrylates containing different aromatic rings were
synthesized, and their structures were characterized by (1)H NMR, elemental
analysis, and single-crystal X-ray diffraction analysis. Their herbicidal
activities against four weeds and inhibition of photosynthetic electron transport
against isolated chloroplasts (the Hill reaction) were evaluated. Both in vivo
and in vitro data showed that the compounds containing benzene, pyridine, and
thiazole moieties gave higher activities than those containing pyrimidine,
pyridazine, furan, and tetrahedronfuran moieties. To further explore the
comprehensive structure-activity relationship on the basis of in vitro data,
comparative molecular field analysis (CoMFA) was performed, and the results
showed that a bulky and electronegative group around the para-position of the
aromatic rings would have the potential for higher activity, which offered
important structural insights into designing highly active compounds prior to the
next synthesis.
PMID- 18052099
TI - Beta-carotene isomer composition of sub- and supercritical carbon dioxide
extracts. Antioxidant activity measurement.
AB - In the present work sub- and supercritical extraction conditions using carbon
dioxide were studied in order to obtain extracts with different compositions from
the green microalgae Dunaliella salina. Different compositions of beta-carotene
isomers were identified in the extracts by using HPLC-DAD. Also, antioxidant
activity of the extracts was measured using a TEAC assay. An experimental design
was applied considering two factors, extraction pressure and temperature, in a
wide range of values, trying to maximize the extraction yield. Higher yields were
obtained at high pressures and low temperatures, that is, at higher CO2
densities. Attempts were made to correlate the antioxidant activity of the
extracts with their chemical composition by means of principal component
analysis. A certain relationship was found between their antioxidant activity and
the isomeric composition of beta-carotenes. As a result, an original equation is
proposed to predict the antioxidant activity of extracts from D. salina in terms
of the ratio 9-cis-beta-carotene/all-trans-beta-carotene, the concentration of
alpha-carotene, and, especially, the concentration of 9-cis-beta-carotene.
PMID- 18052100
TI - Development of a real-time PCR method to detect potentially allergenic sesame
(Sesamum indicum) in food.
AB - Recent papers indicate that the prevalence of allergic reactions to sesame
(Sesamum indicum) is increasing in European countries. This paper describes the
development of a selective real-time PCR method for the detection of sesame in
food. The assay did not show any cross-reactivity with 17 common food
ingredients. The real-time PCR method was applied to determine sesame in several
crackers, salty snacks, biscuits, tahina sesame paste and sesame oil. With the
exception of sesame oil, in all of the samples where sesame was declared, sesame
was detected by the real-time PCR assay (Ct value<35). In the samples which might
contain sesame or where sesame was not listed, sesame could not be detected (Ct
value>35).
PMID- 18052101
TI - Determination of postharvest fungicides in fruit juices by solid-phase extraction
followed by liquid chromatography electrospray time-of-flight mass spectrometry.
AB - A multiresidue method using liquid chromatography-time-of-flight mass
spectrometry (LC-TOFMS) has been developed for the quantitative analysis of five
widely used postharvest fungicides (carbendazim, thiabendazole, imazalil,
prochloraz, and iprodione) and two of their transformation products (imazalil and
prochloraz metabolites) in fruit juices. LC-TOFMS in positive electrospray
ionization mode was used to quantify and confirm trace levels of these fungicides
in fruit juices. The proposed method consists of a sample treatment step based on
solid-phase extraction using hydrophilic-lipophilic-balanced polymer-based
reverse-phase SPE cartridges (Oasis HLB) and methanol as an eluting solvent.
Fruit-juice extracts spiked at different fortification levels (10 and 20 microg
L(-1)) yielded average recoveries in the range of 71-109% with RSD (%) below 15%.
Subsequent identification, confirmation, and quantitation were carried out by LC
TOFMS analysis. The confirmation of the target species was based on accurate mass
measurements of protonated molecules ([M+H]+) and fragment ions, obtaining
routine accuracy errors lower than 2 ppm in most cases. The obtained limits of
detection (LODs) of the proposed method were in the range of 0.08-0.45 microg L(
1). Finally, the proposed method was successfully applied to the analysis of 23
fruit juice samples collected from different European countries and the United
States, showing the potential applicability of the method in routine analysis.
Over 50% of the samples tested contained pesticide residues, but relatively low
concentration levels were found.
PMID- 18052102
TI - Determination of water-soluble polyphenolic compounds in commercial herbal teas
from Lamiaceae: peppermint, melissa, and sage.
AB - Chromatographic techniques (HPLC and HPTLC) were used for qualitative and
quantitative determination of eriocitrin, luteolin 7-O-rutinoside, luteolin 7-O
beta-glucuronide, lithospermic acid, rosmarinic acid, and methyl rosmarinate
together with other known compounds in commercial herbal teas from the Lamiaceae
family: peppermint leaf (Menthae piperitae folium), melissa leaf (Melissae
folium), and sage leaf (Salviae officinalis folium). Contents of analyzed
compounds in infusions, the most popular forms, were established using a C18
column with acetonitrile-water-formic acid as a mobile phase. The HPLC method was
validated for linearity, precision, and accuracy. Luteolin 7-O-beta-glucuronide
and lithospermic acid were identified as new Mentha x piperita compounds. The
investigated herbal teas delivered polyphenols in high amounts, up to 182.2 mg
for the infusion of one peppermint tea bag.
PMID- 18052104
TI - Idiosyncratic drug reactions: past, present, and future.
AB - Although the major working hypothesis for the mechanism of idiosyncratic drug
reactions (IDRs), the hapten hypothesis, has not changed since 1987, several
hypotheses have been added, for example, the danger hypothesis and the
pharmaceutical interaction hypothesis. Genetic studies have found that several
IDRs are linked to specific HLA genes, providing additional evidence that they
are immune-mediated. Evidence that most IDRs are caused by reactive metabolites
has led pharmaceutical companies to avoid drug candidates that form significant
amounts of reactive metabolites; however, at least one IDR, ximelagatran-induced
liver toxicity, does not appear to be caused by a reactive metabolite. It is
possible that there are biomarkers such as those related to cell stress that
would predict that a drug candidate would cause a significant incidence of IDRs;
however, there has been no systematic study of the changes in gene expression
induced by drugs known to cause IDRs. A major impediment to the study of the
mechanisms of IDRs is the paucity of valid animal models, and if we had a better
mechanistic understanding, it should be easier to develop such models. There is
growing evidence that these adverse reactions are more varied and complex than
previously recognized, and it is unlikely that a quick fix will be achieved.
However, IDRs are an important cause of patient morbidity and mortality and
markedly increase the uncertainty of drug development; therefore, continued basic
research in this area is essential.
PMID- 18052103
TI - Progress and challenges in selected areas of tobacco carcinogenesis.
AB - Tobacco use continues to be a major cause of cancer in the developed world, and
despite significant progress in this country in tobacco control, which is driving
a decrease in cancer mortality, there are still over 1 billion smokers in the
world. This perspective discusses some selected issues in tobacco carcinogenesis
focusing on progress during the 20 years of publication of Chemical Research in
Toxicology. The topics covered include metabolism and DNA modification by tobacco
specific nitrosamines, tobacco carcinogen biomarkers, an unidentified DNA
ethylating agent in cigarette smoke, mutations in the K-RAS and p53 gene in
tobacco-induced lung cancer and their possible relationship to specific
carcinogens, secondhand smoke and lung cancer, emerging issues in smokeless
tobacco use, and a conceptual model for understanding tobacco carcinogenesis. It
is hoped that a better understanding of mechanisms of tobacco-induced cancer will
lead to new and useful approaches for the prevention of lung cancer and other
cancers caused by tobacco use.
PMID- 18052105
TI - Potential mechanisms of estrogen quinone carcinogenesis.
AB - There is a clear association between the excessive exposure to estrogens and the
development of cancer in hormone-sensitive tissues (breast, endometrium). It has
become clear that there are likely multiple overlapping mechanisms of estrogen
carcinogenesis. One major pathway is the extensively studied hormonal pathway, by
which estrogen stimulates cell proliferation through nuclear estrogen receptor
(ER)-mediated signaling, thus resulting in an increased risk of genomic mutations
during DNA replication. A similar "nongenomic pathway", potentially involving
newly discovered membrane-associated ERs, also appears to regulate extranuclear
estrogen signaling pathways. This perspective is focused on a third pathway
involving the metabolism of estrogens to catechols mediated by cytochrome P450
and further oxidation of these catechols to estrogen o-quinones. Oxidative
enzymes, metal ions, and in some cases molecular oxygen can catalyze o-quinone
formation, so that these electrophilic/redox-active quinones can cause damage
within cells by alkylation and/or oxidation of cellular proteins and DNA in many
tissues. It appears that the endogenous estrogen quinones primarily form unstable
N3-adenine or N7-guanine DNA adducts, ultimately resulting in mutagenic apurinic
sites. In contrast, equine estrogen quinones, formed from estrogens present in
popular hormone replacement therapy prescriptions, generate a variety of DNA
lesions, including bulky stable adducts, apurinic sites, DNA strand cleavage, and
oxidation of DNA bases. DNA damage induced by these equine quinones is
significantly increased in cells containing ERs, leading us to hypothesize a
mechanism involving ER binding/alkylation by the catchol/quinone, resulting in a
"Trojan horse". The "Trojan horse" carries the highly redox-active catechol to
estrogen -sensitive genes, where high amounts of reactive oxygen species are
generated, causing selective DNA damage. Our data further suggest that other key
protein targets for estrogen o-quinones could be redox-sensitive enzymes (i.e,
GST P1-1, QR). These proteins are involved in stress response cascades that are
known to contribute to the regulation of cell proliferation and apoptosis.
Finally, it has been shown that catechol estrogens can transform breast
epithelial cells into a tumorigenic phenotype and that these transformed cells
had differential gene expression of several genes involved in oxidative stress.
Given the direct link between excessive exposure to estrogens, metabolism of
estrogens, and increased risk of breast cancer, it is crucial that factors that
affect the formation, reactivity, and cellular targets of estrogen quinoids be
thoroughly explored.
PMID- 18052107
TI - Oxidative stress and neurotoxicity.
AB - There is increasing awareness of the ubiquitous role of oxidative stress in
neurodegenerative disease states. A continuing challenge is to be able to
distinguish between oxidative changes that occur early in the disease from those
that are secondary manifestations of neuronal degeneration. This perspective
highlights the role of oxidative stress in Alzheimer's, Parkinson's, and
Huntington's diseases, amyotrophic lateral sclerosis, and multiple sclerosis,
neurodegenerative and neuroinflammatory disorders where there is evidence for a
primary contribution of oxidative stress in neuronal death, as opposed to other
diseases where oxidative stress more likely plays a secondary or by-stander role.
We begin with a brief review of the biochemistry of oxidative stress as it
relates to mechanisms that lead to cell death, and why the central nervous system
is particularly susceptible to such mechanisms. Following a review of oxidative
stress involvement in individual disease states, some conclusions are provided as
to what further research should hope to accomplish in the field.
PMID- 18052108
TI - 15-Deoxy-Delta(12,14)-prostaglandin J2: an electrophilic trigger of cellular
responses.
AB - Electrophilic molecules are endogenously generated and are causally involved in
many pathophysiological effects. Prostaglandin D (20 (PGD (2)), a major
cyclooxygenase product in a variety of tissues, readily undergoes dehydration to
yield the cyclopentenone-type PGs of the J (2)-series such as 15-deoxy-Delta
(12,14)-PGJ (2) (15d-PGJ (2)). 15d-PGJ (2) is an electrophile, which can
covalently react via the Michael addition reaction with nucleophiles, such as the
free sulfhydryls of glutathione and cysteine residues in cellular proteins that
play an important role in the control of the redox cell-signaling pathways.
Covalent binding of 15d-PGJ (2) to cellular proteins may be one of the mechanisms
by which 15d-PGJ (2) induces a cellular response involved in most of the
pathophysiological effects associated with inflammation. In the present
perspective, we provide a comprehensive summary of 15d-PGJ (2) as an
electrophilic mediator of cellular responses.
PMID- 18052106
TI - Protein damage by reactive electrophiles: targets and consequences.
AB - It has been 60 years since the Millers first described the covalent binding of
carcinogens to tissue proteins. Protein covalent binding was gradually
overshadowed by the emergence of DNA adduct formation as the dominant paradigm in
chemical carcinogenesis but re-emerged in the early 1970s as a critical mechanism
of drug and chemical toxicity. Technology limitations hampered the
characterization of protein adducts until the emergence of mass spectrometry
based proteomics in the late 1990s. The time since then has seen rapid progress
in the characterization of the protein targets of electrophiles and the
consequences of protein damage. Recent integration of novel affinity chemistries
for electrophile probes, shotgun proteomics methods, and systems modeling tools
has led to the identification of hundreds of protein targets of electrophiles in
mammalian systems. The technology now exists to map the targets of damage to
critical components of signaling pathways and metabolic networks and to
understand mechanisms of damage at a systems level. The implementation of
sensitive, specific analyses for protein adducts from both xenobiotic-derived and
endogenous electrophiles offers a means to link protein damage to clinically
relevant health effects of both chemical exposures and disease processes.
PMID- 18052110
TI - Mechanism-based inactivation of human cytochromes p450s: experimental
characterization, reactive intermediates, and clinical implications.
AB - The P450 type cytochromes are responsible for the metabolism of a wide variety of
xenobiotics and endogenous compounds. Although P450-catalyzed reactions are
generally thought to lead to detoxication of xenobiotics, the reactions can also
produce reactive intermediates that can react with cellular macromolecules
leading to toxicity or that can react with the P450s that form them leading to
irreversible (i.e., mechanism-based) inactivation. This perspective describes the
fundamentals of mechanism-based inactivation as it pertains to P450 enzymes. The
experimental approaches used to characterize mechanism-based inactivators are
discussed, and the criteria required for a compound to be classified as a
mechanism-based inactivator are outlined. The kinetic scheme for mechanism-based
inactivation and the calculation of the relevant kinetic constants that describe
a particular inactivation event are presented. The structural aspects and
important functional groups of several classes of molecules that have been found
to impart mechanism-based inactivation upon metabolism by P450s such as
acetylenes, thiol-containing compounds that include isothiocyanates,
thiazolidinediones, and thiophenes, arylamines, quinones, furanocoumarins, and
cyclic tertiary amines are described. Emphasis throughout this perspective is
placed on more recent findings with human P450s where the site of modification,
whether it be the apoprotein or the heme moiety, and, at least in part, the
identity of the reactive intermediate responsible for the loss in P450 activity
are known or inferred. Recent advances in trapping procedures as well as new
methods for identification of reactive intermediates are presented. A variety of
clinically important drugs that act as mechanism-based inactivators of P450s are
discussed. The irreversible inactivation of human P450s by these drugs has the
potential for causing serious drug-drug interactions that may have severe
toxicological effects. The clinical significance of inactivating human P450s for
improving drug efficacy as well as drug safety is discussed along with the
potential for exploiting mechanism-based inactivators of P450s for therapeutic
benefits.
PMID- 18052109
TI - DNA adduct structure-function relationships: comparing solution with polymerase
structures.
AB - It has now been nearly two decades since the first solution structures of DNA
duplexes covalently damaged by metabolically activated polycyclic aromatic
hydrocarbons and amines were determined by NMR. Dozens of such high-resolution
structures are now available, and some broad structural themes have been
uncovered. It has been hypothesized that the solution structures are relevant to
the biochemical processing of the adducts. The structural features of the adducts
are considered to determine their mutational properties in DNA polymerases and
their repair susceptibilities. In recent years, a number of crystal structures of
DNA adducts of interest to our work have been determined in DNA polymerases.
Accordingly, it is now timely to consider how NMR solution structures relate to
structures within DNA polymerases. The NMR solution structural themes for
polycyclic aromatic adducts are often observed in polymerase crystal structures.
While the polymerase interactions can on occasion override the solution
preferences, intrinsic adduct conformations favored in solution are often
manifested within polymerases and likely play a significant role in lesion
processing.
PMID- 18052111
TI - Metabolism and toxicity of drugs. Two decades of progress in industrial drug
metabolism.
AB - The science of drug metabolism and pharmacokinetics (DMPK) has developed
significantly over the past 20 years, and its functional role in today's
pharmaceutical industry has matured to the point where DMPK has become an
indispensable discipline in support of drug discovery and development. While
contributions to the lead optimization phase of discovery efforts have been
particularly noteworthy in helping to select only the best drug candidates for
entry into development, it should be recognized that the scope of DMPK spans the
continuum of discovery through clinical evaluation and even into the post
marketing phase; as such, the breadth of DMPK's involvement is almost unique in
contemporary pharmaceutical research. This perspective summarizes notable
advances in the field, many of which have been made possible by technological
developments in areas such as molecular biology, genetics, and bioanalytical
chemistry, and highlights the critical nature of key partnerships between Drug
Metabolism, Medicinal Chemistry, and Safety Assessment groups in attempting to
advance drug candidates with a low potential for causing adverse events in
humans. Finally, some speculative predictions are made of the future role of DMPK
in pharmaceutical research, where current advances in our mechanistic
understanding of the molecular processes that control the absorption,
disposition, metabolism, elimination, and toxicity of drugs and their
biotransformation products will combine to further enhance the impact of DMPK in
drug discovery and development.
PMID- 18052112
TI - The chemical toxicology of 2-deoxyribose oxidation in DNA.
AB - Damage to DNA and RNA caused by oxidative mechanisms has been well-studied for
its potential role in the development of human disease. Only recently, though,
have we begun to appreciate that oxidation of the 2-deoxyribose moiety in DNA is
also a determinant of the genetic toxicology of oxidative stress and
inflammation, with involvement in more than just "strand breaks", such as complex
DNA lesions, protein-DNA cross-links, and protein and DNA adducts. As an update
to a 1992 review of 2'-deoxyribose oxidation by bleomycin and the enediynes
published in Chemical Research in Toxicology [Dedon, P. C., and Goldberg, I. H.
(1992) Chem. Res. Toxicol. 5, 311-332], this review focuses on recent
developments in the chemical biology, bioanalytical chemistry, and genetic
toxicology of 2-deoxyribose oxidation products in DNA under biologically relevant
conditions.
PMID- 18052113
TI - Identifying the structural requirements for chromosomal aberration by
incorporating molecular flexibility and metabolic activation of chemicals.
AB - Modeling the potential of chemicals to induce chromosomal damage has been
hampered by the diversity of mechanisms which condition this biological effect.
The direct binding of a chemical to DNA is one of the underlying mechanisms that
is also responsible for bacterial mutagenicity. Disturbance of DNA synthesis due
to inhibition of topoisomerases and interaction of chemicals with nuclear
proteins associated with DNA (e.g., histone proteins) were identified as
additional mechanisms leading to chromosomal aberrations (CA). A comparative
analysis of in vitro genotoxic data for a large number of chemicals revealed that
more than 80% of chemicals that elicit bacterial mutagenicity (as indicated by
the Ames test) also induce CA; alternatively, only 60% of chemicals that induce
CA have been found to be active in the Ames test. In agreement with this
relationship, a battery of models is developed for modeling CA. It combines the
Ames model for bacterial mutagenicity, which has already been derived and
integrated into the Optimized Approach Based on Structural Indices Set (OASIS)
tissue metabolic simulator (TIMES) platform, and a newly derived model accounting
for additional mechanisms leading to CA. Both models are based on the classical
concept of reactive alerts. Some of the specified alerts interact directly with
DNA or nuclear proteins, whereas others are applied in a combination of two- or
three-dimensional quantitative structure-activity relationship models assessing
the degree of activation of the alerts from the rest of the molecules. The use of
each of the alerts has been justified by a mechanistic interpretation of the
interaction. In combination with a rat liver S9 metabolism simulator, the model
explained the CA induced by metabolically activated chemicals that do not elicit
activity in the parent form. The model can be applied in two ways: with and
without metabolic activation of chemicals.
PMID- 18052114
TI - Advances in the enzymatic reduction of ketones.
AB - Historically, biocatalytic ketone reductions involved the use of Baker's yeast.
Within the last five years, a significant and growing number of isolated
ketoreductases have become available that have rendered yeast-based reductions
obsolete. The broad substrate range and exquisite selectivities of these enzymes
repeatedly outperform other ketone reduction chemistries, making biocatalysis the
general method of choice for ketone reductions. Presented here is a summary of
our understanding of the capabilities and limitations of these enzymes.
PMID- 18052115
TI - Modified "4 + 1" mixed ligand technetium-labeled fatty acids for myocardial
imaging: evaluation of myocardial uptake and biodistribution.
AB - Our group previously synthesized 99m Tc-labeled fatty acids suitable for
myocardial metabolism and flow imaging. In this set of experiments, 29 new
analogues were synthesized according to the "4 + 1" mixed ligand approach with
some specific differences. Conventional "4 + 1" 99m Tc-fatty acids are built in
the sequence: Tc-chelate, alkyl chain, and carboxylic group. We developed
compounds following a new design with the sequence: carboxylic group, alkyl
chain, Tc-chelate, and lipophilic tail. Therefore, the 99m Tc-chelate was
transferred to a more central position of the compound, aiming toward an improved
myocardial profile and an accelerated liver clearance. In this context, several
functional groups incorporated in the lipophilic tail section were tested to
evaluate their influence on the compound's character. In addition to
biodistribution studies in vivo, the myocardial first-pass extraction of the
compounds was tested in an isolated Langendorff rat heart model. A satisfactory
myocardial uptake of up to 20% of the injected dose (% ID) in the perfused heart
and a fast liver clearance in vivo with only 0.29% ID/g at 60 min postinjection
demonstrate that the induced molecular modifications affect the kinetics of 99m
Tc-radiolabeled fatty acid compounds favorably. From the data set, rules for
estimating the biodistribution of fatty acids tracers are deduced.
PMID- 18052116
TI - Amine-guanidine switch: a promising approach to improve DNA binding and
antiproliferative activities.
AB - A series of polyaromatic guanidino derivatives was synthesized and evaluated for
growth inhibitory properties in several human carcinoma cell lines. The
properties of these guanidino compounds were compared to those of their
corresponding synthetic amino precursors. The size of the polyaromatic ring
system as well as the length of the tether attached to the ring had a direct
impact on the observed antiproliferative profiles, compound 14 having the
broadest spectrum of activity. As both series intercalate DNA, guanidine
derivatives showed a remarkable affinity for DNA and the guanidinium group
appeared to be essential, yet not sufficient for caspase-3/7 activation. Compound
14 also showed significant in vivo activity against breast cancer cell xenografts
in NOG/SCID mice. These results suggest that the electronic nature of chain
tethering an intercalator not only influences the DNA-binding process but also
controls the antitumoral activity of the whole compound.
PMID- 18052117
TI - Design and synthesis of human immunodeficiency virus entry inhibitors:
sulfonamide as an isostere for the alpha-ketoamide group.
AB - The crystal structures of many tertiary alpha-ketoamides reveal an orthogonal
arrangement of the two carbonyl groups. Based on the hypothesis that the alpha
ketoamide HIV attachment inhibitor BMS 806 (formally BMS378806, 26) might bind to
its gp120 target via a similar conformation, we designed and synthesized a series
of analogs in which the ketoamide group is replaced by an isosteric sulfonamide
group. The most potent of these analogs, 14i, demonstrated antiviral potency
comparable to 26 in the M33 pseudotyped antiviral assay. Flexible overlay
calculations of a ketoamide inhibitor with a sulfonamide inhibitor revealed a
single conformation of each that gave significantly better overlap of key
pharmacophore features than other conformations and thus suggest a possible
binding conformation for each class.
PMID- 18052118
TI - Posterior error probabilities and false discovery rates: two sides of the same
coin.
AB - A variety of methods have been described in the literature for assigning
statistical significance to peptides identified via tandem mass spectrometry.
Here, we explain how two types of scores, the q-value and the posterior error
probability, are related and complementary to one another.
PMID- 18052119
TI - Integrated analysis of the cerebrospinal fluid peptidome and proteome.
AB - Cerebrospinal fluid (CSF) is the only body fluid in direct contact with the brain
and thus is a potential source of biomarkers. Furthermore, CSF serves as a medium
of endocrine signaling and contains a multitude of regulatory peptides. A
combined study of the peptidome and proteome of CSF or any other body fluid has
not been reported previously. We report confident identification in CSF of 563
peptide products derived from 91 precursor proteins as well as a high confidence
CSF proteome of 798 proteins. For the CSF peptidome, we use high accuracy mass
spectrometry (MS) for MS and MS/MS modes, allowing unambiguous identification of
neuropeptides. Combination of the peptidome and proteome data suggests that
enzymatic processing of membrane proteins causes release of their extracellular
parts into CSF. The CSF proteome has only partial overlap with the plasma
proteome, thus it is produced locally rather than deriving from plasma. Our work
offers insights into CSF composition and origin.
PMID- 18052121
TI - Metabolite profiling of two low phytic acid (lpa) rice mutants.
AB - Two low phytic acid (lpa) rice mutant lines, Os-lpa-XS110-1 and Os-lpa-XS110-2,
were grown together with their parent wild-type variety Xiushui 110 in four field
trials. HPLC analysis of inositol phosphates in the seeds produced demonstrated
that compared to the wild-type, the reduction in phytic acid content in Os-lpa
XS110-1 (-46%) was more pronounced than that in Os-lpa-XS110-2 (-23%). Lower
inositol phosphates (InsP 3, InsP 4, InsP 5) were not detected in the mutants.
The lpa mutants and the wild-type rice were subjected to comparative metabolite
profiling by capillary gas chromatography. On average, 34% (Os-lpa-XS110-1) and
42% (Os-lpa-XS110-2) of the detected peaks were statistically significantly
different between wild-type and mutants. However, only a few of these differences
could be consistently observed for all field trials. Identification and
quantification of the consistently different metabolites revealed that contents
of myo-inositol and raffinose were increased in Os-lpa-XS110-1 but decreased in
Os-lpa-XS110-2 compared to the wild-type. In addition, Os-lpa-XS110-1 exhibited
increased levels of galactose and galactinol. Consideration of these metabolic
changes in light of the routes involved in the biosynthesis of phytic acid
indicated a disturbance in the early biosynthetic pathway of phytic acid in Os
lpa-XS110-2 (similar to the lpa-1 type mutation in maize) and a mutation event
affecting phosphorylation of myo-inositol in Os-lpa-XS110-1 (similar to the lpa-3
type mutation).
PMID- 18052120
TI - A data-mining scheme for identifying peptide structural motifs responsible for
different MS/MS fragmentation intensity patterns.
AB - Although tandem mass spectrometry (MS/MS) has become an integral part of
proteomics, intensity patterns in MS/MS spectra are rarely weighted heavily in
most widely used algorithms because they are not yet fully understood. Here a
knowledge mining approach is demonstrated to discover fragmentation intensity
patterns and elucidate the chemical factors behind such patterns. Fragmentation
intensity information from 28 330 ion trap peptide MS/MS spectra of different
charge states and sequences went through unsupervised clustering using a
penalized K-means algorithm. Without any prior chemistry assumptions, four
clusters with distinctive fragmentation patterns were obtained. A decision tree
was generated to investigate peptide sequence motif and charge state status that
caused these fragmentation patterns. This data-mining scheme is generally
applicable for any large data sets. It bypasses the common prior knowledge
constraints and reports on the overall peptide fragmentation behavior. It
improves the understanding of gas-phase peptide dissociation and provides a
foundation for new or improved protein identification algorithms.
PMID- 18052122
TI - New approach for characterization of gelatin biopolymer films using proton
behavior determined by low field 1H NMR spectrometry.
AB - The behavior of protons in biopolymer films (BFs) formed with gelatin, water, and
glycerol was investigated at various relative humidities (RHs) and concentrations
of glycerol using a low field 1H NMR spectrometer. At a RH of approximately 0%,
the distributed spin-spin relaxation times (T2) of protons in BFs showed two
components: a rapidly relaxing proton with the shortest T2 derived from protons
in the rigid backbone of the gelatin polymer such as CH1-, CH2-, and CH3-, and a
slowly relaxing component with longer T2 from protons of the functional groups in
amino acid residues in gelatin such as -OH, -COOH, and -NH3. These two components
are referred to as nonexchangeable (T2N) and exchangeable protons (T2E),
respectively, indicating the different mobility of the protons. The T2E increased
as RH increased indicating the increase in relative mobility of protons due to
the larger free volume in the BF matrix. Above a RH of 33%, the slowest relaxing
component was found in all BFs and referred to as hydration-water protons (T2W)
with the highest relative mobility of all protons in the films. It suggests that
the free volume in BFs can be formed above a RH of 33% in the absence of
glycerol. The behaviors of T2N, T2E, and T2W reveal the formation of free volume
in the BF matrix associated with the presence of plasticizers (water and
glycerol). The T2 behavior in BFs is consistent with the behavior of spin-lattice
relaxation (T1). Our result is the first attempt to characterize using low field
1H NMR technology how all protons in a film matrix behave and to develop
correlations between proton mobility and free volume in protein-based BFs
plasticized with water and glycerol.
PMID- 18052123
TI - Synthesis and fungicidal activity of macrolactams and macrolactones with an oxime
ether side chain.
AB - Three series of novel macrolactams and macrolactones--12-alkoxyimino
tetradecanlactam, 12-alkoxyiminopentadecanlactam, and 12-alkoxyiminodecanlactone
derivatives (7A, 7B, and 7C)--were synthesized from corresponding 12
oxomacrolactams and 12-oxomacrolactone. Their structures were confirmed by 1H NMR
and elemental analysis. The Z and E isomers of 7A and 7B were separated, and
their configurations were determined by 1H NMR. These compounds showed fair to
excellent fungicidal activities against Rhizoctonia solani Kuhn. It is
interesting that the Z and E isomers of most of the compounds have quite
different fungicidal activities. The fact that the compounds have a gradual
increase of fungicidal activity in the order of 7A, 7C, and 7B indicated that the
macrocyclic derivatives with a hydrogen-bonding acceptor (=N-O-) and a hydrogen
bonding donor (-CONH-) on the ring, and a three methylenes distance (CH2CH2CH2)
between these two functional groups, exhibited the best fungicidal activity. The
bioassay also showed that 7B not only has good fungicidal activity but also may
have a broad spectrum of fungicidal activities.
PMID- 18052124
TI - Cholesterol lowering mechanism of soybean protein hydrolysate.
AB - Numerous attempts have been made to find the mechanism and component of the
cholesterol lowering activity of soybean. In this study, it was proved that the
peptides in soybean protein hydrolysate (SPH) made by certain proteases have a
hypocholesterolemic effect. Among the mechanisms suggested, that is, blockage of
bile acid and/or cholesterol absorption, inhibition of cholesterol synthesis, and
stimulation of low-density lipoprotein receptor (LDL-R) transcription, SPH
appeared to stimulate LDL-R transcription. When Hep T9A4 cells were incubated
with soy protein hydrolysates by using the proteases from Bacillus
amyloliquefaciens FSE-68, LDL-R transcription was strongly stimulated, but the
other mechanisms were not affected. Among the six types of SPH, F1-15, hydrolyzed
with the neutral protease to a degree of hydrolysis (DH) of 15%, showed the
highest LDL-R transcription. The fractions of molecular weight of 200 and 3000 Da
showed LDL-R transcription stimulating activity. The bioactivity is due to
soybean peptides because the ethanol extract of soybean protein which contains
isoflavones does not stimulate LDL-R transcription. In conclusion, dietary
upregulation of LDL-R transcription by soybean may be consequent to an enhanced
catabolism or a reduced synthesis of intracellular cholesterol. Therefore, we
suggest that soy peptides can effectively stimulate LDL-R transcription in the
human liver cell line and reduce blood cholesterol level.
PMID- 18052125
TI - Partial shading of Cabernet Sauvignon and Shiraz vines altered wine color and
mouthfeel attributes, but increased exposure had little impact.
AB - Few studies have investigated the impact of vine shading on the sensory
attributes of the resultant wine. This study examines the effects of canopy
exposure levels on phenolic composition plus aroma, flavor, and mouthfeel aspects
in wine. Wines were made from Cabernet Sauvignon and Shiraz grapes (Vitis
vinifera L.) subjected to different levels of canopy exposure in a commercial
vineyard in the Sunraysia region, Victoria, Australia. Canopy exposure treatments
included control (standard vineyard practice), exposed (achieved with a foliage
wire 600 mm above the top cordon), highly exposed (using a foliage wire with leaf
plucking in the fruit zone), and shaded treatment (using 70% shade-cloth).
Spectral and descriptive analyses showed that levels of anthocyanins, other
phenolics, and perceived astringency were lower in wines made from shaded fruit;
however, the reverse was generally not observed in wines of exposed and highly
exposed fruit. Descriptive analysis also showed wines from the shaded fruit were
different from other treatments for a number of flavor and aroma characters.
These findings have implications for vineyard management practices.
PMID- 18052126
TI - Proline and processing of spider silks.
AB - Major ampullate (MAA) silks from a variety of spider species were collected by
artificial silking that adjusted the samples to have similar breaking strains.
Those silks are highly comparable in post-yield mechanical properties, but their
supercontraction behaviors and initial moduli vary in large ranges and both
correlate with the content of one amino acid, proline. These relationships, in
combination with protein sequence data, support the hypothesis that the proline
related motif, that is, GPGXX, may play a key role in silk. This also explains
the interspecific variability of spider dragline silk. Moreover, MAA silks from
three representative species were prepared in a range of processing conditions
and their mechanical properties were compared. Our results indicate how chemical
compositions, coupled with processing conditions, shape the mechanical properties
of the spider silk.
PMID- 18052127
TI - The shape and size distribution of crystalline nanoparticles prepared by acid
hydrolysis of native cellulose.
AB - The shape and size distribution of crystalline nanoparticles resulting from the
sulfuric acid hydrolysis of cellulose from cotton, Avicel, and tunicate were
investigated using transmission electron microscopy (TEM) and atomic force
microscopy (AFM) as well as small- and wide-angle X-ray scattering (SAXS and
WAXS). Images of negatively stained and cryo-TEM specimens showed that the
majority of cellulose particles were flat objects constituted by elementary
crystallites whose lateral adhesion was resistant against hydrolysis and
sonication treatments. Moreover, tunicin whiskers were described as twisted
ribbons with an estimated pitch of 2.4-3.2 microm. Length and width distributions
of all samples were generally well described by log-normal functions, with the
exception of tunicin, which had less lateral aggregation. AFM observation
confirmed that the thickness of the nanocrystals was almost constant for a given
origin and corresponded to the crystallite size measured from peak broadening in
WAXS spectra. Experimental SAXS profiles were numerically simulated, combining
the dimensions and size distribution functions determined by the various
techniques.
PMID- 18052128
TI - Collagen-DNA complex.
AB - Previously presented models of collagen-DNA (7) and collagen-siRNA (8) complexes
point to a general description of delivery systems and indicate to what specific
topology that system should be equipped with to effectively deliver the gene into
the living body via in vivo and in vitro injection. We focused our interest on
the nature of collagen-DNA complex structure and the molecular and environmental
determinants of the self-association processes of collagen with the presence of
DNA. In this aspect, the self-association of collagen-DNA complex offers an
opportunity to characterize a unique system, which may be related to the general
mechanisms of self-association of fiber macromolecules by water bridges. For
characterizing the collagen-DNA interaction, we used FTIR-ATR, NMR, and AFM
experiments done on a separate collagen film, DNA film, and on the peptide-DNA
aqueous solution. We demonstrate that collagen-DNA spontaneously forms self
assembling complex systems in aqueous solution. Such self-association of the
complex could be induced by electrostatic interactions between neutral collagen
cylinders, having strong dipole moment, and negatively charged DNA cylinders. A
final complex could be formed by hydrogen bonds between specified donor groups of
collagen and phosphate acceptor groups of DNA. According to FTIR measurements, a
collagen triple helix should not change global conformation during collagen-DNA
complex formation.
PMID- 18052129
TI - Sesquiterpene lactones from the roots of Ferula varia and their cytotoxic
activity.
AB - The ethyl acetate-soluble fraction from a MeOH extract of the roots of Ferula
varia gave six new sesquiterpene lactones (1-6) and five known sesquiterpenes (7
11). Their structures were established on the basis of spectroscopic evidence.
The cytotoxic activities of 1-11 were evaluated against selected human cancer
cell lines. Compound 4 showed significant selective cytotoxicity against
multidrug-resistant cancer cells (KB-C2). The cytotoxicities of compounds 1, 3,
5, 8, and 11 against KB-C2 cells were enhanced in the presence of nontoxic
concentrations of colchicine.
PMID- 18052130
TI - Allergic contact dermatitis--formation, structural requirements, and reactivity
of skin sensitizers.
AB - Contact allergy is caused by a wide range of chemicals after skin contact. Its
clinical manifestation, allergic contact dermatitis (ACD), is developed upon
repeated contact with the allergen. This perspective focuses on two areas that
have yielded new useful information during the last 20 years: (i) structure
activity relationship (SAR) studies of contact allergy based on the concept of
hapten-protein binding and (ii) mechanistic investigations regarding activation
of nonsensitizing compounds to contact allergens by air oxidation or skin
metabolism. The second area is more thoroughly reviewed since the full picture
has previously not been published. Prediction of the sensitizing capacity of a
chemical is important to avoid outbreaks of ACD in the population. Much research
has been devoted to the development of in vitro and in silico predictive testing
methods. Today, no method exists that is sensitive enough to detect weak
allergens and that is robust enough to be used for routine screening. To cause
sensitization, a chemical must bind to macromolecules (proteins) in the skin.
Expert systems containing information about the relationship between the chemical
structure and the ability of chemicals to haptenate proteins are available.
However, few designed SAR studies based on mechanistic investigations of
prohaptens have been published. Many compounds are not allergenic themselves but
are activated in the skin (e.g., metabolically) or before skin contact (e.g., via
air oxidation) to form skin sensitizers. Thus, more basic research is needed on
the chemical reactions involved in the antigen formation and the immunological
mechanisms. The clinical importance of air oxidation to activate nonallergenic
compounds has been demonstrated. Oxidized fragrance terpenes, in contrast to the
pure terpenes, gave positive patch test reactions in consecutive dermatitis
patients as frequently as the most common standard allergens. This shows the
importance of using compounds to which people are exposed when screening for ACD
in dermatology clinics.
PMID- 18052131
TI - Layer-by-layer coated digitally encoded microcarriers for quantification of
proteins in serum and plasma.
AB - The "layer-by-layer" (LbL) technology has been widely investigated for the
coating of flat substrates and capsules with polyelectrolytes. In this study, LbL
polyelectrolyte coatings applied at the surface of digitally encoded
microcarriers were evaluated for the quantitative, sensitive, and simultaneous
detection of proteins in complex biological samples like serum, plasma, and
blood. LbL coated microcarriers were therefore coupled to capture antibodies,
which were used as capture agents for the detection of tumor necrosis factor (TNF
alpha), P24, and follicle stimulating hormone (FSH). It was found that the LbL
coatings did not disassemble upon incubating the microcarriers in serum and
plasma. Also, nonspecific binding of target analytes to the LbL coating was not
observed. We showed that the LbL coated microcarriers can reproducibly detect TNF
alpha, P24, and FSH down to the picogram per milliliter level, not only in buffer
but also in serum and plasma samples. Microcarrier-to-microcarrier intratube
variations were less then 30%, and interassay variations less than 8% were
observed. This paper also shows evidence that the LbL coated digitally encoded
microcarriers are ideally suited for assaying proteins in "whole" blood in
microfluidic chips, which are of high interest for "point-of-care" diagnostics.
PMID- 18052133
TI - Gas-phase electronic spectra of two substituted benzene cations: phenylacetylene+
and 4-fluorostyrene+.
AB - The visible spectra of phenylacetylene+ and 4-fluorostyrene+ have been measured
by laser photodissociation spectroscopy. The observed vibronic systems were
assigned to the B2A'' <-- X2A'' and C2B1 <-- X2B1 electronic transition in the 4
fluorostyrene+ and phenylacetylene+ cations, respectively. Two methods were
employed and compared: a resonant multiphoton dissociation scheme of the bare
cations and a resonant photodissociation technique applied to the chromophore+
argon n=1,2 ionic complexes. The latter approach allowed the intrinsic profile to
be resolved, revealing different intramolecular dynamical behavior. Their
electronic relaxation has been rationalized in terms of an apparent energy gap
law for the benzene derivative cations.
PMID- 18052132
TI - Molecular interactions in lipophilic environments studied by electrochemistry at
interfaces between immiscible electrolyte solutions.
AB - The description and understanding of absorption and distribution of potential new
drug compounds in the organism is of paramount importance for the successful
development of new drugs. However, the currently used physical chemical
parameters such as oil-water distribution coefficients and ionization constants
frequently fall short when it comes to a detailed description of the highly
heterogeneous environments of both lipophilic and hydrophilic characters through
which the drug compound passes. In this work, a new procedure based on
electrochemistry at the interface between immiscible electrolyte solutions for
addressing drug compound-ligand interactions in lipophilic environments as well
as nonspecific ligand effects on distribution behavior has been developed. An
attractive feature of the method is that it can simultaneously provide data for
oil-water partition coefficients and ionization constants. The new procedure is
demonstrated using five drug compounds with different physical chemical
parameters and cholesterol as the oil-phase ligand. The use of ligand shift ion
partition diagrams in the data presentation allows a quick visualization and
comparison of a series of related drug compounds.
PMID- 18052134
TI - Ionized state of hydroperoxy radical-water hydrogen-bonded complex: (HO2-H2O)+.
AB - Ab initio molecular orbital calculations have been employed to characterize the
structure and bonding of the (HO2-H2O)+ radical cation system. Geometry
optimization of this system was carried out using unrestricted density functional
theory in conjunction with the BHHLYP functional and 6-311++G(2df,2p) as well as
6-311++G(3df,3p) basis sets, the second-order Moller-Plesset perturbation (MP2)
method with the 6-311++G(3df,3p) basis set, and the couple cluster (CCSD) method
with the aug-cc-pVTZ basis set. The effect of spin multiplicity on the stability
of the (HO2-H2O)+ system has been studied and also compared with that of oxygen.
The calculated results suggest a proton-transferred hydrogen bond between HO2 and
H2O in H3O3+ wherein a proton is partially transferred to H2O producing the
O2...H3O+ structure. The basis set superposition error and zero-point energy
corrected results indicate that the H3O3+ system is energetically more stable in
the triplet state; however, the singlet state of H3O3+ is more stable with
respect to its dissociation into H3O+ and singlet O2. Since the resulting proton
transferred hydrogen-bonded complex (O2...H3O+) consists of weakly bound
molecular oxygen, it might have important implications in various chemical
processes and aquatic life systems.
PMID- 18052136
TI - Molecular simulation of the hydration of ethene to ethanol using ab initio
potentials and free energy curves.
AB - Molecular dynamics simulations of aqueous solutions at infinite dilution of the
reaction of water with ethene: H2O + CH2CH2 --> CH3CH2OH were performed using
Lennard-Jones 12-6-1 potentials to describe the solute-solvent interactions, and
TIP3P to describe the water-water interactions. The Morokuma decomposition scheme
of ab initio interaction energies at the SCF level and the dispersion component
at the MP2 level were used to reproduce the molecular parameters of the solute
water interaction potentials. The results show that the functions that use the EX
PL-DIS-ES interaction model to describe the solvation of the reactant, transition
state, and product systems lead to good values of the reaction (Delta G) and
acceptable values of the activation (Delta G#) free energy as compared with those
from using AMBER-derived parameters, using the available theoretical and
experimental data as referents.
PMID- 18052135
TI - Theoretical studies on hydrogen bonding, NMR chemical shifts and electron density
topography in alpha, beta and gamma-cyclodextrin conformers.
AB - Hydrogen-bonded interactions in alpha-, beta-, and gamma-CD conformers are
investigated from the molecular electron density topography and chemical shift in
the nuclear magnetic resonance (NMR) spectra calculated by using the Gauge
Invariant Atomic Orbital (GIAO) method within the framework of density functional
theory. For the lowest-energy CD conformers in the gas phase, the O3-H...O2'
hydrogen-bonding interactions are present. Calculated 1H NMR chemical shifts
(delta H) correlate well with the hydrogen-bond distance as well as electron
density at the bond critical point in the molecular electron density (MED)
topography. The conformers of beta- and gamma-CD comprised of relatively strong
secondary hydroxyl interactions are stabilized by solvation from polar solvents.
PMID- 18052137
TI - Collisions of highly vibrationally excited pyrazine (E vib = 37,900 cm(-1)) with
HOD: state-resolved probing of strong and weak collisions.
AB - This work presents state-resolved measurements of weak and strong collisions
between HOD and highly vibrationally excited pyrazine (Evib = 37,900 cm(-1)).
Transient IR absorption line profiles of HOD(000) rotational states that are
populated in single collisions with pyrazine (Evib) are fit using double-Gaussian
functions to extract Doppler-broadened line widths and energy transfer rates for
appearance and depletion populations. We recently reported the use of this new
approach to determine collision rates for energy transfer (Havey, D. K.; Liu, Q.;
Li, Z. M.; Elioff, M.; Fang, M.; Neudel, J.; Mullin, A. S. J. Phys. Chem. A 2007,
111, 2458-2460). Here we present a full description of transient measurements of
weak collisions, along with rate constants and the full energy transfer
distribution function for the vibrational-to-rotation/translation (V-RT) pathway.
The low- and high-J populations of scattered HOD(000) are characterized by a
single rotational distribution with Trot = 430 +/- 50 K. The average
translational energy of the scattered HOD(000) increases modestly with rotational
energy suggesting an impulsive energy transfer mechanism. The energy gain
distribution P(Delta E) for HOD(000) shows that approximately 99% of collisions
have DeltaE values less than 3000 cm(-1). These data yield a lower limit to the
collision rate that is approximately 70% larger than the calculated Lennard-Jones
collision rate. These findings show that water is an efficient quencher due to a
large collisional energy transfer cross section and not as a result of a large
supercollision tail.
PMID- 18052138
TI - Mechanistic study on the enantiodifferentiating anti-Markovnikov photoaddition of
alcohols to 1,1-diphenyl-1-alkenes in near-critical and supercritical carbon
dioxide.
AB - Enantiodifferentiating anti-Markovnikov photoaddition of alcohol (methanol,
ethanol, 2-propanol, and tert-butanol) to aromatic alkene (1,1-diphenylpropene
and 1,1-diphenyl-1-butene), sensitized by optically active alkyl and saccharide
naphthalene(di)carboxylates, was investigated in supercritical carbon dioxide at
varying pressures to elucidate the effects of clustering on photosensitization
and enantiodifferentiation behavior, in particular on the product's enantiomeric
excess (ee). For all the alkene/alcohol/chiral sensitizer combinations examined,
a sudden change in the product's ee was consistently observed near the critical
density, which is attributable to the critical pressure dependence of clustering
around the intervening exciplex intermediate.
PMID- 18052139
TI - Crossed molecular beam study on the reaction of boron atoms, B(2Pj), with allene,
H2CCCH2(X1A1).
AB - The reaction of ground state boron atoms, 11B(2Pj), with allene, H2CCCH2(X1A1),
was studied under single collision conditions at a collision energy of 21.5 kJ
mol(-1) utilizing the crossed molecular beam technique; the experimental data
were combined with electronic structure calculations on the 11BC3H4 potential
energy surface. The chemical dynamics were found to be indirect and initiated by
an addition of the boron atom to the pi-electron density of the allene molecule
leading ultimately to a cyclic reaction intermediate. The latter underwent ring
opening to yield an acyclic intermediate H2CCBCH2. As derived from the center-of
mass functions, this structure was long-lived with respect to its rotational
period and decomposed via an atomic hydrogen loss through a tight exit transition
state to form the closed shell, C2v symmetric H-C is equivalent C-B=CH2 molecule.
A brief comparison of the product isomers formed in the reaction of boron atoms
with methylacetylene is also presented.
PMID- 18052140
TI - Probing the dependence of long-range, four-atom interactions on intermolecular
orientation. 1. Molecular hydrogen and iodine monochloride.
AB - The dependence of the long-range interactions between molecular hydrogen and
iodine monochloride on the geometry between the molecules is investigated. Laser
induced fluorescence and action spectroscopy experiments have identified multiple
conformers of the o,p-H2...I35,37Cl(X,v' '=0) van der Waals complexes. A
conformer with the hydrogen molecule localized at the iodine end of the
dihalogen, most likely with C2v symmetry, is significantly more stable than an
asymmetric conformer with the hydrogen localized in the well oriented
orthogonally to the I-Cl bond axis, D0' ' = 186.4(3) cm-1 versus 82.8(3) 1MeO-CO > 1H
CO. With systematic synthetic expansion of the five-membered chelate rings like
1R to six-membered chelate rings like 2R, the stability of the CO adduct
decreases, 1H-CO > 2pmea-CO > 2pmap-CO. The CO-binding properties of 3bqpa did
not follow trends observed for the other compounds, presumably because of its
bulkier ligand framework. Through solid- and solution-state analyses, we
concluded that the photolabile carbonyl species in solution possess a tridentate
coordination mode, forming strictly five-membered chelate rings to the copper ion
with one dangling arm of the tripodal ligand. Carbon monoxide reversibly
photodissociated from complexes 1Me2N-CO, 1MeO-CO, 1H-CO, and 3bqpa-CO in
coordinating (CH3CN) and weakly coordinating (THF) solvent but not from 2pmea-CO
and 2pmap-CO. Comparisons to O2-binding data available for these copper complexes
as well as to small molecule (O2, CO, NO) reactions with hemes and copper
proteins are discussed.
PMID- 18052160
TI - Methylrhenium trioxide revisited: mechanisms for nonredox oxygen insertion in an
M-CH3 bond.
AB - Methylrhenium trioxide (MTO) has the rare ability to stoichiometrically generate
methanol at room temperature with an external oxidant (H2O2) under basic
conditions. In order to use this transformation as a model for nonredox oxidative
C-O coupling, the mechanisms have been elucidated using density functional theory
(DFT). Our studies show several possible reaction pathways to form methanol, with
the lowest net barrier (DeltaH++) being 23.3 kcal mol-1. The rate-determining
step is a direct "Baeyer-Villiger" type concerted oxygen insertion into MTO,
forming methoxyrhenium trioxide. The key to the low-energy transition state is
the donation of electron density, first, from HOO(-) to the -CH3 group (making
CH3 more nucleophilic and HOO- more electrophilic) and, second, from the Re-C
bond to both the forming Re-O and breaking O-O bonds, simultaneously (thus
forming the Re-O bond as the Re-C bond is broken). In turn, the ability of MTO to
undergo these transfers can be traced to the electrophilic nature of the metal
center and to the absence of accessible d-orbitals. If accessible d-orbitals are
present, they would most likely donate the required electron density instead of
the M-CH3 moiety, and this bond would thus not be broken. It is possible that
other metal centers with similar qualities, such as PtIV or IrV, could be
competent for the same type of chemistry.
PMID- 18052161
TI - 13C-detection in RNA bases: revealing structure-chemical shift relationships.
AB - The chemical shifts of the unprotonated carbons in the proton-deficient
nucleobases of RNA are rarely reported, despite the valuable information that
they contain about base-pairing and base-stacking. We have developed 13C-detected
2D-experiments to identify the unprotonated 13C in the RNA bases and have
assigned all the base nuclei of uniformly 13C,15N-labeled HIV-2 TAR-RNA. The 13C
chemical shift distributions revealed perturbations correlated with the base
pairing and base-stacking properties of all four base-types. From this work, we
conclude that the information contained in the chemical shift perturbations
within the base rings can provide valuable restraint information for solving RNA
structures, especially in conformational averaged regions, where NOE-based
information is not available.
PMID- 18052162
TI - Supramolecular carbon nanotube-fullerene donor-acceptor hybrids for photoinduced
electron transfer.
AB - Photoinduced electron transfer in a self-assembled single-wall carbon nanotube
(SWNT)-fullerene(C60) hybrid with SWNT acting as an electron donor and fullerene
as an electron acceptor has been successfully demonstrated. Toward this, first,
SWNTs were noncovalently functionalized using alkyl ammonium functionalized
pyrene (Pyr-NH3+) to form SWNT/Pyr-NH3+ hybrids. The alkyl ammonium entity of
SWNT/Pyr-NH3+ hybrids was further utilized to complex with benzo-18-crown-6
functionalized fullerene, crown-C60, via ammonium-crown ether interactions to
yield SWNT/Pyr-NH3+/crown-C60 nanohybrids. The nanohybrids were isolated and
characterized by TEM, UV-visible-near IR, and electrochemical methods. Free
energy calculations suggested possibility of electron transfer from the carbon
nanotube to the singlet excited fullerene in the SWNT/Pyr-NH3+/crown-C60
nanohybrids. Accordingly, steady-state and time-resolved fluorescence studies
revealed efficient quenching of the singlet excited-state of C60 in the
nanohybrids. Further studies involving nanosecond transient absorption studies
confirmed electron transfer to be the quenching mechanism, in which the electron
transfer product, fullerene anion radical, was possible to spectrally
characterize. The rates of charge separation, kCS, and charge recombination, kCR,
were found to be 3.46 x 10(9) and 1.04 x 10(7) s-1, respectively. The calculated
lifetime of the radical ion-pair was found to be over 100 ns, suggesting charge
stabilization in the novel supramolecular nanohybrids. The present nanohybrids
were further utilized to reduce hexyl-viologen dication (HV2+) and a sacrificial
electron donor, 1-benzyl-1,4-dihydronicotinamide, in an electron-pooling
experiment, offering additional proof for the occurrence of photoinduced charge
separation and potential utilization of these materials in light-energy
harvesting applications.
PMID- 18052163
TI - Biomimetic aminoacylation of ribonucleotides and RNA with aminoacyl phosphate
esters and lanthanum salts.
AB - Aminoacylation of tRNA in cells involves activation of the amino acid as an
aminoacyl adenylate, a mixed anhydride with AMP, which reacts with tRNA. We have
now established that aminoacyl phosphate esters in the presence of lanthanide
ions in water will acylate hydroxyls at the 3'-terminus of RNA or a simple
nucleotide. By extension, this will permit synthetically aminoacylated tRNA to be
produced in a single-step biomimetic process. The reactions of Boc-4
fluorophenylalanyl ethyl phosphate were followed by HPLC separation, MS, and 19F
NMR analysis. In stoichiometric combination with lanthanum salts in aqueous
buffer, Boc-4-fluorophenylalanyl ethyl phosphate rapidly produces 2'- and 3'
monoesters of cytidine and cytidine monophosphate. Reaction of the reagent with
RNA in the presence of lanthanum and magnesium salts introduces a specifically
detectable signal into the RNA, which is evidence of formation of the aminoacyl
ester. When the same RNA is initially oxidized with periodate to convert the 3'
terminal vicinal diol to the cleaved dialdehyde, reaction with the aminoacyl
phosphate no longer occurs as evidenced by the lack of a signal in the 19F NMR
spectrum. The results are consistent with a requisite chelation mechanism in
which lanthanum serves as a template for both the aminoacyl phosphate and the 3'
terminal diol of RNA and nucleotides. The coordinated diol will then react
through specific base-catalyzed intramolecular addition of the alkoxide
nucleophile to the acyl group of the aminoacyl phosphate. Assessment of the
method with a single tRNA was also achieved using the fluorescent reagent N
dansyl-glycyl ethyl phosphate. Lanthanide-promoted aminoacylation at the 3'
terminus of tRNAPhe is detected by the introduction of fluorescence (detected
directly and by antibody-enhanced emission). This does not occur if the 3'
terminus is converted to the dialdehyde by reaction with periodate.
PMID- 18052164
TI - The reversal by sulfate of the denaturant activity of guanidinium.
AB - Guanidinium (Gdm+) chloride is a powerful protein denaturant, whereas the sulfate
dianion (SO42-) is a strong stabilizer of folded protein states; Gdm2SO4 is
effectively neutral in its effects on protein stability. While the "neutralizing"
effects of protein-stabilizing solutes on the activity of denaturants can be
broadly interpreted in terms of additive effects of the solutes, recent
experimental and simulation studies support a role for hetero-ion interactions in
the effect of sulfate on Gdm+ denaturation [Mason, P. E.; et al. J. Phys. Chem. B
2005, 109, 24185-24196]. Here we describe an experimental strategy for testing
this mechanism that involves spectroscopic analysis of the separate effects of
alkali metal sulfates (Na2SO4, Rb2SO4), GdmCl, and Gdm2SO4 on the folded
populations of several peptides chosen to dissect specific noncovalent
contributions to the conformational stability of proteins [alanine-based helical
peptides stabilized by hydrogen bonds, tryptophan zipper (trpzip) peptides
stabilized largely by cross-strand indole-indole interactions]. While the trpzip
peptides are highly sensitive to GdmCl denaturation, they are unaffected by NaCl,
Na2SO4, or Gdm2SO4, indicating that the reversal of the denaturant activity of
Gdm+ by sulfate in this case is not due to competing stabilizing (sulfate) and
destabilizing (Gdm+) interactions. Gdm2SO4 was found to retain considerable
denaturant activity against alanine-based alpha-helical peptides. The differences
in the effects of Gdm2SO4 on the two peptide types can be understood in terms of
the different mechanisms of Gdm+ denaturation of trpzip peptides and helical
peptides, respectively, and the specific nature of Gdm+ and SO42- ionic
"clustering" that differentially affects the ability of Gdm+ to make the
molecular interactions with the peptides that underlie its denaturant activity.
PMID- 18052165
TI - Scanning Kelvin probe imaging of the potential profiles in fixed and dynamic
planar LECs.
AB - We measure the potential profiles of both dynamic and fixed junction planar light
emitting electrochemical cells (LECs) using Scanning Kelvin Probe Microscopy
(SKPM) and compare the results against models of LEC operation. We find that, in
conventional dynamic junction LECs formed using lithium trifluoromethanesulfonate
(LiTf), poly(ethylene oxide) (PEO), and the soluble alkoxy-PPV derivative poly[2
methoxy-5-(3',7'-dimethyl-octyloxy)-p-phenylenevinylene (MDMO-PPV), the majority
(>90%) of the potential is dropped near the cathode with little potential drop
across either the film or the anode/polymer interface. In contrast, when
examining fixed junction LECs where the LiTf is replaced with [2
(methacryloyloxy)ethyl] trimethylammonium 2-(methacryloyloxy)ethane-sulfonate
(METMA/MES), the potential is dropped at both contacts during the initial poling.
The potential profile evolves over a period of approximately 60 min under bias to
achieve a final profile similar to that obtained in the LiTf systems. In addition
to elucidating the differences between conventional dynamic LECs and fixed LECs
incorporating cross-linkable ion pair monomers, the results on both systems
provide direct evidence for a primarily "p-type" LEC consistent with the emitting
junction near the cathode and relatively small electric fields across the bulk of
the device for these two material systems.
PMID- 18052166
TI - The beta effect of silicon in phenyl cations.
AB - Irradiation of chloroanisoles, phenols, and N,N-dimethylanilines bearing a
trimethylsilyl (TMS) group in the ortho position with respect to the chlorine
atom caused photoheterolysis of the Ar-Cl bond and formation of the corresponding
ortho-trimethylsilylphenyl cations in the triplet state. The beta effect of
silicon on these intermediates has been studied by comparing the resulting
chemistry in alcoholic solvents with that of the silicon-free analogues and by
computational analysis (at the UB3LYP/6-311+G(2d,p) level in MeOH). TMS groups
little affect the photophysics and the photocleavage of the starting phenyl
chlorides, while stabilizing the phenyl cations, both in the triplet (ca. 4
kcal/mol per group) and, dramatically, in the singlet state (9 kcal/mol). As a
result, although triplet phenyl cations are the first formed species, intersystem
crossing to the more stable singlets is favored with chloroanisoles and phenols.
Indeed, with these compounds, solvent addition to give aryl ethers (from the
singlet) competed efficiently with reduction or arylation (from the triplet). In
the case of the silylated 4-chloro-N,N-dimethylaniline, the triplet cation
remained in the ground state and trapping by pi nucleophiles remained efficient,
though slowed by the steric bulk of the TMS group. In alcohols, the silyl group
was eliminated via a photoinduced protiodesilylation during the irradiation.
Thus, the silyl group could be considered as a directing, photoremovable group
that allowed shifting to the singlet phenyl cation chemistry and was smoothly
eliminated in the same one-pot procedure.
PMID- 18052167
TI - Two [Fe(IV)=O Trp*] intermediates in M. tuberculosis catalase-peroxidase
discriminated by multifrequency (9-285 GHz) EPR spectroscopy: reactivity toward
isoniazid.
AB - We have characterized the intermediates formed in the peroxidase cycle of the
multifunctional heme-containing enzyme KatG of M. tuberculosis. Selected Trp
variants from the heme proximal (W321F) and distal (W107F and W91F) sides were
analyzed together with the wild-type enzyme with regard to the reaction with
peroxyacetic acid and hydrogen peroxide (in the catalase-inactive W107F). The 9
GHz EPR spectrum of the enzyme upon reaction with peroxyacetic acid showed the
contribution of three protein-based radical species, two Trp* and a Tyr*, which
could be discerned using a combined approach of multifrequency Electron
Paramagnetic Resonance (EPR) spectroscopy with selective deuterium labeling of
tryptophan and tyrosine residues and site-directed mutagenesis. Trp321, a residue
in H-bonding interactions with the iron through Asp381 and the heme axial ligand
His270, was identified as one of the radical sites. The 9 GHz EPR signal of the
Trp321 radical species was consistent with an exchange-coupled species similar to
the oxoferryl-Trp radical intermediate in cytochrome c peroxidase. On the basis
of the possibility of distinguishing among the different radical intermediates of
the peroxidase cycle in M. tuberculosis KatG (MtKatG), we used EPR spectroscopy
to monitor the reactivity of the enzyme and its W321F variant with isoniazid, the
front-line drug used in the treatment of tuberculosis. The EPR experiments on the
W321F variant preincubated with isoniazid allowed us to detect the short-lived
[Fe(IV)=O Por*+] intermediate. Our results showed that neither the [Fe(IV)=O
Por*+] nor the [Fe(IV)=O Trp321*+] intermediates were the reactive species with
isoniazid. Accordingly, the subsequent intermediate (most probably the other
Trp*) is proposed to be the oxidizing species. Our findings demonstrate that the
protein-based radicals formed as alternative intermediates to the [Fe(IV)=O
Por*+] can play the role of cofactors for substrate oxidation in the peroxidase
cyle of KatGs.
PMID- 18052168
TI - Thermodynamics and kinetics of aggregation for the GNNQQNY peptide.
AB - The energy landscape of the monomer and dimer are explored for the amyloidogenic
heptapeptide GNNQQNY from the N-terminal prion-determining domain of the yeast
protein Sup35. The peptide is modeled by a united-atom potential and an implicit
solvent representation. Replica exchange molecular dynamics is used to explore
the conformational space, and discrete path sampling is employed to investigate
the pathways that interconvert the most populated minima on the free energy
surfaces. For the monomer, we find a rapid fluctuation between four different
conformations, where a geometry intermediate between compact and extended
structures is the most thermodynamically favorable. The GNNQQNY dimer forms three
stable sheet structures, namely in-register parallel, off-register parallel, and
antiparallel. The antiparallel dimer is stabilized by strong electrostatic
interactions resulting from interpeptide hydrogen bonds, which restrict its
conformational flexibility. The in-register parallel dimer, which is close to the
amyloid beta-sheet structure, has fewer interpeptide hydrogen bonds, making
hydrophobic interactions more important and increasing the conformational entropy
compared to the antiparallel sheet. The estimated two-state rate constants
indicate that the formation of dimers from monomers is fast and that the dimers
are kinetically stable against dissociation at room temperature. Interconversions
between the different dimers are feasible processes and are more likely than
dissociation.
PMID- 18052169
TI - Porous crystal derived from a tricarboxylate linker with two distinct binding
motifs.
AB - The use of a reduced symmetry organic linker for the preparation of porous
coordination polymers is demonstrated. The solvothermal reaction of the
unsymmetrically substituted biaryl compound biphenyl-3,4',5-tricarboxylic acid
with Cu(II) ions produces a [3,4,6]-connected coordination polymer exhibiting
very high porosity and surface area (SLangmuir = 3100 m2/g). A striking feature
of the structure is its incorporation, in a single material, of both the
ubiquitous dinuclear Cu(II) paddlewheel motif and the rarely observed trinuclear
Cu(II) cluster. Saturation H2 uptake, measured at 77 K, shows an excess
gravimetric uptake of 5.7 wt % at 45 bar with a steep rise at low pressures.
PMID- 18052171
TI - Spin accommodation and reactivity of aluminum based clusters with O2.
AB - It is shown that spin accommodation plays a determining role in the reactivity of
aluminum based anion clusters with oxygen. Experimental reactivity studies on
aluminum and aluminum-hydrogen clusters show variable reactivity in even electron
systems and rapid etching in odd electron systems. The reactivity of even
electron clusters is governed by a spin transfer to the singlet cluster through
filling of the spin down antibonding orbitals on triplet oxygen. Theoretical
investigations show that when the spin transfer cannot occur, the species is
unreactive. When spin accommodation is possible, more subtle effects appear, such
as the required spin excitation energy, which raises the total energy of the
system, and the filling of the antibonding levels of the O2 molecule, which is
stabilized by becoming an aluminum oxygen pi bond. This explanation is consistent
with observed behavior in oxygen etching reactions with a variety of clusters
including AlnHm-, Aln-, AlnIm-, and AlnC-. The proposed reaction mechanism lends
a physical interpretation as to why the HOMO-LUMO gap successfully predicts
oxygen etching behavior of the considered systems.
PMID- 18052170
TI - Structural and thermodynamic studies of the interaction of distamycin A with the
parallel quadruplex structure [d(TGGGGT)]4.
AB - The complex between distamycin A and the parallel DNA quadruplex [d(TGGGGT)]4 has
been studied by 1H NMR spectroscopy and isothermal titration calorimetry (ITC).
To unambiguously assert that distamycin A interacts with the grooves of the
quadruplex [d(TGGGGT)]4, we have analyzed the NMR titration profile of a modified
quadruplex, namely [d(TGGMeGGT)]4, and we have applied the recently developed
differential frequency-saturation transfer difference (DF-STD) method, for
assessing the ligand-DNA binding mode. The three-dimensional structure of the 4:1
distamycin A/[d(TGGGGT)]4 complex has been determined by an in-depth NMR study
followed by dynamics and mechanics calculations. All results unequivocally
indicate that distamycin molecules interact with [d(TGGGGT)]4 in a 4:1 binding
mode, with two antiparallel distamycin dimers that bind simultaneously two
opposite grooves of the quadruplex. The affinity between distamycin A and
[d(TGGGGT)]4 enhances ( approximately 10-fold) when the ratio of distamycin A to
the quadruplex is increased. In this paper we report the first three-dimensional
structure of a groove-binder molecule complexed to a DNA quadruplex structure.
PMID- 18052172
TI - Calculations of the effect of tunneling on the Swain-Schaad exponents (SSEs) for
the 1,5-hydrogen shift in 5-methyl-1,3-cyclopentadiene. Can SSEs be used to
diagnose the occurrence of tunneling?
AB - MPW1K density functional calculations, carried out with the 6-31+G(d,p) basis
set, have been combined with canonical variational transition state theory (CVT)
and small-curvature tunneling (SCT) corrections in order to compute the primary
kinetic isotope effects for rearrangement of 5-methyl-1,3-cyclopentadiene (1) to
1-methyl-1,3-cyclopentadiene (2). The Swain-Schaad exponents, SSE =
ln(kH/kT)/ln(kD/kT), for this reaction have been computed over the temperature
range 100-600 K. Tunneling results in both large positive and large negative
deviations from the value of SSE = 3.26, expected from consideration of only the
effect of the isotopic mass on passage over the reaction barrier. In the
rearrangement of 1 to 2, SSE approximately 3.26, not only at temperatures >400 K,
where tunneling is relatively unimportant, but also around 170 K, where tunneling
by both H and D is the dominant mode of reaction. Thus, from an experimental
finding that SSE approximately 3.26 at a single temperature, it cannot be
rigorously concluded that tunneling is unimportant. Measurement of SSEs over a
broad temperature range is advisable; but measurement of the temperature
dependence of just kH/kD can be used to establish more unequivocally whether
tunneling is important, without the necessity of measuring kT.
PMID- 18052173
TI - Catalytic asymmetric generation of (Z)-disubstituted allylic alcohols.
AB - A one-pot method for the direct preparation of enantioenriched (Z)-disubstituted
allylic alcohols is introduced. Hydroboration of 1-halo-1-alkynes with
dicyclohexylborane, reaction with t-BuLi, and transmetalation with dialkylzinc
reagents generate (Z)-disubstituted vinylzinc intermediates. In situ reaction of
these reagents with aldehydes in the presence of a catalyst derived from (-)-MIB
generates (Z)-disubstituted allylic alcohols. It was found that the resulting
allylic alcohols were racemic, most likely due to a rapid addition reaction
promoted by LiX (X = Br and Cl). To suppress the LiX-promoted reaction, a series
of inhibitors were screened. It was found that 20-30 mol %
tetraethylethylenediamine inhibited LiCl without inhibiting the chiral zinc-based
Lewis acid. In this fashion, (Z)-disubstituted allylic alcohols were obtained
with up to 98% ee. The asymmetric (Z)-vinylation could be coupled with tandem
diastereoselective epoxidation reactions to provide epoxy alcohols and allylic
epoxy alcohols with up to three contiguous stereogenic centers, enabling the
rapid construction of complex building blocks with high levels of enantio- and
diastereoselectivity.
PMID- 18052175
TI - Silylene extrusion from organosilanes via double geminal Si-H bond activation by
a Cp*Ru(kappa2-P,N)+ complex: observation of a key stoichiometric step in the
glaser-tilley alkene hydrosilylation mechanism.
AB - Treatment of Cp*RuCl(kappa2-P,N-2b) (2b = 2-NMe2-3-PiPr2-indene) with TlSO3CF3
produced the cyclometalated complex [4]+SO3CF3- in 94% isolated yield. Exposure
of [4]+X- (X = B(C6F5)4 or SO3CF3) to Ph2SiH2 (10 equiv) or PhSiH3 afforded the
corresponding [Cp*(mu-P,N-2b)(H)2Ru=SiRPh]+X- complexes, [5]+X- (R = Ph; X =
B(C6F5)4, 82%; X = SO3CF3, 39%) and [6]+X- (R = H; X = B(C6F5)4, 94%; X = SO3CF3,
95%). Notably, these transformations represent the first documented examples of
Ru-mediated silylene extrusion via double geminal Si-H bond activation of an
organosilane-a key step in the recently proposed Glaser-Tilley (G-T) alkene
hydrosilylation mechanism. Treatment of [5]+B(C6F5)4- with KN(SiMe3)2 or
[6]+SO3CF3- with NaN(SiMe3)2 afforded the corresponding zwitterionic Cp*(mu-2
NMe2-3-PiPr2-indenide)(H)2Ru=SiRPh complex in 69% (R = Ph, 7) or 86% (R = H, 8)
isolated yield. Both [6]+X- and 8 proved unreactive toward 1-hexene and styrene
and provided negligible catalytic turnover in the attempted metal-mediated
hydrosilylation of these substrates with PhSiH3, thereby providing further
empirical evidence for the required intermediacy of base-free Ru=Si species in
the G-T mechanism. Isomerization of the P,N-indene ligand backbone in [6]+X-,
giving rise to [Cp*(mu-1-PiPr2-2-NMe2-indene)(H)2Ru=SiHPh]+X- ([9]+X-), was
observed. In the case of [9]+SO3CF3-, net intramolecular addition of the Ru=Si-H
group across the styrene-like C=C unit within the ligand backbone to give 10 (96%
isolated yield) was observed. Crystallographic characterization data are provided
for [4]+X-, [5]+X-, [6]+X-, 8, and 10.
PMID- 18052174
TI - Isomeric forms of heavier main group hydrides: experimental and theoretical
studies of the [Sn(Ar)H]2 (Ar = terphenyl) system.
AB - A series of symmetric divalent Sn(II) hydrides of the general form [(4-X
Ar')Sn(mu-H)]2 (4-X-Ar' = C6H2-4-X-2,6-(C6H3-2,6-iPr2)2; X = H, MeO, tBu, and
SiMe3; 2, 6, 10, and 14), along with the more hindered asymmetric tin hydride
(3,5-iPr2-Ar*)SnSn(H)2(3,5-iPr2-Ar*) (16) (3,5-iPr2-Ar* = 3,5-iPr2-C6H-2,6-(C6H2
2,4,6-iPr3)2), have been isolated and characterized. They were prepared either by
direct reduction of the corresponding aryltin(II) chloride precursors, ArSnCl,
with LiBH4 or iBu2AlH (DIBAL), or via a transmetallation reaction between an
aryltin(II) amide, ArSnNMe2, and BH3.THF. Compounds 2, 6, 10, and 14 were
obtained as orange solids and have centrosymmetric dimeric structures in the
solid state with long Sn...Sn separations of 3.05 to 3.13 A. The more hindered
tin(II) hydride 16 crystallized as a deep-blue solid with an unusual, formally
mixed-valent structure wherein a long Sn-Sn bond is present [Sn-Sn = 2.9157(10)
A] and two hydrogen atoms are bound to one of the tin atoms. The Sn-H hydrogen
atoms in 16 could not be located by X-ray crystallography, but complementary
Mossbauer studies established the presence of divalent and tetravalent tin
centers in 16. Spectroscopic studies (IR, UV-vis, and NMR) show that, in
solution, compounds 2, 6, 10, and 14 are predominantly dimeric with Sn-H-Sn
bridges. In contrast, the more hindered hydrides 16 and previously reported
(Ar*SnH)2 (17) (Ar* = C6H3-2,6-(C6H2-2,4,6-iPr3)2) adopt primarily the
unsymmetric structure ArSnSn(H)2Ar in solution. Detailed theoretical calculations
have been performed which include calculated UV-vis and IR spectra of various
possible isomers of the reported hydrides and relevant model species. These
showed that increased steric hindrance favors the asymmetric form ArSnSn(H)2Ar
relative to the centrosymmetric isomer [ArSn(mu-H)]2 as a result of the widening
of the interligand angles at tin, which lowers steric repulsion between the
terphenyl ligands.
PMID- 18052176
TI - Total synthesis of (-)-salviasperanol.
AB - The achiral enynone shown cyclized to produce a tricyclic dienone that was
converted in six steps to (-)-salviasperanol.
PMID- 18052177
TI - Total synthesis of (+)-komarovispirone.
AB - (+)-Komaroviquinone photolytically rearranges to (+)-komarovispirone. A
rationalization of this isomerization is presented.
PMID- 18052178
TI - Total synthesis of (-)-barbatusol, (+)-demethylsalvicanol, (-)-brussonol, and (+)
grandione.
AB - The icetexane-based diterpenes (-)-barbatusol, (+)-demethylsalvicanol, and (-)
brussonol were synthesized. Synthetic demethylsalvicanol was dimerized to produce
(+)-grandione using aqueous Diels-Alder conditions.
PMID- 18052179
TI - Synthesis of the EF-ring of ciguatoxin 3C based on the [2,3]-Wittig rearrangement
and ring-closing olefin metathesis.
AB - The EF-ring segment of ciguatoxin 3C, a causative toxin of ciguatera fish
poisoning, was synthesized in three major steps: 1,4-addition for the C20O-C27
bond connection, chirality transferring anti selective [2,3]-Wittig rearrangement
for the construction of the anti-2-hydroxyalkyl ether part, and ring-closing
olefin metathesis for the F-ring formation.
PMID- 18052180
TI - Ni-catalyzed mild arylation of alpha-halocarbonyl compounds with arylboronic
acids.
AB - A simple yet powerful Ni catalyst can be used to promote direct arylations of
alpha-halocarbonyl compounds, including a range of esters, amides, and ketones,
with various arylboronic acids under mild conditions. The method tolerates beta
hydrogens and functional groups in the substrates and offers reactivity and
selectivity profiles that are complementary to those found in the well
established Buchwald-Hartwig approach.
PMID- 18052181
TI - Organic solvent-free, enantio- and diastereoselective, direct Mannich reaction in
the presence of water.
AB - An organocatalyst-mediated, asymmetric Mannich reaction in the presence of water
without using organic solvents has been developed. A highly reactive
siloxytetrazole hybrid catalyst has been developed for the reaction of
dimethoxyacetaldehyde, while the sodium salt of siloxyproline is an effective
catalyst of alpha-imino glyoxylate. Excellent enantioselectivity can be realized,
and the usage of organic solvents can be reduced compared to the conventional
reactions in organic solvents.
PMID- 18052182
TI - Platinum-catalyzed regio- and stereoselective arylthiolation of internal alkynes.
AB - Unsymmetrical internal alkynes such as ethyl phenylpropiolate (2b) successfully
underwent Pt-catalyzed decarbonylative arylthiolation by thioesters. The regio-
and stereoselective insertion of 2b into an S-Pt bond was confirmed by reaction
with a platinum complex with an S-Pt-Cl framework.
PMID- 18052183
TI - Dramatic solvent effect on the diastereoselectivity of Michael addition: study
toward the synthesis of the ABC ring system of hexacyclinic acid.
AB - During our studies toward the synthesis of the ABC ring system of hexacyclinic
acid, we have observed a dramatic influence of the solvent on both our key steps.
The diastereoselectivity of the intermolecular Michael addition could be totally
reversed by changing the polarity of the solvent, and trifluoroethanol was found
to be the optimal solvent for the following Mn(III)-promoted radical cyclization.
PMID- 18052184
TI - A nucleophilic Fe catalyst for transesterifications under neutral conditions.
AB - Carboxylic esters belong to the most important functional groups in organic
chemistry. Strong efforts have been made in developing mild catalytic procedures
for their preparation. Among the various methods developed to date,
transesterifications have occupied an important space. In the present paper, a
new catalytic method for this process based on the use of nucleophilic Fe(-II)
complexes is presented. Evidence for the formation of an intermediate acyl Fe
complex will be presented as well as investigations on scope and limitations.
PMID- 18052185
TI - Cycloaddition/Ring opening reaction sequences of N-alkenyl aziridines: influence
of the aziridine nitrogen on stereoselectivity.
AB - The cycloaddition of (Z)-7-(prop-1-enyl)-7-azabicyclo[4.1.0]heptane with dimethyl
acetylene dicarboxylate (DMAD) was reported previously to proceed with complete
stereoselectivity. Quantum chemical calculations (B3LYP) were used to evaluate
the mechanism of the cyclization process, and it was discovered that a stepwise
pathway is preferred. The subsequent electrocyclic ring opening reaction of the
cyclobutene was also studied, and it was found that ring opening to the "methyl
in" dienamine is preferred to the "methyl-out" product by some 4-5 kcal/mol.
PMID- 18052186
TI - Polyene cyclization promoted by the cross-conjugated alpha-carbalkoxy enone
system. Observation on a putative 1,5-hydride/1,3-alkyl shift under Lewis acid
catalysis.
AB - Polyene cyclization of compounds 3 and 4 under catalysis with AlCl3 and/or SnCl4
gave rise to complex bicyclic products 8 and 9, structures of which were highly
unexpected, and X-ray analyses were invoked for unambiguously structural
identification. Mechanistically, a tandem sigma-bond rearrangement process,
including an unusual through-space 1,5-hydride or 1,3-alkyl shift as a key
operation, is proposed.
PMID- 18052187
TI - Oxazaborolidinone-promoted vinylogous Mukaiyama aldol reactions.
AB - delta-Hydroxy-alpha,beta-unsaturated carbonyl compounds were prepared in one step
via the vinylogous Mukaiyama aldol reactions with O,O-silyl ketene acetals.
Isopropyl alcohol as additive and tryptophane-based B-phenyloxazaborolidinone
were required for obtaining the gamma-alkylated product in high
enantioselectivities.
PMID- 18052188
TI - Using dynamic gene module map analysis to identify targets that modulate free
fatty acid induced cytotoxicity.
AB - The objective of this study was to identify pathways that regulate the
cytotoxicity induced by free fatty acids (FFAs) in human hepatoblastoma cells
(HepG2/C3A). Gene expression profiles of HepG2/C3A cells were obtained at three
time points, after 24, 48, and 72 h of exposure to different types of FFA.
Saturated fatty acid (palmitate) was found to be cytotoxic. The pathways
activated by the different FFAs at the different time points were identified
using global gene module map analysis. Unsaturated FFAs exerted transcriptional
regulation mainly within the first 24 h, whereas saturated FFA, palmitate,
regulated energy production pathways, such as the electron transport chain (ETC)
and tricarboxylic acid cycle, within the first 24 h. In the next 24 h, palmitate
up-regulated 36 cell death relevant pathways and down-regulated several
protective pathways, such as the pentose phosphate pathway and glutathione
related pathways. In the final 24 h, the FFAs did not induce significant
transcriptional regulation. We hypothesized that palmitate induced cytotoxicity
by first perturbing metabolic pathways in the initial 24 h, resulting in changes
to factors, such as metabolites or signaling molecules, which subsequently
triggered cell death relevant pathways in the next 24 h. The uptake and release
of 27 metabolites were measured to further elucidate the metabolic changes in the
first 24 h. It was determined that ketone bodies such as beta-hydroxybutyrate and
acetoacetate were important in separating the toxic from the nontoxic phenotypes.
A regression model was used to identify the genes relevant to these metabolites.
Some of the genes identified to be important were experimentally validated. It
was found that ETC genes such as NADH dehydrogenase and succinate dehydrogenase
were involved in palmitate induced cytotoxicity.
PMID- 18052189
TI - Efficient synthesis of [2'-18O]uridine and its incorporation into
oligonucleotides: a new tool for mechanistic study of nucleotidyl transfer
reactions by isotope effect analysis.
AB - Lack of sufficient quantities of isotopically labeled materials has precluded the
use of heavy atom isotope effects to investigate mechanisms of nucleotidyl
transfer reactions in nucleic acids. Here we achieve regioselective opening of
2,2'-cyclouridine with [(18)O2]benzoic acid/potassium hydride, allowing an
efficient "one-pot" synthesis of [2'-18O]uridine in 88% yield. Conversion to the
corresponding phosphoramidite enables solid-phase synthesis of [2'-(18)O] RNA
substrates for isotope effect studies with nucleotidyl transferases and
hydrolases.
PMID- 18052190
TI - Thermal decomposition of methyl butanoate: ab initio study of a biodiesel fuel
surrogate.
AB - In this paper, we report a detailed analysis of the breakdown kinetic mechanism
for methyl butanoate (MB) using theoretical approaches. Electronic structures and
structure-related molecular properties of reactants, intermediates, products, and
transition states were explored at the BH&HLYP/cc-pVTZ level of theory. Rate
constants for the unimolecular and bimolecular reactions in the temperature range
of 300-2500 K were calculated using Rice-Ramsperger-Kassel-Marcus and transition
state theories, respectively. Thirteen pathways were identified leading to the
formation of small compounds such as CH(3), C(2)H(3), CO, CO(2), and H(2)CO. For
the initial formation of MB radicals, H, CH(3), and OH were considered as
reactive radicals participating in hydrogen abstraction reactions. Kinetic
simulation results for a high temperature pyrolysis environment show that MB
radicals are mainly produced through hydrogen abstraction reactions by H atoms.
In addition, the C(O)OCH(3) = CO + CH(3)O reaction is found to be the main source
of CO formation. The newly computed kinetic sub-model for MB breakdown is
recommended as a core component to study the combustion of oxygenated species.
PMID- 18052191
TI - Conjugation of nucleosides and oligonucleotides by [3+2] cycloaddition.
AB - A procedure is presented for copper(I)-catalyzed [3+2] cycloaddition of
nucleosides and nucleotides in near-quantitative yield. Azido-alkyne
cycloaddition was applied for the preparation of a range of adenosine dimers and
derivatives with versatile functionality, as well as for the smooth condensation
of two oligonucleotide strands. The described technology may find valuable
application in the synthesis of oligonucleotide dimers and conjugates.
PMID- 18052192
TI - Mechanism of thiolate-disulfide interchange reactions in biochemistry.
AB - Both density functional theory (DFT) (B3LYP) and CCSD ab initio calculations were
employed in a theoretical investigation of the mechanism of thiolate-disulfide
exchange reactions. The reaction pathway for degenerate thiolate-disulfide
exchange reactions with dimethyl disulfide has been shown to proceed through a
SN2-like transition structure that is very close in energy to the corresponding
trisulfur anionic intermediate ([delta-S-S-Sdelta(-)]). When relatively small
substituents are involved, the level of theory must be increased to CCSD to make
this rather subtle mechanistic distinction. With the more sterically hindered
exchange reaction involving t-butyl mercaptide and di-t-butyl disulfide, the
potential energy surface exhibits a distinct preference for the S(N)2
displacement pathway with an activation barrier of 9.8 kcal/mol. When corrections
for solvent polarity are included (COSMO), an S(N)2 mechanism is also implicated
in both polar and nonpolar solvents. DFT studies on thiolate-disulfide exchange,
when the substituent is a model peptide, strongly support the intermediacy of a
trisulfur intermediate that lies 10.7 kcal/mol below isolated reactants. A well
depth of this magnitude should provide a sufficient lifetime of the intermediate
to accommodate the requisite conformational adjustments that accompanies
formation of the new disulfide bond.
PMID- 18052193
TI - A facile synthesis of new 5H-indazolo[3,2-b]benzo[d]-1,3-oxazines via one-pot
intramolecular Bis-heterocyclizations.
AB - The parent 5H-indazolo[3,2-b]benzo[d]-1,3-oxazine heterocycle as well as a series
of novel analogues have been synthesized utilizing two subsequent intramolecular
heterocyclizations in one pot. A variety of diversity groups were added to
explore the scope of this reaction and to provide a number of new compounds for
biological screening.
PMID- 18052194
TI - Carbocyclization reaction of omega-iodo- and 1,omega-diiodo-1-alkynes without the
loss of iodine atoms through a carbenoid-chain process.
AB - Atom-economical carbocyclization reactions of omega-iodo-1-alkynes and 1,omega
diiodo-1-alkynes to give products with incorporation of iodine atoms is
described. Cycloisomerization of 2-(2-propynyloxy)ethyl iodides is initiated by a
catalytic amount of LDA to give 3-(iodomethylene)tetrahydrofurans in high yields.
Upon treatment of with a catalytic amount of 1-hexynyllithium, 1,omega-diiodo-1
alkynes efficiently undergo cycloisomerization to give
(diiodomethylene)cycloalkanes. The diiodomethylene products are also obtained by
iodine atom-transfer-type cyclization of omega-iodo-1-alkynes, using 1-iodo-1
hexyne as an external iodine atom source. Bromine atom-transfer and proton
transfer cyclization proceed as well by employing 1-bromo-1-octyne and 1-octyne,
respectively. These reactions are proposed to proceed through a carbenoid-chain
process involving exo-cyclization of the lithium acetylide intermediates to give
Li,I-alkylidene carbenoids. It is shown that the exo-cyclization proceeded
stereospecifically through inversion of the stereochemistry at the electrophilic
carbon.
PMID- 18052195
TI - Insights into a mutation-assisted lateral drug escape mechanism from the HIV-1
protease active site.
AB - We provide insight into the first stages of a kinetic mechanism of lateral drug
expulsion from the active site of HIV-1 protease, by conducting all atom
molecular dynamics simulations with explicit solvent over a time scale of 24 ns
for saquinavir bound to the wildtype, G48V, L90M and G48V/L90M mutant proteases.
We find a consistent escape mechanism associated with the G48V mutation. First,
increased hydrophilic and hydrophobic flap coupling and water mediated disruption
of catalytic dyad hydrogen bonding induce drug motion away from the dyad and
promote protease flap transition to the semi-open form. Conversely, flap
inhibitor motion is decoupled in the wildtype. Second, the decrease of total
interactions causes unidirectional lateral inhibitor translation by up to 4 A
toward the P3 subsite exit of the active site, increased P3 subsite exposure to
solvent and a complete loss of hydrophobic interactions with the opposite end of
the active site. The P1 subsite moves beyond the hydrophobic active site side
pocket, the only remaining steric barrier to complete expulsion being the
"breathable" residue, P81. Significant inhibitor deviation is reported over 24
ns, and subsequent complete expulsion, implemented using steered molecular
dynamics simulations, is shown to occur most easily for the G48V-containing
mutants. Our simulations thus provide compelling support for lateral drug escape
from a protease in a semi-open flap conformation. It is likely that some
mutations take advantage of this escape mechanism to increase the rate of
inhibitor dissociation from the protease. Finally, unidirectional translation may
be countered by designing inhibitors with terminal subsites that provide
sufficient anchoring to the flaps, thus increasing the steric barrier for
translation in either direction.
PMID- 18052196
TI - Metal requirements and phosphodiesterase activity of tRNase Z enzymes.
AB - The endonuclease tRNase Z from A. thaliana (AthTRZ1) was originally isolated for
its tRNA 3' processing activity. Here we show that AthTRZ1 also hydrolyzes the
phosphodiester bond in bis(p-nitrophenyl) phosphate (bpNPP) with a kcat of 7.4 s
1 and a KM of 8.5 mM. We analyzed 22 variants of AthTRZ1 with respect to their
ability to hydrolyze bpNPP. This mutational mapping identified fourteen variants
that lost the ability to hydrolyze bpNPP and seven variants with reduced
activity. Surprisingly, a single amino acid change (R252G) resulted in a ten
times higher activity compared to the wild type enzyme. tRNase Z enzymes exist in
long and short forms. We show here that in contrast to the short tRNase Z enzyme
AthTRZ1, the long tRNase Z enzymes do not have bpNPP hydrolysis activity pointing
to fundamental differences in substrate cleavage between the two enzyme forms.
Furthermore, we determined the metal content of AthTRZ1 and analyzed the metal
requirement for bpNPP hydrolysis. AthTRZ1 shows a high affinity for Zn2+ ions;
even upon incubation with metal chelators, 0.76 Zn2+ ions are retained per dimer.
In contrast to bpNPP hydrolysis, pre-tRNA processing requires additional metal
ions, Mn2+ or Mg2+, as Zn2+ ions alone are insufficient.
PMID- 18052197
TI - Multiple cysteine residues are implicated in Janus kinase 2-mediated catalysis.
AB - The redox regulation of Janus kinase 2 (JAK2) is poorly understood, and there are
contradictory reports as to whether the enzyme's activity is inhibited or
stimulated by oxidizing conditions in the cell. Here we demonstrate that multiple
cysteine residues within the JAK2 catalytic domain may be crucial for enzymatic
activity. The enzyme is catalytically inactive when oxidized; activity can be
restored via reduction to the thiol state. A series of recombinant variants of
JAK2 were overproduced using the baculoviral expression vector system. A
truncated variant of JAK2, GST/(NDelta661)rJAK2, provided evidence that the amino
terminal autoinhibitory domain was not essential for direct redox regulation and
that only nine cysteine residues were potentially involved. The effect of
individually and combinatorially altering these nine cysteines was examined via
cysteine-to-serine mutagenesis. This identified four cysteine residues in the
catalytic domain (Cys866, Cys917, Cys1094, and Cys1105) that cooperatively
maintain JAK2's catalytic competency. Our data are consistent with a direct
mechanism for redox regulation of JAK2 via oxidation and reduction of critical
cysteine residues.
PMID- 18052198
TI - Interesting structural and dynamical behaviors exhibited by the AF-6 PDZ domain
upon Bcr peptide binding.
AB - PDZ (postsynaptic density-95, disks large, zonula occludens-1) domains are small,
protein-protein interaction modules that have multiple binding surfaces for the
docking of diverse molecules. These domains can propagate signals from ligand
binding site to distal regions of the structure through allosteric communication.
Recent works have revealed that picosecond to nanosecond time scale dynamics play
a potential role in propagating long-range signals within a protein. Comparison
of AF-6 PDZ domain structures in free and complex forms shows a conformation
rearrangement of distal surface 2, which is far from the peptide binding groove.
The relaxation dispersion experiments detected that the free AF-6 PDZ domain was
sampling multiple conformations; millisecond dynamics mapped a network for
allostery signal transmission throughout the AF-6 PDZ domain in the weak
saturation state, and intramolecular motions were observed in distal surface 1
when the protein was saturated. These results provide evidence that the
allosteric process in the AF-6 PDZ domain is not two-state; instead, the
millisecond dynamic network provides a mechanism for the transmission of
allosteric signals throughout a protein. Interestingly, the two distal surfaces
of the AF-6 PDZ domain respond differently to peptide binding; distal surface 1
changes in millisecond dynamics, whereas distal surface 2 undergoes structural
rearrangement. The significance of the different response patterns in the
signaling pathway and its relevance to the function of the AF-6 PDZ domain should
be studied further.
PMID- 18052199
TI - Features of transmembrane segments that promote the lateral release from the
translocase into the lipid phase.
AB - Topogenic sequences direct the membrane topology of proteins by being recognized
and decoded by integral membrane translocases. In this paper, we have compared
the minimal sequence characteristics of helical-hairpin, reverse signal-anchor,
and stop-transfer sequences in bacterial membrane proteins that use either the
YidC or SecYEG translocases for membrane insertion. We find that a stretch
composed of 3 leucines and 16 alanines is required for efficient membrane
anchoring of the M13 procoat protein that inserts by a helical hairpin mechanism,
and that a stretch composed of only 19 alanines has a detectable membrane
anchoring ability. Similar results were obtained for the reverse signal-anchor
sequence of the single-spanning Pf3 coat protein and for stop-transfer segments
engineered into leader peptidase. We have also determined the contribution to the
apparent free energy of membrane insertion of M13 procoat for all 20 amino acids.
The relative order of the contributions is similar to that determined for a stop
transfer sequence in the mammalian endoplasmic reticulum, but the absolute
difference between the contributions for the most hydrophobic and most
hydrophilic residues is somewhat larger in the E. coli system. These results are
significant because they define the features of a membrane protein transmembrane
segment that induce lateral release from the YidC and Sec translocases into the
lipid bilayer in bacteria.
PMID- 18052200
TI - Dynamics of backbone conformational heterogeneity in Bacillus subtilis
ribonuclease P protein.
AB - Interconversion of protein conformations is imperative to function, as evidenced
by conformational changes associated with enzyme catalytic cycles, ligand binding
and post-translational modifications. In this study, we used 15N NMR relaxation
experiments to probe the fast (i.e., ps-ns) and slow (i.e., micros-ms)
conformational dynamics of Bacillus subtilis ribonuclease P protein (P protein)
in its folded state, bound to two sulfate anions. Using the Lipari-Szabo mapping
method [Andrec, M., Montelione, G. T., and Levy, R. M. (2000) J. Biomol. NMR 18,
83-100] to interpret the data, we find evidence for P protein dynamics on the mus
ms time scale in the ensemble. The residues that exhibit these slow internal
motions are found in regions that have been previously identified as part of the
P protein-P RNA interface. These results suggest that structural flexibility
within the P protein ensemble may be important for proper RNase P holoenzyme
assembly and/or catalysis.
PMID- 18052201
TI - Reaction center of photosystem II with no peripheral pigments in D2 allows
secondary electron transfer in D1.
AB - A pigment-deficient reaction center of photosystem II (PSII)-with all the core
pigments (two molecules of chlorophyll a and one of pheophytin a in each D
protein) but with only one molecule each of peripheral chlorophyll a (Chlz) and
beta-carotene (Car)-has been investigated by pump-probe spectroscopy. The data
imply that Car and Chlz are both bound to D1. The absence of Car and Chlz in D2
allows the unprecedented observation of secondary electron transfer in D1 of PSII
reaction centers at room temperature. The absorption band of the Car cation in D1
(Car(D1)(+*)) peaks around 910 nm (as against 990 nm for Car(D2)(+*)), and its
positive hole is shared by ChlzD1, whereas Car(D2)(+*) can disappear by capturing
an electron from ChlzD2.
PMID- 18052202
TI - Crystal structure of a type II dihydrofolate reductase catalytic ternary complex.
AB - Type II dihydrofolate reductase (DHFR) is a plasmid-encoded enzyme that confers
resistance to bacterial DHFR-targeted antifolate drugs. It forms a symmetric
homotetramer with a central pore which functions as the active site. Its unusual
structure, which results in a promiscuous binding surface that accommodates
either the dihydrofolate (DHF) substrate or the NADPH cofactor, has constituted a
significant limitation to efforts to understand its substrate specificity and
reaction mechanism. We describe here the first structure of a ternary R67
DHFR.DHF.NADP+ catalytic complex, resolved to 1.26 A. This structure provides the
first clear picture of how this enzyme, which lacks the active site carboxyl
residue that is ubiquitous in Type I DHFRs, is able to function. In the catalytic
complex, the polar backbone atoms of two symmetry-related I68 residues provide
recognition motifs that interact with the carboxamide on the nicotinamide ring,
and the N3-O4 amide function on the pteridine ring. This set of interactions
orients the aromatic rings of substrate and cofactor in a relative endo geometry
in which the reactive centers are held in close proximity. Additionally, a
central, hydrogen-bonded network consisting of two pairs of Y69-Q67-Q67'-Y69'
residues provides an unusually tight interface, which appears to serve as a
"molecular clamp" holding the substrates in place in an orientation conducive to
hydride transfer. In addition to providing the first clear insight regarding how
this extremely unusual enzyme is able to function, the structure of the ternary
complex provides general insights into how a mutationally challenged enzyme,
i.e., an enzyme whose evolution is restricted to four-residues-at-a-time active
site mutations, overcomes this fundamental limitation.
PMID- 18052203
TI - Tropomyosin's periods are quasi-equivalent for actin binding but have specific
regulatory functions.
AB - Tropomyosin is a coiled coil that associates N-terminus to C-terminus to form a
continuous strand along both sides of the actin filament and regulates its
function. One long, high molecular weight tropomyosin molecule spans the length
of seven actin subunits. In these forms there is a 7-fold periodicity in
noninterface residues that have been proposed to correspond to seven quasi
equivalent actin binding sites. Interruption of the stable, canonical coiled coil
by residues that destabilize the interhelical interface, such as Ala clusters, is
required for actin binding. Previous studies have shown that the N-terminal half
of period 5 (residues 165-188) is critical for actin binding and regulatory
function and that both the surface "consensus" residues and the embedded,
destabilizing Ala cluster are required for function. In the present work we test
the hypothesis of quasi-equivalence of tropomyosin's periodic sites by replacing
the proposed binding sites by substituting the crucial period 5 region with
regions of period 1 or 2. Replacement mutants were designed to test the
importance of the coincidence of the consensus residues and a destabilizing
interface. The results show that generic (interface instability) and specific
periodic surface residues are essential for function and that the periods tested
(periods 1, 2, and 5) are quasi-equivalent for actin binding. However, regulatory
functions are period-specific: periods 1 and 5 for binding to actin in the force
producing state and period 5 for Ca2+-dependent regulation with troponin.
PMID- 18052204
TI - Quadruplex-coupled kinetics distinguishes ligand binding between G4 DNA motifs.
AB - G-quadruplex (or G4 DNA) specific ligands are important potential anticancer
molecules as telomerase inhibitors. On the other hand, emerging evidence
implicates G4 DNA in regulation of several oncogenes making telomerase inhibitors
amenable to undesired effects (Borman, S. (2007) Chem. Eng. News 85 (22), 12-17).
Therefore molecules which can discriminate between G4 DNA are of interest, both
as telomerase inhibitors and for selective intervention of gene expression.
Design of selective molecules requires resolution of the coupled equilibria
between intramolecular quadruplex-formation and bimolecular ligand-binding.
Several previous studies have reported G4-ligand binding kinetics, however the
primary equilibrium of intramolecular G4 DNA folding/unfolding was not
considered. Here, we quantitatively assess the linked equilibrium in G4-ligand
complexes using a novel real time surface plasmon resonance-based technique.
Kinetic constants for G4 folding/unfolding and ligand binding were simultaneously
determined, for the first time, from a single reaction by resolving the coupled
equilibrium. We demonstrate the coupled model by showing that affinity of TMPyP4
(a well-established anticancer telomerase inhibitor) for the human telomere
quadruplex is only 3-fold more than the c-MYC promoter G4, which is known to
repress c-MYC. This provides quantitative rationale to poor selectivity of TMPyP4
in recently observed cell-based assays. In the light of recent advances
indicating G4's regulatory potential in several important genes, quantitative
evaluation of selectivity vis-a-vis affinity as presented here will augment
design and preliminary screening of new molecules.
PMID- 18052205
TI - Low-temperature pulsed EPR study at 34 GHz of the triplet states of the primary
electron Donor P865 and the carotenoid in native and mutant bacterial reaction
centers of Rhodobacter sphaeroides.
AB - The photosynthetic charge separation in bacterial reaction centers occurs
predominantly along one of two nearly symmetric branches of cofactors. Low
temperature EPR spectra of the triplet states of the chlorophyll and carotenoid
pigments in the reaction center of Rhodobacter sphaeroides R-26.1, 2.4.1 and two
double-mutants GD(M203)/AW(M260) and LH(M214)/AW(M260) have been recorded at 34
GHz to investigate the relative activities of the "A" and "B" branches. The
triplet states are found to derive from radical pair and intersystem crossing
mechanisms, and the rates of formation are anisotropic. The former mechanism is
operative for Rb. sphaeroides R-26.1, 2.4.1, and mutant GD(M203)/AW(M260) and
indicates that A-branch charge separation proceeds at temperatures down to 10 K.
The latter mechanism, derived from the spin polarization and operative for mutant
LH(M214)/AW(M260), indicates that no long-lived radical pairs are formed upon
direct excitation of the primary donor and that virtually no charge separation at
the B-branch occurs at low temperatures. When the temperature is raised above 30
K, B-branch charge separation is observed, which is at most 1% of A-branch charge
separation. B-branch radical pair formation can be induced at 10 K with low yield
by direct excitation of the bacteriopheophytin of the B-branch at 590 nm. The
formation of a carotenoid triplet state is observed. The rate of formation
depends on the orientation of the reaction center in the magnetic field and is
caused by a magnetic field dependence of the oscillation frequency by which the
singlet and triplet radical pair precursor states interchange. Combination of
these findings with literature data provides strong evidence that the thermally
activated transfer step on the B-branch occurs between the primary donor, P865,
and the accessory bacteriochlorophyll, whereas this step is barrierless down to
10 K along the A-branch.
PMID- 18052207
TI - A new use for a familiar fold: the X-ray crystal structure of GTP-bound GTP
cyclohydrolase III from Methanocaldococcus jannaschii reveals a two metal ion
catalytic mechanism.
AB - GTP cyclohydrolase (GCH) III from Methanocaldococcus jannaschii, which catalyzes
the conversion of GTP to 2-amino-5-formylamino-6-ribosylamino-4(3H)-pyrimidinone
5'-phosphate (FAPy), has been shown to require Mg2+ for catalytic activity and is
activated by monovalent cations such as K+ and ammonium [Graham, D. E., Xu, H.,
and White, R. H. (2002) Biochemistry 41, 15074-15084]. The reaction is formally
identical to that catalyzed by a GCH II ortholog (SCO 6655) from Streptomyces
coelicolor; however, SCO 6655, like other GCH II proteins, is a zinc-containing
protein. The structure of GCH III complexed with GTP solved at 2 A resolution
clearly shows that GCH III adopts a distinct fold that is closely related to the
palm domains of phosphodiesterases, such as DNA polymerase I. GCH III is a
tetramer of identical subunits; each monomer is composed of an N- and a C
terminal domain that adopt nearly superimposible structures, suggesting that the
protein has arisen by gene duplication. Three metal ions were located in the
active site, two of which occupy positions that are analogous to those occupied
by divalent metal ions in the structures of a number of palm domain containing
proteins, such as DNA polymerase I. Two conserved Asp residues that coordinate
the metal ions, which are also found in palm domain containing proteins, are
observed in GCH III. Site-directed variants (Asp-->Asn) of these residues in GCH
III are less active than wild-type. The third metal ion, most likely a potassium
ion, is involved in substrate recognition through coordination of O6 of GTP. The
arrangement of the metal ions in the active site suggests that GCH III utilizes
two metal ion catalysis. The structure of GCH III extends the repertoire of
possible reactions with a palm fold to include cyclohydrolase chemistry.
PMID- 18052208
TI - Vinflunine, a novel microtubule inhibitor, suppresses calmodulin interaction with
the microtubule-associated protein STOP.
AB - Vinca alkaloids vinblastine and vincristine and some of their derivatives such as
vinorelbine are widely used in therapy of leukemia and several solid tumors.
Their action is associated with alterations of the mitotic spindle functions that
prevent the cell cycle progression and lead to mitotic block. A number of studies
show that some Vinca alkaloids inhibit CaM-target interaction. The newest
microtubule inhibitor, vinflunine (Javlor), currently in clinical trials, is
remarkably more active than vinblastine against a number of tumors. Moreover,
vinflunine is significantly less toxic than other Vinca alkaloids. The high
antitumor activity of this molecule is not well understood since it binds to
tubulin with an overall affinity several-fold lower than that of vinblastine or
vincristine. In this study, we examined the interaction of Ca2+-CaM with
vinflunine, vinblastine, and stable tubule only polypeptide (STOP) by using a
combination of thermodynamic and mass spectrometric approaches. We characterized
the influence of Vinca alkaloids on Ca2+-CaM-STOP complex formation. Our results
revealed different binding modes to Ca2+-CaM for vinflunine and vinblastine,
highlighting that adding fluorine atoms on the cleavamine moiety of the Vinca
alkaloid molecule is critical for the localization of the drug on calmodulin. We
demonstrate that vinflunine is a better inhibitor for STOP binding to calmodulin
than vinblastine. We suggest that vinflunine action on calmodulin can have an
effect on microtubule dynamics. These data may contribute to a better
understanding of the superior antitumor efficiency and lower toxicity of
vinflunine.
PMID- 18052209
TI - Fructose-1,6-bisphosphate acts both as an inducer and as a structural cofactor of
the central glycolytic genes repressor (CggR).
AB - CggR is the transcriptional repressor of the gapA operon encoding central
glycolytic enzymes in Bacillus subtilis. Recently, a detailed mechanistic
characterization of gapA induction revealed that the binding of fructose-1,6
bisphosphate (FBP) to a low affinity site on CggR (Kd > 100 microM) is
responsible for repressor release from the DNA. In addition, this prior work
demonstrated that FBP binds to a second high affinity site on the repressor,
causing a conformational change in the CggR/DNA complexes, but with no
consequence on CggR affinity for its operator DNA. In the present study we have
thoroughly analyzed the structural and thermodynamic consequences of FBP binding
to CggR. Results of fluorescence anisotropy titrations, calorimetry and limited
proteolysis confirm the existence in CggR of a high affinity site for FBP, with a
Kd of around 6 microM. Using analytical size-exclusion chromatography,
ultracentrifugation as well as fluorescence correlation spectroscopy (FCS) and
pressure perturbation, we show that FBP binding at this site reduces the size of
the CggR oligomers and induces conformational changes that stabilize the dimer
against denaturation. Hence, FBP has a dual role on CggR structure and regulatory
function. In addition to acting as an inducer of transcription at the low
affinity site, FBP bound to the high affinity site acts as a structural cofactor
for the repressor, with profound effects on its quaternary structure as well as
on its conformational dynamics and stability. This high affinity FBP site
apparently evolved from the sugar substrate binding site of homologous enzymes.
PMID- 18052210
TI - Purification of the human alpha2 Isoform of Na,K-ATPase expressed in Pichia
pastoris. Stabilization by lipids and FXYD1.
AB - Human alpha1 and alpha2 isoforms of Na,K-ATPase have been expressed with porcine
10*Histidine-tagged beta1 subunit in Pichia pastoris. Methanol-induced expression
of alpha2 is optimal at 20 degrees C, whereas at 25 degrees C, which is optimal
for expression of alpha1, alpha2 is not expressed. Detergent-soluble alpha2beta1
and alpha1beta1 complexes have been purified in a stable and functional state.
alpha2beta1 shows a somewhat lower Na,K-ATPase activity and higher K0.5K compared
to alpha1beta1, while values of K0.5Na and KmATP are similar. Ouabain inhibits
both alpha1beta1 (K0.5 24.6 +/- 6 nM) and alpha2beta1 (K0.5 102 +/- 14 nM) with
high affinity. A striking difference between the isoforms is that alpha2beta1 is
unstable. Both alpha1beta1 and alpha2beta1 complexes, prepared in C12E8 with an
added phosphatidyl serine, are active, but alpha2beta1 is rapidly inactivated at
0 degrees C. Addition of low concentrations of cholesterol with 1-stearoyl-2
oleoyl-sn-glycero-3-[phospho-l-serine] (SOPS) stabilizes strongly, maintaining
alpha2beta1 active up to two weeks at 0 degrees C. By contrast, alpha1beta1 is
stable at 0 degrees C without added cholesterol. Both alpha1beta1 and alpha2beta1
complexes are stabilized by cholesterol at 37 degrees C. Human FXYD1
spontaneously associates in vitro with either alpha1beta1 or alpha2beta1, to form
alpha1beta1/FXYD1 and alpha2beta1/FXYD1 complexes. The reconstituted FXYD1
protects both alpha1beta1 and alpha2beta1 very strongly against thermal
inactivation. Instability of alpha2 is attributable to suboptimal
phophatidylserine-protein interactions. Residues within TM8, TM9 and TM10, near
the alphabeta subunit interface, may play an important role in differential
interactions of lipid with alpha1 and alpha2, and affect isoform stability.
Possible physiological implications of isoform interactions with phospholipids
and FXYD1 are discussed.
PMID- 18052211
TI - Structure and orientation of pancreatic colipase in a lipid environment: PM-IRRAS
and Brewster angle microscopy studies.
AB - Colipase is a key element in lipase-catalyzed dietary lipids hydrolysis. Although
devoid of enzymatic activity, colipase promotes pancreatic lipase activity in the
physiological intestinal conditions by anchoring the enzyme on the surface of
lipid droplets. Polarization modulation infrared reflection absorption
spectroscopy combined with Brewster angle microscopy studies was performed on
colipase alone and in various lipid environments to obtain a global view of both
conformation and orientation and to assess lipid perturbations. We clearly show
that colipase fully inserts into a dilaurin monolayer and promotes the formation
of lipid/protein domains, whereas in a phospholipid environment its insertion is
only partial, limited to the polar head group. In a mixed 70%
phosphatidylcholine/30% dilaurin environment, colipase adsorbs to but does not
penetrate deeply into the film. It triggers the formation of diglyceride domains
under which it would form a rather uniform layer. We also clearly demonstrate
that colipase adopts a preferred orientation when dilaurin is present at the
interface. In contrast, at a neutral phospholipid interface, the infrared spectra
suggest an isotropic orientation of colipase which could explain its incapacity
to reverse the inhibitory effects of these lipids on the lipase activity.
PMID- 18052212
TI - Swiveling domain mechanism in pyruvate phosphate dikinase.
AB - Pyruvate phosphate dikinase (PPDK) catalyzes the reversible conversion of
phosphoenolpyruvate (PEP), AMP, and Pi to pyruvate and ATP. The enzyme contains
two remotely located reaction centers: the nucleotide partial reaction takes
place at the N-terminal domain, and the PEP/pyruvate partial reaction takes place
at the C-terminal domain. A central domain, tethered to the N- and C-terminal
domains by two closely associated linkers, contains a phosphorylatable histidine
residue (His455). The molecular architecture suggests a swiveling domain
mechanism that shuttles a phosphoryl group between the two reaction centers. In
an early structure of PPDK from Clostridium symbiosum, the His445-containing
domain (His domain) was positioned close to the nucleotide binding domain and did
not contact the PEP/pyruvate-binding domain. Here, we present the crystal
structure of a second conformational state of C. symbiosum PPDK with the His
domain adjacent to the PEP-binding domain. The structure was obtained by
producing a three-residue mutant protein (R219E/E271R/S262D) that introduces
repulsion between the His and nucleotide-binding domains but preserves viable
interactions with the PEP/pyruvate-binding domain. Accordingly, the mutant enzyme
is competent in catalyzing the PEP/pyruvate half-reaction but the overall
activity is abolished. The new structure confirms the swivel motion of the His
domain. In addition, upon detachment from the His domain, the two nucleotide
binding subdomains undergo a hinge motion that opens the active-site cleft. A
similar hinge motion is expected to accompany nucleotide binding (cleft closure)
and release (cleft opening). A model of the coupled swivel and cleft opening
motions was generated by interpolation between two end conformations, each with
His455 positioned for phosphoryl group transfer from/to one of the substrates.
The trajectory of the His domain avoids major clashes with the partner domains
while preserving the association of the two linker segments.
PMID- 18052214
TI - Conformational specificity of the lac repressor coiled-coil tetramerization
domain.
AB - Predictive understanding of how the folded, functional shape of a native protein
is encoded in the linear sequence of its amino acid residues remains an unsolved
challenge in modern structural biology. Antiparallel four-stranded coiled coils
are relatively simple protein structures that embody a heptad sequence repeat and
rich diversity for tertiary packing of alpha-helices. To explore specific
sequence determinants of the lac repressor coiled-coil tetramerization domain, we
have engineered a set of buried nonpolar side chains at the a-, d-, and e
positions into the hydrophobic interior of the dimeric GCN4 leucine zipper.
Circular dichroism and equilibrium ultracentrifugation studies show that this
core variant (GCN4-pAeLV) forms a stable tetrameric structure with a reversible
and highly cooperative thermal unfolding transition. The X-ray crystal structure
at 1.9 A reveals that GCN4-pAeLV is an antiparallel four-stranded coiled coil of
the lac repressor type in which the a, d, and e side chains associate by means of
combined knobs-against-knobs and knobs-into-holes packing with a characteristic
interhelical offset of 0.25 heptad. Comparison of the side chain shape and
packing in the antiparallel tetramers shows that the burial of alanine residues
at the e positions between the neighboring helices of GCN4-pAeLV dictates both
the antiparallel orientation and helix offset. This study fills in a gap in our
knowledge of the determinants of structural specificity in antiparallel coiled
coils and improves our understanding of how specific side chain packing forms the
teritiary structure of a functional protein.
PMID- 18052215
TI - Ab initio study of surface acid-base reactions. The case of molecular and
dissociative adsorption of ammonia on the (011) surface of rutile TiO2.
AB - The interaction of ammonia molecules with Lewis acid centers (Ti4+ metal ions) of
the (011) surface of rutile TiO2 is investigated by density functional theory in
order to understand, from first principle, the nature of acid-base reactions on
solid surfaces. Unlike the rutile (110) surface that contains alternating rows of
5-fold and 6-fold Ti atoms, all Ti atoms of the (011) surface are 5-fold
coordinated. This surface has shown considerable activity for numerous chemical
reactions and is thus an ideal prototype. At 1/2 monolayer coverage, with respect
to surface Ti atoms, the adsorption energy is found to be equal to 100 kJ mol-1,
and drops to 58 kJ mol-1 at one monolayer coverage. Analysis of the electronic
density of states (DOS) revealed information regarding the mode of adsorption. In
particular, the nitrogen 3a1 and 2a1 orbitals appear to undergo significant
changes upon adsorption, in agreement with photoelectron spectroscopy studies.
Dissociative adsorption was also investigated on the same surface. Both NH2(Tis)
+ H(Os) and NH(Tis) + 2H(Os) modes of dissociative adsorption, where s stands for
surface, are found to be less stable than the molecular (non dissociated)
adsorption.
PMID- 18052216
TI - Preparation and tribological behaviors of an amide-containing stratified self
assembled monolayers on silicon surface.
AB - An amide-containing stratified self-assembled film is grafted on a silicon
surface by a simple two-step method. First, N-[3
(trimethoxylsilyl)propyl]ethylenediamine (DA) molecules are self-assembled on
silicon surfaces followed by deriving with stearoyl chloride (STC) through a
surface coupling reaction. The films are characterized by means of contact angle
measurement, ellipsometry, and attenuated total reflectance Fourier transformed
infrared (ATR-FTIR) spectra. STC forms an ordered and hydrophobic film over the
DA layer with a water contact angle of nearly 110 degrees. A microtribological
study of the films is carried out on an atomic force microscope (AFM), and the
wear-resistant property is tested on a ball-on-plate tribometer. Compared to the
films in our previous study, the friction-reducing and load-affording abilities
of the film are greatly improved. We contribute the improvements to the existence
of two layers of hydrogen bonds, which can enhance the stability of the film by
double in-plane cross-linking.
PMID- 18052213
TI - Galactomutarotase and other galactose-related genes are rapidly induced by
retinoic acid in human myeloid cells.
AB - Aldose-1-epimerase (mutarotase) catalyzes the interconversion of alpha and beta
hexoses, which is essential for normal carbohydrate metabolism and the production
of complex oligosaccharides. Galactose mutarotase (GALM) has been well
characterized at the protein level, but information is lacking on the regulation
of GALM gene expression. We report herein that all-trans-retinoic acid (RA), an
active metabolite of vitamin A that is known to induce myeloid lineage cell
differentiation into macrophage-like cells, induces a rapid and robust regulation
of GALM mRNA expression in human myeloid cells. all-trans-RA at a physiological
concentration (20 nM), or Am580, a ligand selective for the nuclear retinoid
receptor RARalpha, increased GALM mRNA in THP-1 cells, with significantly
increased expression in 2 h, increasing further to an approximately 8-fold
elevation after 6-40 h (P < 0.005). In contrast, tumor necrosis factor-alpha did
not increase GALM mRNA expression, although it is capable of inducing cell
differentiation. RA also increased GALM mRNA in U937 and HL-60 cells. The
increase in GALM mRNA by RA was blocked by pretreating THP-1 cells with
actinomycin D but not by cycloheximide. GALM protein and mutarotase activity were
also increased time dependently in RA-treated THP-1 cells. In addition to GALM,
several other genes in the biosynthetic pathway of galactosyl-containing complex
oligosaccharides were more highly expressed in RA-treated THP-1 cells, including
B4GALT5, ST3GAL3, ST6GALNAC5, and GALNAC4S-6ST. Thus, the results of this study
identify RA as a significant regulator of GALM and other galactose-related genes
in myeloid-monocytic cells, which could affect energy utilization and synthesis
of cell-surface glycoproteins or glycolipids involved in cell motility, adhesion,
and/or functional properties.
PMID- 18052218
TI - Model of nanocrystal formation in solution by burst nucleation and diffusional
growth.
AB - The phenomenon of burst nucleation in solution, in which a period of apparent
chemical inactivity is followed by a sudden and explosive growth of nucleated
particles from a solute species, has been given a widely accepted qualitative
explanation by LaMer and co-workers. Here, we present a model with the
assumptions of instantaneous re-thermalization below the critical nucleus size
and irreversible diffusive growth above the critical size, which for the first
time formulates LaMer's explanation of burst nucleation in a manner allowing
quantitative calculations. The behavior of the model at large times, t, is
derived with the result that the average cluster size, as measured by the number
of atoms, grows approximately t, while the width of the cluster distribution
grows approximately (sq root)1. We develop an effective numerical scheme to
integrate the equations of the model and compare the asymptotic expressions to
results from numerical simulation. Finally, we discuss the physical effects which
cause real nucleation processes in solution to deviate from the behavior of the
model.
PMID- 18052217
TI - Dynamic control of protein-protein interactions.
AB - The capability to selectively and reversibly control protein-protein interactions
in antibody-doped polypyrrole (PPy) was accomplished by changing the voltage
applied to the polymer. Polypyrrole was doped with sulfate polyanions and
monoclonal anti-human fibronectin antibodies (alphaFN). The ability to toggle the
binding and dissociation of fibronectin (FN) to alphaFN-doped polypyrrole was
demonstrated. Staircase potential electrochemical impedance spectroscopy (SPEIS)
was performed to characterize the impedance and charge transfer characteristics
of the alphaFN-doped PPy as a function of applied voltage, frequency, and FN
concentration. Impedance measurements indicated oxidation of alphaFN-doped PPy
promoted selective binding of FN to alphaFN antibodies and reduction of the
polymer films facilitated FN dissociation. Moreover, SPEIS measurements suggested
that the apparent reversibility of antigen binding to antibody-doped PPy is not
due to the suppression of hydrophobic binding forces between antibody and
antigen. Instead, our data indicate that reversible antigen binding to antibody
doped PPy can be attributed to the minimization of charge in the polymer films
during oxidation and reduction. Furthermore, alphaFN-doped PPy was utilized to
collect real-time, dynamic measurements of varying FN concentrations in solution
by repeatedly binding and releasing FN. Our data demonstrate that antibody-doped
PPy represents an electrically controllable sensing platform which can be
exploited to collect rapid, repeated measurements of protein concentrations with
molecular specificity.
PMID- 18052219
TI - Characterization of layer-by-layer self-assembled multilayer films of diblock
copolymer micelles.
AB - The in situ layer-by-layer (LbL) self-assembly of low Tg diblock copolymer
micelles onto a flat silica substrate is reported. The copolymers used here were
a cationic poly(2-(dimethylamino)ethyl methacrylate)-block-poly(2
(diethylamino)ethyl methacrylate) (50qPDMA-PDEA; 50q refers to a mean degree of
quaternization of 50 mol % for the PDMA block) and zwitterionic poly(methacrylic
acid)-block-poly(2-(diethylamino)ethyl methacrylate) (PMAA-PDEA), which has
anionic character at pH 9. Alternate deposition of micelles formed by these two
copolymers onto a silica substrate at pH 9 was examined. The in situ LbL buildup
of the copolymer micelle films was monitored using zeta potential measurements,
optical reflectometry, and a quartz crystal microbalance with dissipation
monitoring (QCM-D). For a six layer deposition, complete charge reversal was
observed after the addition of each layer. The OR data indicated clearly an
increase in adsorbed mass with each additional micelle layer and suggest that
some interdiffusion of copolymer chains between layers and/or an increase in the
film roughness, and hence in the effective surface area of the micellar
multilayers, must take place as the film is built up. QCM-D data indicated that
the self-assembled micellar multilayers on a flat silica substrate undergo
structural changes over a prolonged period. This is attributed to longer-term
interdiffusion of the copolymer chains between the outer two layers after the
initial adsorption of each layer is complete. The QCM-D data further suggest that
the outer adsorbed layers adopt a progressively more extended conformation,
particularly for the higher numbered layers. The morphology of each successive
layer was characterized using in situ soft-contact atomic force microscopy, and
micelle-like surface aggregates are clearly observed within each layer of the
complex film, suggesting the persistence of aggregate structures throughout the
multilayer structure.
PMID- 18052220
TI - Photoinduced degradation of orange II on different iron (hydr)oxides in aqueous
suspension: rate enhancement on addition of hydrogen peroxide, silver nitrate,
and sodium fluoride.
AB - Photoinduced organic oxidation with iron (hydr)oxides in aqueous suspension has
been argued with respect to two principal mechanisms: (a) photoinduced ligand-to
metal charge transfer within a surface complex and (b) semiconductor
photocatalysis. In this work, the photodegradation of azo dye orange II with UV
light (lambda > or = 320 nm) in the aerated aqueous suspensions of haematite,
maghemite, magnetite, goethite, lepidocrocite, and feroxyhite at an initial pH of
6.5 has been examined. The results showed that (1) all of the catalysts were
effective at initiating dye photodegradation but the iron oxides appeared to be
more active than the iron hydroxides; (2) the photodissolution of different iron
phases and the dye photolysis in the dissolved iron solutions were very slow; (3)
the initial rate of dye loss was proportional to the initial amount of
adsorption, implying dye photodegradation on the catalyst surface; and (4) upon
addition of H2O2, AgNO3, and NaF to the suspension, the rate of dye
photodegradation was significantly enhanced with all the catalysts. In the
presence of H2O2, less than 50% of the total rate enhancement was ascribed to the
photo-Fenton reaction in solution and the dark Fenton reactions in solution and
on the catalyst. In the presence of AgNO3, about 1 mole of silver particles was
produced by consuming 3 moles of the dye substrate. In the presence of NaF,
hydroxyl radicals were detected by an ethanol scavenger, whereas such radicals
were not found in the absence of NaF. Moreover, under visible-light irradiation
(lambda > or = 450 nm), the dye degradation was much slower than that under UV
irradiation, but the reaction was also accelerated by the addition of NaF and
AgNO3. The results suggest that mechanism b, not mechanism a, is operative for
dye photodegradation occurring on the iron (hydr)oxides. A detailed discussion of
all possible pathways is given in the text.
PMID- 18052221
TI - Nonsolvents cause swelling at the interface with poly(methyl methacrylate) films.
AB - Density profiles of a perdeuterated poly(methyl methacrylate) (dPMMA) film spin
coated on a substrate in water, hexane, and methanol, which are "nonsolvents" for
dPMMA, were examined along the direction normal to the interface by specular
neutron reflectivity (NR). The interfaces of dPMMA with the liquids were diffuse
in comparison with the pristine interface with air; the interfacial width with
water was thicker than that with hexane. Interestingly, in water, the dPMMA film
was composed of a swollen layer and the interior region, which also contained
water, in addition to the diffused layer. The interface of dPMMA with hexane was
sharper than that with water. Although there were slight indications of a swollen
layer for the dPMMA in hexane, the solvent molecules did not penetrate
significantly into the film. On the other hand, in methanol, the whole region of
the dPMMA film was strikingly swollen. To conserve mass, the swelling of the film
by the nonsolvents is accompanied by an increase in the film thickness. The
change in the film thickness estimated by NR was in excellent accord with the
results of direct observations using atomic force microscopy (AFM). The modulus
of dPMMA in the vicinity of the interfaces with liquids was also examined on the
basis of force-distance curves measured by AFM. The modulus decreased closer to
the outermost region of the film. The extent to which the modulus decreased in
the interfacial region was consistent with the amount of liquid sorbed into the
film.
PMID- 18052222
TI - Microporous structure and drug release kinetics of polymeric nanoparticles.
AB - The aim of the present study was to characterize pegylated nanoparticles (NPs)
for their microporosity and study the effect of microporosity on drug release
kinetics. Blank and drug-loaded NPs were prepared from three different pegylated
polymers, namely, poly(ethylene glycol)1%-graft-poly(D,L)-lactide, poly(ethylene
glycol)5%-graft-poly(D,L)-lactide, and the multiblock copolymer (poly(D,L)
lactide-block-poly(ethylene glycol)-block-poly(D,L)-lactide)n. These NPs were
characterized for their microporosity using nitrogen adsorption isotherms. NPs of
the multiblock copolymer showed the least microporosity and Brunauer-Emmett
Teller (BET) surface area, and that of PEG1%-g-PLA showed the maximum. Based on
these results, the structural organization of poly(D,L)-lactide (PLA) and
poly(ethylene glycol) (PEG) chains inside the NPs was proposed and was validated
with differential scanning calorimetry (DSC) and X-ray photoelectron spectroscopy
(XPS) surface analysis. An in vitro drug release study revealed that PEG1%-g-PLA
NPs exhibited slower release despite their higher surface area and microporosity.
This was attributed to the presence of increased microporosity forming tortuous
internal structures, thereby hindering drug diffusion from the matrix. Thus, it
was concluded that the microporous structure of NPs, which is affected by the
molecular architecture of polymers, determines the release rate of the
encapsulated drug.
PMID- 18052223
TI - Ionic liquid crystals based on mesitylene-containing bis- and trisimidazolium
salts.
AB - The synthesis of novel ionic liquid crystals (ILCs) based on bis- and
trisimidazolium salts (I-, BF4-, and [N(SO2CF3)2]-) bearing hydrophobic hexadecyl
chains and a bridging mesitylene moiety is reported. The study of their
mesomorphic properties is presented, including the characterization of the
Smectic A phase by differential scanning calorimetry and polarized optical
microscopy. A detailed powder X-ray diffraction (p-XRD) study as a function of
temperature confirmed that cooling gives rise to a glass transition from the
liquid-crystalline smectic A phase to a metastable lamellar phase. In addition,
in the case of bisimidazolium iodide, the ability of these molecules to form self
aggregates in solution has been demonstrated by diffusion nuclear magnetic
resonance (NMR) experiments.
PMID- 18052224
TI - Micromechanics and contact forces of colloidal aggregates in the presence of
surfactants.
AB - We report measurements of the bending mechanics of colloidal aggregates
consisting of poly(methyl methacrylate) (PMMA) flocculated with 250 mM MgCl2 in
the presence of either pentaethylene glycol monododecyl ether (C(12)E(5)), a
nonionic surfactant, or sodium dodecyl sulfate (SDS), an anionic surfactant. In
the absence of surfactant, singly bonded aggregates exhibit a substantial bond
rigidity, kappa(0), in the linear bending regime. With the addition of
surfactant, the tangential restoring force between particles becomes weaker;
aggregates exhibit nonlinear mechanics at a lower critical bending moment, M(c),
and the bond rigidity decreases. The decrease in kappa(0) is related to the
reduction of the surface energy of adhesion between particles, W(SL). We find
that W(SL) decreases with increasing surfactant concentration below the critical
micelle concentration (cmc). However, above the cmc, W(SL) remains constant
within experimental error. These results confirm the relation between the bond
rigidity and the surface energy of adhesion and clearly demonstrate that, on the
basis of this relationship, surface-active agents provide a means of tuning the
macroscopic elasticity and yield stress of colloidal gels. Last, the mechanics of
the critical moment is consistent with the surfactant lowering the stress at
which the contact line between the particles de-pins.
PMID- 18052225
TI - The effect of surface ions on water adsorption to mica.
AB - We have measured the adsorption isotherms of water on a single surface of freshly
cleaved mica with K+ on the surface, and on mica where the K+ has been exchanged
for H+. Using a very sensitive interferometric technique, we have found a
significant difference between the two isotherms at submonolayer coverage, for
relative vapor pressures p/p0 < 0.5. The K+-mica isotherm shows a pronounced
convexity, suggesting distinct adsorption sites, whereas the H+-mica isotherm is
flatter. The two isotherms converge above monolayer coverage. The results give a
graphic demonstration of the importance of nanoscale surface heterogeneities for
vapor adsorption at submonolayer coverage.
PMID- 18052226
TI - Gallium(III)/4-(2-pyridylazo)resorcinol system in water and SDS solution:
kinetics and thermodynamics.
AB - The equilibria and kinetics of the complex formation and dissociation reaction
between gallium(III) and PAR [4-(2-pyridylazo)resorcinol] have been investigated
in water and in the presence of SDS micelles. The reactive form of Ga(III) is
GaOH2+ in both cases. The addition of SDS results in an increase of both the
binding affinity and velocity, the maximum accelerating effect being observed
just above the cmc value of SDS that, under the conditions of the experiments, is
5.6 x 10-3 M. At pH = 3.2, the maximum value of the equilibrium constant ratio
Kapp(SDS)/Kapp(H2O) is 27.4, whereas that of the binding rate constants
kf(SDS)/kf(H2O) is 16. The results are interpreted in terms of increased
concentrations of the reactants on the micelle surface and on competition of PAR
and SDS for GaOH2+.
PMID- 18052227
TI - Interactions between adsorbed layers of cationic gemini surfactants.
AB - The forces acting between glass and between mica surfaces in the presence of two
cationic gemini surfactants, 1,4 diDDAB (1,4-butyl-bis(dimethyldodecylammonium
bromide)) and 1,12 diDDAB (1,12-dodecyl-bis(dimethyldodecylammonium bromide)),
have been investigated below the critical micelle concentration (cmc) of the
surfactants using two different surface force techniques. In both cases, it was
found that a recharging of the surfaces occurred at a surfactant concentration of
about 0.1 x cmc, and at all surfactant concentrations investigated repulsive
double-layer forces dominated the interaction at large separations. At smaller
separations, attractive forces, or regions of separation with (close to) constant
force, were observed. This was interpreted as being due to desorption and
rearrangement in the adsorbed layer induced by the proximity of a second surface.
Analysis of the decay length of the repulsive double-layer force showed that the
majority of the gemini surfactants were fully dissociated. However, the degree of
ion pair formation, between a gemini surfactant and a bromide counterion,
increased with increasing surfactant concentration and was larger for the gemini
surfactant with a shorter spacer length.
PMID- 18052228
TI - Surface plasmon mediated interference phenomena in low-q silver nanowire
cavities.
AB - Optical excitation of surface plasmons in wet-chemically grown monocrystalline
silver nanowires ( approximately 100 nm diameter and up to a few tens of
micrometers length) is studied by broadband imaging spectroscopy. Surface
plasmons excited by an incident light beam in the so-called Kretschmann-Raether
configuration give optical interference phenomena in the spectral domain. These
spectral oscillations are interpreted in terms of Fabry-Perot cavity modes for
surface plasmons in silver nanowires and allow for a direct experimental
determination of the surface plasmon group velocity and cavity losses.
PMID- 18052229
TI - Role of molecular surface passivation in electrical transport properties of InAs
nanowires.
AB - The existence of large densities of surface states on InAs pins the surface Fermi
level above the conduction band and also degrades the electron mobility in thin
films and nanowires. Field effect transistors have been fabricated and
characterized in the "as fabricated" state and after surface passivation with 1
octadecanethiol (ODT). Electrical characterization of the transistors shows that
the subthreshold slope and electron mobility in devices passivated with ODT are
superior to the respective values in unpassivated devices. An X-ray photoelectron
spectroscopy study of ODT passivated undoped InAs nanowires indicates that sulfur
from ODT is bonded to In on the InAs nanowires. Simulations using a two
dimensional device simulator (MEDICI) show that the improvements in device
performance after ODT passivation can be quantified in terms of a decrease of
interface trap electron donor states, shifts in fixed interfacial charge, and
changes in body and surface mobilities.
PMID- 18052230
TI - Spermidine modulated ribonuclease activity probed by RNA plasmon rulers.
AB - We extend the scope of nanometer distance measurements based on coupled pairs of
gold nanoparticles, or plasmon rulers, to individual RNA molecules. These sensors
were used to monitor the influence of spermidine on the cleavage kinetics of RNA
by ribonuclease A. Time-resolved cleavage experiments of individual RNA plasmon
rulers reveal transiently stabilized RNA sub-populations at increased spermidine
concentrations that indicate spermidine-induced stabilization of weak secondary
and tertiary structural elements.
PMID- 18052231
TI - Supramolecular porphyrin polymers in solution and at the solid-liquid interface.
AB - We have investigated in detail the self-assembly of a chiral porphyrin trimer in
different solvents and correlated this behavior to the aggregation of the
molecule at a solid-liquid interface. In n-hexane and cyclohexane, CD
spectroscopy and dynamic and static light scattering studies showed that the
porphyrin trimer self-assembles already at micromolar concentrations into long,
chiral supramolecular polymers, which precipitate as fibers when the solution is
drop-cast onto a mica surface. In contrast, in chloroform, the compound is
molecularly dissolved up to concentrations of 0.2 mM and when micromolar
solutions are drop-cast onto mica, no precipitation of large assemblies occurs.
Instead, at the moment that the chloroform film becomes subject to spinodal
dewetting and the porphyrin trimers within this film start to self-assemble,
extended patterns of equidistant lines of single molecule thick columnar stacks
are formed.
PMID- 18052232
TI - Inherently tunable electrostatic assembly of membrane proteins.
AB - Membrane proteins are a class of nanoscopic entities that control the matter,
energy, and information transport across cellular boundaries. Electrostatic
interactions are shown to direct the rapid co-assembly of proteorhodopsin (PR)
and lipids into long-range crystalline arrays. The roles of inherent charge
variations on lipid membranes and PR variants with different compositions are
examined by tuning recombinant PR variants with different extramembrane domain
sizes and charged amino acid substitutions, lipid membrane compositions, and
lipid-to-PR stoichiometric ratios. Rational control of this predominantly
electrostatic assembly for PR crystallization is demonstrated, and the same
principles should be applicable to the assembly and crystallization of other
integral membrane proteins.
PMID- 18052233
TI - Spectral editing of organic mixtures into pure components using NMR spectroscopy
and ultraviscous solvents.
AB - A general technique is described that permits the extraction of a complete 1H NMR
spectrum for components in organosoluble mixtures. The approach should find a
wide range of applications considering that pure component spectra can be
generated without the need for physical separation. This technique is especially
significant for synthetic organic chemistry and the pharmaceutical industry due
to the potential to isolate a product spectrum even in the presence of
overlapping starting materials, byproducts, or degradation products. A viscous
oil-based solvent system that can be temperature-manipulated from essentially a
solid at one extreme to a freely flowing liquid at the other is employed. The
system contains no protons and is miscible with common organic solvents. Through
careful control of the temperature and thus solvent viscosity, the behavior of
small molecules moves from the positive to the extreme of the negative NOE
regime. Under such conditions, all protons in a molecule correlate with all other
protons as propagation by spin diffusion becomes highly efficient, behavior
normally only observed with rigid macromolecules in conventional solvents.
Therefore, as long as one proton (or carbon signal in hybrid experiments) is
resolved for a component in a mixture, the entire proton spectrum for that
molecule can be cleanly extracted from a 2D NOESY spectrum (or from selective 1D
NOE-based analogues). Preliminary results are highly encouraging, indicating that
the approach may be feasible for a wide range of molecules and mixtures; however,
in practice the exact types of structures, combinations of structures, and range
of concentrations that can be cleanly extracted will become evident as the
technique becomes better established.
PMID- 18052234
TI - Probing the flexibility of the bacterial reaction center: the wild-type protein
is more rigid than two site-specific mutants.
AB - Experimental and theoretical studies have stressed the importance of flexibility
for protein function. However, more local studies of protein dynamics, using
temperature factors from crystallographic data or elastic models of protein
mechanics, suggest that active sites are among the most rigid parts of proteins.
We have used quasielastic neutron scattering to study the native reaction center
protein from the purple bacterium Rhodobacter sphaeroides, over a temperature
range of 4-260 K, in parallel with two nonfunctional mutants both carrying the
mutations L212Glu/L213Asp --> Ala/Ala (one mutant carrying, in addition, the
M249Ala --> Tyr mutation). The so-called dynamical transition temperature, Td,
remains the same for the three proteins around 230 K. Below Td the mean square
displacement, u2, and the dynamical structure factor, S(Q,omega), as measured
respectively by backscattering and time-of-flight techniques are identical.
However, we report that above Td, where anharmonicity and diffusive motions take
place, the native protein is more rigid than the two nonfunctional mutants. The
higher flexibility of both mutant proteins is demonstrated by either their higher
u2 values or the notable quasielastic broadening of S(Q,omega) that reveals the
diffusive nature of the motions involved. Remarkably, we demonstrate here that in
proteins, point genetic mutations may notably affect the overall protein
dynamics, and this effect can be quantified by neutron scattering. Our results
suggest a new direction of investigation for further understanding of the
relationship between fast dynamics and activity in proteins. Brownian dynamics
simulations we have carried out are consistent with the neutron experiments,
suggesting that a rigid core within the native protein is specifically softened
by distant point mutations. L212Glu, which is systematically conserved in all
photosynthetic bacteria, seems to be one of the key residues that exerts a
distant control over the rigidity of the core of the protein.
PMID- 18052236
TI - Fast characterization of industrial soy protein isolates by direct analysis with
matrix-assisted laser desorption ionization time-of-flight mass spectrometry.
AB - Industrial soy protein isolates (SPIs) due to differences in their processing
conditions may differ both in composition and in degree of hydrolysis. As a
result, they display different performance in food production and final food
properties like consistency and taste. To address this issue, a fast, cheap, and
simple method for screening and characterization is required. In this article,
the successful analysis of soy protein isolates, a complex mixture of proteins
with glycinin and beta-conglycinin as major components, by matrix-assisted laser
desorption ionization time-of-flight mass spectrometry (MALDI-TOF-MS) is
demonstrated. The preparation implements a fast extraction of the proteins from
the raw SPI either under neutral or reducing conditions. The extracts are
analyzed subsequently by MALDI-TOF-MS without further purification. Results of
the two conditions are compared. Finally, different SPIs from different suppliers
are analyzed and compared concerning their consistency. The method could be
applied to other plant proteins and mixtures thereof. Since the composition and
intactness of different subunits play important roles in functional properties of
soy proteins, rapid methods for fingerprinting of different industrial soy
protein sources will be valuable tools for successful product formulation.
PMID- 18052235
TI - Caught in the Act: the 1.5 A resolution crystal structures of the HIV-1 protease
and the I54V mutant reveal a tetrahedral reaction intermediate.
AB - HIV-1 protease (PR) is the target for several important antiviral drugs used in
AIDS therapy. The drugs bind inside the active site cavity of PR where normally
the viral polyprotein substrate is bound and hydrolyzed. We report two high
resolution crystal structures of wild-type PR (PRWT) and the multi-drug-resistant
variant with the I54V mutation (PRI54V) in complex with a peptide at 1.46 and
1.50 A resolution, respectively. The peptide forms a gem-diol tetrahedral
reaction intermediate (TI) in the crystal structures. Distinctive interactions
are observed for the TI binding in the active site cavity of PRWT and PRI54V. The
mutant PRI54V/TI complex has lost water-mediated hydrogen bond interactions with
the amides of Ile50 and Ile50' in the flap. Hence, the structures provide insight
into the mechanism of drug resistance arising from this mutation. The structures
also illustrate an intermediate state in the hydrolysis reaction. One of the gem
diol hydroxide groups in the PRWT complex forms a very short (2.3 A) hydrogen
bond with the outer carboxylate oxygen of Asp25. Quantum chemical calculations
based on this TI structure are consistent with protonation of the inner
carboxylate oxygen of Asp25', in contrast to several theoretical studies. These
TI complexes and quantum calculations are discussed in relation to the chemical
mechanism of the peptide bond hydrolysis catalyzed by PR.
PMID- 18052237
TI - Synthesis and characterization of canola oil-stearic acid-based trans-free
structured lipids for possible margarine application.
AB - Incorporation of stearic acid into canola oil to produce trans-free structured
lipid (SL) as a healthy alternative to partially hydrogenated fats for margarine
formulation was investigated. Response surface methodology was used to study the
effects of lipozyme RM IM from Rhizomucor miehei and Candida rugosa lipase
isoform 1 (LIP1) and two acyl donors, stearic acid and ethyl stearate, on the
incorporation. Lipozyme RM IM and ethyl stearate gave the best result. Gram
quantities of SLs were synthesized using lipozyme RM IM, and the products were
compared to SL made by chemical catalysis and fat from commercial margarines.
After short-path distillation, the products were characterized by GC and RPHPLC
MS to obtain fatty acid and triacylglycerol profiles, 13C NMR spectrometry for
regiospecific analysis, X-ray diffraction for crystal forms, and DSC for melting
profile. Stearic acid was incorporated into canola oil, mainly at the sn-1,3
positions, for the lipase reaction, and no new trans fatty acids formed. Most SL
products did not have adequate solid fat content or beta' crystal forms for tub
margarine, although these may be suitable for light margarine formulation.
PMID- 18052238
TI - Anti-inflammatory effects of isoflavone powder produced from soybean cake.
AB - Soybean cake, a byproduct obtained during the processing of soybean oil, has been
shown to be a rich source of isoflavones. The objectives of this study were to
use soybean cake as raw material for processing into powder and to evaluate the
anti-inflammatory activity. Eleven treatments, including powders of
malonylglucoside, glucoside, acetylglucoside, aglycone, ISO-1, and ISO-2, as well
as genistein standard, gamma-PGA, control, normal, and PDTC, were used for
evaluation. A total of 77 mice were each provided daily with tube feeding for 4
weeks at a dose of 0.3 mL of aqueous solution from each treatment, and
inflammation was induced with intraperitoneal injection of 1 mg/kg of body weight
lipopolysaccharide (LPS). Results showed that all of the isoflavone powders and
genistein standard were effective in inhibiting LPS-induced inflammation,
lowering leukocyte number in mice blood and reducing production of IL-1beta, IL
6, NO, and PGE2 in both peritoneal exudate cell supernatant and peritoneal
exudate fluid. All of the isoflavone treatments failed to retard T cell
proliferation; however, both ISO-1 and ISO-2 could inhibit B cell proliferation.
The difference in anti-inflammatory activity was minor between any of the
isoflavone treatments.
PMID- 18052239
TI - Smoke-derived taint in wine: effect of postharvest smoke exposure of grapes on
the chemical composition and sensory characteristics of wine.
AB - Although smoke exposure has been associated with the development of smoke taint
in grapes and subsequently in wine, to date there have been no studies that have
demonstrated a direct link. In this study, postharvest smoke exposure of grapes
was utilized to demonstrate that smoke significantly influences the chemical
composition and sensory characteristics of wine and causes an apparent 'smoke
taint'. Verdelho grapes were exposed to straw-derived smoke for 1 h and then
fermented according to two different winemaking treatments. Control wines were
made by fermenting unsmoked grapes. Sensory studies established a perceivable
difference between smoked and unsmoked wines; smoked wines were described as
exhibiting 'smoky', 'dirty', 'earthy', 'burnt' and 'smoked meat' characteristics.
Quantitative analysis, by means of gas chromatography-mass spectrometry,
identified guaiacol, 4-methylguaiacol, 4-ethylguaiacol, 4-ethylphenol, eugenol,
and furfural in each of the wines made from smoked grapes. However, these
compounds were not detected in the unsmoked wines, and their origin is therefore
attributed to the application of smoke. Increased ethanol concentrations and
browning were also observed in wines made from grapes exposed to smoke.
PMID- 18052240
TI - Saskatoon and wild blueberries have higher anthocyanin contents than other
Manitoba berries.
AB - Studies have shown that anthocyanins present in berry fruits have some beneficial
health effects such as reducing age-associated oxidative stress and possessing
anti-inflammatory properties. Therefore, six Manitoba berries (wild blueberry,
Saskatoon berry, raspberry, chokecherry, strawberry, and seabuckthorn) were
studied for their anthocyanin compositions (mg/100 g) on dry weight basis.
Saskatoon berry and wild blueberry showed a high content of total anthocyanins
(562.4 and 558.3 mg/100 g, respectively) that were not significantly (P>0.05)
different from each other. The corresponding values for other berries: raspberry
(365.2 mg/100 g), chokecherry (177.39 mg/100 g), and strawberry (97.5 mg/100 g)
were significantly different from each other (P<0.05), and the total anthocyanin
content of seabuckthorn was negligible (0.84 mg/100 g). Fifteen major
anthocyanins were isolated from Manitoba berries. Saskatoon berry and wild
blueberry contained higher amounts of delphinidin 3-glucoside (Dp-3-glc),
malvidin 3-glucoside (Mv-3-glc), and malvidin 3-galactoside (Mv-3-gal). Dp-3-glc
was 263.8 (mg/100 g) in Saskatoon berry and 84.4 (mg/100 g) in wild blueberry,
whereas the corresponding values for Mv-3-glc in these berries were 47.4 and
139.6 (mg/100 g), respectively. Raspberry, strawberry, and chokecherry contained
higher amounts of cyanidin 3-glucoside (Cy-3-glc), cyanidin 3-rutinoside (Cy-3
rut), and pelargonidin 3-glucoside (Pg-3-glc). The total anthocyanin content of
Manitoba fruits followed the order: Saskatoon berry and blueberry (high
anthocyanin berries), raspberry and chokecherry (medium anthocyanin berries),
strawberry (low anthocyanin berries), and seabuckthorn (negligible anthocyanin
berries). This study demonstrated that Saskatoon berries and wild blueberries
have high potential value for fruit growers as well as the food and nutraceutical
manufacturers because of their high anthocyanin contents.
PMID- 18052241
TI - Intestinal absorption of luteolin from peanut hull extract is more efficient than
that from individual pure luteolin.
AB - Luteoin is one of the main flavones and the crucial effective component of peanut
hull extract (PHE). The present paper aims to elucidate the absorption mechanism
of luteolin and clarify whether its absorption occurs primarily at a specific
site of the intestine by an in situ single-pass intestinal perfusion (SPIP)
model. Moreover, the paper investigates the difference in absorption of luteolin
when it is administered in PHE form and as pure luteolin by the SPIP model and in
vivo pharmacokinetics studies. Results showed that the effective permeability ( P
eff) and absorption rate constant ( k a) of pure luteolin(5.0 microg/mL) in
duodenum and jejunum were not significantly different, but markedly higher than
that in the colon and ileum. The P eff and k a of luteolin in jejunum were
concentration-independent, and the ATP inhibitor (DNP) did not influence P eff
and k a of pure luteolin. However, the P eff and k a of luteolin in PHE were
significantly greater than that of pure luteolin. The pharmacokinetics study
showed that following oral administration of a single dose of pure luteolin (14.3
mg/kg) or PHE (= 14.3 mg/kg of luteolin) in rats, the peak concentration of
luteolin in plasma ( C max) and the area under the concentration curve (AUC) for
pure luteolin were 1.97 +/- 0.15 microg/mL and 10.7 +/- 2.2 microg/mL.h,
respectively. These parameters were significantly lower than those of the PHE
group ( P < 0.05), C max = 8.34 +/- 0.98 microg/mL and AUC = 20.3 +/- 1.3
microg/mL.h, respectively. It can be concluded that luteolin is absorbed
passively in the intestine of rats and that its absorption is more efficient in
the jejunum and duodenum than in the colon and ileum. The bioavailability of
luteolin in PHE form is significantly greater than that of pure luteolin.
PMID- 18052242
TI - Convenient large-scale purification of yessotoxin from Protoceratium reticulatum
culture and isolation of a novel furanoyessotoxin.
AB - Yessotoxins from a large-scale culture (226 L) of Protoceratium reticulatum
strain CAWD129 were harvested by filtration followed by solid-phase extraction.
The extract was purified by column chromatography over basic alumina and reverse
phase flash chromatography to afford pure yessotoxin (193 mg). Isolation of
yessotoxin was greatly facilitated by selection of a strain which did not produce
analogues that interfered with yessotoxin isolation. In addition to yessotoxin,
numerous minor yessotoxins were detected by LC-MS in other fractions. From one of
these, an early eluting minor analogue with the same molecular weight as
yessotoxin and a similar mass spectrometric fragmentation pattern was isolated.
This analogue was identified by NMR and mass spectrometry as a novel yessotoxin
analogue containing a furan ring in the side chain. This finding reveals
biosynthetic flexibility of the yessotoxin pathway in P. reticulatum and confirms
earlier findings of production of many minor yessotoxin analogues by this alga.
Production of these analogues appeared to be a constitutive trait of P.
reticulatum CAWD129.
PMID- 18052243
TI - Structural determination of hypnosin, a spore germination inhibitor of
phytopathogenic Streptomyces sp. causing root tumor in melon (Cucumis sp.).
AB - The structure of a germination inhibitor, hypnosin, isolated from phytopathogenic
Streptomyces sp. causing root tumor of melon was determined to be 3
acetylaminopyrazine-2-carboxylic acid (1) by mass spectrometry, computational
chemical prediction of UV spectrum, and synthesis of candidates. The structure
activity relationship of hypnosin and anthranilic acid was examined, and it was
concluded that pyrazinecarboxylic acid or pyridine-2-carboxylic acid was the
fundamental structure with activity, that methylation of the carboxyl group or
decarboxylation destroyed activity, and that the presence of an amino group was
inhibitory to the activity, whereas acetylation or deletion of an amino group
enhanced activity. Hypnosin inhibited spore germination of some Streptomyces spp.
in addition to the species with which it was isolated.
PMID- 18052244
TI - Toward more reliable 13C and 1H chemical shift prediction: a systematic
comparison of neural-network and least-squares regression based approaches.
AB - The efficacy of neural network (NN) and partial least-squares (PLS) methods is
compared for the prediction of NMR chemical shifts for both 1H and 13C nuclei
using very large databases containing millions of chemical shifts. The chemical
structure description scheme used in this work is based on individual atoms
rather than functional groups. The performances of each of the methods were
optimized in a systematic manner described in this work. Both of the methods,
least-squares and neural network analyses, produce results of a very similar
quality, but the least-squares algorithm is approximately 2--3 times faster.
PMID- 18052245
TI - Synthesis and structural characterization of a new vapochromic Pt(II) complex
based on the 1-terpyridyl-2,3,4,5,6-pentaphenylbenzene (TPPPB) ligand.
AB - A novel terpyridine ligand containing a pentaphenylphenyl moiety linked to the
terpyridyl core (1-terpyridyl-2,3,4,5,6-pentaphenyl-benzene (TPPPB)) has been
synthesized in good yield and reacted with Pt(DMSO)2Cl2, to produce the cationic
complex [Pt(TPPPB)Cl]Cl (5). 5 was studied structurally and spectroscopically. It
is observed to be brightly luminescent in the solid state at room temperature and
at 77 K, with no change in lambdaem(max). The complex exhibits reversible
vapochromic behavior upon exposure to methylene chloride vapors, changing color
from red (5-R) to green (5-G). The shift to higher energy in the emission maximum
from 654 to 514 nm is the largest vapochromic shift (140 nm) yet reported. The
[Pt(TPPPB)Cl]Cl complex exhibits high selectivity for certain volatile organic
compounds (VOCs) including methylene chloride, ethanol, ethyl acetate, and
acetonitrile. The crystal structures of both the green and red forms have been
determined by single-crystal X-ray diffraction. In both forms, the cationic
Pt(II) complex possesses the anticipated square-planar coordination geometry that
is distorted as a consequence of constraints from the terpyridyl binding.
Analysis of the crystal packing of the green form (5-G) reveals the presence of
non-interacting Pt...Pt separations with distances of 3.9092(9) and 4.5483(11) A
and a zigzag arrangement between neighboring Pt(II) ions. The red form (5-R)
contains complexes that are stacked with Pt...Pt separations of 3.2981(14) and
3.3427(14) A, indicative of metallophilic interaction. The change in the emitting
state, as a consequence of the effect of the volatile organic compounds, results
from a disruption of the d8-d8 metallophilic interactions in the red form and its
metal-metal-to-ligand charge transfer (MMLCT) excited state to a more-localized
Pt(dpi)-to-tpy(pi*) metal-to-ligand charge transfer (MLCT) excited state in the
green form.
PMID- 18052246
TI - Halogen exchange and scrambling between C-X and M-X' bonds in copper, nickel, and
cobalt complexes of 6,6'-bis(bromo/chloromethyl)-2,2'-bipyridine. structural,
electrochemical, and photochemical studies.
AB - The synthesis, reactivities, spectroscopic, electrochemical, and structural
studies of copper(I), copper(II), nickel(II), and cobalt(II) complexes of 6,6'
bis(bromomethyl)-2,2'-bipyridine (bpy-Br2) and 6,6'-bis(chloromethyl)-2,2'
bipyridine (bpy-Cl2) have been reported. The copper(I) complex [CuI(bpy
Br2)2](ClO4) (1) has been obtained in two crystallographic modifications, in
which the coordination geometry of the metal center has the D2d symmetry. The
reaction between CuCl2.2H2O and bpy-Br2 has been followed spectrophotometrically
at 45 degrees C over a period of 7 h, and a mechanism for the intramolecular
halogen exchange and scrambling in the initially formed compound [CuII(bpy
Br2)Cl2] (5) has been proposed. Depending upon the reaction conditions, several
halogen-exchanged products, namely [CuII(bpy-Br1.86Cl0.14)(Cl1.89Br0.11)] (2),
[CuII(bpy-Br1.81Cl0.19)(Cl1.70Br0.30)(H2O)] (3), and [CuII(bpy
Br0.63Cl1.37)(Cl0.54Br1.46)] (4), have been isolated in crystalline form. The
reaction between bpy-Cl2 and CuCl2.2H2O provides [CuII(bpy-Cl2)Cl2] (7) and
[CuII(bpy-Cl2)Cl2(H2O)] (8), whereas CoCl2.6H2O and NiCl2.6H20 on reaction with
bpy-Br2 under boiling condition produce [CoII(bpy-Br0.5Cl1.5)(ClBr)] (11) and
[NiII(bpy-Br0.46Cl1.54)(Cl0.73Br1.27)(H2O)] (12), respectively. The X-ray
structures determined for the 4-coordinate compounds 2, 4, and 7 show flattened
tetrahedral geometry for the metal center with the D2 symmetry. Both 5-coordinate
compounds 3 and 12 have square pyramidal geometry, and whereas the nickel(II)
complex 12 has near-perfect geometry (tau = 0.015), considerable distortion is
observed for the copper(II) complex 3 (tau = 0.25). Complexes [CuII(bpy-Cl2)Br2]
(6) and [CuII(bpy-Br2)Br2] under boiling condition undergo photoreduction to
produce the dimeric copper(I) complexes [{CuI(bpy-Cl1.30Br0.70)(mu-Br)}2](9) and
[{CuI(bpy-Br2)(mu-Br)}2] (10), respectively. The fact that the photoreduction of
[CuII(bpy-Cl2)Br2] (6) and [CuII(bpy-Br2)Br2] do not take place in absence of
light has been established by spectrophotometric measurements. The crystal
structures of 9 and 10 have been determined. The electrochemical behavior of all
the copper complexes 1-10 has been studied in acetonitrile and dichloromethane.
The E1/2 values for the CuI/CuII redox couples show strong solvent dependence and
for a given system the E1/2 value is more positive in dichloromethane relative to
that in acetonitrile. For the compounds [CuII(bpy-Br2-xClx)(Cl2-yBry)] (x = 0-2,
y = 0-2), the E1/2 values become more positive with the increase of y value.
PMID- 18052247
TI - Cooperative catalysis by tertiary amino-thioureas: mechanism and basis for
enantioselectivity of ketone cyanosilylation.
AB - The mechanism of the enantioselective cyanosilylation of ketones catalyzed by
tertiary amino-thiourea derivatives was investigated using a combination of
experimental and theoretical methods. The kinetic analysis is consistent with a
cooperative mechanism in which both the thiourea and the tertiary amine of the
catalyst are involved productively in the rate-limiting cyanide addition step.
Density functional theory calculations were used to distinguish between
mechanisms involving thiourea activation of ketone or of cyanide in the
enantioselectivity-determining step. The strong correlation obtained between
experimental and calculated ee's for a range of substrates and catalysts provides
support for the most favorable calculated transition structures involving amine
bound HCN adding to thiourea-bound ketone. The calculations suggest that
enantioselectivity arises from direct interactions between the ketone substrate
and the amino-acid derived portion of the catalyst. On the basis of this insight,
more enantioselective catalysts with broader substrate scope were prepared and
evaluated experimentally.
PMID- 18052248
TI - Non-enzymatic covalent protein labeling using a reactive tag.
AB - We describe herein a new method for covalent labeling of proteins using a
complementary recognition pair of peptide tag and synthetic molecular probe. The
rapid and specific covalent labeling of a tag-fused protein was achieved by the
reaction on the tag site with the probe through their selective molecular
recognition. The advantages of this method involve the facile functional
modification and the high labeling specificity of the tag-fused protein, which
are demonstrated in the labeling experiments in various conditions even inside
cells.
PMID- 18052249
TI - Spectroscopic and quantum chemical studies on low-spin FeIV=O complexes: Fe-O
bonding and its contributions to reactivity.
AB - High-valent FeIV=O species are key intermediates in the catalytic cycles of many
mononuclear non-heme iron enzymes and have been structurally defined in model
systems. Variable-temperature magnetic circular dichroism (VT-MCD) spectroscopy
has been used to evaluate the electronic structures and in particular the Fe-O
bonds of three FeIV=O (S = 1) model complexes, [FeIV(O)(TMC)(NCMe)]2+,
[FeIV(O)(TMC)(OC(O)CF3)]+, and [FeIV(O)(N4Py)]2+. These complexes are
characterized by their strong and covalent Fe-O pi-bonds. The MCD spectra show a
vibronic progression in the nonbonding --> pi* excited state, providing the Fe-O
stretching frequency and the Fe-O bond length in this excited state and
quantifying the pi-contribution to the total Fe-O bond. Correlation of these
experimental data to reactivity shows that the [FeIV(O)(N4Py)]2+ complex, with
the highest reactivity toward hydrogen-atom abstraction among the three, has the
strongest Fe-O pi-bond. Density functional calculations were correlated to the
data and support the experimental analysis. The strength and covalency of the Fe
O pi-bond result in high oxygen character in the important frontier molecular
orbitals (FMOs) for this reaction, the unoccupied beta-spin d(xz/yz) orbitals,
that activates these for electrophilic attack. An extension to biologically
relevant FeIV=O (S = 2) enzyme intermediates shows that these can perform
electrophilic attack reactions along the same mechanistic pathway (pi-FMO
pathway) with similar reactivity but also have an additional reaction channel
involving the unoccupied alpha-spin d(z2) orbital (sigma-FMO pathway). These
studies experimentally probe the FMOs involved in the reactivity of FeIV=O (S =
1) model complexes resulting in a detailed understanding of the Fe-O bond and its
contributions to reactivity.
PMID- 18052250
TI - Structure of the charge separated state P865(+)Q(A)- in the photosynthetic
reaction centers of Rhodobacter sphaeroides by quantum beat oscillations and high
field electron paramagnetic resonance: evidence for light-induced Q(A)-
reorientation.
AB - The structure of the secondary radical pair, P865(+)Q(A)-, in fully deuterated
and Zn-substituted reaction centers (RCs) of the purple bacterium Rhodobacter
sphaeroides R-26 has been determined by high-time resolution and high-field
electron paramagnetic resonance (EPR). A computer analysis of quantum beat
oscillations, observed in a two-dimensional Q-band (34 GHz) EPR experiment,
provides the orientation of the various magnetic tensors of P865(+)Q(A)- with
respect to a magnetic reference frame. The orientation of the g-tensor of P865(+)
in an external reference system is adapted from a single-crystal W-band (95 GHz)
EPR study [Klette, R.; Torring, J. T.; Plato, M.; Mobius, K.; Bonigk, B.; Lubitz,
W. J. Phys. Chem. 1993, 97, 2015-2020]. Thus, we obtain the three-dimensional
structure of the charge separated state P865(+)Q(A)- on a nanosecond time scale
after light-induced charge separation. Comparison with crystallographic data
reveals that the position of the quinone is essentially the same as that in the X
ray structure. However, the head group of Q(A)- has undergone a 60 degrees
rotation in the ring plane relative to its orientation in the crystal structure.
Analysis suggests that the two different QA conformations are functionally
relevant states which control the electron-transfer kinetics from Q(A)- to the
secondary quinone acceptor QB. It appears that the rate-limiting step of this
reaction is a reorientation of Q(A)- in its binding pocket upon light-induced
reduction. The new kinetic model accounts for striking observations by Kleinfeld
et al. who reported that electron transfer from Q(A)- to QB proceeds in RCs
cooled to cryogenic temperature under illumination but does not proceed in RCs
cooled in the dark [Kleinfeld, D.; Okamura, M. Y.; Feher, G. Biochemistry 1984,
23, 5780-5786].
PMID- 18052251
TI - Root-growth mechanism for single-walled boron nitride nanotubes in laser
vaporization technique.
AB - We present a detailed study of the growth mechanism of single-walled boron
nitride nanotubes synthesized by laser vaporization, which is the unique route
known to the synthesis of this kind of tube in high quantities. We have performed
a nanometric chemical and structural characterization by transmission electron
microscopy (high-resolution mode (HRTEM) and electron energy loss spectroscopy)
of the synthesis products. Different boron-based compounds and other impurities
were identified in the raw synthesis products. The results obtained by the TEM
analysis and from the synthesis parameters (temperature, boron, and nitrogen
sources) combined with phase diagram analysis to provide identification of the
fundamental factors determining the nanotube growth mechanism. Our experiments
strongly support a root-growth model that involves the presence of a droplet of
boron. This phenomenological model considers the solubility, solidification, and
segregation phenomena of the elements present in this boron droplet. In this
model, we distinguish three different steps as a function of the temperature: (1)
formation of the liquid boron droplet from the decomposition of different boron
compounds existing in the hexagonal boron nitride target, (2) reaction of these
boron droplets with nitrogen gas present in the vaporization chamber and
recombination of these elements to form boron nitride, and (3) incorporation of
the nitrogen atoms at the root of the boron particle at active reacting sites
that achieves the growth of the tube.
PMID- 18052252
TI - Ligand-specific temperature-dependent shifts in EC50 values for the GABAA
receptor.
AB - We introduce a system for temperature control of a commercial microfluidic
superfusion device that, in combination with patch-clamp, enables rapid
acquisition of dose-response data at different temperatures. We obtained dose
response curves for the GABAA receptor, a ligand-gated ion channel, for two
different agonists at temperatures between 25 and 40 degrees C. For GABA, the
dose-response curves shifted toward higher EC50 values as the temperature
increased, whereas for beta-alanine, the EC50 values were constant. This shows
that temperature is an important factor for obtaining accurate estimations of
EC50 values and also that such temperature effects can be ligand-specific. Using
the EC50 values, we estimated the enthalpy of dissociation between the ligand and
the receptor. Furthermore, the technology introduced here is generally applicable
to all patch-clamp studies where temperature control is desirable, e.g., studies
of kinetics and thermodynamics, drug screening, compliant ADME/Tox testing, and
in studies of temperature-gated ion channels.
PMID- 18052253
TI - Transfer-NMR and docking studies identify the binding of the peptide derived from
activating transcription factor 4 to protein ubiquitin ligase beta-TrCP.
Competition STD-NMR with beta-catenin.
AB - ATF4 plays a crucial role in the cellular response to stress. The E3 ubiquitin
ligase, SCF beta-TrCP protein responsible for ATF4 degradation by the proteasome,
binds to ATF4 through a DpSGXXXpS phosphorylation motif, which is similar but not
identical to the DpSGXXpS motif found in most other substrates of beta-TrCP. NMR
studies were performed on the free and bound forms of a peptide derived from this
ATF4 motif that enabled the elucidation of the conformation of the ligand
complexed to the beta-TrCP protein and its binding mode. Saturation transfer
difference (STD) NMR allowed the study of competition for binding to beta-TrCP,
between the phosphorylation motifs of ATF4 and beta-catenin, to characterize the
ATF4 binding epitope. Docking protocols were performed using the crystal
structure of the beta-catenin-beta-TrCP complex as a template and NMR results of
the ATF4-beta-TrCP complex. In agreement with the STD results, in order to bind
to beta-TrCP, the ATF4 DpSGIXXpSXE motif required the association of two
negatively charged areas, in addition to the hydrophobic interaction in the beta
TrCP central channel. Docking studies showed that the ATF4 DpSGIXXpSXE motif fits
the binding pocket of beta-TrCP through an S-turning conformation. The distance
between the two phosphate groups is 17.8 A, which matched the corresponding
distance 17.1 A for the other extended DpSGXXpS motif in the beta-TrCP receptor
model. This study identifies the residues of the beta-TrCP receptor involved in
ligand recognition. Using a new concept of STD competition experiment, we show
that ATF4 competes and inhibits binding of beta-catenin to beta-TrCP.
PMID- 18052254
TI - Oxygen-induced radical intermediates in the nNOS oxygenase domain regulated by L
arginine, tetrahydrobiopterin, and thiol.
AB - Fully coupled nitric oxide synthase (NOS) catalyzes formation of nitric oxide
(NO), l-citrulline, NADP+, and water from l-arginine, NADPH, and oxygen.
Uncoupled or partially coupled NOS catalyzes the synthesis of reactive oxygen
species such as superoxide, hydrogen peroxide, and peroxynitrite, depending on
the availability of cofactor tetrahydrobiopterin (BH4) and l-arginine during
catalysis. We identified three distinct oxygen-induced radical intermediates in
the ferrous endothelial NOS oxygenase domain (eNOSox) with or without BH4 and/or
l-arginine [Berka, V., Wu, G., Yeh, H. C., Palmer, G., and Tsai, A.-L. (2004) J.
Biol. Chem. 279, 32243-32251]. The effects of BH4 and l-arginine on the oxygen
induced radical intermediates in the isolated neuronal NOS oxygenase domain
(nNOSox) have been similarly investigated by single-turnover stopped-flow and
rapid-freeze quench EPR kinetic measurements in the presence or absence of
dithiothreitol (DTT). Like for eNOSox, we found different radical intermediates
in the reaction of ferrous nNOSox with oxygen. (1) nNOSox (without BH4 or l-Arg)
produces superoxide in the presence or absence of DTT. (2) nNOSox (with BH4 and l
Arg) yields a typical BH4 radical in a manner independent of DTT. (3) nNOSox
(with BH4 and without l-Arg) yields a new radical. Without DTT, EPR showed a
mixture of superoxide and biopterin radicals. With DTT, a new approximately 75 G
wide radical EPR was observed, different from the radical formed by eNOSox. (4)
The presence of only l-arginine in nNOSox (without BH4 but with l-Arg) caused
conversion of approximately 70% of superoxide radical to a novel radical,
explaining how l-arginine decreases the level of superoxide production in nNOSox
(without BH4 but with l-Arg). The regulatory role of l-arginine in nNOS is thus
very different from that in eNOS where substrate was only to decrease the rate of
formation of superoxide but not the total amount of radical. The role of DTT is
also different. DTT prevents oxidation of BH4 in both isoforms, but in nNOS, DTT
also inhibits oxidation of two key cysteines in nNOSox to prevent the loss of
substrate binding. This new role of thiol found only for nNOS may be significant
in neurodegenerative diseases.
PMID- 18052255
TI - Catalysis by the isolated tryptophan tryptophylquinone-containing subunit of
aromatic amine dehydrogenase is distinct from native enzyme and synthetic model
compounds and allows further probing of TTQ mechanism.
AB - Para-substituted benzylamines are poor reactivity probes for structure-reactivity
studies with TTQ-dependent aromatic amine dehydrogenase (AADH). In this study, we
combine kinetic isotope effects (KIEs) with structure-reactivity studies to show
that para-substituted benzylamines are good reactivity probes of TTQ mechanism
with the isolated TTQ-containing subunit of AADH. Contrary to the TTQ-containing
subunit of methylamine dehydrogenase (MADH), which is catalytically inactive, the
small subunit of AADH catalyzes the oxidative deamination of a variety of amine
substrates. Observed rate constants are second order with respect to substrate
and inhibitor (phenylhydrazine) concentration. Kinetic studies with para
substituted benzylamines and their dideuterated counterparts reveal KIEs (>6)
larger than those observed with native AADH (KIEs approximately unity). This is
attributed to formation of the benzylamine-derived iminoquinone requiring
structural rearrangement of the benzyl side chain in the active site of the
native enzyme. This structural reorganization requires motions from the side
chains of adjacent residues (which are absent in the isolated small subunit). The
position of Phealpha97 in particular is responsible for the conformational gating
(and hence deflated KIEs) observed with para-substituted benzylamines in the
native enzyme. Hammett plots for the small subunit exhibit a strong correlation
of structure-reactivity data with electronic substituent effects for para
substituted benzylamines and phenethylamines, unlike native AADH for which a poor
correlation is observed. TTQ reduction in the isolated subunit is enhanced by
electron withdrawing substituents, contrary to structure-reactivity studies
reported for synthetic TTQ model compounds in which rate constants are enhanced
by electron donating substituents. We infer that para-substituted benzylamines
are good reactivity probes of TTQ mechanism with the isolated small subunit. This
is attributed to the absence of structural rearrangement prior to H-transfer that
limits the rate of TTQ reduction by para-substituted benzylamines in native
enzyme.
PMID- 18052256
TI - Mechanism of inhibition of HIV-1 reverse transcriptase by the novel broad-range
DNA polymerase inhibitor N-{2-[4-(aminosulfonyl)phenyl]ethyl}-2-(2
thienyl)acetamide.
AB - Employing a novel strategy, we have virtually screened a large library of
compounds to identify novel inhibitors of the reverse transcriptase (RT) of HIV
1. Fifty-six top scored compounds were tested in vitro, and two of them inhibited
efficiently the DNA polymerase activity of RT. The most effective compound, N-{2
[4-(aminosulfonyl)phenyl]ethyl}-2-(2-thienyl)acetamide (NAPETA), inhibited both
RNA-dependent and DNA-dependent DNA polymerase activities, with apparent IC50
values of 1.2 and 2.1 microM, respectively. This inhibition was specific to the
RT-associated polymerase activity and did not affect the RNase H activity. NAPETA
also inhibited two drug-resistant HIV-1 RT mutants as well as HIV-2 RT and other
DNA polymerases. Kinetic analysis of RT inhibition indicated that the DNA
polymerase activity of HIV-1 RT was inhibited in a classic noncompetitive manner
with respect to dTTP, demonstrating a Ki value of 1.2 microM. In contrast, the
inhibition with respect to the RNA.DNA template was a mixed linear type with a Ki
value of 0.12 microM and was not affected by the order in which the
template.primer and inhibitor were added to the reaction mixture. Gel shift and
surface plasmon resonance analyses confirmed that NAPETA interfered with the
formation of the RT.DNA complex (that is crucial for the polymerization activity)
by reducing the affinity of RT for DNA, accounting at least partially for the
inhibition. It is likely that NAPETA inhibited RT via a mechanism that is
different from that of the classic non-nucleoside RT inhibitors used for treating
AIDS/HIV patients and, thus, may serve as a lead compound for the development of
novel anti-HIV drugs.
PMID- 18052257
TI - One-electron oxidation and reduction potentials of nitroxide antioxidants: a
theoretical study.
AB - High-level ab initio calculations have been used to determine the oxidation and
reduction potentials of a large number of nitroxides including derivatives of
piperidine, pyrrolidine, isoindoline, and azaphenalene, substituted with COOH,
NH2, NH3+, OCH3, OH, and NO2 groups, with a view to (a) identifying a low-cost
theoretical procedures for the determination of electrode potentials of
nitroxides and (b) studying the effect of substituents on these systems. Accurate
oxidation and reduction potentials to within 40 mV (3.9 kJ mol(-1)) of
experimental values were found using G3(MP2)-RAD//B3-LYP/6-31G(d) gas-phase
energies and PCM solvation calculations at the B3-LYP/6-31G(d) level. For larger
systems, an ONIOM method in which G3(MP2)-RAD calculations for the core are
combined with lower-cost RMP2/6-311+G(3df,2p) calculations for the full system,
was able to approximate G3(MP2)-RAD values (to within 1.6 kJ mol(-1)) at a
fraction of the computational cost. The overall ring structure has more effect on
the electrode potentials than the inclusion of substituents. Azaphenalene
derivatives display the lowest oxidation potentials and least negative reduction
potentials and are thus the most promising target to function as antioxidants in
biological systems. Piperidine and pyrrolidine derivatives have intermediate
oxidation potentials but on average pyrrolidine derivatives display more negative
reduction potentials. Isoindoline derivatives show higher oxidation potentials
and more negative reduction potentials. Within a ring, the substituents have a
relatively small effect with electron donating groups such as amino and hydroxy
groups stabilizing the oxidized species and electron withdrawing groups such as
carboxy groups stabilizing the reduced species, as expected.
PMID- 18052258
TI - Superelectrophilic activation of 4-heterocyclohexanones. Implications for polymer
synthesis. A theoretical study.
AB - The stability and the reactivity of mono- and diprotonated 4-heterocyclohexanones
as well as cyclohexanone in triflic acid have been studied at the PBE0/aug-cc
pvtz//PBE0/6-31+G** level of theory. In all cases the first protonation is an
exergonic process occurring at a carbonyl oxygen except for 4-piperidone where a
nitrogen atom is protonated fist. Second protonation is only slightly endergonic
for all studied molecules except for cyclohexanone where the second protonation
is very unfavorable thermodynamically. According to calculations, diprotonated 4
heterocyclohexanones are much more active in the reactions of triflic acid
mediated polyalkoxyalkylation with aromatic hydrocarbons compared to
monoprotonated ones. The increase of the reactivity of diprotonated 4
heterocyclohexanones is due to inductive effect rather than through space
electrostatic influence as follows from the electronic structure analysis of
dications. Moreover, the second protonation reduces the possibility of an aldol
condensation side reaction, reducing the enol electrophilicity rendering
heterocyclohexanones as promising monomers for superacid mediated
polyhydroxyalkylation.
PMID- 18052259
TI - Multiple bonds and excited states from the Hartree-Fock-Heitler-London method.
AB - The recently proposed Hartree-Fock-Heitler-London, HF-HL, method (Corongiu, G. J.
Phys. Chem. A 2006, 110, 11584) previously tested for single bond molecules is
validated by potential energy computations for open and closed shells, single and
multiple bonds, in ground and excited states of homopolar diatomic molecules of
the first and second period. The simple HF-HL function, including the
configurations for 2s/2p near degeneracy and avoiding state crossing, yields
correct dissociation products, qualitatively correct binding, and accounts for
non-dynamical correlation. Addition of ionic structures improves the ab initio HF
HL function and yields about 95% of the experimental binding energies on average.
Computed excitation energies are also in agreement with laboratory values as
verified for the 3 Pi u and 3 Zeta g- excited states of the C2 molecule.
Computation of the remaining dynamical correlation using a semiempirical
functional yields binding energies with an average deviation of 1.5 kcal/mol from
laboratory values, and total energies with an average deviation of 0.7 kcal/mol
from exact nonrelativistic dissociation energies.
PMID- 18052260
TI - Gas-phase structure of a pi-allyl-palladium complex: efficient infrared
spectroscopy in a 7 T Fourier transform mass spectrometer.
AB - The performance of infrared (IR) spectroscopy of gas-phase ions in a commercially
available 7 T Fourier transform ion cyclotron resonance mass spectrometer has
been characterized. A pi-allyl-palladium reactive intermediate, [(pi
allyl)Pd(P(C6H5)3)2]+, involved in the catalytic allylation of amine is studied.
A solution of this transition metal complex is electrosprayed, and the IR
multiple photon dissociation (IRMPD) spectrum of the mass-selected ions is
recorded in two spectral ranges. The fingerprint spectrum (650-1550 cm(-1)) is
recorded using the Orsay free-electron laser, and the dependence of the IRMPD
efficiency on laser power and irradiation time is characterized. The DFT
calculated IR absorption spectrum of the [(pi-allyl)Pd(P(C6H5)3)2]+ complex shows
good agreement with the experimental spectrum. The pi-interaction between the
palladium and the allyl moiety is reflected by the assignment of the IRMPD bands,
and the observed allylic CH2 wagging modes appear to form a sensitive probe for
the pi-interaction strength in metal-pi-allyl complexes. This spectral assignment
is further supported by the analysis of the different IRMPD photofragmentation
patterns observed at different photon energies, which are found to result from
wavelength-specific photofragmentations. Nine peaks are well-resolved in the
experimental spectrum, for which the bandwidth (fwhm) is on the order of 15 cm(
1). Resonances with a calculated IR intensity of 5 km/mol or larger are shown to
be amenable for IRMPD, indicating an excellent sensitivity of our new
experimental setup. Finally, the IR spectrum has also been recorded in the CH
stretching region (2950-3150 cm(-1)) using a tabletop IR optical parametric
oscillator/amplifier (OPO/OPA) laser source.
PMID- 18052261
TI - Covalent and ionic nature of the dative bond and account of accurate ammonia
borane binding enthalpies.
AB - The inherent difficulty in modeling the energetic character of the B-N dative
bond has been investigated utilizing density functional theory and ab initio
methods. The underlying influence of basis set size and functions, thermal
corrections, and basis set superposition error (BSSE) on the predicted binding
enthalpy of ammonia borane (H3B-NH3) and four methyl-substituted ammonia
trimethylboranes ((CH3)3B-N(CH3)nH3-n; n = 0-3) has been evaluated and compared
with experiment. HF, B3LYP, MPW1K, MP2, QCISD, and QCISD(T) have been utilized
with a wide range of Pople and correlation-consistent basis sets, totaling 336
levels of theory. MPW1K, B3LYP, and HF result in less BSSE and converge to
binding enthalpies with fewer basis functions than post-SCF techniques; however,
the methods fail to model experimental binding enthalpies and trends accurately,
producing mean absolute deviations (MADs) of 5.1, 10.8, and 16.3 kcal/mol,
respectively. Despite slow convergence, MP2, QCISD, and QCISD(T) using the 6
311++G(3df,2p) basis set reproduce the experimental binding enthalpy trend and
result in lower MADs of 2.2, 2.6, and 0.5 kcal/mol, respectively, when corrected
for BSSE and a residual convergence error of ca. 1.3-1.6 kcal/mol. Accuracy of
the predicted binding enthalpy is linked to correct determination of the bond's
dative character given by charge-transfer frustration, QCTF = -(Delta QN + Delta
QB). Frustration gauges the incompleteness of charge transfer between the donor
and the acceptor. The binding enthalpy across ammonia borane and methylated
complexes is correlated to its dative character (R2 = 0.91), where a more dative
bond (less charge-transfer frustration) results in a weaker binding enthalpy.
However, a balance of electronic and steric factors must be considered to explain
trends in experimentally reported binding enthalpies. Dative bond descriptors,
such as bond ionicity and covalency are important in the accurate
characterization of the dative bond. The B-N dative bond in ammonia borane is 65%
ionic, moderately strong (-27.5 +/- 0.5 kcal/mol), and structurally flexible on
the donor side to relieve steric congestion.
PMID- 18052262
TI - Electronic structure and photoionization and dissociation processes of
bis(trifluoromethoxy)disulfurylperoxide, CF3OS(O)2OOS(O)2OCF3.
AB - In this work, we present a complete study of the photoionization and dissociation
processes for bis(trifluoromethoxy)disulfurylperoxide, CF3OS(O)2OOS(O)2OCF3,
which was generated by UV photolysis of a mixture of (CF3CO)2O, SO2, and O2 at a
low temperature. The reaction product was detected and characterized by the
photoelectron (PE) and photoionization mass spectroscopy (PIMS). For comparison,
the geometric and electronic structures of CF3S(O)2OS(O)2CF3 (a),
CF3OS(O)2OS(O)2OCF3 (b), and CF3OS(O)2OOS(O)2OCF3 (c) were investigated by the
combination of experiments and theoretical studies. The PES results show that the
outer electrons residing in nO(S=O) of b and c are more tightly bound than those
of a. It is worthwhile mentioning that drastic changes occur in the geometry of c
after one-electron ionization. The neutral molecule exhibits a gauche structure
with the SOOS dihedral angle of 124.4 degrees . The first ionization process
happens on the O-O antibonding orbital. The remarkable geometric changes between
the ground-state molecule and cation are computed to be the gauche-to-trans
rotation of deltaSOOS and the prolongation of the S1-O1 single bond length.
According to the calculated bond dissociation energies, the dissociation process
was discussed. The calculated results indicate that once the parent ion is
formed, the dissociation of the S1-O1 bond to form CF3OSO2+ is inevitable.
PMID- 18052263
TI - Effect of cooperative hydrogen bonding in azo-hydrazone tautomerism of azo dyes.
AB - Azo-hydrazone tautomerism in azo dyes has been modeled by using density
functional theory (DFT) at the B3LYP/6-31+G(d,p) level of theory. The most stable
tautomer was determined both for model compounds and for azo dyes Acid Orange 7
and Solvent Yellow 14. The effects of the sulfonate group substitution and the
replacement of the phenyl group with naphthyl on the tautomer stability and on
the behavior in solvent have been discussed. Intramolecular hydrogen bond
energies have been estimated for the azo and hydrazone tautomers to derive a
relationship between the tautomer stability and the hydrogen bond strength. The
transition structures for proton transfer displayed resonance assisted strong
hydrogen bonding properties within the framework of the electrostatic-covalent
hydrogen bond model (ECHBM). Evolution of the intramolecular hydrogen bond with
changing structural and environmental factors during the tautomeric conversion
process has been studied extensively by means of the atoms-in-molecules (AIM)
analysis of the electron density. The bulk solvent effect was examined using the
self-consistent reaction field model. Special solute-solvent interactions were
further investigated by means of quantum mechanical calculations after defining
the first-solvation shell by molecular dynamics simulations. The effect of
cooperative hydrogen bonding with solvent molecules on the tautomer stability has
been discussed.
PMID- 18052264
TI - Modeling metal cation-phosphate interactions in nucleic acids in the gas phase
via alkali metal cation-triethyl phosphate complexes.
AB - Threshold collision-induced dissociation techniques are employed to determine the
bond dissociation energies (BDEs) of complexes of alkali metal cations, Na+, K+,
Rb+, and Cs+, to triethyl phosphate (TEP). The primary and lowest energy
dissociation pathway in all cases is the endothermic loss of the neutral TEP
ligand. Theoretical electronic structure calculations at the B3LYP/6
311+G(2d,2p)//B3LYP/6-31G* level of theory are used to determine the structures,
molecular parameters, and theoretical estimates for the BDEs of these complexes.
For the complexes to Rb+ and Cs+, theoretical calculations were performed using
hybrid basis sets in which the effective core potentials and valence basis sets
of Hay and Wadt were used to describe the alkali metal cation, while the standard
basis sets were used for all other atoms. The agreement between theory and
experiment is excellent for the complexes to Na+ and K+ and is somewhat less
satisfactory for the complexes to the heavier alkali metal cations, Rb+ and Cs+,
where effective core potentials were used to describe the cation. The trends in
the binding energies are examined. The binding of alkali metal cations to
triethyl phosphate is compared with that to trimethylphosphate.
PMID- 18052265
TI - pi-H...O hydrogen bonds: multicenter covalent pi-H interaction acts as the proton
donating system.
AB - The MP2 method and the Pople-style basis sets 6-311++G(d,p), 6-311++G(2df,2pd),
and 6-311++G(3df,3pd) were used to perform calculations on H3O+...C2H2 and
C2H3+...C2H2 complexes and related species. Hydrogen bonds existing for the
analyzed complexes were investigated as well as related pi-H...O --> pi...H-O and
pi-H...pi --> pi...H-pi proton-transfer processes. For some of the complexes
analyzed the multicenter pi-H interaction possessing the properties of a covalent
bond acts as a proton donor; more generally it is classified as the Lewis acid.
The quantum theory of "atoms in molecules" (QTAIM) was also applied to deepen the
nature of these interactions in terms of characteristics of bond critical points.
The pi-H...O, O-H...pi, and pi-H...pi interactions analyzed here may be
classified as hydrogen bonds since their characteristics are the same as or at
least similar to those of typical hydrogen bonds. H...pi interactions are common
in crystal structures of organic and organometallic compounds. The analyses
performed here show a continuum of such interactions since there are H...pi
contacts possessing the characteristics of weak intermolecular interactions on
the one hand and pi-H multicenter covalent bonds on the other. Ab initio and
QTAIM results support the latter statements.
PMID- 18052266
TI - Resonance Raman spectroscopy and quantum-chemical calculations of push-pull
molecules: 4-hydroxy-4'-nitroazobenzene and its anion.
AB - The deprotonation of the push-pull molecule 4-hydroxy-4'-nitroazobenzene leads to
a substantial variation in the charge distribution over the donor and acceptor
moieties in the D-pi-azo-pi-A system. The extra charge stabilizes the excited
state, leading to a drastic red shift of ca. 100 nm in the lambda max of the
electronic transition and consequently causes significant changes in the
resonance Raman enhancement profiles. In the neutral species the chromophore
involves several modes, as nu(CN), nu(NN), and nu s(NO2), while in the anion the
selective enhancement of the nu s(NO2) and nu(CO-) modes indicates a greater
geometric variation of the NO2 and CO- moieties in the resonant excited
electronic state. The interpretation of the electronic transitions and the
vibrational assignment are supported by quantum-mechanical calculations, allowing
a consistent analysis of the enhancement patterns observed in the resonance Raman
spectra.
PMID- 18052267
TI - High-performance organic field-effect transistors: molecular design, device
fabrication, and physical properties.
AB - In the past decade, tremendous progress has been made in organic field-effect
transistors (OFETs). Their real applications require further development of
device performance. OFETs consist of organic semiconductors, dielectric layers,
and electrodes. Organic semiconductors play a key role in determining the device
characteristics. The properties of the organic semiconductors, such as molecular
structure and packing, as well as molecular energy levels, can be properly
controlled by molecular design. Therefore, we designed and synthesized a series
of organic molecules. The synthesized organic semiconductors exhibit excellent
field-effect properties due to strong intermolecular interactions and proper
molecular energy levels. Meanwhile, the influence of the device fabrication
process, organic semiconductor/dielectric layer interface, and organic
layer/electrode contact on the device performance was investigated. A deep
understanding of these factors is helpful to improve field-effect properties.
Furthermore, single-crystal field-effect transistors are highlighted because the
single-crystal-based FETs can provide an accurate conducting mechanism of organic
semiconductors and higher device performance as compared with thin film FETs.
PMID- 18052268
TI - Continuum simulations of acetylcholine consumption by acetylcholinesterase: a
Poisson-Nernst-Planck approach.
AB - The Poisson-Nernst-Planck (PNP) equation provides a continuum description of
electrostatic-driven diffusion and is used here to model the diffusion and
reaction of acetylcholine (ACh) with acetylcholinesterase (AChE) enzymes. This
study focuses on the effects of ion and substrate concentrations on the reaction
rate and rate coefficient. To this end, the PNP equations are numerically solved
with a hybrid finite element and boundary element method at a wide range of ion
and substrate concentrations, and the results are compared with the partially
coupled Smoluchowski-Poisson-Boltzmann model. The reaction rate is found to
depend strongly on the concentrations of both the substrate and ions; this is
explained by the competition between the intersubstrate repulsion and the ionic
screening effects. The reaction rate coefficient is independent of the substrate
concentration only at very high ion concentrations, whereas at low ion
concentrations the behavior of the rate depends strongly on the substrate
concentration. Moreover, at physiological ion concentrations, variations in
substrate concentration significantly affect the transient behavior of the
reaction. Our results offer a reliable estimate of reaction rates at various
conditions and imply that the concentrations of charged substrates must be
coupled with the electrostatic computation to provide a more realistic
description of neurotransmission and other electrodiffusion and reaction
processes.
PMID- 18052269
TI - An investigation of water dynamics in binary mixtures of water and dimethyl
sulfoxide.
AB - The motion of water molecules in mixtures of water and d6-dimethyl sulfoxide
(DMSO) has been explored through molecular dynamics (MD) simulations using the
SPC/E water model (J. Chem. Phys. 1987, 91, 6269) and the P2 DMSO model (J. Chem.
Phys. 1993, 98, 8160). We evaluate the self-intermediate scattering functions,
FS(Q,t), which are related by a Fourier transform to the incoherent structure
factors, S(Q,omega), measured in quasielastic neutron scattering (QNS)
experiments. We compare our results to recent QNS experiments on these mixtures
reported by Bordallo et al. (J. Chem. Phys. 2004, 121, 12457). In addition to
comparing the MD data to the experimental signals, which correspond to a
convolution of S(Q,omega) with a resolution function, we examine the rotational
and translational components of FS(Q,t) and investigate to what extent simulation
results for the single-molecule dynamics follow the dynamical models that are
used in the analysis of the experimental data. We find that the agreement between
the experimental signal and the MD data is quite good and that the portion of
FS(Q,t) due to translational dynamics is well represented by the jump-diffusion
model. The model parameters and their composition dependence are in reasonable
agreement with experiment, exhibiting similar trends in water mobility with
composition. Specifically, we find that water motion is less hindered in water
rich and water-poor mixtures than it is near equimolar composition. We find that
the extent of coupling between rotational and translational motion contributing
to FS(Q,t) increases as the equimolar composition of the mixture is approached.
Thus, the decoupling approximation, which is used to extract information on
rotational relaxation from QNS spectra at higher momentum transfer (Q) values,
becomes less accurate than that in water-rich or DMSO-rich mixtures. We also find
that rotational relaxation deviates quite strongly from the isotropic rotational
diffusion model. We explore this issue further by investigating the behavior of
orientational time correlations for different unit vectors and corresponding to
Legendre polynomials of orders 1-4. We find that the rotational time correlations
of water molecules behave in a way that is more consistent with the extended jump
rotation model recently proposed by Laage and Hynes (Science 2006, 311, 832).
PMID- 18052270
TI - Predicting noncovalent interactions between aromatic biomolecules with London
dispersion-corrected DFT.
AB - Within the framework of Kohn-Sham density functional theory, interaction energies
of hydrogen bonded and pi-pi stacked supramolecular complexes of aromatic
heterocycles, nucleobase pairs, and complexes of nucleobases with the anti-cancer
agent ellipticine as well as its derivatives are evaluated. Dispersion-corrected
atom-centered potentials (DCACPs) are employed together with a generalized
gradient approximation to the exchange correlation functional. For all systems
presented, the DCACP calculations are in very good agreement with available post
Hartree-Fock quantum chemical results. Estimates of 3-body contributions (<15% of
the respective interaction energy) and deformation energies (5-15% of the
interaction energy) are given. Based on our results, we predict a strongly bound
interaction energy profile for the ellipticine intercalation process with a
stabilization of nearly 40 kcal/mol (deformation energy not taken into account)
when fully intercalated. The frontier orbitals of the intercalator-nucleobase
complex and the corresponding non-intercalated nucleobases are investigated and
show significant changes upon intercalation. The results not only offer some
insights into the systems investigated but also suggest that DCACPs can serve as
an effective way to achieve higher accuracy in density functional theory without
incurring an unaffordable computational overhead, paving ways for more realistic
studies on biomolecular complexes in the condensed phase.
PMID- 18052271
TI - Molecular dynamics simulations of ternary membrane mixture: phosphatidylcholine,
phosphatidic acid, and cholesterol.
AB - A ternary mixture of 1-palmitoyl-2-oleoyl phosphatidylcholine (POPC), 1-palmitoyl
2-oleoyl phosphatidic acid (POPA), and cholesterol (CHOL) works effectively for a
functional conformation of nicotinic acetylcholine receptor (nAChR) that can
undergo agonist-induced conformation changes, but POPC alone can stabilize only a
desensitized state of nAChR. To gain insights into the lipid mixture that has
strong impact to nAChR functions, we performed more than 50 ns all atom molecular
dynamic (MD) simulations at 303 K on a fully hydrated bilayer consisting of 240
POPC, 80 POPA, and 80 CHOL (3:1:1). The MD simulation revealed various
interactions between different types of molecular pairs that ultimately regulated
lipid organization. The heterogeneous interactions among three different
constituents resulted in a broad spectrum of lipid properties, including
extensive distributions of average area per lipid and varied lipid ordering as a
function of lipid closeness to CHOL. Higher percentage of POPA than POPC had
close association with CHOL, which coincided with relatively higher ordering of
POPA molecules in their acyl chains near lipid head groups. Lower fraction of
gauche dihedrals was also found in the same region of POPA. Although the CHOL
molecules had the effects on the enhancement of surrounding lipid order,
relatively low lipid order parameters and high fraction of gauche bonds were
observed in the ternary mixture. Collectively, these results suggest that the
dynamical structure of the ternary system could be determinant for a functional
nAChR.
PMID- 18052273
TI - Solid-state NMR study of the post-fluorination of (C2.5F)n fluorine-GIC.
AB - The conversion of (C2.5F)n fluorine-graphite intercalation compounds (GIC) into
covalent graphite fluoride during a post-treatment in pure F2 gas is studied by
solid-state NMR. First, a careful characterization of the starting material is
performed; in particular, for the first time for fluorinated carbons, two
dimensional 19F--> 13C cross-polarization wide-line separation (CP-WISE)
experiments were carried out. This completes the classical NMR data such as 19F
and 13C chemical shifts, quantitative 13C solid echo, and C-F bond length
measurements, which were estimated by dipolar recoupling using inverse CP MAS.
The data of the raw (C2.5F)n and of the samples post-fluorinated at 350, 450, and
550 degrees C were compared to investigate the C-F bonding as a function of the
treatment temperature. The C-F bonding is discussed taking into account a
hyperconjugation of the C-F bonds with neighboring unfluorinated carbon atoms.
PMID- 18052274
TI - Interplay between hydration and electrostatic attraction in ligand binding:
direct observation of hydration barrier at reverse micellar interface.
AB - The recognition of a charged biomolecular surface by an oppositely charged ligand
is governed by electrostatic attraction and surface hydration. In the present
study, the interplay between electrostatic attraction and hydration at the
interface of a negatively charged reverse micelle (RM) at different temperatures
has been addressed. Temperature-dependent solvation dynamics of a probe H33258
(H258) at the reverse micellar interface explores the nature of hydration at the
interface. Up to 45 degrees C, the environmental dynamics reported by the
interface-binding probe H258 becomes progressively faster with increasing
temperature and follows the Arrhenius model. Above 45 degrees C, the observed
dynamics slows down with increasing temperature, thus deviating from the
Arrhenius model. The slower dynamics at higher temperatures is interpreted to be
due to increasing contributions from the motions of the surfactant head groups,
indicating the proximity of the probe to the interface at higher temperatures.
This suggests an increased electrostatic attraction between the ligand and
interface at higher temperatures and is attributed to the change in hydration.
Densimetric and acoustic studies, indeed, show a drastic increase in the apparent
specific adiabatic compressibility of the water molecules present in RMs after 45
degrees C, revealing the existence of a softer hydration shell at higher
temperatures. Our study indicates that the hydration layer at a charged interface
acts both as physical and energetic barrier to electrostatic interactions of
small ligands at the interface.
PMID- 18052272
TI - Protecting peroxidase activity of multilayer enzyme-polyion films using outer
catalase layers.
AB - Films constructed layer-by-layer on electrodes with architecture
{protein/hyaluronic acid (HA)}n containing myoglobin (Mb) or horseradish
peroxidase (HRP) were protected against protein damage by H2O2 by using outer
catalase layers. Peroxidase activity for substrate oxidation requires activation
by H2O2, but {protein/HA}n films without outer catalase layers are damaged slowly
and irreversibly by H2O2. The rate and extent of damage were decreased
dramatically by adding outer catalase layers to decompose H2O2. Comparative
studies suggest that protection results from catalase decomposing a fraction of
the H2O2 as it enters the film, rather than by an in-film diffusion barrier. The
outer catalase layers controlled the rate of H2O2 entry into inner regions of the
film, and they biased the system to favor electrocatalytic peroxide reduction
over enzyme damage. Catalase-protected {protein/HA}n films had an increased
linear concentration range for H2O2 detection. This approach offers an effective
way to protect biosensors from damage by H2O2.
PMID- 18052275
TI - Nanoreactors based on amphiphilic uracilophanes: self-organization and reactivity
study.
AB - New amphiphilic pyrimidinic macrocycles (APMs) with two (APM-1) and three (APM-2)
decyl tails have been synthesized by quaternization of the bridged N. Complex
examination of the APM-based systems with the help of tensiometry, conductometry,
dynamic light scattering, and UV and NMR spectroscopy provides evidence for their
aggregation. Calculations based on surface tension isotherms and on packing
parameter considerations make it possible to assume a lamellar packing of
macrocycles when aggregating. Marked differences in the aggregation behavior of
APM-1 and APM-2 have been found. The additives of polyethylenimine (PEI) exert
little influence on the critical micelle concentration (cmc) of APM-1, while in
the APM-2/PEI systems there occurs a pronounced decrease in the cmc and also a
ca. 2-fold decrease in the surface area per molecule. The APM-based assemblies
are explored as nanoreactors for the hydrolysis of O-alkyl O-p-nitrophenyl
(chloromethyl)phosphonates (alkyl = ethyl, hexyl). The kinetic study reveals a
minor rate effect of the APM-1-based systems. In the APM-2-based systems an
acceleration of the hydrolysis of both phosphonates occurs as compared to the
uncatalyzed process. Within the APM-2 --> APM-2/PEI --> APM-2/PEI/La(III) series,
due to the cooperative contributions of the supramolecular, polymer, and
homogeneous catalysis, an increase in the catalytic effect is observed from 30
times to 3 orders of magnitude as compared to that of the basic hydrolysis of the
substrates.
PMID- 18052276
TI - Thermal diffusion forced Rayleigh scattering setup optimized for aqueous
mixtures.
AB - We developed a thermal diffusion forced Rayleigh scattering (TDFRS) setup
operating at a writing wavelength of 980 nm, which corresponds to an absorption
band of water with an absorption coefficient of approximately 0.5 cm(-1).
Therefore, aqueous mixtures require no dye to convert the light into heat energy.
Especially for aqueous system with a complex phase behavior such as surfactant
systems, the addition of a water soluble dye can cause artifacts. The infrared
TDFRS (IR-TDFRS) setup has been validated for water/ethanol mixtures with water
weight fractions c = 0.5-0.95 and in a temperature range between T = 15 degrees C
to T = 35 degrees C. Comparison with literature data shows an excellent
agreement. The addition of a small amount (c(dye) approximately 10(-6) wt) of
adsorbing dye at the writing wavelength allows also the investigation of organic
mixtures. We investigated the three binary mixtures of dodecane, isobutylbenzene,
and 1,2,3,4-tetrahydronaphthalene at a weight fraction of c = 0.5 at a
temperature of 25 degrees C and found good agreement with the Soret coefficients,
which had been obtained in a benchmark test under the same conditions. Therefore,
the presented setup is suitable for the investigation of the thermal diffusion
behavior in aqueous and organic mixtures, and in the case of aqueous systems, the
addition of a dye can be avoided.
PMID- 18052277
TI - Quantum state-resolved CO2 collisions at the gas-liquid interface: surface
temperature-dependent scattering dynamics.
AB - Energy transfer dynamics at the gas-liquid interface are investigated as a
function of surface temperature both by experimental studies of CO2 +
perfluorinated polyether (PFPE) and by molecular dynamics simulations of CO2 +
fluorinated self-assembled monolayers (F-SAMs). Using a normal incident molecular
beam, the experimental studies probe scattered CO2 internal-state and
translational distributions with high resolution infrared spectroscopy. At low
incident energies [Einc = 1.6(1) kcal/mol], CO2 J-state populations and
transverse Doppler velocity distributions are characteristic of the surface
temperature (Trot approximately Ttrans approximately TS) over the range from 232
to 323 K. In contrast, the rotational and translational distributions at high
incident energies [Einc = 10.6(8) kcal/mol] show evidence for both trapping
desorption (TD) and impulsive scattering (IS) events. Specifically, the
populations are surprisingly well-characterized by a sum of Boltzmann
distributions where the two components include one (TD) that equilibrates with
the surface (TTD approximately TS) and a second (IS) that is much hotter than the
surface temperature (TIS > TS). Support for the superthermal, yet Boltzmann,
nature of the IS channel is provided by molecular dynamics (MD) simulations of
CO2 + F-SAMs [Einc = 10.6 kcal/mol], which reveal two-temperature distributions,
sticking probabilities, and angular distributions in near quantitative agreement
with the experimental PFPE results. Finally, experiments as a function of surface
temperature reveal an increase in both sticking probability and
rotational/translational temperature of the IS component. Such a trend is
consistent with increased surface roughness at higher surface temperature, which
increases the overall probability of trapping, yet preferentially leads to
impulsive scattering of more highly internally excited CO2 from the surface.
PMID- 18052278
TI - Ion pairing as a possible clue for discriminating between sodium and potassium in
biological and other complex environments.
AB - For a series of biologically relevant anions, we present free energy changes upon
replacing potassium with sodium in a contact ion pair. Calculations performed
using a combination of molecular dynamics simulations and ab initio methods
demonstrate the ordering of anions in a Hofmeister series. Small anionic groups
such as carboxylates preferentially pair with sodium, while intermediate cases
such as chloride or monovalent phosphate exhibit almost no specificity, and large
anions (e.g., methylsulfonate) prefer potassium over sodium. These results can
rationalize different behavior of Na+ versus K+ at the surface of hydrated
proteins, DNA, and reversed micelles.
PMID- 18052279
TI - The mechanism of MIO-based aminomutases in beta-amino acid biosynthesis.
AB - Beta-amino acids are widely used building blocks in both natural and synthetic
compounds. Aromatic beta-amino acids can be biosynthesized directly from
proteinogenic alpha-amino acids by the action of MIO (4-methylideneimidazole-5
one)-based aminomutase enzymes. The uncommon cofactor MIO plays a role in both
ammonia lyases and 2,3-aminomutases; however, the precise mechanism of the
cofactor has not been resolved. Here we provide evidence that the electrophilic
cofactor uses covalent catalysis through the substrate amine to direct the
elimination and subsequent readdition of ammonia. A mechanism-based inhibitor was
synthesized and the X-ray cocomplex structure was determined to 2.0 A resolution.
The inhibitor halts the chemistry of the reverse reaction, providing a stable
complex that establishes the mode of substrate binding and the importance of
tyrosine 63 in the chemistry. The proposed mechanism is consistent with the
biochemistry of aminomutases and ammonia lyases and provides strong support for
an amine-adduct mechanism of catalysis for this enzyme class.
PMID- 18052280
TI - Observation of an acryloyl-thiamin diphosphate adduct in the first step of
clavulanic acid biosynthesis.
AB - The first committed biosynthetic step toward clavulanic acid, the clinically
important beta-lactamase inhibitor, is catalyzed by the thiamin diphosphate
(ThDP)-dependent enzyme N2-(2-carboxyethyl)arginine synthase (CEAS). This protein
carries out a unique reaction among ThDP-dependent processes in which a C-N bond
is formed, and an electrophilic acryloyl-thiazolium intermediate of ThDP is
proposed to be involved, unlike the nucleophilic enamine species typically
generated by this class of enzymes. Here we present evidence for the existence of
the putative acryloyl adduct and report the unexpected observation of a long
wavelength chromophore (lambda = 433 nm), which we attribute to this enzyme-bound
species. Chemical models were synthesized that both confirm its expected
absorption (lambda = 310-320 nm) and exclude self-condensation and intramolecular
imine formation with the cofactor as its cause. Circular dichroism experiments
and others discount charge transfer as a likely explanation for the approximately
120 nm red shift of the chromophore ( approximately 25 kcal). Examples are well
known of charged molecules that exhibit significantly red-shifted UV-visible
spectra compared to their neutral forms as, for example, polyene cations and dyes
such as indigo and the cyanines. Rhodopsin is the classic biochemical example
where the protein (opsin)-bound protonated Schiff base of retinal displays a
remarkable range of red-shifted absorptions modulated by the protein environment.
Similar tuning of the chromophoric behavior of the enzyme-bound CEAS
acryloyl.ThDP species may be occurring.
PMID- 18052281
TI - Isolation of 6,13-dipropylpentacene and its tautomerization.
AB - 6,13-Dipropyl-5,14-dihydropentacene was aromatized by the combination of the
formation of pentacene-DDQ adduct and abstraction of DDQ from the pentacene-DDQ
adduct with 50 equiv of gamma-terpinene to give 6,13-dipropylpentacene cleanly.
It was stable and isolable. In the presence of a catalytic amount of acid, 6,13
dipropylpentacene was isomerized to its tautomer.
PMID- 18052282
TI - Contact chemistry and single-molecule conductance: a comparison of phosphines,
methyl sulfides, and amines.
AB - We compare the low bias conductance of a series of alkanes terminated on their
ends with dimethyl phosphines, methyl sulfides, and amines and find that
junctions formed with dimethyl phosphine terminated alkanes have the highest
conductance. We see unambiguous conductance signatures with these link groups,
indicating that the binding is well-defined and electronically selective. This
allows a detailed analysis of the single-molecule junction elongation properties
which correlate well with calculations based on density functional theory.
PMID- 18052284
TI - Alkane hydroxylation by a nonheme iron catalyst that challenges the heme paradigm
for oxygenase action.
AB - A nonheme iron catalyst catalyzed stereoselective oxidation of alkanes with H2O2
with remarkable efficiency and exhibiting an unprecedented high incorporation of
water into the oxidized products. The present results challenge the canonical
description of oxygenases, the standard oxo-hydroxo tautomerism that applies to
heme systems and serves as a precedent for alternative pathways for the oxidation
of hydrocarbons at nonheme iron oxygenases.
PMID- 18052283
TI - Mossbauer studies of the membrane-associated methane monooxygenase from
Methylococcus capsulatus bath: evidence for a Diiron center.
AB - Two methane monooxygenase (MMO) systems have been identified in methanotrophic
bacteria, namely, a soluble or cytoplasmic MMO and a membrane-associated or
particulate MMO. The active site of the well-characterized soluble MMO contains a
bis-mu-hydroxo-bridged diiron cluster. X-ray crystallographic studies of the
particulate enzyme, pMMO, have identified two copper centers on the alpha subunit
(pmoB) of the alphabetagamma trimer and a site at the interface of the betagamma
subunits filled by a Zn, apparently from the crystallization buffer. In our
hands, pMMO preparations containing 1-2 iron atoms per alphabetagamma show the
highest catalytic activity. We have employed Mossbauer spectroscopy to
characterize the iron in our preparations. Interestingly, we find in pMMO a
component with the same spectral properties as the antiferromagnetically coupled
diiron(III) cluster in the soluble enzyme. In whole cells, we find nearly 1
diiron center per alphabetagamma of pMMO; in purified enzyme preparations, only
10% of the sites appear to be occupied. These occupancies correlate well with the
measured specific activities of purified pMMO and pMMO in whole cells. We suggest
that it is the "Zn site" that accommodates the diiron center in active pMMO.
PMID- 18052285
TI - Selective synthesis combined with chemical separation of single-walled carbon
nanotubes for chirality selection.
AB - Single-walled carbon nanotubes (SWNTs) are potential materials for future
nanoelectronics. Since the electronic and optical properties of SWNTs strongly
depend on tube diameter and chirality, obtaining SWNTs with narrow (n,m)
chirality distribution by selective growth or chemical separation has been an
active area of research. Here, we demonstrate that a new, bimetallic FeRu
catalyst affords SWNT growth with narrow diameter and chirality distribution in
methane CVD. At 600 degrees C, methane CVD on FeRu catalyst produced
predominantly (6,5) SWNTs according to UV-vis-NIR absorption and
photoluminescence excitation/emission (PLE) spectroscopic characterization. At
850 degrees C, the dominant semiconducting species produced are (8,4), (7,6), and
(7,5) SWNTs, with much narrower distributions in diameter and chirality than
materials grown by other catalysts. Further, we show that narrow
diameter/chirality growth combined with chemical separation by ion exchange
chromatography (IEC) greatly facilitates achieving single (m,n) SWNT samples, as
demonstrated by obtaining highly enriched (8,4) SWNTs with near elimination of
metallic SWNTs existing in the as-grown material.
PMID- 18052286
TI - Enrichment tags for enhanced-resolution profiling of the polar metabolome.
AB - The field of metabolomics aims to develop and apply methods to study the full
complement of endogenous small molecules in biological systems. One of the major
challenges in metabolomics is obtaining adequate resolution of compounds with
similar physicochemical properties. The resolution of polar metabolites can be
exceptionally problematic as these compounds are often poorly retained with
reverse phase matrices. Here, we describe an advanced chemoselective tagging
strategy to enrich and profile highly polar metabolites. Metabolite-reactive tags
were appended with a hydrophobic p-Cl-phenylalanine residue, which conferred
enhanced retention and resolution upon labeled small-molecules. Notably, the
increased resolution afforded by hydrophobic tags minimized overlap in tandem
mass spectrometry profiles for polar metabolites, thereby facilitating their
structure determination in complex biological samples. Additionally, the chlorine
atom of the tag permitted the discrimination of tagged metabolites from
background peaks (i.e., false positives) and the discovery of metabolites that
possess multiple copies of the same functional group. These studies designate
chemoselective small-molecule tags as versatile tools for enriching and profiling
challenging fractions of the metabolome.
PMID- 18052287
TI - A formal synthesis of the callipeltoside aglycone.
AB - A synthesis of the macrocyclic core structure of callipeltoside A and a C9 epimer
has been achieved by applications of chiral vinylzinc or Kishi-Nozaki-Hiyama (K-N
H) additions, Roskamp homologations, and acylketene or intramolecular K-N-H
macrolactonizations as key bond-forming steps.
PMID- 18052288
TI - Triple channel sensing of Pb(II) ions by a simple multiresponsive ferrocene
receptor having a 1-deazapurine backbone.
AB - A new redox, chromogenic, and fluorescent chemosensor molecule based on a
deazapurine ring selectively senses aqueous Pb2+ in acetonitrile over other metal
ions examined: redox shift (DeltaE1/2 = 0.15 V of the Fe(II)/Fe(III) redox
couple), the colorless to orange color change, and an emission change of 620
fold, with an unprecedented detection limit of 2.7 microg L-1. The signal
transduction occurs via a reversible CHEF with this inherent quenching metal ion.
PMID- 18052289
TI - Fluorescent chemodosimeter for selective detection of cyanide in water.
AB - A coumarin-based fluorescent chemodosimeter with a salicylaldehyde functionality
as a binding site has been developed for selective detection of cyanide anions
over other anions in water at biological pH.
PMID- 18052290
TI - The benzil rearrangement reaction: trapping of a hitherto minor product and its
application to the development of a selective cyanide anion indicator.
AB - The isolation and characterization of an intermediate from the benzil-cyanide
reaction is reported. The use of this trapping chemistry to produce a chemical
indicator for the cyanide anion is described. It relies on the synthesis and
reaction of a pi-extended analogue of benzil. Addition of tetrabutylammonium
cyanide to organic solutions of this species, referred to as compound 3 in the
text, gives rise to a dramatic change in both color and fluorescence properties.
PMID- 18052291
TI - Axially chiral BINIM and Ni(II)-catalyzed highly enantioselective 1,3-dipolar
cycloaddition reactions of azomethine ylides and N-arylmaleimides.
AB - Axially chiral BINIM-Ni(II) complexes are effective catalysts in the asymmetric
1,3-dipolar cycloaddition reactions of azomethine ylides and N-arylmaleimides to
give the corresponding adducts in good yields and up to 95% enantiomeric
excesses.
PMID- 18052292
TI - Synthesis and chiroptical properties of cryptophanes having C1-symmetry.
AB - New enantiopure cryptophanes 3-7 having C(1)-symmetry have been synthesized.
Electronic circular dichroism (ECD) and vibrational circular dichroism (VCD) have
been used to investigate their chiroptical properties, and the results are
compared to those obtained for cryptophane-A (1) having D(3)-symmetry. The ECD
spectra of compounds 3-7 show Cotton effects that differ from those of
cryptophane-A. However, our results suggest that a confident determination of the
absolute configuration of the monofunctionalized cryptophanes can be made using
ECD spectroscopy. Interestingly, we have found that the ECD spectra of
cryptophanes, especially the (1)L(b) transition, are very sensitive to the nature
of the solvent. These spectral modifications are essentially due to bulk solvent
properties rather than the ability of a particular solvent to insert into the
cavity of cryptophanes. On the other hand, VCD spectra of the monofunctionalized
cryptophanes have not revealed significant spectral modifications with respect to
the VCD spectrum of the CHCl(3) at cryptophane-A complex, except for CHCl(3) at 7
and to a smaller extent for CHCl(3) at 6. These spectral modifications, which
essentially consist in lower intensities of VCD bands associated with the
cryptophane backbone, were perfectly reproduced by ab initio calculations
performed at DFT (B3PW91/6-31G*) level. These results clearly demonstrate that
VCD measurements associated with DFT calculations allow an easy determination of
the absolute configuration of cryptophane-A derivatives.
PMID- 18052293
TI - Chlorination/cyclodehydration of amino alcohols with SOCl2: an old reaction
revisited.
AB - A simple, one-pot preparation of cyclic amines via efficient chlorination of
amino alcohols with use of SOCl(2) has been developed. This approach obviates the
need for the classical N-protection/O-activation/cyclization/deprotection
sequence commonly employed for this type of transformation. The reaction pathways
and the general scope of this method have also been investigated.
PMID- 18052294
TI - Geometry and energy of substituted phenyl cations.
AB - The geometry and the energy of a number of substituted phenyl cations have been
calculated for both spin states at the UB3LYP/6-31G(d) level (o-, m-, p-Me, OMe,
NH(2), CN, NO(2)) or at the UB3LYP/6-311++G(2d,p) level (o-, m-, p-SiMe(3), SMe).
The geometric differences were assessed by means of a self-organizing neural
network. The triplets maintain a regular hexagonal structure that is minimally
affected by substituents, while in the singlets C1 puckers inward and, when an
electron-donating group is present, shifts out of the plane. The triplets have
the character of aromatic radical ions and are strongly stabilized by electron
donating substituents, independently of the position of the latter. In the case
of singlets, the effect of substituents on the energy is weaker and depends on
the position (the largest effect is exerted when the group is in meta). A two
parameter correlation of all of the triplet energies shows the predominant
mesomeric effect of the substituents. In the case of singlets, linear
correlations are obtained only when each position is treated separately and when
the predominant effect is inductive for the ortho and, less markedly, the para
position, whereas at the meta position, mesomeric and inductive effects are
comparable. The ground state is determined to be the singlet for the parent
cation and for electron-withdrawing substituted ions. With electron-donating
substituents, the triplet is the ground state for ortho and para derivatives,
while the two spin states are roughly isoenergetic when the donating group is in
the meta position. These data allow predicting the reactivity of each cation.
PMID- 18052295
TI - Deprotonative metalation of five-membered aromatic heterocycles using mixed
lithium-zinc species.
AB - Deprotonation of benzoxazole, benzothiazole, benzo[b]thiophene, benzo[b]furan, N
Boc-protected indole and pyrrole, and N-phenylpyrazole using an in situ mixture
of ZnCl(2).TMEDA (0.5 equiv) and lithium 2,2,6,6-tetramethylpiperidide (1.5
equiv) in THF at room temperature is described. The reaction was evidenced by
trapping with iodine, regioselectively giving the expected functionalized
derivatives in 52-73% yields. A mixture of mono- and disubstituted derivatives
was obtained starting from thiazole. Cross-coupling reactions of 2-metalated
benzo[b]thiophene and benzo[b]furan with heteroaromatic chlorides proved possible
under palladium catalysis. A reaction pathway where the lithium amide and zinc
diamide present in solution behave synergically was proposed for the
deprotonation reaction, taking account of NMR and DFT studies carried out on the
basic mixture.
PMID- 18052296
TI - Microwave-mediated nickel-catalyzed cyclotrimerization reactions: total synthesis
of illudinine.
AB - Rapid and efficient [2 + 2 + 2] cyclotrimerization reactions were discovered
through the application of microwave irradiation in conjunction with a
Ni(CO)(2)(PPh(3))(2) catalyst. This enables the facile construction of highly
substituted indane, isoindoline, and tetraline core structures. The developed
microwave-mediated Ni-catalyzed cyclotrimerization reaction was employed as the
key step in a concise synthesis of the isoquinoline natural product illudinine.
This represents the first example of a Ni-catalyzed cyclotrimerization reaction
in total synthesis.
PMID- 18052297
TI - Rhodium-catalyzed oxidative coupling of triarylmethanols with internal alkynes
via successive C-H and C-C bond cleavages.
AB - The rhodium-catalyzed oxidative coupling of triarylmethanols with internal
alkynes effectively proceeds in a 1:2 manner via cleavage of C-H and C-C bonds to
produce the corresponding naphthalene derivatives. Addition of tri- or
tetraphenylcyclopentadiene as a ligand is crucial for the reaction to occur
efficiently.
PMID- 18052298
TI - An electrochemical study into the interaction between complement-derived peptides
and DOPC mono- and bilayers.
AB - Electrochemical methods employing the hanging mercury drop electrode were used to
study the interaction between variants of the complement-derived antimicrobial
peptide CNY21 (CNYITELRRQH ARASHLGLAR) and dioleoyl phosphatidylcholine (DOPC)
monolayers. Capacitance potential and impedance measurements showed that the
CNY21 analogues investigated interact with DOPC monolayers coating the mercury
drop. Increasing the peptide hydrophobicity by substituting the two histidine
residues with leucine resulted in a deeper peptide penetration into the
hydrophobic region of the DOPC monolayer, indicated by an increase in the
dielectric constant of the lipid monolayer (Deltaepsilon = 2.0 after 15 min
interaction). Increasing the peptide net charge from +3 to +5 by replacing the
histidines by lysines, on the other hand, arrests the peptide in the lipid head
group region. Reduction of electroactive ions (Tl+, Pb2+, Cd2+, and Eu3+) at the
monolayer-coated electrode was employed to further characterize the types of
defects induced by the peptides. All peptides studied permeabilize the monolayer
to Tl+ to an appreciable extent, but this effect is more pronounced for the more
hydrophobic peptide (CNY21L), which also allows penetration of larger ions and
ions of higher valency. The results for the various ions indicate that charge
repulsion rather than ion size is the determining factor for cation penetration
through peptide-induced defects in the DOPC monolayer. The effects obtained for
monolayers were compared to results obtained with bilayers from liposome leakage
and circular dichroism studies for unilamellar DOPC vesicles, and in situ
ellipsometry for supported DOPC bilayers. Trends in peptide-induced liposome
leakage were similar to peptide effects on electrochemical impedance and
permeability of electroactive ions for the monolayer system, demonstrating that
formation of transmembrane pores alone does not constitute the mechanism of
action for the peptides investigated. Instead, our results point to the
importance of local packing defects in the lipid membrane in close proximity to
the adsorbed peptide molecules.
PMID- 18052299
TI - Gold nanoparticles with perfluorothiolate ligands.
AB - Two syntheses of gold nanoparticles with fluorinated alkyl and aryl thiolate
ligands are reported. The fluorous Au nanoparticles are smaller than previous
gold fluor-capped examples, and are in the 44-75 Au atom size range. Fluoroalkyl
thiolate-protected (1H,1H,2H,2H-perfluorodecanethiolate) nanoparticles
synthesized by a Brust reaction are a mixture of (mainly) approximately 8.5 kDa
(ca. 44 core atoms) and approximately 14 kDa (ca. 75 core atoms) species, by
MALDI-mass spectrometry. This composition is consistent with thermogravimetric
analysis (TGA) results of the ligand shell composition. 19F NMR spectra display a
progressive line broadening of resonances for fluorine sites closer to the Au
core. A second synthetic route used a (ligand replacement) reaction of
pentafluorobenzenethiol with Au55(PPh3)12Cl6. The exchange is (as previously
observed for nonfluorinated thiols) accompanied by nanoparticle core size changes
to produce a polydisperse mixture within which a Au75 core species could be
electrochemically discerned by its characteristic 0.74 V electrochemical energy
gap. Further characterization of the polydisperse nanoparticle product was done
by HPLC, TEM, TGA, optical spectroscopy, and NMR data. Both varieties of fluorous
nanoparticles exhibit solubilities typical of perfluorinated materials, as
opposed to proteo versions.
PMID- 18052300
TI - Gold nanoparticles enhance the anti-leukemia action of a 6-mercaptopurine
chemotherapeutic agent.
AB - 6-mercaptopurine and its riboside derivatives are some of the most widely
utilized anti-leukemic and anti-inflammatory drugs. Their short biological half
life and severe side effects limit their use. A new delivery method for these
drugs based on 4-5 nm gold nanoparticles can potentially resolve these issues. We
have found substantial enhancement of the antiproliferative effect against K-562
leukemia cells of Au nanoparticles bearing 6-mercaptopurine-9-beta-d
ribofuranoside compared to the same drug in typically administered free form. The
improvement was attributed to enhanced intracellular transport followed by the
subsequent release in lysosomes. Enhanced activity and nanoparticle carriers will
make possible the reduction of the overall concentration of the drug, renal
clearance, and, thus, side effects. The nanoparticles with mercaptopurine also
showed excellent stability over 1 year without loss of inhibitory activity.
PMID- 18052301
TI - Experimental verification of an exact evanescent light scattering model for TIRM.
AB - Total internal reflection microscopy (TIRM) is a method for the precise
measurement of interaction potentials between a spherical colloidal particle and
a wall. The method is based on single-particle evanescent wave light scattering.
The well-established model used to interpret TIRM data is based on an exponential
relation between scattering intensity and particle wall distance. However,
applying this model for a certain range of experimental parameters leads to
significant distortions of the measured potentials. Using a TIRM setup based on a
two-wavelength illumination technique, we were able to directly measure the
intensity distance relation revealing deviations from an exponential decay. The
intensity-distance relations could be compared to scattering simulations taking
into account exact experimental parameters and multiple reflections between a
particle and the wall. Converging simulation results were independently obtained
by the T-matrix method and the discrete sources method (DSM) and show excellent
agreement with experiments. Using the new scattering model for data evaluation,
we could reconstruct the correct potential shape for distorted interaction
potentials as we demonstrate. The comparison of simulations to experiment
intrinsically yields a new method to determine absolute particle-wall distances,
a highly desired quantity in TIRM experiments.
PMID- 18052302
TI - Graphene nanoFlakes with large spin.
AB - We investigate, using benzenoid graph theory and first-principles calculations,
the magnetic properties of arbitrarily shaped finite graphene fragments to which
we refer as graphene nanoflakes (GNFs). We demonstrate that the spin of a GNF
depends on its shape due to topological frustration of the pi-bonds. For example,
a zigzag-edged triangular GNF has a nonzero net spin, resembling an artificial
ferrimagnetic atom, with the spin value scaling with its linear size. In general,
the principle of topological frustration can be used to introduce large net spin
and interesting spin distributions in graphene. These results suggest an avenue
to nanoscale spintronics through the sculpting of graphene fragments.
PMID- 18052303
TI - It's all a matter of intermolecular forces--a tribute to Giacinto Scoles.
PMID- 18052308
TI - Partition theory: a very simple illustration.
AB - We illustrate the main features of a recently proposed method based on ensemble
density functional theory to divide rigorously a complex molecular system into
its parts (J. Phys. Chem. A 2007, 111, 2229). The illustrative system is an
analog of the hydrogen molecule for which analytic expressions for the densities
of the parts (hydrogen "atoms") are found along with the "partition potential"
that enters the theory. While previous formulations of chemical reactivity theory
lead to zero, or undefined, values for the chemical hardness of the isolated
parts, we demonstrate they can acquire a finite and positive hardness within the
present formulation.
PMID- 18052309
TI - Double-trap kinetic equation for the oxygen reduction reaction on Pt(111) in
acidic media.
AB - We derived an intrinsic kinetic equation for the four-electron oxygen reduction
reaction (ORR) in acidic media using free energies of activation and adsorption
as the kinetic parameters. Our kinetic model consists of four essential
elementary reactions: a dissociative adsorption (DA) and a reductive adsorption
(RA), which yield two reaction intermediates, O and OH; a reductive transition
(RT) from O to OH; and a reductive desorption (RD) of OH. Analytic expressions
were found for the O and OH adsorption isotherms by solving the steady-state rate
equations. For the ORR on Pt(111) in 0.1 M HClO4 solution, we analyzed the
measured polarization curves, thereby deducing activation free energies that are
consistent with the values from theoretical calculations. The reductive
adsorption (DeltaG*0RA=0.46 eV) is not the rate-determining step (RDS) for the
ORR on Pt because dissociative adsorption (DeltaG*0DA=0.26 eV) offers a more
favorable pathway at high potentials. It, however, generates strongly adsorbed O.
The high activation barriers for the O to OH transition (DeltaG*0RT=0.50 eV) and
OH desorption (DeltaG*0RD=0.45 eV) cause a large potential loss for the
desorption-limited ORR. As the OH coverage increases to a constant value with
decreasing potential, the Tafel slope increases to the value determined by a
symmetric electron-transfer coefficient. We discuss the role of adsorption
isotherm in kinetic analysis and, via activity-and-barrier plots, illustrate why
the RDS may vary with reaction conditions or may not exist. Recognizing such
features of electrocatalytic reactions can facilitate reaching the long-standing
goal of quantitative descriptions and predictions of electrocatalysts'
activities.
PMID- 18052310
TI - Structural characterization of aldehyde-terminated self-assembled monolayers.
AB - The structure of aldehyde-terminated alkanethiol self-assembled monolayers (SAMs)
on Au(111) is investigated using scanning tunneling microscopy (STM), atomic
force microscopy (AFM), and density functional theory (DFT) calculations. For the
first time, the structures of aldehyde-terminated SAMs are revealed with
molecular resolution. SAMs of 11-mercapto-1-undecanal exhibit the basic
(radical3xradical3)R30 degrees periodicity and form various c(4x2)
superstructures upon annealing. In conjunction with DFT studies, the models of
the (radical3xradical3)R30 degrees and the c(4x2) superstructures are
constructed. In comparison with alkanethiol SAMs, the introduction of aldehyde
termini results in smaller domain size, lower degree of long-range order, large
coverage of disordered areas, and higher density of missing molecules and other
point defects within domains of closely packed molecules. The origin of these
structural differences is mainly attributed to the strong dipole-dipole
interactions among the aldehyde termini.
PMID- 18052311
TI - Rovibrational energy transfer in the 4nuCH manifold of acetylene, viewed by IR-UV
double resonance spectroscopy. 5. Detailed kinetic model.
AB - Time-resolved infrared-ultraviolet double resonance (IR-UV DR) spectroscopy
provides a distinctive way to examine collision-induced state-to-state energy
transfer between rotational J-levels in vibrational manifolds of small polyatomic
molecules, such as acetylene (C2H2) in its electronic ground state X. We consider
the 4nuCH rovibrational manifold of C2H2 at approximately 12,700 cm(-1), where
the principal source of IR-brightness is the (nu1+3nu3) or (1 0 3 0 0)0 Sigma+u
vibrational combination level. In this highly congested manifold, anharmonic, l
resonance, and Coriolis couplings affect the J-levels of interest, implicating
them in a complicated variety of intramolecular dynamics. Previous papers of this
series have reported several seemingly anomalous J-resolved phenomena induced by
collisions in C2H2 gas at room temperature with pressures and IR-UV pump-probe
delay intervals corresponding to remarkably high Lennard-Jones collisional
efficiencies P: odd-DeltaJ rotational energy transfer (10(-3)
H2O + OH (1a) and H + H2O2 --> HO2 + H2 (1b). Reaction barrier heights are
determined using two theoretical approaches: (i) comparison of parametrized rate
coefficient calculations employing CVT/SCT to experiment and (ii) high-level ab
initio methods. The evaluated experimental data reveal considerable variations of
the barrier height for the first reaction: although the zero-point-exclusive
barrier for (1a) derived from the data by Klemm et al. (First Int. Chem. Kinet.
Symposium 1975, 61) is 4.6 kcal/mol, other available measurements result in a
higher barrier of 6.2 kcal/mol. The empirically derived zero-point-exclusive
barrier for (1b) is 10.4 kcal/mol. The electronic structure of the system at
transition state geometries in both reactions was found to have "multireference"
character; therefore special care was taken when analyzing electronic structure
calculations. Transition state geometries are optimized by multireference
perturbation theory (MRMP2) with a variety of one-electron basis sets, and by a
multireference coupled cluster (MR-AQCCSD) method. A variety of single-reference
benchmark-level calculations have also been carried out; included among them are
BMC-CCSD, G3SX(MP3), G3SX, G3, G2, MCG3, CBS-APNO, CBS-Q, CBS-QB3, and CCSD(T).
Our data obtained at the MRMP2 level are the most complete; the barrier height
for (1a) using MRMP2 at the infinite basis set limit is 4.8 kcal/mol. Results are
also obtained with midlevel single-reference multicoefficient correlation
methods, such as MC3BB, MC3MPW, MC-QCISD/3, and MC-QCISD-MPWB, and with a variety
of hybrid density functional methods, which are compared with high-level theory.
On the basis of the evaluated experimental values and the benchmark calculations,
two possible recommended values are given for the rate coefficients.
PMID- 18052357
TI - Mass spectroscopic observation of shock-induced chemistry in liquid CS2.
AB - We have observed, via time-of-flight mass spectrometry, 13 chemical species more
massive than CS2 produced by shocking liquid CS2 to very high
pressure/temperature. The stoichiometry of three of these species is uniquely
determined from the 12CS2 experiments; these species are C2S2, C3S2, and C4S2.
The stoichiometry of the other 10 structures cannot be uniquely determined from
12CS2 experiments. However, by redoing the experiments using isotopically labeled
CS2 (i.e., 13CS2), we determined the stoichiometry of nine of the remaining
structures. The nine structures are Sn (n = 3-8) and CS3, C2S5, and C4S6. A
structure with mass 297.1 amu was also observed in the 12CS2 experiments but was
not detected in the 13CS2 experiments. This structure must be C6S7, C14S4, or
C22S; given the low carbon content of the other observed carbon species, it is
probably C6S7. The shockwaves to which the CS2 molecules were subjected were
produced by the detonation of high mass-density solid explosives. The explosives
used were either a plastic bonded form of cyclotetramethlylene tetranitramine or
pure hexanitrostilbene. Numerical compressible fluid-mechanical simulations were
done to estimate the pressures, temperatures, and time scales of the processes
that occurred in the shocked CS2. The results obtained in the present experiments
are related to earlier work on CS2's chemical reactivity that used both shockwave
methods and static techniques to produce very high pressure.
PMID- 18052358
TI - Reversible hydrogen storage property and structural analysis for face-centered
cubic hydride Mg0.82Zr0.18H2 prepared by gigapascal hydrogen pressure method.
AB - The face-centered cubic (fcc) type magnesium-zirconium hydride (Mg0.82Zr0.18Hx)
was synthesized by means of the ultrahigh pressure (UHP) technique, which could
generate 8 GPa of hydrogen pressure. The differential scanning calorimeter (DSC)
measurements indicated that the fcc phase exhibited reversible hydrogen releasing
and restoring properties under 0.5 MPa of hydrogen pressure. On the pressure
composition isotherms, the released and restored hydrogen capacities were
estimated to be 3 approximately 3.5 wt %. The Rietveld analysis for synchrotron X
ray diffraction (XRD) data showed that the fcc phase had around 70 wt % mass
fraction and was preserved without decomposition during hydrogen releasing and
restoring cycles.
PMID- 18052359
TI - Histidine, lysine, and arginine radical cations: isomer control via the choice of
auxiliary ligand (L) in the dissociation of [CuII(L)amino acid]*2+ complexes.
AB - Histidine, lysine, and arginine radical cations have been generated through
collision-induced dissociation (CID) of complexes [CuII(auxiliary ligand)namino
acid]*2+, using tri-, bi-, as well as monodentate auxiliary ligands. On the basis
of the observed CID products, the existence of two isomeric amino-acid
populations is postulated. The Type 1 radical cations of histidine and lysine,
stable on the mass spectrometer time scale, were found to lose water, followed by
the loss of carbon monoxide under more energetic CID conditions. The arginine
Type 1 radical cation behaved differently, losing dehydroalanine. The Type 2
radical cations were metastable and easily fragmented by the loss of carbon
dioxide, effectively preventing direct observation. Type 1 radical cations are
proposed to result from neutral (canonical) amino-acid coordination, whereas Type
2 radical cations are from zwitterionic amino-acid coordination to copper in the
complex. The ratio of Type 1/Type 2 ions was found to be dependent on the
auxiliary ligand, providing a method of controlling which radical cation would be
formed primarily. Density functional calculations at B3LYP/6-311++G(d,p) have
been used to determine the relative energies of five His*+ isomers. Barriers
against interconversion between the isomers and against fragmentation have been
calculated, giving insight as to why the Type 1 ions are stable, while only
fragmentation products of the Type 2 ions are observable under CID conditions.
PMID- 18052360
TI - Adsorption behavior of acidic and basic proteins onto citrate-coated Au surfaces
correlated to their native fold, stability, and pI.
AB - The adsorption of eight different proteins (alpha-lactalbumin (types I and III),
bovine serum albumin, hemoglobin, myoglobin, cytochrome c, alpha-casein, and
lysozyme) onto a model anionic surface was performed at equivalent bulk (solvent,
ionic strength, pH) and surface conditions. Adsorption was monitored on a quartz
crystal microbalance with dissipation monitoring (QCM-D) with citrate-coated gold
surfaces as adsorbents and has been correlated to native fold stability
determined from near- and far-UV circular dichroism (CD) measurements. The
proteins studied here were chosen based on their pI and documented knowledge
about their structural stability and flexibility. Protein adsorption was found to
be independent of global protein charge. Rather, binding occurs through
oppositely charged patches on protein and surface. Moreover, data indicate that
there is a correlation between secondary and tertiary structure stability and the
adsorption characteristics at interfaces. Also, protein surface coverage, layer
thickness, and flexibility can be tuned as a function of deposition method. This
is discussed in terms of adsorption/spreading kinetics and intermolecular
(protein-surface and protein-protein) interactions. Adsorption to surfaces can
induce formation of supramolecular structures such as micelles (in the case of
alpha-Cas) and multilayers (as for Hb). In the case of alpha-casein, this
phenomenon depends on the deposition method and protein concentration. When
ranking the surface coverage for proteins added in excess, the order is Lyz < Cyt
c < Mb < BSA < alpha-La I < alpha-Cas < alpha-La III < Hb, which can be
correlated to the proteins ability to form supramolecular structures (alpha-Cas,
Hb), overall conformational flexibilities, and ability to form stable
intermediates.
PMID- 18052361
TI - Raman spectroscopic investigations of pressure-induced phase transitions in n
hexane.
AB - We report high-pressure Raman studies on n-hexane up to 16 GPa. n-Hexane
undergoes solid-solid transition around 9.1 GPa along with an already reported
liquid-solid transition around 1.4 GPa. The intensity ratio of the Raman modes
relating the all-trans conformation (1147 and 2872 cm-1) to that of the gauche
conformation (1074 and 2923 cm-1) shows a sudden change across 9.1 GPa,
suggesting an increase in the all-trans population conformers above 9.1 GPa. The
disappearance of the torsional modes suggests a steric hindrance to the methyl
end group, similar to the n-heptane case, suggesting that the high-pressure phase
(above 9.1 GPa) is an orientationally disordered phase. In general, the
transition pressure for the solid-solid transition is inversely proportional to
the length of the carbon backbone in the medium chain length n-alkanes.
PMID- 18052362
TI - Metastable States of small-molecule solutions.
AB - Metastable states such as gels and glasses that are commonly seen in nanoparticle
suspensions have found application in a wide range of products including
toothpaste, hand cream, paints, and car tires. The equilibrium and metastable
state behavior of nanoparticle suspensions are often described by simple fluid
models where particles are treated as having hard cores and interacting with
short-range attractions. Here we explore similar models to describe the presence
of metastable states of small-molecule solutions. We have recently shown that the
equilibrium solubilities of small hydrogen-bonding molecules and nanoparticles
fall onto a corresponding-states solubility curve suggesting that with similar
average strengths of attraction these molecules have similar solubilities. This
observation implies that metastable states in small-molecule solutions may be
found under conditions similar to those where metastable states are observed in
nanoparticle and colloidal suspensions. Here we seek confirmation of this concept
by exploring the existence of metastable states in solutions of small molecules.
PMID- 18052363
TI - On the theory of time-resolved X-ray diffraction.
AB - We derive the basic theoretical formulation for X-ray diffraction with pulsed
fields, using a fully quantized description of light and matter. Relevant time
scales are discussed for coherent as well as incoherent X-ray pulses, and we
provide expressions to be used for calculation of the experimental diffraction
signal for both types of X-ray sources. We present a simple analysis of time
resolved X-ray scattering for direct bond breaking in diatomic molecules. This
essentially analytical approach highlights the relation between the signal and
the time-dependent quantum distribution of internuclear positions, including
thermal effects.
PMID- 18052364
TI - Inhibition of aggregation of a biomimic peptidolipid Langmuir monolayer by Congo
red studied by UV-vis and infrared spectroscopies.
AB - A synthetic peptidolipid consisted of a hydrocarbon chain with a chain length of
C18 and a peptide moiety of IIGLM terminated with an amine group, designated as
C18IIGLM-NH2, has been employed as a biomimic model compound of amyloid peptide
for exploring molecular interaction and orientation with the use of the Langmuir
monolayer and Langmuir-Blodgett film techniques. Inspired by a well-known fact
that a stain reagent, Congo red (CR), binds well to the amyloid-mimic part
(IIGLM), inhibition of molecular aggregation of C18IIGLM-NH2 by interaction with
CR was expected, and it has been investigated by use of surface pressure-area
isotherm, surface dipole moment-area isotherm, Brewster-angle microscopy, and UV
vis/infrared spectroscopies. It has been revealed that monomeric CR molecules
whose long axis is parallel to the Langmuir monolayer surface are penetrating the
C18IIGLM-NH2 Langmuir monolayer, which plays a role of inhibition of molecular
aggregation via hydrogen bonding.
PMID- 18052365
TI - Evaluating rotational diffusion from protein MD simulations.
AB - It is now feasible to carry out molecular dynamics simulations of proteins in
water that are long compared to the overall tumbling of the molecule. Here, we
examine rotational diffusion in four small, globular proteins (ubiquitin, binase,
lysozyme, and fragment B3 of protein G) with the TIP3P, TIP4P/EW, and SPC/E water
models, in simulations that are 6 to 60 times as long as the mean rotational
tumbling time. We describe a method for extracting diffusion tensors from such
simulations and compare the results to experimental values extracted from NMR
relaxation measurements. The simulation results accurately follow a diffusion
equation, even for spherical harmonic correlation functions with l as large as 8.
However, the best-fit tensors are significantly different from experiment,
especially for the commonly used TIP3P water model. Simulations that are 20 to
100 times longer than the rotational tumbling times are needed for good
statistics. A number of residues exhibit internal motions on the nanosecond time
scale, but in all cases examined here, a product of internal and overall time
correlation functions matches the total time-correlation function well.
PMID- 18052366
TI - Theoretical study of temperature and solvent dependence of the free-energy
surface of the intramolecular electron-transfer based on the RISM-SCF theory:
application to the 1,3-dinitrobenzene radical anion in acetonitrile and methanol.
AB - The free-energy surfaces along the intramolecular electron-transfer reaction path
of the 1,3-dinitrobenzene radical anion in acetonitrile and methanol are
investigated with the reference interaction site model self-consistent field
theory. Although acetonitrile and methanol have similar values of the dielectric
constant, the free-energy profiles are quite different. In the methanol solution,
the charge is strongly localized on one of the nitrile substituents due to a
strong hydrogen bond between 1,3-dinitrobenzene and the solvent, while the
polarization is not so large in the case of acetonitrile. The temperature
dependence of the reorganization energy, the coupling strength, and the
activation barrier is evaluated in both acetonitrile and methanol. The
reorganization energy and the activation barrier decrease with increasing
temperature for both cases. The electronic coupling strength also shows a similar
tendency in the temperature dependence; it increases with increasing temperature
in both solvents but with different rates. The behavior is explained in terms of
the strong polarization induced by the hydrogen bond between the solute and
solvent in the methanol solution.
PMID- 18052367
TI - Structure and dynamics of poly(T) single-strand DNA: implications toward CPD
formation.
AB - The formation of cyclobutane pyrimidine dimers between adjacent thymines by UV
radiation is thought to be the first event in a cascade leading to skin cancer.
Recent studies showed that thymine dimers are fully formed within 1 ps of UV
irradiation, suggesting that the conformation at the moment of excitation is the
determining factor in whether a given base pair dimerizes. MD simulations on the
50 ns time scale are used to study the populations of reactive conformers that
exist at any given time in T18 single-strand DNA. Trajectory analysis shows that
only a small percentage of the conformations fulfill distance and dihedral
requirements for thymine dimerization, in line with the experimentally observed
quantum yield of 3%. Plots of the pairwise interactions in the structures predict
hot spots of DNA damage where dimerization in the ssT18 is predicted to be most
favored. The importance of hairpin formation by intra-strand base pairing for
distinguishing reactive and unreactive base pairs is discussed in detail. The
data presented thus explain the structural origin of the results from the
ultrafast studies of thymine dimer formation.
PMID- 18052368
TI - Dipolar relaxation within the protein matrix of the green fluorescent protein: a
red edge excitation shift study.
AB - The fluorophore in green fluorescent protein (GFP) is localized in a highly
constrained environment, protected from the bulk solvent by the barrel-shaped
protein matrix. We have used the wavelength-selective fluorescence approach (red
edge excitation shift, REES) to monitor solvent (environment) dynamics around the
fluorophore in enhanced green fluorescent protein (EGFP) under various
conditions. Our results show that EGFP displays REES in buffer and glycerol,
i.e., the fluorescence emission maxima exhibit a progressive shift toward the red
edge, as the excitation wavelength is shifted toward the red edge of the
absorption spectrum. Interestingly, EGFP displays REES when incorporated in
reverse micelles of sodium bis(2-ethylhexyl)sulfosuccinate (AOT), independent of
the hydration state. We interpret the observed REES to the constrained
environment experienced by the EGFP fluorophore in the rigid protein matrix,
rather than to the dynamics of the bulk solvent. These results are supported by
the temperature dependence of REES and characteristic wavelength-dependent
changes in fluorescence anisotropy.
PMID- 18052369
TI - Theoretical study of cooperativity in biotin.
AB - To give a deeper insight into the widely discussed catalytic mechanism of biotin,
four representative model molecules and their aggregates hydrogen bonding (H
bonding) to water molecules were investigated by means of ab initio calculations
and compared with molecular dynamics simulations. The roles of the ureido group,
the sulfur atom, and the side chain of biotin are examined and discussed,
respectively. Some significant H-bonding cooperativities are theoretically
demonstrated in the ureido group of biotin. The pi-electron delocalization of the
ureido group makes the system a good candidate for the H-bonding cooperativities,
which in turn increases the covalent character of the corresponding H-bonds and
facilitates the electrophilic substitution of the nitrogen atoms in the ureido
group. The sulfur of biotin may participate in the delocalized pi-electron system
of the ureido group via special sulfur-nitrogen bonding interactions, which
reinforces the H-bonding cooperativities of the ureido group. The side chain of
biotin not only reduced the accessibility of 3-NH due to steric hindrance but
also enhanced the H-bonding cooperativities of the ureido group by folding over
to hydrogen bond to more water molecules. The folded states are a probable way of
activating 1-NH by strong cooperative effects. In addition, the H-bonding
cooperativities may be a significant reason for the strong and specific binding
between biotin and streptavidin.
PMID- 18052370
TI - Lithium-alkyl, -aryl, and -amido ligand-exchange dynamics of dianionic
zirconium(IV) complexes with chelating amidophenolate ligands.
AB - The synthesis, characterization, and solution behavior of a series of six
coordinate zirconium(IV) dianions [ZrX2(ap)2]2- (ap = 2,4-di-tert-butyl-6-(tert
butylamido)phenolate; X = Ph, 3a; X = p-tolyl, 3b; X = Me, 4; X = NMe2, 5) are
described. Complexes 3-5 were prepared by treating the neutral zirconium complex
Zr(ap)2(THF)2 (1) with 2 equiv of LiX or by the direct reaction of apLi2 and LiX
with ZrCl4. The complexes were isolated as lithium-etherate salts, and they were
characterized by NMR spectroscopy and single-crystal X-ray diffraction. In non
coordinating solvents such as benzene-d6, complexes 3-5 are robust in solution,
but in coordinating solvents such as THF-d8, dissociation of LiX was observed.
The rate of LiX loss was evaluated by exchange reactions; the reaction rate
constants span nine orders of magnitude at 298 K, with the slowest reaction being
the dissociation of PhLi from 3a (tau1/2 = 4 h) and the fastest reaction being
the dissociation of LiNMe2 from 5 (tau1/2 = 53 mus). In the case of LiNMe2
dissociation from 5, activation parameters suggest that the rate-determining step
is purely dissociative; however, for diphenyl and dimethyl complexes 3a and 4,
respectively, activation parameters suggest a solvent-assisted rate-determining
step.
PMID- 18052371
TI - Reactivity of niobium and tantalum pentahalides with cyclic ethers and the
isolation and characterization of intermediates in the polymerization of
tetrahydrofuran.
AB - The complexes MX5(THF) (M = Nb, X = Cl, 2a; M = Ta, X = F, 2c, X = Cl, 2d) and
[MX4(THF){O(CH2)4O(CH2)3CH2)}][MX6] (M = Nb, X = Cl, 3a; M = Ta, X = Cl, 3d, X =
Br, 3e, X = I, 3f) result from reactions of MX5 with 0.5 and 1.5 equiv of THF,
respectively. Compounds 3 contain the unprecedented 4-(tetrahydrofuran-1-ium)
butan-1-oxo ligand and are likely to play a role in the course of THF
polymerization catalyzed by MX5. The addition of L (L = 2,5
dimethyltetrahydrofuran, tetrahydropyran, 1,4-dioxane) to MX5 results in the
formation of the hexacoordinated complexes MX5(L). The molecular structures of
2d, 3d, and NbCl5(dioxane), 6a, have been ascertained by X-ray diffraction
studies.
PMID- 18052372
TI - Ternary rare-earth manganese bismuthides: structures and physical properties of
RE(3)MnBi(5) (RE = La-Nd) and Sm(2)Mn(3)Bi(6).
AB - Investigations in the ternary RE-Mn-Bi systems where RE is an early rare earth
element have revealed the existence of the polybismuthides RE3MnBi5 (RE = La-Nd),
previously known only for the Ce member, and the new compound Sm2Mn3Bi6. Their
structures were determined from single-crystal X-ray diffraction data. The
RE3MnBi5 compounds adopt the hexagonal inverse Hf5Cu3Sn-type structure (Pearson
symbol hP18, space group P63/mcm, a = 9.7139(11)-9.5438(16) A, c = 6.4883(7)
6.4089(11) A for RE = La-Nd), containing chains of face-sharing Mn-centered
octahedra. Sm2Mn3Bi6 adopts a new monoclinic structure type (Pearson symbol mP22,
space group P21/m, a = 10.3917(8) A, b = 4.4557(3) A, c = 13.2793(10) A, beta =
108.0100(10) degrees ) in which the Mn centers are coordinated by Bi atoms in
diverse geometries (distorted octahedral, trigonal bipyramidal, and distorted
tetrahedral (seesaw)) and participate in extensive metal-metal bonding in the
form of chains of Mn3 clusters. Homoatomic bonding interactions involving
nominally anionic Bi atoms are manifested as one-dimensional Bi chains in
RE3MnBi5 and as four-atom-wide Bi ribbons in Sm2Mn3Bi6. Electrical resistivity
measurements on single crystals revealed metallic behavior with prominent
transitions near 40 K for RE3MnBi5 and 50 K for Sm2Mn3Bi6. Magnetic
susceptibility measurements showed that Pr3MnBi5 undergoes magnetic ordering near
25 K.
PMID- 18052373
TI - Growth of (WO3)n rectangular structures through a LMO-organic precursor route.
AB - A LMO-organic (LMO = layered metal oxide) hybrid precursor route has been
developed to synthesize rectangular (WO3)n structures. In the present work,
regular rectangular orthorhombic (WO3)n has been fabricated by a thermal
decomposition of the as-synthesized rectangular [WO3(bpy)0.5]n (bpy = 4,4'
bipyridine) precursor, which is formed by a process of intercalation,
coordination, and self-assembly using the hydrothermal treatment of (WO3)n and
4,4'-bipyridine. The precursor and final products were characterized by field
emission scanning electron microscopy, transmission electron microscopy, electron
induced X-ray fluorescence analysis, X-ray diffraction analysis, derivative
thermogravimetry analysis, and thermogravimetric analysis.
PMID- 18052374
TI - Ion dynamics and water percolation effects in DNA polymorphism.
AB - The dynamics of ions and water at the surface of DNA are studied by computer
simulations in a wide range of hydrations involving the zone of low-hydration
polymorphism in DNA. The long-range mobility of ions exhibits a stepwise increase
at three distinct hydration levels. The first of them is close to the midpoint of
the water percolation transition as well as the midpoint of the transition
between A- and B-DNA forms. It coincides with the onset of the dissociation of
ion pairs on the DNA surface probably caused by the increase in the water
dielectric permittivity due to the appearance of the spanning hydrogen-bonding
network. The other two steps are attributed to the formation of percolating water
layers on the surface of DNA accompanied by the progressive escape of ions from
the DNA surface. The results agree with earlier experimental data and further
corroborate the suggested universal mechanism of the low hydration polymorphism
in DNA including intraduplex electrostatic condensation close to the water
percolation threshold.
PMID- 18052375
TI - Azobenzene-linked porphyrin-fullerene dyads.
AB - A new group of porphyrin-fullerene dyads with an azobenzene linker was
synthesized, and the photochemical and photophysical properties of these
materials were investigated using steady-state and time-resolved spectroscopic
methods. The electrochemical properties of these compounds were also studied in
detail. The synthesis involved oxidative heterocoupling of free base tris-aryl-p
aminophenyl porphyrins with a p-aminophenylacetal, followed by deprotection to
give the aldehyde, and finally Prato 1,3-dipolar azomethineylide cycloaddition to
C60. The corresponding Zn(II)-porphyrin (ZnP) dyads were made by treating the
free base dyads with zinc acetate. The final dyads were characterized by their 1H
NMR, mass, and UV-vis spectra. 3He NMR was used to determine if the products are
a mixture of cis and trans stereoisomers, or a single isomer. The data are most
consistent with the isolation of only a single configurational isomer, assigned
to the trans (E) configuration. The ground-state UV-vis spectra are virtually a
superimposition of the spectral features of the individual components, indicating
there is no interaction of the fullerene (F) and porphyrin (H2P/ZnP) moieties in
the ground state. This conclusion is supported by the electrochemical data. The
steady-state and time-resolved fluorescence spectra indicate that the porphyrin
fluorescence in the dyads is very strongly quenched at room temperature in the
three solvents studied: toluene, tetrahydrofuran (THF), and benzonitrile (BzCN).
The fluorescence lifetimes of the dyads in all solvents are sharply reduced
compared to those of H2P and ZnP standards. In toluene, the lifetimes of the free
base dyads are 600-790 ps compared to 10.1 ns for the standard, while in THF and
BzCN the dyad lifetimes are less than 100 ps. For the ZnP dyads, the fluorescence
lifetimes were 10-170 ps vs 2.1-2.2 ns for the ZnP references. The mechanism of
the fluorescence quenching was established using time-resolved transient
absorption spectroscopy. In toluene, the quenching process is singlet-singlet
energy transfer (k approximately 10(11) s-1) to give C60 singlet excited states
which decay with a lifetime of 1.2 ns to give very long-lived C60 triplet states.
In THF and BzCN, quenching of porphyrin singlet states occurs at a similar rate,
but now by electron transfer, to give charge-separated radical pair (CSRP)
states, which show transient absorption spectra very similar to those reported
for other H2P-C60 and ZnP-C60 dyad systems. The lifetimes of the CSRP states are
in the range 145-435 ns in THF, much shorter than for related systems with amide,
alkyne, silyl, and hydrogen-bonded linkers. Thus, both forward and back electron
transfer is facilitated by the azobenzene linker. Nonetheless, the charge
recombination is 3-4 orders of magnitude slower than charge separation,
demonstrating that for these types of donor-acceptor systems back electron
transfer is occurring in the Marcus inverted region.
PMID- 18052376
TI - Implementation of a Hamiliton-receptor-based hydrogen-bonding motif toward a new
electron donor-acceptor prototype: electron versus energy transfer.
AB - A new modular concept for the self-assembly of electron donor-acceptor complexes
is presented that ensures (i) fine-tuning the strength of the complexation, (ii)
controlling the electronic coupling to impact electron and energy transfer
processes, and (iii) high solubility of the corresponding hybrid architectures.
This task has been realized through developing a series of porphyrin-fullerene
donor-acceptor systems held together by a Hamilton-receptor-based hydrogen
bonding motif. In this context, novel libraries of C60 monoadducts (1) containing
cyanuric acid side chains and of tetraphenylporphyrin derivatives (2) involving
the complementary Hamilton-receptor unit were synthesized. The association
constants of the corresponding 1:1 complexes (1.2) connected by six hydrogen
bonds were determined complementary by NMR and fluorescence assays. Their
strength, which was found to be in the range between 3.7 x 10(3) and 7.9 x 10(5)
M-1, depends on the nature of the spacers, namely, hexylene versus propylene
chains. Finally, transient absorption studies revealed photoinduced electron
transfer from ZnP to C60 in the corresponding 1.2 complexes, which generate
radical ion pair states that are persistent well beyond the ns time scale. In the
case of the analogous SnP complexes, energy instead of electron transfer was
observed. This is due to the shift of oxidation potential caused by presence of
Sn in the oxidation state of +4.
PMID- 18052377
TI - Common and divergent structural features of a series of corticotropin releasing
factor-related peptides.
AB - Members of the corticoliberin family include the corticotropin releasing factors
(CRFs), sauvagine, the urotensins, and urocortin 1 (Ucn1), which bind to both the
CRF receptors CRF-R1 and CRF-R2, and the urocortins 2 (Ucn2) and 3 (Ucn3), which
are selective agonists of CRF-R2. Structure activity relationship studies led to
several potent and long-acting analogues with selective binding to either one of
the receptors. NMR structures of six ligands of this family (the antagonists
astressin B and astressin2-B, the agonists stressin1, and the natural ligands
human Ucn1, Ucn2, and Ucn3) were determined in DMSO. These six peptides show
differences in binding affinities, receptor-selectivity, and NMR structure.
Overall, their backbones are alpha-helical, with a small kink or a turn around
residues 25-27, resulting in a helix-loop-helix motif. The C-terminal helices are
of amphipathic nature, whereas the N-terminal helices vary in their
amphipathicity. The C-terminal helices thereby assume a conformation very similar
to that of astressin bound to the ECD1 of CRF-R2 recently reported by our group.1
On the basis of an analysis of the observed 3D structures and relative potencies
of [Ala]-substituted analogues, it is proposed that both helices could play a
crucial role in receptor binding and selectivity. In conclusion, the C-terminal
helices may interact along their hydrophobic faces with the ECD1, whereas the
entire N-terminal helical surface may be involved in receptor activation. On the
basis of the common and divergent features observed in the 3D structures of these
ligands, multiple binding models are proposed that may explain their plurality of
actions.
PMID- 18052378
TI - S,C-sulfonium ylides from thiophenes: potential carbene precursors.
AB - Photolysis of S,C-sulfonium ylides derived from thioanisol, thiophene,
benzothiophene, or dibenzothiophene provides products deriving from
dicarbomethoxycarbene. In methanol, no rearrangement of the carbene to the ketene
derivative is observed. Formation of dibenzothiophene and benzothiophene is
quantitative. For the thiophene-based ylide, insertion of the carbene into the
alpha-CH bond of thiophene is observed. Evidence is presented that supports
formation of both singlet and triplet carbene.
PMID- 18052379
TI - Unbiased selectivity coefficients obtained for the pulsed chronopotentiometric
polymeric membrane ion sensors.
AB - We report here on the successful observation of the unbiased thermodynamic
selectivity of ion-selective sensors working in normal pulse chronopotentiometric
mode (pulstrodes). In contrast to ion-selective electrodes, the pulstrodes do not
require careful counterbalancing of the transmembrane ionic fluxes to achieve
unbiased thermodynamic selectivity. The pulstrodes can work under asymmetric
conditions, which are often encountered in practice. The composition of the inner
filling solution did not affect the sensor response, indicating that the
transmembrane flux of primary ions was indeed effectively suppressed in the
absence of ion exchanger. For the K-selective sensor considered here, an
improvement of Mg discrimination by a factor of 1000 was demonstrated.
PMID- 18052381
TI - Reactive sulfur species: hydrolysis of hypothiocyanite to give thiocarbamate-S
oxide.
AB - Hypothiocyanite (OSCN-) hydrolyzes under alkaline conditions to give
thiocarbamate-S-oxide (H2NC(=O)SO-, the conjugate base of carbamothioperoxoic
acid) via a mechanism that involves rate-limiting nucleophilic attack of OH- on
OSCN-, followed by fast protonation (with no net consumption of H+/OH- at pH
11.7). Thiocarbamate-S-oxide has been characterized by 13C NMR, 15N NMR, UV
spectroscopy, and ion chromatography. It has also been independently synthesized
by the reaction of thiocarbamate (H2NC(=O)S-) and hypochlorite (OCl-). The
properties of thiocarbamate-S-oxide that is produced by hydrolysis of OSCN- and
by oxidation of H2NC(=O)S- are the same. The possible relevance of thiocarbamate
S-oxide in human peroxidase defense mechanisms remains to be explored.
PMID- 18052382
TI - Oxide-driven carbon nanotube growth in supported catalyst CVD.
AB - Detailed HREM studies on carbon nanotubes (CNTs) synthesized via chemical vapor
deposition (CVD) using nanoengineered Fe particles on oxide supports show capped
tops and open-ended roots. We demonstrate that the pristine catalyst particle
dictates the CNT diameter and number of walls at nucleation. The consecutive
inward formation of concentric graphene caps during nucleation constricts and
elongates the catalyst particle within the tube core. Continued growth stems from
the oxide support.
PMID- 18052383
TI - Molecular wires based on thienylethynylene: synthesis, photophysical properties,
and excited-state lifetime.
AB - A series of pi-conjugated molecular wires based on thienylethynylene units have
been developed to understand the effect of the molecular structures on their
photophysical properties. The investigation of their photophysical properties
indicates that the formation of aggregates at the ground state is effectively
suppressed by the incorporation of truxene units. The excited-state lifetimes are
observed to be biexponential for these molecular wires.
PMID- 18052384
TI - Efficiency of bulky protic solvent for SN2 reaction.
AB - We calculate and compare the effects of aprotic vs protic solvent on the rate of
SN2 reaction [F- + C3H7OMs--> C3H7F + OMs-]. We find that aprotic solvent
acetonitrile is more efficient than a small protic solvent such as methanol.
Bulky protic solvent (tert-butyl alcohol) is predicted to be quite efficient,
giving the rate constant that is similar to that in CH3CN. Our calculated
relative activation barriers of the SN2 reaction in methanol, tert-butyl alcohol,
and CH3CN are in good agreement with experimental observations.
PMID- 18052385
TI - Bichromophoric photochromes based on the opening and closing of a single oxazine
ring.
AB - We have designed bichromophoric photochromes based on the photoinduced opening
and thermal closing of a [1,3]oxazine ring. In particular, we have synthesized
six compounds incorporating fused 3H-indole and 4-nitrophenoxy fragments and
pendant biphenyl, styryl, biphenylvinyl, or stilbenylvinyl groups. The laser
excitation of two of these six molecules cleaves a [C-O] bond and opens their
[1,3]oxazine ring in less than 6 ns with quantum yields of 0.08 and 0.28,
respectively. This photoinduced process generates simultaneously a 4
nitrophenolate anion and a 3H-indolium cation. Both chromophores absorb in the
same region of the electromagnetic spectrum. As a result, an intense band appears
at ca. 440 nm upon the photoinduced opening of the [1,3]oxazine ring. In both
instances, the photogenerated species switches back to the original isomer with
first-order kinetics and lifetimes of 38 and 140 ns, respectively. Both compounds
have excellent fatigue resistances and retain their photochemical behavior within
rigid poly(methyl methacrylate) matrices. However, the thermal reisomerization
within the polymer matrix is significantly slower and requires several
microseconds to occur. The other four compounds do not undergo ring opening upon
excitation under otherwise identical experimental conditions. Indeed, either
photoinduced electron transfer or intersystem crossing compete successfully with
the ring-opening process.
PMID- 18052386
TI - Total synthesis of (-)-hennoxazole A.
AB - An enantioselective, convergent total synthesis of the antiviral marine natural
product (-)-hennoxazole A is completed in 14 steps (longest linear sequence) from
commercially available 4-methyloxazole-2-carboxylic acid. Synthesis of the C(1)
C(15) pyran/bisoxazole fragment takes advantage of an aldol-like coupling between
a dimethyl acetal and an N-acetylthiazolidinethione for the direct,
stereoselective installation of the C(8)-methoxy-bearing stereocenter. A one-pot
acetoacetate acylation/decarboxylation/cyclodehydration of another elaborate
thiazolidinethione allows for rapid assembly of the pyran-based ring system.
Synthesis of the C(15)-C(25) skipped triene side chain fragment makes use of a
[2,3]-Wittig-Still rearrangement for efficient installation of the trisubstituted
Z-double bond. Key late-stage coupling of the two fragments is effected by
deprotonation of the methyl group on the bisoxazole system using lithium
diethylamide, followed by alkylation with an allylic bromide side chain segment
to form the C(15)-C(16) bond.
PMID- 18052387
TI - 3-(Dimethylboryl)pyridine: synthesis, structure, and remarkable steric effects in
scrambling reactions.
AB - A facile method for the synthesis of 3-(dimethylboryl)pyridine (1a) is described.
Compound 1a assembles into a rigid cyclic tetramer stabilized via intermolecular
boron-nitrogen coordination bonds both in the crystalline state and in solution.
The outstanding structural feature of 1a, as compared with previously reported 3
(diethylboryl)pyridine (2a) (which adopts a cone conformation), is that the
tetramer of 1a adopts a 1,2-alternate conformation. To investigate the effect of
substituents at the boron atom on the stabilities of the oligomers, scrambling
experiments of the component molecules using 1, 2, and 3-(di-n
butylboryl)pyridines 3 were carried out. Although heating at 80-90 degrees C for
20 h was required to attain the equilibrium of the scrambling reactions when the
component molecules of the tetramers were 2 or 3, the scrambling in 1 proceeded
under relatively mild conditions (60 degrees C, 3 h). This difference in reaction
conditions required for 1, as compared to conditions required for 2 or 3, could
not be explained solely by the stabilities based on bond lengths or THC. It
appears that whereas only an S(N)1-type pathway may be involved in the scrambling
of 2 or 3, both S(N)1- and S(N)2-type mechanisms operate simultaneously during
scrambling reactions of 1 or an intermediate mechanism between S(N)1 and S(N)2
operates, which was supported by kinetic studies and calculations using model
compounds.
PMID- 18052388
TI - Efficient synthesis of sterically crowded biaryls by palladium-phosphinous acid
catalyzed cross-coupling of aryl halides and aryl grignards.
AB - A series of sterically hindered biaryls have been obtained by palladium- and
nickel-phosphinous acid-catalyzed Kumada-Corriu cross-coupling of ortho
substituted aryl halides and Grignard reagents. This method allows formation of
di- and tri-ortho-substituted biaryls in 87-98% yield under mild reaction
conditions even when electron-rich aryl chlorides are used. The reaction also
proceeds with aryl iodides at -20 degrees C, and under these conditions,
functional groups that are generally not compatible with Grignard reagents are
tolerated.
PMID- 18052389
TI - Oxazaborolidinone-catalyzed enantioselective Diels-Alder reaction of acyclic
alpha,beta-unsaturated ketones.
AB - allo-Threonine-derived O-acyl-B-phenyl-oxazaborolidinones are demonstrated to be
powerful and highly enantioselective Lewis acid catalysts for the Diels-Alder
reaction of simple acyclic enone dienophiles, expanding the scope of ketone
dienophiles and dienes. With 10 to 20 mol % of catalyst, the Diels-Alder adducts
are obtained in 76-98% ee with high endo-selectivity. The catalyst exhibits high
activity for the reaction with the less reactive beta-substituted dienophiles and
the less reactive 1,3-cycohexadiene and 1,3-butadiene derivatives. The
application of the catalysts to the Diels-Alder reaction of furan is also
described.
PMID- 18052390
TI - Rapid and efficient synthesis of dysiherbaine and analogues to explore structure
activity relationships.
AB - A rapid and efficient total synthesis of dysiherbaine (1), a potent and subtype
selective agonist for ionotropic glutamate receptors, has been accomplished. A
key intermediate 15 was synthesized by two approaches. The first synthetic route
utilized compound 9, an advanced intermediate in our previous total synthesis of
neodysiherbaine A, as the starting point, and the cis-oriented amino alcohol
functionality on the tetrahydropyran ring was installed by using an
intramolecular S(N)2 cyclization of N-Boc-protected amino alcohol 20. An
alternative and even more efficient synthetic approach to 15 featured
stereoselective introduction of an amino group at C8 by iodoaminocyclization
prior to constructing the bicyclic ether skeleton. The amino acid appendage was
efficiently constructed by a catalytic asymmetric hydrogenation of enamide ester
36. The synthetic route developed here provided access to several dysiherbaine
analogues, including 9-epi-dysiherbaine (38), 9-deoxydysiherbaine (39), 9
methoxydysiherbaine (40), and N-ethyldysiherbaine (41). The preliminary structure
activity relationship studies revealed that the presence and stereochemistry of
the C9 hydroxy group in dysiherbaine is important for high-affinity and selective
binding to glutamate subtype receptors.
PMID- 18052391
TI - Synthesis, structure, and conformation of aza[1n]metacyclophanes.
AB - N-Benzyl substituted aza[1n]metacyclophanes (n = 4, 6, 8, and 10) were prepared
in overall 40% isolated yields via Pd-catalyzed aminations. Analyses of the
reaction mixtures showed that aza[14]metacyclophane and the related polymer were
the primary products ( approximately 60% overall yield); aza[1n]metacyclophanes
up to n = 14 and linear oligomers with up to 20 nitrogen atoms (with at least
three types of end groups) were detected. Macrocyclic structures for n = 4, 6,
and 10 were confirmed by X-ray crystallography. 1,3-Alternate (D(2d)) and 1,3,5
alternate (S(6)) conformations in solution on NMR time scale at low temperatures
were found for macrocycles with n = 4 and n = 6, respectively; the barrier for
ring inversion was considerably lower for the larger macrocycle.
PMID- 18052392
TI - Phosphabicyclononane-containing ru complexes: efficient pre-catalysts for olefin
metathesis reactions.
AB - The catalytic performances of three Phosphabicyclononane (Phoban)-containing
ruthenium-based pre-catalysts have been evaluated for metathesis transformations.
A wide screening of substrates in ring-closing metathesis reactions reveals the
greater efficiency of pre-catalyst 4. Comparison of the catalytic activities of 4
with Grubbs' first-generation pre-catalyst illustrates the key role of the Phoban
ligand. Additionally, a comparative study of three Phoban-containing pre
catalysts has been conducted for the self-metathesis of 1-octene at low catalyst
loading (25-100 ppm).
PMID- 18052393
TI - Anthracene derivatives bearing thiourea and glucopyranosyl groups for the highly
selective chiral recognition of amino acids: opposite chiral selectivities from
similar binding units.
AB - Two new anthracene thiourea derivatives, 1 and 2, were investigated as
fluorescent chemosensors for the chiral recognition of the two enantiomers of
alpha-amino carboxylates. Especially, host 2 displayed K(L)/K(D) values as high
as 10.4 with t-Boc alanine. Furthermore, the D/L selectivity of hosts 1 and 2 is
opposite, even though both hosts bear the same glucopyranosyl units. These
intriguing opposite D/L binding affinities by 1 and 2 were obtained without/with
H-pi interaction between anthrancene moiety and the methyl groups, which were
explained by extensive high-level theoretical investigations taking into account
the dispersion energy as well as the 2D-NMR chemical shifts.
PMID- 18052394
TI - Cytochrome p450 and chemical toxicology.
AB - The field of cytochrome P450 (P450) research has developed considerably over the
past 20 years, and many important papers on the roles of P450s in chemical
toxicology have appeared in Chemical Research in Toxicology. Today, our basic
understanding of many of the human P450s is relatively well-established, in terms
of the details of the individual genes, sequences, and basic catalytic
mechanisms. Crystal structures of several of the major human P450s are now in
hand. The animal P450s are still important in the context of metabolism and
safety testing. Many well-defined examples exist for roles of P450s in decreasing
the adverse effects of drugs through biotransformation, and an equally
interesting field of investigation is the bioactivation of chemicals, including
drugs. Unresolved problems include the characterization of the minor "orphan"
P450s, ligand cooperativity and kinetic complexity of several P450s, the
prediction of metabolism, the overall contribution of bioactivation to drug
idiosyncratic problems, the extrapolation of animal test results to humans in
drug development, and the contribution of genetic variation in human P450s to
cancer incidence.
PMID- 18052395
TI - Aqueous electrolytes near hydrophobic surfaces: dynamic effects of ion
specificity and hydrodynamic slip.
AB - We demonstrate, using molecular-dynamics computer simulations, the strong
influence of surface wettability on the equilibrium structure of the electrical
double layer at solid interfaces and on electrokinetic transport in aqueous
electrolytes due to the effects of interfacial ion specificity and hydrodynamic
slip. In particular, we show that anomalous electrokinetic effects such as
nonzero zeta potentials for uncharged surfaces are general features of electro
osmotic flow in hydrophobic channels for electrolytes with substantial
cation/anion size asymmetry, as a result of the stronger attraction of the larger
ion to the "vapor-liquid-like" interface induced by a hydrophobic surface. We
establish that the simulated velocity profiles obey continuum hydrodynamics on
the nanoscopic length scales studied and show that the anomalous flow profiles
can be accurately predicted by using a modified Poisson-Boltzmann description for
the ion density distributions that incorporates an ion-size-dependent hydrophobic
solvation energy as a crucial component. We also demonstrate that,
counterintuitively, the flow for a charge-neutral fluid is independent of the
solid-fluid friction coefficient.
PMID- 18052396
TI - Electrochemical surface derivatization of glassy carbon by the reduction of
triaryl- and alkyldiphenylsulfonium salts.
AB - The range of materials susceptible to electrochemically assisted grafting onto
carbon materials has been expanded to include a new group of compounds. This new
approach is based on the reduction of symmetrical or unsymmetrical
triarylsulfonium salts and alkyldiphenylsulfonium salts. Our findings suggest
that it is possible to form layers of aryl moieties on the surface and that the
unsymmetrical triarylsulfonium salts cleave upon reduction in a direction
dictated by the substituent on the rings (i.e., (4
methoxyphenyl)diphenylsulfonium salt leads to a film made predominantly of phenyl
groups, whereas (4-chlorophenyl)diphenylsulfonium salt leads to a mixture of
phenyl and chlorophenyl groups). These relationships may be understood by
considering the inductive nature of the substituent with regard to the aryl-S
bonds and are supported by preparative experiments. Upon reduction, the
alkyldiphenylsulfonium salts are found to cleave almost exclusively to an alkyl
radical and diphenyl sulfide. As judged from the electrochemical blocking
properties of the films made from such species, either relatively thick or
compact films are formed. The mass spectrometric analysis indicates that the
films are made of a combination of alkyl and aryl groups and possibly related
structural derivatives. Importantly, our findings provide evidence that it is
possible to graft electrode surfaces with reactive aryl radicals even using
precursors reduced at potentials that are substantially more negative than the
estimated reduction potential of the grafting radical.
PMID- 18052397
TI - Gentle immobilization of nonionic polymersomes on solid substrates.
AB - Vesicles from Pluronic L121 (PEO5-PPO68-PEO5) triblock copolymers were first
stabilized by a permanent interpenetrating polymer network and then gently
immobilized onto a glass or mica surface. Fluorescence-labeled micrometer-sized
vesicles were visualized with confocal laser scanning microscopy, and smaller
sized capsules, around 100 nm, were probed by liquid atomic force microscopy. The
immobilized vesicles were weakly attached to a negatively charged surface via
negatively charged polyelectrolytes in combination with Mg2+ ions and can be
reversibly detached from the surface by slightly elevated temperatures. To
illustrate that the immobilized vesicles remain responsive to external stimuli,
we show that it is possible to transform their shape from spherical to
cylindrical by introducing a second Pluronic, namely, P123 (PEO20-PPO70-PEO20).
The detailed transition process has been recorded in real time by confocal laser
scanning microscopy. Electron microscopy studies confirmed that a similar
morphology change also occurs in the bulk.
PMID- 18052398
TI - Azide-derivatized gold nanorods: functional materials for "click" chemistry.
AB - We describe herein the synthesis of functional gold nanorods suitable for
carrying out "click" chemistry reactions. Gold nanorods modified with a copolymer
containing sulfonate and maleic acid groups have been conjugated to a
bifunctional azide molecule (amine-PEG-azide). The maleic acid molecules in the
copolymer participate in carbodiimide-mediated amide bond formation with amine
groups of the azide linker, whereas the sulfonate groups prevent nanorod
aggregation in water. Spectroscopic and zeta-potential measurements have been
used to confirm the successful surface modification of the gold nanorods. These
azide-functionalized nanorods can carry out chemical reactions based on click
chemistry. As a case study, we have demonstrated the "clicking" of azide-nanorods
to an acetylene-functionalized enzyme, trypsin, by a copper-catalyzed 1,3-dipolar
cycloaddition reaction. The enzyme is not only stable after bioconjugation but is
also biologically active, as demonstrated by its digestion of the protein casein.
For comparison, the biological activity of trypsin conjugated to gold nanorods by
two other commonly used methods (carbodiimide-mediated covalent attachment via
amide bond formation and simple electrostatic adsorption) has been studied. The
enzyme conjugated by click chemistry demonstrates improved biological activity
compared with other forms of bioconjugation. This general and simple approach is
easy, specific with higher yields, environmentally benign, and applicable to a
wide range of analytes and biomolecules.
PMID- 18052399
TI - PVA-DNA cryogel membranes: characterization, swelling, and transport studies.
AB - Double-stranded (ds) DNA from salmon testes has been incorporated into PVA
hydrogels obtained by a technique of repeated freezing and thawing. The cryogels
obtained are free of potential toxic species like chemical cross-linkers, and
consequently, they can be used in pharmaceutical or medical applications. These
cryogels show a good mechanical resistance and a white and opaque appearance
caused by a heterogeneous porous structure. Encapsulated DNA molecules can be in
a compacted or an extended conformation in the PVA matrix and can be controlled
by tailoring the degree of crystallinity of the PVA network; this is supported by
fluorescence microscopy and UV and FTIR spectroscopic studies. The two forms of
encapsulated DNA were observed for different types of matrixes: an extended one
in a more crystalline network and a globular one in a more amorphous one.
Different associations of base pairs have also been observed. PVA cryogel
crystallinity could be tailored by the cryogel contact with different salt
solutions. Cryogel surface (scanning electron microscopy) and bulk morphology
(porosimetry), swelling, DNA retention, and delivery kinetics have also been
studied. All these investigations clearly show strong interactions between PVA
and DNA.
PMID- 18052400
TI - Adsorption and co-adsorption of ethylene and carbon monoxide on silica-supported
monodisperse Pt nanoparticles: volumetric adsorption and infrared spectroscopy
studies.
AB - The adsorption of carbon monoxide and ethylene, and their sequential adsorption,
was studied over a series of Pt/SBA-15 catalysts with monodisperse particle sizes
ranging from 1.7 to 7.1 nm by diffuse-reflectance infrared spectroscopy and
chemisorption. Gas adsorption was dependent on the Pt particle size, temperature,
and sequence of gas exposure. Adsorption of CO at room temperature on Pt/SBA-15
gives rise to a spectroscopic feature assigned to the C-O stretch: nu(CO) = 2075
cm-1 (1.9 nm); 2079 cm-1 (2.9 nm); 2082 cm-1 (3.6 nm); and 2090 cm-1 (7.1 nm).
The intensity of the signal decreased in a sigmoidal fashion with increasing
temperature, thereby providing semiquantitative surface coverage information.
Adsorption of ethylene on Pt/SBA-15 gave rise to spectroscopic features at
approximately 1340, approximately 1420, and approximately 1500 cm-1 assigned to
ethylidyne, di-sigma-bonded ethylene, and pi-bonded ethylene, respectively. The
ratio of these surface species is highly dependent on the Pt particle size. At
room temperature, Pt particles stabilize ethylidyne as well as di-sigma- and pi
bonded ethylene; however, ethylidyne predominated on the surfaces of larger
particles. Ethylidyne was the only identifiable species at 403 K, with its
formation being more facile on larger particles. Co-adsorption experiments reveal
that the composition of the surface layer is dependent on the order of exposure
to gases. Exposure of a C2H4-covered Pt surface to CO resulted in an
approximately 50% decrease in chemisorbed CO compared to a fresh Pt surface. The
nu(CO) appeared at 2050 cm-1 on Pt/SBA-15 pretreated with C2H4 at room
temperature. The di-sigma-bonded and pi-bonded species are the most susceptible
to displacement from the surface by CO. The formation of ethylidyne appeared to
be less sensitive to the presence of adsorbed carbon monoxide, especially on
larger particles. Upon exposure of C2H4 to a CO-covered Pt surface, little
irreversible uptake occurred due to nearly 100% site blocking. These results
demonstrate that carbon monoxide competes directly with ethylene for surface
sites, which will have direct implications on the poisoning of the
heterogeneously catalyzed conversion of hydrocarbons.
PMID- 18052401
TI - Solubilization of n-alkylbenzenes into decanoyl-N-methylglucamide (Mega-10)
solution.
AB - Solubilization of benzene, toluene, ethylbenzene, n-propylbenzene, n
butylbenzene, n-pentylbenzene, and n-hexylbenzene into micelles of decanoyl-N
methylglucamide (Mega-10) was studied, where equilibrium concentrations of the
above solubilizates were determined spectrophotometrically at 303.2 K. The
concentration of the above solubilizates remained constant below the critical
micelle concentration (cmc) and increased linearly with an increase in Mega-10
concentration above the cmc. The Gibbs free energy change of the solubilizates
from the aqueous bulk to the liquid solubilizate phase was evaluated from the
dependence of their aqueous solubility on the alkyl chain length of the
solubilizates, which leads to -3.46 kJ mol-1 for DeltaG(0)(CH), the energy change
per CH2 group of the alkyl chain. The first stepwise solubilization constant
(K(overline)1 ) was evaluated from the slope of the change of solubilizate
concentration versus Mega-10 concentration. The Gibbs free energy change
(DeltaG(0,s)) for the solubilization decreased linearly with the carbon number of
the alkyl chain of the solubilizates, from which DeltaG(0,s)(CH2) as evaluated to
be -2.71 kJ mol-1. The similar values above clearly indicate that the location of
the alkyl chain is a hydrophobic micellar core, which is also supported by the
absorption spectrum of the solubilized molecules.
PMID- 18052402
TI - Use of negative capacitance to provide voltage amplification for low power
nanoscale devices.
AB - It is well-known that conventional field effect transistors (FETs) require a
change in the channel potential of at least 60 mV at 300 K to effect a change in
the current by a factor of 10, and this minimum subthreshold slope S puts a
fundamental lower limit on the operating voltage and hence the power dissipation
in standard FET-based switches. Here, we suggest that by replacing the standard
insulator with a ferroelectric insulator of the right thickness it should be
possible to implement a step-up voltage transformer that will amplify the gate
voltage thus leading to values of S lower than 60 mV/decade and enabling low
voltage/low power operation. The voltage transformer action can be understood
intuitively as the result of an effective negative capacitance provided by the
ferroelectric capacitor that arises from an internal positive feedback that in
principle could be obtained from other microscopic mechanisms as well. Unlike
other proposals to reduce S, this involves no change in the basic physics of the
FET and thus does not affect its current drive or impose other restrictions.
PMID- 18052403
TI - Controlled fabrication and electrical properties of long quasi-one-dimensional
superconducting nanowire arrays.
AB - We report a general method for reliably fabricating quasi-one-dimensional
superconducting nanowire arrays, with good control over nanowire cross section
and length, and with full compatibility with device processing methods. We
investigate Nb nanowires with individual nanowire cross sectional areas that
range from bulklike to 10 x 11 nm, and with lengths from 1 to 100 microm.
Nanowire size effects are systematically studied. In particular, a comprehensive
investigation of influence of nanowire length on superconductivity is reported
for the first time. All results are interpreted within the context of phase-slip
models.
PMID- 18052405
TI - Symposium on Better Medicines for Children: report from the 25th International
Congress of Pediatrics, Athens, Greece.
AB - A symposium on Better Medicines for Children at the 25th International Congress
of Pediatrics in Athens, Greece, in August 2007 drew attention to the worldwide
needs for suitable and available medicines for children, and described recent
forward action in this important area.
PMID- 18052406
TI - Optimizing therapy with antibacterial agents: use of pharmacokinetic
pharmacodynamic principles in pediatrics.
AB - The appropriate dosage of antibacterial agents is essential in achieving both
clinical and microbiologic success in the treatment of infections in children. By
using in vitro experimental data and animal model outcome data, the
pharmacokinetic-pharmacodynamic (PK-PD) parameters predictive of antibacterial
effect have been elucidated. For time-dependent drugs such as beta-lactams, the
PK-PD parameter of interest is the percentage of time in a dosage interval for
which drug concentrations remain above the minimum inhibitory concentration (MIC)
of the infecting organism. For concentration-dependent drugs such as
aminoglycosides, the PK-PD parameter of interest is the ratio of the area under
the plasma concentration-time curve to the MIC. Recent studies using data on
clinical and microbiologic outcomes from infected adults and children, combined
with data on drug exposure, have confirmed the importance of these parameters and
provided estimates of the PK-PD goals of therapy for various antibacterial
agents. Application of these PK-PD principles allows rational dosage regimen
selection, both for serious infections in critically ill children and for non
life-threatening community-acquired infections.
PMID- 18052407
TI - Targeted B-cell depletion therapy in childhood-onset systemic lupus
erythematosus: progress to date.
AB - Childhood-onset systemic lupus erythematosus (SLE) is a multisystemic autoimmune
disease associated with significant morbidity and mortality with lupus nephritis
being a major prognostic factor. Children with SLE tend to have more severe
hematologic and renal involvement compared with adults. Although the morbidity
and mortality have greatly improved over the last 20 years, recent studies show
that there are still associated major risks from under treatment (with resultant
severe flares of disease activity) and over treatment (with additional medication
adverse effects including risks of severe infection; many of these patients have
inherent abnormal complement pathways). Therapies used to treat children with SLE
need to be individualized based on multiorgan involvement, severity of disease,
history of disease flares, and knowledge of recent relevant clinical,
hematologic, and immunologic parameters. These medications need to be the most
effective treatments, allowing normal growth, development, fertility, and the
avoidance of severe toxicity and future malignancies. Many toxic effects of
current medications range from the well described Cushingoid features of
corticosteroids to the gastrointestinal adverse effects of mycophenolate mofetil.
In vitro studies have shown that rituximab causes B-cell depletion by mechanisms
involving antibody-dependent cell-mediated cytotoxicity, complement-dependent
cytotoxicity, and direct signaling leading to apoptosis. As the adverse effect
profile of B-cell depletion with rituximab has been well described in adults and
children with oncologic and other autoimmune diseases, initial pilot studies
using rituximab in patients with refractory SLE have been carried out according
to different protocols. Evidence to date in open studies demonstrates that
targeted B-cell depletion therapy can be safe and efficacious as an addition to
standard immunosuppressant agents in refractory childhood-onset and adult-onset
disease. Although there are positive outcomes in using this therapy, caution is
necessary with respect to minimizing the number of doses and treatments given to
reduce the incidence of developing human anti-chimeric antibodies. The next phase
for the clinical and research community are multicenter randomized controlled
trials of rituximab in severe childhood SLE, such as a comparative trial of
rituximab versus intravenous cyclophosphamide in patients both at presentation
and with exacerbations of disease activity.
PMID- 18052408
TI - Pediatric overactive bladder syndrome: pathophysiology and management.
AB - Detrusor overactivity, also known as the overactive bladder syndrome (OAB), urge
syndrome, hyperactive bladder syndrome, persistent infantile bladder, and
detrusor hypertonia, is the most common voiding dysfunction in children. Until
recently, the concepts that had been used to dictate the management of this
problem in children were based on the foundation that this was a primary bladder
problem and or a delay in maturation in the nervous system of children. The
expectation that children would outgrow their problems led many pediatric
urologists and other practitioners to tell the parents of these children 'that
they would not be wetting themselves on their wedding day.' However, it has
become apparent from recent studies in adult patients with voiding dysfunctions
that they had symptoms present as children. Recent findings of associations
between lower urinary tract symptoms and sexual dysfunction and between voiding
dysfunctions and neuropsychiatric problems have opened up a new frontier into the
possible mechanisms of OAB in children that would explain these problems, link
them together, and explain the continued problems that adult patients face. These
findings point to OAB as a symptom of a more centrally located dysfunction that
affects multiple systems. The objective of this review was to evaluate the
neuroanatomy and neurophysiology of voiding and neuropharmacologic effects. We
considered not only the available research and clinical data within the urologic
field but also outside the field so that these data could be combined to generate
a unified theory that could possibly explain many of the associated symptoms that
are commonly found in pediatric OAB. Treatment modalities that are currently
available for managing OAB were also explored. Currently available data indicate
that pediatric OAB and many pediatric voiding dysfunctions may be part of a more
generalized problem that affects multiple systems: notably bowels, bladder,
sexual and ejaculatory function, control of blood pressure, and even mood and
behavior. We explain the relationship that the bowel has with pediatric OAB and
also the link that other neuropsychiatric problems have with OAB. This article
describes which drug may be best suited to treat OAB in children and what
treatment modalities are available when first-line drugs fail. In conclusion, the
movement away from a vesicocentric way of thinking to a more corticocentric mode
of thinking along with new imaging modalities that can examine the brain as it
works will be of great value in determining future treatments of OAB. Medications
generated from these evidence-based studies will hopefully treat the underlying
disease process and not just the symptoms.
PMID- 18052409
TI - Optimal management strategies for rhabdomyosarcoma in children.
AB - Rhabdomyosarcoma is the most common sarcoma of childhood. Fortunately, the goal
of cure is realistic for the majority of patients with localized tumors. However,
management of these patients remains challenging. The fact that the tumor arises
in a wide variety of primary sites, some of which are associated with specific
patterns of local invasion, regional lymph node spread, and therapeutic response,
requires physicians to be familiar with site-specific staging and treatment
details. In addition, rhabdomyosarcoma requires multimodality therapy that can be
associated with significant acute toxicities and long-term effects, particularly
when administered to young children. These factors sometimes present a dilemma as
to the best approach to optimize the chance of cure, minimize toxicity, and
respect quality of life. The purpose of this review is to discuss 'optimal'
management of this complicated tumor. Since the tumor is relatively rare,
requires highly specialized care, and important management questions remain to be
answered, optimal management of rhabdomyosarcoma includes enrollment in clinical
trials whenever possible. Appropriate management begins with establishing the
correct pathologic diagnosis, histologic subtype, primary site, extent of disease
(International Society of Pediatric Oncology [SIOP]-TNM-Union Internationale
Contre le Cancer stage or Intergroup Rhabdomyosarcoma Study Group [IRSG] stage),
and extent of resection (IRSG group). Cooperative groups throughout North America
and Europe have defined risk-adapted treatment based on these factors; this
treatment requires a coordinated management plan that includes surgery,
chemotherapy, and usually radiotherapy. The surgical approach for
rhabdomyosarcoma is to excise the primary tumor whenever possible without causing
major functional or cosmetic deficits. Wide excision is difficult in some primary
sites and can be complicated by the fact that the tumor grows in a locally
infiltrative manner so that complete resection is often neither possible nor
medically indicated. Incompletely resected tumors are generally treated with
radiotherapy. The cooperative groups reduce the dose of radiation based on the
response of the tumor to chemotherapy and delayed primary resection to differing
degrees. Response-adjusted radiation administration may reduce the long-term
effects of radiotherapy, such as bone growth arrest, muscle atrophy, bladder
dysfunction, and induction of second malignant neoplasms; however, it may also be
associated with an increased risk of tumor recurrence. All patients with
rhabdomyosarcoma require chemotherapy. A backbone of vincristine and dactinomycin
with either cyclophosphamide (VAC) or ifosfamide (IVA) has been established. Risk
adapted treatment involves reducing or eliminating the alklyating agent for
patients with the most favorable disease characteristics. Clinical trials are
ongoing to improve outcomes for higher risk patients; newer agents, such as
topotecan or irinotecan, in combination with VAC or use of agents in novel ways
are being investigated. Acute and long-term toxicities associated with these
chemotherapy regimens include myelosuppression, febrile neutropenia, hepatopathy,
infertility, and second malignant neoplasms. A 5-year survival rate >70% has been
achieved in recent trials for patients with localized rhabdomyosarcoma. However,
the outcome for patients who present with metastatic disease remains poor. In the
future, risk-adapted classification of rhabdomyosarcoma will likely be based on
biologic features, such as the presence of chromosomal translocations or specific
gene expression profiles. It is hoped that newer therapies directed at specific
molecular genetic defects will benefit all patients with rhabdomyosarcoma.
PMID- 18052410
TI - Management of community-acquired pneumonia in children.
AB - Community-acquired pneumonia (CAP) is a significant cause of childhood morbidity
and mortality worldwide. Viral etiology is most common in young children and
decreases with age. Streptococcus pneumoniae is the single most common bacterial
cause across all age groups. Atypical organisms present similarly across all age
groups and may be more common than previously recognized.A bacterial pneumonia
should be considered in children presenting with fever >38.5 degrees C,
tachypnea, and chest recession. Oxygen therapy is life saving and should be given
when oxygen saturation is <92%. For non-severe pneumonia, oral amoxicillin is the
antibacterial of choice with low failure rates reported. Severely ill children
are traditionally treated with parenteral antibacterials. Penicillin non
susceptible S. pneumoniae prevalence rates are increasing and have been linked to
community antibacterial prescribing. Most pneumococci remain sensitive to high
dose penicillin-based antibacterials but macrolide resistance is also a problem
in some communities. However, primary combination treatment with macrolides is
indicated in areas where there is a high prevalence of atypical organisms. The
most common complications in CAP are parapneumonic effusions and empyema. The use
of ultrasonography combined with intercostal drainage augmented with the use of
fibrinolytic therapy has significantly reduced the morbidity associated with
these complications. There is increasing evidence that a preventative strategy
with the 7-valent pneumococcal conjugate vaccine (PCV-7) results in a significant
fall in CAP in early childhood.
PMID- 18052411
TI - Systemic hypertension and proteinuria in childhood chronic renal parenchymal
disease: role of antihypertensive drug management.
AB - A variety of chronic kidney diseases tend to progress towards end-stage kidney
disease. Progression is largely due to factors unrelated to the initial disease,
including systemic hypertension and proteinuria. Drugs that block the renin
angiotensin II-aldosterone system, either ACE inhibitors or angiotensin II
receptor antagonists, reduce both BP and proteinuria and appear superior to a
more conventional antihypertensive treatment regimen in preventing progression to
end-stage kidney disease. The most recent recommendations state that the BP goal
in children with chronic kidney disease is the corresponding 90th centile for
body height, age, and gender. Since satisfactory BP control is often not
achieved, the mnemonic acronym DELTAREPROSI was generated to recall the following
tips for the practical management of hypertension and proteinuria in childhood
chronic renal parenchymal disease: DEfinition of hypertension and Low blood
pressure TArget in REnal disease (90th centile calculated by means of simple
formulas), potential of drugs inhibiting the REnin-angiotensin II-aldosterone
system in hypertension and PROteinuria, advantages of SImplified treatment
regimens and escalating the doses every SIx weeks.
PMID- 18052413
TI - Coherent control of bond breaking in amino acid complexes with tailored
femtosecond pulses.
AB - Intense femtosecond laser pulses, judiciously tailored in an adaptive, optimal
control feedback loop were used to break preferentially the acyl-N ("peptide")
bond of Ac-Phe-NHMe that may be regarded as a dipeptide model. We show that
coherent excitation of complex wave packets in the strong-field regime allows to
cleave strong backbone bonds in the molecular system preferentially, while
keeping other more labile bonds intact. These results show the potential of pulse
shaping as a powerful complementary analytical tool for protein sequencing of
large biopolymers in addition to the well-known mass spectrometry and chemical
analysis.
PMID- 18052412
TI - Aripiprazole: in adolescents with schizophrenia.
AB - Aripiprazole is a novel atypical antipsychotic that is approved in the US for use
in adolescents with schizophrenia. In adolescents with schizophrenia, oral
aripiprazole 10 or 30 mg/day lead to significantly greater reductions than
placebo in the Positive and Negative Syndrome Scale (PANSS) total score from
baseline to 6 weeks, according to findings from a randomized, double-blind,
multicenter trial (n = 302). In addition, aripiprazole 10 or 30 mg/day recipients
had significantly greater improvements in the PANSS positive subscale and
Clinical global Impression-Severity and -Improvement scale scores than placebo
recipients, and a significantly greater improvement in the PANSS negative
subscale score was seen with aripiprazole 10 mg/day than with placebo.
Aripiprazole was generally well tolerated in adolescents with schizophrenia, with
most adverse events being of mild to moderate severity. Clinically significant
weight gain (> or = 7% as defined by the US FDA) occurred in 4.0% of aripiprazole
10 mg/day recipients, 5.2% of aripiprazole 30 mg/day recipients, and 1% of
placebo recipients. The mean weight change was significantly different in
aripiprazole and placebo recipients (0, +2, and -0.8 kg in aripiprazole 10
mg/day, aripiprazole 30 mg/day, and placebo recipients, respectively).
PMID- 18052414
TI - Circular dichroism of helical structures using semiempirical methods.
AB - A general semiempirical scheme has been elaborated to simulate circular dichroism
(CD) spectra of supramolecular systems. This approach adopts the analytical
method of Beck and Hohlneicher [Theor. Chem. Acc. 101, 297 (1999).] to evaluate
the one- and two-center integrals over Slater atomic orbitals. The performance of
the method, employing INDO/S and CNDO/S semiempirical parametrizations, has been
assessed by considering (i) the effect of the size of the singly excited states
manifold, (ii) the origin invariance, and (iii) comparisons with the experimental
and other theoretical spectra of several helicenes as well as pyridine-pyrimidine
oligomers, which can adopt helical conformations. The main results are (i) the
INDO/S parametrization with rather small excitation manifolds is able to
reproduce, at low computational costs, the experimental CD spectra of several
helicenes as well as CD simulations performed at ab initio and time-dependent
density functional theory level of approximation; (ii) in the series of
homohelicenes, the rotatory strength of the lowest-energy band increases almost
linearly with the size of the helix; (iii) as evidenced by the study of
tetradodecyloxy helicene bisquinone, packing effects can change the sign of
remarkable CD bands, which are used to assign the structure configuration.
PMID- 18052415
TI - A density matrix-based method for the linear-scaling calculation of dynamic
second- and third-order properties at the Hartree-Fock and Kohn-Sham density
functional theory levels.
AB - A density matrix-based time-dependent self-consistent field (D-TDSCF) method for
the calculation of dynamic polarizabilities and first hyperpolarizabilities using
the Hartree-Fock and Kohn-Sham density functional theory approaches is presented.
The D-TDSCF method allows us to reduce the asymptotic scaling behavior of the
computational effort from cubic to linear for systems with a nonvanishing band
gap. The linear scaling is achieved by combining a density matrix-based
reformulation of the TDSCF equations with linear-scaling schemes for the
formation of Fock- or Kohn-Sham-type matrices. In our reformulation only
potentially linear-scaling matrices enter the formulation and efficient sparse
algebra routines can be employed. Furthermore, the corresponding formulas for the
first hyperpolarizabilities are given in terms of zeroth- and first-order one
particle reduced density matrices according to Wigner's (2n+1) rule. The scaling
behavior of our method is illustrated for first exemplary calculations with
systems of up to 1011 atoms and 8899 basis functions.
PMID- 18052416
TI - Optimization of replica exchange molecular dynamics by fast mimicking.
AB - We present an approach to mimic replica exchange molecular dynamics simulations
(REMD) on a microsecond time scale within a few minutes rather than the years,
which would be required for real REMD. The speed of mimicked REMD makes it a
useful tool for "testing" the efficiency of different settings for REMD and then
to select those settings, that give the highest efficiency. We present an
optimization approach with the example of Hamiltonian REMD using soft-core
interactions on two model systems, GTP and 8-Br-GTP. The optimization process
using REMD mimicking is very fast. Optimization of Hamiltonian-REMD settings of
GTP in explicit water took us less than one week. In our study we focus not only
on finding the optimal distances between neighboring replicas, but also on
finding the proper placement of the highest level of softness. In addition we
suggest different REMD simulation settings at this softness level. We allow
several replicas to be simulated at the same Hamiltonian simultaneously and
reduce the frequency of switching attempts between them. This approach allows for
more efficient conversions from one stable conformation to the other.
PMID- 18052417
TI - An analytical derivative procedure for the calculation of vibrational Raman
optical activity spectra.
AB - We present an analytical time-dependent Hartree-Fock algorithm for the
calculation of the derivatives of the electric dipole-magnetic dipole
polarizability with respect to atomic Cartesian coordinates. Combined with
analogous procedures to determine the derivatives of the electric dipole-electric
dipole and electric dipole-electric quadrupole polarizabilities, it enables a
fully analytical evaluation of the three frequency-dependent vibrational Raman
optical activity (VROA) invariants within the harmonic approximation. The
procedure employs traditional non-London atomic orbitals, and the gauge-origin
dependence of the VROA intensities has, therefore, been assessed for the commonly
used aug-cc-pVDZ and rDPS:3-21G basis sets.
PMID- 18052418
TI - Automatic generation of potential energy and property surfaces of polyatomic
molecules in normal coordinates.
AB - A procedure for the automatic construction of Born-Oppenheimer (BO) potential
energy and molecular property surfaces in rectilinear normal coordinates is
presented and its suitability and accuracy when combined with vibrational
structure calculations are assessed. The procedure relies on a hierarchical n
mode representation of the BO potential energy or molecular property surface,
where the n-mode term of the sequence of potentials/molecular properties includes
only the couplings between n or less vibrational degrees of freedom. Each n-mode
cut of the energy/molecular property surface is first evaluated in a grid of
points with ab initio electronic structure methods. The ab initio data are then
spline interpolated and a subsequent polynomial fitting provides an analytical
semiglobal representation for use in vibrational structure programs. The
implementation of the procedure is outlined and the accuracy of the method is
tested on water and difluoromethane. Strategies for improving the proposed
algorithm are also discussed.
PMID- 18052419
TI - A first principles theory of nuclear magnetic resonance J-coupling in solid-state
systems.
AB - A method to calculate NMR J-coupling constants from first principles in extended
systems is presented. It is based on density functional theory and is formulated
within a planewave-pseudopotential framework. The all-electron properties are
recovered using the projector augmented wave approach. The method is validated by
comparison with existing quantum chemical calculations of solution-state systems
and with experimental data. The approach has also been applied to the
silicophosphate, Si(5)O(PO(4))(6), giving (31)P-(29)Si-couplings which are in
excellent agreement with experiment.
PMID- 18052420
TI - Block correlated coupled cluster theory with a complete active-space self
consistent-field reference function: the formulation and test applications for
single bond breaking.
AB - Block correlated coupled cluster (BCCC) theory with a complete active-space self
consistent-field (CASSCF) reference function is presented. This theory provides
an alternative multireference coupled cluster framework to describe the
multireference characters of the ground-state wave functions. In this approach, a
multireference block is defined to incorporate the nondynamic correlation, and
all other blocks involve just a single spin orbital. The cluster operators are
truncated up to the four-block correlation level, leading to the BCCC4 scheme.
For a single bond breaking problem, the present CAS-BCCC4 approach with a
CASSCF(2,2) reference function computationally scales as the traditional single
reference coupled cluster singles and doubles. We have applied the present
approach to investigate the electronic structures of several model systems
including H4, P4, and BeH(2), and the single bond breaking processes in small
systems such as F(2), HF, BH, and CH(4). A comparison of our results with those
from full configuration interaction calculations shows that the present approach
can provide quantitative descriptions for all the studied systems. The size
consistency error is found to be quite small in the dissociation limit of
diatomic molecules F(2), HF, and BH.
PMID- 18052421
TI - Accurate ab initio potential energy curve of F2. II. Core-valence correlations,
relativistic contributions, and long-range interactions.
AB - The nonrelativistic, valence-shell-only-correlated ab initio potential energy
curve of the F(2) molecule, which was reported in the preceding paper, is
complemented by determining the energy contributions that arise from the electron
correlations that involve the core electrons as well as the contributions that
are due to spin-orbit coupling and scalar relativistic effects. The dissociation
curve rises rather steeply toward the energy of the dissociated atoms because, at
larger distances, the atomic quadrupole-quadrupole repulsion and spin-orbit
coupling counteract the attractive contributions from incipient covalent binding
and correlation forces including dispersion.
PMID- 18052422
TI - Effect of the Gouy phase on the coherent phase control of chemical reactions.
AB - We show how the spatial phase of a focused laser beam may be used as a tool for
controlling the branching ratio of a chemical reaction. Guoy discovered [Acad.
Sci., Paris, C. R. 110, 1250 (1890)] that when an electromagnetic wave passes
through a focus its phase increases by pi. In a coherent control scheme involving
the absorption of n photons of frequency omega(m) and m photons of frequency
omega(n), the overall phase shift produced by the Gouy phase is (n-m)pi. At any
given point in space, this phase shift is identical for all reaction products.
Nevertheless, if the yields for different reaction channels have different
intensity dependencies, the Gouy phase produces a net phase lag between the
products that varies with the axial coordinate of the laser focus. We obtain here
analytical and numerical values of this phase as the laser focus is scanned
across the diameter of the molecular beam, taking into account the Rayleigh range
and astigmatism of the laser beam and saturation of the transition. We also show
that the modulation depth of the interference pattern may be increased by
optimizing the relative intensities of the two fields.
PMID- 18052423
TI - Nuclear quadrupole moment of 139La from relativistic electronic structure
calculations of the electric field gradients in LaF, LaCl, LaBr, and LaI.
AB - Relativistic coupled cluster theory is used to determine accurate electric field
gradients in order to provide a theoretical value for the nuclear quadrupole
moment of (139)La. Here we used the diatomic lanthanum monohalides LaF, LaCl,
LaBr, and LaI as accurate nuclear quadrupole coupling constants are available
from rotational spectroscopy by Rubinoff et al. [J. Mol. Spectrosc. 218, 169
(2003)]. The resulting nuclear quadrupole moment for (139)La (0.200+/-0.006 barn)
is in excellent agreement with earlier work using atomic hyperfine spectroscopy
[0.20(1) barn].
PMID- 18052424
TI - Photodissociation spectroscopy of stored CH+ and CD+ ions: analysis of the b
3Sigma(-)-a 3Pi system.
AB - We have measured the photodissociation spectrum of CH(+) and CD(+) molecular
ions, stored as fast (MeV) ion beams in the heavy-ion storage ring TSR. Several b
(3)Sigma(-)-a (3)Pi bands were observed as strong resonances because a large
fraction of the ions in the metastable a (3)Pi(v=0) state were pumped to b
(3)Sigma(-) levels and predissociated via the c (3)Sigma(+) state into C(+) and
H(D) fragments. From a rotational analysis of the 2-0, 3-0, and 4-0 bands in
CH(+) and the 3-0 and 4-0 bands in CD(+), we derive spectroscopic constants for
these levels and also revise a previous analysis of the 0-0 and 1-0 bands in
CH(+). Combining all data delivers new, significantly adjusted equilibrium
constants for the b (3)Sigma(-) and a (3)Pi electronic states. Apart from the
spectroscopic analysis, we estimate the predissociation rates of the upper b
(3)Sigma(-) vibrational levels in CH(+) and compare them to a model. For the
initial rovibrational distribution of the stored metastable CH(+) molecules, the
data indicate a faster vibrational cooling than derived before, and rotational
cooling at a rate similar to the X (1)Sigma(+) ground state. New aspects of the
spin-forbidden a (3)Pi-X (1)Sigma(+) radiative decay are discussed. Finally, we
predict b (3)Sigma(-)-a (3)Pi absorption and a (3)Pi-X (1)Sigma(+) emission lines
through which CH(+) in the metastable a (3)Pi(v=0) state might be detectable in
astrophysical environments.
PMID- 18052425
TI - Wave packet driven dissociation and concerted elimination in CH2I2.
AB - We follow the evolution of a vibrational wave packet in a highly excited state of
the halogenated methane CH(2)I(2). We observe how the wave packet modulates both
dissociation and concerted elimination to form CH(2)I(+) and I(2) (+),
respectively. We present a simple and intuitive interpretation of the molecular
dynamics leading to the formation of the products.
PMID- 18052426
TI - New insights in the formation of thioxophosphine: a quantum chemical study.
AB - The investigation of the thioxophosphine (PS) formation from different reaction
paths is successfully performed and presented in this paper. The PH(3)+SH(1)
reaction is likely to yield the intermediates PH(2) (2)+H(2)S through an energy
barrier of 2.8 kcal mol(-1). However, the next step is the H(2)PS(2) formation,
which has a too high energy barrier, 52.6 kcal mol(-1). The PH(3)+S(1) reaction
path is the likely source of the HPS(1) molecule. The other possibilities are the
PH(1)+H(2)S, PH(2) (2)+SH(1), and PH(3)+H(2)S reactions, but they are spin
forbidden and energetically unfavorable for the HPS(1) and PSH(1) formations. On
the other hand, the PS(2) formation is more likely to happen by the PH(1)+SH(1)
reaction. The PH(2) (2)+S(1), PH(3)+SH(1), P(2)+H(2)S, and P(4)+H(2)S reactions
are also favorable in terms of energetics; however, these reactions are spin
forbidden. The chemical mechanism for the PS(2) formation is now presented in
more details, which is of great importance in the atmosphere of Jupiter and
Saturn, and in interstellar medium.
PMID- 18052427
TI - The permanent electric dipole moment of chromium monodeuteride, CrD.
AB - A number of low-N lines of the X (6)Sigma(+)<--A (6)Sigma(+)(0,0) band of
chromium monodeuteride, CrD, have been recorded at near the natural linewidth
limit by high resolution laser excitation spectroscopy of a supersonic molecular
beam sample. The shifts and splitting of these lines caused by a static electric
field have been analyzed to give the permanent electric dipole moments of the X
(6)Sigma(+)(upsilon=0) and A (6)Sigma(+)(upsilon=0) states as 3.510(33) and
1.153(3) D, respectively. The dipole moment of the A (6)Sigma(+)(upsilon=0) state
can be measured with higher precision because of some interesting near
degeneracies in its level structure. The trends in the observed dipole moments
for the first-row transition metal monohydrides are rationalized and compared
with theoretical predictions.
PMID- 18052428
TI - Reinvestigation of the electronic spectroscopy of the Au-Ar complex.
AB - The Au-Ar complex is reinvestigated employing resonance-enhanced multiphoton
ionization spectroscopy. Spectra are reported, corresponding to the atomic
transition Au(6p<--6s). This electronic excitation yields (2)Pi and (2)Sigma(+)
states of Au-Ar, which interact under the influence of spin-orbit coupling. The
spectra are consistent with strong sigma-pi mixing induced by the large spin
orbit coupling of Au, leading to strong interaction of the two Omega=12 states,
which arise from the Ar((1)S(0))+Au((2)P(12,32)) asymptotes, and the consequent
formation of a "shelf" on the outer wall of the lowest Omega=12 state. In
addition, high-level ab initio calculations are reported on the ground electronic
state, X (2)Sigma(+), including extrapolation to the basis set limit.
PMID- 18052429
TI - Temperature and heat capacity of atomic clusters as estimated in terms of kinetic
energy release of atomic evaporation.
AB - The temperature and heat capacity of isolated atomic clusters are studied in
terms of an ab initio statistical theory of kinetic energy distribution by atomic
evaporation. Two definitions of canonical temperature are examined and
numerically compared: One is based on the most probable kinetic energy release
(KER), whereas the other is determined with use of the entire distribution of the
KER. The mutual relationship and their advantages are discussed.
PMID- 18052430
TI - An experimental and theoretical study of the S(1)<--S0 transition of p
ethynyltoluene.
AB - The one photon and the two photon S(1)<--S(0) spectra of jet-cooled p
ethynyltoluene have been measured for the first time, and a detailed vibronic
analysis for both spectra has been attained. Mass analyzed resonance enhanced
multiphoton ionization spectroscopy is the employed technique. In the one photon
spectrum, the allowed component (origin and Franck-Condon bands) is much weaker
than the forbidden component, and the same mechanisms as in the one photon
spectrum of phenylacetylene are observed. The methyl torsional transitions are
active. The 0(0) (0) band is at 35 483 cm(-1). The two photon spectrum is very
strong and bears a resemblance to the two photon spectrum of phenylacetylene. The
potential barrier of the methyl rotor in the S(1) state has been determined as
V(6)=-12 cm(-1) with B(CH(3) )=5.55 cm(-1). Ab initio calculations, MP2(full)/cc
pVTZ and CAS/cc-pVTZ, have been implemented for the geometry optimization and the
normal mode vibration computation in the S(0) and S(1) states.
PMID- 18052431
TI - Coriolis coupling effects on the initial-state-resolved dynamics of the N(2D)+H2-
>NH+H reaction.
AB - We present Coriolis coupling effects on the initial-state-resolved dynamics of
the insertion reaction N((2)D)+H(2)(X (1)Sigma(g) (+))-->NH(X (3)Sigma(-) and a
(1)Delta)+H((2)S), without and with nonadiabatic Renner-Teller (RT) interactions
between the NH(2) X (2)B(1) and A (2)A(1) electronic states. We report coupled
channel (CC) Hamiltonian matrix elements, which take into account both Coriolis
and RT couplings, use the real wave-packet and flux methods for calculating
initial-state-resolved reaction probabilities, and contrast CC with centrifugal
sudden (CS) results. Without RT interactions, Coriolis effects are rather small
up to J=40, and the CS approximation can be safely employed for calculating
initial-state-resolved, integral cross sections. On the other hand, RT effects
are associated with rather large Coriolis couplings, mainly near the linearity of
NH(2), and the accuracy of the CS approximation thus breaks down at high
collision energies, when the reaction starts on the excited A (2)A(1) surface. We
also present the CC-RT distribution of the X (3)Sigma(-) and a (1)Delta
electronic states of the NH products.
PMID- 18052432
TI - Evidence for cluster shape effects on the kinetic energy spectrum in thermionic
emission.
AB - Experimental kinetic energy release distributions obtained for the thermionic
emission from C(n) (-) clusters, 10< or =n< or =20, exhibit significant non
Boltzmann variations. Using phase space theory, these different features are
analyzed and interpreted as the consequence of contrasting shapes in the daughter
clusters; linear and nonlinear isomers have clearly distinct signatures. These
results provide a novel indirect structural probe for atomic clusters associated
with their thermionic emission spectra.
PMID- 18052433
TI - Accurate ab initio potential energy curve of F2. III. The vibration rotation
spectrum.
AB - An analytical expression is found for the accurate ab initio potential energy
curve of the fluorine molecule that has been determined in the preceding two
papers. With it, the vibrational and rotational energy levels of F(2) are
calculated using the discrete variable representation. The comparison of this
theoretical spectrum with the experimental spectrum, which had been measured
earlier using high-resolution electronic spectroscopy, yields a mean absolute
deviation of about 5 cm(-1) over the 22 levels. The dissociation energy with
respect to the lowest vibrational energy is calculated within 30 cm(-1) of the
experimental value of 12 953+/-8 cm(-1). The reported agreement of the
theoretical spectrum and dissociation energy with experiment is contingent upon
the inclusion of the effects of core-generated electron correlation, spin-orbit
coupling, and scalar relativity. The Dunham analysis [Phys. Rev. 41, 721 (1932)]
of the spectrum is found to be very accurate. New values are given for the
spectroscopic constants.
PMID- 18052434
TI - Quantum optimal control of electron ring currents in chiral aromatic molecules.
AB - We report the results of optimal control simulations of pi-electron rotation
(ring current) in a six-membered chiral aromatic molecule, 2,5
dichloro[n](3,6)pyrazinophane (DCP), attached at a surface and excited by a
linearly polarized UV laser. DCP has a pair of optically allowed, quasidegenerate
pi-electronic excited states. The laser pulse to generate an approximate angular
momentum eigenstate consisting of the quasidegenerate states was designed using
the global optimal control theory. For both counterclockwise and clockwise pi
electron rotations, the calculated objective functional and target yield as a
function of the angle of the photon polarization vector show two maxima and two
minima. The origin of the two minima is coherent excitation to only one of the
quasidegenerate states. The two maxima arise from creation of a superposition of
the quasidegenerate states. The optimal control pulse at the maxima is a two
color laser field resonant with the quasidegenerate states. The electric field of
the optimal control pulse consists of two parts: a slowly oscillating part with
phase phi(env) and a rapidly oscillating one. The phase phi(env) is a crucial
parameter for determination of the rotation direction of pi electrons at the end
of control. The results of the optimal control simulations suggest that pi
electron rotation can be controlled by applying a two-color laser field with
adjusted phases.
PMID- 18052435
TI - Microwave spectra of O(2)-HF and O(2)-DF: hyperfine interactions and global
fitting with infrared data.
AB - Spectra of the open shell complexes O(2)-HF and O(2)-DF were recorded using
Fourier transform microwave spectroscopy. A complete analysis of the hyperfine
structure and a global fit including microwave and infrared frequencies [W. M.
Fawzy, C. M. Lovejoy, D. J. Nesbitt, and J. T. Hougen, J. Chem. Phys. 117, 693
(2002)] are reported. The Fermi contact interaction between the electron and
nuclear spins, the electron spin-nuclear spin dipolar interaction, the nuclear
spin-nuclear spin dipolar interaction, and the nuclear electric quadrupole
interaction (for O(2)-DF) were considered in the analysis. The correspondence
between the magnetic hyperfine constants and the two nuclei of the H(D)F is
unambiguously established. In both O(2)-HF and O(2)-DF, the Fermi contact
parameter is larger for the fluorine than for the hydrogen, while for the nuclear
spin-electron spin dipolar hyperfine constants, the reverse is true. The
effective angle between the HF bond and the a axis of the complex, determined
from the nuclear spin-nuclear spin interaction constant, is 38(4) degrees. The
same angle for the DF complex, derived from the deuterium nuclear quadrupole
coupling constant, is 31(4) degrees.
PMID- 18052436
TI - Observation of slow charge redistribution preceding excited-state proton
transfer.
AB - The photoacid 8-hydroxy-N,N,N',N',N',N'-hexamethylpyrene-1,3,6-trisulfonamide
(HPTA) and related compounds are used to investigate the steps involved in
excited-state deprotonation in polar solvents using pump-probe spectroscopy and
time correlated single photon counting fluorescence spectroscopy. The dynamics
show a clear two-step process leading to excited-state proton transfer. The first
step after electronic excitation is charge redistribution occurring on a tens of
picoseconds time scale followed by proton transfer on a nanosecond time scale.
The three states observed in the experiments (initial excited state, charge
redistributed state, and proton transfer state) are recognized by distinct
features in the time dependence of the pump-probe spectrum and fluorescence
spectra. In the charge redistributed state, charge density has transferred from
the hydroxyl oxygen to the pyrene ring, but the OH sigma bond is still intact.
The experiments indicate that the charge redistribution step is controlled by a
specific hydrogen bond donation from HPTA to the accepting base molecule. The
second step is the full deprotonation of the photoacid. The full deprotonation is
clearly marked by the growth of stimulated emission spectral band in the pump
probe spectrum that is identical to the fluorescence spectrum of the anion.
PMID- 18052437
TI - Multiconfigurational self-consistent field and multireference internally
contracted configuration interaction studies on the excited states of weakly
bonded NO2 dimer (N2O4).
AB - In this paper, the vertical excitation energies of total of 32 states of N(2)O(4)
including the lowest two singlet states and two triplet states of each of the
A(g), B(3u), B(2u), B(1g), B(1u), B(2g), B(3g), and A(u) symmetries were
calculated at multiconfigurational self-consistent field (MCSCF) and the
multireference internally contracted configuration interaction (MRCI) levels of
theory on the active space (15o,16e) with aug-cc-pVDZ basis set. The potential
energy curves of the eight singlet states(1 (1)A(g), 1 (1)B(3u), 1 (1)B(2u), 1
(1)B(1g), 1 (1)B(1u), 1 (1)B(2g), 1 (1)B(3g), and 1 (1)A(u)) and eight triplet
states (1 (3)A(g), 1 (3)B(3u), 1 (3)B(2u), 1 (3)B(1g), 1 (3)B(1u), 1 (3)B(2g), 1
(3)B(3g), and 1 (3)A(u)) were calculated at MCSCF and MRCI levels of theory on
the active space (15o,16e) with aug-cc-pVDZ basis set along the N-N distance. The
vertical excitation energies of 1 (1)B(3u), 1 (1)B(2u), and 1 (1)B(1u) states
with nonzero transition moment are 4.60 eV (269.6 nm), 6.06 eV (204.6 nm), and
7.71 eV (160.8 nm), respectively, at MRCI level of theory. The photodissociation
asymptotics were assigned as NO(2)(X (2)A(1))+NO(2)(X (2)A(1)) for ground state 1
(1)A(g) and the 1 (3)B(1u) state, NO(2)(X (2)A(1))+NO(2)(1 (2)A(2)) for the 1
(1)B(1g), 1 (3)B(1g), 1 (1)A(u), and 1 (3)A(u) states, NO(2)(X (2)A(1))+NO(2)(1
(2)B(1)) for the 1 (1)B(3u), 1 (3)B(3u), 1 (1)B(2g), and 1 (3)B(2g) states, and
NO(2)(X (2)A(1))+NO(2)(1 (2)B(2)) for the 1 (1)B(2u), 1 (3)B(2u), 1 (1)B(3g), and
1 (3)B(3g) states.
PMID- 18052438
TI - Doped liquid nitrobenzene is ferroelectric.
AB - The high resolution hyper-Rayleigh light scattering spectrum for liquid
nitrobenzene doped with triflic acid (CF(3)SO(3)H) shows a narrow spike at zero
frequency shift which has the polarization signature of a polar longitudinal
collective mode. This spectral spike disappears for pure nitrobenzene. The
spectral spike is interpreted as due to ferroelectric domains in the liquid. The
dopant molecules appear to induce ferroelectric organization of the nitrobenzene
molecules which is otherwise absent in the pure liquid. Estimated domain size is
34 nm and relaxation time is 50 ns.
PMID- 18052439
TI - Operator-based triple-mode Floquet theory in solid-state NMR.
AB - Many solid-state NMR experiments exploit interference effects between time
dependencies in the system Hamiltonian to design an effective time-independent
Hamiltonian with the desired properties. Effective Hamiltonians can be designed
such that they contain only selected parts of the full system Hamiltonian while
all other parts are averaged to zero. A general theoretical description of such
experiments has to accommodate several time-dependent perturbations with
incommensurate frequencies. We describe an extension of the analytical operator
based Floquet description of NMR experiments to situations with three
incommensurate frequencies. Experiments with three time dependencies are quite
common in solid-state NMR. Examples include experiments which combine magic-angle
spinning and radio-frequency irradiation on two nuclei or asynchronous multiple
pulse sequences on a single spin species. The Floquet description is general in
the sense that the resulting effective Hamiltonians can be calculated without a
detailed knowledge of the spin-system Hamiltonian and can be expressed fully as a
function of the Fourier components of the time-dependent Hamiltonian. As a
prototype experiment we treat the application of two continuous-wave (cw) radio
frequency fields under magic-angle spinning. Experiments that are included in
such a description are Hartmann-Hahn cross polarization or rotary-resonance
recoupling experiments with simultaneous cw decoupling.
PMID- 18052440
TI - Viscous fingering in a horizontal flow through a porous medium induced by
chemical reactions under isothermal and adiabatic conditions.
AB - In this work we analyze the viscous fingering instability induced by an
autocatalytic chemical reaction in a liquid flowing horizontally through a porous
medium. We have analyzed the behavior of the system for isothermal as well as
adiabatic conditions. The kinetics of the reaction is chosen so that the rate
depends on the concentration of only a single species. Since the reaction is
autocatalytic the system admits a traveling wave solution. For endothermic
reactions the concentration wave and temperature wave are mirror images, whereas
for an exothermic reaction they are similar or parallel. The viscosity of the
fluid is assumed to depend strongly on the concentration of the product and
temperature of the medium. The dependence of viscosity on concentration (decrease
with concentration) can destabilize the traveling wave resulting in the formation
of viscous fingers. We have performed a linear stability analysis to determine
the stability of the base traveling wave solution. The stability predictions have
been confirmed by nonlinear simulations of the governing equations based on a
finite difference scheme. We observe that including the temperature dependency of
viscosity stabilizes the flow for an endothermic reaction, i.e., regions which
exhibited viscous fingering now demonstrate stable displacement. For exothermic
systems, however, the system exhibits less stable behavior under adiabatic
conditions, i.e., it is destabilized by both concentration and temperature
dependencies of viscosity.
PMID- 18052441
TI - Equivalence of nonequilibrium algorithms for simulations of planar Couette flow
in confined fluids.
AB - Shear flow in fluids confined between planar solid walls is conventionally
simulated by moving the walls past each other at constant relative velocity. In
infinite fluids (in periodic boundary conditions), it is simulated using one of
the "synthetic" nonequilibrium algorithms (the so-called Sllod and Dolls
algorithms). Here I formulate the boundary conditions for the motion of confining
walls that make these three algorithms equivalent in the weak-field limit.
PMID- 18052442
TI - Mesoscopic nanoshells: geometry-dependent plasmon resonances beyond the
quasistatic limit.
AB - The plasmon response of a spherical metallic shell becomes significantly more
complex as its size is increased beyond the quasistatic limit. With increasing
size and decreasing aspect ratio (r1/r2), higher order multipolar modes
contribute in a more dominant manner, and two distinct core-shell geometries
exist that provide the same dipole plasmon resonance, with differing relative
multipolar contributions in their overall spectral response. With further
increase in particle size, the geometric tunability of the core-shell structure
disappears, and in the infinite radius limit the plasmon response is consistent
with that of a thin metallic film.
PMID- 18052443
TI - Development of a classical force field for the oxidized Si surface: application
to hydrophilic wafer bonding.
AB - We have developed a classical two- and three-body interaction potential to
simulate the hydroxylated, natively oxidized Si surface in contact with water
solutions, based on the combination and extension of the Stillinger-Weber
potential and of a potential originally developed to simulate SiO(2) polymorphs.
The potential parameters are chosen to reproduce the structure, charge
distribution, tensile surface stress, and interactions with single water
molecules of a natively oxidized Si surface model previously obtained by means of
accurate density functional theory simulations. We have applied the potential to
the case of hydrophilic silicon wafer bonding at room temperature, revealing
maximum room temperature work of adhesion values for natively oxidized and
amorphous silica surfaces of 97 and 90 mJm(2), respectively, at a water
adsorption coverage of approximately 1 ML. The difference arises from the
stronger interaction of the natively oxidized surface with liquid water,
resulting in a higher heat of immersion (203 vs 166 mJm(2)), and may be explained
in terms of the more pronounced water structuring close to the surface in
alternating layers of larger and smaller densities with respect to the liquid
bulk. The computed force-displacement bonding curves may be a useful input for
cohesive zone models where both the topographic details of the surfaces and the
dependence of the attractive force on the initial surface separation and wetting
can be taken into account.
PMID- 18052445
TI - Theory of capillary-induced interactions beyond the superposition approximation.
AB - Within a general theoretical framework, we study the effective, deformation
induced interaction between two colloidal particles trapped at a fluid interface
in the regime of small deformations. In many studies, this interaction has been
computed with the ansatz that the actual interface configuration for the pair is
given by the linear superposition of the interface deformations around the single
particles. Here, we assess the validity of this approach and compute the leading
term of the effective interaction for a large interparticle separation beyond
this so-called superposition approximation. As an application, we consider the
experimentally relevant case of interface deformations owing to the electrostatic
field emanating from charged colloidal particles. In mechanical isolation, i.e.,
if the net force acting on the total system consisting of the particles plus the
interface vanishes, the superposition approximation is actually invalid. The
effective capillary interaction is governed by contributions beyond this
approximation and turns out to be attractive. For sufficiently small surface
charges on the colloids, such that linearization is strictly valid, and at
asymptotically large separations, the effective interaction does not overcome the
direct electrostatic repulsion between the colloidal particles.
PMID- 18052444
TI - Structural characterization study of FeCo alloy nanoparticles in a highly porous
aerogel silica matrix.
AB - A series of FeCo-SiO(2) nanocomposite aerogels having different FeCo loadings of
3, 5, and 8 wt % were prepared using a novel urea-assisted sol-gel route. The
size of the nanoparticles, which was estimated using Scherrer analysis of the
main peak of the x-ray diffraction pattern, varies from 3 to 8 nm. X-ray
absorption fine structure (EXAFS) and x-ray absorption near edge structure
(XANES) techniques at both Fe and Co K edges were used to investigate the
structure of the FeCo nanoparticles. EXAFS and XANES show that FeCo nanoparticles
have the typical bcc structure. Evidence of oxidation was observed in low FeCo
content aerogels. Spatially resolved electron energy loss spectroscopy analysis
suggests the formation of a passivation layer of predominantly iron oxide.
PMID- 18052446
TI - Effects of bulk impurity concentration on the reactivity of metal surface:
sticking of hydrogen molecules and atoms to polycrystalline Nb containing oxygen.
AB - Nonmetallic impurities segregated onto metal surfaces are able to drastically
decrease the chemical reactivity of metals. In the present paper, effects of bulk
impurities on the reactivity of metallic surfaces were investigated in a wide
temperature range on an example of the sticking of hydrogen molecules and atoms
to Nb [polycrystalline, with mainly (100)] containing solute oxygen. At all the
investigated surface temperatures, T(S) (300-1400 K), we found the bulk oxygen
concentration C(O) to have a strong effect on the integral probability,
alpha(H(2) ), of dissociative sticking of H(2) molecules followed by hydrogen
solution in the metal lattice: alpha(H(2) ) monotonically decreased by orders of
magnitude with increasing C(O) from 0.03 to 1.5 at. %. The sticking coefficient
alpha(H(2) ) was found to depend on T(S) but not on the gas temperature. The
effect of C(O) on alpha(H(2) ) is explained by the presence of oxygen-free sites
(holes in coverage) serving as active centers of the surface reaction in the
oxygen monolayer upon Nb. In contrast to H(2) molecules, H atoms were found to
stick to, and be dissolved in, oxygen-covered Nb with a probability comparable to
1, depending neither on C(O) nor on T(S). This proves that, unlike H(2)
molecules, H atoms do stick to be dissolved mainly through regular surface sites
covered by oxygen and not through the holes in coverage.
PMID- 18052447
TI - Enhanced creation of dispersive monolayer phonons in XePt(111) by inelastic
helium atom scattering at low energies.
AB - Conditions likely to lead to enhanced inelastic atomic scattering that creates
shear horizontal (SH) and longitudinal acoustic (LA) monolayer phonons are
identified, specifically examining the inelastic scattering of (4)He atoms by a
monolayer solid of XePt(111) at incident energies of 2-25 meV. There is strong
inelastic scattering for both dispersive phonon branches (SH and LA) of the
monolayer at incident energies below 8 meV. Several improvements enable more
complete wave packet calculations of the inelastic scattering than in previous
work. Long propagation times are made feasible by adding an absorbing potential
at large distance. The times now extend to beyond 100 ps and enable a
clarification of processes involving transient trapping of the He atoms. The wave
packet is made more monochromatic by significantly increasing the spatial width
of the initial Gaussian shape. The narrower energy distribution in the incident
beam then enables a demonstration of strong energy dependence of the scattering
over a scale of less than 0.3 meV.
PMID- 18052448
TI - A simple model of molecular electronic devices and its analytical solution.
AB - I present an analytically solvable model for molecular electronic devices (MEDs).
The model contains all the essential components: semi-infinite contacts, molecule
contact interface, and of course, the molecule. I obtain explicit expression for
the reflection coefficient r(E), as well as for the transmission probability T(E)
[T(E)=1-mid R:r(E)mid R:(2)]. r(E) exhibits a surprisingly simple structure if
studied as a function of a complex energy variable E. In this case, r(E) can be
expressed in terms of a finite number of eigenvalues of a non-Hermitian
Hamiltonian. This Hamiltonian also yields the molecular part of the MED wave
function. Considering various MEDs, it is illustrated that the theory presented
allows for a transparent interpretation of molecular conductance in terms of
discrete eigenstates.
PMID- 18052449
TI - Sum frequency generation surface spectra of ice, water, and acid solution
investigated by an exciton model.
AB - A new computational scheme is presented for calculation of sum frequency
generation (SFG) spectra, based on the exciton model for OH bonds. The scheme is
applied to unified analysis of the SFG spectra in the OH-stretch region of the
surfaces of ice, liquid water, and acid solution. A significant role of
intermolecularly coupled collective modes is pointed out. SFG intensity
amplification observed for acid solutions in the H-bonded OH-stretch region is
reproduced qualitatively and accounted for by enhanced orientational preference
"into the surface" of the H(2)O bisectors within the hydronium solvation shell.
PMID- 18052450
TI - Scattering form factors for self-assembled network junctions.
AB - The equilibrium microstructures in microemulsions and other self-assembled
systems show complex, connected shapes such as symmetric bicontinuous spongelike
structures and asymmetric bicontinuous networks formed by cylinders
interconnected at junctions. In microemulsions, these cylinder network
microstructures may mediate the structural transition from a spherical or
globular phase to the bicontinuous microstructure. To understand the structural
and statistical properties of such cylinder network microstructures as measured
by scattering experiments, models are needed to extract the real-space structure
from the scattering data. In this paper, we calculate the scattering functions
appropriate for cylinder network microstructures. We focus on such networks that
contain a high density of network junctions that connect the cylindrical
elements. In this limit, the network microstructure can be regarded as an
assembly of randomly oriented, closed packed network junctions (i.e., the
cylinder scattering contributions are neglected). Accordingly, the scattering
spectrum of the network microstructure can be calculated as the product of the
junction number density, the junction form factor, which describes the scattering
from the surface of a single junction, and a structure factor, which describes
the local correlations of different junctions due to junction interactions
(including their excluded volume). This approach is applied to analyze the
scattering data from a bicontinuous microemulsion with equal volumes of water and
oil. In a second approach, we included the cylinder scattering contribution in
the junction form factor by calculating the scattering intensity of Y junctions
to which three rods with spherical cross section are attached. The respective
theoretical predictions are compared with results of neutron scattering
measurements on a water-in-oil microemulsion with a connected microstructure.
PMID- 18052451
TI - Solute rotational dynamics at the water liquid/vapor interface.
AB - The rotational dynamics of a number of diatomic molecules adsorbed at different
locations at the interface between water and its own vapors are studied using
classical molecular dynamics computer simulations. Both equilibrium orientational
and energy correlations and nonequilibrium orientational and energy relaxation
correlations are calculated. By varying the dipole moment of the molecule and its
location, and by comparing the results with those in bulk water, the effects of
dielectric and mechanical frictions on reorientation dynamics and on rotational
energy relaxation can be studied. It is shown that for nonpolar and weekly polar
solutes, the equilibrium orientational relaxation is much slower in the bulk than
at the interface. As the solute becomes more polar, the rotation slows down and
the surface and bulk dynamics become similar. The energy relaxation (both
equilibrium and nonequilibrium) has the opposite trend with the solute dipole
(larger dipoles relax faster), but here again the bulk and surface results
converge as the solute dipole is increased. It is shown that these behaviors
correlate with the peak value of the solvent-solute radial distribution function,
which demonstrates the importance of the first hydration shell structure in
determining the rotational dynamics and dependence of these dynamics on the
solute dipole and location.
PMID- 18052452
TI - Characterization of porosity in vapor-deposited amorphous solid water from
methane adsorption.
AB - We have characterized the porosity of vapor-deposited amorphous solid water (ice)
films deposited at 30-40 K using several complementary techniques such as quartz
crystal microgravimetry, UV-visible interferometry, and infrared reflectance
spectrometry in tandem with methane adsorption. The results, inferred from the
gas adsorption isotherms, reveal the existence of microporosity in all vapor
deposited films condensed from both diffuse and collimated water vapor sources.
Films deposited from a diffuse source show a step in the isotherms and much less
adsorption at low pressures than films deposited from a collimated source with
the difference increasing with film thickness. Ice films deposited from a
collimated vapor source at 77 degrees incidence are mesoporous, in addition to
having micropores. Remarkably, mesoporosity is retained upon warming to
temperatures as high as 140 K where the ice crystallized. The binding energy
distribution for methane adsorption in the micropores of ice films deposited from
a collimated source peaks at approximately 0.083 eV for deposition at normal
incidence and at approximately 0.077 eV for deposition at >45 degrees incidence.
For microporous ice, the intensity of the infrared bands due to methane molecules
on dangling OH bonds on pore surfaces increases linearly with methane uptake, up
to saturation adsorption. This shows that the multilayer condensation of methane
does not occur inside the micropores. Rather, filling of the core volume results
from coating the pore walls with the first layer of methane, indicating pore
widths below a few molecular diameters. For ice deposited at 77 degrees
incidence, the increase in intensity of the dangling bond absorptions modified by
methane adsorption departs from linearity at large uptakes.
PMID- 18052453
TI - Translation and reorientation of CD4 molecules in nanoscale cages of zeolites as
studied by deuteron spin-lattice relaxation.
AB - Deuteron spin-lattice relaxation was applied to study translational and
rotational mobility of CD(4) molecules trapped in the cages of zeolites.
Tetrahedral methane molecules are treated as quantum rotators. Relaxation rates
related to the intraquadrupole interaction are derived for the T and A+E symmetry
species in the presence of large tunneling splittings, consistently with the
assumption that A and E species molecules relax at the same rate. An exchange
model is presented, which describes the effect on relaxation of CD(4) jumping
between two positions characterized by different potentials. While staying at
either position bonded to an atom or ion at the cage wall, the molecule has some
freedom to move in the vicinity. This causes a time-dependent external electric
field gradient, which contributes to the deuteron relaxation rate via the
electric quadrupole interaction. Spin conversion transitions couple the
relaxation of magnetizations M(T) and M(AE), which is taken into account by
reapplying the presented model under somewhat different conditions. Such a two
step procedure leads to successful fits with the experimental results obtained in
the range of temperatures roughly 20-200 K for zeolites HY, NaA, and NaMordenite.
At higher temperatures CD(4) molecules fly freely across zeolite cages and
relaxation changes accordingly, while incoherent tunneling dominates for immobile
molecules below 20 K.
PMID- 18052457
TI - Perspective: "An improved double-toroidal spectrometer for gas phase (e,2e)
studies" [Rev. Sci. Instrum. 78, 111301 (2007)].
PMID- 18052454
TI - Dynamics of proteins: light scattering study of dilute and dense colloidal
suspensions of eye lens homogenates.
AB - We report a dynamic light scattering study on protein suspensions of bovine lens
homogenates at conditions (pH and ionic strength) similar to the physiological
ones. Light scattering data were collected at two temperatures, 20 and 37 degrees
C, over a wide range of concentrations from the very dilute limit up to the dense
regime approaching the physiological lens concentration. A comparison with
experimental data from intact bovine lenses was advanced, revealing differences
between dispersions and lenses at similar concentrations. In the dilute regime,
two scattering entities were detected and identified with the long-time self
diffusion modes of alpha-crystallins and their aggregates, which naturally exist
in lens nucleus. Upon increasing protein concentration, significant changes in
time correlation function were observed starting at approximately 75 mg ml(-1),
where a new mode originating from collective diffusive motions becomes visible.
Self-diffusion coefficients are temperature insensitive, whereas the collective
diffusion coefficient depends strongly on temperature revealing a reduction of
the net repulsive interparticle forces with decreasing temperature. While there
are no rigorous theoretical approaches on particle diffusion properties for
multicomponent, nonideal hard sphere polydispersed systems, as the suspensions
studied here, a discussion of the volume fraction dependence of the long-time
self-diffusion coefficient in the context of existing theoretical approaches was
undertaken. This study is purported to provide some insight into the complex
light scattering pattern of intact lenses and the interactions between the
constituent proteins that are responsible for lens transparency. This would lead
to understand basic mechanisms of specific protein interactions that lead to lens
opacification (cataract) under pathological conditions.
PMID- 18052458
TI - Invited article: an improved double-toroidal spectrometer for gas phase (e,2e)
studies.
AB - A new spectrometer is described for measuring the momentum distributions of
scattered electrons arising from electron-atom and electron-molecule ionization
experiments. It incorporates and builds on elements from a number of previous
designs, namely, a source of polarized electrons and two high-efficiency
electrostatic electron energy analyzers. The analyzers each comprise a seven
element retarding-electrostatic lens system, four toroidal-sector electrodes, and
a fast position-and-time-sensitive two-dimensional delay-line detector. Results
are presented for the electron-impact-induced ionization of helium and the
elastic scattering of electrons from argon and helium which demonstrate that high
levels of momentum resolution and data-collection efficiency are achieved.
Problematic aspects regarding variations in collection efficiency over the
accepted momentum phase space are addressed and a methodology for their
correction presented. Principles behind the present design and previous designs
for electrostatic analyzers based around electrodes of toroidal-sector geometry
are discussed and a framework is provided for optimizing future devices.
PMID- 18052459
TI - An atomic jet in a heat pipe for multiphoton spectroscopy.
AB - A conventional heat pipe is modified to operate as a novel atomic jet setup with
unprecedented advantages for multiphoton spectroscopy of alkaline-earth atoms
especially to study the effect of external electric field, controlled excitation
of forbidden transitions and for the study of collisional broadening and shift of
excited states, and time evolution of Rydberg atoms.
PMID- 18052460
TI - Common-path optical coherence tomography with side-viewing bare fiber probe for
endoscopic optical coherence tomography.
AB - All-fiber-optic common-path optical coherence tomography (OCT) using a side
viewing bare fiber probe has been demonstrated and analyzed. A bare single mode
fiber tip is angle cleaved at approximately 49 degrees to enable side
illumination due to total internal reflection. The bare fiber probe was inserted
in an arterial tissue and a circumferential OCT scan was obtained. The research
is aimed at realizing highly miniaturized monolithic probes for possible
applications in miniature endoscopic OCT or intravascular OCT. The effects of the
angle of the cleaved fiber on reference reflection and the sensitivity of the
common-path OCT system have been studied theoretically. The angle cleaved fiber
probe is also used in series with a microlens to analyze and optimize its
performance in a common-path OCT system. Our research aims to explore the
combined advantages of common-path OCT and extremely simplified miniature probe
design and to discuss how it may greatly simplify the endoscopic OCT
instrumentation eventually.
PMID- 18052461
TI - A diffraction-compensating 0-25 ns free space terahertz delay line for coherent
quantum control.
AB - Free space delay lines provide pulses of variable time spacing for optical
experiments such as pump-probe spectroscopy and coherent quantum control,
including spin and photon echo techniques. However, in the terahertz region of
the spectrum, beam divergence due to diffraction limits the useful length of
traditional free space delay lines. We present a novel double-folded variable
delay line for light in the frequency range 0.24-1.2 THz, which incorporates a
symmetric arrangement of lenses whose spacing can be adjusted to compensate for
diffraction at each delay. Scalable for use in other wavelength regimes, the
design relays an input Gaussian beam waist to the output with up to 25 ns (
approximately 8 m) total delay and is enclosed in a desiccated volume of <0.5 m3.
The delay line can deliver two or three pulses with relative amplitudes
controlled via variable spacing silicon etalon beam splitters. Profiles of a 0.24
THz beam show good agreement with calculations at long delays, with insertion
loss per delay stage of approximately 3 dB.
PMID- 18052462
TI - High repetition rate laser produced soft x-ray source for ultrafast x-ray
absorption near edge structure measurements.
AB - Recent progress in high intensity ultrafast laser systems provides the
opportunity to produce laser plasma x-ray sources exhibiting broad spectrum and
high average x-ray flux that are well adapted to x-ray absorption measurements.
In this paper, the development of a laser based x-ray absorption near edge
structure (XANES) beamline exhibiting high repetition rate by using the Advanced
Laser Light Source (ALLS) facility 100 Hz laser system (100 mJ, 35 fs at 800 nm)
is presented. This system is based on a broadband tantalum solid target soft x
ray source and a grazing incidence grating spectrometer in the 1-5 nm wavelength
range. To demonstrate the high potential of this laser based XANES technique in
condensed matter physics, material science, or biology, measurements realized
with several samples are presented: VO2 vanadium L edge, Si3N4 nitrogen K edge,
and BPDA/PPD polyimide carbon K edge. The characteristics of this laser based
beamline are discussed in terms of brightness, signal to noise ratio, and
compared to conventional synchrotron broadband x-ray sources which allow
achieving similar measurements. Apart from the very compact size and the relative
low cost, the main advantages of such a laser based soft x-ray source are the
picosecond pulse duration and the perfect synchronization between this x-ray
probe and a laser pulse excitation which open the way to the realization of time
resolved x-ray absorption measurements with picosecond range time resolution to
study the dynamics of ultrafast processes and phase transition.
PMID- 18052463
TI - IMPACT: a facility to study the interaction of low-energy intense particle beams
with dynamic heterogeneous surfaces.
AB - The Interaction of Materials with Particles and Components Testing (IMPACT)
experimental facility is furnished with multiple ion sources and in situ
diagnostics to study the modification of surfaces undergoing physical, chemical,
and electronic changes during exposure to energetic particle beams. Ion beams
with energies in the range between 20 and 5000 eV can bombard samples at flux
levels in the range of 10(10)-10(15) cm(-2) s(-1); parameters such as ion angle
of incidence and exposed area are also controllable during the experiment. IMPACT
has diagnostics that allow full characterization of the beam, including a Faraday
cup, a beam imaging system, and a retarding field energy analyzer. IMPACT is
equipped with multiple diagnostics, such as electron (Auger, photoelectron) and
ion scattering spectroscopies that allow different probing depths of the sample
to monitor compositional changes in multicomponent and/or layered targets. A
unique real-time erosion diagnostic based on a dual quartz crystal microbalance
measures deposition from an eroding surface with rates smaller than 0.01 nm/s,
which can be converted to a sputter yield measurement. The monitoring crystal can
be rotated and placed in the target position so that the deposited material on
the quartz crystal oscillator surface can be characterized without transfer
outside of the vacuum chamber.
PMID- 18052464
TI - Four-point bisensitivity velocity interferometer with a multireflection etalon.
AB - A four-point bisensitivity velocity interferometer system for any reflector
(VISAR) with a renovative delay etalon is proposed and demonstrated. In this
interferometer, we introduce a new film-coating strategy to accurately measure
small velocity with relatively short and cheap etalon. Laser pointing to the
etalon is split into two beams with different incident angles with each beam
going through the etalon in different path. The beam with the smaller incident
angle is reflected three times before it leaves the etalon, while the other beam
with larger incident angle goes through the etalon to and forth only once. The
delay time of the laser beam with smaller incident angle is almost three times
longer than that of the beam with larger incident angle. In the example of the
laser with a smaller incident angle, the velocity per fringe of this
interferometer can be reduced by approximately three times. The etalon is
optimized so that four laser beams can be penetrated in the vertical direction at
the meantime. With an etalon of 200 mm in diameter and 150 mm in length, a four
point bisensitivity velocity interferometer can achieve the velocity per fringe
of 100 and 350 m/s fringe. A measurement has been successfully undertaken for the
steel flyer driven by the explosive where the developed interferometer applies.
PMID- 18052465
TI - Flexible lock-in detection system based on synchronized computer plug-in boards
applied in sensitive gas spectroscopy.
AB - We present a flexible and compact, digital, lock-in detection system and its use
in high-resolution tunable diode laser spectroscopy. The system involves coherent
sampling, and is based on the synchronization of two data acquisition cards
running on a single standard computer. A software-controlled arbitrary waveform
generator is used for laser modulation, and a four-channel analog/digital board
records detector signals. Gas spectroscopy is performed in the wavelength
modulation regime. The coherently detected signal is averaged a selected number
of times before it is stored or analyzed by software-based, lock-in techniques.
Multiple harmonics of the modulation signal (1f, 2f, 3f, 4f, etc.) are available
in each single data set. The sensitivity is of the order of 10(-5), being limited
by interference fringes in the measurement setup. The capabilities of the system
are demonstrated by measurements of molecular oxygen in ambient air, as well as
dispersed gas in scattering materials, such as plants and human tissue.
PMID- 18052466
TI - Manufacturing a thin wire electrostatic trap for ultracold polar molecules.
AB - We present a detailed description on how to build a thin wire electrostatic trap
(TWIST) for ultracold polar molecules. It is the first design of an electrostatic
trap that can be superimposed directly onto a magneto-optical trap (MOT). We can
thus continuously produce ultracold polar molecules via photoassociation from a
two species MOT and instantaneously trap them in the TWIST without the need for
complex transfer schemes. Despite the spatial overlap of the TWIST and the MOT,
the two traps can be operated and optimized completely independently due to the
complementary nature of the utilized trapping mechanisms.
PMID- 18052467
TI - Operating a triple stack microchannel plate-phosphor assembly for single particle
counting in the 12-300 K temperature range.
AB - An assembly consisting of a stack of three microchannel plates (MCPs) and a
phosphor screen anode has been operated over the temperature range from 300 to 12
K. We report on measurements at 6.4 kHz (using an alpha source) and with dark
counts only (15 Hz). Without any particle source, the MCP bias current decreased
by a factor of 2.1 x 10(3) when the temperature was lowered from 300 to 12 K.
Using the alpha source, and a photomultiplier tube (PMT) to monitor the phosphor
screen anode, we first observed an increase in the decay time of the phosphor
from 12 to 45 mus when the temperature was decreased from 300 to 100 K while the
decay time then decreased and reached a value of 5 mus at 12 K. The pulse height
distribution from the PMT was measured between 300 and 12 K and shows a spectrum
typical for a MCP phosphor setup at 300 K and 12 K but is strongly degraded for
intermediate temperatures. We conclude that the present MCP-phosphor detector
assembly is well suited for position-sensitive particle counting operation at
temperatures down to at least 12 K even for count rates beyond 6 kHz. This result
is crucial and an important part of ongoing developments of new instrumentation
for investigations of, e.g., interactions involving complex molecular ions with
internal quantum state control.
PMID- 18052468
TI - The development of Thomson scattering system on HL-2A tokamak.
AB - A new Thomson scattering diagnostic system is successfully developed to measure
core plasma electron temperature (Te) and density (ne) of HL-2A tokamak (major
radius R=165 cm, minor radius a=40 cm). In this system, a standard lamp
monochromator combination is utilized for the calibration of spectral responses.
By sweeping in the range of 750-1200 nm with a step of 2 nm, the work can be done
automatically for one-point calibration and then for other. Electronic gain
calibration and gain monitoring are done by pulsed light emitting diode light. By
utilizing an intense Nd:YAG laser of pulse energy up to 4 J and employing good
quality interference filters in the five-channel filter polychromator to surpress
greatly the stray light, the TS system can be routinely used to make measurements
with good quality data. After each HL-2A plasma discharge, the measured Te and ne
data are transferred to HL-2A database for lookup and analyses.
PMID- 18052469
TI - A simple technique to estimate the fully time-resolved x-ray diameter of a z
pinch.
AB - Occultations are routinely used to derive information about astronomical objects.
Here an occultation scheme is used to derive a fully time-resolved estimate of
the x-ray emitting diameter of a z pinch. By using different filtrations on the
sensors, one could for example, distinguish the size of the K-line emitting
region compared to the higher energy K-continuum emitting volume. Or with
suitable apertures and detector arrays, the pinch diameter could be axially and
temporally resolved.
PMID- 18052470
TI - A new multichannel interferometer system on HL-2A.
AB - A new multichannel HCN interferometer has been developed on HL-2A tokamak, which
is characterized by two techniques: (1) the wave-guide HCN laser with cavity
length of 6 m to increase the optical resource power and (2) high response room
temperature waveguide Schottky diode detectors to obtain good beat signal. The
space resolution is 7 cm by the use of focusing metal mirrors mounted on the
vacuum chamber and a compensated optical system. In the 2006 experiment campaign,
this new interferometer has been applied for plasma density profile and density
sawtooth measurement.
PMID- 18052471
TI - High accuracy plasma density measurement using hybrid Langmuir probe and
microwave interferometer method.
AB - High spatial resolution plasma density measurements have been taken as part of an
investigation into magnetic nozzle physics at the NASA/MSFC Propulsion Research
Center. These measurements utilized a Langmuir triple probe scanned across the
measurement chord of either of two stationary rf interferometers. By normalizing
the scanned profile to the microwave interferometer line-integrated density
measurement for each electrostatic probe measurement, the effect of shot-to-shot
variation of the line-integrated density can be removed. In addition, by summing
the voltage readings at each radial position in a transverse scan, the line
density can be reconstituted, allowing the absolute density to be determined,
assuming that the shape of the profile is constant from shot to shot. The spatial
and temporal resolutions of this measurement technique depend on the resolutions
of the scanned electrostatic probe and the interferometer. The measurement
accuracy is 9%-15%, which is on the order of the accuracy of the rf
interferometer. The measurement technique was compared directly with both
scanning rf interferometer and standard Langmuir probe theory. The hybrid
technique compares favorably with the scanning rf interferometer, and appears
more accurate than probe theory alone. Additionally, our measurement technique is
generally applicable even for nonaxisymmetric plasmas.
PMID- 18052472
TI - Computer simulation of three-dimensional heavy ion beam trajectory imaging
techniques used for magnetic field estimation.
AB - A magnetic field mapping technique via heavy ion beam trajectory imaging is being
developed on the Madison Symmetric Torus reversed field pinch. This paper
describes the computational tools created to model camera images of the light
emitted from a simulated ion beam, reconstruct a three-dimensional trajectory,
and estimate the accuracy of the reconstruction. First, a computer model is used
to create images of the torus interior from any candidate camera location. It is
used to explore the visual field of the camera and thus to guide camera
parameters and placement. Second, it is shown that a three-dimensional ion beam
trajectory can be recovered from a pair of perspectively projected trajectory
images. The reconstruction considers effects due to finite beam size, nonuniform
beam current density, and image background noise. Third, it is demonstrated that
the trajectory reconstructed from camera images can help compute magnetic field
profiles, and might be used as an additional constraint to an equilibrium
reconstruction code, such as MSTFit.
PMID- 18052473
TI - Raman calibration of the HT-7 yttrium aluminum garnet Thomson scattering for
electron density measurements.
AB - A multipulse neodym doped yttrium aluminum garnet laser Thomson scattering system
calibrated by the anti-Stokes rotational Raman scattering from nitrogen gas had
been developed in the HT-7 superconducting Tokmak. By virtue of this system,
measured electron density results of the plasma were obtained. The results showed
good repeatability and its total uncertainty was estimated to be +/-18%.
PMID- 18052474
TI - Shear force control for a terahertz near field microscope.
AB - We report on the advancement of apertureless terahertz microscopy by active shear
force control of the scanning probe. Extreme subwavelength spatial resolution and
a maximized image contrast are achieved by maintaining a tip-surface distance of
about 20 nm. The constant distance between scanning tip and surface results in
terahertz images that mirror the dielectric permittivity of the surface.
PMID- 18052475
TI - Phototriggering system for an ultrahigh-speed video microscopy.
AB - A phototrigger system is developed as a part of a video microscope mounting an
ultrahigh-speed video camera capable of image capturing at frame rates as high as
1x10(6) framess. The extremely high frame rate is achieved by implementing in
situ image storage. A distinguished feature of the camera is the on-chip
overwriting mechanism that allows to keep in storage the latest image sequence of
103 frames; the old signals are continuously drained out of the storage. The
trigger system is designed to synchronize recording operations with an occurrence
of a target event within the limited image capturing duration. The target event
is detected through a sudden change in the output of a sensor mounted to an
optical port of the microscope. To reduce noise contribution, a two-sensor
architecture is implemented. One sensor detects the target event while the one
produces a reference signal used for noise reduction. Both sensors are connected
to the same optical port by using a specially designed beam splitting unit. To
provide high sensitivity, avalanche photodiodes are used as photoelements. System
evaluation shows that its sensitivity is high and response time is less than 3
mus. This is sufficiently fast for high-speed video-microscopy observations at
1x10(6) frames/s when using a video camera with a storage of 103 frames. As an
example, the system was used in a microscopic observation of a soap film
collapse.
PMID- 18052476
TI - Single-step electrochemical method for producing very sharp Au scanning tunneling
microscopy tips.
AB - A single-step electrochemical method for making sharp gold scanning tunneling
microscopy tips is described. 3.0M NaCl in 1% perchloric acid is compared to
several previously reported etchants. The addition of perchloric acid to sodium
chloride solutions drastically shortens etching times and is shown by
transmission electron microscopy to produce very sharp tips with a mean radius of
curvature of 15 nm.
PMID- 18052477
TI - Apparatus for real-time acoustic imaging of Rayleigh-Benard convection.
AB - We have designed and built an apparatus for real-time acoustic imaging of
convective flow patterns in optically opaque fluids. This apparatus takes
advantage of recent advances in two-dimensional ultrasound transducer array
technology; it employs a modified version of a commercially available ultrasound
camera, similar to those employed in nondestructive testing of solids. Images of
convection patterns are generated by observing the lateral variation of the
temperature dependent speed of sound via refraction of acoustic plane waves
passing vertically through the fluid layer. The apparatus has been validated by
observing convection rolls in both silicone oil and ferrofluid.
PMID- 18052478
TI - A low temperature scanning tunneling microscope for electronic and force
spectroscopy.
AB - In this article, we describe and test a novel way to extend a low temperature
scanning tunneling microscope with the capability to measure forces. The tuning
fork that we use for this is optimized to have a high quality factor and
frequency resolution. Moreover, as this technique is fully compatible with the
use of bulk tips, it is possible to combine the force measurements with the use
of superconductive or magnetic tips, advantageous for electronic spectroscopy. It
also allows us to calibrate both the amplitude and the spring constant of the
tuning fork easily, in situ and with high precision.
PMID- 18052479
TI - High-aspect ratio metal tips attached to atomic force microscopy cantilevers with
controlled angle, length, and radius for electrostatic force microscopy.
AB - We demonstrate a method to fabricate a high-aspect ratio metal tip attached to
microfabricated cantilevers with controlled angle, length, and radius, for use in
electrostatic force microscopy. A metal wire, after gluing it into a guiding slot
that is cut into the cantilever, is shaped into a long, thin tip using a focused
ion beam. The high-aspect ratio results in considerable reduction of the
capacitive force between tip body and sample when compared to a metal coated
pyramidal tip.
PMID- 18052480
TI - Quasi-simultaneous imaging/pulling analysis of single polyprotein molecules by
atomic force microscopy.
AB - Most of studies in protein nanomechanics have used the atomic force microscope
(AFM) in its force-measuring mode on immobilized protein repeats (polyproteins)
as single-molecule markers. Here, we add imaging capabilities to a standard,
state-of-the-art AFM "puller" and integrate the most powerful programs of
analysis available for both AFM modes. This unique instrument allows high
resolution, quasi-simultaneous imaging/force spectroscopy in aqueous solution. We
demonstrate its capabilities using polyproteins of a model system (titin I27
domain). This tool should greatly facilitate the development of a much needed
universal functionalization system for AFM, one that should allow better sample
control and an improved efficiency of protein immobilization.
PMID- 18052481
TI - Analyzer-based x-ray phase-contrast microscopy combining channel-cut and
asymmetrically cut crystals.
AB - An analyzer-based x-ray phase-contrast microscopy (ABM) setup combining a
standard analyzer-based x-ray phase-contrast imaging (ABI) setup [nondispersive 4
crystal setup (Bonse-Hart setup)] and diffraction by asymmetrically cut crystals
is presented here. An attenuation-contrast microscopy setup with conventional x
ray source and asymmetrically cut crystals is first analyzed. Edge-enhanced
effects attributed to phase jumps or refraction/total external reflection on the
fiber borders were detected. However, the long exposure times and the possibility
to achieve high contrast microscopies by using extremely low attenuation-contrast
samples motivated us to assemble the ABM setup using a synchrotron source. This
setup was found to be useful for low contrast attenuation samples due to the low
exposure time, high contrast, and spatial resolution found. Moreover, thanks to
the combination with the nondispersive ABI setup, the diffraction-enhanced x-ray
imaging algorithm could be applied.
PMID- 18052482
TI - Setup for in situ x-ray diffraction study of swift heavy ion irradiated
materials.
AB - An in situ x-ray diffraction (XRD) setup is designed and installed in the
materials science beam line of the Pelletron accelerator at the Inter-University
Accelerator Centre for in situ studies of phase change in swift heavy ion
irradiated materials. A high vacuum chamber with suitable windows for incident
and diffracted X-rays is integrated with the goniometer and the beamline.
Indigenously made liquid nitrogen (LN2) temperature sample cooling unit is
installed. The snapshots of growth of particles with fluence of 90 MeV Ni ions
were recorded using in situ XRD experiment, illustrating the potential of this in
situ facility. A thin film of C60 was used to test the sample cooling unit. It
shows that the phase of the C60 film transforms from a cubic lattice (at room
temperature) to a fcc lattice at around T=255 K.
PMID- 18052484
TI - High precision metrology based microwave effective linewidth measurement
technique.
AB - A precision microwave effective linewidth measurement technique for magnetic
samples has been developed. The measurement utilizes a high-Q cylindrical cavity
that contains the sample of interest, a highly stable and programable static
magnetic field source, a computer controlled network analyzer for cavity center
frequency omega c and quality factor Qc determinations, and the standard
metrological substitution ABA method for accurate relative omega c and Qc
measurements. Sequential long term ABA measurements show that the time and
temperature drifts and random errors are the dominant sources of error, with
uncertainties in omega c/2pi and Qc in the range of 50 kHz and 25, respectively.
The ABA method is applied to eliminate these drifts and minimize the random
errors. For measurements over 25 ABA cycles, accuracy is improved to 0.14 kHz for
omega c/2pi and 3 for Qc. The temperature variation over a single ABA cycle is
generally on the order of 10(-3)-10(-5) degrees C and there is no need for any
further temperature stabilization or correction measures. The overall uncertainty
in the 10 GHz effective linewidth determinations for a 3 mm diam, 0.5 mm thick
polycrystalline yttrium iron garnet (YIG) disk is 0.15 Oe or less, well below the
intrinsic single crystal YIG linewidth. This represents a factor of 10
improvement in measurement accuracy over previous work.
PMID- 18052483
TI - Novel impedance cell for low conductive liquids: determination of bulk and
interface contributions.
AB - A plane capacitor cell with variable gap has been designed in order to detect the
complex permittivity of low conductive liquids (up to 500 microS/cm) and the
impedance of the sample-electrode interface. The novelty of the cell consists of
the simultaneous presence of the field uniformity ensured by a guard ring, an
adjustable gap between 300 microm and 6.75 mm (the electrode axial motion
avoiding any rotation), and the immersion of the capacitor in the sample
reservoir. The size of the capacitor electrodes and the gap values have been
tested via the capacitance detection of the in-air cell at 1 kHz. The sample
measurements have been performed by scanning the frequency range between 15 Hz
and 2 MHz at four different capacitor gap values. In the paper a method to
directly extract the bulk complex permittivity and the interface impedance versus
frequency is presented. It is based on the assumption that the interface
contribution is independent of the electrode gap, as confirmed (within the
measurement accuracy) from measurements on all samples investigated. As samples
of interest, we have chosen two certified electrolytic conductivity standards,
KCl aqueous solutions having conductivity traceable to SI units; and two polymer
latex aqueous dispersions of microspheres. Regarding KCl solutions, the
conductivity measurements are compatible with the reference values within the
specified uncertainty; the measured permittivities are consistent with the
literature. For all samples, we have recovered the expected result that the
interface impedance mainly affects the low frequency range (f<10 kHz).
PMID- 18052485
TI - Maps of the stress distributions in drying latex films.
AB - We report on spatially resolved measurements of the mechanical stress in drying
polymer films. The technique is based on the deflection of a flexible membrane
serving as the substrate. Assuming that the lateral tension of the membrane is
the main source of its resistance to deformation, one can show that the local
surface stress sigma f (x,y) is proportional to the vertical displacement of the
membrane u z(x,y). The membrane distortion was determined by optical means.
Measurements taken on drying latex dispersions revealed a maximum of film stress
at the rim. The heterogeneous stress distribution often persisted after the film
had become dry.
PMID- 18052486
TI - Construction and calibration of a 12 T pulsed magnet integrated with a 4 K closed
cycle refrigerator.
AB - A low cost 12 T pulsed magnet system has been integrated with a closed-cycle
helium refrigerator for performing magnetotransport measurements. Minimal delay
between pulses and ac current excitation with software lock-in to reduce noise
enables quick but accurate measurements to be performed at temperatures of 4-300
K up to 12 T. An additional pulsed magnet operating with a liquid nitrogen
cryostat extends the range up to 19 T. The instrument has been calibrated against
a commercial superconducting magnet by comparing quantum Hall effect data in a p
channel SiGe/Si heterostructure, and common issues arising out of pulsed magnet
usage have been addressed. The versatility of the system is demonstrated through
magnetotransport measurements in a variety of samples such as heterostructures,
narrow gap semiconductors, and those exhibiting giant magnetoresistance.
PMID- 18052487
TI - Finite element design of double bevel anvils of large volume cubic high pressure
apparatus.
AB - A double bevel anvil of the cubic high pressure apparatus (CHPA) was developed,
adopting tungsten carbide as the anvil material. We have performed finite element
analyses of conventional single bevel anvil and double bevel anvil. The results
indicate that the double bevel anvil has two advantages. Firstly, to gain the
same chamber pressure, the oil pressure of CHPA using double bevel anvil
decreases about 10.8% than that using single bevel anvil. Secondly, double
beveling can maintain the pressurized seal stability of the sample chamber, which
is often sacrificed with improve the pressure of sample chamber. The results of
finite element analyses are well consistent with the experimental results at CHPA
(SPD-6x1200 type).
PMID- 18052488
TI - Preparation of TiO2(110)-(1x1) surface via UHV cleavage: an scanning tunneling
microscopy study.
AB - TiO2(110) surface was successfully prepared in situ by UHV cleaving of a
commercial TiO2 crystal. Scanning tunneling microscopy (STM) imaging revealed
atomically flat more than 1 mum wide terraces with (110) orientation separated by
steps running in [001] direction, with very low kink density. Atomically resolved
STM images show periodicity in the [001] and [110] directions with the unit cell
parameters measured to approximately 3 and 6.5 A, respectively, which are closed
to the expected values of bulk terminated (1 x 1) surface.
PMID- 18052489
TI - Method for rapid determination of ion gauge sensitivity factors.
AB - In ultrahigh vacuum thin film growth processes using gas phase growth precursors,
the pressure of the gas at or near the substrate is a critical parameter since it
is directly related to the collision frequency of the precursor with the
substrate and ultimately to the growth rate. These pressures are usually measured
using a nude Bayrd-Alpert-type ion gauges, which are generally calibrated for
nitrogen. Consequently, it is necessary to know the ion gauge sensitivity factor
that relates the measured pressure to the actual pressure of the growth
precursor. The purpose of this article is to describe a simple method to obtain
such sensitivity factors. This method uses a simple gas manifold comprised of
equipment commonly found in laboratory settings where ultrahigh vacuum work is
performed. Results are reported for dimethyl silane, monomethyl silane, methane,
and hydrogen. The gauge sensitivity factors for the latter two gases are known
and, therefore, provide a basis for validating the method.
PMID- 18052490
TI - Combined experimental setup for spin- and angle-resolved direct and inverse
photoemission.
AB - We present a combined experimental setup for spin- and angle-resolved direct and
inverse photoemission in the vacuum ultraviolet energy range for measurements of
the electronic structure below and above the Fermi level. Both techniques are
installed in one ultrahigh-vacuum chamber and, as a consequence, allow
quasisimultaneous measurements on one and the same sample preparation. The
photoemission experiment consists of a gas discharge lamp and an electron energy
analyzer equipped with a spin polarization detector based on spin-polarized low
energy electron diffraction. Our homemade inverse-photoemission spectrometer
comprises a GaAs photocathode as spin-polarized electron source and Geiger-Muller
counters for photon detection at a fixed energy of 9.9 eV. The total energy
resolution of the experiment is better than 50 meV for photoemission and better
than 200 meV for inverse photoemission. The performance of our combined direct
and inverse-photoemission experiment with respect to angular and energy
resolutions is exemplified by the Fermi-level crossing of the Cu(111) L-gap
surface state. Spin-resolved measurements of Co films on Cu(001) are used to
characterize the Sherman function of the spin polarization detector as well as
the spin polarization of our electron source.
PMID- 18052491
TI - Thermal quenching sample chamber for grazing incidence small angle x-ray
scattering studies of polymer films.
AB - The second generation of a sample chamber designed for in situ measurement of
temperature- and time-dependent polymer film nanostructure using the method of
grazing incidence small angle x-ray scattering is presented. An increased
operating temperature limit (from 260 to 400 degrees C) with precise control (+/
0.1 degrees C) at fixed temperatures as well as a fourfold increase in maximum
instantaneous cooling rate (up to 73 degrees C/s) relative to the first
generation chamber [M. N. Groves et al, J. Appl. Crystallogr. 39, 120 (2006)] are
reported. Thermal quenches from 220 to 90 degrees C are shown to be reproducible
to within +/-1 degrees C of the final temperature. Experimental tests on spin
coated films of symmetric diblock styrene-butadiene copolymer demonstrate the
ability to resolve the kinetics of orientation of lamellar domains parallel to
the silicon substrate, distinct from the initial formation of randomly oriented
lamellar domains immediately following the thermal quench.
PMID- 18052492
TI - High-force magnetic tweezers with force feedback for biological applications.
AB - Magnetic micromanipulation using magnetic tweezers is a versatile biophysical
technique and has been used for single-molecule unfolding, rheology measurements,
and studies of force-regulated processes in living cells. This article describes
an inexpensive magnetic tweezer setup for the application of precisely controlled
forces up to 100 nN onto 5 microm magnetic beads. High precision of the force is
achieved by a parametric force calibration method together with a real-time
control of the magnetic tweezer position and current. High forces are achieved by
bead-magnet distances of only a few micrometers. Applying such high forces can be
used to characterize the local viscoelasticity of soft materials in the nonlinear
regime, or to study force-regulated processes and mechanochemical signal
transduction in living cells. The setup can be easily adapted to any inverted
microscope.
PMID- 18052493
TI - A new satellite-borne neutral wind instrument for thermospheric diagnostics.
AB - The bulk motion of the neutral gas at altitudes between about 200 and 600 km is
an important factor in predicting the onset of plasma instabilities that are
known to distort and/or disrupt high frequency radio communications. These
neutral winds have historically been quite difficult to measure, especially from
a moving spacecraft. A new space science instrument called the ram wind sensor
has been developed to measure the component of the neutral gas velocity that lies
along the orbit track of a satellite in low Earth orbit. Laboratory tests of an
engineering model of the instrument have been carried out using a supersonic
neutral argon beam, in order to validate the measurement concept. The results
show that the technique is viable for measurements of neutral flow velocities in
future satellite missions.
PMID- 18052494
TI - A new switched-capacitor frequency modulated driver for light emitting diodes.
AB - A new type of drivers for light emitting diodes (LEDs) is introduced based on the
switched-capacitor frequency modulation. In contrast to conventional constant dc
current drivers, the current pulse is provided by this new switched-capacitor LED
driver. In the present driver, the charging capacitor is charged and discharged
through a LED and the current flow direction is controlled by a metal oxide
semiconductor switch. The input current (and thus the LED brightness) is
proportional to the switch clock frequency at relatively low frequencies and
becomes saturated at relatively high frequencies. This new driver circuit is
simple and robust and maintains high efficiency for a wide range of input powers.
In addition, the dimming control is easily realized by modulating clock
frequency. Finally, this LED driver consumes no dc current and thus provides
inherent protection to LED in standby mode.
PMID- 18052495
TI - A new correlation method for high sensitivity current noise measurements.
AB - The properties of a differential transconductance amplifier coupled with a four
channel measurement system are exploited in order to reach a very high
sensitivity in current noise measurements. In particular, it is demonstrated
that, in proper conditions, the noise contributions coming from the active and
passive devices that make up the transresistance amplifier can be virtually
eliminated. Moreover, the proposed measurement method allows the evaluation of
the impedance of the device under test from noise measurement data. Actual
measurement results are also reported that demonstrate the effectiveness of the
proposed approach.
PMID- 18052496
TI - Separated two-phase flow regime parameter measurement by a high speed ultrasonic
pulse-echo system.
AB - In this work, a high speed ultrasonic multitransducer pulse-echo system using a
four transducer method was used for the dynamic characterization of gas-liquid
two-phase separated flow regimes. The ultrasonic system consists of an ultrasonic
pulse signal generator, multiplexer, 10 MHz (0.64 cm) ultrasonic transducers, and
a data acquisition system. Four transducers are mounted on a horizontal 2.1 cm
inner diameter circular pipe. The system uses a pulse-echo method sampled every
0.5 ms for a 1 s duration. A peak detection algorithm (the C-scan mode) is
developed to extract the location of the gas-liquid interface after signal
processing. Using the measured instantaneous location of the gas/liquid
interface, two-phase flow interfacial parameters in separated flow regimes are
determined such as liquid level and void fraction for stratified wavy and annular
flow. The shape of the gas-liquid interface and, hence, the instantaneous and
cross-sectional averaged void fraction is also determined. The results show that
the high speed ultrasonic pulse-echo system provides accurate results for the
determination of the liquid level within +/-1.5%, and the time averaged liquid
level measurements performed in the present work agree within +/-10% with the
theoretical models. The results also show that the time averaged void fraction
measurements for a stratified smooth flow, stratified wavy flow, and annular flow
qualitatively agree with the theoretical predictions.
PMID- 18052497
TI - Measuring permeability of porous materials at low frequency range via acoustic
transmitted waves.
AB - An acoustical transmission method is proposed for measuring permeability of
porous materials having rigid frame. Permeability is one of the several
parameters required by acoustical theory to characterize porous materials such as
plastic foams and fibrous or granular materials. The proposed method is based on
a temporal model of the direct and inverse scattering problem for the diffusion
of transient low frequency waves in a homogeneous isotropic slab of porous
material having a rigid frame. This time domain model of wave propagation was
initially introduced by the authors [Z.E.A Fellah and C. Depollier, J. Acoust.
Soc. Am. 107, 683 (2000)]. The viscous losses of the medium are described by the
model devised by Johnson et al. [J. Fluid. Mech. 176, 379 (1987)]. Reflection and
transmission scattering operators for a slab of porous material are derived from
the responses of the medium to an incident acoustic pulse. The permeability is
determined from the expressions of these operators. Experimental and numerical
validation results of this method are presented. This method has the advantage of
being simple, rapid, and efficient.
PMID- 18052498
TI - Probing elastic modulus and depth of bottom-supported inclusions in model tissues
using piezoelectric cantilevers.
AB - We have experimentally investigated the depth sensitivity limit of a
piezoelectric cantilever tissue elastic modulus sensor and simultaneously
determined the elastic modulus and the depth of a tumor directly. Using model
tissues consisting of bottom-supported modeling clay inclusions of various depths
in a gelatin matrix, we empirically determined that the depth sensitivity limit
of a piezoelectric cantilever sensor was twice the linear dimension of the
indentation area (or the cantilever width). Knowing the depth sensitivity limit
of the individual cantilever sensor as input and treating a model tissue that has
the gelatin matrix on top and the modeling clay inclusion at the bottom as two
springs in series, we showed that the elastic moduli and depths of the hard
inclusions could be simultaneously determined with the elastic modulus profiles
measured by two cantilevers with different widths as input.
PMID- 18052499
TI - An accurate air temperature measurement system based on an envelope pulsed
ultrasonic time-of-flight technique.
AB - A new microcomputer based air temperature measurement system is presented. An
accurate temperature measurement is derived from the measurement of sound
velocity by using an ultrasonic time-of-flight (TOF) technique. The study
proposes a novel algorithm that combines both amplitude modulation (AM) and phase
modulation (PM) to get the TOF measurement. The proposed system uses the AM and
PM envelope square waveform (APESW) to reduce the error caused by inertia delay.
The APESW ultrasonic driving waveform causes an envelope zero and phase inversion
phenomenon in the relative waveform of the receiver. To accurately achieve a TOF
measurement, the phase inversion phenomenon was used to sufficiently identify the
measurement pulse in the received waveform. Additionally, a counter clock
technique was combined to compute the phase shifts of the last incomplete cycle
for TOF. The presented system can obtain 0.1% TOF resolution for the period
corresponding to the 40 kHz frequency ultrasonic wave. Consequently, with the
integration of a humidity compensation algorithm, a highly accurate and high
resolution temperature measurement can be achieved using the accurate TOF
measurement. Experimental results indicate that the combined standard uncertainty
of the temperature measurement is approximately 0.39 degrees C. The main
advantages of this system are high resolution measurements, narrow bandwidth
requirements, and ease of implementation.
PMID- 18052500
TI - Active drift compensation applied to nanorod manipulation with an atomic force
microscope.
AB - We have developed a simple algorithm to overcome the problem of thermal drift in
an atomic force microscope (AFM) operating under ambient conditions. Using our
method, we demonstrate that the AFM tip remains above a 5-nm-high and 50-nm-long
CdSe nanorod for more than 90 min despite the thermal drift present (6 nm/min).
We have applied our drift compensation technique to the AFM manipulation of CdSe
colloidal nanorods lying horizontally on a highly oriented pyrolytic graphite
surface. Since we have precise control over the position of the AFM tip relative
to the nanorod, we can choose to either translate or rotate the rod by changing
the location of the tip-rod interaction point.
PMID- 18052501
TI - A synchrotron beamline for delivering high purity vacuum ultraviolet photons.
AB - We report on the current status and performance of the toroidal grating
monochromator beamline at the Brazilian Synchrotron Light Laboratory (Laboratorio
Nacional de Luz Sincrotron). This beamline provides photons in the vacuum
ultraviolet and soft x-ray regions from 12 to 330 eV with three interchangeable
gratings. We report on the improvement, which allows the possibility of choosing
the light polarization degree from linear to almost circular. Here, we also
describe the development of a new apparatus, namely, the mirror-inserted harmonic
attenuator and calibrating-device with a long length (MIRHACLLE). All beamlines
based on diffraction gratings suffer from the problem of high harmonics
contaminations to some extent. The MIRHACLLE provides a way to efficiently
suppress high harmonics from 25% to 1 ppm in a grazing incidence bending magnet
beamline. Its principle of operation relays on the absorption of the high energy
photons in a gas phase region. It allows negligible high harmonics contamination
for photon energies ranging from 12 eV to the gas first ionization threshold,
21.6 eV, in the case of neon. We also demonstrate the possibility to use this
device for energy calibration and resolution evaluation together with any
experiment needing its filtering capabilities. The device is also very cost
effective compared to other filters presented previously in the literature.
PMID- 18052502
TI - A broadband laser plasma x-ray source for application in ultrafast chemical
structure dynamics.
AB - A plasma source free from characteristic emission lines is described, based on
laser irradiation of a water jet in a helium atmosphere. Various key aspects of
the laser interaction are presented along with practical characterization of the
observed isotropic approximately 4-10 keV x-ray emissions, measurements of which
indicate subpicosecond duration. Observations are consistent with a vacuum
heating plasma mechanism at the helium-water interface and indicate strong
potential for in-house ultrafast chemical structure dynamics application when
coupled to contemporary detector developments.
PMID- 18052503
TI - Dielectric flashover with triple point shielding in a coaxial geometry.
AB - Increasing performance of vacuum insulator barriers is a common goal in pulsed
power. Insulating performance is continually being improved while new methods are
developed. Triple point shielding techniques have been shown to increase
flashover voltage, but the role of cathode versus anode shielding is still not
fully understood. Open circuit flashover characteristics were obtained for a
coaxial geometry to view the effects of triple point shielding for this geometry.
The tests included applying various combinations of triple point shields on zero
and +45 degrees insulators. Shielding was tested at the cathode triple point
outside of the dielectric and at the anode triple point inside the dielectric.
The role of anode versus cathode triple point shielding was examined. Flashover
voltage was observed to increase when either a cathode or anode triple point
shield was applied; however, adding a shield to both regions lowered the
flashover threshold. Both triple point regions were found to be important and
dependent on each other for some coaxial geometries.
PMID- 18052504
TI - Characterization and analysis of a pulse power system based on Marx generator and
Blumlein.
AB - A pulse power system (1 MV, 50 kA, and 100 ns) based on Marx generator and
Blumlein pulse forming line has been studied for characterization of a general
system. Total erected Marx inductance and series resistance are calculated from
modular testing of Marx generator and testing of Marx generator with Blumlein.
The complete pulse power system has been tested with the termination of a liquid
resistor load for finding the Blumlein characteristic impedance. Equivalent
electrical circuits during the charging and discharging of the Blumlein are
constructed from the characterized parameters of the system. These equivalent
circuits can be used in the analysis of prepulse voltage and droop in the flat
top of the main pulse in the pulse power systems based on Marx generator and
Blumlein.
PMID- 18052505
TI - Development of a two-dimensional dual pendulum thrust stand for Hall thrusters.
AB - A two-dimensional dual pendulum thrust stand was developed to measure thrust
vectors [axial and horizontal (transverse) direction thrusts] of a Hall thruster.
A thruster with a steering mechanism is mounted on the inner pendulum, and thrust
is measured from the displacement between inner and outer pendulums, by which a
thermal drift effect is canceled out. Two crossover knife-edges support each
pendulum arm: one is set on the other at a right angle. They enable the pendulums
to swing in two directions. Thrust calibration using a pulley and weight system
showed that the measurement errors were less than 0.25 mN (1.4%) in the main
thrust direction and 0.09 mN (1.4%) in its transverse direction. The thrust angle
of the thrust vector was measured with the stand using the thruster.
Consequently, a vector deviation from the main thrust direction of +/-2.3 degrees
was measured with the error of +/-0.2 degrees under the typical operating
conditions for the thruster.
PMID- 18052506
TI - Lead-free acoustic emission sensors.
AB - Acoustic emission (AE) sensors have been fabricated using both soft- and hard
type lead-free (Na0.5K0.5)NbO3-based ceramics. The acoustic and electromechanical
properties of the ceramics have been determined using the resonance technique.
The lead-free AE sensors were calibrated using a laser source and compared to a
commercial sensor. A lead zirconate titanate (PZT) 5H ceramics AE sensor has also
been fabricated and calibrated for comparison. It was found that the sensitivity
of lead-free AE sensors is comparable to that of the lead-based PZT sensor. To
evaluate the sensors for potential application, they have been used in the
detection of AE in an impact test. The lead-free sensors can reproduce AE signals
accurately without giving artifacts and have potential use in commercial AE
systems.
PMID- 18052507
TI - Surface modes of a sessile water drop: an optical tweezer based study.
AB - A high-precision method to study the dynamics of two-fluid interfaces using an
optical tweezer and a phase-sensitive detection technique are described. The
disturbances set up at the interface are studied by analyzing the motion of an
optically trapped particle in the bulk of the fluid, i.e., away from the
interface. The usefulness of the technique is demonstrated for the well-known
problem of a horizontally vibrated sessile liquid drop. The vibrational modes of
the liquid drop excited by sinusoidally vibrating the support in a horizontal
plane appear as resonances in the motion of the trapped particle. The nature of
the resonance is studied in detail by measuring the real part, the imaginary
part, and the phase response of the motion of the particle as a function of the
"effective" size of the liquid drop. Excellent quantitative agreement with the
theoretically predicted values of the eigenfrequencies and damping of the surface
modes is obtained.
PMID- 18052508
TI - Laser-Doppler vibrating tube densimeter for measurements at high temperatures and
pressures.
AB - A laser-Doppler vibrometer was used to measure the vibration of a vibrating tube
densimeter for measuring P-V-T data at high temperatures and pressures. The
apparatus developed allowed the control of the residence time of the sample so
that decomposition at high temperatures could be minimized. A function generator
and piezoelectric crystal was used to excite the U-shaped tube in one of its
normal modes of vibration. Densities of methanol-water mixtures are reported for
at 673 K and 40 MPa with an uncertainty of 0.009 g/cm3.
PMID- 18052509
TI - Combining multiple optical trapping with microflow manipulation for the rapid
bioanalytics on microparticles in a chip.
AB - An array of four independent laser traps is combined with a polydimethylsiloxane
microfluidic chip to form a very compact system allowing parallel processing of
biological objects. Strong three dimensional trapping allows holding objects such
as functionalized beads in flows at speeds near 1 mm/s, enabling rapid
processing. By pressure control of the inlet flows, the trapped objects can be
put in contact with different solutions for analysis purpose. This setup,
including a fluorescence excitation-detection scheme, offers the potential to
perform complex biochemical manipulations on an ensemble of microparticles.
PMID- 18052510
TI - Atomic force microscopy: loading position dependence of cantilever spring
constants and detector sensitivity.
AB - A simple and accurate experimental method is described for determining the
effective cantilever spring constant and the detector sensitivity of atomic force
microscopy cantilevers on which a colloidal particle is attached. By attaching
large (approximately 85 microm diameter) latex particles at various positions
along the V-shaped cantilevers, we demonstrate how the normal and lateral spring
constants as well as the sensitivity vary with loading position. Comparison with
an explicit point-load theoretical model has also been used to verify the
accuracy of the method.
PMID- 18052511
TI - Operation of a frequency-narrowed high-beam quality broad-area laser by a
passively stabilized external cavity technique.
AB - The average spectral bandwidth of a 2 W broad-area diode laser was narrowed to 5
GHz with wavelength tunability of up to 12 nm at a center wavelength of 790 nm
with the use of a Littman-Metcalf external cavity in a displaced configuration.
The use of lens and combined lens-laser transformation systems allowed precise
alignment of the beam shaping optics, which led to significant improvements of
the beam quality and an enhanced suppression of the free-running laser modes. We
characterize the spatial beam quality of our external cavity diode laser by
measuring the M2 quality factor and relate this to our measured bandwidths. Our
external cavity can be configured over a range of cavity lengths and is modular
in design, enabling access to a broad frequency spectrum for a wide range of
applications that require high-power, narrow bandwidth operation.
PMID- 18052512
TI - Simplified small-scale backlight unit tester.
AB - A novel design is the concept of using charge coupled device camera embedded with
calibrated image processing algorithm as a two-dimensional luminance meter to
measure the luminance and uniformity of small-scale backlight of unit. A small
scale backlight unit tester was successfully realized and performed to verify
this concept.
PMID- 18052513
TI - Xenon ion laser-induced fluorescence using a visible tunable diode laser near 680
nm.
AB - Laser-induced fluorescence (LIF) measurements have been performed for the first
time in a low temperature (Te approximately 0.6 eV) Xe plasma using a tunable
diode laser in the visible range of wavelengths. The transition in Xe II involved
the (3P1)5d[3]7/2 metastable state and the excitation wavelength was found to be
680.570+/-0.001 nm (air). LIF measurements of I 2 in a room temperature iodine
gas cell were used to monitor the wavelength of the laser during the
measurements.
PMID- 18052514
TI - The aging school nurse workforce.
PMID- 18052515
TI - What school nurses need to know about cervical cancer, HPV, and the new vaccine.
AB - At least 12,000 women are diagnosed with cervical cancer each year in the United
States, accounting for at least 4,000 deaths. Worldwide, cervical cancer is the
second most common type of cancer among women. The human papilloma virus (HPV)
has been linked to at least 70% of all cervical cancer. HPV can be divided into 2
categories: (a) low risk, which is responsible for genital warts, and (b) high
risk, which is responsible for cervical cancer. An effective new vaccine has been
approved that will decrease the spread of infection caused by HPV, therefore
decreasing the future incidence of cervical cancer and genital warts.
Understanding the risks of acquiring HPV and the increased risk for cervical
cancer may lead to enhanced vaccine acceptance.
PMID- 18052516
TI - School nurses' perceptions of family-centered services: commitment and
challenges.
AB - Family-centered service is a service delivery model that recognizes the central
role of families, builds on their strengths, and seeks to fully involve them in
all aspects of their child's health, learning, and development. Family-centered
service has been associated with positive outcomes in health and education and
has been viewed as best practice. This study examined school nurses' perceptions
of their work practices with families and the implementation of family-centered
services in the school health setting. An established instrument, the Measure of
Processes of Care for Service Providers, was used to survey a convenience sample
of school nurses practicing in California. Quantitative and qualitative results
indicated that school nurses value family-centered service and use the core
elements regularly in their work with families. Qualitative data further
illustrated school nurses' solid commitment to families even in the face of
challenges such as high student-nurse ratios, lack of time, and limited support.
Suggestions for overcoming these barriers include development of parent centers,
employment of school social workers/case managers, and advocating for changes in
legislation to support the hiring of more school nurses.
PMID- 18052517
TI - Empowerment in school nursing practice: a grounded theory approach.
AB - Professional empowerment is vital to nurses' productivity and job satisfaction. A
grounded theory study was conducted to describe the basic social process
experienced by school nurses in relation to professional empowerment. Interviews
with 10 school nurses led to the development of a situation-specific theory of
school nurse empowerment, Making a Difference: The Role of the School Nurse in
the Health of Children in Schools. This theory was derived from four theoretical
constructs: (a) enlisting support, (b) getting through the day, (c) maintaining
control over practice, and (d) adjusting to challenges. Interviews revealed that
knowing at the end of each school day that they made a difference in the health
of children resulted in feelings of empowerment. Participants cited various areas
in which their sense of empowerment was limited, including salaries and workload.
Despite these issues, they believed they had a positive impact on the health of
children, leading to job satisfaction and feelings of value.
PMID- 18052518
TI - Evaluating the effectiveness of the Kids Living Fit program: a comparative study.
AB - After-school programs can be implemented by school nurses to facilitate healthy
lifestyle choices in children with the goal of decreasing obesity. Kids Living
Fit (KLF), an after-school program designed by community hospital nurses, was
implemented in elementary schools and focused on best lifestyle choices regarding
foods consumed and activities chosen for children in grades 2 through 5. Study
measures included comparison of body mass index (BMI) percentiles for age and
gender and waist circumference between two self-selected groups composing a total
sample size of 185 participants: the KLF intervention group (n = 80) and the no
intervention/ contrast group (n = 105). The 12-week intervention included a
weekly fitness program and monthly dietitian presentations. Participants
completed food and activity diaries and wore pedometers. In pairwise comparisons,
the KLF group had a significant decrease in BMI percentile between baseline and
follow-up (-2.3%) compared with the contrast group. The KLF group also
demonstrated a smaller increase in waist circumference than the contrast group.
PMID- 18052519
TI - Effectiveness of nutrition education on fast food choices in adolescents.
AB - Adolescent obesity has become a major health concern in the United States. An
increased frequency of fast food restaurant dining is associated with higher
intake of calories and calories from fat. The purpose of this study was to gain
insight as to how food choices in a "simulated" fast food environment might be
influenced by nutrition education in a group of adolescents. Ten adolescents were
asked to choose food items from a fast food restaurant menu. Their chosen meals'
nutrition make-up (calories, fat, cholesterol, sodium, carbohydrates, protein,
and fiber) was calculated. Following a 30-minute nutrition education session,
participants were asked again to choose a meal from the same fast food menu. The
nutrition make-up of the meal chosen postintervention was compared with the meal
chosen before the education session. There was a statistically significant (p <
.05) difference in calories, fat, carbohydrate, and fiber content of the meals
chosen postintervention. This short nutrition education intervention resulted in
healthier fast food choices in this group of adolescents.
PMID- 18052520
TI - Evaluation of a hand washing program for 2nd-graders.
AB - The purpose of this project was to determine if a multiple-week learner-centered
hand washing program could improve hand hygiene behaviors of 2nd-graders in a
northern Illinois public school system. Volunteers from the Rockford Hand Washing
Coalition went into 19 different classrooms for 4 consecutive weeks and taught a
learner-centered program. The program consisted of interactive class discussions
and activities using GlitterBug training devices and agar plate materials. A one
factor repeated measure analysis of variance indicated a statistically
significant 34% decrease in the absenteeism rate for students in the intervention
group. Chi-square analyses on agar plate data indicated that students had cleaner
hands after washing. Qualitative data from parents and teachers indicated that a
majority of the students were engaging in hand-washing behavior. These results
indicate that integrating a learner-centered interactive program in a multiple
week structure can lead to improvement in hand hygiene behavior.
PMID- 18052521
TI - School-based administration of ADHD drugs decline, along with diversion, theft,
and misuse.
AB - Since 2000 researchers have reported a decline in the administration of attention
deficit/hyperactivity disorder (ADHD) medications given by school nurses,
although no decline has been noted in the incidence of ADHD in school-age
populations. Government data for the same period show reduced levels of
methylphenidate abuse as measured by its involvement in hospital emergency
department (ED) admissions. Offsetting this, however, is an increase in the
involvement of amphetamine-dextroamphetamine in hospital ED admissions for the
same period. Because ADHD medications are often administered in the school
setting, a survey of school nurses was undertaken to identify factors related to
the administration as well as to the diversion, theft, and misuse of ADHD
medications. Of 311 school nurses responding, 295 (95%) reported a significant or
moderate decline between 2002 and 2004 in the need for school-based
administration of ADHD medications. Respondents also reported reductions in
diversion, theft, and misuse of ADHD drugs.
PMID- 18052522
TI - A follow-up study of former student health advocates.
AB - Student health advocates (SHAs) are high school students who, under the
supervision of the school nurse, provide health education and health promotion
activities to other students via a peer education model. This 3-year follow-up
study explored how the SHA experience influences career choice and attitudes of
the participants. It also examined what motivates students to become SHAs, the
benefits and drawbacks of SHA participation, how SHA involvement influenced
career plans, and how SHAs perceive the role of the school nurse. Study results
indicate that this innovative health education/promotion experience offered an
opportunity for SHAs to express their interest in health and enhanced their sense
of belonging, meaningful involvement, connection to learning, and caring
relationships in the school setting.
PMID- 18052524
TI - "Human bites in the classroom: incidence, treatment, and complications".
PMID- 18052527
TI - Congenital viral infections of the brain: lessons learned from lymphocytic
choriomeningitis virus in the neonatal rat.
AB - The fetal brain is highly vulnerable to teratogens, including many infectious
agents. As a consequence of prenatal infection, many children suffer severe and
permanent brain injury and dysfunction. Because most animal models of congenital
brain infection do not strongly mirror human disease, the models are highly
limited in their abilities to shed light on the pathogenesis of these diseases.
The animal model for congenital lymphocytic choriomeningitis virus (LCMV)
infection, however, does not suffer from this limitation. LCMV is a well-known
human pathogen. When the infection occurs during pregnancy, the virus can infect
the fetus, and the developing brain is particularly vulnerable. Children with
congenital LCMV infection often have substantial neurological deficits. The
neonatal rat inoculated with LCMV is a superb model system of human congenital
LCMV infection. Virtually all of the neuropathologic changes observed in humans
congenitally infected with LCMV, including microencephaly, encephalomalacia,
chorioretinitis, porencephalic cysts, neuronal migration disturbances,
periventricular infection, and cerebellar hypoplasia, are reproduced in the rat
model. Within the developing rat brain, LCMV selectively targets mitotically
active neuronal precursors. Thus, the targets of infection and sites of pathology
depend on host age at the time of infection. The rat model has further shown that
the pathogenic changes induced by LCMV infection are both virus-mediated and
immune-mediated. Furthermore, different brain regions simultaneously infected
with LCMV can undergo widely different pathologic changes, reflecting different
brain region-virus-immune system interactions. Because the neonatal rat
inoculated with LCMV so faithfully reproduces the diverse neuropathology observed
in the human counterpart, the rat model system is a highly valuable tool for the
study of congenital LCMV infection and of all prenatal brain infections In
addition, because LCMV induces delayed-onset neuronal loss after the virus has
been cleared, the neonatal rat infected with LCMV may be an excellent model
system to study neurodegenerative or psychiatric diseases whose etiologies are
hypothesized to be virus-induced, such as autism, schizophrenia, and temporal
lobe epilepsy.
PMID- 18052529
TI - Avoidance of protein fold disruption in natural virus recombinants.
AB - With the development of reliable recombination detection tools and an increasing
number of available genome sequences, many studies have reported evidence of
recombination in a wide range of virus genera. Recombination is apparently a
major mechanism in virus evolution, allowing viruses to evolve more quickly by
providing immediate direct access to many more areas of a sequence space than are
accessible by mutation alone. Recombination has been widely described amongst the
insect-transmitted plant viruses in the genus Begomovirus (family Geminiviridae),
with potential recombination hot- and cold-spots also having been identified.
Nevertheless, because very little is understood about either the biochemical
predispositions of different genomic regions to recombine or what makes some
recombinants more viable than others, the sources of the evolutionary and
biochemical forces shaping distinctive recombination patterns observed in nature
remain obscure. Here we present a detailed analysis of unique recombination
events detectable in the DNA-A and DNA-A-like genome components of bipartite and
monopartite begomoviruses. We demonstrate both that recombination breakpoint hot-
and cold-spots are conserved between the two groups of viruses, and that patterns
of sequence exchange amongst the genomes are obviously non-random. Using a
computational technique designed to predict structural perturbations in chimaeric
proteins, we demonstrate that observed recombination events tend to be less
disruptive than sets of simulated ones. Purifying selection acting against
natural recombinants expressing improperly folded chimaeric proteins is therefore
a major determinant of natural recombination patterns in begomoviruses.
PMID- 18052528
TI - HIV-1 group M conserved elements vaccine.
PMID- 18052530
TI - Processing of nuclear viroids in vivo: an interplay between RNA conformations.
AB - Replication of viroids, small non-protein-coding plant pathogenic RNAs, entails
reiterative transcription of their incoming single-stranded circular genomes, to
which the (+) polarity is arbitrarily assigned, cleavage of the oligomeric
strands of one or both polarities to unit-length, and ligation to circular RNAs.
While cleavage in chloroplastic viroids (family Avsunviroidae) is mediated by
hammerhead ribozymes, where and how cleavage of oligomeric (+) RNAs of nuclear
viroids (family Pospiviroidae) occurs in vivo remains controversial. Previous in
vitro data indicated that a hairpin capped by a GAAA tetraloop is the RNA motif
directing cleavage and a loop E motif ligation. Here we have re-examined this
question in vivo, taking advantage of earlier findings showing that dimeric
viroid (+) RNAs of the family Pospiviroidae transgenically expressed in
Arabidopsis thaliana are processed correctly. Using this methodology, we have
mapped the processing site of three members of this family at equivalent
positions of the hairpin I/double-stranded structure that the upper strand and
flanking nucleotides of the central conserved region (CCR) can form. More
specifically, from the effects of 16 mutations on Citrus exocortis viroid
expressed transgenically in A. thaliana, we conclude that the substrate for in
vivo cleavage is the conserved double-stranded structure, with hairpin I
potentially facilitating the adoption of this structure, whereas ligation is
determined by loop E and flanking nucleotides of the two CCR strands. These
results have deep implications on the underlying mechanism of both processing
reactions, which are most likely catalyzed by enzymes different from those
generally assumed: cleavage by a member of the RNase III family, and ligation by
an RNA ligase distinct from the only one characterized so far in plants, thus
predicting the existence of at least a second plant RNA ligase.
PMID- 18052531
TI - Secreted NS1 of dengue virus attaches to the surface of cells via interactions
with heparan sulfate and chondroitin sulfate E.
AB - Dengue virus (DENV) nonstructural protein-1 (NS1) is a secreted glycoprotein that
is absent from viral particles but accumulates in the supernatant and on the
plasma membrane of cells during infection. Immune recognition of cell surface NS1
on endothelial cells has been hypothesized as a mechanism for the vascular
leakage that occurs during severe DENV infection. However, it has remained
unclear how NS1 becomes associated with the plasma membrane, as it contains no
membrane-spanning sequence motif. Using flow cytometric and ELISA-based binding
assays and mutant cell lines lacking selective glycosaminoglycans, we show that
soluble NS1 binds back to the surface of uninfected cells primarily via
interactions with heparan sulfate and chondroitin sulfate E. DENV NS1 binds
directly to the surface of many types of epithelial and mesenchymal cells yet
attaches poorly to most peripheral blood cells. Moreover, DENV NS1 preferentially
binds to cultured human microvascular compared to aortic or umbilical cord vein
endothelial cells. This binding specificity was confirmed in situ as DENV NS1
bound to lung and liver but not intestine or brain endothelium of mouse tissues.
Differential binding of soluble NS1 by tissue endothelium and subsequent
recognition by anti-NS1 antibodies could contribute to the selective vascular
leakage syndrome that occurs during severe secondary DENV infection.
PMID- 18052533
TI - A primer on python for life science researchers.
PMID- 18052532
TI - Bradykinin B2 Receptors of dendritic cells, acting as sensors of kinins
proteolytically released by Trypanosoma cruzi, are critical for the development
of protective type-1 responses.
AB - Although the concept that dendritic cells (DCs) recognize pathogens through the
engagement of Toll-like receptors is widely accepted, we recently suggested that
immature DCs might sense kinin-releasing strains of Trypanosoma cruzi through the
triggering of G-protein-coupled bradykinin B2 receptors (B2R). Here we report
that C57BL/6.B2R-/- mice infected intraperitoneally with T. cruzi display higher
parasitemia and mortality rates as compared to B2R+/+ mice. qRT-PCR revealed a 5
fold increase in T. cruzi DNA (14 d post-infection [p.i.]) in B2R-/- heart, while
spleen parasitism was negligible in both mice strains. Analysis of recall
responses (14 d p.i.) showed high and comparable frequencies of IFN-gamma
producing CD4+ and CD8+ T cells in the spleen of B2R-/- and wild-type mice.
However, production of IFN-gamma by effector T cells isolated from B2R-/- heart
was significantly reduced as compared with wild-type mice. As the infection
continued, wild-type mice presented IFN-gamma-producing (CD4+CD44+ and CD8+CD44+)
T cells both in the spleen and heart while B2R-/- mice showed negligible
frequencies of such activated T cells. Furthermore, the collapse of type-1 immune
responses in B2R-/- mice was linked to upregulated secretion of IL-17 and TNF
alpha by antigen-responsive CD4+ T cells. In vitro analysis of tissue culture
trypomastigote interaction with splenic CD11c+ DCs indicated that DC maturation
(IL-12, CD40, and CD86) is controlled by the kinin/B2R pathway. Further, systemic
injection of trypomastigotes induced IL-12 production by CD11c+ DCs isolated from
B2R+/+ spleen, but not by DCs from B2R-/- mice. Notably, adoptive transfer of
B2R+/+ CD11c+ DCs (intravenously) into B2R-/- mice rendered them resistant to
acute challenge, rescued development of type-1 immunity, and repressed TH17
responses. Collectively, our results demonstrate that activation of B2R, a DC
sensor of endogenous maturation signals, is critically required for development
of acquired resistance to T. cruzi infection.
PMID- 18052534
TI - In silico elucidation of the molecular mechanism defining the adverse effect of
selective estrogen receptor modulators.
AB - Early identification of adverse effect of preclinical and commercial drugs is
crucial in developing highly efficient therapeutics, since unexpected adverse
drug effects account for one-third of all drug failures in drug development. To
correlate protein-drug interactions at the molecule level with their clinical
outcomes at the organism level, we have developed an integrated approach to
studying protein-ligand interactions on a structural proteome-wide scale by
combining protein functional site similarity search, small molecule screening,
and protein-ligand binding affinity profile analysis. By applying this
methodology, we have elucidated a possible molecular mechanism for the previously
observed, but molecularly uncharacterized, side effect of selective estrogen
receptor modulators (SERMs). The side effect involves the inhibition of the
Sacroplasmic Reticulum Ca2+ ion channel ATPase protein (SERCA) transmembrane
domain. The prediction provides molecular insight into reducing the adverse
effect of SERMs and is supported by clinical and in vitro observations. The
strategy used in this case study is being applied to discover off-targets for
other commercially available pharmaceuticals. The process can be included in a
drug discovery pipeline in an effort to optimize drug leads and reduce unwanted
side effects.
PMID- 18052536
TI - Computational biology in Cuba: an opportunity to promote science in a developing
country.
PMID- 18052537
TI - Ten simple rules for graduate students.
PMID- 18052535
TI - STDP in a bistable synapse model based on CaMKII and associated signaling
pathways.
AB - The calcium/calmodulin-dependent protein kinase II (CaMKII) plays a key role in
the induction of long-term postsynaptic modifications following calcium entry.
Experiments suggest that these long-term synaptic changes are all-or-none switch
like events between discrete states. The biochemical network involving CaMKII and
its regulating protein signaling cascade has been hypothesized to durably
maintain the evoked synaptic state in the form of a bistable switch. However, it
is still unclear whether experimental LTP/LTD protocols lead to corresponding
transitions between the two states in realistic models of such a network. We
present a detailed biochemical model of the CaMKII autophosphorylation and the
protein signaling cascade governing the CaMKII dephosphorylation. As previously
shown, two stable states of the CaMKII phosphorylation level exist at resting
intracellular calcium concentration, and high calcium transients can switch the
system from the weakly phosphorylated (DOWN) to the highly phosphorylated (UP)
state of the CaMKII (similar to a LTP event). We show here that increased CaMKII
dephosphorylation activity at intermediate Ca(2+) concentrations can lead to
switching from the UP to the DOWN state (similar to a LTD event). This can be
achieved if protein phosphatase activity promoting CaMKII dephosphorylation
activates at lower Ca(2+) levels than kinase activity. Finally, it is shown that
the CaMKII system can qualitatively reproduce results of plasticity outcomes in
response to spike-timing dependent plasticity (STDP) and presynaptic stimulation
protocols. This shows that the CaMKII protein network can account for both
induction, through LTP/LTD-like transitions, and storage, due to its bistability,
of synaptic changes.
PMID- 18052538
TI - Using likelihood-free inference to compare evolutionary dynamics of the protein
networks of H. pylori and P. falciparum.
AB - Gene duplication with subsequent interaction divergence is one of the primary
driving forces in the evolution of genetic systems. Yet little is known about the
precise mechanisms and the role of duplication divergence in the evolution of
protein networks from the prokaryote and eukaryote domains. We developed a novel,
model-based approach for Bayesian inference on biological network data that
centres on approximate Bayesian computation, or likelihood-free inference.
Instead of computing the intractable likelihood of the protein network topology,
our method summarizes key features of the network and, based on these, uses a
MCMC algorithm to approximate the posterior distribution of the model parameters.
This allowed us to reliably fit a flexible mixture model that captures hallmarks
of evolution by gene duplication and subfunctionalization to protein interaction
network data of Helicobacter pylori and Plasmodium falciparum. The 80% credible
intervals for the duplication-divergence component are [0.64, 0.98] for H. pylori
and [0.87, 0.99] for P. falciparum. The remaining parameter estimates are not
inconsistent with sequence data. An extensive sensitivity analysis showed that
incompleteness of PIN data does not largely affect the analysis of models of
protein network evolution, and that the degree sequence alone barely captures the
evolutionary footprints of protein networks relative to other statistics. Our
likelihood-free inference approach enables a fully Bayesian analysis of a complex
and highly stochastic system that is otherwise intractable at present. Modelling
the evolutionary history of PIN data, it transpires that only the simultaneous
analysis of several global aspects of protein networks enables credible and
consistent inference to be made from available datasets. Our results indicate
that gene duplication has played a larger part in the network evolution of the
eukaryote than in the prokaryote, and suggests that single gene duplications with
immediate divergence alone may explain more than 60% of biological network data
in both domains.
PMID- 18052539
TI - CATHEDRAL: a fast and effective algorithm to predict folds and domain boundaries
from multidomain protein structures.
AB - We present CATHEDRAL, an iterative protocol for determining the location of
previously observed protein folds in novel multidomain protein structures.
CATHEDRAL builds on the features of a fast secondary-structure-based method
(using graph theory) to locate known folds within a multidomain context and a
residue-based, double-dynamic programming algorithm, which is used to align
members of the target fold groups against the query protein structure to identify
the closest relative and assign domain boundaries. To increase the fidelity of
the assignments, a support vector machine is used to provide an optimal scoring
scheme. Once a domain is verified, it is excised, and the search protocol is
repeated in an iterative fashion until all recognisable domains have been
identified. We have performed an initial benchmark of CATHEDRAL against other
publicly available structure comparison methods using a consensus dataset of
domains derived from the CATH and SCOP domain classifications. CATHEDRAL shows
superior performance in fold recognition and alignment accuracy when compared
with many equivalent methods. If a novel multidomain structure contains a known
fold, CATHEDRAL will locate it in 90% of cases, with <1% false positives. For
nearly 80% of assigned domains in a manually validated test set, the boundaries
were correctly delineated within a tolerance of ten residues. For the remaining
cases, previously classified domains were very remotely related to the query
chain so that embellishments to the core of the fold caused significant
differences in domain sizes and manual refinement of the boundaries was
necessary. To put this performance in context, a well-established sequence method
based on hidden Markov models was only able to detect 65% of domains, with 33% of
the subsequent boundaries assigned within ten residues. Since, on average, 50% of
newly determined protein structures contain more than one domain unit, and
typically 90% or more of these domains are already classified in CATH, CATHEDRAL
will considerably facilitate the automation of protein structure classification.
PMID- 18052540
TI - Small regulatory RNAs may sharpen spatial expression patterns.
AB - The precise establishment of gene expression patterns is a crucial step in
development. Formation of a sharp boundary between high and low spatial
expression domains requires a genetic mechanism that exhibits sensitivity, yet is
robust to fluctuations, a demand that may not be easily achieved by morphogens
alone. Recently, it has been demonstrated that small RNAs (and, in particular,
microRNAs) play many roles in embryonic development. Whereas some RNAs are
essential for embryogenesis, others are limited to fine-tuning a predetermined
gene expression pattern. Here, we explore the possibility that small RNAs
participate in sharpening a gene expression profile that was crudely established
by a morphogen. To this end, we study a model in which small RNAs interact with a
target gene and diffusively move from cell to cell. Though diffusion generally
smoothens spatial expression patterns, we find that intercellular mobility of
small RNAs is actually critical in sharpening the interface between target
expression domains in a robust manner. This sharpening occurs as small RNAs
diffuse into regions of low mRNA expression and eliminate target molecules
therein, but cannot affect regions of high mRNA levels. We discuss the
applicability of our results, as examples, to the case of leaf polarity
establishment in maize and Hox patterning in the early Drosophila embryo. Our
findings point out the functional significance of some mechanistic properties,
such as mobility of small RNAs and the irreversibility of their interactions.
These properties are yet to be established directly for most classes of small
RNAs. An indirect yet simple experimental test of the proposed mechanism is
suggested in some detail.
PMID- 18052542
TI - Predicting protein function with hierarchical phylogenetic profiles: the Gene3D
Phylo-Tuner method applied to eukaryotic genomes.
AB - "Phylogenetic profiling" is based on the hypothesis that during evolution
functionally or physically interacting genes are likely to be inherited or
eliminated in a codependent manner. Creating presence-absence profiles of
orthologous genes is now a common and powerful way of identifying functionally
associated genes. In this approach, correctly determining orthology, as a means
of identifying functional equivalence between two genes, is a critical and
nontrivial step and largely explains why previous work in this area has mainly
focused on using presence-absence profiles in prokaryotic species. Here, we
demonstrate that eukaryotic genomes have a high proportion of multigene families
whose phylogenetic profile distributions are poor in presence-absence information
content. This feature makes them prone to orthology mis-assignment and unsuited
to standard profile-based prediction methods. Using CATH structural domain
assignments from the Gene3D database for 13 complete eukaryotic genomes, we have
developed a novel modification of the phylogenetic profiling method that uses
genome copy number of each domain superfamily to predict functional
relationships. In our approach, superfamilies are subclustered at ten levels of
sequence identity-from 30% to 100%-and phylogenetic profiles built at each level.
All the profiles are compared using normalised Euclidean distances to identify
those with correlated changes in their domain copy number. We demonstrate that
two protein families will "auto-tune" with strong co-evolutionary signals when
their profiles are compared at the similarity levels that capture their
functional relationship. Our method finds functional relationships that are not
detectable by the conventional presence-absence profile comparisons, and it does
not require a priori any fixed criteria to define orthologous genes.
PMID- 18052541
TI - Gamma oscillations of spiking neural populations enhance signal discrimination.
AB - Selective attention is an important filter for complex environments where
distractions compete with signals. Attention increases both the gamma-band power
of cortical local field potentials and the spike-field coherence within the
receptive field of an attended object. However, the mechanisms by which gamma
band activity enhances, if at all, the encoding of input signals are not well
understood. We propose that gamma oscillations induce binomial-like spike-count
statistics across noisy neural populations. Using simplified models of spiking
neurons, we show how the discrimination of static signals based on the population
spike-count response is improved with gamma induced binomial statistics. These
results give an important mechanistic link between the neural correlates of
attention and the discrimination tasks where attention is known to enhance
performance. Further, they show how a rhythmicity of spike responses can enhance
coding schemes that are not temporally sensitive.
PMID- 18052543
TI - Intragenomic matching reveals a huge potential for miRNA-mediated regulation in
plants.
AB - microRNAs (miRNAs) are important post-transcriptional regulators, but the extent
of this regulation is uncertain, both with regard to the number of miRNA genes
and their targets. Using an algorithm based on intragenomic matching of potential
miRNAs and their targets coupled with support vector machine classification of
miRNA precursors, we explore the potential for regulation by miRNAs in three
plant genomes: Arabidopsis thaliana, Populus trichocarpa, and Oryza sativa. We
find that the intragenomic matching in conjunction with a supervised learning
approach contains enough information to allow reliable computational prediction
of miRNA candidates without requiring conservation across species. Using this
method, we identify approximately 1,200, approximately 2,500, and approximately
2,100 miRNA candidate genes capable of extensive base-pairing to potential target
mRNAs in A. thaliana, P. trichocarpa, and O. sativa, respectively. This is more
than five times the number of currently annotated miRNAs in the plants. Many of
these candidates are derived from repeat regions, yet they seem to contain the
features necessary for correct processing by the miRNA machinery. Conservation
analysis indicates that only a few of the candidates are conserved between the
species. We conclude that there is a large potential for miRNA-mediated
regulatory interactions encoded in the genomes of the investigated plants. We
hypothesize that some of these interactions may be realized under special
environmental conditions, while others can readily be recruited when organisms
diverge and adapt to new niches.
PMID- 18052544
TI - Predicting gene expression from sequence: a reexamination.
AB - Although much of the information regarding genes' expressions is encoded in the
genome, deciphering such information has been very challenging. We reexamined
Beer and Tavazoie's (BT) approach to predict mRNA expression patterns of 2,587
genes in Saccharomyces cerevisiae from the information in their respective
promoter sequences. Instead of fitting complex Bayesian network models, we
trained naive Bayes classifiers using only the sequence-motif matching scores
provided by BT. Our simple models correctly predict expression patterns for 79%
of the genes, based on the same criterion and the same cross-validation (CV)
procedure as BT, which compares favorably to the 73% accuracy of BT. The fact
that our approach did not use position and orientation information of the
predicted binding sites but achieved a higher prediction accuracy, motivated us
to investigate a few biological predictions made by BT. We found that some of
their predictions, especially those related to motif orientations and positions,
are at best circumstantial. For example, the combinatorial rules suggested by BT
for the PAC and RRPE motifs are not unique to the cluster of genes from which the
predictive model was inferred, and there are simpler rules that are statistically
more significant than BT's ones. We also show that CV procedure used by BT to
estimate their method's prediction accuracy is inappropriate and may have
overestimated the prediction accuracy by about 10%.
PMID- 18052552
TI - Recognition and treatment of panic disorder.
AB - Panic disorder is a common, disabling condition that affects 3% to 5% of the
world's population. Although it is treatable, panic disorder goes unrecognized
and untreated in many patients. Patients with panic disorder have an increased
risk for other psychiatric disorders, especially other anxiety disorders, and
panic disorder is associated with other medical conditions such as migraines,
fibromyalgia, and irritable bowel syndrome. Clinicians treating panic disorder
must be able to recognize the disorder, differentiate it from other disorders in
which panic attacks are part of the symptomatology, and map out an individualized
treatment plan for each patient. This presentation discusses the importance of
collaboration between doctor and patient and details available treatment options,
including antidepressants, benzodiazepines, and cognitive-behavioral therapy.
PMID- 18052545
TI - Direct inference of SNP heterozygosity rates and resolution of LOH detection.
AB - Single nucleotide polymorphisms (SNPs) have been increasingly utilized to
investigate somatic genetic abnormalities in premalignancy and cancer. LOH is a
common alteration observed during cancer development, and SNP assays have been
used to identify LOH at specific chromosomal regions. The design of such studies
requires consideration of the resolution for detecting LOH throughout the genome
and identification of the number and location of SNPs required to detect genetic
alterations in specific genomic regions. Our study evaluated SNP distribution
patterns and used probability models, Monte Carlo simulation, and real human
subject genotype data to investigate the relationships between the number of
SNPs, SNP HET rates, and the sensitivity (resolution) for detecting LOH. We
report that variances of SNP heterozygosity rate in dbSNP are high for a large
proportion of SNPs. Two statistical methods proposed for directly inferring SNP
heterozygosity rates require much smaller sample sizes (intermediate sizes) and
are feasible for practical use in SNP selection or verification. Using HapMap
data, we showed that a region of LOH greater than 200 kb can be reliably
detected, with losses smaller than 50 kb having a substantially lower detection
probability when using all SNPs currently in the HapMap database. Higher
densities of SNPs may exist in certain local chromosomal regions that provide
some opportunities for reliably detecting LOH of segment sizes smaller than 50
kb. These results suggest that the interpretation of the results from genome-wide
scans for LOH using commercial arrays need to consider the relationships among
inter-SNP distance, detection probability, and sample size for a specific study.
New experimental designs for LOH studies would also benefit from considering the
power of detection and sample sizes required to accomplish the proposed aims.
PMID- 18052546
TI - Retinal wave behavior through activity-dependent refractory periods.
AB - In the developing mammalian visual system, spontaneous retinal ganglion cell
(RGC) activity contributes to and drives several aspects of visual system
organization. This spontaneous activity takes the form of spreading patches of
synchronized bursting that slowly advance across portions of the retina. These
patches are non-repeating and tile the retina in minutes. Several transmitter
systems are known to be involved, but the basic mechanism underlying wave
production is still not well-understood. We present a model for retinal waves
that focuses on acetylcholine mediated waves but whose principles are adaptable
to other developmental stages. Its assumptions are that a) spontaneous
depolarizations of amacrine cells drive wave activity; b) amacrine cells are
locally connected, and c) cells receiving more input during their depolarization
are subsequently less responsive and have longer periods between spontaneous
depolarizations. The resulting model produces waves with non-repeating borders
and randomly distributed initiation points. The wave generation mechanism appears
to be chaotic and does not require neural noise to produce this wave behavior.
Variations in parameter settings allow the model to produce waves that are
similar in size, frequency, and velocity to those observed in several species.
Our results suggest that retinal wave behavior results from activity-dependent
refractory periods and that the average velocity of retinal waves depends on the
duration a cell is excitatory: longer periods of excitation result in slower
waves. In contrast to previous studies, we find that a single layer of cells is
sufficient for wave generation. The principles described here are very general
and may be adaptable to the description of spontaneous wave activity in other
areas of the nervous system.
PMID- 18052553
TI - A clinician's view of the data on bipolar disorder.
AB - Limited data on patients with bipolar disorder in the maintenance phase makes
implementing evidence-based treatments for maintenance therapy difficult. But,
clinicians can practice evidence-based treatment by applying the data to
individual patient's needs. The clinician provides the knowledge base, which
should then by aligned with individual patient factors that may have an effect on
treatment. Implementing this dynamic clinician-patient alliance and
individualizing treatment gives patients their best possible outcome.
PMID- 18052554
TI - The effect of stimulant treatment for ADHD on later substance abuse and the
potential for medication misuse, abuse, and diversion.
AB - Attention-deficit/hyperactivity disorder (ADHD) is known to be a strong risk
factor for substance use disorders (SUD) in adolescence and in adulthood.
Research shows that stimulant treatment does not increase the risk of SUD in
adolescents or adults with ADHD but rather that stimulant treatments may have a
protective effect. However, 2 in 10 youths with ADHD misuse their medication.
Recent evidence suggests that slow uptake of medication in the brain allows for
effective treatment without patients experiencing the euphoric qualities of
immediate-release agents that lead to abuse or diversion. As a result, extended
release products and different formulations, such as lisdexamfetamine dimesylate
(LDX), are less likely to be misused and diverted and may have lower abuse
potential.
PMID- 18052556
TI - Virtual reality exposure therapy for the treatment of posttraumatic stress
disorder following September 11, 2001.
AB - OBJECTIVE: This preliminary study endeavored to evaluate the use of virtual
reality (VR) enhanced exposure therapy for the treatment of posttraumatic stress
disorder (PTSD) consequent to the World Trade Center attacks of September 11,
2001. METHOD: Participants were assigned to a VR treatment (N = 13) or a waitlist
control (N = 8) group and were mostly middle-aged, male disaster workers. All
participants were diagnosed with PTSD according to DSM-IV-TR criteria using the
Clinician-Administered PTSD Scale (CAPS). The study was conducted between
February 2002 and August 2005 in offices located in outpatient buildings of a
hospital campus. RESULTS: Analysis of variance showed a significant interaction
of time by group (p < .01) on CAPS scores, with a between-groups posttreatment
effect size of 1.54. The VR group showed a significant decline in CAPS scores
compared with the waitlist group (p < .01). CONCLUSIONS: Our preliminary data
suggest that VR is an effective treatment tool for enhancing exposure therapy for
both civilians and disaster workers with PTSD and may be especially useful for
those patients who cannot engage in imaginal exposure therapy.
PMID- 18052557
TI - Vitamin B6 treatment for tardive dyskinesia: a randomized, double-blind, placebo
controlled, crossover study.
AB - BACKGROUND: Tardive dyskinesia (TD) is a significant clinical problem. Vitamin
B(6) is a potent antioxidant and takes part in almost all of the possible
mechanisms that are suggested as being associated with appearance of TD. The aims
of this study were (1) to reexamine the efficacy and safety of higher doses of
vitamin B(6) versus placebo in a greater sample of patients for a longer time and
(2) to evaluate the carryover effect of vitamin B(6). METHOD: A 26-week, double
blind, placebo-controlled trial was conducted in a university-based research
clinic from August 2002 to January 2005 on 50 inpatients with DSM-IV diagnoses of
schizophrenia or schizoaffective disorder and TD. In a double-blind crossover
paradigm, all study subjects were randomly assigned to start treatment with
either vitamin B(6) (daily dose of 1200 mg) or placebo. After 12 weeks of
treatment and then a 2-week washout, subjects were crossed over to receive the
other treatment for 12 weeks. The primary outcome measure was the change from
baseline in Extra-pyramidal Symptom Rating Scale (ESRS) scores. RESULTS: The mean
decrease in ESRS clinical global impression scores from baseline to endpoint was
2.4 points in patients treated with vitamin B(6) and 0.2 points in patients
treated with placebo (p < .0001). The mean decrease in the parkinsonism subscale
score was 18.5 points and 1.4 points, respectively (p < .00001), and the mean
decrease in the dyskinesia subscale score was 5.2 points and -0.8 points,
respectively (p < .0001). CONCLUSION: Vitamin B(6) appears to be effective in
reducing symptoms of TD. The specific mechanisms by which vitamin B(6) attenuates
symptoms of TD are not clear.
PMID- 18052558
TI - Diagnosing co-occurring substance-related disorders: agreement between SCID,
Hispanic clinicians, and Non-Hispanic clinicians.
AB - OBJECTIVE: Given the composition of the mental health and substance abuse
workforce in the United States, Hispanic immigrants are often assigned to non
Hispanic, English-speaking clinicians. This produces challenges in communication
and in understanding linguistic and cultural nuances and greatly impacts the
accuracy of diagnoses and the delivery of appropriate services. With the
inclusion of objective criteria in diagnostic categories, clinician-to-clinician
agreement ought not to be impacted by the ethnicity of the client or the
clinician. Both practice and research, however, suggest that this is not the
case, particularly when diagnosing co-occurring mental health and substance abuse
disorders. We explored the degree to which Hispanic and non-Hispanic clinicians
agreed with each other and with the Structured Clinical Interview for DSM-IV-TR,
Research Version (SCID) when diagnosing co-occurring substance-related disorders.
METHOD: Using a naturalistic design, 88 adult clients were videotaped in
diagnostic intake interviews (utilizing the DSM-IV-TR) with Hispanic or non
Hispanic clinicians. Videotapes were then viewed and rated by clinicians who were
ethnically cross-matched to those on tape. Clients were also administered the
SCID. Data were collected from September 15, 2003, through February 7, 2005.
RESULTS: Non-Hispanic clinicians diagnosed significantly more substance-related
disorders than Hispanic clinicians, and both Hispanic and non-Hispanic clinicians
significantly under-diagnosed substance-related diagnoses compared to the SCID.
Clinicians had very low diagnostic reliability with each other and with the SCID.
Implications for the assessment, diagnosis, and treatment of co-occurring
substance-related disorders are discussed. CONCLUSION: Findings seem to concur
with past research suggesting that clinicians may be influenced by factors other
than the diagnostic criteria (e.g., cultural and social biases) when diagnosing,
and that they may make erroneous attributions of pathology when diagnosing across
cultures.
PMID- 18052559
TI - A randomized, double-blind, placebo-controlled trial of desvenlafaxine succinate
in adult outpatients with major depressive disorder.
AB - OBJECTIVE: This study evaluated the efficacy and tolerability of desvenlafaxine
succinate (desvenlafaxine) in the treatment of major depressive disorder (MDD).
METHOD: In this 8-week, multicenter, randomized, double-blind, placebo-controlled
trial, adult outpatients (aged 18-75 years) with a primary diagnosis of MDD (DSM
IV criteria) were randomly assigned to treatment with desvenlafaxine (100-200
mg/day) or placebo. The primary outcome measure was the 17-item Hamilton Rating
Scale for Depression (HAM-D(17)) score at final on-therapy evaluation. The
Clinical Global Impressions-Improvement scale (CGI-I) was the key secondary
measure. Other secondary measures included the Montgomery-Asberg Depression
Rating Scale (MADRS), Clinical Global Impressions-Severity of Illness scale,
Visual Analog Scale-Pain Intensity (VAS-PI) overall and subcomponent scores, and
HAM-D(17) response and remission rates. The study was conducted from June 2003 to
May 2004. RESULTS: Of the 247 patients randomly assigned to treatment, 234
comprised the intent-to-treat population. Following titration, mean daily
desvenlafaxine doses ranged from 179 to 195 mg/day. At endpoint, there were no
significant differences in scores between the desvenlafaxine (N = 120) and
placebo (N = 114) groups on the HAM-D(17) or CGI-I. However, the desvenlafaxine
group had significantly greater improvement in MADRS scores (p = .047) and in VAS
PI overall pain (p = .008), back pain (p = .006), and arm, leg, or joint pain (p
< .001) scores than the placebo group. The most common treatment-emergent adverse
events (at least 10% and twice the rate of placebo) were nausea, dry mouth,
constipation, anorexia, somnolence, and nervousness. CONCLUSION: Desvenlafaxine
was generally safe and well tolerated. In this study, it did not show
significantly greater efficacy than placebo on the primary or key secondary
efficacy endpoints, but it did demonstrate efficacy on an alternate depression
scale and pain measure associated with MDD. CLINICAL TRIALS REGISTRATION:
ClinicalTrials.gov identifier NCT00063206.
PMID- 18052560
TI - A comparison of selected risk factors for unipolar depressive disorder, bipolar
affective disorder, schizoaffective disorder, and schizophrenia from a danish
population-based cohort.
AB - OBJECTIVE: Growing evidence of an etiologic overlap between schizophrenia and
bipolar disorder has become increasingly difficult to disregard. In this study,
we examined paternal age, urbanicity of place of birth, being born "small for
gestational age," and parental loss as risk factors for primarily schizophrenia
and bipolar disorder, but also unipolar depressive disorder and schizo-affective
disorder. Furthermore, we examined the incidence of the disorders in a population
based cohort and evaluated our results in the context of the Kraepelinian
dichotomization. METHOD: We established a register-based cohort study of more
than 2 million persons born in Denmark between January 1, 1955, and July 1, 1987.
Overall follow-up began on January 1, 1973 and ended on June 30, 2005. Relative
risks for schizophrenia, bipolar disorder, unipolar depressive disorder, and
schizoaffective disorder (ICD-8 or ICD-10) were estimated by survival analysis,
using Poisson regression. RESULTS: Differences were found in age-specific
incidences. Loss of a parent (especially by suicide) was a risk factor for all 4
disorders. High paternal age and urbanization at birth were risk factors for
schizophrenia. Children born pre-term had an excess risk of all disorders except
schizophrenia if they were born "small for gestational age." CONCLUSIONS: An
overlap in the risk factors examined in this study was found, and the differences
between the phenotypes were quantitative rather than qualitative, which suggests
a genetic and environmental overlap between the disorders. However, large gender
differences and differences in the age-specific incidences in the 4 disorders
were present, favoring the Kraepelinian dichotomization.
PMID- 18052561
TI - Blood glucose and schizophrenia: a systematic review of prospective randomized
clinical trials.
AB - OBJECTIVE: Most of the data evaluating the potential relationship between
diabetes, schizophrenia, and anti-psychotics currently derive from retrospective
analysis. Relevant confounders of such data include screening and selection bias.
Prospective data collected from randomized controlled trials may reduce such
biases. As no single trial has glucose comparisons as a primary endpoint, we
undertook a systematic review of available data. DATA SOURCES: Embase,
HealthStar, MEDLINE, Pre-MEDLINE, and PsycINFO databases were searched online for
relevant articles. Abstracts from major congresses held between January 2000 and
April 2006 were included. Search terms included all currently available
antipsychotics: olanzapine, risperidone, clozapine, quetiapine, ziprasidone,
aripiprazole, haloperidol, chlorpromazine, and zotepine. STUDY SELECTION:
Prospective clinical trials involving schizophrenia patients with no stated
previous glucose abnormalities randomly assigned to cohorts receiving active or
placebo comparator antipsychotic medications were included with no restrictions
on study length. 16 studies were from peer-reviewed publications, 4 were from
posters at major congresses, and 2 were available only on Internet-based sites.
DATA EXTRACTION: Glucose parameters reported included fasting and random glucose
and glycosylated hemoglobin. Data reported included mean changes and categorical
reports of abnormal levels. DATA SYNTHESIS: Data were available in 6329 patients
from 22 trials. The most common comparator agents were aripiprazole and
olanzapine in 4 studies including 1432 patients. 14 studies reported fasting and
9 studies reported nonfasting data. 15 studies were a minimum of 5 months, with 8
studies of at least 1 year's duration. No consistent significant glucose
differences were found between any comparator antipsychotics or placebo in any
trial. CONCLUSIONS: In contrast to some of the retrospective data, an analysis of
prospective data from randomized clinical trials showed no consistent significant
differences in the incidence of treatment-emergent glucose abnormalities in
patients treated with antipsychotics. The reduction in both screening and
selection biases may be relevant. Although one third of the studies had at least
1 year's duration, the data are not sufficient to reach conclusions regarding
patients receiving longer-term treatment with atypical antipsychotics.
PMID- 18052562
TI - Gabapentin reduces alcohol consumption and craving: a randomized, double-blind,
placebo-controlled trial.
AB - OBJECTIVE: This study examined the efficacy of a 28-day gabapentin treatment in
reducing alcohol consumption and craving. METHOD: A randomized, double-blind,
placebo-controlled trial was performed in a Brazilian public outpatient drug
treatment center, with 60 male alcohol-dependent subjects with a mean age of 44
years and an average of 27 years of alcohol use, who consumed 17 drinks per day
(165-170 g/day) over the past 90 days before baseline and had no other
significant medical or psychiatric condition. Subjects were recruited between
July 8, 2004, and February 24, 2005. Following screening, 60 subjects were
selected and received diazepam and vitamins as treatment for acute withdrawal for
at least 7 days. After the detoxification treatment, 30 subjects were randomly
assigned to receive gabapentin (300 mg twice daily) for 4 weeks, and 30 subjects,
with similar baseline characteristics, were randomly assigned to receive matching
placebo tablets for the same period. RESULTS: After 28 days of treatment, the
gabapentin group showed a significant reduction in both number of drinks per day
and mean percentage of heavy drinking days (p = .02 for both), and an increase in
the percentage of days of abstinence (p = .008), compared to the placebo group.
Additionally, some improvement in obsessive-compulsive symptoms was noted in both
groups after the treatment, but it resulted in a more pronounced decrease in
automaticity of drinking and aspects of craving in the gabapentin group than in
the placebo group. CONCLUSION: Gabapentin reduces alcohol consumption and
craving, which may help patients to maintain abstinence. These results, together
with the virtual absence of side effects and a favorable safety profile, support
gabapentin as a potential drug for the treatment of alcohol withdrawal and
dependence.
PMID- 18052563
TI - Antidepressant medication treatment failure does not predict lower remission with
ECT for major depressive disorder: a report from the consortium for research in
electroconvulsive therapy.
AB - OBJECTIVE: To test whether antidepressant medication treatment failure predicts
differential remission with electroconvulsive therapy (ECT) in nonpsychotic
unipolar depression. METHOD: Depressed patients diagnosed with the Structured
Clinical Interview for DSM-IV receiving ECT were assessed for medication use with
the Antidepressant Treatment History Form (ATHF) (N = 345). Response to ECT was
assessed with the 24-item Hamilton Rating Scale for Depression. Baseline
medication treatment failure was analyzed as a possible predictor of remission
status. Dates of study enrollment were from May 1997 to July 2004. RESULTS:
Resistance to antidepressant medication as assessed by the ATHF, either taken as
a whole or for any individual class of medication, was not predictive of acute
remission status with ECT. CONCLUSION: Treatment failure with anti-depressant
medication does not predict acute remission status with ECT for nonpsychotically
depressed patients.
PMID- 18052564
TI - Efficacy and safety of duloxetine 60 mg once daily in the treatment of pain in
patients with major depressive disorder and at least moderate pain of unknown
etiology: a randomized controlled trial.
AB - OBJECTIVE: Experience of pain in major depressive disorder (MDD) can complicate
diagnosis and impair treatment outcomes. This study evaluated the efficacy and
safety of duloxetine in the treatment of patients with moderate pain associated
with depression. METHOD: In this double-blind, placebo-controlled, 8-week study,
conducted from May 2005 to May 2006, outpatients 18 years of age or older,
presenting with major depressive disorder (DSM-IV criteria; Montgomery-Asberg
Depression Rating Scale [MADRS] score >or= 20), moderate pain (Brief Pain
Inventory-Short Form [BPI-SF] average pain score >or= 3), and Clinical Global
Impressions-Severity of Illness scale (CGI-S) score >or= 4 were randomly assigned
to either placebo (N = 165) or duloxetine 60 mg (N = 162) once daily. Primary
outcome was change in item 5 score (average pain in the last 24 hours) of the BPI
SF from baseline. Secondary measures were MADRS total score, other BPI-SF items,
CGI-S, CGI-Improvement scale, Patient Global Impressions-Improvement scale,
Symptom Checklist-90-Revised, response and remission rates, safety, and
tolerability. RESULTS: Duloxetine, compared with placebo, significantly reduced
pain and improved depression with significant mean changes at endpoint in both
BPI-SF average pain scores (-2.57 vs. -1.64, p < .001) and in MADRS total scores
(-16.69 vs. -11.31, p < .001). Remission of MDD and response rates in pain and
MDD were significantly (p or= 10%) in duloxetine-treated patients were nausea, hyperhidrosis, and
dry mouth. CONCLUSION: These results support duloxetine's efficacy and
tolerability in the treatment of pain and depression in patients with at least
moderate pain associated with depression. TRIAL REGISTRATION: ClinicalTrials.gov
identifier NCT00191919 (http://www.clinicaltrials.gov).
PMID- 18052565
TI - Clinical characteristics and psychiatric comorbidity of pyromania.
AB - BACKGROUND: There have been few systematic studies of individuals with pyromania,
and this paucity of research has hindered our understanding and treatment of this
disorder. This study details the demographic and phenomenological features of
individuals with DSM-IV lifetime pyromania. METHOD: Twenty-one adult and
adolescent subjects (recruited from inpatient and outpatient studies of impulse
control disorders) with lifetime DSM-IV pyromania were administered a semi
structured interview to elicit demographic data and information on the
phenomenology, age at onset, and associated features of the disorder. Data were
collected from October 2003 to September 2006. RESULTS: Twenty-one subjects (10
female [47.6%]) with lifetime pyromania (mean +/- SD age = 26.1 +/- 11.8 years;
range, 15-49 years) were studied. The mean +/- SD age at onset for pyromania was
18.1 +/- 5.8 years. Eighteen subjects (85.7%) reported urges to set fires.
Subjects reported a mean +/- SD frequency of setting 1 fire every 5.9 +/- 3.8
weeks. Much of the fire setting did not meet the legal definition of arson.
Thirteen (61.9%) had a current comorbid Axis I mood disorder, and 10 (47.6%) met
criteria for a current impulse-control disorder. CONCLUSION: Pyromania appears to
be associated with high rates of psychiatric comorbidity. Research is needed to
optimize patient care for individuals with this disorder.
PMID- 18052566
TI - Improvement in subjective sleep in major depressive disorder with a novel
antidepressant, agomelatine: randomized, double-blind comparison with
venlafaxine.
AB - OBJECTIVE: Patients with major depressive disorder (MDD) experience sleep
disturbances that may be worsened by some antidepressant drugs early in
treatment. The aim of this study was to assess the subjective quality of sleep of
patients receiving agomelatine, a new antidepressant with melatonergic MT(1) and
MT(2) receptor agonist and 5-HT(2C) antagonist properties, compared with that of
patients receiving venlafaxine, a serotonin-norepinephrine reuptake inhibitor.
METHOD: This double-blind, randomized study involved 332 patients with MDD (DSM
IV criteria), lasted 6 weeks, and compared the effects of agomelatine 25-50
mg/day and venlafaxine 75-150 mg/day, with a possible dose adjustment at 2 weeks.
Subjective sleep was assessed with the Leeds Sleep Evaluation Questionnaire
(LSEQ), and the main efficacy criterion was the "getting to sleep" score.
Antidepressant efficacy was assessed with the 17-item Hamilton Rating Scale for
Depression (HAM-D) and the Clinical Global Impressions (CGI) global improvement
scale. The study was performed between November 2002 and June 2004. RESULTS:
After 6 weeks, the antidepressant efficacy of agomelatine was similar to that of
venlafaxine. The LSEQ "getting to sleep" score was significantly better with
agomelatine (70.5 +/- 16.8 mm) than with venlafaxine (64.1 +/- 18.2 mm); the
between-treatment difference at the last visit was 6.36 mm (p = .001), and the
difference was already significant at week 1. Secondary sleep items, including
LSEQ quality of sleep (p = .021), sleep awakenings (p = .040), integrity of
behavior (p = .024), and sum of HAM-D items 4, 5, and 6 (insomnia score) (p =
.044), were also significantly improved compared to venlafaxine, as was the CGI
global improvement score (p = .016). Incidence of adverse events was 52.1% with
agomelatine and 57.1% with venlafaxine, and withdrawals due to adverse events
were more common with venlafaxine than with agomelatine (13.2% vs. 4.2%).
CONCLUSION: Agomelatine showed similar antidepressant efficacy with earlier and
greater efficacy in improving subjective sleep than venlafaxine in MDD patients.
PMID- 18052567
TI - A 12-week randomized clinical trial to evaluate metabolic changes in drug-naive,
first-episode psychosis patients treated with haloperidol, olanzapine, or
risperidone.
AB - OBJECTIVE: This study examined the main metabolic side effects induced by
antipsychotic treatment in a cohort of first-episode drug-naive subjects. METHOD:
A randomized, open-label, prospective clinical trial was conducted. Participants
were 145 consecutive subjects included in a first-episode psychosis program
(PAFIP) from February 2002 to February 2005, experiencing their first episode of
psychosis (DSM-IV codes 295, 297, and 298), and never treated with antipsychotic
medication. Patients were assigned to haloperidol, olanzapine, or risperidone
treatment during 12 weeks. The main outcome measures were changes at 12 weeks in
body weight; body mass index; and 12-hours-fasting morning levels of total
cholesterol, tri-glycerides, low-density lipoprotein (LDL) cholesterol, high
density lipoprotein cholesterol, glucose, homeostasis model assessment (HOMA)
index, and insulin. RESULTS: At the endpoint, 128 patients were evaluated
(88.3%). The mean doses were haloperidol = 4.2 mg/day, olanzapine = 12.7 mg/day,
and risperidone = 3.6 mg/day. A significant weight gain was observed with the 3
antipsychotics: haloperidol = 3.8 (SD = 4.9) kg, olanzapine = 7.5 (SD = 5.1) kg,
and risperidone = 5.6 (SD = 4.5) kg. Metabolic parameters showed a worsening
lipid profile with the 3 treatments (statistically significant increase in total
cholesterol and LDL cholesterol levels). Only the olanzapine group showed
significant increases in triglyceride levels. After the 12-week study period,
there were no significant changes in parameters involving glucose metabolism for
any group. CONCLUSIONS: Drug-naive patients experienced an extraordinary weight
gain with first- and second-generation antipsychotics after the first 12 weeks of
treatment. Significant increases in total cholesterol and LDL cholesterol levels
are associated with the 3 treatments. Weight gain and metabolic disturbances
induced by antipsychotics may increase the risk of developing cardiovascular
disease.
PMID- 18052568
TI - Suicidal behavior in obsessive-compulsive disorder.
AB - OBJECTIVE: There are limited data on suicidal behavior in obsessive-compulsive
disorder (OCD). This study examines suicidal behavior and its clinical correlates
in OCD subjects. METHOD: One hundred consecutive DSM-IV OCD subjects attending
the specialty OCD clinic and the inpatient services of a major psychiatric
hospital in India from November 1, 2003, to October 31, 2004, formed the sample
of this study. Subjects were assessed systematically by using structured
interviews and various rating scales. The Scale for Suicide Ideation-worst ever
(lifetime) and -current measured suicidal ideation. The 24-item Hamilton Rating
Scale for Depression (HAM-D) measured severity of depression, and the Beck
Hopelessness Scale (BHS) measured hopelessness. We performed assessments at study
entry. We employed binary logistic regression (Wald) forward stepwise analysis
for prediction of suicidal ideation and suicide attempt, and we used structural
equation modeling for identifying the potential factors contributing to suicidal
ideation. RESULTS: The rates of suicidal ideation, worst ever and current, were
59% and 28%, respectively. History of suicide attempt was reported in 27% of the
subjects. For past suicide attempt, worst ever suicidal ideation (p < .001) was
the only significant predictor, with an overall prediction of 89%, and accounted
for 60% of the variance. For worst ever suicidal ideation, major depression (p =
.043), HAM-D score (p = .013), BHS score (p = .011), and history of attempt (p =
.009) were significant predictors, with an overall prediction of 82% and variance
of 56%. Somewhat similar predictors emerged as significant for current suicidal
ideators, with an overall prediction of 85% and variance of 50%. In the
structural equation model, too, presence of depression and high BHS score
contributed to suicidal ideation. CONCLUSIONS: OCD is associated with a high risk
for suicidal behavior. Depression and hopelessness are the major correlates of
suicidal behavior. It is vital that patients with OCD undergo detailed assessment
for suicide risk and associated depression. Aggressive treatment of depression
may be warranted to modify the risk for suicide. Future studies should examine
suicidal behavior in a prospective design in larger samples to examine if
severity of OCD and treatment nonresponse contribute to suicide risk.
PMID- 18052569
TI - The Texas Medication Algorithm Project antipsychotic algorithm for schizophrenia:
2006 update.
AB - BACKGROUND: A panel of academic psychiatrists and pharmacists, clinicians from
the Texas public mental health system, advocates, and consumers met in June 2006
in Dallas, Tex., to review recent evidence in the pharmacologic treatment of
schizophrenia. The goal of the consensus conference was to update and revise the
Texas Medication Algorithm Project (TMAP) algorithm for schizophrenia used in the
Texas Implementation of Medication Algorithms, a statewide quality assurance
program for treatment of major psychiatric illness. METHOD: Four questions were
identified via premeeting teleconferences. (1) Should antipsychotic treatment of
first-episode schizophrenia be different from that of multiepisode schizophrenia?
(2) In which algorithm stages should first-generation antipsychotics (FGAs) be an
option? (3) How many antipsychotic trials should precede a clozapine trial? (4)
What is the status of augmentation strategies for clozapine? Subgroups reviewed
the evidence in each area and presented their findings at the conference.
RESULTS: The algorithm was updated to incorporate the following recommendations.
(1) Persons with first-episode schizophrenia typically require lower
antipsychotic doses and are more sensitive to side effects such as weight gain
and extrapyramidal symptoms (group consensus). Second-generation antipsychotics
(SGAs) are preferred for treatment of first-episode schizophrenia (majority
opinion). (2) FGAs should be included in algorithm stages after first episode
that include SGAs other than clozapine as options (group consensus). (3) The
recommended number of trials of other antipsychotics that should precede a
clozapine trial is 2, but earlier use of clozapine should be considered in the
presence of persistent problems such as suicidality, comorbid violence, and
substance abuse (group consensus). (4) Augmentation is reasonable for persons
with inadequate response to clozapine, but published results on augmenting agents
have not identified replicable positive results (group consensus). CONCLUSIONS:
These recommendations are meant to provide a framework for clinical decision
making, not to replace clinical judgment. As with any algorithm, treatment
practices will evolve beyond the recommendations of this consensus conference as
new evidence and additional medications become available.
PMID- 18052572
TI - A systematic review of rates and diagnostic validity of comorbid adult attention
deficit/hyperactivity disorder and bipolar disorder.
AB - OBJECTIVE: Adult attention-deficit/hyperactivity disorder (ADHD) is increasingly
recognized and reported to frequently coexist with bipolar disorder. Concurrent
diagnosis of adult ADHD and bipolar disorder remains controversial. In this
study, we conducted a systematic review to examine the rates and diagnostic
validity of the concept of comorbid adult ADHD and bipolar disorder. DATA
SOURCES: MEDLINE, Embase, PsycInfo, and Cochrane databases were searched for
articles published before March 30, 2007, using the keywords manic, bipolar,
attention deficit hyperactivity, and adult. The computer search was supplemented
with bibliographic cross-referencing. STUDY SELECTION: Exclusion criteria were
studies with only pediatric subjects, childhood ADHD only but not adult ADHD, and
either bipolar disorder or ADHD only, but not both; review articles, case
reports; letters to the editor; and book chapters. Of the 262 citations found, 12
studies met our inclusion criteria. DATA EXTRACTION: Specific diagnostic
validating criteria examined were phenomenology, course of illness, heredity,
biological markers, and treatment response. There were 6 studies on comorbid
rates, 4 on phenomenology, 3 on course of illness, 2 on heredity, none on
biological markers, and 1 on treatment response. DATA SYNTHESIS: The proposed
comorbid syndrome is fairly common (present in up to 47% of adult ADHD and 21% of
bipolar disorder populations), with a more severe course of illness compared with
that of bipolar disorder alone, and high rates of comorbidity with other
psychiatric disorders. Its treatment appears to require initial mood
stabilization. CONCLUSIONS: Comorbid adult ADHD and bipolar disorder has been
insufficiently studied, with more emphasis on comorbidity rates and few data on
course, neurobiology, heredity, and treatment. The diagnostic validity of adult
ADHD/ bipolar disorder as a true comorbidity is not well-established on the basis
of this equivocal and insufficient literature. More studies are greatly needed to
further clarify its diagnostic validity and treatment approach.
PMID- 18052570
TI - Maintenance treatment with risperidone or low-dose haloperidol in first-episode
schizophrenia: 1-year results of a randomized controlled trial within the German
Research Network on Schizophrenia.
AB - OBJECTIVE: Second-generation antipsychotics (SGAs) have proven superior to first
generation antipsychotics regarding relapse prevention, mainly in multiple
episode patients. Practice guidelines recommend SGAs as first-line treatment
particularly in first-episode patients, although evidence for this group is still
limited. Accordingly, the hypothesis of whether 1-year relapse rate in first
episode schizophrenia under maintenance treatment with risperidone is lower
compared to haloperidol in low dose was tested. METHOD: Between November 2000 and
May 2004, 1372 patients had been screened for eligibility in the inpatient
facilities of 13 German psychiatric university hospitals. 159 remitted patients
were enrolled after treatment of an acute first episode of schizophrenia
according to ICD-10 F20 criteria. In the randomized controlled trial, double
blind antipsychotic treatment with risperidone or haloperidol was maintained in a
targeted dose of 2 to 4 mg/day for 1 year. 151 patients were eligible for
analysis. For 127 patients, this was a continuation trial after 8 weeks of
randomized, double-blind, acute treatment with the same drugs; 24 patients were
additionally randomly assigned after open acute treatment. RESULTS: With both
antipsychotics (risperidone, N = 77; haloperidol, N = 74), no relapse evolved.
Additionally, according to 2 post hoc defined measures of "marked clinical
deterioration," significant differences occurred neither in the 2 respective
deterioration rates (risperidone = 9%/23%; haloperidol = 8%/22%) nor in time
until deterioration. Both antipsychotics were equally effective regarding
significant symptom reduction and improvement in quality of life. Extrapyramidal
symptoms were slightly higher with haloperidol. The overall dropout rate of 68%,
however, was not significantly different between the 2 drug groups. CONCLUSION:
Against the background of an overall favorable outcome, the hypothesized
difference between risperidone and low-dose haloperidol regarding relapse
prevention could not be supported for this sample of patients with first-episode
schizophrenia. Possible design-related reasons for this finding are discussed.
With regard to the high dropout rate, special programs are needed to keep
schizophrenia patients who are in their early acute and postacute illness course
in effective and safe treatment. CLINICAL TRIALS REGISTRATION: ClinicalTrials.gov
identifier: NCT00159081.
PMID- 18052573
TI - Comparison of antidepressant use between subjects with bipolar disorder and major
depressive disorder with or without comorbid anxiety.
AB - OBJECTIVE: Antidepressants are recommended for the treatment of depressive and
anxiety symptoms in patients with major depressive disorder, but caution is urged
when used for the treatment of these symptoms in bipolar disorder. It is not
known whether these differing recommendations are reflected in clinical practice,
as comparative analyses of rates of antidepressant use between bipolar disorder
and major depressive disorder subjects with or without comorbid anxiety have not
been reported. METHOD: Data source was the Canadian Community Health Survey on
Mental Health and Well-Being, a large, representative mental health survey
conducted from May to December 2002. Rates of antidepressant use were compared
for subjects with bipolar disorder according to the World Mental Health-Composite
International Diagnostic Interview or major depressive disorder according to DSM
IV criteria, with or without comorbid anxiety (DSM-IV). The independent effects
of the diagnostic group and of a comorbid anxiety disorder were determined by
controlling for sociodemographic and clinical variables using logistic
regression. RESULTS: Rate of antidepressant use was significantly higher among
all subjects with bipolar disorder (N = 756) compared with all subjects with
major depressive disorder (N = 3863) (27.2% vs. 23.1%, p = .02), but this
difference was no longer significant when other factors were controlled for in
the regression analysis. With the major depressive disorder without anxiety group
as the reference, the likelihood of antidepressant use was significantly higher
in both the bipolar disorder with anxiety group (OR = 1.83, 95% CI = 1.02 to
3.27, p = .04) and the major depressive disorder with anxiety group (OR = 1.45,
95% CI = 1.00 to 2.09, p = .05). CONCLUSION: After sociodemographic and clinical
variables were controlled for, similar rates of antidepressant use were
identified among bipolar disorder and major depressive disorder subjects. Further
efforts are needed to enhance screening for bipolar disorder among depressed
patients and to re-examine the risk/benefit analysis of antidepressants for
bipolar disorder patients in light of emerging alternatives. Significantly
increased rates of antidepressant use in subjects with a comorbid anxiety
disorder suggest that anxiety symptoms may be a key reason why physicians are
choosing to prescribe antidepressants for patients with bipolar disorder and
major depressive disorder.
PMID- 18052574
TI - Effect of olanzapine, risperidone, and haloperidol treatment on weight and body
mass index in first-episode schizophrenia patients in India: a randomized, double
blind, controlled, prospective study.
AB - OBJECTIVE: The presence of obesity and increases in body mass are important risk
factors for cardiovascular disease and diabetes. This study examined the effects
of olanzapine, risperidone, and haloperidol on weight, body mass index (BMI), and
development of obesity in a drug-naive population compared with a matched healthy
control group. METHOD: Consecutive patients during the period from June through
October 2006 with DSM-IV schizophrenia at our referral psychiatric hospital were
recruited for an extensive prospective study that included anthropometric
measures of weight, waist circumference, waist-hip ratio, and BMI. Subjects were
randomly assigned to receive haloperidol, olanzapine, or risperidone and compared
with a matched healthy control group. The prevalence of obesity, which was the
main outcome measure, was assessed on the basis of 2 criteria: revised World
Health Organization (WHO) definition for Asians and criteria of the International
Diabetes Federation (IDF). Inclusions started in June 2006, and patients were
followed for a period of 6 weeks. RESULTS: The analysis of 66 patients showed a
prevalence of overweight (WHO criteria) at 22.7% and obesity at 31.8% (IDF
criteria). The prevalence of obesity (IDF criteria) in our patients is over 30
times as high as that of the matched healthy control group (p < .001). Subjects
in the olanzapine group had the greatest weight gain at 5.1 kg, followed by
risperidone at 4.1 kg and haloperidol at 2.8 kg. CONCLUSIONS: Obesity is highly
prevalent among patients treated with atypical antipsychotics for schizophrenia.
Assessment and monitoring of obesity along with preventive and curative measures
should be part of the clinical management of patients treated with
antipsychotics. TRIAL REGISTRATION: ClinicalTrials.gov, NCT00534183,
www.clinicaltrials.gov.
PMID- 18052575
TI - The roadmap for antipsychotic psychopharmacology: an overview.
PMID- 18052576
TI - Teaching and practicing psychopharmacology.
PMID- 18052577
TI - Are you analyzing me?
PMID- 18052579
TI - Response to self-injurious behaviors in a community sample of young women.
PMID- 18052580
TI - Aripiprazole and perphenazine: no difference.
PMID- 18052582
TI - A case of aripiprazole-related tardive akathisia and its treatment with
ropinirole.
PMID- 18052583
TI - Aripiprazole treatment of patients with borderline personality disorder.
PMID- 18052588
TI - Stent-graft deployment to treat a perigraft seroma formed after descending
thoracic aortic surgery.
AB - PURPOSE: To report a case of stent-graft implantation for a perigraft seroma that
formed after descending thoracic aortic surgery. CASE REPORT: A 70-year-old woman
presented with a perigraft seroma after a descending thoracic aortic surgery 10
years ago in which a polytetrafluoroethylene graft was used. Chest radiography
and computed tomography confirmed the presence of a large perigraft seroma. The
previous graft was covered with a stent-graft to decrease graft porosity. At 1
year, postoperative examinations revealed a decrease in the size of the perigraft
seroma. CONCLUSION: Stent-graft implantation could prove useful in cases of
perigraft seromas where additional conventional surgery may pose difficulties.
PMID- 18052589
TI - Current state of dynamic imaging in endovascular aortic aneurysm repair.
AB - Dynamic imaging, in which the time dimension has a specific function in data
(image) interpretation, is becoming increasingly important when contemplating
endovascular aneurysm repair. Clinical parameters and complications, including
proper sizing, successful aneurysm sac exclusion, optimal stent-graft design,
endoleaks, graft migration, and stent fracture are beginning to be better
understood through dynamic magnetic resonance, ultrasound, and dynamic computed
tomography. The current practice using static 3-dimensional reconstructions for
the planning and follow-up of aortic aneurysm endograft treatment will most
likely evolve, and the use of dynamic aortic imaging will continue to increase.
Validation of these imaging modalities in larger scale trials is needed.
PMID- 18052590
TI - Cryoplasty therapy for limb salvage in patients with critical limb ischemia.
AB - PURPOSE: To report the 6-month outcomes from a prospective multicenter study
investigating the use of cryoplasty (cold balloon angioplasty) to treat below
knee occlusive disease in patients with critical limb ischemia (CLI). METHODS:
Between August 2004 and October 2005, 108 patients (77 men; mean age 73+/-12
years, range 41-101) with CLI involving 111 limbs were enrolled in a prospective
multicenter trial (Below-the-Knee Chill Study), which was conducted at 16
institutions. The primary study endpoints were acute technical success, defined
as the ability to achieve < or =50% residual stenosis and continuous inline flow
to the foot, and absence of major (above or below-knee) amputation of the target
limb 180 days post procedure. RESULTS: Acute technical success was achieved in
108 (97.3%) of the 111 limbs treated, with only 1 (0.9%) clinically significant
dissection (> or =type C) and 2 residual stenoses >50%. During the 180-day follow
up, 15 (13.9%) of the initial 108 patients either withdrew or were lost to follow
up. Five (4.6%) deaths occurred, leaving 88 (81.5%) patients with 91 (82.0%)
treated limbs available for 180-day assessment. The rate of freedom from major
amputation at 180 days was 93.4%. Amputation-free survival was 89.3% at 180 days
(5 deaths, 6 major amputations). Stratifying data by diabetics (n=71) versus non
diabetics (n=34), the 180-day death and amputation rates were 4.9% and 10.0%,
respectively, for diabetics versus 6.7% and 0.0%, respectively, for non
diabetics. CONCLUSION: Cryoplasty therapy is a safe and effective method of
treating infrapopliteal disease, providing excellent acute outcomes and a high
rate of limb salvage in patients with CLI. Study outcomes support the use of
cryoplasty therapy as a primary treatment option for patients with CLI secondary
to below- knee disease.
PMID- 18052591
TI - Endovascular repair of ascending aortic pseudoaneurysm: technical considerations
of a common carotid artery approach using the Zenith aortic cuff endograft.
AB - PURPOSE: To present a technique for endovascular treatment using Zenith aortic
cuff extenders delivered via a left common carotid artery (CCA) approach in a
patient with a large symptomatic ascending aortic pseudoaneurysm. CASE REPORT: A
78-year-old man with recent stroke developed worsening exertional dyspnea and
chest pain 4 years following coronary artery bypass grafting. Imaging
demonstrated a bovine arch and an 8-x12-cm ascending aortic pseudoaneurysm that
was compressing the pulmonary arteries. The treatment strategy was to deliver a
Zenith aortic cuff to seal the ascending aortic pseudoaneurysm via a left CCA
approach. With the patient under general anesthesia, the left CCA was exposed and
a transverse arteriotomy was made to introduce the Zenith aortic cuff sheath; the
distal CCA was clamped to prevent catheter-related embolization. With its
nosecone removed, a 32-x36-mm Zenith aortic cuff was delivered to the ascending
aorta via the left CCA and positioned under transient cardiac arrest initiated
with intravenous adenosine. A total of 3 Zenith aortic cuffs were placed in the
ascending aorta to successfully exclude the pseudoaneurysm. The patient tolerated
the procedure well; follow-up imaging showed successful pseudoaneurysm exclusion
without endoleak. CONCLUSION: Ascending aortic pseudoaneurysm is a formidable
clinical challenge due in part to the significant operative stress in a
conventional surgical repair, as well as limited endovascular treatment options.
Because there are no approved endovascular devices for ascending aortic aneurysm
repair, clinicians may have to rely on endograft components designed for
abdominal aortic aneurysms to treat lesions in the ascending aorta.
PMID- 18052592
TI - Endovascular repair in the ascending aorta: stretching the limits of current
technology.
PMID- 18052593
TI - Emergency abdominal aortic aneurysm repair with a preferential endovascular
strategy: mortality and cost-effectiveness analysis.
AB - PURPOSE: To assess mortality and treatment costs of a new management protocol
with preferential use of emergency endovascular aneurysm repair (eEVAR) for acute
abdominal aortic aneurysm (AAA). METHODS: From September 2003 until February
2005, 49 consecutive patients (45 men; mean age 71 years) with acute AAA were
entered into a prospective study of a new management protocol that featured
preferential use of eEVAR (n=18); patients with unsuitable anatomy or who were
hemodynamically unstable underwent open repair (n=31). Mortality data and costs
of treatment were compared in this mixed prospective group to a historical
control group consisting of 147 patients (128 men; mean age 71 years) who
underwent open repair from January 1998 to December 2001. All direct medical
costs were included from the moment of admission until discharge from the
hospital. RESULTS: Mortality in the mixed prospective group (18%) was lower than
in the historical control group (31%), but the difference did not reach
statistical significance (p=0.099). The mean total cost in the mixed prospective
group was 17,164 euro compared to 21,084 euro in the historical open repair group
(p=0.255). CONCLUSION: A preferential eEVAR protocol for acute AAA can decrease
mortality and does not increase overall costs during initial treatment, but
larger studies are needed to determine if these trends are statistically
significant.
PMID- 18052594
TI - Subintimal angioplasty of an aortoiliac occlusion: re-entry site created using a
transseptal needle under intravascular ultrasound guidance.
AB - PURPOSE: To report the use of a transseptal needle to cross the intimal flap in
subintimal angioplasty of a flush aortoiliac occlusion via a retrograde approach.
CASE REPORT: A 53-year-old man with claudication of the right lower limb and an
angiographically documented right aortoiliac occlusion was treated with
subintimal angioplasty via an ipsilateral retrograde approach. After puncture of
the right common femoral artery, a 0.035-inch hydrophilic guidewire was advanced
via the subintimal space toward the aortic true lumen, but the wire could not re
enter the true lumen. A transseptal needle was used to puncture the intimal flap
under intravascular ultrasound (IVUS) guidance. Angioplasty/stenting was
performed successfully, and the patient's symptoms were relieved. Computed
tomography at 15 months revealed patent stents. CONCLUSION: The use of a
transseptal needle to cross the intimal flap in total aortoiliac occlusions is
technically feasible under IVUS guidance and enables successful angioplasty.
PMID- 18052595
TI - Hydrogel-mediated release of basic fibroblast growth factor from a stent-graft
accelerates biological fixation with the aortic wall in a porcine model.
AB - PURPOSE: To evaluate the local reaction of the aortic wall induced by basic
fibroblast growth factor (bFGF) released from a gelatin hydrogel coated on the
outer surface of a stent-graft for the purpose of biological fixation. METHODS: A
total of 18 nitinol-based, polyester-covered stent-grafts were implanted in 6
porcine aortas for 1 month. The implanted stent-grafts were divided into 3
groups: the control group (uncoated), the hydrogel group (coated with hydrogel
containing water), and the bFGF group (coated with hydrogel containing bFGF).
After stent-graft implantation, the results of intravascular ultrasound (IVUS)
and qualitative and quantitative microscopic examinations were compared among the
groups. RESULTS: In the bFGF group, a thin white lamellar tissue was observed on
IVUS images. Significantly more new intimal tissue formation was observed in all
the bFGF group animals than in the other 2 groups, and alpha smooth muscle (SM)
actin-positive cells (alphaSMCs) were detected in this new tissue. The alphaSMCs
within the fabric of tightly woven grafts were significantly more abundant in the
bFGF group than in the other groups. CONCLUSION: The local controlled release of
bFGF from the stent-graft significantly accelerated the proliferation of new
intimal tissue between the aorta and the stent-graft and within the graft
materials. These findings suggest that a graft can be fixed biologically to the
aortic wall, which may contribute to the shrinkage of aneurysms following stent
grafting.
PMID- 18052596
TI - A prospective clinical, economic, and quality-of-life analysis comparing
endovascular aneurysm repair (EVAR), open repair, and best medical treatment in
high-risk patients with abdominal aortic aneurysms suitable for EVAR: the Irish
patient trial.
AB - PURPOSE: To report the results of a trial comparing endovascular aneurysm repair
(EVAR) to open repair (OR) and best medical therapy (BMT) involving high-risk
patients with abdominal aortic aneurysms (AAA) suitable for EVAR. METHODS: From
2002 to 2007, 1083 patients with aortic aneurysm disease were referred to a
tertiary care university hospital. Of these, 162 (119 men; mean age 76 years)
were high-risk patients with AAAs anatomically suitable for EVAR. Following
comprehensive consultation with the patient, the family, and the primary
physician, elective treatment was selected: OR (n=52), EVAR (n=66), or BMT
(n=44). Outcomes were compared using Kaplan-Meier survival analyses and a
parameter that measured the quality time spent without symptoms of disease or
toxicity of treatment (Q-TWIST). RESULTS: Four-year freedom from aneurysm-related
death following EVAR (96.7%) was markedly better compared to BMT (66.8%; p=0.002)
and was similar to OR (93.9%, p=0.483). Four-year freedom from major adverse
clinical events was significantly better with EVAR (78%) compared with BMT (28%;
p=0.001) and was equivalent to OR (75%; p=0.519). The 4-year intervention-free
survival rate for EVAR (95%) almost matched OR (98%; p=0.410). At 4 years, Q
TWIST was 3.64 years for EVAR patients, 3.60 years for OR, and 2.22 years for
BMT. Q-TWIST significantly improved with EVAR compared to OR (p<0.003). In the
cost analysis, 52 high-risk patients were treated with OR over the 5-year period
(2002-2007) at a total inpatient cost of 1,257,457 euro. The 66 patients treated
with EVAR (14 patients more than OR) incurred a lower cost of 1,129,138 euro.
Including the cost of follow-up over 4 years, the mean cost per patient was
18,476 euro for EVAR and 24,252 euro for OR, a savings of 5,776 euro per patient
treated with EVAR. CONCLUSION: In high-risk patients, EVAR reduces aneurysm
related death compared to BMT. Equated to the gold standard of open repair, EVAR,
as a "one-time procedure," substantially reduces operative morbidity, hospital
stay, costs, and utilization of intensive care facilities if performed in a high
volume center.
PMID- 18052597
TI - Aortitis following percutaneous aortic side branch embolization prior to
endovascular repair of infrarenal aortic aneurysm.
AB - PURPOSE: To report two cases of life-threatening aortic infection after
percutaneous endovascular coil embolization prior to endovascular abdominal
aortic aneurysm (AAA) repair (EVAR). CASE REPORT: Two 76-year-old patients were
readmitted 5 days and 3 weeks, respectively, after technically successful
percutaneous coil embolization of aortic side branches in advance of scheduled
EVAR. In the first patient, the right hypogastric artery, the inferior mesenteric
artery (IMA), and a lumbar artery had been embolized, whereas in the second
patient only the right hypogastric artery and the IMA had been occluded. On
admission, both patients presented with severe abdominal pain. Investigations
revealed acute aortic infection in both patients, combined with substantial AAA
enlargement in one. Open surgical infrarenal aortic replacement was performed
using homografts, and antibiotic therapy was initiated. After uneventful
recovery, both patients were asymptomatic, had intact aortic homografts, and
showed no evidence of infection after 12 and 18 months of follow-up,
respectively. CONCLUSION: Endovascular infections are a potentially serious
complication following percutaneous coil embolization of major aortic branches.
Early diagnosis and dedicated therapy are mandatory. Immediate resection of the
infected aorta and replacement with homografts in association with prolonged
antibiotic treatment showed good midterm results.
PMID- 18052598
TI - Infections after endovascular coil embolization.
PMID- 18052599
TI - Chimney grafts and bare stents: aortic branch preservation revisited.
PMID- 18052600
TI - Cytomegalovirus retinitis: the neglected disease of the AIDS pandemic.
PMID- 18052601
TI - N348I in the connection domain of HIV-1 reverse transcriptase confers zidovudine
and nevirapine resistance.
AB - BACKGROUND: The catalytically active 66-kDa subunit of the human immunodeficiency
virus type 1 (HIV-1) reverse transcriptase (RT) consists of DNA polymerase,
connection, and ribonuclease H (RNase H) domains. Almost all known RT inhibitor
resistance mutations identified to date map to the polymerase domain of the
enzyme. However, the connection and RNase H domains are not routinely analysed in
clinical samples and none of the genotyping assays available for patient
management sequence the entire RT coding region. The British Columbia Centre for
Excellence in HIV/AIDS (the Centre) genotypes clinical isolates up to codon 400
in RT, and our retrospective statistical analyses of the Centre's database have
identified an N348I mutation in the RT connection domain in treatment-experienced
individuals. The objective of this multidisciplinary study was to establish the
in vivo relevance of this mutation and its role in drug resistance. METHODS AND
FINDINGS: The prevalence of N348I in clinical isolates, the time taken for it to
emerge under selective drug pressure, and its association with changes in viral
load, specific drug treatment, and known drug resistance mutations was analysed
from genotypes, viral loads, and treatment histories from the Centre's database.
N348I increased in prevalence from below 1% in 368 treatment-naive individuals to
12.1% in 1,009 treatment-experienced patients (p = 7.7 x 10(-12)). N348I appeared
early in therapy and was highly associated with thymidine analogue mutations
(TAMs) M41L and T215Y/F (p < 0.001), the lamivudine resistance mutations M184V/I
(p < 0.001), and non-nucleoside RTI (NNRTI) resistance mutations K103N and
Y181C/I (p < 0.001). The association with TAMs and NNRTI resistance mutations was
consistent with the selection of N348I in patients treated with regimens that
included both zidovudine and nevirapine (odds ratio 2.62, 95% confidence interval
1.43-4.81). The appearance of N348I was associated with a significant increase in
viral load (p < 0.001), which was as large as the viral load increases observed
for any of the TAMs. However, this analysis did not account for the simultaneous
selection of other RT or protease inhibitor resistance mutations on viral load.
To delineate the role of this mutation in RT inhibitor resistance, N348I was
introduced into HIV-1 molecular clones containing different genetic backbones.
N348I decreased zidovudine susceptibility 2- to 4-fold in the context of wild
type HIV-1 or when combined with TAMs. N348I also decreased susceptibility to
nevirapine (7.4-fold) and efavirenz (2.5-fold) and significantly potentiated
resistance to these drugs when combined with K103N. Biochemical analyses of
recombinant RT containing N348I provide supporting evidence for the role of this
mutation in zidovudine and NNRTI resistance and give some insight into the
molecular mechanism of resistance. CONCLUSIONS: This study provides the first in
vivo evidence that treatment with RT inhibitors can select a mutation (i.e.,
N348I) outside the polymerase domain of the HIV-1 RT that confers dual-class
resistance. Its emergence, which can happen early during therapy, may
significantly impact on a patient's response to antiretroviral therapies
containing zidovudine and nevirapine. This study also provides compelling
evidence for investigating the role of other mutations in the connection and
RNase H domains in virological failure.
PMID- 18052602
TI - Elevated risk for HIV infection among men who have sex with men in low- and
middle-income countries 2000-2006: a systematic review.
AB - BACKGROUND: Recent reports of high HIV infection rates among men who have sex
with men (MSM) from Asia, Africa, Latin America, and the former Soviet Union
(FSU) suggest high levels of HIV transmission among MSM in low- and middle-income
countries. To investigate the global epidemic of HIV among MSM and the
relationship of MSM outbreaks to general populations, we conducted a
comprehensive review of HIV studies among MSM in low- and middle-income countries
and performed a meta-analysis of reported MSM and reproductive-age adult HIV
prevalence data. METHODS AND FINDINGS: A comprehensive review of the literature
was conducted using systematic methodology. Data regarding HIV prevalence and
total sample size was sequestered from each of the studies that met inclusion
criteria and aggregate values for each country were calculated. Pooled odds ratio
(OR) estimates were stratified by factors including HIV prevalence of the
country, Joint United Nations Programme on HIV/AIDS (UNAIDS)-classified level of
HIV epidemic, geographic region, and whether or not injection drug users (IDUs)
played a significant role in given epidemic. Pooled ORs were stratified by
prevalence level; very low-prevalence countries had an overall MSM OR of 58.4
(95% CI 56.3-60.6); low-prevalence countries, 14.4 (95% CI 13.8-14.9); and medium
to high-prevalence countries, 9.6 (95% CI 9.0-10.2). Significant differences in
ORs for HIV infection among MSM in were seen when comparing low- and middle
income countries; low-income countries had an OR of 7.8 (95% CI 7.2-8.4), whereas
middle-income countries had an OR of 23.4 (95% CI 22.8-24.0). Stratifying the
pooled ORs by whether the country had a substantial component of IDU spread
resulted in an OR of 12.8 (95% CI 12.3-13.4) in countries where IDU transmission
was prevalent, and 24.4 (95% CI 23.7-25.2) where it was not. By region, the OR
for MSM in the Americas was 33.3 (95% CI 32.3-34.2); 18.7 (95% CI 17.7-19.7) for
Asia; 3.8 (95% CI 3.3-4.3) for Africa; and 1.3 (95% CI 1.1-1.6) for the low- and
middle-income countries of Europe. CONCLUSIONS: MSM have a markedly greater risk
of being infected with HIV compared with general population samples from low- and
middle-income countries in the Americas, Asia, and Africa. ORs for HIV infection
in MSM are elevated across prevalence levels by country and decrease as general
population prevalence increases, but remain 9-fold higher in medium-high
prevalence settings. MSM from low- and middle-income countries are in urgent need
of prevention and care, and appear to be both understudied and underserved.
PMID- 18052603
TI - When do HIV-infected women disclose their HIV status to their male partner and
why? A study in a PMTCT programme, Abidjan.
AB - BACKGROUND: In Africa, women tested for HIV during antenatal care are counselled
to share with their partner their HIV test result and to encourage partners to
undertake HIV testing. We investigate, among women tested for HIV within a
prevention of mother-to-child transmission of HIV (PMTCT) programme, the key
moments for disclosure of their own HIV status to their partner and the impact on
partner HIV testing. METHODS AND FINDINGS: Within the Ditrame Plus PMTCT project
in Abidjan, 546 HIV-positive and 393 HIV-negative women were tested during
pregnancy and followed-up for two years after delivery. Circumstances, frequency,
and determinants of disclosure to the male partner were estimated according to
HIV status. The determinants of partner HIV testing were identified according to
women's HIV status. During the two-year follow-up, disclosure to the partner was
reported by 96.7% of the HIV-negative women, compared to 46.2% of HIV-positive
women (chi(2) = 265.2, degrees of freedom [df] = 1, p < 0.001). Among HIV
infected women, privileged circumstances for disclosure were just before
delivery, during early weaning (at 4 mo to prevent HIV postnatal transmission),
or upon resumption of sexual activity. Formula feeding by HIV-infected women
increased the probability of disclosure (adjusted odds ratio 1.54, 95% confidence
interval 1.04-2.27, Wald test = 4.649, df = 1, p = 0.031), whereas household
factors such as having a co-spouse or living with family reduced the probability
of disclosure. The proportion of male partners tested for HIV was 23.1% among HIV
positive women and 14.8% among HIV-negative women (chi(2) = 10.04, df = 1, p =
0.002). Partners of HIV-positive women who were informed of their wife's HIV
status were more likely to undertake HIV testing than those not informed (37.7%
versus 10.5%, chi(2) = 56.36, df = 1, p < 0.001). CONCLUSIONS: In PMTCT
programmes, specific psychosocial counselling and support should be provided to
women during the key moments of disclosure of HIV status to their partners (end
of pregnancy, weaning, and resumption of sexual activity). This support could
contribute to improving women's adherence to the advice given to prevent
postnatal and sexual HIV transmission.
PMID- 18052604
TI - Assessment of recent HIV-1 infection by a line immunoassay for HIV-1/2
confirmation.
AB - BACKGROUND: Knowledge of the number of recent HIV infections is important for
epidemiologic surveillance. Over the past decade approaches have been developed
to estimate this number by testing HIV-seropositive specimens with assays that
discriminate the lower concentration and avidity of HIV antibodies in early
infection. We have investigated whether this "recency" information can also be
gained from an HIV confirmatory assay. METHODS AND FINDINGS: The ability of a
line immunoassay (INNO-LIA HIV I/II Score, Innogenetics) to distinguish recent
from older HIV-1 infection was evaluated in comparison with the Calypte HIV-1 BED
Incidence enzyme immunoassay (BED-EIA). Both tests were conducted prospectively
in all HIV infections newly diagnosed in Switzerland from July 2005 to June 2006.
Clinical and laboratory information indicative of recent or older infection was
obtained from physicians at the time of HIV diagnosis and used as the reference
standard. BED-EIA and various recency algorithms utilizing the antibody reaction
to INNO-LIA's five HIV-1 antigen bands were evaluated by logistic regression
analysis. A total of 765 HIV-1 infections, 748 (97.8%) with complete test
results, were newly diagnosed during the study. A negative or indeterminate HIV
antibody assay at diagnosis, symptoms of primary HIV infection, or a negative HIV
test during the past 12 mo classified 195 infections (26.1%) as recent (< or = 12
mo). Symptoms of CDC stages B or C classified 161 infections as older (21.5%),
and 392 patients with no symptoms remained unclassified. BED-EIA ruled 65% of the
195 recent infections as recent and 80% of the 161 older infections as older. Two
INNO-LIA algorithms showed 50% and 40% sensitivity combined with 95% and 99%
specificity, respectively. Estimation of recent infection in the entire study
population, based on actual results of the three tests and adjusted for a test's
sensitivity and specificity, yielded 37% for BED-EIA compared to 35% and 33% for
the two INNO-LIA algorithms. Window-based estimation with BED-EIA yielded 41%
(95% confidence interval 36%-46%). CONCLUSIONS: Recency information can be
extracted from INNO-LIA-based confirmatory testing at no additional costs. This
method should improve epidemiologic surveillance in countries that routinely use
INNO-LIA for HIV confirmation.
PMID- 18052605
TI - Should we include connection domain mutations of HIV-1 reverse transcriptase in
HIV resistance testing.
PMID- 18052606
TI - HIV treatment proceeds as prevention research confounds.
PMID- 18052607
TI - Antibody-based HIV-1 vaccines: recent developments and future directions.
PMID- 18052608
TI - Filling in the gaps: artistic license in education and outreach.
PMID- 18052609
TI - Incomplete and inaccurate vocal imitation after knockdown of FoxP2 in songbird
basal ganglia nucleus Area X.
AB - The gene encoding the forkhead box transcription factor, FOXP2, is essential for
developing the full articulatory power of human language. Mutations of FOXP2
cause developmental verbal dyspraxia (DVD), a speech and language disorder that
compromises the fluent production of words and the correct use and comprehension
of grammar. FOXP2 patients have structural and functional abnormalities in the
striatum of the basal ganglia, which also express high levels of FOXP2. Since
human speech and learned vocalizations in songbirds bear behavioral and neural
parallels, songbirds provide a genuine model for investigating the basic
principles of speech and its pathologies. In zebra finch Area X, a basal ganglia
structure necessary for song learning, FoxP2 expression increases during the time
when song learning occurs. Here, we used lentivirus-mediated RNA interference
(RNAi) to reduce FoxP2 levels in Area X during song development. Knockdown of
FoxP2 resulted in an incomplete and inaccurate imitation of tutor song.
Inaccurate vocal imitation was already evident early during song ontogeny and
persisted into adulthood. The acoustic structure and the duration of adult song
syllables were abnormally variable, similar to word production in children with
DVD. Our findings provide the first example of a functional gene analysis in
songbirds and suggest that normal auditory-guided vocal motor learning requires
FoxP2.
PMID- 18052610
TI - The signal sequence coding region promotes nuclear export of mRNA.
AB - In eukaryotic cells, most mRNAs are exported from the nucleus by the
transcription export (TREX) complex, which is loaded onto mRNAs after their
splicing and capping. We have studied in mammalian cells the nuclear export of
mRNAs that code for secretory proteins, which are targeted to the endoplasmic
reticulum membrane by hydrophobic signal sequences. The mRNAs were injected into
the nucleus or synthesized from injected or transfected DNA, and their export was
followed by fluorescent in situ hybridization. We made the surprising observation
that the signal sequence coding region (SSCR) can serve as a nuclear export
signal of an mRNA that lacks an intron or functional cap. Even the export of an
intron-containing natural mRNA was enhanced by its SSCR. Like conventional
export, the SSCR-dependent pathway required the factor TAP, but depletion of the
TREX components had only moderate effects. The SSCR export signal appears to be
characterized in vertebrates by a low content of adenines, as demonstrated by
genome-wide sequence analysis and by the inhibitory effect of silent adenine
mutations in SSCRs. The discovery of an SSCR-mediated pathway explains the
previously noted amino acid bias in signal sequences and suggests a link between
nuclear export and membrane targeting of mRNAs.
PMID- 18052612
TI - [How long is the anti-retroviral therapy?].
PMID- 18052611
TI - The inhibition of polo kinase by matrimony maintains G2 arrest in the meiotic
cell cycle.
AB - Many meiotic systems in female animals include a lengthy arrest in G2 that
separates the end of pachytene from nuclear envelope breakdown (NEB). However,
the mechanisms by which a meiotic cell can arrest for long periods of time
(decades in human females) have remained a mystery. The Drosophila Matrimony
(Mtrm) protein is expressed from the end of pachytene until the completion of
meiosis I. Loss-of-function mtrm mutants result in precocious NEB.
Coimmunoprecipitation experiments reveal that Mtrm physically interacts with Polo
kinase (Polo) in vivo, and multidimensional protein identification technology
mass spectrometry analysis reveals that Mtrm binds to Polo with an approximate
stoichiometry of 1:1. Mutation of a Polo-Box Domain (PBD) binding site in Mtrm
ablates the function of Mtrm and the physical interaction of Mtrm with Polo. The
meiotic defects observed in mtrm/+ heterozygotes are fully suppressed by reducing
the dose of polo+, demonstrating that Mtrm acts as an inhibitor of Polo. Mtrm
acts as a negative regulator of Polo during the later stages of G2 arrest.
Indeed, both the repression of Polo expression until stage 11 and the
inactivation of newly synthesized Polo by Mtrm until stage 13 play critical roles
in maintaining and properly terminating G2 arrest. Our data suggest a model in
which the eventual activation of Cdc25 by an excess of Polo at stage 13 triggers
NEB and entry into prometaphase.
PMID- 18052613
TI - [Analysis of the causes and predictive factors for discontinuing treatment with
tenofovir in pretreated HIV patients].
AB - OBJECTIVE: To determine the frequency and causes for discontinuing treatment with
tenofovir and analyse possible predictive factors for changing this therapy in
pretreated HIV patients. METHOD: A multi-centre, observational and retrospective
study of all HIV patients undergoing treatment with tenofovir between July 2002
and December 2005. Data were obtained from databases for outpatients attending
the three pharmacy departments participating in the study, and by reviewing
clinical histories. The main sociodemographic, clinical and analytical variables
at the start of treatment with tenofovir were collected. The causes for
discontinuing treatment were classified as follows: adverse effects, virological
failure, death and "other causes". A survival analysis was performed using the
Kaplan-Meier method to analyse the possible predictive factors for discontinuing
treatment. RESULTS: A total of 733 patients were included in the study and the
median treatment period was 34.7 months. A total of 23.8% of patients
discontinued treatment for the following reasons: adverse effects (43.2%), death
(17.7%), virological failure (14.8%) and "other causes" (24.4%). There were 99
cases of lost to follow-up. In the survival analysis an association was found
between normal serum creatinine values (p = 0.0042) at the start of treatment and
the statistically significant probability of discontinuing treatment.
CONCLUSIONS: Almost a quarter of the patients discontinued treatment with
tenofovir during the study. The main cause for this was adverse effects. No
association was found between any abnormal basal analytical parameter and a
greater probability of discontinuing treatment.
PMID- 18052614
TI - [Interruption to treatment with combined lopinavir/ritonavir therapy in HIV
positive patients].
AB - OBJECTIVE: To describe the extent of interruptions to treatment with therapies
which include the combination of lopinavir-ritonavir within the SNS (Spanish
National Health System), as well as identify the causes of these interruptions
and analyse the factors associated with the same. METHOD: Retrospective cohort of
197 seropositive patients, who began treatment with lopinavir-ritonavir between
January 2000 and October 2002. The patients attended the outpatient
pharmaceutical care unit and were followed-up until December 2002. Interruptions
and their causes were identified and the factors associated with the interruption
were analysed using proportional hazard models. RESULTS: The mean follow-up
period was 263 days and 38.6% of patients interrupted therapy. The most common
causes of interruption were intolerance (30.3%), non-compliance (21.1%) and
therapy failure (5.26%). The mean treatment period was 487 days (95% CI: 432 to
542) and 50% of patients who interrupted treatment did so within the first 4
months. No associations were found between patient or treatment characteristics
and the risk of interruption. CONCLUSIONS: The percentage of interruptions was
lower than those in other observational studies, but greater than those in
clinical trials. The significance of the causes of interruption was similar and
no factor associated with the risk of interruption was found.
PMID- 18052615
TI - [Implementation of the guidelines for the introduction of new drugs (GINF) in
Andalusian hospitals].
AB - OBJECTIVE: To measure the level of implementation of the GINF (guidelines for the
introduction of new drugs) in Andalusian hospitals, describe the characteristics
of this implementation and analyse if any of the hospital s dependent variables
could influence these characteristics. METHOD: A telephone survey was carried out
in the hospitals included in the Department of Health list. The survey consisted
of 11 closed questions on different variables in the hospital and the GINF use
profile, and an open question about the improvements carried out and proposals
for improvement. The results were analysed according to the type of hospital
(category, training, geographical location) in order to detect possible
differences. RESULTS: A target population of 31 hospitals was identified. The
survey was carried out in 29 of these; the level of implementation was 96.5% in
the responding hospitals. 23 hospitals used the GINF for 100% of drugs, 6 had
carried out local modifications and 80% made proposals for improvement.
Significant differences were found in the implementation of the GINF according to
resident/intern pharmacist training (p = 0.049), the geographical location (p =
0.004) and the hospital category (p < 0.001). CONCLUSIONS: The GINF have been
implemented in almost all public Andalusian hospitals as the guidelines for
requesting new drugs. Very few local modifications have been carried out to the
guidelines, although numerous proposals for improvement have been made.
Differences in use have been identified (No. of drugs, different versions)
according to the hospital characteristics (location, training and complexity
classification). They are considered a useful tool and influence the drug
selection process, in particular in training hospitals with a higher
classification.
PMID- 18052616
TI - [Retrospective analysis of the carboplatin dosage and relationship with toxicity
in cancer patients].
AB - OBJECTIVE: To analyse carboplatin dosage in cancer patients in order to establish
whether they are over- or underdosed in comparison to the theoretical dose
calculations during the first cycle of chemotherapy and to find a relationship
between the dosage in the first cycle and dose reduction in subsequent cycles, as
a result of adverse effects related to the same. METHOD: Retrospective analysis
over a one year period of prescriptions of chemotherapy with carboplatin.
Patients were stratified into 4 groups according to body mass index and serum
creatinine values. The mean percent error (MPE) was used to determine the
relationship between the dose received and the theoretical dose calculation
during the first cycle. The Mann-Whitney U test was used to study the possible
relationship between patients dosage during the first cycle and dose reduction in
subsequent cycles. RESULTS: A total of 86 patients were selected. Only the cohort
of patients who were overweight/obese showed significant differences between the
theoretical dose calculation and the dose actually received. The mean MPE value
with the standard error for this group was 7.963 +- 2.610%. No links were found
with the dose reduction in subsequent cycles for this cohort of patients.
CONCLUSIONS: Not using adjusted weight or serum creatinine values in the
Cockcroft-Gault equation may lead to incorrect doses of carboplatin in obese
patients. Studies including a larger number of patients are required to confirm
the relationship between overdosing during the first cycle and dose reduction in
subsequent cycles, as a result of carboplatin toxicity.
PMID- 18052617
TI - [Prescription errors after the implementation of an electronic prescribing
system].
AB - OBJECTIVE: This study sets out to identify, compare and evaluate the medication
errors of a manual prescribing system and an electronic prescribing system during
the prescription and transcription phases. METHOD: A prospective study of two
clinical in-patient units (pneumology and infectious diseases) in one general
hospital. Two phases were studied; before and after an electronic prescribing
system was implemented. Each phase lasted one month. A comparative analysis was
carried out of the medication errors in the medical prescription process, the
transcription process and the administration recording process carried out by
nursing staff as well as the pharmacist s transcriptions/validations. RESULTS: A
total of 3,908 patient treatment errors and 129 patient identification errors
were detected during both of the periods studied. The rate of errors in patient
identification or treatment orders using the manual prescribing system was 14.4
against 1.3% after the electronic system was implemented. The relative risk
reduction for infectious diseases and pneumology was 100 and 85.44%, respectively
(statistically significant). In general, relative risk reduction was achieved in
both units, oscillating between 78.91 and 100%. The absolute risk reduction
oscillated between 5.09 and 30.45% for errors in drug data, doses, frequency/time
and route of administration. These results were statistically significant.
CONCLUSIONS: The electronic prescribing system has reduced errors in the
identification, prescription and transcription of pharmacological treatment and
has consequently helped to improve the quality and safety of drug treatment
received by patients.
PMID- 18052618
TI - [Quality assessment of two pharmaceutical care models for onco-haematological
patients].
AB - OBJECTIVE: To compare the quality of two pharmaceutical care models (with and
without pharmacist participation in the clinical team), in hospitalised onco
haematological patients. METHOD: A prospective cohort study in the oncology and
haematology departments of a university hospital over a 26-month period. A
centralised model (model C) was used over 16 months and a decentralised
integration model (model D) was used during the remaining 10 months. The Iaser
(c) methodology was used to identify candidates for improved drug treatment and
for the follow up of patients with drug-related problems (DRP). The results
obtained were compared using a series of pharmaceutical care quality indicators.
RESULTS: The rate of patients identified with DRP increased significantly (RR =
2.3; CI 95%: 1.8-2.8), as did the frequency of DRP (RR = 3.4; CI 95%: 2.8-4.0),
in particular the frequency of preventable DRP (RR = 3.8; CI 95%: 2.5-4.2). The
identification of the type of DRP relating to indication significantly increased
(RR = 4.5; CI 95%: 3.4-5.8), followed by DRP relating to efficacy and safety. The
acceptance of pharmaceutical care interventions did not improve proportionally
(RR = 1.0; CI 95%: 0.9-1.1), although there was a significant increase in
practices with clinical importance (RR = 4.1; CI 95%: 3.3-5.0), that showed an
objective or subjective decrease in the risk of drug-related morbidity in
patients (RR = 4.1; CI 95%: 3.1-5.4). CONCLUSIONS: Pharmacist participation in
the interprofessional team improved the quality of pharmaceutical care, in
particular with respect to the increased identification of possibilities to
improve drug treatment and clinically significant pharmaceutical practices. As a
result, the risk of drug-related morbidity can be reduced in patients.
PMID- 18052620
TI - Validation of high-performance liquid chromatography methods for determination of
zidovudine, stavudine, lamivudine and indinavir in human plasma.
AB - OBJECTIVE: Simple methods for the determination of zidovudine (AZT), stavudine
(d4T), lamivudine (3TC) and indinavir (INV) in human plasma by reversed-phase
liquid chromatography (HPLC) with UV detection were described and validated.
METHOD: Solid-liquid extraction procedures were applied to the samples prior to
analysis. Chromatography was performed on a C-18 analytical columns and the
retention time ranged from 6.8 to 8.0 min for stavudine, 7.5 to 9.0 min for
lamivudine, 11.2 to 11.9 min for zidovudine and indinavir. Four methods were
validated for specificity, inter-and intra-assay precision and accuracy, absolute
recovery and stability. RESULTS: Analytical curve ranged from 10-1600 ng/ml for
stavudine, 50-3200 ng/ml for lamivudine, 0.05-5.0 microg/ml for zidovudine and
0.1-10.0 microg/ml for indinavir. Analytes stability during sampling processing
and storage were established. Extraction recoveries are higher than 89% for all
formulations. CONCLUSIONS: These methods proved to be simple, accurate and
precise, and are currently in use in our laboratory for the quantitative analysis
of antiretrovirals products in plasma, and for further pharmacokinetics and
bioequivalence studies.
PMID- 18052619
TI - [Patients at risk of malnutrition: assessment of 11 cases of severe malnutrition
with individualised total parenteral nutrition].
AB - OBJECTIVE: To describe and assess the efficacy and safety of individualised
nutritional support during the first week of total parenteral nutrition in
moderately to severely malnourished patients who are susceptible to the refeeding
syndrome. METHOD: Retrospective observational study carried out between January
2003 and June 2006, including adult patients with moderate to severe malnutrition
who received = 5 days total parenteral nutrition. The nutritional support was
described and the appearance of severe hydroelectrolytic and metabolic
disturbances were assessed during the first week of nutrition. RESULTS: The study
included 11 patients with a mean body mass index of 15.4 kg/m2. These patients
received an average of 23 Kcal/kg/day. They did not show any signs of severe
hydroelectrolytic or metabolic disturbances. Three patients presented with
hypophosphataemia, five with hypokalaemia and four with hypomagnesaemia, all of
which were mild to moderate and with the exception of two cases, all were
corrected within one week of feeding. CONCLUSIONS: Individualised nutritional
support in moderately to severely malnourished patients does not produce
refeeding syndrome. Individualised nutrition is an essential strategy for
avoiding complications associated with overfeeding.
PMID- 18052621
TI - [Observational study of the efficacy and tolerability of bosentan for the
treatment of pulmonary hypertension in a tertiary referral hospital].
AB - OBJECTIVE: The pathogenesis of pulmonary hypertension (PHT) involves elevated
levels of endothelin. Bosentan is a receptor antagonist used for the treatment of
this disease. The purpose of the study is to assess the efficacy and tolerability
of this drug in clinical practice. METHOD: A retrospective observational study of
10 patients with primary pulmonary hypertension (seven) and secondary to
pulmonary thromboembolism (PTE), treated with bosentan. The decrease in systolic
pulmonary artery pressure (SPAP) and the New York Heart Association (NYHA)
functional classification were measured, together with treatment safety based on
transaminase levels in the mid term and long term until the treatment period of
two years was completed. RESULTS: The decrease in SPAP between the beginning and
the end of the treatment was not significant. Three of the ten patients began
treatment in class II, five in class III and two in class IV. After 12 months of
treatment, six patients were in class II, two in class IV, one patient died and
another stopped the treatment due to toxicity. Four patients continued the
treatment for 24 months, and the results were compared with those obtained during
the first year: Two patients remained in class II, one patient deteriorated to
class III and the four died. CONCLUSIONS: Bosentan is shown to be effective after
six months of treatment, losing efficacy after two years. Bosentan gave
satisfactory results in PHT secondary to PTE.
PMID- 18052622
TI - [Severe levofloxacin-induced thrombocytopenia].
PMID- 18052623
TI - [Treatment of Lambert-Eaton syndrome with 3,4- diaminopyridine and
pyridostigmine].
PMID- 18052624
TI - [Bevacizumab-induced serious heart failure in a patient treated with
anthracyclines].
PMID- 18052625
TI - [Diagnosis of Nerium oleander poisoning: is a single analytical method enough?].
PMID- 18052627
TI - [Advances in cervical cancer prevention: HPV vaccines].
PMID- 18052628
TI - [Treatment with cetuximab in metastatic colorectal cancer patients who do not
express the epidermal growth factor receptor].
AB - OBJECTIVE: To evaluate the response to cetuximab, in terms of time passed until
disease progression and overall survival, in patients with colorectal cancer
(CRC) in which the epidermal growth factor receptor (EGFR) is undetectable.
METHOD: Nine EGFR-negative patients (confirmed by an immunohistochemistry study),
who were being treated with cetuximab, were selected. Variables collected:
demographic data, diagnosis, previous treatments, time since first metastasis to
start of treatment with cetuximab, adverse events and tumour markers. The
response was monitored using tumour markers and disease progression. Well-being
was assessed using the Karnofsky performance status (KPS) or that of the Eastern
Cooperative Oncology Group (ECOG). RESULTS: 22% men (2/9) with a median age of 48
(31-63). The median time from being diagnosed with the metastatic disease to the
start of treatment with cetuximab was 19 months (12-48). All patients had failed
an irinotecan-based regime, 77.77% (7/9) had also failed one which included
oxaliplatin. The median number of cycles with cetuximab was 14 (6-32). The main
adverse event was the appearance of an acneiform rash in 100% of the cases. The
median time until disease progression was 7 months (3-16) and 10.2 months (4-24)
for overall survival. The results for well-being showed a KPS of between 80-100%
and an ECOG of < 2. The results obtained in the present study for overall
survival and time until disease progression are higher than those in the pivotal
study (10.2 compared to 8.6 months and 7 compared to 4.1 months respectively).
CONCLUSIONS: According to the results obtained, the use of assessing the EGFR
expression (by the immunohistochemistry technique at least), as a means of
predicting response to treatment with cetuximab may be questioned. This suggests
that selecting patients using the routine assessment of this receptor is
inappropriate, since it excludes patients who may potentially benefit from the
treatment. However, more clinical trials are required in this area in order to
confirm these conclusions.
PMID- 18052629
TI - [Dose intensity received in breast cancer treatment with chemotherapy].
AB - OBJECTIVE: To know relative dose intensity (RDI) in patients with breast cancer
treated with chemotherapy. To determine the number of patients where RDI was <
85% of that programmed and the possible cause. METHOD: Retrospective study, four
month selection period. The following were recorded: age, body surface, protocol
applied, intention of treatment, frequency of administration of cycles, number of
cytostatic treatments previously received and filgrastim administration. The
average RDI per patient and protocol was calculated. RESULTS: 110 patients were
analysed, the average age of them being 55.4 years (interval: 31-84), average
body surface 1.7 m2 (1.3-2.4). Overall average RDI was 91.0% (SD 10.7). 93.8%
(10.6), 95.8% (6.3) and 81.9% (18.5) in neoadjuvant, adjuvant and palliative
treatments, respectively. 20% of the patients did not reach a RDI = 85% of that
programmed, average RDI 69.5% (3.29). A delay in the administration of
chemotherapy equal or greater than seven days occurred in 45.4% of the cases,
average RDI 80.7% (16.0). In the episodes where the dose was reduced because of
toxicity, the RDI was 75.6% (13.6). Significant inverse ratios were obtained with
age (p = 0.02) and line of treatment (p = 0.03) with the RDI. In 36.8%, dose
reduction was caused by neutropenia; 52.9% received filgrastim. CONCLUSIONS: Most
patients received the appropriate RDI. Age, previous treatments and intention of
treatment were the variables with the greatest impact on the dose received. The
delay in administering the cycle was the most frequent act minimising the
toxicity and which least affected the treatment.
PMID- 18052630
TI - [Alerts system associated with computerized physician order entry: analysis and
identification of improvement points].
AB - OBJECTIVE: To make a quantitative analysis of the alerts associated with a
computerized physician order entry system and identify opportunities to improve
the system. METHOD: A retrospective observational study in a general hospital
with 750 beds, 500 of which have a computerized physician order entry system
installed. The frequency per type and medication of 525,691 alerts produced for a
year in the prescription of drug treatments to 15,466 patients was analysed,
entering these on a database. The system includes three categories of alert
relating to the drug, the characteristics of the patient and the hospital
medicine policy. By means of a failure mode and effects analysis, opportunities
for improving the system were identified and corrective measures were suggested.
RESULTS: It has been observed that from the total of 1,084 drugs, 20 of them
produce 34% of alerts. The ten most frequently active ingredients involved are:
potassium chloride, acenocumarol, imipenem, lorazepam, diazepam, mycophenolate,
enoxaparin, tacrolimus, calcium carbonate and cyclosporine. The most frequent
alerts generated during electronic prescription are associated with duplicated
therapy (35.4%), renal failure (27.6%) and risk due to advanced age (17.2%), with
these groups accounting for 80.2% of the total. The excess of alerts and
information provided by the alerts were identified as priority improvement
points. CONCLUSIONS: The system produced excessive alerts which led to the risk
of them being ignored and reducing the capacity to prevent adverse drug events.
Modifications are required for the design of the alert system, which also needs
to be continuously updated.
PMID- 18052631
TI - [Approach to evaluating the clinical relevance of drug interactions in HIV
infected patients].
AB - OBJECTIVE: To systematize information about drug interactions in HIV/AIDS, and to
test a proposal to identify and evaluate drug interactions considered clinically
relevant highlight those associated to pharmacokinetic mechanism. METHOD: We
performed a MEDLINE search of the literature published in English and Spanish
from January 1995 to June 2007 on antiretroviral drug interactions in humans.
Search terms were drug interactions and antiretroviral agents (or drugs) in
title/abstract field. So, we searched for clinically relevant drug interactions
of specific drugs commonly administered to patients with HIV, and we reviewed
references cited in relevant articles. Finally, we followed a proposal to
evaluate and use the clinical relevance complemented with a classification based
on severity and probability of its occurrence. RESULTS: A total of 378 articles
were achieved, among then we acquire the full text of 296. We presented the type
and mechanism of drug interactions in HIV-infected patients. We evaluate and use
the clinical relevance of drug interactions. Among pharmacokinetic interactions
considered clinically relevant, approximately to 80% was related to changes in
systemic clearance [due to induction or inhibition of systemic metabolic activity
of cytochrome P450 3A4 (CYP3A4)]; and 15% with changes in bioavailability [(due
to changes in gastrointestinal pH, presystemic metabolism or activity of the
glicoprotein -P (Gp-P)]. CONCLUSIONS: Among patients with HIV/AIDS, most of the
pharmacokinetic interactions of clinical relevance are attributed to inhibition
or induction of hepatic systemic metabolic activity, mainly of CYP3A4.
PMID- 18052632
TI - [Lipase and total amylase and its isoenzymes as markers of pancreatic injury in
patients treated with antiepileptic drugs].
AB - OBJECTIVE: The study of the serum lipase and total amylase and its isoenzymes as
biochemical markers of pancreatic injury in patients treated with valproic acid
and other enzyme-inducing antiepileptic drugs. METHOD: The serum activities of
lipase and total amylase and its isoenzymes were determined in 41 patients
treated in monotherapy with valproic acid, 50 patients in mono/polytherapy with
phenytoin, phenobarbital and carbamazepine, and 30 healthy controls. RESULTS: In
the first group of patients a clinically significant difference in relation to
the control group was not obtained for any of the enzyme activities studied;
however, in the group of patients treated with enzyme-inducing antiepileptic
drugs clinically significant differences were obtained for lipase and pancreatic
amylase. In this group of patients, the activity of pancreatic amylase was
clearly increased in two cases (4%), suggesting the existence of a pancreatic
damage. In the patients studied, the total amylase showed a poor specificity as a
biochemical marker for pancreatic injury, and the greater serum activity observed
in one case corresponds to an increase of the salivar isoenzyme. The sensitivity
of the lipase is smaller than amylase pancreatic isoenzyme. CONCLUSIONS: In
patients treated with antiepileptic drugs, the determination of the pancreatic
isoenzyme of amylase would be of interest even in absence of clinical signs for
acute pancreatitis.
PMID- 18052633
TI - [A time series analysis of the cost of the most common drug treatment groups in
an intensive care unit].
AB - OBJECTIVE: To determine the evolution of the most common drug treatment groups in
an intensive care unit over a period of seven years, characterise the time-cost
relationship and establish an ARIMA prediction model using Box-Jenkins
methodology. METHOD: A retrospective analysis of the costs of thirteen drug
treatment groups was carried out in an intensive care unit with 19 beds between
the period of 1998 to 2004. The monthly cost of these treatment groups
constituted the time series. The descriptive analysis was carried out by means of
descriptive statistics and graphs. The trend was analysed by means of smoothing
by weighted local regression, and seasonality was analysed by multiple linear
regression. Stochastic models for time series were developed using Box-Jenkins
methodology for descriptive and forecasting purposes. RESULTS: 70% of drug costs
are generated by thirteen groups. Three of these groups display a downward trend,
four have an upward trend and the remaining groups do not display any significant
trend. Seasonality is only relevant in series with upward trends. The ARIMA model
allows models to be obtained for seven series. CONCLUSIONS: The descriptive cost
analysis, the determination of trends and the analysis of seasonality provide
information about the dynamics in drug use in an intensive care unit. However,
the use of ARIMA models to optimise the planning of treatment resources in these
types of hospital units is still extremely limited.
PMID- 18052634
TI - [Osmolality of oral liquid dosage forms to be administered to newborns in a
hospital].
AB - OBJECTIVE: Oral administration of hypertonic solutions can contribute to
intestinal damage in the initial stages of neonatal necrotizing enterocolitis.
The purpose of this study is to determine the osmolality of oral liquid dosage
forms used in a division of neonatology and to establish some recommendations for
their dilution. METHOD: The osmolality of 26 oral liquid dosage forms has been
measured using the freezing-point depression method. RESULTS: Oral liquid dosage
forms used in the division of neonatology present an osmolality greater than 350
mOsm/kg H2O. 19.2% of all the analysed forms presented an osmolality lower than
1500 mOsm/kg H2O, 80.7% were over that figure, while 23% presented an extremely
high osmolality (> 5,000 mOsm/kg H2O). CONCLUSIONS: Knowledge of osmolality of
oral liquid dosage forms in the division of neonatology enables the risk of
intestinal aggression caused by enteral administration of the medication to be
assessed.
PMID- 18052635
TI - [Acute digitalic intoxications in aged patients and proposal of a digitalization
nomogram].
PMID- 18052636
TI - [Zoledronic acid free adjustment according to renal function: results of
pharmacists interventions].
PMID- 18052637
TI - [Ishikawa diagram and barrier analysis implemented to a medication error that
induces rhabdomyolysis].
PMID- 18052638
TI - [Treatment with activated factor VII after acute bleeding due to aortic
dissection].
PMID- 18052639
TI - [Hallucinations by administration of a standard regimen of clarithromycin].
PMID- 18052640
TI - [Delivering medications via pneumatic tube system].
PMID- 18052641
TI - [Bile secretion -- at the crossroads of colorectal carcinogenesis].
PMID- 18052642
TI - [Effect of ursodeoxycholic acid in an experimental colon cancer model].
AB - AIMS: The present study was designed to examine the effect of ursodeoxycholic
acid as chemoprotective agent in experimental colon carcinogenesis in rats.
MATERIAL AND METHODS: One hundred and ten 10-week-old, Sprague-Dawley rats were
divided into five groups: group A (20), no treatment. Group B (20), receiving
daily both ursodeoxycholic acid (UDCA) 4 mg/kg of body weight and ethanol 1.23
g/kg of body weight added to the drinking water from the beginning of the study
through 24 weeks. Group C (30), receiving 18 weekly doses of dimethylhydrazine
(DMH) 21 mg/kg of body weight subcutaneously from the beginning of the study,
with the same doses of UDCA and ethanol as in group B. Group D (20), ethylen
diamin-tetracetic acid solution alone for 18 weeks. Group E (20), receiving the
same doses of ethanol plus DMH injections as in group C. All experimental animals
were sacrificed after 25-27 weeks. RESULTS: No tumors developed in
dimethylhydrazine-free groups. No significant differences in number of tumor-free
animals, number of tumors per rat, and macro-microscopic tumor findings were seen
between animals in group C and animals in group E. CONCLUSIONS: We concluded that
such an ursodeoxycholic acid supplementation did not modify colorectal
carcinogenesis using a dynamic DMH-induced model in rats.
PMID- 18052643
TI - [Prevalence of Helicobacter pylori infection in the healthy population of Madrid
(Spain)].
AB - OBJECTIVE: To determine the prevalence of Helicobacter pylori infection in the
healthy population of Madrid Autonomous Community (AC). MATERIAL AND METHODS: A
descriptive, cross-sectional study where Helicobacter pylori infection is
diagnosed by means of the 13C-urea breath test. RESULTS: A total of 618 subjects
were studied. Among these, 481 were considered evaluable with a prevalence of
Helicobacter pylori infection of 60.3%. In this cohort 36.4% were men and 63.6%
were women, with a prevalence of 60.6 and 60.1%, respectively, and no relevant
differences between both subgroups. The median age of patients was 37.5 years
(range 4-82), and a statistically significant linear relationship between
Helicobacter pylori infection and age (linear chi2 =33.31; p < 0.001) was
established -chances of infection increase with age. Prevalence increases with
age and peaks at 60 to 69 years (83.3% infected). For 169 subjects (35.1%)
education level was unknown, and no relationship between this level and
Helicobacter pylori infection was found. CONCLUSIONS: The study shows that the
prevalence of Helicobacter pylori infection in the healthy population of Madrid
AC is high (60.3%), progressively increases with age, and peaks in people in
their sixties. The sample showed no differences regarding sex or education level.
PMID- 18052644
TI - Spiegelian hernia: mesh or not?
AB - OBJECTIVE: The purpose of this study was to report our experience in management
and clinical result of Spigelian hernia with preperitoneal mesh repair or without
mesh repair. EXPERIMENTAL DESIGN: Retrospecitve analysis. SUBJECTS: the medical
records of 11 cases of Spigelian hernia with surgical treatment were reviewed.
The clinical characteristic, treatment and clinical result were evaluated.
RESULTS: of the 11 cases that were evaluated, 7 patients underwent open repair of
hernia without extra-peritoneal mesh (group A), the other 4 patients underwent
open surgery with extra-peritoneal mesh (group B). There were no significant
difference in age, gender, body mass index, underlying diseases, symptoms,
duration of symptoms, features of hernia sac and method of approach. No
recurrence was found in these two groups. The mean follow-up time was 8.5 +/- 3.2
(years) in group A and 6.7 +/- 2.1 (years) in group B. CONCLUSIONS: whether open
repair of spigelian hernia with or without extra-peritoneal mesh gives the same
and well result.
PMID- 18052645
TI - [Clinical aspects and endoscopic management of gastrointestinal bleeding from
Dieulafoy's lesion].
AB - OBJECTIVE: the aim of the study was to assess the incidence, clinical
presentation, location, and response to endoscopic therapy of gastrointestinal
bleeding from Dieulafoy's lesion. MATERIAL AND METHOD: ALL consecutive episodes
of gastrointestinal bleeding due to Dieulafoy's lesion seen between 2000 and 2006
were retrospectively reviewed. All main clinical and endoscopic data were
collected: type and effectiveness of endoscopic therapy, rebleeding,
complications, and mortality during hospitalization. RESULTS: WE found 41
patients, 26 males and 15 females, median age of 71.19 years. Dieulafoy's lesion
accounted for 1.55% of all gastrointestinal bleeding episodes during the study
period. The incidence of Dieulafoy's lesion was 2.2 cases/100.000
inhabitants/year. Active bleeding at endoscopy was present in 85.36%, and
comorbidity in 92.68%. The stomach was the most frequent location (60.97%),
followed by duodenum (29.26%). Endoscopic therapy achieved initial hemostasis in
all cases. Three patients (7.31%) initially treated with epinephrine injection
showed rebleeding and properly responded to a second session of endoscopic
therapy. No surgery was needed. The mortality rate during hospitalization was
4.87%. CONCLUSIONS: Dieulafoy's lesion is an uncommon, but potentially severe
cause of gastrointestinal bleeding. It may be found in any location within the
gastrointestinal tract. Endoscopic therapy is effective and safe. Injected
epinephrine alone is associated with a higher risk of rebleeding.
PMID- 18052646
TI - [Spanish translation, adaptation, and validation of the 32-item questionnaire on
quality of life for inflammatory bowel disease(IBDQ-32)].
AB - INTRODUCTION: The measurement of health-related quality of life (HRQoL) has an
established relevance in the assessment, management, and follow-up of
inflammatory bowel disease. The most commonly used measuring instrument is the 32
item version of Inflammatory Bowel Disease Questionnaire (IBDQ-32), which has
never been adapted to Spanish. OBJECTIVE: To translate IBDQ-32 into Spanish, and
to establish its validity, reliability, and sensitivity both in ulcerative
colitis and Crohn s disease. METHOD: A prospective study in two phases
translation into Spanish and subsequent validation of IBDQ-32. Translation was
based on the validated Spanish version of IBDQ-36, and IBDQ-32 items not included
in IBDQ-36 were translated from scratch. Once the IBDQ32 translation was
completed a comprehension-specific questionnaire was administered. To establish
IBDQ-32 psychometric properties a group of patients completed both the validated
Spanish version of IBDQ-36 and IBDQ-32. RESULTS: Eighty-four patients (53 with
Crohn s disease and 31 with ulcerative colitis) were included. Median overall
scores in both questionnaires for all 84 patients did not differ (6.1 vs. 6.2, p
= ns), and Spearman s correlation was highly significant (r = 0.97, p < 0.001).
The ability to discriminate between patients in flare-up or remission was also
equivalent for both questionnaires (6.4 vs. 6.4 with r = 0.96 in remission and
3.8 vs. 3.9 with r = 0.95 active, p < 0.001 for remission vs. activity). These
results were obtained in both the analyses for CD and UC independently (6.4 vs.
6.4 and 6.7 vs. 6.6, respectively; p = ns). CONCLUSION: The Spanish version of
IBDQ-32 is valid and discriminating for patients with Crohn s disease or
ulcerative colitis.
PMID- 18052648
TI - [Hepatobiliary manifestations in inflammatory bowel disease].
PMID- 18052647
TI - [Ultrasound-guided biopsy of the pancreas: a multicenter study].
AB - OBJECTIVE: Members of "Asociacion de Ecografia Digestiva" decided to carry out a
multicenter retrospective study on fine-needle aspiration biopsy for pancreatic
space-occupying lesions under ultrasonographic guidance and via the percutaneous
route in order to assess this technique s performance versus endoscopic
ultrasound-guided biopsy. SUBJECTS: 10 hospitals for a total of 222 patients with
suspiciously malignant, 8-120-mm pancreatic lesions were included in the study.
RESULTS: The analysis of results shows a sensitivity of 89%, a specificity of
98%, a positive predictive value of 99%, and a negative predictive value of 74%,
for an overall diagnostic accuracy of 91%. No major complications occurred.
CONCLUSION: Percutaneous fine-needle aspiration for pancreatic lesions is highly
cost-effective and has few and mild complications.
PMID- 18052649
TI - Intrahepatic arteriovenous fistula resulting from needle biopsy.
PMID- 18052650
TI - [Gastric polyposis in FAP].
PMID- 18052651
TI - Retrorectal tumors: excision by transanal endoscopic microsurgery.
AB - Tumours within the retrorectal space are uncommon. Due to their rarity and
diverse symptoms they are often misdiagnosed or mistreated. We report three cases
of women presenting a variety of symptoms including increased rectal pain,
recurrent abscesses/fistulas and constipation. Upon clinical examination and
further investigations using MR scan, endorectal ultrasound and endoscopy, a
retrorectal mass was suspected in all three cases. In order to achieve a complete
excision of the tumor while minimizing trauma, transanal endoscopic microsurgery
(TEM) was performed. The histology of the multicystic tumor revealed in all three
cases a tailgut cyst. As far as we know this is the first report describing the
use of TEM for surgical treatment of tumors located in the retrorectal space.
PMID- 18052652
TI - [Upper esophageal hemangioma successfully treated by laser].
PMID- 18052653
TI - [Jaundice caused by afferent loop volvulus following Billroth-II gastrectomy].
PMID- 18052654
TI - [Bilioenteric fistula from a penetrating duodenal ulcer].
PMID- 18052655
TI - [Large esophagic ulcer following doxycycline treatment].
PMID- 18052656
TI - [Obstructive jaundice secondary to pancreatic metastases of a soft-tissue
sarcoma].
PMID- 18052657
TI - [Intestinal malrotation in adults and acute appendicitis].
PMID- 18052658
TI - [The significance of CARD15 mutations in Crohn's disease. The Spanish
contribution].
PMID- 18052659
TI - [CARD15 mutations are poorly related to Crohn's disease phenotypes in Asturias].
AB - BACKGROUND: the association between the three common CARD15 gene mutations
(R702W, G908R, L1007fs) and the genetic susceptibility to Crohn s disease (CD)
have been confirmed by several studies, with some differences found, in relation
to geographic areas and ethnic groups. OBJECTIVES: To analyze the prevalence of
CARD15 gen and its polymorphisms in patients with CD in Asturias and its possible
correlation with the different genotypes of the disease. METHODS: a total of 216
CD patients recruited from Asturias (North of Spain) and 86 ethnically matched
healthy controls, were typed using Hybprobes on a LightCycler instrument for
CARD15 mutations. Patients were subdivided according to Vienna classification. We
have studied the frequency of these mutations in the different subgroups of CD
patients and analyzed its contribution to the disease clinical characteristics
and progression. RESULTS: carrier frequencies for CARD15 mutations in our CD
patients were similar to controls (17.8 vs. 17.4%) respectively (NS). CD patients
exhibited frequencies of 8.8, 3.0 and 6.0% for the R702, G908R and L1007fs
polymorphisms respectively, whereas our control population had allele frequencies
of 11.6, 2.3 and 3.5% for the three mutations respectively (NS). We did not find
any relationship between CARD15 mutations and the different phenotypes of Crohn s
disease, according to Vienna classification. CONCLUSIONS: in our CD population,
other factors (i.e. environmental), in addition to genetics, must be mainly
involved in the development of the disease.
PMID- 18052660
TI - Normal development of fetal hepatic haematopoiesis during the second trimester of
gestation is upregulated by fibronectin expression in the stromal cells of the
portal triads.
AB - OBJECTIVE: in midtrimester fetuses the principal site of hematopoiesis is the
liver. In hematopoietic organs, stromal cells such as fibroblasts, epithelial
cells, and macrophage-like cells develop networks to maintain hematopoiesis, i.e.
hematopoietic stem cell self-renewal, proliferation, and growth, by interaction
with hematopoietic progenitor cells. ECM glycoproteins produced by the stromal
cells are known to play a critical role in the regulation of cell growth and
differentiation. Numerous soluble and membrane-bound factors directly regulating
haematopoiesis have been documented, but little is known about fetal hepatic
stromal cell activity and stromal extracellular matrix protein-fibronectin, on
fetal hepatic haematopoiesis. The binding of late stage erythroid cells to
fibronectin has been well characterized and is believed to be critical for the
terminal stages of erythroid differentiation. The intention of this article is to
determine the role of fibronectin in fetal hepatic hematopoietic proliferation
and differentiation in different stages of development. MATERIAL AND METHOD: we
examined and compared the immunohistochemical expression of fibronectin in the
hepatic stromal portal fields in the 1st, 2nd, and 3rd trimester of gestation
respectively, in relation to the appearance of CD34 progenitor hematopoietic,
stromal progenitor and vascular endothelial positive cells. RESULTS: our results
demonstrated a quantitative difference in the second trimester of gestation
concerning the expression of fibronectin in the connective tissue stroma of the
hepatic portal fields over the equivalent expression of the protein in the first
(p < 0.0001, t-test) and third trimester (p < 0.0001, t-test). Similar changes in
the above period were found concerning the expression of CD34 during the second
trimester of gestation, over the first (p < 0.0001, t-test) and third trimesters
(p < 0.0001, t-test), suggesting a direct involvement of fibronectin in the
sustaining of hematopoietic activity. CONCLUSIONS: our data provide evidence that
an ECM glycoprotein component, fibronectin, plays a relevant role in
hematopoiesis through interaction between stromal cells and hematopoietic
progenitor cells.
PMID- 18052661
TI - [Old donors in liver transplantation for chronic hepatitis C].
AB - BACKGROUND: the natural history of recurrent hepatitis C after liver
transplantation (LT) is extremely variable, with progression to allograft failure
in a substantial proportion of patients. The identification of factors associated
with this poorer outcome may improve results. While donor age has been identified
as one of the most important factors, the actual options to modify this variable
are limited. OBJECTIVES: a) to describe the natural history of HCV(+) liver
transplant recipients depending on donor age ( < or = 50 years), including
clinical, biochemical, and histological outcomes; and b) to identify in the
subgroup of organ recipients from aged donors (= 50 years) factors associated
with an aggressive course. METHODS: a retrospective study of clinical and
histological data including protocol liver biopsies for 162 HCV (+) liver
transplant patients between 1997 and 2001 with at least one year of follow-up.
Aggressive recurrent hepatitis C was defined as a progression to fibrosis > 1
during the first year post-LT, development of a cholestatic form of recurrent
hepatitis C, and /or graft failure due to HCV during the first five years post
LT. Factors analyzed as potentially associated with recurrent hepatitis C
included: a) recipient-related: demographics (age, sex), pre-transplantation
(hepatocellular carcinoma, Child-Pugh classification, history of alcohol, HBV
serological markers, antiviral treatment, nutritional status, biochemical
variables); b) donor-related: demographics (age, sex), cause of death, grade of
steatosis defined as minimal vs. moderate-severe > 10%); c) surgery-related: cold
preservation and rewarming time, duration of procedure, blood transfusion; and d)
post-LT management-related: immunosuppression, liver enzymes in the first 14 days
post-LT, acute hepatitis post-LT, surgical complications (vascular and/or
biliary). RESULTS: patients were divided into two groups according to donor age
group 1 ( < 50 years), n = 83, 51%, and group 2 (= 50 years), n = 79, 49%).
Median follow-up was 5 years (range: 3 months-8.5 years). Aggressive recurrent
hepatitis C occurred significantly more frequently in the older donor group (64
vs. 20.5%, p < 0.0001). In this group, potent immunosuppression -triple and
quadruple regimens- (p = 0.04) and acute hepatitis post-LT (p = 0.03) were the
only variables associated with aggressive recurrence. Degree of donor steatosis
was not associated with the prognosis of recurrent hepatitis C. CONCLUSION: the
use of aged donors is partly responsible for the accelerated progression of
hepatitis C after LT. When old donors are used we should avoid over
immunosuppression, and probably evaluate antiviral therapy in those with acute
recurrent hepatitis C.
PMID- 18052662
TI - [Perforation after colonoscopy: our 16-year experience].
AB - OBJECTIVE: the aim of this retrospective study was to evaluate the incidence of
colon perforations from diagnostic and therapeutic colonoscopies, and to assess
their management in our hospital. PATIENTS AND METHOD: perforations resulting
from colonoscopy in our hospital were reviewed for the period January, 1991 to
December, 2006. The study analyzed: purpose of procedure, lesion mechanisms,
clinical and radiological presentations, delays in diagnosis, patient status,
therapeutic handling, and outcome. RESULT: fifteen perforations (0.09%) (9 males
and 6 females) out of a total of 16,285 colonoscopies carried out were seen to
have taken place during the study period. Nine of these occurred after diagnostic
colonoscopies, and 6 occurred after therapeutic endoscopies. Around 60% of
perforations were detected by the endoscopist while carrying out the procedure
(88.6% during diagnostic endoscopy, and 16.6% during therapeutic endoscopy). In
73.7% of cases abdominal pain and distension were the most frequent symptoms;
extraluminal gas was seen in 100% of cases with imaging techniques. Delayed
diagnosis (> 24 hours) occurred in 40% of patients (range: 1-6 days). Twelve
patients were operated upon (80%), of whom 4 were ASA II and 8 were ASA III/IV.
Postoperative morbidity was 44.44%, and mortality was 25%. The outcome of
patients receiving conservative treatment was found to be satisfactory.
CONCLUSION: perforation of the colon during colonoscopy is a rare complication
with serious -even lethal- consequences. Conservative treatment can be provided
for selected cases under strict clinical control. Type of surgery will depend on
the lesion location and size, concomitant colon pathology, and degree of fecal
contamination. Mortality seems to be related to general status rather than the
surgical technique used.
PMID- 18052663
TI - [Protocolized cognitive-behavioural group therapy for inflammatory bowel
disease].
AB - INTRODUCTION: patients affected by inflammatory bowel disease have a high rate of
psychiatric disorders such as depression and anxiety. OBJECTIVE: the main goal of
this study was to design, to put into practice, and to validate a protocolized
psychological treatment program based on the coping model, and a psycho
educational methodology in which the following intervention modules were included
illness information, coping model, problem solving techniques, relaxation,
social skill training, distraction, and cognitive restructuring techniques. All
these techniques were adapted to the characteristic features of inflammatory
bowel disease. METHOD: the sample included 57 patients with inflammatory bowel
disease from the Spanish Crohn s Disease and Ulcerative Colitis Association. The
sample was randomly assigned to one of the two experimental groups -33 were
assigned to the treatment group, and 24 were assigned to the waiting list control
group. RESULTS: the results reflected a significant clinical and statistical
improvement in anxiety (p < 0.001) and depression (p < 0.001) variables when
compared to the waiting list control group. Likewise, improvement was sustained
at 3, 6, and 12 months during follow-up. CONCLUSION: the protocolized
psychological treatment program, administered in group sessions, is effective in
reducing emotional symptoms arising as a result of inflammatory bowel disease.
PMID- 18052664
TI - [Bacterial DNA in patients with cirrhosis and sterile ascites. Its role as a
marker of bacterial translocation and prognostic tool].
AB - During the last decade, we have witnessed an increase in the amount of data
related with the presence of bacterial translocation in experimental models of
cirrhosis. However, clinical studies have been limited by the lack of non
invasive methods to study this phenomenon. Over the past years, the research
developed in our laboratory has been focused on the detection of bacterial DNA in
serum and ascitic fluid of patients with cirrhosis and sterile ascites, the
clinical and immunological implications of such finding. Initially, by means of a
polymerase chain reaction (PCR)-based method and automated nucleotide sequencing,
we were able to detect and identify the presence of fragments of bacterial DNA in
the mentioned patients with culture-negative, non-neutrocytic ascites. Since
then, we have accumulated a core of data suggesting that the presence of
bacterial DNA may have an important role not only as a marker of bacterial
translocation, but also as a short-term prognostic factor. Here, we discuss the
past, present and future of this line of investigation.
PMID- 18052665
TI - [Fistulizing Crohn's disease].
PMID- 18052666
TI - [A 70-year-old man with colic pain on left upper quadrant of the abdomen and
diffuse crepitation on the entire abdominal wall].
PMID- 18052667
TI - Acute GI bleeding by multiple jejunal gastrointestinal autonomic nerve tumour
associated with neurofibromatosis type I.
AB - We describe a surgical emergency due to GI-bleeding caused by gastrointestinal
autonomic nerve tumours (GANT s) in a patient with von Recklinghausen s disease.
A 72 year old female patient with von Recklinghausen s disease was admitted with
maelena. Endoscopy showed no active bleeding in the stomach and the colon.
Therefore an angio-CT-scan was performed which revealed masses of the proximal
jejunum as source of bleeding. Laparotomy was indicated and a 20 cm segment of
jejunum which carried multiple extraluminal tumours was resected. The source of
the bleeding was a 2 cm tumour which had eroded the mucosal surface.
Immunohistologically, evidence of neuronal differentiation could be shown in the
spindle-formed cells with positive staining for C-Kit (CD 117), CD 34, and a
locally positive staining for synaptophysine and S100. This case report
illustrates the association between neurofibromatosis and stromal tumours and
should alert surgeons and gastroenterologist about gastrointestinal
manifestations in patients with von Recklinghausen s disease.
PMID- 18052668
TI - Chronic or recurrent appendicitis?
PMID- 18052669
TI - Laparoscopic management of sigmoid colon intussusception caused by a malignant
tumor: case report.
PMID- 18052670
TI - [Giant villous adenoma with loss of electrolytes. Review of literature and
current status].
PMID- 18052671
TI - [Shift of the heart axis due to mediastinum recurrence of a retroperitoneal
liposarcoma].
PMID- 18052672
TI - [Gallbladder torsion].
PMID- 18052673
TI - ["Body Packer" syndrome due to cocaine intoxication].
PMID- 18052674
TI - Mechanisms of neurogenic pulmonary edema development.
AB - Neurogenic pulmonary edema is a life-threatening complication, known for almost
100 years, but its etiopathogenesis is still not completely understood. This
review summarizes current knowledge about the etiology and pathophysiology of
neurogenic pulmonary edema. The roles of systemic sympathetic discharge, central
nervous system trigger zones, intracranial pressure, inflammation and anesthesia
in the etiopathogenesis of neurogenic pulmonary edema are considered in detail.
The management of the patient and experimental models of neurogenic pulmonary
edema are also discussed.
PMID- 18052675
TI - Modulation of antioxidant enzyme activities by sexual steroid hormones.
AB - Taking into consideration the biological importance of interaction between
antioxidant defense (AD) enzymes and sexual steroid hormones it was deemed
important to compare our recent achievements in the field with the state of
current knowledge. The main goal of the present review was to investigate the
changes of AD enzyme activities: superoxide dismutases, catalase, glutathione
peroxidase, glutathione-S-transferase and glutathione reductase in the brain of
female and male rats depending on progesterone and estradiol. These ovarian
steroids produce their effects by acting on numerous target tissues and organs,
such as the reproductive organs, bone tissue and cartilage, peripheral blood
vessels and the central nervous system (CNS). We have chosen it as a new
parameter that might represent an important indicator of the changes within the
CNS, bearing in mind the biological importance of the enzymes of the AD system.
Our experimental results indicate that the AD enzyme activities in the brain
tissue of female and male rats show a certain dependence on the concentration of
progesterone and estradiol. The present review suggests that the modulation of
the oxidative and antioxidative capacity by sexual steroid hormones is mediated
through antioxidant metabolizing enzymes.
PMID- 18052676
TI - Different secretory response of pancreatic islets and insulin secreting cell
lines INS-1 and INS-1E to osmotic stimuli.
AB - Objective of this study was to characterize osmotically-induced insulin secretion
in two tumor cell lines. We compared response of freshly isolated rat pancreatic
islets and INS-1 and INS-1E tumor cell lines to high glucose, 30 % hypotonic
medium and 20 % hypertonic medium. In Ca(2+)-containing medium glucose induced
insulin release in all three cell types. Hypotonicity induced insulin secretion
from islets and INS-1 cells but not from INS-1E cells, in which secretion was
inhibited despite similar increase in cell volume in both cell types. GdCl(3)
(100 micromol/l) did not affect insulin response from INS-1E cells to hypotonic
challenge. Hypertonic medium inhibited glucose-induced insulin secretion from
islets but not from tumor cells. Noradrenaline (1 micromol/l) inhibited glucose
induced but not swelling-induced insulin secretion from INS-1 cells.
Surprisingly, perifusion with Ca(2+)-depleted medium showed distinct secretory
response of INS-1E cells to hypotonicity while that of INS-1 cells was partially
inhibited. Functioning glucose-induced insulin secretion is not sufficient
prerequisite for hypotonicity-induced response in INS-1E cells suggesting that
swelling-induced exocytosis is not essential step in the mechanism mediating
glucose-induced insulin secretion. Both cell lines are resistant to inhibitory
effect of hyperosmolarity on glucose-induced insulin secretion. Response of INS
1E cells to hypotonicity is inhibited by the presence of Ca(2+) in medium.
PMID- 18052677
TI - Effect of pedaling rates and myosin heavy chain composition in the vastus
lateralis muscle on the power generating capability during incremental cycling in
humans.
AB - In this study, we have determined power output reached at maximal oxygen uptake
during incremental cycling exercise (P(I, max)) performed at low and at high
pedaling rates in nineteen untrained men with various myosin heavy chain
composition (MyHC) in the vastus lateralis muscle. On separate days, subjects
performed two incremental exercise tests until exhaustion at 60 rev min(-1) and
at 120 rev min(-1). In the studied group of subjects P(I, max) reached during
cycling at 60 rev min(-1) was significantly higher (p=0.0001) than that at 120
rev min(-1) (287+/-29 vs. 215+/-42 W, respectively for 60 and 120 rev min(-1)).
For further comparisons, two groups of subjects (n=6, each) were selected
according to MyHC composition in the vastus lateralis muscle: group H with higher
MyHC II content (56.8+/-2.79 %) and group L with lower MyHC II content in this
muscle (28.6+/-5.8 %). P(I, max) reached during cycling performed at 60 rev min(
1) in group H was significantly lower than in group L (p=0.03). However, during
cycling at 120 rev min(-1), there was no significant difference in P(I, max)
reached by both groups of subjects (p=0.38). Moreover, oxygen uptake (VO(2)),
blood hydrogen ion [H(+)], plasma lactate [La(-)] and ammonia [NH(3)]
concentrations determined at the four highest power outputs completed during the
incremental cycling performed at 60 as well as 120 rev min(-1), in the group H
were significantly higher than in group L. We have concluded that during an
incremental exercise performed at low pedaling rates the subjects with lower
content of MyHC II in the vastus lateralis muscle possess greater power
generating capabilities than the subjects with higher content of MyHC II.
Surprisingly, at high pedaling rate, power generating capabilities in the
subjects with higher MyHC II content in the vastus lateralis muscle did not
differ from those found in the subjects with lower content of MyHC II in this
muscle, despite higher blood [H(+)], [La(-)] and [NH(3)] concentrations. This
indicates that at high pedaling rates the subjects with higher percentage of MyHC
II in the vastus lateralis muscle perform relatively better than the subjects
with lower percentage of MyHC II in this muscle.
PMID- 18052678
TI - Retinol-binding protein 4 expression in visceral and subcutaneous fat in human
obesity.
AB - Retinol binding protein 4 (RBP4) is a novel adipokine which might be involved in
the development of insulin resistance. The aim of the study was to investigate
the expression of RBP4 mRNA in subcutaneous and visceral fat depots and the
relationship between RBP4 plasma and mRNA levels relative to indices of adiposity
and insulin resistance. In 59 Caucasian women (BMI 20 to 49 kg/m(2)) paired
samples of subcutaneous and visceral fat were obtained for RBP4, leptin and GLUT
4 mRNA analysis using reverse transcription-quantitative PCR. Euglycemic
hyperinsulinemic clamp and computed tomography scans were performed. RBP4 mRNA
levels as well as GLUT 4 mRNA and leptin mRNA levels were lower (P<0.001, P<0.01
and P<0.001, respectively) in visceral compared to subcutaneous fat. No
differences were found in RBP4 mRNA expression in the two fat depots or in RBP4
plasma levels between subgroups of non-obese subjects (n=26), obese subjects
without metabolic syndrome (n=17) and with metabolic syndrome (n=16). No
correlations between RBP4 mRNA or plasma levels relative to adiposity, glucose
disposal rate and GLUT 4 mRNA expression in adipose tissue were found. There was
a weak positive correlation between plasma RBP4 and plasma triglycerides (r =
0.30, p<0.05) and between plasma RBP4 and blood glucose (r = 0.26, p<0.05).
Regardless of the state of adiposity or insulin resistance, RBP4 expression in
humans was lower in visceral than in subcutaneous fat. We found no direct
relationship between either RBP4 mRNA or its plasma levels and the adiposity or
insulin resistance.
PMID- 18052679
TI - Cognac polyphenolic compounds increase bradykinin-induced nitric oxide production
in endothelial cells.
AB - We recently reported that in vitro Cognac polyphenolic compounds (CPC) induce NO
dependent vasorelaxant effects and stimulate cardiac function. In the present
study, we aim to investigate the effect of CPC on both nitric oxide (NO) and
superoxide anions (O(2)(-)) production in cultured human endothelial cells. In
addition, its effect on the bradykinin (BK)-induced NO production was also
tested. The role and sources of O(2)(-) in the concomitant effect of BK plus CPC
were pharmacologically determined. NO and O(2)(-) signals were measured using
electron paramagnetic resonance technique using specific spin trappings. Both,
CPC and BK induced an increase in NO production in human endothelial cells. The
combination of both further enhanced NO release. The capacity of CPC plus BK to
increase NO signal was blunted by the NO synthase inhibitor, N(G)-nitro-L
arginine methyl ester, and was enhanced in the presence either of superoxide
dismutase or catalase. Moreover, CPC plus BK response was greater after
inhibition of either NADPH oxidase by apocynin or xanthine oxidase by allopurinol
but it was not affected by rotenone. CPC did not affect O(2)(-) level either
alone or after its increase upon lipopolysaccharide treatment. Finally, the
capacity of BK alone to increase NO was enhanced either by apocynin or
allopurinol. Altogether, these data demonstrate that CPC is able to directly
increase NO production without affecting O(2)(-) and enhances the BK-induced NO
production in human endothelial cells. The data highlight the ability of BK to
stimulate not only NADPH oxidase- but also xanthine oxidase-inhibitor sensitive
mechanisms that reduce its efficiency in increasing NO either alone or in the
presence of CPC. These results bring pharmacological evidence for vascular
protection by CPC via its potentiating effect of BK response in terms of
endothelial NO release.
PMID- 18052680
TI - The developmental changes in mitochondrial DNA content per cell in human cord
blood leukocytes during gestation.
AB - The mitochondrial DNA (mtDNA) amount in cells as the basis for mitochondrial
energy generating system, which produces ATP, plays an important role in the
fetal development and postnatal morbidity. Isolated human cord blood leukocytes
(HCBL) contribute very little to the overall metabolic turnover, but they may
serve as easily available marker cells for the study of the mtDNA amount changes
in cord blood during fetal development. The aim of our study was to analyze the
mtDNA amount in HCBL. HCBL were isolated from cord blood samples of 107 neonates
born between the 25th and 41st week of gestation. The mtDNA amount was analyzed
by the real-time PCR method. The significant negative correlations were found
between the relative mtDNA amount in HCBL and gestational age (r = -0.54, p<0.01)
and birth weight (r = -0.43, p<0.01), respectively. The results revealed that the
mtDNA content per cell decreases in HCBL with progressing fetal development. This
may be explained by gradual shift of the hematopoiesis from fetal liver to bone
marrow during the second half of pregnancy presumably accompanied by decreasing
cell volume of HCBL as it was shown similarly in red blood cells.
PMID- 18052681
TI - Effect of exercise on augmented aortic vasoconstriction in the db/db mouse model
of type-II diabetes.
AB - We evaluated the effects of exercise on the vascular constrictor responses to
alpha-adrenergic stimulation in the db/db mice. Twenty male db/db and their age
matched wild-type (WT) mice were exercised (1 hour/day, five days a week). Mice
were anesthetized 7 weeks later, thoracic aortae were mounted in wire myograph
and constrictor responses to phenylephrine (PE, 1 nM-10 microM) were obtained.
Citrate synthase activity measured in the thigh adductor muscle was significantly
increased in db/db mice that were exercise trained. Maximal force generated by PE
was markedly greater in db/db aortae and exercise did not attenuate this
augmented contractile response. Vessels were incubated with inhibitors of nitric
oxide synthase (L-NAME, 200 microM), endothelin receptors (bosentan, 10 microM),
protein kinase C (PKC) (calphostin C, 5 microM), cyclooxygenase (indomethacin, 10
microM) or Rho-kinase (Y-27632, 0.1 microM). Only calphostin-C normalized the
augmented PE-induced constriction in db/db and db/db- exercised mice to that
observed in WT (p<0.05). Cumulative additions of indolactam, a PKC activator,
induced significantly greater constrictor responses in aortic rings of db/db mice
compared to WT and exercise did not affect this response. Our data suggest that
the augmented vasoconstriction observed in the aorta of db/db mice is likely due
to increased PKC activity and that exercise do not ameliorate this increased PKC
mediated vasoconstriction.
PMID- 18052682
TI - Apolipoprotein E/intrauterine undernutrition interaction and hypercholesterolemia
in children.
AB - The inconsistency of data regarding intrauterine programming of cardiovascular
risk factors may be largely caused by genetic predisposition and later lifestyle.
We analyzed whether low birth weight and apolipoprotein E (Apo E) polymorphism
participate in the onset of hypercholesterolemia in children. Our approach was
based on hypothesis that genetically enhanced susceptibility of different
individuals might influence the effects of intrauterine programming. Two groups
were selected from 2000 children at the beginning of an ongoing study: high
cholesterol group (HCG, n=67) and low-cholesterol group as a control (LCG, n=72).
Both groups were divided into tertilles according to birth weight and we compared
birth weight and apo E gene polymorphism between and within groups. The birth
weight in HCG was 0.3 kg lower than the controls (p<0.001). The frequency of
apoE4 was 31 % in HCG and only 10 % in LCG. The frequency of apoE4+ genotypes was
not significantly different between tertilles based on birth weight in HCG. We
suppose that intrauterine undernutrition, demonstrated by a lower birth weight,
participates in the development of hypercholesterolemia already in childhood. The
effects of low birth weight and the candidate gene - apoE, are synergic.
PMID- 18052683
TI - Age-related changes of human balance during quiet stance.
AB - Certain aspects of balance control change with age, resulting in a slight
postural instability. We examined healthy subjects between 20-82 years of age
during the quiet stance under static conditions: at stance on a firm surface
and/or on a compliant surface with eyes either open or closed. Body sway was
evaluated from centre of foot pressure (CoP) positions during a 50 sec interval.
The seven CoP parameters were evaluated to assess quiet stance and were analyzed
in three age groups: juniors, middle-aged and seniors. The regression analysis
showed evident increase of body sway over 60 years of age. We found that CoP
parameters were significantly different when comparing juniors and seniors in all
static conditions. The most sensitive view on postural steadiness during quiet
stance was provided by CoP amplitude and velocity in AP direction and root mean
square (RMS) of statokinesigram. New physiological ranges of RMS parameter in
each condition for each age group of healthy subjects were determined. Our
results showed that CoP data from force platform in quiet stance may indicate
small balance impairment due to age. The determined physiological ranges of RMS
will be useful for better distinguishing between small postural instability due
to aging in contrast to pathological processes in the human postural control.
PMID- 18052684
TI - Importance of timing of magnesium administration in the isolated ischemic
reperfused rat heart: role of K(ATP) channels.
AB - There is a growing interest for the beneficial effect of magnesium (Mg) in
cardiovascular disorders. A number of cardiovascular disorders including
myocardial infarction, arrhythmias and congestive heart failure have been
associated with low extra- cellular or intracellular concentrations of Mg. The
efficiency of the preconditioning effect of Mg on cardiac function and infarct
size in the globally ischemic-reperfused isolated rat heart was studied together
with the role of ATP-sensitive potassium (K(ATP)) channels in protection induced
by Mg. Rat hearts were Langendorff perfused, subjected to 30 min of global
ischemia and 90 min of reperfusion, including treatment groups which focused on
different times of Mg (8 mmol/l) use. Infarct size was measured by
triphenyltetrazolium chloride (TTC) method. The left ventricular function was
assessed by left ventricular developed pressure (LVDP), heart rate (HR) and
coronary flow (CF). The administration of Mg before ischemia had an anti-infarct
effect in rat hearts and improved cardiac function. The protective effects of
magnesium was abolished by the blocking of K(ATP) channels and suggests that K
ATP channel has an important role in the heart protection effect of Mg as a
preconditioning agent.
PMID- 18052685
TI - Hyperlipidemia is associated with altered levels of insulin-like growth factor-I.
AB - Previous studies revealed altered levels of the circulating insulin-like growth
factor-I (IGF-I) and of its binding protein-3 (IGFBP-3) in subjects with coronary
atherosclerosis, metabolic syndrome and premature atherosclerosis. Hyperlipidemia
is a powerful risk factor of atherosclerosis. We expected IGF-I and IGFBP-3
alterations in subjects with moderate/severe hyperlipidemia but without any
clinical manifestation of atherosclerosis. Total IGF-I and IGFBP-3 were assessed
in 56 patients with mixed hyperlipidemia (MHL; cholesterol >6.0 mmol/l,
triglycerides >2.0 mmol/l), in 33 patients with isolated hypercholesterolemia
(IHC; cholesterol >6.0 mmol/l, triglycerides <2.0 mmol/l), and in 29 healthy
controls (cholesterol<6.0 mmol/l, triglycerides<2.0 mmol/l). The molar ratio of
IGF-I/IGFBP-3 was used as a measure of free IGF-I. IHC subjects differed from
controls by lower total IGF-I (164+/-60 vs. 209+/-73 ng/ml, p=0.01) and IGF-I
/IGFBP-3 ratio (0.14+/-0.05 vs. 0.17+/-0.04, p=0.04). Compared to controls, MHL
subjects had lower total IGF-I (153+/-54 ng/ml, p=0.0002) and IGFBP-3 (2.8+/-0.6
mg/ml, p<0.0001), but higher IGF-I/IGFBP-3 ratio (0.25+/-0.06, p<0.0001).
Differences remained significant after the adjustment for clinical and
biochemical covariates, except for triglycerides. Patients with both IHC and MHL
have lower total IGF-I compared to controls. The mechanism is presumably
different in IHC and MHL. Because of prominent reduction of IGFBP-3 in patients
with MHL, they have reduced total IGF-I despite the actual elevation IGF-I/IGFBP
3 ratio as a surrogate of free IGF-I.
PMID- 18052686
TI - Increased angiotensinogen production in epicardial adipose tissue during cardiac
surgery: possible role in a postoperative insulin resistance.
AB - Critical illness induces among other events production of proinflammatory
cytokines that in turn interfere with insulin signaling cascade and induce
insulin resistance on a postreceptor level. Recently, local renin-angiotensin
system of adipose tissue has been suggested as a possible contributor to the
development of insulin resistance in patients with obesity. The aim of our study
was to determine local changes of the renin-angiotensin system of subcutaneous
and epicardial adipose tissue during a major cardiac surgery, which may serve as
a model of an acute stress potentially affecting endocrine function of adipose
tissue. Ten patients undergoing elective cardiac surgery were included into the
study. Blood samples and samples of subcutaneous and epicardial adipose tissue
were collected at the beginning and at the end of the surgery. Blood glucose,
serum insulin and adiponectin levels were measured and mRNA for angiotensinogen,
angiotensin-converting enzyme and angiotensin II type 1 receptor were determined
in adipose tissue samples using RT PCR. Cardiac surgery significantly increased
both insulin and blood glucose levels suggesting the development of insulin
resistance, while serum adiponectin levels did not change. Expression of
angiotensinogen mRNA significantly increased in epicardial adipose tissue at the
end of surgery relative to baseline but remained unchanged in subcutaneous
adipose tissue. Fat expression of angiotensin-converting enzyme and type 1
receptor for angiotensin II were not affected by surgery. Our study suggests that
increased angiotensinogen production in epicardial adipose tissue may contribute
to the development of postoperative insulin resistance.
PMID- 18052687
TI - The effect of lipoic acid on antioxidant status and lipid peroxidation in rats
exposed to chronic restraint stress.
AB - This study was designed to investigate effect of alpha-lipoic acid (LA) on lipid
peroxidation, nitric oxide production and antioxidant systems in rats exposed to
chronic restraint stress. Twenty four male Wistar rats, aged three months, were
divided into four groups: control (C), the group treated with LA (L), the group
exposed to restraint stress (S) and the group exposed to stress and treated with
LA (LS). Restraint stress was applied for 21 days (1 h/day) and LA (100
mg/kg/day) was injected intraperitonally to the L and LS groups for the same
period. Restraint stress significantly decreased brain copper/zinc superoxide
dismutase (Cu,Zn-SOD) and brain and retina glutathione peroxidase (GSH-Px) and
catalase (CAT) activities compared with the control group. Thiobarbituric acid
reactive substances (TBARS), nitrite and nitrate levels were significantly
increased in the tissues of the S group compared with the C group. LA produced a
significant decrease in brain and retina TBARS, nitrite and nitrate levels of the
L and LS groups compared to their corresponding control groups. LA increased all
enzyme activities in the tissues of the LS group compared to the S group. Our
study indicated that LA is an ideal antioxidant candidate for the prevention of
stress-induced lipid peroxidation.
PMID- 18052688
TI - Chronic atropine administration diminishes the contribution of vasoactive
intestinal polypeptide to heart rate regulation.
AB - Vasoactive intestinal polypeptide (VIP) is implicated in the modulation of vagal
effects on the heart rate. In this study, the impact of acute and chronic
atropine administration on VIP levels in rat heart atria was investigated in
relation to heart rate in the course of vagus nerves stimulation. Anaesthetised
control and atropinised (10 mg/kg/day for 10 days) rats pretreated with
metipranolol and phentolamine that were either given or not a single dose of
atropine were subjected to bilateral vagus nerve stimulation (30 min: 0.7 mA, 20
Hz, 0.2 ms). VIP concentrations in the atria were determined after each
stimulation protocol. In control rats with or without single atropine
administration, the heart rate upon vagal stimulation was higher than in
atropinised animals with or without single atropine dose, respectively. VIP
concentrations in the control atria were significantly decreased after the
stimulation; the decrease was comparable both in the absence and presence of a
single dose of atropine. Compared to controls, VIP levels were significantly
decreased after chronic atropine treatment and they were not further reduced by
vagal stimulation and single atropine administration. Administration of VIP
antagonist completely abolished the differences in the heart rate upon vagal
stimulation between control and atropinised groups. In conclusion, the data
indicate that chronic atropine administration affects VIP synthesis in rat heart
atria and consequently it modifies the heart rate regulation.
PMID- 18052689
TI - In vitro hypoxia increases production of matrix metalloproteinases and tryptase
in isolated rat lung mast cells.
AB - Chronic hypoxia results in hypoxic pulmonary hypertension characterized by
fibrotization and muscularization of the walls of peripheral pulmonary arteries.
This vessel remodeling is accompanied by an increase in the amount of lung mast
cells (LMC) and the presence of small collagen cleavage products in the vessel
walls. We hypothesize that hypoxia activates LMC, which release matrix
metalloproteinases (MMPs) cleaving collagen and starting increased turnover of
connective tissue proteins. This study was designed to determine whether in vitro
hypoxia stimulates production of MMPs in rat LMC and increases their
collagenolytic activity. The LMC were separated on the Percoll gradient and then
were divided into two groups and cultivated for 24 h in 21 % O(2) + 5 % CO(2) or
in 10 % O(2) + 5 % CO(2). Presence of the rat interstitial tissue collagenase
(MMP-13) in LMC was visualized by immunohistological staining and confirmed by
Western blot analysis. Total MMPs activity and tryptase activity were measured in
both cultivation media and cellular extracts. Exposure to hypoxia in vitro
increased the amount of cells positively labeled by anti-MMP-13 antibody as well
as activities of all measured enzymes. The results therefore support the concept
that LMC are an important source of increased collagenolytic activity in chronic
hypoxia.
PMID- 18052690
TI - The effects of head cooling on endurance and neuroendocrine responses to exercise
in warm conditions.
AB - The present study investigated the effects of head cooling during endurance
cycling on performance and the serotonergic neuroendocrine response to exercise
in the heat. Subjects exercised at 75 % VO(2max) to volitional fatigue on a cycle
ergometer at an ambient temperature of 29+/-1.0 degrees C, with a relative
humidity of approximately 50 %. Head cooling resulted in a 51 % (p<0.01)
improvement in exercise time to fatigue and Borg Scale ratings of perceived
exertion were significantly lower throughout the exercise period with cooling
(p<0.01). There were no indications of peripheral mechanisms of fatigue either
with, or without, head cooling, indicating the importance of central mechanisms.
Exercise in the heat caused the release of prolactin in response to the rise in
rectal temperature. Head cooling largely abolished the prolactin response while
having no effect on rectal temperature. Tympanic temperature and sinus skin
temperature were reduced by head cooling and remained low throughout the
exercise. It is suggested that there is a co-ordinated response to exercise
involving thermoregulation, neuroendocrine secretion and behavioural adaptations
that may originate in the hypothalamus or associated areas of the brain. Our
results are consistent with the effects of head cooling being mediated by both
direct cooling of the brain and modified cerebral artery blood flow, but an
action of peripheral thermoreceptors cannot be excluded.
PMID- 18052691
TI - Mutation analysis of candidate genes SCN1B, KCND3 and ANK2 in patients with
clinical diagnosis of long QT syndrome.
AB - The long QT syndrome (LQTS) is a monogenic disorder characterized by prolongation
of the QT interval on electrocardiogram and syncope or sudden death caused by
polymorphic ventricular tachycardia (torsades de pointes). In general, mutations
in cardiac ion channel genes (KCNQ1, KCNH2, SCN5A, KCNE1, KCNE2) have been
identified as a cause for LQTS. About 50-60 % of LQTS patients have an
identifiable LQTS causing mutation in one of mentioned genes. In a group of 12
LQTS patients with no identified mutations in these genes we have tested a
hypothesis that other candidate genes could be involved in LQTS pathophysiology.
SCN1B and KCND3 genes encode ion channel proteins, ANK2 gene encodes cytoskeletal
protein interacting with ion channels. To screen coding regions of genes SCN1B,
KCND3, and 10 exons of ANK2 following methods were used: PCR, SSCP, and DNA
sequencing. Five polymorphisms were found in screened candidate genes, 2
polymorphisms in KCND3 and 3 in SCN1B. None of found polymorphisms has coding
effect nor is located close to splice sites or has any similarity to known
splicing enhancer motifs. Polymorphism G246T in SCN1B is a novel one. No mutation
directly causing LQTS was found. Molecular mechanism of LQTS genesis in these
patients remains unclear.
PMID- 18052693
TI - Osseointegrated implants in subjects treated for generalized aggressive
periodontitis: 10-year results of a prospective, long-term cohort study.
AB - BACKGROUND: The aim of this prospective 10-year study of partially edentulous
subjects treated for generalized aggressive periodontitis and periodontally
healthy subjects was a clinical, microbiologic, and radiographic comparison of
teeth and implants and assessment of the implants' success rate. METHODS: Five
subjects treated for generalized aggressive periodontitis (GAgP) and five
periodontally healthy subjects who were orally rehabilitated with osseointegrated
implants participated in the study. First, they were examined 2 to 4 weeks before
extraction of the non-retainable teeth (baseline) and 3 weeks after insertion of
the final abutments. All further examinations were performed during a 3-month
recall schedule over a 10-year period. At every session, clinical parameters were
recorded, and the composition of the subgingival microflora was determined.
Radiographs were taken at baseline after insertion of the superstructure and 1,
3, 5, 8, and 10 years later. RESULTS: Throughout the follow-up period, the peri
implant gingival index of GAgP subjects was significantly higher than in
periodontally healthy subjects. There was no difference in plaque index between
teeth and implants or between the two groups. The peri-implant probing depths
were comparable in the two groups and remained < or =4 mm throughout the follow
up. The probing depth was significantly higher around the teeth of the GAgP
subjects compared to periodontally healthy subjects. Implants of GAgP subjects
showed a significantly higher attachment loss (O 2.4 mm). The attachment level at
teeth and implants of the periodontally healthy subjects and at teeth of the GAgP
subjects was almost unchanged. Microbiologically, GAgP subjects had fewer cocci
and more motile rods and filaments at teeth and implants than periodontally
healthy subjects. GAgP subjects showed significantly more peri-implant bone loss
in the first year (O 2.07 mm) and in the subsequent 9 years (total 1.3 mm). Bone
loss at teeth also was significantly higher at baseline (O 26.39%) and in the
following years (total 9.3%). Implant survival rates were 100% in periodontally
healthy subjects versus 83.33% in GAgP subjects. CONCLUSIONS: This 10-year study
showed that partially edentulous subjects treated for GAgP can be rehabilitated
successfully with osseointegrated implants. However, the bone and attachment loss
at the implants were higher than in periodontally healthy subjects.
PMID- 18052694
TI - The efficacy of subgingival calculus removal with endoscopy-aided scaling and
root planing: a study on multirooted teeth.
AB - BACKGROUND: The dental endoscope was developed to facilitate visualization of the
subgingival environment as an aid in diagnosis and non-surgical root debridement.
The purpose of this study was to determine whether endoscopy-aided scaling and
root planing (SRP) resulted in a greater reduction of residual calculus compared
to SRP alone in multirooted teeth. METHODS: Twenty-four patients were enrolled
and contributed 35 tooth pairs (70 teeth in total). Each tooth per pair was
randomly assigned to receive endoscopy-aided SRP (test) or SRP alone (control).
Both teeth were extracted immediately after treatment, washed with water, and
stained with methylene blue. The percentage of residual calculus was determined
via stereomicroscopy and digital image software by a single masked examiner.
RESULTS: Overall, there was 1.16% (P = 0.097) less residual calculus at test
versus control sites. At interproximal surfaces, test roots had 2.63% less
residual calculus than control roots (P = 0.003), whereas test roots had slightly
more residual calculus than controls at buccal/lingual surfaces (0.36%; P =
0.652). There were no statistically significant differences in residual calculus
between groups at deeper probing depths or at sites with deep furcation
invasions. Only at shallower interproximal sites with probing depths < or =6 mm
was significantly less residual calculus seen in roots treated with endoscopy (P
= 0.020). Treatment time decreased significantly as operator experience
increased; however, no significant improvement in residual calculus levels was
noted with greater experience. CONCLUSION: Within the confines of this study, the
use of the endoscope as an adjunct to traditional SRP provided no significant
improvement in calculus removal in multirooted molar teeth.
PMID- 18052695
TI - Retention of hopeless teeth: the effect on the adjacent proximal bone following
periodontal surgery.
AB - BACKGROUND: Clinical wisdom often suggests that retention of periodontally
hopeless teeth may accelerate the destruction of the adjacent periodontium. The
purpose of this study was to examine the effect of retaining hopeless teeth on
the adjacent alveolar bone following periodontal surgery. METHODS: A
retrospective study was conducted based on intraoral radiographs. Teeth were
considered hopeless if they had lost > or =70% bone height at either of the
proximal surfaces. The minimal follow-up period after surgery was 24 months. All
subjects completed periodontal therapy, including scaling and root planing (SRP),
and periodontal surgery at these sites. Ninety-three subjects with 110 hopeless
teeth were included in this study. Cases were sorted into two groups: retained,
which included 57 hopeless teeth (50 subjects) that were maintained; and
extracted, which included 53 hopeless teeth (43 subjects) that were removed at
surgery. All radiographs were digitized, and measurements of radiographic bone
distance (RBD) were made using computerized software. RESULTS: Mean follow-up was
4.40 +/- 0.23 years. For the retained hopeless teeth, there was a mean bone gain
of 0.82 mm from baseline (7.18 +/- 0.35 mm) to the final examination (6.45 +/-
0.41 mm; P = 0.0061). Likewise, the postoperative percentage of RBD of the
retained hopeless teeth showed a statistically significant improvement from
baseline (57.46% +/- 1.5%) to the final examination (52.32% +/- 2.03%; P =
0.0032). Teeth adjacent to a hopeless tooth had a slight radiographic bone gain
postoperatively, which was greater in the extracted group. However, it was
significant only for the distal neighboring teeth (1.50% versus 11.36%,
respectively; P = 0.0119). CONCLUSION: Long-term preservation of hopeless teeth
following periodontal surgery is an attainable goal with no detrimental effect on
the adjacent proximal teeth.
PMID- 18052696
TI - Removable prostheses may enhance marginal bone loss around dental implants: a
long-term retrospective analysis.
AB - BACKGROUND: The aim of the study was to retrospectively evaluate marginal bone
loss (MBL) around rough-surface dental implants, placed in a private clinic, and
to construct a multivariate model based on formerly proposed prognostic
variables. METHODS: Records of patients who were treated previously with dental
implants were reviewed. The patients' latest annual clinical examinations and
radiograms were used for data collection and the calculation of MBL. A patient
based multivariate model was constructed based on two successive iterations of
statistical analysis. RESULTS: Eighty-two patients and 265 implants with > or =30
months of follow-up were evaluated. The overall survival rate was 95.8% (2.6%
early loss and 1.5% late loss). By evaluating the data with the single implant as
a unit of analysis, MBL was correlated with time. Higher MBL values were found in
smokers and around implants supporting removable prostheses. In the patient-based
analysis, only smoking and the presence of a removable prosthesis correlated with
higher values of MBL. Odds ratios for higher rates of MBL were 1.95 and 2.57 for
smokers and around removable prostheses, respectively. Neither time nor any of
the other suspected variables correlated with higher MBL. CONCLUSIONS: The
present study corroborated the notion that smoking correlates with higher MBL and
implied that implants supporting removable prostheses tend to display more bone
loss. Further studies are needed to elucidate the latter finding.
PMID- 18052697
TI - Morphometric study of the interproximal unit in the esthetic region to correlate
anatomic variables affecting the aspect of soft tissue embrasure space.
AB - BACKGROUND: The presence of a normal papilla is crucial to avoid the unpleasant
esthetic defects that are of major concern to periodontists, restorative
dentists, and patients. During the course of progressive periodontitis and
following periodontal treatment, it is not uncommon to have a partial loss of the
interdental papilla. This loss can lead to an unesthetic gingival appearance.
This study evaluated different anatomic variables in an effort to determine their
role in the papillary appearance of maxillary incisors. METHODS: A total of 178
interdental embrasures in 58 patients were selected randomly for examination. For
each patient, a digital photograph and a modified periapical radiograph of the
interdental embrasure of the four maxillary incisors were taken by using a
special metric device fixed to a centrator as a reference marker. Clinical and
radiographic data were obtained for the distance from the contact point to the
alveolar crest and for the interradicular distance. We used a classification
system with regard to peri-implant soft tissue based on esthetic assessments
related to the space between reference lines through the highest gingival
curvature of the crown-tooth margin and the contact point. RESULTS: In the group
of interdental sites with an interradicular distance of less than approximately
2.4 mm, an increase in the distance between the contact point and the bone crest
corresponded to a marked increase in the interdental black triangle's dimensions
and, therefore, a less esthetic smile. In particular, when the interradicular
distance was >2.4 mm, we statistically estimated that the other anatomic variable
considered, the distance from the contact point to the alveolar crest, lost its
influence on whether the interdental papilla would be present or absent.
CONCLUSION: The interradicular distance and the distance between the contact
point and the alveolar crest have independent and combined effects on the
presence or absence of the interdental papilla.
PMID- 18052698
TI - Intrauterine growth restriction, low birth weight, and preterm birth: adverse
pregnancy outcomes and their association with maternal periodontitis.
AB - BACKGROUND: It has been suggested that periodontitis is associated with systemic
alterations such as adverse pregnancy outcomes. However, some conflicting results
have been reported. This case-control study was conducted to determine the
association between maternal periodontitis and preterm birth (PTB), low birth
weight (LBW), and intrauterine growth restriction (IUGR). METHODS: A total of
1,305 Brazilian women from multiethnic groups were enrolled and divided into four
groups: control group (1,042 women who gave birth to infants with adequate
gestational age and birth weight); PTB group (238 women, gestational age <37
complete weeks); LBW group (235 women, birth weight <2,500 g); and IUGR group (77
women who gave birth to infants with fetal growth retardation). Periodontitis was
defined as the presence of at least four teeth with one or more sites with
probing depth > or =4 mm and clinical attachment loss > or =3 mm. The effects of
variables of interest, confounders, and interaction were tested through
univariate and multivariate logistic regression tests. RESULTS: After adjusting
for variables of interest, maternal periodontitis was retained in the final model
for PTB (odds ratio [OR] = 1.77; 95% confidence interval [CI]: 1.12 to 2.59), LBW
(OR = 1.67; 95% CI: 1.11 to 2.51), and IUGR (OR = 2.06; 95% CI: 1.07 to 4.19).
When the interaction between periodontitis and previous PTB was tested, an OR of
5.94 (P <0.001), 9.12 (P <0.001), and 18.90 (P <0.001) was observed for PTB, LBW,
and IUGR, respectively. CONCLUSIONS: Maternal periodontitis is associated with an
increased risk for PTB, LBW, and IUGR. Results emphasize the importance of
periodontal care in prenatal health programs.
PMID- 18052699
TI - Use of anorganic bovine-derived hydroxyapatite matrix/cell-binding peptide (P-15)
in the treatment of class II furcation defects: a clinical and radiographic study
in humans.
AB - BACKGROUND: This study compared clinical and radiographic findings for the
treatment of Class II furcation defects in human mandibular molars using
anorganic bovine-derived hydroxyapatite matrix (ABM)/cell-binding peptide (P-15)
or open flap debridement (OFD). METHODS: Twelve subjects showing two comparable
Class II furcation defects in their mandibular molars were enrolled. The defects
in each subject were assigned randomly to the test (ABM/P-15) or the control
(OFD) group. Clinical measurements and standardized radiographs were taken at
baseline and 6 to 7 months after surgery. RESULTS: There were no statistically
significant differences between the test and control groups for any clinical or
radiographic parameter (P >0.05). On comparing the baseline and final
measurements, the gain in horizontal clinical attachment level and reduction in
gingival recession were significant only in the test group (P < or =0.02),
whereas the gain in the vertical clinical attachment level was significant in
both groups (P < or =0.04). In the test group, four of 12 sites showed complete
closure, and five showed partial closure; in the control group, three defects
showed complete closure, and four showed partial closure (P = 0.42). Subtraction
radiography revealed similar gains in bone height and increases in mean bone
density with both treatments (P >0.05). CONCLUSIONS: ABM/P-15 yielded favorable
results in the treatment of Class II furcation defects over a 6-month evaluation
period; however, there was no difference compared to OFD. Further studies using a
larger sample size are needed to confirm the present findings.
PMID- 18052700
TI - Comparison of analgesic and anti-inflammatory efficacy of selective and non
selective cyclooxygenase-2 inhibitors in dental implant surgery.
AB - BACKGROUND: The analgesic and anti-inflammatory efficacy of tenoxicam and
meloxicam were evaluated in this double-masked, randomized, prospective study by
analyzing pain scores and the need for rescue-analgesic agents following dental
implant surgery. METHODS: One hundred patients, in whom 241 dental implants were
placed, were divided into two groups. For 4 days beginning the day before
surgery, the first group received meloxicam, 15 mg daily, and the second group
received tenoxicam, 20 mg daily, followed by 1 hour preoperatively and for 2 days
thereafter. Pain intensity was rated by the subjects based on a visual analog
scale on the operation day and on the following 6 days. The patients were
recommended to use a rescue analgesic if the pain score was > or =4.
Postoperative complications, such as edema, hematoma, infection, severe pain,
paresthesia, or gastrointestinal complaints, were also noted. RESULTS:
Statistical analysis revealed that 54% of patients in the tenoxicam group and 66%
of patients in the meloxicam group used rescue analgesics on day 1. However, the
difference between the groups was not significant (chi(2) = 1.05; P = 0.30). The
relationship between the reduction of consumption and time was not significant in
either group (Z = 0.84; P = 0.40). The relationship between the use of rescue
analgesics and the number of implants placed was not significant. Among patients
who reported postoperative complications, there was not a statistically
significant difference between the groups (chi(2) = 0.04; P = 0.84). CONCLUSION:
Meloxicam and tenoxicam exhibited a similar analgesic and anti-inflammatory
efficacy in the present investigation.
PMID- 18052701
TI - Markers of systemic bacterial exposure in periodontal disease and cardiovascular
disease risk: a systematic review and meta-analysis.
AB - BACKGROUND: Recent meta-analyses reported a weak association between periodontal
disease (PD) on clinical examination and cardiovascular disease (CVD). Systemic
bacterial exposure from periodontitis, which correlates poorly with the clinical
examination, has been proposed as the more biologically pertinent risk factor.
The purpose of this study was to review and analyze the association between PD
with elevated systemic bacterial exposure and CVD. METHODS: We searched in the
PubMed, Cochrane Controlled Trials Register, EMBASE, and SCOPUS databases for all
literature examining PD and CVD. From 10 selected publications, we extracted 12
cohort (N = 5) and cross-sectional (N = 7) studies and included 11 of these in a
meta-analysis. With stratified analyses, this resulted in 14 analyses of coronary
heart disease (CHD; N = 7), stroke (N = 4), and carotid intima-medial thickening
(CIMT; N = 3) as a measure of early atherosclerosis. Systemic bacterial exposure
was measured by periodontal bacterial burden (N = 1), periodontitis-specific
serology (N = 12), or C-reactive protein (N = 1). RESULTS: Periodontal disease
with elevated markers of systemic bacterial exposure was associated strongly with
CHD compared to subjects without PD, with a summary odds ratio of 1.75 (95%
confidence interval (CI): 1.32 to 2.34; P <0.001). This group was not associated
with CVD events or with stroke but was associated with a significant increase in
mean CIMT (0.03 mm; 95% CI: 0.02 to 0.04). CONCLUSION: Periodontal disease with
elevated bacterial exposure is associated with CHD events and early atherogenesis
(CIMT), suggesting that the level of systemic bacterial exposure from
periodontitis is the biologically pertinent exposure with regard to
atherosclerotic risk.
PMID- 18052702
TI - Subgingival human cytomegalovirus correlates with increased clinical periodontal
parameters and bacterial coinfection in periodontitis.
AB - BACKGROUND: Viruses from the Herpesviridae family may be implicated in the
pathogenesis of periodontal disease. The aim of this investigation was to compare
the subgingival frequency of human cytomegalovirus (HCMV) in subjects affected by
periodontitis to periodontally healthy subjects and to assess the correlation of
HCMV with periodontal clinical parameters and periodontopathic bacteria. METHODS:
Thirty subjects with periodontitis (20 with chronic periodontitis and 10 with
aggressive periodontitis) were included in the study. A group of 22 periodontally
healthy individuals served as controls. Clinical periodontal parameters of
probing depth (PD) and clinical attachment level (CAL) were recorded using a
computerized periodontal probe. Subgingival plaque samples were processed for
viral identification by nested polymerase chain reaction and bacterial
identification by culture. Clinical periodontal parameters, frequency of
detection of HCMV, and microbial composition were compared between the groups
using the two-tailed Student t, chi(2), and Mann-Whitney tests. Logistic and
linear regression analyses were performed to measure the association between
virus-bacterial coinfection and clinical parameters (P < or =0.05). RESULTS: HCMV
detection was more prevalent (P < or =0.05) in periodontally diseased subjects
compared to healthy ones. Furthermore, in all groups, PD and CAL were increased
in HCMV-positive sites. In the periodontitis groups, higher frequencies and
levels of specific periodontopathic bacteria were detected in HCMV-positive
sites. CONCLUSIONS: HCMV detection in periodontal pockets was associated with
higher levels of periodontopathic bacteria and increased PD and CAL at sampled
sites. HCMV/bacteria coinfection may be an important factor in periodontal
destruction.
PMID- 18052703
TI - The interleukin-1 and Fcgamma receptor gene polymorphisms in Japanese patients
with rheumatoid arthritis and periodontitis.
AB - BACKGROUND: The pathobiology of rheumatoid arthritis (RA) is similar to that of
periodontitis in that proinflammatory cytokines and immunoglobulin G Fc receptor
(FcgammaR) play an important role. Functional polymorphisms of interleukin (IL)-1
and FcgammaR were shown to be associated with susceptibility to both diseases.
Therefore, we evaluated whether the IL-1 and FcgammaR gene polymorphisms
represent a common risk factor for RA and periodontitis. METHODS: The study
population consisted of Japanese adults with RA (RA group; N = 100),
periodontitis only (P group; N = 100), and healthy individuals with no systemic
or oral disease (H group; N = 100). Clinical periodontal condition was defined by
measurements of probing depth, clinical attachment level, and bleeding on
probing. Genomic DNA was isolated from peripheral blood and analyzed for
determination of IL-1 genotypes (IL-1A+4845, IL-1B+3954, and IL-1RN+2028) and
FcgammaR genotypes (FcgammaRIIA, FcgammaRIIIA, and FcgammaRIIIB) by allele
specific polymerase chain reactions. RESULTS: Among 100 patients with RA, 86%
showed periodontal tissue destruction. However, the RA group exhibited milder
levels of periodontal tissue destruction than the P group (P <0.01). There was a
significant difference in the distribution of IL-1B+3954 C/T genotypes between
the RA and P groups and between the RA and H groups (P = 0.03 for both
comparisons), with enrichment of the T allele in the RA group (P = 0.04; odds
ratio, 2.9 for both comparisons). The combination of IL-1A+4845 T and IL-1+3954 T
alleles yielded a strong association with RA and periodontitis (RA versus P
group: P = 0.00001; RA versus H group: P = 0.00001). CONCLUSIONS: These results
failed to show that IL-1 and FcgammaR gene polymorphisms constitute a common risk
factor for RA and periodontitis. However, it was suggested that the distributions
of IL-1B+3954 genotypes and IL-1A+4845 and IL-1B+3954 haplotypes were unique to
the patients with RA and periodontitis.
PMID- 18052704
TI - Evaluation of gingival crevicular fluid and serum levels of high-sensitivity C
reactive protein in chronic periodontitis patients with or without coronary
artery disease.
AB - BACKGROUND: Most studies have evaluated serum C-reactive protein (CRP) levels in
chronic periodontitis (CP) patients, and a few investigations have examined
gingival crevicular fluid (GCF) CRP levels. The aims of this study were to
determine GCF and serum levels of high-sensitivity CRP (HsCRP) in CP patients
with or without coronary artery disease (CAD) and to investigate the relationship
between the GCF and serum HsCRP levels in CP patients with and without CAD.
METHODS: Thirty CP patients with angiographically proven CAD, 20 CP patients, and
17 healthy individuals were included in the study. Clinical parameters were
recorded, and serum and GCF samples were collected. The level of HsCRP in GCF was
assayed by a high-sensitivity enzyme-linked immunosorbent assay. The HsCRP level
was assayed in the plasma on a nephelometer. RESULTS: The serum HsCRP levels were
significantly higher in CP patients with or without CAD than in the control
group, and there was a correlation between serum HsCRP levels and clinical
parameters and between serum HsCRP levels and GCF volume. There was no
statistically significant difference in GCF HsCRP levels between the groups.
There was no correlation between GCF HsCRP levels and clinical parameters, GCF
volume, or serum HsCRP levels. CONCLUSIONS: Patients with CP and CP + CAD had
statistically significant elevations in serum HsCRP levels compared to healthy
subjects. However, HsCRP levels of GCF did not differ from those of the control
and CP groups or the control and CP + CAD groups. Further studies are needed to
clarify the relationship between GCF CRP levels and periodontal diseases.
PMID- 18052705
TI - Gingival crevicular fluid levels of leukotriene B4 in periodontal health and
disease.
AB - BACKGROUND: Leukotriene B(4) (LTB(4)) is a membrane-derived lipid mediator formed
from arachidonic acid. LTB(4) is among the most potent stimulants of
polymorphonuclear leukocytes (PMNs) and, thus, participates in tissue injury by
recruiting PMNs in a pathophysiologic scenario of periodontal diseases. The aim
of the present study was to assess the relationship between clinical parameters
and concentrations of LTB(4) within gingival crevicular fluid (GCF) from inflamed
gingiva and periodontitis sites before and after the treatment of periodontitis.
METHODS: Sixty subjects were divided into three groups with 20 subjects in each
group: healthy (group 1), gingivitis (group 2), and chronic periodontitis (group
3). Groups were based on periodontal disease index (PDI), clinical attachment
loss (CAL), and radiographic evidence of bone loss. Group 4 consisted of the
subjects in group 3 at 6 to 8 weeks after treatment, i.e., scaling and root
planing (SRP). GCF samples collected from each patient were quantified for LTB(4)
using an enzymatic immunometric assay. In addition, the correlation between in
situ LTB(4) levels and clinical parameters was analyzed in each group. RESULTS:
The highest mean LTB(4) concentration in GCF was observed in group 3 (185.2
pg/microl), and the lowest was observed in group 1 (39.6 pg/microl). Its level in
group 3 decreased to 79.35 pg/microl after treatment (group 4). Further, GCF
LTB(4) levels in all groups showed a statistically significant positive
correlation with PDI and CAL (P <0.005). CONCLUSION: The substantial increase in
GCF LTB(4) concentrations with the severity of periodontal disease and a
concomitant decrease in its level following SRP in subjects with periodontitis
suggest a possible role for LTB(4) in the progression of periodontal disease.
PMID- 18052706
TI - Subgingival microbiologic effects of one-time irradiation by CO2 laser: a pilot
study.
AB - BACKGROUND: Third-generation carbon dioxide (3-CO(2)) lasers have handpieces that
accommodate a periodontal insert that permits irradiation directly into the
periodontal pocket/sulcus. The purpose of this pilot study was to evaluate, by
scanning electron microscopy (SEM), the surface effects of 3-CO(2) laser
treatment on the root surfaces and soft tissues and to investigate the effects of
3-CO(2) laser on periodontal pathogenic bacteria compared to negative controls.
METHODS: Five patients with chronic periodontitis and treatment planned for a
maxillary immediate denture were included in the study. Periodontal pockets with
a mean probing depth of 5.5 +/- 0.8 mm were irradiated one time by CO(2) laser
(wavelength of 10,600 nm) at a power of 2.2 W, 50 Hz, an 80-millisecond pulse
length, and an exposure rate of 1 mm per 5 seconds. DNA analysis of eight
periodontal bacteria was performed on samples collected from laser-treated and
control sites prior to and immediately following treatment and was compared
between groups. In addition, block biopsies, including soft tissue, were
harvested for SEM examination. RESULTS: SEM examination of test group specimens
showed heat damage on the soft tissues in three of 17 specimens (17.6%). In
addition, 11.7% (two of 17) of the 3-CO(2)-treated teeth exhibited localized
slight damage to root surfaces. Microbiologic results of the control sites
indicated that 90.6% of the bacterial counts remained the same, 6% increased, and
3% decreased. In the test group, 71.25% of the bacterial count analyses for the
eight different periodontal microbes remained the same, 12.50% increased, and
16.25% decreased. CONCLUSION: A one-time use of the 3-CO(2) laser in periodontal
pockets did not sterilize or substantially reduce subgingival bacterial
populations compared to negative controls.
PMID- 18052707
TI - Matrix metalloproteinase-2, -9, and -12 gene polymorphisms in generalized
aggressive periodontitis.
AB - BACKGROUND: Matrix metalloproteinases (MMPs) are involved in periodontal tissue
remodeling and degradation. Polymorphisms in the promoter region of the MMP-2 and
-9 genes and in the coding region of the MMP-12 gene could affect transcription
and the function of these enzymes. The aim of the present study was to determine
the association between the aforementioned MMP polymorphisms and generalized
aggressive periodontitis (GAgP). METHODS: Genomic DNA was obtained from the
peripheral blood of 92 subjects with GAgP and 157 periodontally healthy subjects.
MMP-2 -735C/T, MMP-9 -1562C/T, and MMP-12 357Asn/Ser polymorphisms were genotyped
by polymerase chain reaction and restriction fragment length polymorphism.
Probing depth, clinical attachment loss, supragingival plaque accumulation, and
bleeding on probing were recorded. The data were analyzed by chi(2), logistic
regression, and Mann-Whitney U tests. RESULTS: The genotype distributions, allele
frequencies, and rare allele carriage of MMP-2 and MMP-12 genes were similar in
GAgP and healthy subjects (P >0.05). T allele frequency and T allele carriage of
the MMP-9 -1562 C/T polymorphism were significantly lower in the GAgP group than
in the healthy group (P <0.05). In addition, logistic regression analysis
revealed a protective effect for MMP-9 -1562 T allele carriers (odds ratio =
0.52; P = 0.04). CONCLUSIONS: MMP-2 -735C/T and MMP-12 357Asn/Ser polymorphisms
are not related to GAgP. Conversely, the MMP-9 -1562 gene T allele might be
associated with a decreased risk for GAgP in the Turkish population.
PMID- 18052708
TI - A patient-centered clinical evaluation of acellular dermal matrix graft in the
treatment of gingival recession defects.
AB - BACKGROUND: The present randomized controlled trial was conducted to evaluate
acellular dermal matrix (ADM) graft in terms of patient satisfaction and its
effectiveness and efficiency in the treatment of gingival recession. METHODS:
Fourteen patients (seven males and seven females) with Miller Class I and II
recessions > or =3 mm participated in this 6-month clinical study. They were
assigned randomly to the ADM group (ADM graft and coronally positioned flap
[CPF]) or the CPF group (CPF alone). Results were evaluated based on parameters
measuring patient satisfaction and clinical outcomes associated with the two
treatment procedures. Significance was set at P <0.05. RESULTS: The mean
recession was 4.0 +/- 1.0 mm and 3.7 +/- 0.7 mm for the ADM and CPF groups,
respectively. For the ADM group, the defect coverage was 3.85 +/- 0.89 mm or
97.14% compared to the CPF group, in which the defect coverage was 2.85 +/- 0.89
mm or 77.42%. The difference between the two groups was statistically significant
(P <0.05). There were no statistically significant differences between the two
groups in the remaining clinical parameters and overall patient satisfaction
except in criteria related to patient comfort and cost effectiveness, in which
CPF alone produced significantly better results (P <0.03). CONCLUSIONS: ADM graft
is significantly superior with regard to effectiveness and efficiency in the
treatment of gingival recession than CPF alone. CPF emerges as a better option
than ADM graft in terms of cost effectiveness and patient comfort.
PMID- 18052709
TI - CCL28 effects on periodontal pathogens.
AB - BACKGROUND: Chemokines are small proteins that signal to and attract cells of the
immune system; they are vital components in the modulation of immunity and wound
healing. A newly described chemokine was reported to have antibacterial and
antifungal activity. This chemokine, chemokine (C-C motif) ligand 28 (CCL28; also
called mucosae-associated epithelial chemokine), is secreted by mucosal
epithelial cells and is found in saliva and in breast milk. The objective of this
study was to test whether CCL28 has antibacterial activity against two anaerobic
periodontal pathogens: Porphyromonas gingivalis and Actinobacillus
actinomycetemcomitans. METHODS: We used a bacterial viability test, in which two
fluorescent dyes are bound differentially to living and killed bacteria. We
tested the bacteria at concentrations of 2 x 10(7)/ml, exposing them to CCL28 at
concentrations from 0.04 to 10 microM. RESULTS: CCL28 was effective at killing
both organisms. After 1 hour of exposure to the chemokine under appropriate
oxygen conditions, the percentage of living organisms was reduced significantly
for each species. We estimated the 50% effective concentration to be
approximately 0.7 microM for P. gingivalis and approximately 2.0 microM for A.
actinomycetemcomitans (N = five experiments each). We confirmed these
observations using standard bacterial plating methods. CONCLUSION: Because this
chemokine is secreted into the saliva, a reduction in salivary flow (as in
xerostomia) may diminish the oral self-defense mechanisms by also reducing the
exposure of bacteria to the antibacterial action of CCL28.
PMID- 18052710
TI - Apoptosis and expression of caspase-3 in cyclosporin-induced gingival overgrowth.
AB - BACKGROUND: The pathogenesis of epithelial thickening in gingival overgrowth
remains obscure. Apoptosis plays an important role in maintaining tissue
hemostasis. The aim of the present study was to investigate apoptosis via
immunohistochemical analyses in cyclosporin A-induced gingival overgrowth tissue
samples to determine whether these processes play a role in the pathogenesis of
gingival overgrowth. METHODS: Gingival biopsies (one per person) were harvested
from 22 renal transplant recipients (eight men and 14 women; mean age, 36.4 +/-
13.3 years) who had been diagnosed with cyclosporin A-induced gingival
enlargement and from 12 systemically healthy persons (seven men and five women;
mean age, 27.0 +/- 16.0 years) with plaque-induced gingivitis. Distributions of
caspase-3 and apoptosis were determined immunologically. RESULTS: Significant
differences were found with regard to caspase-3 levels and the extent of
apoptosis between the cyclosporin A group and the control group. Plaque index,
gingival index, and probing depths were significantly lower in the control group.
CONCLUSION: The extent of keratinocyte apoptosis and decreased levels of caspase
3 may be an important factor affecting the gingiva of kidney transplant
recipients with cyclosporin A-induced gingival overgrowth.
PMID- 18052711
TI - Enamel matrix derivative induces connective tissue growth factor expression in
human osteoblastic cells.
AB - BACKGROUND: Enamel matrix derivative (EMD) stimulates the production of
transforming growth factor-beta (TGF-beta), which has been suggested to play a
role in mediating the effects of EMD in periodontal tissue regeneration.
Connective tissue growth factor (CTGF) is a mediator of TGF-beta and promotes
cell development. The interaction between EMD and CTGF is unknown. This study
explored the effects of EMD on CTGF expression in human osteoblastic cells and
whether the interaction is modulated by the TGF-beta signaling pathway. Also, the
roles of CTGF in cell proliferation, cell cycle progression, and mineralized
nodule formation of EMD-induced osteoblastic cultures were examined. METHODS:
Human osteoblastic cells (Saos-2) were treated with 25 to 100 microg/ml EMD with
or without the addition of TGF-beta inhibitor. CTGF mRNA expression was detected
by reverse transcription-polymerase chain reaction (RT-PCR), and CTGF protein
levels were assayed by Western blot analysis. In addition, cell cycle progression
and DNA synthesis were determined by flow cytometry and 5-bromo-2'-deoxyuridine
(BrdU) incorporation following EMD treatment with or without CTGF antibody.
Mineralization was examined by alizarin red staining and quantified by elution
with cetylpyridinium chloride. RESULTS: Western blot and RT-PCR analysis
demonstrated a dose-dependent increase of CTGF expression by EMD. EMD-induced
CTGF expression was reduced significantly in the presence of TGF-beta inhibitor.
Cell cycle and BrdU analysis revealed an increase in cell proliferation following
EMD treatment, which was due to an increase in the percentage of cells in the
G2/M phase of the cell cycle. No significant effect was found when anti-CTGF
antibody was added. Conversely, mineralization was inhibited significantly in EMD
treated cultures in the presence of anti-CTGF antibody. CONCLUSIONS: EMD
stimulates CTGF expression, and the interaction is modulated via TGF-beta in
osteoblastic cells. Also, CTGF affects EMD-induced osteoblastic mineralization
but not cell proliferation. To our knowledge, these results provide novel insight
into EMD-CTGF interaction, two biomodifiers that have therapeutic relevance to
tissue engineering and regeneration.
PMID- 18052712
TI - Sudden improvement of insulin sensitivity related to an endodontic treatment.
AB - BACKGROUND: Inflammation contributes to the pathogenesis of diabetes. A
reciprocal relationship exists between diabetes and chronic periodontitis. This
report describes the effects of an acute focal dental inflammation and subsequent
endodontic treatment on the required insulin dosage of a 70-year-old man who had
moderately controlled diabetes. METHODS: Following an exacerbation of a combined
endodontic-periodontic (endo-perio) lesion of tooth #3, the patient noticed a
sudden increase in his insulin demand. After 3 weeks, the required dosage was
approximately 100% greater. In association with hyperglycemic incidents, he
reported a prickling sensation in this tooth. The radiograph showed circular bone
loss around the tooth. RESULTS: Just 1 day after the root-canal preparation, the
insulin need decreased to approximately 50% of that required prior to treatment.
Subsequently, an incision and systemic antibiotics were necessary because of the
formation of a periodontal abscess. The insulin demand remained low despite this
complication. Forty days after endodontic treatment, the insulin dosage was at a
level comparable to that taken 4 weeks before the root-canal preparation.
CONCLUSIONS: This clinical case revealed a highly relevant correlation between
insulin resistance and a local dental inflammation. To avoid an increase in
insulin resistance, it seems important to attend to radically non-vital teeth as
well as any other dental inflammation in diabetic patients.
PMID- 18052713
TI - Treatment of a large periradicular lesion of endodontic origin around a dental
implant with enamel matrix protein derivative.
AB - BACKGROUND: This case report describes the healing of a large periradicular
lesion involving an adjacent implant. Endodontic surgery was performed in
combination with placement of an enamel matrix protein derivative. METHODS:
Endodontic surgery was performed, including root end resection at a 90 degrees
angle. Ultrasonic tips were used for retrograde preparation, and the retrograde
cavity was sealed with zinc oxide-eugenol. The area was filled carefully with
enamel matrix proteins. Sutures were removed after a 2-week healing period.
RESULTS: There were no symptoms of pain, inflammation, or discomfort at 18 months
post-surgery. Radiographs showed complete healing of the periradicular lesion.
CONCLUSIONS: Combining an enamel matrix protein derivative with conventional
surgery may improve the clinical outcome of large endodontic lesions. Additional
research is warranted.
PMID- 18052714
TI - Er:YAG laser osteotomy for intraoral bone grafting procedures: a case series with
a fiber-optic delivery system.
AB - BACKGROUND: With a wavelength of 2.94 mum, the erbium-doped:yttrium, aluminum,
and garnet (Er:YAG) laser is suitable for cutting vital osseous tissue. To
analyze the benefit of laser osteotomy in implant dentistry, a fiber-guided
Er:YAG laser was used for harvesting intraoral bone grafts. METHODS: In 10
consecutive patients (six males and four females), 12 block grafts were obtained
from the ramus (nine cases), chin (two cases), and tuberosity (one case) region.
For the osteotomies, the laser settings included a pulse energy of 500 mJ, a
pulse duration of 250 microseconds, and a pulse frequency of 12 Hz. During
osteotomy, the laser fiber tip was kept 1 to 2 mm away from the bone surface.
RESULTS: Cut efficiency was satisfactory with almost no constraint on the
positioning of the laser tip. Laser osteotomy was precise and allowed the blocks
to be prepared with minimal waste of bone. The risk for accidentally injuring
adjacent soft tissues was minimal. The postoperative wound-healing process was
not impaired, and there were no signs of carbonization; however, the surgical
procedures were time consuming. CONCLUSIONS: Using the Er:YAG laser with the
aforementioned settings, successful laser osteotomy was achieved without any
complications. However, because laser osteotomy was time-intensive and offered no
depth control, it demonstrated only slight advantages for intraoral bone-grafting
procedures. If the ablation process could be accelerated, the Er:YAG laser would
be a promising alternative to conventional instruments for this surgical
technique.
PMID- 18052716
TI - Detection of Rickettsia amblyommii in association with a tick bite rash.
AB - In the summer of 2006, an Amblyomma americanum tick was removed from a woman in
central North Carolina, who subsequently developed a rash at the site of tick
attachment. When examined by polymerase chain reaction (PCR) for Borrelia,
Anaplasma, Ehrlichia, Babesia, Rickettsia, and Bartonella DNA, only the
Rickettsia primers generated an amplicon, which was identified as "R. amblyommii"
by sequencing. To our knowledge, this is the first case in which R. amblyommii
was temporally associated with a rash.
PMID- 18052717
TI - Do mitochondriotropic antioxidants prevent chlorinative stress-induced
mitochondrial and cellular injury?
AB - Reactive chlorine species such as hypochlorous acid (HOCl) are cytotoxic oxidants
generated by activated neutrophils at the sites of chronic inflammation. Since
mitochondria are key mediators of apoptosis and necrosis, we hypothesized that
mitochondriotropic antioxidants could limit HOCl-mediated intracellular oxidative
injury to human fetal liver cells, preserve mitochondrial function, and prevent
cell death. In this current study, we show that recently developed mitochondria
targeted antioxidants (MitoQ and SS31) significantly protected against HOCl
induced mitochondrial damage and cell death at concentrations >or=25 nM. Our
study highlights the potential application of mitochondria-specific targeted
antioxidants for the prevention of cellular dysfunction and cell death under
conditions of chlorinative stress, as occurs during chronic inflammation.
PMID- 18052718
TI - Mitochondria as a target for the cardioprotective effects of nitric oxide in
ischemia-reperfusion injury.
AB - During cardiac ischemia-reperfusion (IR) injury, excessive generation of reactive
oxygen species (ROS) and overload of Ca(2+) at the mitochondrial level both lead
to opening of the mitochondrial permeability transition (PT) pore on reperfusion.
This can result in the depletion of ATP, irreversible oxidation of proteins,
lipids, and DNA within the cardiomyocyte, and can trigger cell-death pathways. In
contrast, mitochondria are also implicated in the cardioprotective signaling
processes of ischemic preconditioning (IPC), to prevent IR-related pathology.
Nitric oxide (NO*) has emerged as a potent effector molecule for a variety of
cardioprotective strategies, including IPC. Whereas NO* is most noted for its
activation of the "classic" soluble guanylate cyclase (sGC) signaling pathway,
emerging evidence indicates that NO can directly act on mitochondria, independent
of the sGC pathway, affording acute cardioprotection against IR injury. These
direct effects of NO* on mitochondria are the focus of this review.
PMID- 18052719
TI - Multifactorial optimization of gammaretroviral gene transfer into human T
lymphocytes for clinical application.
AB - The ability to genetically modify human T cells to target tumor antigens through
retroviral gene transfer constitutes a potentially powerful approach to cancer
immunotherapy. However, low transduction efficiencies may hamper the efficacy of
such therapeutic strategies in the clinical setting. Most commonly,
gammaretroviral gene transfer into T cells is conducted through spinoculation,
that is, centrifugation of retroviral particles and T cells on RetroNectin-coated
non-tissue culture vessels. Here we present data investigating the impact of
temperature, speed, and frequency of spinoculation on T cell transduction
efficiencies. We found that all three variables independently impacted gene
transfer, with increasing temperature, speed, and frequency of spinoculation all
enhancing the transduction of T cells. These improved conditions were additive,
with the greatest proportion of transduced T cells being generated at the highest
tested temperature and speed, after daily spinoculation for 2 to 3 days. Under
these conditions, enhanced gene transfer was observed in T cells derived from
healthy donors, using research-grade vector stocks. Whereas both RetroNectin and
spinoculation were critical to optimal gene transduction, preloading of
gammaretroviral particles before spinoculation did not enhance gene transfer.
Significantly, application of these enhanced transduction conditions to T cells
derived from previously treated patients with chronic lymphocytic leukemia
allowed for adequate gene transfer under both small-scale and large-scale
clinically applicable conditions using either preclinical or current Good
Manufacturing Practice-grade gammaretroviral vector stocks.
PMID- 18052720
TI - Enhanced gene expression conferred by stepwise modification of a nonprimate
lentiviral vector.
AB - The practical application of gene transfer as a treatment for genetic diseases
such as cystic fibrosis or hemophilia has been hindered, in part, by low
efficiencies of vector delivery and transgene expression. We demonstrated that a
feline immunodeficiency virus (FIV)-based lentiviral vector pseudotyped with the
envelope glycoprotein from the baculovirus Autographa californica (GP64)
efficiently transduces and persistently expresses a reporter gene in respiratory
epithelium in the absence of agents that disrupt cellular tight junction
integrity. GP64-pseudotyped FIV also efficiently transduced murine hepatocytes
after tail vein delivery. To improve the FIV-based vector, we tested the
contribution of a series of modifications to luciferase expression in vitro and
in vivo. These modifications included the addition of spleen necrosis virus U5
(SNV U5) and mutation of the major splice donor and gag start codon located in
the packaging region of the FIV transgene plasmid. After vector modification, we
observed significantly enhanced expression of luciferase in respiratory epithelia
after nasal application and in the liver after tail vein delivery. In addition,
we observed significantly enhanced human factor VIII production after tail vein
delivery. These sequential modifications provide an improved FIV lentivirus
platform for gene therapy applications and may be applied to other retroviral
vectors.
PMID- 18052721
TI - Type II pneumocyte-restricted green fluorescent protein expression after
lentiviral transduction of lung epithelial cells.
AB - Type II alveolar epithelial (AT2) cell-specific reporter expression has been
highly useful in the study of embryology and alveolar regeneration in transgenic
mice. Technologies enabling efficient gene transfer and cell type-restricted
transgene expression in AT2 cells would allow for correction of AT2 cell-based
diseases such as genetic surfactant deficiencies. Moreover, such approaches are
urgently required to investigate differentiation of AT2 cells from adult and
embryonic stem cells of other species than mouse. Using a human surfactant
protein C (SP-C) promoter fragment, we have constructed lentiviral vectors
enabling AT2-restricted transgene expression and identification of stem cell
derived AT2 cells. Lung epithelial cell lines M3E3/C3, H441, RLE-6TN, A549, MLE
12, and MLE-15 were characterized at the molecular and ultrastructural levels to
identify cell lines useful to assess the cell type specificity of our vector
constructs. After transduction, no green fluorescent protein (GFP) expression was
observed in nontarget cells including bronchial H441 cells, pulmonary A549 cells,
fibroblasts, smooth muscle cells, and endothelial cells. In contrast, and in
correlation with endogenous SP-C expression, lentiviral transduction resulted in
stable GFP expression in MLE-12 and MLE-15 AT2 cells. In conclusion, we have
constructed a lentiviral vector mediating SP-C promoter-dependent GFP expression.
Transgene expression strictly corresponds with an AT2 phenotype of the transduced
cells. In particular, the generated vector should facilitate local alveolar gene
therapy and investigation of alveolar regeneration and stem cell differentiation.
PMID- 18052722
TI - Neonatal intraperitoneal or intravenous injections of recombinant adeno
associated virus type 8 transduce dorsal root ganglia and lower motor neurons.
AB - Targeting lower motor neurons (LMNs) for gene delivery could be useful for
disorders such as spinal muscular atrophy and amyotrophic lateral sclerosis. LMNs
reside in the ventral gray matter of the spinal cord and send axonal projections
to innervate skeletal muscle. Studies have used intramuscular injections of adeno
associated virus type 2 (AAV2) to deliver viral vectors to LMNs via retrograde
transport. However, treating large areas of the spinal cord in a human would
require numerous intramuscular injections, thereby increasing viral titer and
risk of immune response. New AAV serotypes, such as AAV8, have a dispersed
transduction pattern after intravenous or intraperitoneal injection in neonatal
mice, and may transduce LMNs by retrograde transport or through entry into the
nervous system. To test LMN transduction after systemic injection, we
administered recombinant AAV8 (rAAV8) carrying the green fluorescent protein
(GFP) gene by intravenous or intraperitoneal injection to neonatal mice on
postnatal day 1. Tissues were harvested 5 and 14 days postinjection and analyzed
by real-time polymerase chain reaction and GFP immunohistochemistry to assess the
presence of AAV genomes and GFP expression, respectively. Spinal cords were
positive for AAV genomes at both time points. GFP immunohistochemistry revealed
infrequent labeling of LMNs across all time points and injection routes. Somewhat
surprisingly, there was extensive labeling of fibers in the dorsal horns and
columns, indicating dorsal root ganglion transduction across all time points and
injection routes. Our data suggest that systemic injection of rAAV8 is not an
effective delivery route to target lower motor neurons, but could be useful for
targeting sensory pathways in chronic pain.
PMID- 18052723
TI - Tumor necrosis factor (TNF) is a potent rat mast cell chemoattractant.
AB - It is well known that mast cell number increases in local tissues under different
pathophysiologic conditions, although the humoral factors that stimulate local
mast cell accumulation within tissues are not yet well known. Taking into account
that tumor necrosis factor (TNF) influences tissue mast cell activity in various
ways, the aim of the present study was to investigate the chemotactic activity of
TNF for rat peritoneal mast cells. We have found that TNF induces mast cell
migratory response in a dose-dependent manner, even in the absence of
extracellular matrix (ECM) proteins. Significant migration was observed at
concentrations of TNF as low as approximately 3 fM; higher TNF concentrations
caused significant inhibition of spontaneous mast cell migration. In the presence
of ECM proteins, TNF induced migration of mast cells in a biphasic manner, with
peaks of migration occurring at approximately 0.3 fM and approximately 60 pM (in
the presence of fibronectin) and at approximately 0.6 fM and approximately 600 pM
(in the presence of laminin). Under the same experimental conditions, RANTES
induced dose-dependent mast cell migration, and the optimal concentration of this
chemokine for maximal migration was approximately 13 nM. The mast cell migratory
response to lower concentrations of TNF was chemotactic and to higher TNF
concentrations was due to chemokinesis. TNF-induced mast cell migration was
completely blocked by neutralizing anti-TNF and anti-TNFR1 antibodies. The
tyrosine kinase inhibitor, genistein, significantly abrogated mast cell migration
toward TNF. Additionally, we have documented that TNF does not induce
degranulation of rat mast cells. Taken together, our results indicate that TNF
serves as an extremely potent chemotactic factor for rat mast cells that would
cause accumulation of these cells at the site of diverse pathophysiologic
conditions accompanied by inflammation.
PMID- 18052724
TI - Expression of chemokine receptor CCR4 and its ligands (CCL17 and CCL22) in murine
contact hypersensitivity.
AB - Chemokine receptor CCR4 and its ligands (CCL17 and CCL22) are important for the
recruitment of memory T cells into the skin in various cutaneous immune diseases.
However, information on CCR4 and its ligands in contact hypersensitivity is
relatively limited. In this study, we investigated the expression of CCR4, CCL17,
and CCL22 in a mouse model of contact hypersensitivity to oxazolone. Contact
sensitization to oxazolone increased the proportions of memory CD4+ T cells in
the draining lymph nodes, spleen, and peripheral blood. Although CCR4+ mRNA and
CCR4+ cells were detectable in naive mouse lymph nodes, they significantly
increased in the sensitized mice. The majority of CCR4+ cells in both control and
sensitized mouse lymph nodes were CD4+ T cells. In the skin of naive mice, the
mRNAs for CCR4, CCL17, and CCL22 were detectable, but only CCL17 and CCL22
proteins were constitutively expressed in the skin, particularly in the
epidermis. Interestingly, the mRNAs for CCR4 and its two ligands were
significantly elevated in the inflamed skin of mice with contact hypersensitivity
to oxazolone. Furthermore, a subpopulation of cells that infiltrated the skin was
CCR4+ cells. Finally, the expression of CCL17 and CCL22 proteins was
significantly enhanced in the epidermis of inflamed skin. Thus, our study
provides direct evidence for the presence of CCR4 and its ligands in mouse
contact hypersensitivity.
PMID- 18052725
TI - Improvement in postburn hypertrophic scar after treatment with IFN-alpha2b is
associated with decreased fibrocytes.
AB - Hypertrophic scar (HTS) following thermal injury is a dermal fibroproliferative
disorder that leads to considerable morbidity. Previous clinical studies from our
laboratory have suggested that interferon-alpha2b (IFN-alpha2b) improves scar
quality as a result of suppression of fibroblast functions. Fibrocytes, which
constitute a unique cell population, have recently been reported to contribute to
wound healing and to a variety of fibrotic conditions, including HTS. Therefore,
we hypothesize that improvement of scar in HTS patients after IFN-alpha2b
treatment may be associated with a decreased number of fibrocytes or altered
fibrocyte function. Using flow cytometry, immunofluorescent staining, and
confocal microscopy, we demonstrate here that the marker protein leukocyte
specific protein 1 (LSP1) is stably expressed by fibrocytes for at least 2
months, whereas other potential fibrocyte markers, such as CD34 and CD45,
gradually disappear. Using dual staining immunohistochemistry for LSP1 and
procollagen, we demonstrated a significant reduction in numbers of fibrocytes in
HTS tissue from patients after treatment with systemic IFN-alpha2b. IFN-alpha2b
was shown to abolish fibrocyte differentiation from peripheral blood mononuclear
cells (PBMCs) in vitro in a dose-dependent fashion. In addition, IFN-alpha2b
inhibits proliferation of fibrocytes and T lymphocytes and reduces transforming
growth factor-beta (TGF-beta)-mediated alpha-smooth muscle actin (alpha-SMA)
expression in fibrocytes. Taken together with our previous study in which we
showed that fibrocytes could indirectly regulate dermal fibroblasts in burn
patients, the present study suggests that the improvement of scar quality in HTS
patients after IFN-alpha2b treatment is associated with decreased numbers and
activities of fibrocytes.
PMID- 18052726
TI - The existence of gender difference in IL-1Ra gene polymorphism.
AB - Interleukin-1beta (IL-1beta) and its endogenous antagonist IL-1 receptor
antagonist (IL-1ra) play an important role in various inflammatory responses. The
production of IL-1 and IL-1ra is regulated by genotypic and nongenotypic factors
and is different between men and women. The aim of this study was to examine the
existence of gender difference in the genetic polymorphism of these two
cytokines. The genotypes of IL-1beta-511 biallelic polymorphism and that of IL
1Ra (IL-1RN) penta-allelic polymorphism were determined in 319 healthy Jewish
subjects, 156 female and 163 male, using PCR amplification. The results showed
that there was a gender difference in IL-1Ra gene polymorphism expressed by a
higher incidence of IL1RN*1/IL1RN*1 homozygotes and a lower occurrence of
IL1RN*1/IL1RN*2 heterozygotes in men compared with women. Furthermore, allele
IL1RN*1 was more frequent in men, whereas allele IL1RN*2 was more prevalent in
women. There was no difference in IL-1beta gene polymorphism between the two
genders. It is conceivable that the gender difference in IL-1Ra gene polymorphism
found in the current study may affect IL-1 and IL-1ra levels. This diversity
might be one of the causes for the sex differences in immune response observed in
various conditions, such as autoimmune diseases, pain perception, and premature
delivery.
PMID- 18052728
TI - IFN-gamma enhances immune responses to E. coli infection in the chicken.
AB - Escherichia coli infection of the respiratory system in chickens occurs as a
sequel to a variety of environmental stressors or microbial infections,
culminating as chronic respiratory disease (CRD) syndrome or colibacillosis.
These diseases cause significant production losses in poultry. With the growing
concerns about the use of antibiotics in animal production, for diseases such as
CRD, alternative natural agents, like cytokines, may be considered for enhancing
health by stimulating the immune system. The current study was aimed at
understanding the in vivo effects of recombinant chicken interferon-gamma (ChIFN
gamma) treatment on a variety of immunologic parameters during E. coli infection
in chickens. Administration of ChIFN-gamma to chickens increased the percentage
of phagocytes in lung and blood of E. coli-infected birds. At the phenotypic
level, there was an increase in the percentage of cells expressing MHC II in the
air sac, with a concomitant reduction in the proportion of these cells in blood.
Furthermore, the blood plasma from ChIFN-gamma-treated infected birds showed an
increased level of interleukin-6 (IL-6) activity. Cumulatively, these findings
are indicative of in vivo enhancement of immune responses due to ChIFN-gamma.
However, administration of ChIFN-gamma protein did not mitigate the development
of air sac lesions following E. coli infection.
PMID- 18052730
TI - Research examines contaminants in food, deaths of pets.
PMID- 18052729
TI - Cross-inhibition of interferon-induced signals by GM-CSF through a block in Stat1
activation.
AB - We investigated the effects of granulocyte-macrophage colony-stimulating factor
(GM-CSF) on biologic signals induced by interferon-alpha (IFN-alpha) and IFN
gamma. In hematopoietic cell lines, IFN-induced signaling was investigated by
Western blotting, electrophoretic mobility shift assays (EMSA), flow cytometry,
protein-tyrosine phosphatase (PTP) assays, and RT-PCR. GM-CSF inhibited IFN-alpha
induced and IFN-gamma-induced Stat1 tyrosine phosphorylation in a time-dependent
manner. EMSA showed that GM-CSF inhibited IFN-alpha-induced and IFN-gamma-induced
IFN-gamma activator sequence (GAS) binding activity. As a consequence, IFN
induced transcription of the early response gene, IFN-stimulated gene 54 (ISG54),
was inhibited. The expression of IFN regulatory factor-1 (IRF-1) and MHC class I
antigens was downregulated at protein levels in hematopoietic cell lines (U937,
THP1). In contrast to GM-CSF, granulocyte colony-stimulating factor (G-CSF) and
interleukin-3 (IL-3) did not influence the IFN-induced Stat1 activation. To
explore the molecular mechanism of suppression of Stat1 tyrosine phosphorylation,
we investigated the induction and activation of cytokine-inducible SH2-containing
protein/suppressor of cytokine signaling (CIS/SOCS) molecules and phosphatases on
GM-CSF treatment. In contrast to G-CSF and IL-3, GM-CSF strongly induced the
expression of CIS1 and SOCS2 at mRNA levels, but overexpression of CIS1 or SOCS2
in HEK293 cells did not show inhibition of Stat1 tyrosine phosphorylation upon
IFN treatment. In PTP assays, on GM-CSF incubation, no enhanced src homology 2
domain tyrosine phosphatase 1 and 2 (SHP1 and SHP2) activity was detectable.
However, GM-CSF-induced downregulation of Tyk2 and Jak1 tyrosine phosphorylation
as well as Tyk2 protein levels likely contributed to the reduced Stat1 tyrosine
phosphorylation. In hematopoietic cells, GM-CSF antagonizes IFN-induced signals
by a block in Stat1 activation.
PMID- 18052731
TI - Assessment of three automated assays for C-reactive protein determination in
dogs.
AB - OBJECTIVE: To determine the characteristics of an automated canine C-reactive
protein (CRP) assay and evaluate 2 human CRP assays for use in dogs. Animals-56
client-owned dogs with pyometra and 11 healthy control dogs. PROCEDURES: Samples
from 11 dogs with high (> 100 mg/L) or low (< 10 mg/L) CRP concentrations
(determined by use of a canine ELISA) were evaluated by use of the automated
canine CRP assay. Intra- and interassay imprecision was determined (by use of
those 2 plasma pools), and assay inaccuracy was assessed by use of logistic
regression analysis of results obtained via ELISA and the automated canine CRP
assay. Two automated human CRP assays were used to measure plasma CRP
concentration in 10 dogs. RESULTS: By use of the ELISA, mean +/- SD plasma CRP
concentration was 96.1 +/- 38.5 mg/L and 10.1 +/- 23.2 mg/L in dogs with pyometra
and control dogs, respectively. The automated canine assay had intra-assay
coefficients of variation (CVs) of 7.8% and 7.9%, respectively, and interassay
CVs of 11.1% and 13.1%, respectively. Results from the automated assay were
highly correlated with results obtained via ELISA. The human assay results did
not exceed 0.4 mg/L in any dog. CONCLUSIONS AND CLINICAL RELEVANCE: The automated
canine CRP assay had less interassay imprecision, compared with the ELISA. The 2
human CRP assays were not suitable for analysis of canine plasma samples. The
automated canine CRP assay was more precise than the ELISA for serial evaluations
of plasma CRP concentration in dogs.
PMID- 18052732
TI - Cardiopulmonary effects of administration of a combination solution of xylazine,
guaifenesin, and ketamine or inhaled isoflurane in mechanically ventilated
calves.
AB - OBJECTIVE: To compare the cardiopulmonary effects of administration of a solution
of xylazine, guaifenesin, and ketamine (XGK) or inhaled isoflurane in
mechanically ventilated calves undergoing surgery. ANIMALS: 13 male calves 2 to
26 days of age. Procedures-In calves in the XGK group, anesthesia was induced
(0.5 mL/kg) and maintained (2.5 mL/kg/h) with a combination solution of xylazine
(0.1 mg/mL), guaifenesin (50 mg/mL), and ketamine (1.0 mg/mL). For calves in the
isoflurane group, anesthesia was induced and maintained with isoflurane in
oxygen. The rates of XGK infusion and isoflurane administration were adjusted to
achieve suitable anesthetic depth. All calves received 100% oxygen and were
mechanically ventilated to maintain end-tidal carbon dioxide concentrations from
35 to 40 mm Hg and underwent laparoscopic bladder surgery through an abdominal
approach. Cardiopulmonary variables were measured before induction and at
intervals up to 90 minutes after anesthetic induction. RESULTS: The quality of
induction was excellent in all calves. The XGK requirements were 0.57 +/- 0.18
mL/kg and 2.70 +/- 0.40 mL/kg/h to induce and maintain anesthesia, respectively.
Heart rate was significantly lower than baseline throughout the anesthetic period
in the XGK group. Systolic arterial blood pressure was significantly higher in
the XGK group, compared with the isoflurane group, from 5 to 90 minutes. Cardiac
index was lower than baseline in both groups. Differences between groups in
cardiac index and arterial blood gas values were not significant. CONCLUSIONS AND
CLINICAL RELEVANCE: Administration of XGK resulted in excellent anesthetic
induction and maintenance with cardiopulmonary alterations similar to those
associated with isoflurane in mechanically ventilated calves.
PMID- 18052733
TI - Effects of perzinfotel on the minimum alveolar concentration of isoflurane in
dogs.
AB - OBJECTIVE: To determine the effect of IV administration of perzinfotel on the
minimum alveolar concentration (MAC) of isoflurane in dogs. Animals-6 healthy
sexually intact male Beagles. PROCEDURES: Dogs were instrumented with a telemetry
device that permitted continuous monitoring of heart rate, arterial blood
pressure, and body temperature. Dogs were anesthetized with propofol (4 to 6
mg/kg, IV) and isoflurane for 30 minutes before determination of MAC of
isoflurane. Isoflurane MAC values were determined 4 times, separated by a minimum
of 7 days, before and after IV administration of perzinfotel (0 [control], 5, 10,
and 20 mg/kg). Bispectral index and percentage hemoglobin saturation with oxygen
(SpO(2)) were monitored throughout anesthesia. RESULTS: Isoflurane MAC was 1.32
+/- 0.14%. Intravenous administration of perzinfotel at 0, 5, 10, and 20 mg/kg
decreased isoflurane MAC by 0%, 24%, 30%, and 47%, respectively. Perzinfotel
significantly decreased isoflurane MAC values, compared with baseline and control
values. The bispectral index typically increased with higher doses of perzinfotel
and lower isoflurane concentrations, but not significantly. Heart rate, body
temperature, and SpO(2) did not change, but systolic, mean, and diastolic
arterial blood pressures significantly increased with decreases in isoflurane MAC
after administration of perzinfotel at 10 and 20 mg/kg, compared with 0 and 5
mg/kg. CONCLUSIONS AND CLINICAL RELEVANCE: IV administration of perzinfotel
decreased isoflurane MAC values. Improved hemodynamics were associated with
decreases in isoflurane concentration.
PMID- 18052734
TI - Use of bispectral index to monitor depth of anesthesia in isoflurane-anesthetized
dogs.
AB - OBJECTIVE: To evaluate the correlation between the bispectral index (BIS) and end
tidal isoflurane (ET(ISO)) concentration and compare the use of 3 BIS sensor
positions in dogs. ANIMALS: 6 adult dogs. PROCEDURES: Mechanically ventilated
dogs received pancuronium, and depth of anesthesia was altered by increasing
ET(ISO) concentration from 1.5% to 2.3% and 3.0%. The BIS, suppression ratio
(relative percentage of isoelectric electroencephalographic waveforms), and
signal quality index (SQI) were recorded at each ET(ISO) concentration for each
of 3 BIS sensor positions (frontal-occipital, bifrontal, and frontal-temporal
positions). RESULTS: The BIS and ET(ISO) concentration were poorly correlated;
regardless of sensor positioning, mean BIS values did not change significantly as
ET(ISO) was increased. At 3% isoflurane, regardless of sensor positioning, there
was an increase in suppression ratio coincident with BIS < 40 in some dogs,
whereas paradoxic increases in BIS (> 60) were recorded in others. Furthermore,
at 3.0% isoflurane, the SQI was significantly lower for the bifrontal sensor
position (compared with values for the other positions), but low SQI values
prevented recording of BIS values from the frontal-occipital sensor position in 2
dogs. Overall, BIS values derived from the 3 sensor positions did not differ.
CONCLUSIONS AND CLINICAL RELEVANCE: In dogs, BIS values may not reflect changes
in depth of isoflurane anesthesia in the absence of noxious stimulation. Of the 3
sensor positions, frontal-temporal positioning provided better correlation with
changes in depth of anesthesia induced via changes in isoflurane concentrations.
However, the sensor placements yielded similar results at SQI values > 50.
PMID- 18052735
TI - Evaluation of the influences of exercise, birth date, and osteochondrosis on
plasma bone marker concentrations in Hanoverian Warmblood foals.
AB - OBJECTIVE: To determine whether plasma concentrations of bone turnover markers in
growing Hanoverian foals are influenced by age, housing conditions, or
osteochondrosis. ANIMALS: 165 healthy foals and 119 foals with osteochondrosis.
PROCEDURES: Foals were allocated according to birth date and housing management
into groups of early-born (born before March 31, 2001; n = 154 foals, 88 of which
were healthy and 66 of which had osteochondrosis) and late-born (born after March
31, 2001; 130 foals, 77 of which were healthy and 53 of which had
osteochondrosis) foals. Plasma osteocalcin and carboxyterminal propeptide of type
I collagen concentrations were analyzed as markers of bone formation, and
carboxyterminal telopeptide of type I collagen concentration was analyzed as a
marker of bone resorption. Foals underwent radiographic evaluation to screen for
osteochondrosis. RESULTS: Plasma concentrations of osteocalcin, carboxyterminal
propeptide of type I collagen, and carboxyterminal telopeptide of type I collagen
decreased with age, but these changes were more distinct in late-born foals than
in early-born foals. Neither sex nor predisposition to develop osteochondrosis
affected the pattern of bone marker changes in either group. CONCLUSIONS AND
CLINICAL RELEVANCE: An age-related decrease in concentrations of bone markers was
seen during the first 200 days of life. Changes in bone marker concentrations
were similar for foals with osteochondrosis and healthy foals. The correlation
between the decrease in bone marker concentration and date of birth indicates
that there are differences in skeletal development between early- and late-born
foals.
PMID- 18052736
TI - Intra-articular administration of doxycycline in calves.
AB - Objective-To evaluate local tissue compatibility of doxycycline hyclate (DOX) in
antebrachiocarpal joints of calves. Animals-10 healthy calves between 80 and 110
kg. Procedures-Calves were assigned to 2 treatment groups. Calves in groups
DOX(low) and DOX(high) were administered 5 and 10 mg of DOX, respectively,
locally in 1 antebrachiocarpal joint. The contralateral joint served as a control
joint and was injected with 0.9% NaCl solution. General and local clinical
findings were scored. Several variables were assessed in blood and synovial fluid
for 9 days. Calves were euthanatized and pathologic changes and drug residues
evaluated. Results-Throughout the study, none of the calves had clinical changes
or abnormal hematologic values. Significant differences between treatment and
control joints were evident only for matrix metalloproteinases at 0.5 hours after
injection, with less activity for the DOX-treated joints in both treatment
groups. Values for all synovial fluid variables, except nitric oxide, increased
significantly during the first 12 to 72 hours after arthrocentesis in control and
DOX-treated joints. Histologic examination revealed minimal infiltration of
inflammatory cells independent of the treatment. No drug residues were detected 9
days after arthrocentesis in any tissues obtained from the liver, kidneys, fat,
and skeletal muscles. Conclusions and Clinical Relevance-DOX had excellent intra
articular compatibility in healthy calves. Arthrocentesis induced a mild
transient increase of inflammatory mediators in the synovial fluid. Significant
decreases in matrix metalloproteinase activity in DOX-treated joints may indicate
a potential chondroprotective effect of DOX.
PMID- 18052737
TI - Effect of conformation of the distal portion of the femur and proximal portion of
the tibia on the pathogenesis of cranial cruciate ligament disease in dogs.
AB - OBJECTIVE: To evaluate mediolateral radiographic views of stifle joints to
identify conformational differences between athletically sound dogs and dogs with
cranial cruciate ligament disease (CCLD). SAMPLE POPULATION: Radiographic images
of 50 stifle joints of 43 dogs with surgically confirmed CCLD and 50 stifle
joints of 38 dogs without clinical signs of stifle joint disease. PROCEDURES:
Mediolateral radiographic views of stifle joints were obtained, and long axes of
the femur, tibia, and femoral condyles were measured. Angles between long axes of
the femur and femoral condyle and between long axes of the femur and tibia were
measured. Circles were drawn representing the joint surface of femoral condyles
(circle 1), area of contact on the tibial plateau (circle 2), and femoral
trochlea (circle 3). Radii of circles 1, 2 (line F), and 3 were measured.
Distances between midpoints of circles 1 and 2 (line K) and between midpoint of
circle 2 and most cranial aspect of the tibial tuberosity (line G) were measured.
To evaluate differences in conformation that could lead to CCLD, quotients
derived from measurements were created for comparison; angles were compared
between dog groups. RESULTS: Significant differences were found in the quotients
created by the lengths of lines G and F and lines G and K between dogs with and
without CCLD. CONCLUSIONS AND CLINICAL RELEVANCE: No anatomic differences were
detected in the distal portion of the femur between dogs with and without CCLD.
Development of the tibial tuberosity and shape (convexity) of tibial condyles may
be relevant in the pathogenesis of CCLD.
PMID- 18052738
TI - Desmosomal gene evaluation in Boxers with arrhythmogenic right ventricular
cardiomyopathy.
AB - OBJECTIVE: To sequence the exonic and splice site regions of the 4 desmosomal
genes associated with the human form of familial arrhythmogenic right ventricular
cardiomyopathy (ARVC) in Boxers with ARVC and identify a causative mutation.
ANIMALS: 10 unrelated Boxers with ARVC and 2 unaffected Labrador Retrievers
(control dogs). PROCEDURES: Exonic and splice site regions of the 4 genes
encoding the desmosomal proteins plakophilin-2, plakoglobin, desmoplakin, and
desmoglein-2 were sequenced. Sequences were compared for nucleotide sequence
changes between affected dogs and the published sequences for clinically normal
dogs and between affected dogs and the control dogs. Base-pair changes were
considered to be causative for ARVC if they were detected in an affected dog but
not in unaffected dogs, and if they involved a conserved amino acid and changed
that amino acid to one of a different polarity, acid-base status, or structure.
RESULTS: A causative mutation for ARVC in Boxers was not identified, although
single nucleotide polymorphisms were detected in some affected dogs within exon 3
of the plakophilin-2 gene; exon 3 of the plakoglobin gene; exons 3 and 7 of the
desmoglein-2 gene; and exons 6, 14, 15, and 24 of the desmoplakin gene. None of
these changed the amino acid of the respective protein. CONCLUSIONS AND CLINICAL
RELEVANCE: Mutations within the desmosomal genes associated with the development
of ARVC in humans do not appear to be causative for ARVC in Boxers. Genomewide
scanning for genetic loci of interest in dogs should be pursued.
PMID- 18052739
TI - Evaluation of a bench-top coagulation analyzer for measurement of prothrombin
time, activated partial thromboplastin time, and fibrinogen concentrations in
healthy dogs.
AB - OBJECTIVE: To evaluate a bench-top coagulation analyzer for determination of
prothrombin time (PT), activated partial thromboplastin time (APTT), and
fibrinogen concentration in healthy dogs. ANIMALS: 55 healthy adult dogs.
PROCEDURES: PT, APTT, and fibrinogen concentration were determined by use of the
coagulation analyzer. Values were compared with results obtained independently by
a conventional laboratory. RESULTS: Correlations (with 95% confidence intervals)
between the coagulation analyzer and conventional laboratory values were 0.760
(0.610 to 0.857), 0.700 (0.448 to 0.721), and 0.896 (0.878 to 0.918) for PT,
APTT, and fibrinogen concentration, respectively. Using linear regression,
comparison of data from the coagulation analyzer and the conventional laboratory
provided equations relating the coagulation analyzer values with values from the
conventional laboratory and suggested that APTT and fibrinogen values from the
coagulation analyzer and conventional laboratory were approximately the same
within expected random variation. Prothrombin time values for the coagulation
analyzer were significantly offset from the PT values for the conventional
laboratory but still were correlated reasonably well with the conventional
laboratory values. CONCLUSIONS AND CLINICAL RELEVANCE: By use of the mechanical
method of analysis, fibrinogen concentrations obtained with a bench-top
coagulation analyzer correlated well with results for a conventional laboratory,
indicating that the coagulation analyzer is a reliable instrument for
determination of this coagulation variable. Coagulation analyzer results for PT
and APTT correlated less strongly with those for the conventional laboratory, but
they would still be considered clinically reliable.
PMID- 18052740
TI - Assessment of a point-of-care biochemical analyzer and comparison with a
commercial laboratory for the measurement of total protein and albumin
concentrations in psittacines.
AB - OBJECTIVE: To determine agreement for total protein (TP) and albumin
concentrations measured by a point-of-care biochemical analyzer in heparinized
whole blood and plasma samples obtained from psittacines and compare results with
those from a commercial laboratory. SAMPLE POPULATION: Hematologic samples from
92 healthy birds. PROCEDURES: Duplicate samples of heparinized whole blood and
plasma were obtained. A point-of-care biochemical analyzer was used to determine
TP and albumin concentrations. To assess precision, intraclass correlation
coefficient (r(i)) and Bland-Altman measures of agreement were used. These
results were compared by use of Bland-Altman plots with those obtained from a
commercial laboratory that used a biuret method for TP concentration and
electrophoresis for albumin concentration. RESULTS: For the analyzer, there was
excellent agreement (r(i) = 0.91) between heparinized whole blood and plasma
samples for TP and albumin concentrations. Relative error was 0.9% for TP and
0.7% for albumin. Analyzer results correlated well with commercial laboratory
results, with a downward bias of 0.6 for TP and 0.3 for albumin. CONCLUSIONS AND
CLINICAL RELEVANCE: The analyzer had excellent precision for analysis of
heparinized whole blood or plasma samples for TP or albumin concentrations;
analyzer values had good agreement with those from a commercial laboratory. The
analyzer could be a valid method to measure plasma TP concentrations and provide
point-of-care testing in apparently healthy parrots. Biochemical analyzer results
for plasma albumin concentration were not validated by results from a commercial
laboratory, so conclusions cannot be drawn regarding use of the analyzer in
measurement of albumin concentrations in psittacines.
PMID- 18052741
TI - Phosphodiesterase isoenzymes in equine platelets and their influence on platelet
adhesion.
AB - OBJECTIVE: To determine the phosphodiesterase (PDE) isoenzymes in equine
platelets and evaluate their influence on platelet adhesion. SAMPLE POPULATION:
Platelets obtained from healthy New Forest Pony geldings that ranged from 12 to
20 years of age (mean +/- SEM, 17.3 +/- 1.1 years). PROCEDURES: PDE isoenzyme
activity in equine platelets was determined by use of a 2-step radioactive assay.
Functional importance of PDE isoenzymes was established by use of selective
inhibitors in a colorimetric adhesion assay. RESULTS: PDE1, PDE2, PDE3, and PDE5
and small amounts of PDE4 were found in equine platelets. Inhibition of PDE3
abolished platelet adhesion almost completely, whereas inhibition of PDE4 and
PDE5 had little effect. CONCLUSIONS AND CLINICAL RELEVANCE: Function of equine
platelets can be influenced by inhibition of PDE3. Selective PDE3 inhibitors may
be clinically useful to regulate platelet function. They offer the advantage of
increased potency with fewer adverse effects, compared with those for
nonselective PDE inhibitors.
PMID- 18052742
TI - Effects of in vitro exposure to hay dust on expression of interleukin-17, -23,
8, and -1beta and chemokine (C-X-C motif) ligand 2 by pulmonary mononuclear cells
isolated from horses chronically affected with recurrent airway disease.
AB - OBJECTIVE: To examine effects of in vitro exposure to solutions of hay dust,
lipopolysaccharide (LPS), or beta-glucan on cytokine expression in pulmonary
mononuclear cells isolated from healthy horses and horses with recurrent airway
obstruction (RAO). ANIMALS: 8 RAO-affected and 7 control horses (experiment 1)
and 6 of the RAO-affected and 5 of the control horses (experiment 2). PROCEDURES:
Bronchoalveolar lavage cells were isolated from horses that had been stabled and
fed dusty hay for 14 days. Pulmonary mononuclear cells were incubated for 24
(experiment 1) or 6 (experiment 2) hours with PBS solution or solutions of hay
dust, beta-glucan, or LPS. Gene expression of interleukin (IL)-17, IL-23(p19 and
p40 subunits), IL-8, IL-1beta, and chemokine (C-X-C motif) ligand 2 (CXCL2) was
measured with a kinetic PCR assay. RESULTS: Treatment with the highest
concentration of hay dust solution for 6 or 24 hours increased expression of IL
23(p19 and p40), IL-8, and IL-1beta in cells from both groups of horses and
increased early expression of IL-17 and CXCL2 in RAO-affected horses.
Lipopolysaccharide upregulated early expression of IL-23(p40) and IL-8 in cells
from both groups of horses but only late expression of these cytokines in cells
from RAO-affected horses. Treatment with beta-glucan failed to increase cytokine
expression at 6 or 24 hours. CONCLUSIONS AND CLINICAL RELEVANCE: Cells from RAO
affected horses were not more responsive to the ligands tested than were cells
from control horses, which suggests a minimal role of mononuclear cells in
propagation of airway neutrophilia in horses with chronic RAO.
PMID- 18052743
TI - Epidemiologic characteristics of catastrophic musculoskeletal injuries in
Thoroughbred racehorses.
AB - OBJECTIVE: To determine characteristics, incidence rate, and possible
associations with selected demographic characteristics of catastrophic
musculoskeletal injuries (CMIs) in Thoroughbred racehorses. ANIMALS: 76
Thoroughbreds with CMIs. PROCEDURES: Incidence rates of CMIs during racing or
training were calculated with number of CMIs as the numerator and overall numbers
of races or training events during 2004 and 2005 as the denominators. Exact 95%
confidence intervals were calculated. Associations between incidence and
dichotomous exposure factors, nominal factors, and ordinal factors were
determined. Only univariable associations were examined. RESULTS: 76 horses were
euthanized because of CMI and represented 2.36 and 1.69 deaths/1,000 racing
starts in 2004 and 2005, respectively. Of these, 57 were euthanized within 60
days before or after a race, which yielded a point incidence of 1.05/1,000 racing
starts and 0.39/1,000 training starts. CONCLUSIONS AND CLINICAL RELEVANCE:
Incidence rate of CMIs at 2 Ontario racetracks was similar to that at other North
American racetracks. A cumulative death rate of 1 to 2 deaths/wk should be
considered typical when designing prevention strategies and offers a baseline
value for measuring improvement.
PMID- 18052744
TI - Altered expression of versican and hyaluronan in melanocytic tumors of dogs.
AB - OBJECTIVE: To analyze the expression of versican and hyaluronan in melanocytomas
and malignant melanomas of dogs, to correlate their expression with expression of
the hyaluronan receptor CD44, and to identify enzymes responsible for the
synthesis and degradation of hyaluronan in canine dermal fibroblasts and canine
melanoma cell lines. SAMPLE POPULATION: 35 biopsy specimens from melanocytic
tumors of dogs, canine primary dermal fibroblasts, and 3 canine melanoma cell
lines. PROCEDURES: Versican, hyaluronan, and CD44 were detected in tumor samples
by use of histochemical or immunohistochemical methods. Expression of hyaluronan
metabolizing enzymes was analyzed with a reverse transcriptase-PCR assay.
RESULTS: Versican was found only in some hair follicles and around some blood
vessels in normal canine skin, whereas hyaluronan was primarily found within the
dermis. Hyaluronan was found in connective tissue of the oral mucosa. Versican
and, to a lesser extent, hyaluronan were significantly overexpressed in malignant
melanomas, compared with expression in melanocytomas. No significant difference
was found between malignant tumors from oral or cutaneous origin. The expression
of both molecules was correlated, but hyaluronan had a more extensive
distribution than versican. Versican and hyaluronan were mainly associated with
tumor stroma. Canine fibroblasts and melanoma cell lines expressed hyaluronan
synthase 2 and 3 (but not 1) and hyaluronidase 1 and 2. CONCLUSIONS AND CLINICAL
RELEVANCE: Versican may be useful as a diagnostic marker for melanocytic tumors
in dogs. Knowledge of the enzymes involved in hyaluronan metabolism could reveal
new potential therapeutic targets.
PMID- 18052745
TI - Telomere length in normal and neoplastic canine tissues.
AB - OBJECTIVE: To determine the mean telomere restriction fragment (TRF) length in
normal and neoplastic canine tissues. SAMPLE POPULATION: 57 solid-tissue tumor
specimens collected from client-owned dogs, 40 samples of normal tissue collected
from 12 clinically normal dogs, and blood samples collected from 4 healthy blood
donor dogs. PROCEDURES: Tumor specimens were collected from client-owned dogs
during diagnostic or therapeutic procedures at the University of Illinois
Veterinary Medical Teaching Hospital, whereas 40 normal tissue samples were
collected from 12 control dogs. Telomere restriction fragment length was
determined by use of an assay kit. A histologic diagnosis was provided for each
tumor by personnel at the Veterinary Diagnostic Laboratory at the University of
Illinois. RESULTS: Mean of the mean TRF length for 44 normal samples was 19.0
kilobases (kb; range, 15.4 to 21.4 kb), and the mean of the mean TRF length for
57 malignant tumors was 19.0 kb (range, 12.9 to 23.5 kb). Although the mean of
the mean TRF length for tumors and normal tissues was identical, tumor samples
had more variability in TRF length. CONCLUSIONS AND CLINICAL RELEVANCE:
Telomerase, which represents the main mechanism by which cancer cells achieve
immortality, is an attractive therapeutic target. The ability to measure telomere
length is crucial to monitoring the efficacy of telomerase inhibition. In
contrast to many other mammalian species, the length of canine telomeres and the
rate of telomeric DNA loss are similar to those reported in humans, making dogs a
compelling choice for use in the study of human anti-telomerase strategies.
PMID- 18052746
TI - Effect of parenteral administration of erythromycin, tilmicosin, and tylosin on
abomasal emptying rate in suckling calves.
AB - OBJECTIVE: To determine the effect of parenteral administration of erythromycin,
tilmicosin, and tylosin on abomasal emptying rate in suckling calves. ANIMALS: 8
male Holstein-Friesian calves < 35 days old. PROCEDURES: Calves received each of
4 treatments in random order (2 mL of saline [0.9% NaCl] solution, IM [control
treatment]; erythromycin, 8.8 mg/kg, IM; tilmicosin, 10 mg/kg, SC; and tylosin,
17.6 mg/kg, IM). Calves were fed 2 L of milk replacer containing acetaminophen
(50 mg/kg) 30 minutes later. Jugular venous blood samples and transabdominal
ultrasonographic abomasal dimensions were obtained periodically after suckling.
Abomasal emptying rate was assessed on the basis of the time to maximal plasma
acetaminophen concentration and ultrasonographic determination of the halftime of
abomasal emptying. One-tailed Dunnett post tests were conducted whenever the F
value for group was significant. RESULTS: Emptying rate was faster for
erythromycin, tilimicosin, and tylosin than for the control treatment, as
determined on the basis of time to maximal plasma acetaminophen concentration.
Ultrasonography indicated that the half-time of abomasal emptying was
significantly shorter for erythromycin than for the control treatment. Tylosin
and tilmicosin accelerated the abomasal emptying rate, but not significantly,
relative to the emptying rate for the control treatment. CONCLUSIONS AND CLINICAL
RELEVANCE: Administration of erythromycin, tilmicosin, and tylosin at the label
dosage increased abomasal emptying rate in calves. The clinical importance of an
increase in abomasal emptying rate in cattle remains to be determined.
PMID- 18052747
TI - Alteration of release and role of adenosine diphosphate and thromboxane A2 during
collagen-induced aggregation of platelets from cattle with Chediak-Higashi
syndrome.
AB - OBJECTIVE: To compare the interaction of endogenous ADP with collagen and
thromboxane A(2) (TXA(2)) during collagen-induced platelet aggregation between
platelets from healthy cattle and those with Chediak-Higashi syndrome (CHS).
POPULATION SAMPLE: Platelets harvested from blood samples from healthy Japanese
Black cattle and those with CHS. PROCEDURES: Aggregation of gel-filtered
platelets; release of ATP-ADP; and generation of thromboxane B(2) (TXB(2)), a
metabolite of TXA(2), were measured. RESULTS: The potency of collagen to induce
aggregation in platelets of cattle with CHS (ie, CHS platelets) was less than a
tenth of that in platelets of healthy cattle (ie, control platelets). Platelet
aggregation induced by collagen at an intermediate concentration depended on the
coexistence of ADP and TXA(2), suggesting that released ADP cannot cause platelet
aggregation by itself. Collagen-induced ADP release was markedly decreased,
whereas TXB(2) production was slightly low in CHS platelets, compared with that
in control platelets. A combination of subthreshold amounts of ADP and 9,11
dideoxy-9alpha, 11alpha-methano-epoxy-prostaglandin F(2) (U46619), a TXA(2)
analogue, caused platelet aggregation. Similarly, a combination of subthreshold
amounts of collagen and ADP caused platelet aggregation, whereas collagen and
U46619 were not synergistic. CONCLUSIONS AND CLINICAL RELEVANCE: Deficient ADP
release ensuing from the delta-storage pool deficiency in platelets from cattle
with CHS resulted in reduction of collagen-induced platelet aggregation, through
attenuation of synergism between TXA(2) and ADP and between ADP and collagen.
Furthermore, results of the study reported here indicated that TXA(2) was
important for aggregation of bovine platelets.
PMID- 18052748
TI - Comparison of the effects of caffeine and doxapram on respiratory and
cardiovascular function in foals with induced respiratory acidosis.
AB - OBJECTIVE: To determine and compare the effects of caffeine and doxapram on
cardiorespiratory variables in foals during isoflurane-induced respiratory
acidosis. ANIMALS: 6 clinically normal foals (1 to 3 days old). PROCEDURES: At
intervals of > or = 24 hours, foals received each of 3 IV treatments while in a
steady state of hypercapnia induced by isoflurane anesthesia (mean +/- SD, 1.4 +/
0.3% endtidal isoflurane concentration). After assessment of baseline
cardiorespiratory variables, a low dose of the treatment was administered and
variables were reassessed; a high dose was then administered, and variables were
again assessed. Sequential low- and high-dose treatments included doxapram
(loading dose of 0.5 mg/kg, followed by a 20-minute infusion at 0.03 mg/kg/min
and then 0.08 mg/kg/min), caffeine (5 mg/kg and 10 mg/kg), and saline (0.9% NaCl)
solution (equivalent volumes). RESULTS: Administration of doxapram at both
infusion rates resulted in a significant increase in respiratory rate, minute
ventilation, arterial blood pH, PaO(2), and arterial blood pressure. These
variables were also significantly higher during doxapram administration than
during caffeine or saline solution administration. There was a significant dose
dependent decrease in PaCO(2) and arterial bicarbonate concentration during
doxapram treatment. In contrast, PaCO(2) increased from baseline values after
administration of saline solution or caffeine. The PaCO(2) value was
significantly lower during doxapram treatment than it was during caffeine or
saline solution treatment. CONCLUSIONS AND CLINICAL RELEVANCE: Results indicated
that doxapram restored ventilation in a dose-dependent manner in neonatal foals
with isoflurane-induced hypercapnia. The effects of caffeine on respiratory
function were indistinguishable from those of saline solution.
PMID- 18052749
TI - Fetal protection against continual exposure to bovine viral diarrhea virus
following administration of a vaccine containing an inactivated bovine viral
diarrhea virus fraction to cattle.
AB - OBJECTIVE: To evaluate the efficacy of a commercially available killed bovine
viral diarrhea virus (BVDV) vaccine to protect against fetal infection in
pregnant cattle continually exposed to cattle persistently infected with the
BVDV. ANIMALS: 60 crossbred beef heifers and 4 cows persistently infected with
BVDV. PROCEDURES: Beef heifers were allocated to 2 groups. One group was
vaccinated twice (21-day interval between the initial and booster vaccinations)
with a commercially available vaccine against BVDV, and the other group served as
nonvaccinated control cattle. Estrus was induced, and the heifers were bred.
Pregnancy was confirmed by transrectal palpation. Four cows persistently infected
with BVDV were housed with 30 pregnant heifers (15 each from the vaccinated and
nonvaccinated groups) from day 52 to 150 of gestation. Fetuses were then
harvested by cesarean section and tested for evidence of BVDV infection. RESULTS:
1 control heifer aborted after introduction of the persistently infected cows.
Bovine viral diarrhea virus was isolated from 14 of 14 fetuses obtained via
cesarean section from control heifers but from only 4 of 15 fetuses obtained via
cesarean section from vaccinated heifers; these proportions differed
significantly. CONCLUSIONS AND CLINICAL RELEVANCE: A commercially available
multivalent vaccine containing an inactivated BVDV fraction significantly reduced
the risk of fetal infection with BVDV in heifers continually exposed to cattle
persistently infected with BVDV. However, not all vaccinated cattle were
protected, which emphasizes the need for biosecurity measures and elimination of
cattle persistently infected with BVDV in addition to vaccination within a herd.
PMID- 18052750
TI - Optimizing opioid management in palliative care.
PMID- 18052754
TI - How important are BMD accuracy errors for the clinical interpretation of DXA
scans?
PMID- 18052753
TI - Effects of growth hormone administration on bone mineral metabolism, PTH
sensitivity and PTH secretory rhythm in postmenopausal women with established
osteoporosis.
AB - INTRODUCTION: Growth hormone (GH) replacement improves target organ sensitivity
to PTH, PTH circadian rhythm, calcium and phosphate metabolism, bone turnover,
and BMD in adult GH-deficient (AGHD) patients. In postmenopausal women with
established osteoporosis, GH and insulin like growth factor-1 (IGF-1)
concentrations are low, and administration of GH has been shown to increase bone
turnover and BMD, but the mechanisms remain unclear. We studied the effects of GH
administration on PTH sensitivity, PTH circadian rhythm, and bone mineral
metabolism in postmenopausal women with established osteoporosis. MATERIALS AND
METHODS: Fourteen postmenopausal women with osteoporosis were compared with 14
healthy premenopausal controls at baseline that then received GH for a period of
12 mo. Patients were hospitalized for 24 h before and 1, 3, 6, and 12 mo after GH
administration and half-hourly blood and 3-h urine samples were collected. PTH,
calcium (Ca), phosphate (PO(4)), nephrogenous cyclic AMP (NcAMP), beta C
telopeptide of type 1 collagen (betaCTX), procollagen type I amino-terminal
propeptide (PINP), and 1,25-dihydroxyvitamin D [1,25(OH)(2)D] were measured.
Circadian rhythm analysis was performed using Chronolab 3.0 and Student's t-test
and general linear model ANOVAs for repeated measures were used where
appropriate. RESULTS: IGF-1 concentration was significantly lower in the women
with established osteoporosis compared with controls (101.5 +/- 8.9 versus 140.9
+/- 10.8 mug/liter; p < 0.05) and increased significantly after 1, 3, 6, and 12
mo of GH administration (p < 0.001). Twenty-four-hour mean PTH concentration was
higher in the osteoporotic women (5.4 +/- 0.1 pM) than in healthy controls (4.4
+/- 0.1 pM, p < 0.001) and decreased after 1 (5.2 +/- 0.1 pM, p < 0.001), 3 (5.0
+/- 0.1 pM, p < 0.001), 6 (4.7 +/- 0.1 pM, p < 0.001), and 12 mo (4.9 +/- 0.1 pM,
p < 0.05) of GH administration compared with baseline. NcAMP was significantly
lower in osteoporotic women (17.2 +/- 1.2 nM glomerular filtration rate [GFR])
compared with controls (21.4 +/- 1.4 nM GFR, p < 0.05) and increased after 1
(24.2 +/- 2.5 nM GFR, p < 0.05), 3 (27.3 +/- 1.5 nM GFR, p < 0.001), and 6 mo
(32.4 +/- 2.5 nM GFR, p < 0.001) compared with baseline. PTH secretion was
characterized by two peaks in premenopausal women and was altered in
postmenopausal women with a sustained increase in PTH concentration. GH
administration also restored a normal PTH secretory pattern in the osteoporotic
women. The 24-h mean adjusted serum calcium (ACa) concentration increased at 1
and 3 mo (p < 0.001) and PO(4) at 1, 3, 6, and 12 mo (p < 0.001). 1,25(OH)(2)D
concentration increased after 3, 6, and 12 mo of GH (p < 0.05). An increase in
urine Ca excretion was observed at 3 and 6 mo (p < 0.05), and the renal threshold
for maximum tubular phosphate reabsorption rate (TmPO4/GFR) increased after 1, 3,
6, and 12 mo (p < 0.05). betaCTX concentration increased progressively from 0.74
+/- 0.07 mug/liter at baseline to 0.83 +/- 0.07 mug/liter (p < 0.05) at 1 mo and
1.07 +/- 0.09 mug/liter (p < 0.01) at 3 mo, with no further increase at 6 or 12
mo. PINP concentration increased progressively from baseline (60 +/- 5 mug/liter)
to 6 mo (126 +/- 11 mug/liter, p < 0.001), with no further increase at 12 mo. The
percentage increase in PINP concentration was significantly higher than betaCTX
(p < 0.05). CONCLUSIONS: Our study shows that GH has a regulatory role in bone
mineral metabolism. GH administration to postmenopausal osteoporotic women
improves target organ sensitivity to PTH and bone mineral metabolism and alters
PTH secretory pattern with greater increases in bone formation than resorption.
These changes, resulting in a net positive bone balance, may partly explain the
mechanism causing the increase in BMD after long-term administration of GH in
postmenopausal women with osteoporosis shown in previous studies and proposes a
further component in the development of age-related postmenopausal osteoporosis.
PMID- 18052755
TI - Overexpression of Smurf2 stimulates endochondral ossification through
upregulation of beta-catenin.
AB - Ectopic expression of Smurf2 in chondrocytes and perichondrial cells accelerated
endochondral ossification by stimulating chondrocyte maturation and osteoblast
development through upregulation of beta-catenin in Col2a1-Smurf2 embryos. The
mechanism underlying Smurf2-mediated morphological changes during embryonic
development may provide new mechanistic insights and potential targets for
prevention and treatment of human osteoarthritis. INTRODUCTION: Our recent
finding that adult Col2a1-Smurf2 mice have an osteoarthritis-like phenotype in
knee joints prompted us to examine the role of Smurf2 in the regulation of
chondrocyte maturation and osteoblast differentiation during embryonic
endochondral ossification. MATERIALS AND METHODS: We analyzed gene expression and
morphological changes in developing limbs by immunofluorescence,
immunohistochemistry, Western blot, skeletal preparation, and histology. A series
of markers for chondrocyte maturation and osteoblast differentiation in
developing limbs were examined by in situ hybridization. RESULTS: Ectopic
overexpression of Smurf2 driven by the Col2a1 promoter was detected in
chondrocytes and in the perichondrium/periosteum of 16.5 dpc transgenic limbs.
Ectopic Smurf2 expression in cells of the chondrogenic lineage inhibited
chondrocyte differentiation and stimulated maturation; ectopic Smurf2 in cells of
the osteoblastic lineage stimulated osteoblast differentiation. Mechanistically,
this could be caused by a dramatic increase in the expression of beta-catenin
protein levels in the chondrocytes and perichondrial/periosteal cells of the
Col2a1-Smurf2 limbs. CONCLUSIONS: Ectopic expression of Smurf2 driven by the
Col2a1 promoter accelerated the process of endochondral ossification including
chondrocyte maturation and osteoblast differentiation through upregulation of
beta-catenin, suggesting a possible mechanism for development of osteoarthritis
seen in these mice.
PMID- 18052756
TI - In vivo determination of bone structure in postmenopausal women: a comparison of
HR-pQCT and high-field MR imaging.
AB - Bone structural measures obtained by two noninvasive imaging tools-3T MRI and HR
pQCT-were compared. Significant but moderate correlations and 2- to 4-fold
discrepancies in parameter values were detected, suggesting that differences in
acquisition and analysis must be considered when interpreting data from these
imaging modalities. INTRODUCTION: High-field MRI and high resolution (HR)-pQCT
are currently being used in longitudinal bone structure studies. Substantial
differences in acquisition and analysis between these modalities may influence
the quantitative data produced and could potentially influence clinical decisions
based on their results. Our goal was to compare trabecular and cortical bone
structural measures obtained in vivo by 3T MRI and HR-pQCT. MATERIALS AND
METHODS: Postmenopausal osteopenic women (n = 52) were recruited for this study.
HR-pQCT imaging of the radius and tibia was performed using the XtremeCT scanner,
with a voxel size of 82 x 82 x 82 microm(3). MR imaging was performed on a 3T
Signa scanner using SSFP imaging sequences, with a pixel size of 156 x 156
microm(2) and slice thickness of 500 microm. Structure parameters were calculated
using standard HR-pQCT and MRI analysis techniques. Relationships between
measures derived from HR-pQCT, MRI, and DXA were studied. RESULTS: Significant
correlations between HR-pQCT and MRI parameters were found (p < 0.0001) and were
strongest for Tb.N (r(2) = 0.52), Ct.Th (r(2) = 0.59), and site-specific Tb.Sp
(r(2) = 0.54-0.60). MRI and HR-pQCT provided statistically different values of
structure parameters (p < 0.0001), with BV/TV and Tb.Th exhibiting the largest
discrepancies (MR/HR-pQCT = 3-4). Although differences in the Tb.N values were
statistically significant, the mean differences were on the order of our
reproducibility measurements. Systematic differences between MRI and HR-pQCT
analysis procedures leading to discrepancies in cortical thickness values were
observed, with MRI values consistently higher. Minimal correlations were found
between MRI or HR-pQCT parameters and DXA BMD or T-score, except between HR-pQCT
measures at the radius and the ultradistal radius T-scores, where moderate
correlations were found (r(2) = 0.19-0.58). CONCLUSIONS: This study provides
unique insight into two emerging noninvasive tools for bone structure evaluation.
Our findings highlight the significant influence of analysis technique on results
of in vivo assessment and underscore the importance of accounting for these
differences when interpreting results from these modalities.
PMID- 18052757
TI - Low-grade metabolic acidosis may be the cause of sodium chloride-induced
exaggerated bone resorption.
AB - Stepwise increase in NaCl intake in healthy male test subjects led to a low-grade
metabolic acidosis. This was most likely the cause for increased bone resorption
during high sodium chloride intake, as determined by analyzing bone resorption
markers. INTRODUCTION: We examined the effect of increased dietary sodium
chloride (NaCl) on bone metabolism and acid-base balance. MATERIALS AND METHODS:
Subjects were nine healthy men (mean age, 25.7 +/- 3.1 yr; mean body weight [BW],
71.5 +/- 4.0 kg). During the first period (6 days), subjects received 0.7 mEq
NaCl/kg BW per day (phase 1), during the second period (6 days) 2.8 mEq NaCl/kg
BW per day (phase 2), during the third period (10 days) 7.7 mEq NaCl/kg BW per
day (phase 3), and during the fourth period (6 days) 0.7 mEq NaCl/kg BW per day
(phase 4). RESULTS: Twenty-four-hour urinary excretion of calcium and sodium rose
significantly with increasing NaCl intake (p < 0.001 for both). Urinary excretion
of bone resorption markers C- and N-terminal telopeptide of type I collagen (CTX,
NTX) increased from phase 2 to phase 3 (CTX, p = 0.013; NTX, p < 0.001) and
decreased from phase 3 to phase 4 (CTX, p < 0.001; NTX, p = 0.002). Bone
formation markers N-terminal propeptide of type I procollagen, bone-specific
alkaline phosphatase, and osteocalcin remained unchanged from low to high NaCl
intake. Blood pH levels decreased (p = 0.04) between phases 1 and 3. Blood
bicarbonate (HCO(3)(-)) and base excess (BE) decreased from phases 1 to 3 (p <
0.001 for both) and from phases 2-3 (HCO(3)(-), p = 0.003; BE, p = 0.015). Nearly
all bone resorption markers and acid-base variables reached their baseline levels
in phase 4. CONCLUSIONS: We conclude that low-grade metabolic acidosis may be the
cause of NaCl-induced exaggerated bone resorption.
PMID- 18052759
TI - EEG diagnosis of nonconvulsive status epilepticus: generalized periodic patterns-
status or not?
PMID- 18052758
TI - Differential bone metabolism between postmenopausal women with osteoarthritis and
osteoporosis.
AB - A comparative study of bone metabolism between postmenopausal women with
osteoarthritis and osteoporosis showed that differential levels of bone
remodeling markers, leptin, free leptin index, and osteoprotegerin might partly
contribute to the proposed inverse relationship in bone mass between
postmenopausal women with osteoarthritis and osteoporosis. INTRODUCTION:
Osteoarthritis (OA) and osteoporosis (OP) are two common disorders affecting the
quality of life in the elderly. The association between OA and OP has always been
debated. The objective of this study was to compare bone metabolism between
postmenopausal women with OA and OP. MATERIALS AND METHODS: A total of 120
postmenopausal women with OA and OP (n = 60, respectively) were included in this
comparative study. Anthropometric parameters and BMD at the spine and the
proximal femur were measured. Serum leptin, soluble leptin receptor (sLR),
osteoprotegerin (OPG), and bone remodeling markers, including bone-specific
alkaline phosphatase (BALP), osteocalcin (OC), deoxypyridinoline cross-links
(DPD), and cross-linked N-telopeptides of type I collagen (NTX), were quantified
with commercial ELISA or EIA kits. Free leptin index (FLI) was also calculated by
the ratio between serum leptin and sLR levels. RESULTS: Postmenopausal women with
OA had higher body weight, body mass index, fat mass, and percentage of fat than
those suffered from OP. Compared with the patients in OP group, the patients in
OA group had significantly higher BMD values at all sites measured. Higher serum
leptin and FLI and lower OPG levels were shown in the OA group (leptin: 31.22 +/-
6.4 versus 26.50 +/- 9.27 ng/ml, p < 0.001; FLI: 3.20 +/- 1.02 versus 2.50 +/-
0.95, p < 0.05; OPG: 4.75 +/- 1.97 versus 6.96 +/- 2.75 pM, p < 0.001), whereas
lower serum OC and higher urine DPD were noted in the OP group (OC: 16.45 +/-
8.45 versus 13.06 +/- 6.25 ng/ml, p < 0.05; DPD: 10.83 +/- 7.12 versus 15.29 +/-
6.65 nM BCE/mM Cr, p < 0.001). Serum OPG levels negatively correlated with BMD at
all sites assessed. However, no correlation was found between leptin and BMD.
Only in the OA group di positive correlations exist between FLI and Z-score at
the femoral neck and Ward's triangle region. After stepwise regression analysis,
it was found that differential factors were able to predict the variance of BMD
at different sites to a certain extent. CONCLUSIONS: Our study suggests that
there are significant differences in bone metabolism between postmenopausal women
with OA and OP and provides evidence for the inverse relationship between OA and
OP. Differential levels of bone remodeling markers, leptin, FLI, and OPG may
partly contribute to the proposed inverse relationship. Roles of leptin and its
soluble receptor in bone metabolism regulation should be explored further.
PMID- 18052761
TI - Role of tolcapone in the treatment of Parkinson's disease.
AB - For decades, the cornerstone of treatment for Parkinson's disease (PD) has been
levodopa, which provides a smooth clinical response early in the course of
disease. However, many PD patients develop motor complications on long-term
levodopa therapy. Catechol-O-methyltransferase (COMT) is a selective and widely
distributed enzyme involved in the catabolism of levodopa. Tolcapone and
entacapone are selective and potent COMT inhibitors that slow the metabolism of
levodopa, thus prolonging its effects. While both drugs act peripherally,
tolcapone also inhibits COMT in the CNS. Tolcapone has been shown to be an
effective adjunct in the treatment of PD in Phase II and III clinical trials,
improving motor fluctuations and reducing levodopa requirements. Rare reports of
severe hepatotoxicity, however, limited tolcapone's implementation in the
treatment of PD. A reappraisal of the data for tolcapone treatment in PD has
found that this risk is very small if proper hepatic monitoring guidelines are
followed. This article reviews the pharmacology and clinical data on tolcapone,
with particular focus on drug safety and the future role of tolcapone therapy in
the treatment of PD.
PMID- 18052762
TI - Almotriptan: meeting today's needs in acute migraine treatment.
AB - Migraine is a common disorder associated with considerable individual and
economic burden. Triptans are recommended for the treatment of migraine of any
severity in patients who have failed to gain adequate relief with nonspecific
medication; early transition to triptans avoids prolonged morbidity in patients
failing to respond to nonspecific medications. There is evidence that early
intervention therapy with oral formulations in migraine, soon after the onset of
an attack and when pain is still mild, improves efficacy. Seven different
triptans are currently marketed, with differing pharmacologic, efficacy and
tolerability profiles. Almotriptan has many positive features, which include
rigorously demonstrated efficacy in sumatriptan nonresponders, as early therapy
and in menstrual migraine. In addition, almotriptan has a favorable pharmacologic
profile with a lack of clinically relevant pharmacokinetic interventions with
other drugs, adverse reactions rate similar to placebo, superior cost
effectiveness and excellent performance on composite clinical outcome measures
that incorporate features of greatest importance to patients. Although effective
in both triptan-naive and -experienced patients, and as both early and standard
therapy, almotriptan shows greater efficacy in triptan-naive patients and as
early treatment, and is consistently one of the preferred triptans in
multiattribute decision-making analyses incorporating attributes of significance
for patients and physicians. Therefore, almotriptan has many features that make
it an ideal choice for a triptan-naive patient moving from nonspecific
medication, a patient switching from another triptan owing to inefficacy or
tolerability issues and patients being advised to take a triptan early in the
course of a migraine attack.
PMID- 18052763
TI - Hypnotic analgesia.
AB - Hypnosis has been used for centuries to treat various types of pain problems.
Anecdotal reports of its efficacy have been around since the early 1800 s, but
only recently has sophisticated research advanced the field. This paper will
summarize the theoretical and clinical foundations of hypnotic analgesia, as well
as offer recommendations for increasing its efficacy and improving future
research to advance the field.
PMID- 18052764
TI - The promise of gene therapy for the treatment of epilepsy.
AB - Gene therapy involves the transfer and expression of a therapeutic gene into a
target tissue, and essentially represents an intervention that alters the
instruction set of a cell having a dominant effect on the cell phenotype. Gene
therapy techniques may provide a realistic therapeutic option for CNS disorders
that are difficult to treat with conventional drugs, such as for drug-resistant
focal epilepsies. Preclinical studies using a selection of 'therapeutic' genes
delivered into the rodent brain showed significant reduction of seizures and
inhibition of epileptogenesis, thus establishing the proof-of-principle evidence
of the potential applicability of this technology to human epilepsy. The
promising potential of gene therapy for the treatment of CNS pathologies still
requires further investigation to improve the efficacy and the safety of this
approach using clinically relevant experimental models. The recent advances in
refining gene therapy tools and in methods of gene delivery make this innovative
approach a definite possibility for therapeutic intervention.
PMID- 18052766
TI - New approaches to understanding hallucinations in Parkinson's disease:
phenomenology and possible origins.
AB - The authors review current literature on hallucinations in Parkinson's disease
(PD). Recent neuropathological studies showed that hallucinations occur in
synucleinopathies and are a significant predictor of Lewy Body depositions.
Therefore, hallucinations are a hallmark of PD and of dementia with Lewy Bodies.
Visual hallucinations are mostly complex and kinematic; preserved or disturbed
insight on the nature of hallucinations is a major prognostic factor, although
eventually all hallucinators will present with reduced insight. Current theories
on the origin of hallucinations point to visual dysfunction, dream overflow and
cognitive impairment, yet objection can be raised on each one of the putative
models of hallucinations. Understanding of the origin of hallucinations is
required in order to develop treatments: all treatment evaluations were focused
in general on psychosis, and only clozapine obtained positive evidence-based
ratings on efficacy. However, it is likely that cholinesterase inhibitors,
antipsychotics and anti-5-hydroxytryptamine(3) agents and drugs acting on sleep
regulation will have different and perhaps opposite effects on different types of
hallucinations, whether they are accompanied by disturbed insight, sleep
disorders or other psychotic features. Further studies will try to separate
phenomenology and responses to treatment and will investigate the relevance of
concomitant sleep disorders and abnormality of frontoparietal networks involved
in the attention process.
PMID- 18052765
TI - Molecular pathways and genetic aspects of Parkinson's disease: from bench to
bedside.
AB - Idiopathic Parkinson's disease (PD) is a progressive neurodegenerative disease
characterized by dopaminergic neuronal loss within the substantia nigra. The
incidence and prevalence of PD is rising with an increasing aging population. PD
is a slowly progressive condition and patients can develop debilitating motor and
functional impairment. Current research has implicated oxidative stress, alpha
synucleinopathy and dysfunction of the ubiquitin-proteasome system in the
pathogenesis of PD. A number of gene mutations have also been linked to the
development of PD. The elucidation of these new molecular pathways has increased
our knowledge of PD pathophysiology. This article reviews important molecular
mechanisms and genetic causes implicated in the pathogenesis of PD, which has led
to new areas of therapeutic drug research.
PMID- 18052767
TI - Sudden unexpected death in epilepsy.
AB - Mortality in people with epilepsy is two- to three-times that of the general
population. This can be attributed to epilepsy itself (epilepsy-related death) or
to the underlying cause of the epilepsy. Sudden unexpected death in epilepsy
(SUDEP) is the commonest cause of epilepsy-related death. It is a syndrome where
a person with epilepsy dies suddenly and no other cause of death is found. There
are frequent reports of persons dying alone in their sleep. It is assumed that
death occurs following a seizure but since the deaths are often unwitnessed this
is only an assumption. The most important risk factor appears to be poor seizure
control. Lately, SUDEP has received much public interest, has been the subject of
international forums, ethical debates and comprises part of national guidelines
on epilepsy management. Despite its high profile, the evidence for specific risk
factors and pathophysiology is still not established. Poor incident case
reporting, inaccurate death certification and fewer post-mortem examinations have
limited the value of epidemiological data on SUDEP. Here, we review the
characteristics of SUDEP, its likely risk factors, mechanisms and differential
diagnosis, and consider possible strategies for prevention. We also explore the
discussion of SUDEP with patients and the management of relatives of SUDEP
patients.
PMID- 18052768
TI - Vagus nerve stimulation for the treatment of intractable epilepsy.
AB - Vagus nerve stimulation is a safe and reliable treatment adjunct for patients
with medically intractable epilepsy. It is both a preventive and abortive form of
therapy, potentially effective against both partial and generalized seizures in
adults and children. Vagus nerve stimulation also has a number of serendipitous
effects on mood, memory and attention, and has been approved for the treatment of
refractory depression. Owing to its pleiotropic effects, it also holds promise
for several other diseases. Its principal limitations are its unknown mechanism
of action, the low likelihood of complete cure and the inability to predict which
patients will derive substantial benefit. This article reviews the theoretical
rationale, practical background and clinical applications of vagus nerve
stimulation therapy.
PMID- 18052769
TI - Tramadol extended-release formulations in the management of pain due to
osteoarthritis.
AB - Tramadol is a centrally acting, synthetic analgesic. Its mechanism of action
involves weak binding to the mu-opioid receptors and inhibition of reuptake of
both norepinephrine and serotonin. It has been used to treat moderate-to-severe
pain for 30 years in Europe and more than 10 years in the USA. Initially
available in immediate-release form (dosing four- to six-times daily), in recent
years, a variety of once-daily formulations have been developed utilizing
different extended-release technologies, which provide different pharmacokinetic
characteristics (time to reach therapeutic plasma levels, time to steady state
and plasma levels at 24 h), leading to different clinical implications (e.g.,
titration schedule, time to onset and adverse events). This review addresses the
efficacy and safety of the four marketed once-daily formulations with published
data in studies on osteoarthritis. Analgesic efficacy and safety results from
double-blind clinical studies utilizing 100-400 mg daily doses of these once
daily formulations have been published. Most subjects took tramadol 200 or 300
mg/day. Each once-daily formulation demonstrated statistically superior efficacy
compared with placebo or similar efficacy to immediate-release or twice-daily
tramadol. Availability of short- and long-term safety data varies among the
formulations. Generally, adverse events are typical of tramadol (constipation,
nausea, dizziness and somnolence). Descriptively, a trend to comparable or lower
incidence and severity of adverse events seems apparent with the various once
daily formulations. Tramadol once-daily formulations are more effective than
placebo and at least as effective and well tolerated as immediate-release
formulations in the treatment of pain due to osteoarthritis, and offer a reduced
dosing regimen, which is especially valuable in elderly patients.
PMID- 18052770
TI - Neurostimulation in primary headache syndromes.
AB - Neurostimulation therapy involves the use of peripheral or central nerve
electrical stimulation approaches for the treatment of medically intractable
headache. Currently, for peripheral stimulation the main approach is that of
occipital nerve stimulation, while for central stimulation deep-brain approaches
with the target of the region of the posterior hypothalamic gray matter have been
explored. Target conditions include migraine and the trigeminal autonomic
cephalalgias: cluster headache, paroxysmal hemicrania and short-lasting
unilateral neuralgiform headache attacks with conjunctival injection and
tearing/cranial autonomic features (SUNCT/SUNA), as well as hemicrania continua.
The initial results are encouraging and given the very significant disability of
medically intractable primary headaches, this is a very promising area for
patients and physicians alike.
PMID- 18052771
TI - Current perspectives in the management of hyponatremia: prevention of CPM.
AB - Central pontine myelinolysis represents a relatively contemporary neurologic
entity in which an imbalance of water relative to alterations in the body's
electrolyte levels induces characteristic demyelination in the central part of
the basis pontis as well as extrapontine sites. The clinical scenario is
typically one of chronic hyponatremia followed by a rapid correction to
normonatremic or hypernatremic levels. This seemingly innocuous series of events
can result in fatal consequences. Better understanding of the pathophysiology of
this disorder provides avenues for clinical management, including use of steroids
and organic osmoles, such as myoinositol. More recently, the introduction of a
newer class of pharmacologic agents - the vasopressin receptor antagonists, known
as vaptans, which induce an excretion of increased amounts of water without
altered sodium or potassium excretion - is of particular interest. This review
addresses classic approaches to the management of this disorder along with a
discussion of newer pharmacologic agents that may become important therapeutic
interventions in the clinical management of the osmotic myelinolysis syndrome.
PMID- 18052772
TI - Sleep problems associated with ADHD: a review of current therapeutic options and
recommendations for the future.
AB - In recent years, there has been a growing interest in sleep problems associated
with attention-deficit/hyperactivity disorder (ADHD). The etiology of these sleep
problems is multifactorial. In this paper, we review the current literature on
the treatment of the most common disorders or factors underlying sleep problems
associated with ADHD. In particular, we focus on the management of sleep problems
associated with ADHD medications, restless legs syndrome, excessive nocturnal
motricity in sleep, sleep disordered breathing, sleep-onset insomnia and
psychiatric comorbidities associated with ADHD. Given the paucity of randomized,
controlled, double-blinded, placebo-controlled studies, it is hoped that this
review will encourage further methodologically sound studies in order to be able
to develop treatment guidelines.
PMID- 18052773
TI - Optimal search space for clustering gene expression data via consensus.
AB - Ensemble clustering methods have become increasingly important to ease the task
of choosing the most appropriate cluster algorithm for a particular data analysis
problem. The consensus clustering (CC) algorithm is a recognized ensemble
clustering method that uses an artificial intelligence technique to optimize a
fitness function. We formally prove the existence of a subspace of the search
space for CC, which contains all solutions of maximal fitness and suggests two
greedy algorithms to search this subspace. We evaluate the algorithms on two gene
expression data sets and one synthetic data set, and compare the result with the
results of other ensemble clustering approaches.
PMID- 18052774
TI - Improved covariance matrix estimators for weighted analysis of microarray data.
AB - Empirical Bayes models have been shown to be powerful tools for identifying
differentially expressed genes from gene expression microarray data. An example
is the WAME model, where a global covariance matrix accounts for array-to-array
correlations as well as differing variances between arrays. However, the existing
method for estimating the covariance matrix is very computationally intensive and
the estimator is biased when data contains many regulated genes. In this paper,
two new methods for estimating the covariance matrix are proposed. The first
method is a direct application of the EM algorithm for fitting the multivariate t
distribution of the WAME model. In the second method, a prior distribution for
the log fold-change is added to the WAME model, and a discrete approximation is
used for this prior. Both methods are evaluated using simulated and real data.
The first method shows equal performance compared to the existing method in terms
of bias and variability, but is superior in terms of computer time. For large
data sets (>15 arrays), the second method also shows superior computer run time.
Moreover, for simulated data with regulated genes the second method greatly
reduces the bias. With the proposed methods it is possible to apply the WAME
model to large data sets with reasonable computer run times. The second method
shows a small bias for simulated data, but appears to have a larger bias for real
data with many regulated genes.
PMID- 18052775
TI - Protein local structure alignment under the discrete Frechet distance.
AB - Protein structure alignment is a fundamental problem in computational and
structural biology. While there has been lots of experimental/heuristic methods
and empirical results, very few results are known regarding the
algorithmic/complexity aspects of the problem, especially on protein local
structure alignment. A well-known measure to characterize the similarity of two
polygonal chains is the famous Frechet distance, and with the application of
protein-related research, a related discrete Frechet distance has been used
recently. In this paper, following the recent work of Jiang et al. we investigate
the protein local structural alignment problem using bounded discrete Frechet
distance. Given m proteins (or protein backbones, which are 3D polygonal chains),
each of length O(n), our main results are summarized as follows: * If the number
of proteins, m, is not part of the input, then the problem is NP-complete;
moreover, under bounded discrete Frechet distance it is NP-hard to approximate
the maximum size common local structure within a factor of n(1-epsilon). These
results hold both when all the proteins are static and when translation/rotation
are allowed. * If the number of proteins, m, is a constant, then there is a
polynomial time solution for the problem.
PMID- 18052776
TI - Bayesian hierarchical model for large-scale covariance matrix estimation.
AB - Many bioinformatics problems implicitly depend on estimating large-scale
covariance matrix. The traditional approaches tend to give rise to high variance
and low accuracy due to "overfitting." We cast the large-scale covariance matrix
estimation problem into the Bayesian hierarchical model framework, and introduce
dependency between covariance parameters. We demonstrate the advantages of our
approaches over the traditional approaches using simulations and OMICS data
analysis.
PMID- 18052777
TI - The neural integration of speaker and message.
AB - When do listeners take into account who the speaker is? We asked people to listen
to utterances whose content sometimes did not match inferences based on the
identity of the speaker (e.g., "If only I looked like Britney Spears" in a male
voice, or "I have a large tattoo on my back" spoken with an upper-class accent).
Event-related brain responses revealed that the speaker's identity is taken into
account as early as 200-300 msec after the beginning of a spoken word, and is
processed by the same early interpretation mechanism that constructs sentence
meaning based on just the words. This finding is difficult to reconcile with
standard "Gricean" models of sentence interpretation in which comprehenders
initially compute a local, context-independent meaning for the sentence
("semantics") before working out what it really means given the wider
communicative context and the particular speaker ("pragmatics"). Because the
observed brain response hinges on voice-based and usually stereotype-dependent
inferences about the speaker, it also shows that listeners rapidly classify
speakers on the basis of their voices and bring the associated social stereotypes
to bear on what is being said. According to our event-related potential results,
language comprehension takes very rapid account of the social context, and the
construction of meaning based on language alone cannot be separated from the
social aspects of language use. The linguistic brain relates the message to the
speaker immediately.
PMID- 18052778
TI - Cerebral lateralization of frontal lobe language processes and lateralization of
the posterior visual word processing system.
AB - The brain areas involved in visual word processing rapidly become lateralized to
the left cerebral hemisphere. It is often assumed this is because, in the vast
majority of people, cortical structures underlying language production are
lateralized to the left hemisphere. An alternative hypothesis, however, might be
that the early stages of visual word processing are lateralized to the left
hemisphere because of intrinsic hemispheric differences in processing low-level
visual information as required for distinguishing fine-grained visual forms such
as letters. If the alternative hypothesis was correct, we would expect posterior
occipito-temporal processing stages still to be lateralized to the left
hemisphere for participants with right hemisphere dominance for the frontal lobe
processes involved in language production. By analyzing event-related potentials
of native readers of French with either left hemisphere or right hemisphere
dominance for language production (determined using a verb generation task), we
were able to show that the posterior occipito-temporal areas involved in visual
word processing are lateralized to the same hemisphere as language production.
This finding could suggest top-down influences in the development of posterior
visual word processing areas.
PMID- 18052780
TI - Tracking the location of visuospatial attention in a contingent capture paradigm.
AB - Currently, there is considerable controversy regarding the degree to which top
down control can affect attentional capture by salient events. According to the
contingent capture hypothesis, attentional capture by a salient stimulus is
contingent on a match between the properties of the stimulus and top-down
attentional control settings. In contrast, bottom-up saliency accounts argue that
the initial capture of attention is determined solely by the relative salience of
the stimulus, and the effect of top-down attentional control is limited to
effects on the duration of attentional engagement on the capturing stimulus. In
the present study, we tested these competing accounts by utilizing the N2pc event
related potential component to track the locus of attention during an attentional
capture task. The results were completely consistent with the contingent capture
hypothesis: An N2pc wave was elicited only by distractors that possessed the
target-defining attribute. In a second experiment, we expanded upon this finding
by exploring the effect of target-distractor similarity on the duration that
attention dwells at the distractor location. In this experiment, only distractors
possessing the target-defining attribute (color) captured visuospatial attention
to their location and the N2pc increased in duration and in magnitude when the
capture distractor also shared a second target attribute (category membership).
Finally, in three additional control experiments, we replicated the finding of an
N2pc generated by distractors, only if they shared the target-defining attribute.
Thus, our results demonstrate that attentional control settings influence both
which stimuli attract attention and to what extent they are processed.
PMID- 18052779
TI - Binding 3-D object perception in the human visual cortex.
AB - How do visual luminance, shape, motion, and depth bind together in the brain to
represent the coherent percept of a 3-D object within hundreds of milliseconds
(msec)? We provide evidence from simultaneous magnetoencephalographic (MEG) and
electroencephalographic (EEG) data that perception of 3-D objects defined by
luminance or motion elicits sequential activity in human visual cortices within
500 msec. Following activation of the primary visual cortex around 100 msec, 3-D
objects elicited sequential activity with only little overlap (dynamic 3-D
shapes: MT-LO-Temp; stationary 3-D shapes: LO-Temp). A delay of 80 msec, both in
MEG/EEG responses and in reaction times (RTs), was found when additional motion
information was processed. We also found significant positive correlations
between RT, and MEG and EEG responses in the right temporal location. After about
400 msec, long-lasting activity was observed in the parietal cortex and
concurrently in previously activated regions. Novel time-frequency analyses
indicate that the activity in the lateral occipital (LO) complex is associated
with an increase of induced power in the gamma band, a hallmark of binding. The
close correspondence of an induced gamma response with concurrent sources located
in the LO in both experimental conditions at different points in time (
approximately 200 msec for luminance and approximately 300 msec for dynamic cues)
strongly suggests that the LO is the key region for the assembly of object
features. The assembly is fed forward to achieve coherent perception of a 3-D
object within 500 msec.
PMID- 18052781
TI - Activity of primate orbitofrontal and dorsolateral prefrontal neurons: effect of
reward schedule on task-related activity.
AB - Recent studies show that task-related activity in the dorsolateral prefrontal
cortex (DLPFC) is modulated by the quality and quantity of the reward, suggesting
that the subject's motivational state affects cognitive operations in the DLPFC.
The orbito-frontal cortex (OFC) is a possible source of motivational inputs to
the DLPFC. However, it is not well known whether these two areas exhibit similar
motivational effects on task-related activity. We compared motivational effects
on task-related activity in these areas while a monkey performed an oculomotor
delayed-response (ODR) task under two reward schedules. In the ODR-1 schedule,
reward was given only after the successful completion of four consecutive trials,
whereas in the ODR-2 schedule, reward was given after every correct trial. Task
related activities in both areas showed spatial selectivity. The spatial
characteristics of task-related activity remained constant in both schedules.
Task-related activity in both areas, especially delay-period activity, was also
affected by the reward schedule and the magnitude of the activity gradually
increased depending on the proximity of the reward trial in the ODR-1 schedule.
More task-related OFC activities were affected by reward schedules, whereas more
task-related DLPFC activities were affected by spatial factors and reward
schedules. These results indicate that the OFC plays a role in monitoring the
proximity of the reward trial and detecting reward delivery, whereas the DLPFC
plays a role in performing cognitive operations and integrating cognitive and
motivational information. These results also indicate that spatial information
and the animal's motivational state independently affect neuronal activity in
both areas.
PMID- 18052782
TI - Neural correlates of preparation for action selection as a function of specific
task demands.
AB - Our behavior is frequently guided by rules, or prescribed guides for action. The
prefrontal cortex (PFC) has been implicated in the ability to retrieve and use
rules in a conscious, effortful manner. Several functional magnetic resonance
imaging (fMRI) studies have examined the role of the PFC in rule representation;
however, the precise PFC subregions implicated in this function vary from study
to study. This observation raises the question of whether there are distinct
classes of rules that are represented differentially in the brain. To address
this question, an fMRI study was conducted in which participants performed two
tasks, each at two levels of difficulty, during acquisition of event-related fMRI
data. The response competition task was based on the Stroop paradigm:
Participants were cued to determine either the ink color or color name associated
with a word stimulus. In contrast, the paired associates task evaluated
participants' memory for either one or four previously memorized pairs of words.
On each trial, an instructional cue appeared briefly on the screen, followed by
an 8-sec delay and a probe period. The left ventrolateral PFC (VLPFC) and the
left supplementary motor area (SMA)/pre-SMA were engaged during the delay period
for all conditions, consistent with a general role in rule representation. In
contrast, different parts of the dorsolateral PFC, the anterior PFC, and the
right VLPFC were preferentially engaged by one or both of the more challenging
rules, consistent with the idea that rules are represented by partially distinct
brain structures according to their content.
PMID- 18052783
TI - Lexical-semantic activation in Broca's and Wernicke's aphasia: evidence from eye
movements.
AB - Lexical processing requires both activating stored representations and selecting
among active candidates. The current work uses an eye-tracking paradigm to
conduct a detailed temporal investigation of lexical processing. Patients with
Broca's and Wernicke's aphasia are studied to shed light on the roles of anterior
and posterior brain regions in lexical processing as well as the effects of
lexical competition on such processing. Experiment 1 investigates whether objects
semantically related to an uttered word are preferentially fixated, for example,
given the auditory target "hammer," do participants fixate a picture of a nail?
Results show that, like normal controls, both groups of patients are more likely
to fixate on an object semantically related to the target than an unrelated
object. Experiment 2 explores whether Broca's and Wernicke's aphasics show
competition effects when words share onsets with the uttered word, for instance,
given the auditory target "hammer," do participants fixate a picture of a
hammock? Experiment 3 investigates whether these patients activate words
semantically related to onset competitors of the uttered word, for example, given
the auditory target "hammock," do participants fixate a nail due to partial
activation of the onset competitor hammer? Results of Experiments 2 and 3 show
pathological patterns of performance for both Broca's and Wernicke's aphasics
under conditions of lexical onset competition. However, the patterns of deficit
differed, suggesting different functional and computational roles for anterior
and posterior areas in lexical processing. Implications of the findings for the
functional architecture of the lexical processing system and its potential neural
substrates are considered.
PMID- 18052784
TI - Dissociable neural effects of task order control and task set maintenance during
dual-task processing.
AB - The functional relevance of the lateral prefrontal cortex (lPFC) for the ability
to process two tasks simultaneously has been debated extensively in previous
studies that employed functional magnetic resonance imaging (fMRI) to investigate
the neural correlates of dual-task processing. In the present fMRI study, we shed
new light on this debate by directly comparing the lPFC activity changes for two
cognitive functions commonly associated with dual-task performance: task order
control and task set maintenance. We manipulated both functions in a 2 x 2
integrated parametric design. The fMRI data revealed a functional-neuroanatomical
dissociation for the lPFC. Regions surrounding the inferior frontal sulcus and
the middle frontal gyrus were exclusively associated with task order control but
not with increased demands on task set maintenance during dual-task processing.
The only lPFC region associated with task set maintenance was located in the left
anterior insula. Outside the lPFC, we found dissociable regions for task order
control and task set maintenance bilaterally in the premotor cortices with more
rostral premotor activity for task order control and more caudal premotor
activity for task set maintenance. In addition, task order control activated the
intraparietal sulci bilaterally. Our data clearly suggest that task order control
is a separable cognitive mechanism in dual-task situations that is related to
activity changes in the lPFC and that can be dissociated from task set
maintenance.
PMID- 18052785
TI - Error and deviance processing in implicit and explicit sequence learning.
AB - In this experiment, we examined the extent to which error-driven learning may
operate under implicit learning conditions. We compared error monitoring in a
sequence learning task in which stimuli consisted of regular, irregular, or
random sequences. Subjects were either informed (explicit condition) or not
informed (implicit condition) about the existence of the sequence. For both
conditions, reaction times were faster to stimuli from regular sequences than
from random sequences, thus supporting the view that sequence learning occurs
irrespective of learning condition. Response-locked event-related potentials
(ERPs) showed a pronounced ERN/Ne, thereby signaling the detection of committed
errors. Deviant stimuli from irregular sequences elicited an N2b component that
developed in the course of the experiment, albeit faster for explicit than
implicit learners. This observation supports the view that deviant events acquire
the status of perceived errors during explicit and implicit learning, and thus,
an N2b is generated resembling the ERN/Ne to committed errors. While performing
the task, expectations about upcoming events are generated, compared to the
actual events, and evaluated on the dimension "better or worse than expected."
The accuracy of this process improves with learning, as shown by a gradual
increase in N2b amplitude as a function of learning. Additionally, a P3b, which
is thought to mirror conscious processing of deviant stimuli and is related to
updating of working memory representations, was found for explicit learners only.
PMID- 18052786
TI - Distinct processing of objects and faces in the infant brain.
AB - Previous work has shown that gamma-band electroencephalogram oscillations
recorded over the posterior cortex of infants play a role in maintaining object
representations during occlusion. Although it is not yet known what kind of
representations are reflected in these oscillations, behavioral data suggest that
young infants maintain spatiotemporal (but not featural) information during the
occlusion of graspable objects, and surface feature (but not spatiotemporal)
information during the occlusion of faces. To further explore this question, we
presented infants with an occlusion paradigm in which they would, on half of the
trials, see surface feature violations of either a face or an object. Based on
previous studies, we predicted higher gamma-band activation when infants were
presented with a surface feature violation of a face, but not of an object. These
results were confirmed. A further analysis revealed that whereas infants
exhibited a significant increase in gamma during the occlusion of an object (as
reported in previous studies), no such increase was evident during the occlusion
of a face. These data suggest markedly different processing of objects and faces
in the infant brain and, furthermore, indicate that the representation
underpinned by the posterior gamma increase may contain only spatiotemporal
information.
PMID- 18052787
TI - "Brain is to thought as stomach is to ??": investigating the role of
rostrolateral prefrontal cortex in relational reasoning.
AB - Brain imaging studies suggest that the rostrolateral prefrontal cortex (RLPFC),
is involved in relational reasoning. Functional magnetic resonance imaging (fMRI)
studies involving Raven's Progressive Matrices or verbal propositional analogies
indicate that the RLPFC is engaged by tasks that require integration across
multiple relational structures. Several studies have shown that the RLPFC is more
active when people must evaluate an analogy (e.g., Is shoe to foot as glove is to
hand?) than when they must simply evaluate two individual semantic relationships,
consistent with the hypothesis that this region is important for relational
integration. The current fMRI investigation further explores the role of the
RLPFC in reasoning and relational integration by comparing RLPFC activation
across four different propositional analogy conditions. Each of the four
conditions required either relation completion (e.g., Shoe is to foot as glove is
to WHAT? --> "hand") or relation comparison (e.g., Is shoe to foot as glove is to
hand? --> "yes"). The RLPFC was engaged more strongly by the comparison subtask
relative to completion, suggesting that the RLPFC is particularly involved in
comparing relational structures.
PMID- 18052788
TI - Syntactic and thematic constraint effects on blood oxygenation level dependent
signal correlates of comprehension of relative clauses.
AB - The effects of plausibility of thematic role assignment and syntactic structure
on blood oxygenation level dependent (BOLD) signal were studied using event
related functional magnetic resonance imaging by orthogonally varying syntactic
structure (subject-vs. object-extracted relative clauses) and the plausibility of
nouns playing thematic roles (constrained vs. unconstrained sentences) in a
plausibility judgment task. In plausible sentences, BOLD signal increased for
object-compared to subject-extracted clauses in unconstrained sentences in left
middle temporal and left inferior frontal areas, for this contrast in constrained
sentences in left middle temporal but not left inferior frontal areas, and for
constrained subject-extracted sentences compared to unconstrained subject
extracted sentences in the left inferior frontal gyrus and the left dorsolateral
prefrontal cortex. We relate these areas of activation to the assignment of the
syntactic structure of object-compared to subject-extracted structures and the
process of checking which thematic roles activated in the course of processing a
sentence are licensed by the syntactic structure of the sentence.
PMID- 18052789
TI - Processing nouns and verbs in the left frontal cortex: a transcranial magnetic
stimulation study.
AB - Neuropsychological and neurophysiological studies suggest that the production of
verbs in speech depends on cortical regions in the left frontal lobe. However,
the precise topography of these regions, and their functional roles in verb
production, remains matters of debate. In an earlier study with repetitive
transcranial magnetic stimulation (rTMS), we showed that stimulation to the left
anterior midfrontal gyrus disrupted verb production, but not noun production, in
a task that required subjects to perform simple morphological alternations. This
result raises a number of questions: for example, is the effect of stimulation
focal and specific to that brain region? Is the behavioral effect limited to rule
based, regular transformations, or can it be generalized over the grammatical
category? In the present study, we used rTMS to suppress the excitability of
distinct parts of the left prefrontal cortex to assess their role in producing
regular and irregular verbs compared to nouns. We compared rTMS to sham
stimulation and to stimulation of homologous areas in the right hemisphere.
Response latencies increased for verbs, but were unaffected for nouns, following
stimulation to the left anterior midfrontal gyrus. No significant interference
specific for verbs resulted after stimulation to two other areas in the left
frontal lobe, the posterior midfrontal gyrus and Broca's area. These results
therefore reinforce the idea that the left anterior midfrontal cortex is critical
for processing verbs. Moreover, none of the regions stimulated was preferentially
engaged in the production of regular or irregular inflection, raising questions
about the role of the frontal lobes in processing inflectional morphology.
PMID- 18052790
TI - The functional effect of transcranial magnetic stimulation: signal suppression or
neural noise generation?
AB - Transcranial magnetic stimulation (TMS) is a popular tool for mapping perceptual
and cognitive processes in the human brain. It uses a magnetic field to stimulate
the brain, modifying ongoing activity in neural tissue under the stimulating
coil, producing an effect that has been likened to a "virtual lesion." However,
research into the functional basis of this effect, essential for the
interpretation of findings, lags behind its application. Acutely, TMS may disable
neuronal function, thereby interrupting ongoing neural processes. Alternatively,
the effects of TMS have been attributed to an injection of "neural noise,"
consistent with its immediate and effectively random depolarization of neurons.
Here we apply an added-noise paradigm to test these alternatives. We delivered
TMS to the visual cortex and measured its effect on a simple visual
discrimination task, while concurrently manipulating the level of image noise in
the visual stimulus itself. TMS increased thresholds overall; and increasing the
amount of image noise systematically increased discrimination thresholds.
However, these two effects were not independent. Rather, TMS interacted
multiplicatively with the image noise, consistent with a reduction in the
strength of the visual signal. Indeed, in this paradigm, there was no evidence
that TMS independently added noise to the visual process. Thus, our findings
indicate that the "virtual lesion" produced by TMS can take the form of a loss of
signal strength which may reflect a momentary interruption to ongoing neural
processing.
PMID- 18052791
TI - Ventromedial frontal lobe plays a critical role in facial emotion recognition.
AB - The ventromedial prefrontal cortex has been implicated in a variety of emotion
processes. However, findings regarding the role of this region specifically in
emotion recognition have been mixed. We used a sensitive facial emotion
recognition task to compare the emotion recognition performance of 7 subjects
with lesions confined to ventromedial prefrontal regions, 8 subjects with lesions
elsewhere in prefrontal cortex, and 16 healthy control subjects. We found that
emotion recognition was impaired following ventromedial, but not dorsal or
lateral, prefrontal damage. This impairment appeared to be quite general, with
lower overall ratings or more confusion between all six emotions examined. We
also explored the relationship between emotion recognition performance and the
ability of the same patients to experience transient happiness and sadness during
a laboratory mood induction. We found some support for a relationship between
sadness recognition and experience. Taken together, our results indicate that the
ventromedial frontal lobe plays a crucial role in facial emotion recognition, and
suggest that this deficit may be related to the subjective experience of emotion.
PMID- 18052792
TI - Adenovirus-mediated gene delivery rescues a neonatal lethal murine model of
mut(0) methylmalonic acidemia.
AB - Methylmalonic acidemia (MMA), an autosomal recessive metabolic disorder, is most
often caused by mutations in methylmalonyl-CoA mutase (MUT). Severely affected
patients typically present with metabolic crisis in the early neonatal period and
can perish despite intervention. Survivors follow an unstable course and can
require elective liver transplantation to prevent life-threatening metabolic
decompensation. Therapeutic alternatives to liver transplantation such as
hepatocyte-directed gene and cell therapies lack experimental validation. We have
used a murine model of mut0 MMA to assess the efficacy of virus-mediated gene
therapy to rescue the neonatal lethality seen in the Mut(-/-) mice. Affected pups
and control littermates received either intramuscular or intrahepatic injections
of adenovirus carrying the Mut gene expressed under the control of the
cytomegalovirus promoter. All of the Mut(-/-) pups injected via the intramuscular
route perished within the first 48 hr of birth. However, more than 50% of the
Mut(-/-) pups that received intrahepatic injections survived beyond weaning (day
15). The treated mutants expressed methylmalonyl-CoA mutase mRNA and protein, and
displayed decreased metabolite levels compared with uninjected Mut(-/-) mice. The
results demonstrate that adenovirus-mediated, hepatic methylmalonyl-CoA mutase
expression can rescue Mut(-/-) pups from neonatal mortality and provide proof-of
principle evidence for the efficacy of liver-directed gene delivery in
methylmalonic acidemia.
PMID- 18052794
TI - Researchers examine contaminants in food, deaths of pets.
PMID- 18052795
TI - Comments on changes in the veal industry.
PMID- 18052796
TI - Sea otters in a dirty ocean.
AB - The connection between the health of humans, animals, and the environments in
which they live have been well recognized and have recently been referred to as
one health, one medicine. An example of the interconnectedness of human, animal,
and ecosystem health is provided by the situation facing southern sea otters off
the US Pacific coast.
PMID- 18052797
TI - What is your diagnosis? Sialoliths.
PMID- 18052798
TI - Responses of dogs to dietary omega-3 fatty acids.
AB - Long-chain omega-3 fatty acids from fish oil and other marine sources appear to
be capable of modifying inflammatory and immune responses in dogs. Information is
provided on the capacity of dogs to metabolize omega-3 fatty acids and the
effects of omega-3 fatty acids on skin and coat, inflammatory responses, and
neurologic development in puppies.
PMID- 18052799
TI - Revenue, expenses, and returns on resources for US veterinary private practices,
2003 and 2005.
AB - Median gross practice revenue for all types in 2005 was $669,000, compared with
$624,904 in 2003. Median total practice expenses were $455,780 in 2005, compared
with $386,310 in 2003. Both median gross practice revenue and median total
practice expenses varied widely with practice type.
PMID- 18052800
TI - Determining the optimal age for gonadectomy of dogs and cats.
AB - Elective gonadectomy of dogs and cats is one of the most common veterinary
procedures in the United States. Increasingly, dog owners and members of the
veterinary profession throughout the world have questioned the optimal age for
performance of these procedures and whether these procedure should even be
performed as elective surgeries.
PMID- 18052801
TI - Detection of Toxoplasma gondii-like oocysts in cat feces and estimates of the
environmental oocyst burden.
AB - OBJECTIVE: To estimate the analytic sensitivity of microscopic detection of
Toxoplasma gondii oocysts and the environmental loading of T gondii oocysts on
the basis of prevalence of shedding by owned and unowned cats. DESIGN: Cross
sectional survey. SAMPLE POPULATION: 326 fecal samples from cats. PROCEDURES:
Fecal samples were collected from cat shelters, veterinary clinics, cat-owning
households, and outdoor locations and tested via ZnSO(4) fecal flotation.
RESULTS: Only 3 (0.9%) samples of feces from 326 cats in the Morro Bay area of
California contained T gondii-like oocysts. On the basis of the estimated tonnage
of cat feces deposited outdoors in this area, the annual burden in the
environment was estimated to be 94 to 4,671 oocysts/m(2) (9 to 434
oocysts/ft(2)). CONCLUSIONS AND CLINICAL RELEVANCE: Despite the low prevalence
and short duration of T gondii oocyst shedding by cats detected in the present
and former surveys, the sheer numbers of oocysts shed by cats during initial
infection could lead to substantial environmental contamination. Veterinarians
may wish to make cat owners aware of the potential threats to human and wildlife
health posed by cats permitted to defecate outdoors.
PMID- 18052803
TI - Evaluation of buffy coat smears for circulating mast cells in healthy cats and
ill cats without mast cell tumor-related disease.
AB - OBJECTIVE: To examine buffy coat smears for circulating mast cells in clinically
normal cats and cats with illnesses unrelated to mast cell tumors and identify
whether conditions other than mast cell tumors are associated with mastocytemia
in cats. DESIGN: Prospective study. ANIMALS: 40 clinically normal cats and 40
cats with diseases unrelated to mast cell tumors (all cats were client owned).
PROCEDURES: A blood sample for a CBC, serum biochemical analyses, and buffy coat
evaluation was obtained from each cat. Ill cats underwent other testing on the
basis of their disease process. RESULTS: No mast cells were detected in any
sample. Eosinophilia was evident in 11 (27.5%) and 12 (30%) clinically normal and
ill cats, respectively. Basophilia was identified in 4 (10%) and 8 (20%)
clinically normal and ill cats, respectively. Eight of the 40 (20%) ill cats had
neutrophilia. CONCLUSIONS AND CLINICAL RELEVANCE: Circulating mast cells were not
identified in clinically normal cats or ill cats without mast cell tumor-related
disease. Ill cats did have conditions that caused eosinophilia, basophilia, or
neutrophilia. The absence of mast cells in buffy coats obtained from clinically
normal and ill cats lends support to the current practice of buffy coat
evaluation for tumor staging and follow-up examinations in cats with mast cell
tumors. Further studies of buffy coat analysis in cats with different forms of
mast cell tumors are indicated to specifically elucidate the test's prognostic
value for those patients.
PMID- 18052804
TI - Risk factors for excessive tibial plateau angle in large-breed dogs with cranial
cruciate ligament disease.
AB - OBJECTIVE: To identify risk factors for development of excessive tibial plateau
angle (TPA) in large-breed dogs with cranial cruciate ligament disease (CCLD).
DESIGN: Case-control study. ANIMALS: 58 dogs with excessive TPAs (ie, TPA >or= 35
degrees ; case dogs) and 58 dogs with normal TPAs (ie, TPA or= 35 degrees in both limbs were 13.6 times (95% confidence interval, 2.72
to 68.1) as likely to have been neutered before 6 months of age as were control
dogs with TPA or= 3.
Teats sutured within 48 to 72 hours of injury had 8.3 times the odds of fistula
formation, compared with teats sutured within the first 24 hours. Cows in early
lactation stage (first 60 days of lactation) were more susceptible to teat
injuries. Occurrence of teat injury was greater at the third, fourth, and fifth
parity. CONCLUSIONS AND CLINICAL RELEVANCE: Season, parity, and lactation period
were associated with prevalence of teat injury in lactating dairy cows in a range
system. Neither the type of suture material used nor the suturing technique was
associated with effects on healing of the operated full-thickness teat wounds.
Factors such as parity and age of the wound can be associated with delay in the
healing process, which may result in fistula formation.
PMID- 18052809
TI - A field study of the effects of a monovalent Leptospira borgpetersenii serovar
Hardjo strain hardjobovis vaccine administered with oxytetracycline on
reproductive performance in beef cattle.
AB - OBJECTIVE: To determine whether vaccination with a monovalent Leptospira
borgpetersenii serovar Hardjo strain hardjobovis vaccine coupled with parenteral
long-acting oxytetracycline treatment would improve the reproductive performance
of beef cows. DESIGN: Randomized controlled field trial. ANIMALS: 1,446 Angus
cross beef cows in 8 locations. PROCEDURES: Cows were given 2 doses, 4 weeks
apart, of vaccine (treatment; n=741) or placebo (control; 705). Cows in the
treatment group were also given a single dose of a long-acting oxytetracycline
formulation (20 mg/kg [9.1 mg/lb], SC) at the time of booster vaccination. Estrus
synchronization was performed, followed by fixed-time artificial insemination;
bulls were introduced 14 days after insemination. Serum and urine samples were
obtained on a random subset of cows (n=194) for detection of serologic titers and
leptospiruria, and locations were categorized as positive or negative for
leptospirosis on the basis of detection of leptospiruric cows. RESULTS: No
differences in pregnancy and calving rates between treatment and control groups
were detected. Pregnancy rate following artificial insemination was lower among
cows in locations positive for leptospirosis than among cows in locations
negative for leptospirosis; however, overall pregnancy rate, calving rate
following artificial insemination, and overall calving rate did not differ
between groups when cows were grouped on the basis of location leptospirosis
status. CONCLUSIONS AND CLINICAL RELEVANCE: Results suggested that under the
conditions of the present study, administration of a monovalent Leptospira
vaccine in conjunction with systemic administration of oxytetracycline did not
significantly improve reproductive performance of beef cows.
PMID- 18052810
TI - An outbreak of dictyocaulosis in lactating cows on a dairy farm.
AB - CASE DESCRIPTION: The owner of a herd of 74 Holstein-Friesian cattle reported
decreased milk production, weight loss, and coughing among lactating cows. Owner
initiated antimicrobial treatment was unsuccessful; 1 lactating cow died, and 50%
of the lactating cows had clinical signs of respiratory distress, such as
tachypnea and coughing. CLINICAL FINDINGS: On the basis of history, physical
examination findings, and fecal examination results, affected animals were
determined to have Dictyocaulus viviparus (lungworm) infestation. The disease
history suggested that the herd contained cows with subclinical patent lungworm
infestations; after introduction of susceptible heifers, the pastures had become
heavily infested with D viviparus and clinical problems subsequently developed in
both newly introduced and resident cows. TREATMENT AND OUTCOME: Affected and
unaffected heifers and adult cows were treated with a pour-on formulation of
eprinomectin (0.5 mg/kg [0.23 mg/lb]). One animal died, but 2 weeks after
treatment, clinical signs among affected cattle were markedly improved. Ten weeks
after treatment, milk production improved from 23 kg/cow/d (51 lb/cow/d) to 28
kg/cow/d (62 lb/cow/d). CLINICAL RELEVANCE: The outbreak provides additional
evidence that dictyocaulosis is becoming more common among adult dairy cattle,
rather than almost exclusively affecting young stock. This may be attributable to
anthelmintic use and management practices on dairy farms. Combined with anecdotal
reports of an increase in the incidence of dictyocaulosis among adult cattle in
North America, D viviparus infestation should be included as a differential
diagnosis for decreased milk production, weight loss, and coughing among adult
dairy cattle.
PMID- 18052811
TI - Zoonoses associated with petting farms and open zoos.
AB - The popularity of open farms and petting zoos has increased markedly over the
last 5 years, with most children in developed countries now having the
opportunity to visit such a facility at some stage in their childhood, either
through school or family visits. The open access policy of these establishments
allows visitors to be in direct contact with animals such as sheep (lambs),
goats, cats (kittens), dogs (puppies), and birds and to have the opportunity to
feed such animals. This contact may lead to the transmission of microbial
pathogens from animals to humans, e.g., Escherichia coli O157:H7, resulting in
human disease. This review outlines the causal organisms associated with such
zoonoses, a description of previous outbreaks at farms and zoos, as well as
infection control measures to help prevent such zoonotic infections.
PMID- 18052813
TI - Situating end-of-life decision making in a hybrid ethical frame.
AB - Good communication in EOL (end-of-life) discussions is described at a general
level in the literature, but there are few studies of EOL discussions at the
level of interaction, with data drawn from the actual talk between physicians and
families. In this article I present a discourse analysis of EOL discussions from
an American ICU (intensive care unit) where the decision to withdraw life support
is situated in a hybrid ethical frame co-constructed as the final phase of the
EOL discussion. In Mishler's (1984) terms, the final phase of the EOL discussion
merges the voice of medicine and the voice of the lifeworld, with both physicians
and families initiating, developing, and repeating particular topics that
encompass not only the logistics of death but also the ethics of the end-of-life
decision. Physicians index their ethical accountability in terms of medical
futility, and families express their accountability in terms of an ethics of
consciousness. The hybrid ethical frame that is co-constructed ultimately centers
upon consensus that the 'right' decision has been made from both ethical
perspectives.
PMID- 18052814
TI - Professional-patient communication in the treatment of mental illness: a review.
AB - The quality of the professional-patient relationship in the treatment of mental
illness predicts patient outcome. Hence, we conducted a review of recorded
professional-patient communication to identify existing research, methods, and
findings. Sixteen studies focused on (i) how psychiatric symptoms are manifested
in patient communication; (ii) the role of therapist communication in patient
improvement; (iii) the influence of sociodemographic characteristics on doctor
patient communication; and (iv) how patients and professionals jointly construct
therapeutic interactions. The findings were disparate and included (a) patient
nonverbal communication is impaired in depression and schizophrenia; (b) the use
of specific therapeutic skills led to improvement in depression; high expressed
emotion (criticism and emotional over-involvement) in treating schizophrenia was
a state rather than trait characteristic of therapists; (c) patient gender,
income, and education influenced communication about depression, anxiety, and
medication; and (d) psychiatrists' varying institutional agendas, which sometimes
competed with patients' agendas, strongly shaped their consultations. Few studies
investigated two-way professional-patient communication, with most focusing on
either patient or therapist communication in isolation from the other. Finally,
methodological advances in linking communication processes with treatment
outcomes in large-scale observational studies and trials are a challenge for
research on medical communication.
PMID- 18052815
TI - Community as a key to healing after the death of a child.
AB - Communication is believed to hold a central role in recreating an individual's
sense of meaning and well-being after a loss. Narrative theory in particular
points to ways that people create meaning and connection with others. Literature
on bereavement suggests that the formation of connections with others, or
building community, comprises an important part of the healing process. For this
study, the content of bulletin board postings commemorating deceased children was
studied quantitatively and qualitatively. Data were examined to learn how
contributors used the Web site to connect with others who shared experience of
losing a child, engage in meaningful shared activities, and create community.
Findings from the data analysis suggest that the Web site contributors are able
to discuss topics that might be restricted in other communication scenes. The
discussion of these topics allows them to serve as 'witnesses' to truths learned
as a result of the loss of a child and enables the participants to keep the
memory of the child alive. By participating in this scene of meaning negotiation,
we argue that the participants actively construct a counterplot to societal
narrative expectations for bereavement that facilitates the creation of some
positive meanings.
PMID- 18052816
TI - Whiteboards: mediating professional tensions in clinical practice.
AB - In this paper we argue that whiteboards in clinical settings play a hybrid role:
communicating inter- and intraprofessional directives, mediating professional
tensions, and mitigating potentially face-threatening acts. The data upon which
this paper is based emanate from two independently conducted ethnographic
studies: the first explored a range of nurse-nurse and nurse-doctor communication
practices in operating rooms, while the second explored work routines and
communication methods in oncology wards. Data collection included fieldwork using
observations, interviews assisted by photographic methods, and in the first
study, a personal diary. A deconstructive analysis was independently undertaken.
As a communication method, the use of whiteboards in clinical settings provided a
focal point for the coordination of clinical work activities and for the
dissemination of information to large groups of people. Whiteboards were a
conduit for potentially face-threatening information in that they facilitated the
policing and disciplining of staff, while distancing communicators from one
another. We conclude that whiteboards are 'pseudo-synchronous' in nature,
enabling 'communication at a distance'. In doing so, whiteboards may facilitate
and economize clinical communication but they also perpetuate the invisibility of
nurses' contribution to ensuring safe care, and they mask the symbolic violence
that is committed within and between health professionals.
PMID- 18052817
TI - Negotiating frame ambiguity: a study of simulated encounters in medical
education.
AB - We report an investigation of the sociolinguistic characteristics of simulated
encounters (role plays) in medical education, focusing in particular on frame
negotiation. The role played by context in influencing the nature of out-of-frame
activity is noted through comparison with another published study of simulations
(Linell and Thunqvist 2003). While in general sustaining a role-playing frame
that involved an orientation to exact mimicry of clinical situations, the
interactional work done to sustain this appearance of 'authenticity' at certain
moments was revealed by out-of-frame utterances. One participating doctor in
particular used humor to exploit the ambiguous realism of the role-playing frame.
The success of this doctor in acquiring and applying new communication behaviors
problematizes the view that 'realism', achieved through mimicry, is solely
responsible for the success of training interventions. The implications for
studying other kinds of simulated encounters, including an outline for a program
of research into the sociolinguistics of acting tasks in general, are outlined.
Sociolinguistic researchers involved in observational studies of talk would
benefit from adopting the view that role-played, rehearsed, and even scripted
talk of the sort used in dramatic performance is a variety of naturally occurring
data and therefore worthy of study.
PMID- 18052818
TI - Lexical conflation and edible iconicity: two sources of ambiguity in American
vernacular health terminology.
AB - Examination of lexical items in naturally occurring vernacular prose shows
patterns of ambiguities in how Americans discuss health issues. Samples from the
Freiburg-Brown corpus of American English and varied registers of popular health
writing found online reveal two principles of naming beliefs that crosscut the
uses of many ambiguous terms: the semantic principle of 'lexical conflation' and
the semiotic principle of 'edible iconicity'. Both are shown to reflect sources
of nutritional conceptualizations. Lexical conflation is illustrated by uses of
fat, cholesterol, sugar, oil, and germ, with modifiers shown to help disambiguate
terms. Edible iconicity, where meaning is attached to the visible form of what is
ingested and characteristics of a food are believed to transfer to the person who
eats it, is illustrated through aspects of hard, white, and hot. Applications are
suggested that take into account the influence on nutritional choices that can
occur when lay people misinterpret specialized information as signifying a
nonspecialist sense. Recognition of these two principles has the potential to
affect public health policy by helping practitioners to identify and modify
ambiguous words, and to take into account tendencies to interpret metaphors
literally, especially regarding iconic ingredients and their presumed effect upon
the body.
PMID- 18052820
TI - The national disaster medical system: past, present, and suggestions for the
future.
AB - This article reviews the history and structure of the National Disaster Medical
System (NDMS), with an emphasis on its definitive care component. NDMS's capacity
to handle very large mass casualty events, such as those included in the National
Planning Scenarios, is examined. Following Hurricane Katrina, Congress called for
a reevaluation of NDMS. In that context, we make three key suggestions to improve
NDMS's capacity to respond to large mass casualty disasters: (1) increase the
level of engagement by the private (i.e., nonfederal) healthcare system in
preparedness and response efforts; (2) increase the reliance on regional hospital
collaborative networks as part of the backbone of the NDMS system; and (3)
develop additional, alternative patient transportation systems, linked to the
overall NDMS patient tracking effort, to decrease the sole reliance on DoD long
haul air transport in medical evacuation.
PMID- 18052821
TI - Tubeless percutaneous nephrolithotomy: 5 years of experience in 201 patients.
AB - BACKGROUND AND PURPOSE: Our aim was to evaluate the safety, effectiveness, and
feasibility of tubeless percutaneous nephrolithotomy (PCNL). PATIENTS AND
METHODS: From June 2000 to September 2005, 201 patients with renal stones >2 cm
underwent tubeless PCNL by a single surgeon. We report details of the outcomes.
RESULTS: The complete stone clearance rate was 91.04% (183 patients). After
surgery, 18 patients (8.96%) had stones (mean size = 7 mm) detected on a plain
abdominal radiograph or ultrasonographic examination and were referred for
shockwave lithotripsy. The mean stone size was 30 mm (range 20-40 mm). The mean
operative time was 35 minutes (range 30-60 min), and the mean hospital stay was
3.5 days (range 2-5 days). Postoperative ultrasonography did not reveal
considerable fluid collection. There were no visceral injuries. Twenty-two
(10.9%) patients received a transfusion, and 16 (7.9%) patients had urinary tract
infection. CONCLUSION: In our experience, tubeless PCNL is safe, effective, and
feasible without any discomfort for patients.
PMID- 18052822
TI - Percutaneous nephrolithotomy in the supine position: a neglected approach?
AB - PURPOSE: The aim of the study was to demonstrate the safety and effectiveness of
single-stage percutaneous nephrolithotomy performed in the supine position.
PATIENTS AND METHODS: A single surgeon performed 322 consecutive percutaneous
nephrolithotomies in patients in the supine position between 1999 and 2006, which
were studied prospectively. RESULTS: There were no complications related to the
supine position, and in only one patient was there failed access. This patient's
kidney was subsequently punctured with the patient in the supine position. There
were no colonic injuries, no pneumothoraces, and a transfusion rate of 3.7%.
Surgery on all patients with stones, including staghorn calculi and bilateral
stones managed synchronously, was in the supine position. The median time to
percutaneous access in a timed cohort of 27 patients was 5 minutes. The stone
clearance rate was 91%. The median length of hospital stay was reduced from 6
days in the initial unstented patients to 3 days in the study overall when most
patients received stents. CONCLUSIONS: Percutaneous nephrolithotomy in the supine
position is safe, effective, and suitable for the majority of patients. It offers
the potential advantages of better urethral access, less patient handling, and
the need to only drape once, thus reducing the overall operative time compared to
the traditional prone position.
PMID- 18052823
TI - Strategic directions in tissue engineering.
AB - The field of tissue engineering is developing rapidly. Given its ultimate
importance to clinical care, the time is appropriate to assess the field's
strategic directions to optimize research and development activities. To
characterize strategic directions in tissue engineering, a distant but reachable
clinical goal was proposed and a worldwide body of 24 leaders in tissue
engineering was queried systematically to determine the best paths toward that
goal. Using a modified Hoshin process, we identified 14 critical activity
categories and then stratified them by their immediate priority for the field.
The result of the analysis illustrates a highly interdependent set of activities
that are dominated by the need for an understanding of angiogenesis, stem cell
science, and the utilization of molecular biology and systems biology tools to
enable a deeper comprehension of tissue development and control.
PMID- 18052824
TI - The opposing effects of lipopolysaccharide on the antitumor therapeutic efficacy
of DNA vaccine.
AB - DNA vaccine represents a novel method to elicit immunity against infectious
disease. Lipopolysaccharide (LPS) copurified with plasmid DNA may affect
therapeutic efficacy and immunological response. We aimed to study the effect of
LPS on the therapeutic efficacy of HER-2/neu DNA vaccine in a mouse tumor animal
model. Plasmid DNA purified from commercial EndoFree plasmid purification kits
functioned as a better therapeutic DNA vaccine than that purified from Non
EndoFree purification kit, which contains >or=0.5 microg LPS per 100 mg DNA
plasmid. To further investigate the effect of LPS on the therapeutic efficacy of
DNA vaccine, increasing amount of LPS was added to endotoxin-free plasmid DNA,
and inoculated on mice with established tumors. One mug of LPS significantly
attenuated the therapeutic effect of neu DNA vaccine and increased Th2 immune
responses bias with interleukin-4 cytokine production. In contrast, high amount
(100 microg) of LPS enhanced the therapeutic efficacy of neu DNA vaccine with an
increase of cytotoxic T lymphocyte response and Th1 immune response. The effect
of LPS on DNA vaccine was diminished when the tumor was grown in toll-like
receptor 4 (TLR4)-mutant C3H/HeJ mice. Our results indicate that variation in the
LPS doses exerts opposing effects on the therapeutic efficacy of DNA vaccine, and
the observed effect is TLR4 dependent.
PMID- 18052825
TI - A novel splicing variant of proprotein convertase subtilisin/kexin type 9.
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) is the most recently
identified member of the proprotein convertase family. Genetic and cell biology
studies have suggested a critical role of PCSK9 in regulating low-density
lipoprotein receptor (LDLR) protein levels and thus modulating plasma LDL
cholesterol. Recent data on the molecular basis for PCSK9 action support the
model in which PCSK9 is self-cleaved, secreted, and tightly bound to the EGF-A
repeat of LDLR extracellular domain. PCSK9 binding to LDLR is essential for the
ensuing receptor-mediated endocytosis and is speculated to lock LDLR in a
specific conformation that favors degradation in lysosomal compartment instead of
recycling back to plasma membrane. We report here a novel human PCSK9 splicing
variant, which we named PCSK9sv. PCSK9sv had an in-frame deletion of the eighth
exon of 58 amino acids and was expressed in multiple tissues, including liver,
small intestine, prostate, uterus, brain, and adipose tissue. Unlike wild-type
PCSK9, which is secreted, PCSK9sv expressed in human embryonic kidney HEK293
cells failed to process the prosegment intracellularly and thus was not secreted
into the medium. Examination of potential functions revealed that PCSK9sv did not
change the LDLR protein levels. Two mutations that have been reported in humans
with the associated changes in plasma LDL cholesterol were within exon 8, and
thus the expression and function of the two mutants were studied. Both N425S and
A443T mutants were processed normally, secreted, and reduced LDLR levels.
However, the physiological function of this novel splicing variant of PCSK9 has
yet to be determined.
PMID- 18052831
TI - The Istanbul international consensus statement on the perinatal care of multiple
pregnancy.
AB - The purpose of this document is to expand the 1995 ISTS/COMBO Declaration of
Rights which was initially produced to promote awareness of the special needs of
multiple birth infants, children, and adults. It addresses the clinical and
ethical dimensions of perinatal care of multiple pregnancy. The ad hoc committee
was chaired by Isaac Blickstein. The following individuals were present (in
alphabetical order): Birgit Arabin (Zwolle, Netherlands/Berlin, Germany), Isaac
Blickstein (Rehovot, Israel), Frank A. Chervenak (NY, USA), Zehra Nese Kavak
(Istanbul, Turkey), Louis G. Keith (Chicago, USA), Eric S. Shinwell (Rehovot,
Israel) and Yves Ville (Paris, France). Secretary of the meeting was Alin Basgul
(Istanbul, Turkey). This statement was endorsed by the International Society of
Twin Studies (Ghent, Belgium, June, 2007) and by the World Association of
Perinatal Medicine (Florence, Italy, September, 2007).
PMID- 18052832
TI - Uterine activity monitoring during labor.
AB - AIM: To summarize the currently available knowledge on (1) the methods to monitor
uterine activity (UA); (2) the units to quantify UA; and (3) to assess the
importance of abnormal contraction patterns on the condition of the fetus. The
need for correct assessment and interpretation of the uterine contraction curve
to improve fetal outcome will be emphasized. STUDY RESULTS: Correct assessment of
the uterine contraction curve is an essential part of the cardiotocogram and
should be obtained by the best method available; i.e., internal tocography (IT).
IT provides objective information on quantization of UA and has the ability to
obtain a good quality trace in an obese, restless patient. Accurate information
on UA is essential because elevated UA during the first and second stage of labor
can increase the risk of adverse fetal outcome. The relaxation time appears to be
an important contraction parameter to maintain fetal well-being during labor.
Almost all abnormal contraction patterns are characterized by shortening of the
relaxation time and can lead to severe asphyxia. Duration, amplitude and
frequency of contractions are of importance as well. The mean active pressure
unit is the means to quantify UA since it incorporates these three contraction
parameters. CONCLUSION: Proper application of UA monitoring by means of the
internal method and adequate reading and interpretation of the uterine
contraction curve is a prerequisite for high quality electronic fetal heart rate
monitoring.
PMID- 18052833
TI - Is non-directive counseling for patient choice cesarean delivery ethically
justified?
AB - The current controversy concerning patient choice cesarean delivery potentially
affects all women of child-bearing age and the physicians who care for them. The
purpose of this paper is to address three salient issues within the patient
choice cesarean delivery controversy. First, is performing patient choice
cesarean delivery consistent with good professional medical practice? Second, how
should physicians respond to or counsel patients who request patient choice
cesarean delivery? And, third, should patient choice cesarean delivery be
routinely offered to all pregnant women?
PMID- 18052834
TI - GDM women in good glycemic control: which meal-related measure enhances fetal
well-being?
AB - OBJECTIVE: To determine which meal-related glucose measure maximizes perinatal
outcome in gestational diabetes mellitus (GDM) women who have achieved
established levels of glycemic control. METHODS: Two thousand two hundred and
ninety-eight GDM women were stratified by meal-related blood glucose measures:
fasting (<95 mg/dL); pre-meal (< or =90 mg/dL); 2-h post-meal (< or =120 mg/dL);
mean (< or =100 mg/dL). The rates of unidentified adverse outcome for composite
outcome, neonatal intensive care unit (NICU), metabolic and respiratory
complications and cesarean section delivery within each meal-related glucose
threshold were calculated. RESULTS: Overall, 25-69% of large-for-gestational-age
(LGA)/macrosomic infants were not identified within the recommended meal-related
glucose threshold measurements. The lowest rates of unidentified morbidity were
found in the pre-meal and mean blood glucose categories while the highest rates
were in the post-meal category despite subjects achieving recommended levels of
glycemic control. The increased rate of LGA/macrosomia within 10 mg/dL increments
for each meal-related glucose category revealed that regardless of the meal
related category, the rate of LGA was significantly higher (15-25%). Logistic
regressions (dependent variable= composite outcome or LGA) showed that mean blood
glucose was the only significant contributor. CONCLUSION: Currently recommended
meal-related glucose measures do not preclude adverse fetal outcome.
PMID- 18052835
TI - Intrahepatic cholestasis of pregnancy: detection with urinary bile acid assays.
AB - AIMS: To determine sensitivity and specificity of urine bile acid sulfate (UBAS)
and non-sulfated urine bile acid (UBA) assays for detection of intrahepatic
cholestasis of pregnancy (ICP). METHODS: Urine was collected prospectively from
healthy and pruritic patients evaluated for ICP. Results were expressed as a
ratio to urinary creatinine. RESULTS: Of 20 patients evaluated for ICP, 14 had
confirmed ICP by serum testing and six had pruritus only. UBAS results were
higher with ICP (P<0.001) and increased with gestational age in healthy controls.
Adjusted for gestational age, the multiples of the median (MoM) were still higher
(2.64+/-1.11, 1.05+/-0.54, P<0.001). The sensitivity was 100% and specificity 83%
at 1.5 MoM in pruritic patients. UBA results were higher with ICP (23.0+/-9.8,
12.8+/-7.4, P<0.001), with sensitivity of 100% and specificity of 50% at 10.2
micromol/g cretinine. CONCLUSION: Urinary bile acids can detect or exclude ICP
with serum abnormalities. Urine bile acid sulfates have higher specificity than
non-sulfated urine bile acids at equivalent sensitivities, but co-detection of
progestin sulfates is suspected.
PMID- 18052836
TI - Elevated blood lead levels in pregnant women: identification of a high-risk
population and interventions.
AB - There has been a significant decrease in the number of preschool children with
elevated blood lead levels (BLL), from 88.2 to 4.4 per 10,000 children over the
last three decades as shown by National Health and Nutritional Examination Survey
(NHANES) data. However, there are still certain high-risk populations that have
not been well studied. One such group is that of pregnant women. During
pregnancy, BLL tends to increase due to greater bone turnover, which causes
release of lead stored in bone. This increase may not affect the pregnant woman's
health directly but could be extremely harmful to the rapidly developing central
nervous system in the fetus as it crosses the placenta easily. This current study
was undertaken to estimate the prevalence of elevated BLL in pregnant women in a
community hospital, monitor the effect of routine preventive practices on
maternal BLL, and to elucidate the relationship between maternal and neonatal BLL
and their anthropometric indices. A retrospective chart review was conducted on
all hospital deliveries occurring in the first six years after the initiation of
universal blood lead screening of pregnant women (n=6880). The prevalence of
elevated lead (> or =10 microg/dL) in our patient population was 1.7%. The
factors associated with elevated lead levels were recent immigration to the US,
poor socioeconomic status and low educational levels. Simple interventions such
as hand washing as well as calcium and iron supplementation significantly reduced
maternal BLL from 16.82+/-9.5 to 11.48+/-9.3 microg/dL (P<0.0001). A significant
correlation (r=0.4, P<0.007) is present between the post-intervention but not the
pre-intervention maternal BLL and the neonatal BLL. Given the vulnerability of
the developing fetal brain and that CNS complications are associated with
elevated lead levels, antenatal lead screening should be part of routine prenatal
care. Simple preventive measures may play a role in decreasing maternal BLL and
thereby decreasing transplacental transfer of lead to the fetus.
PMID- 18052837
TI - Correlation of transthoracic echocardiography and right heart catheterization in
pregnancy.
AB - OBJECTIVES: To correlate estimated pulmonary artery pressures (PAP) by
echocardiography with right heart catheterization (RHC) measurements and to
correlate estimated left ventricular ejection fraction (EF) by echocardiography
with cardiac output (CO) measurements by RHC. STUDY DESIGN: All women who had
echocardiography at a single institution during a 6-year period and underwent RHC
during pregnancy were included. Echocardiography estimates of right ventricular
systolic pressure (RVSP) and EF were correlated with measured RHC PAP and CO,
respectively. RESULTS: Eighteen patients underwent 21 RHCs, 10 antepartum at the
catheterization laboratory and the remaining 11 intrapartum, performed with the
use of a pulmonary artery catheter placed prior to the onset or induction of
labor. Correlation between RVSP and PAP was good (rho=0.79, P<0.0001);
nonetheless, in 30% of cases RHC eliminated the concern for pulmonary
hypertension (PHTN). There was minimal correlation between EF and CO. CONCLUSION:
Despite good statistical correlation between echocardiography and RHC for
determining pulmonary artery pressure, RHC should be considered for major
decisions such as pregnancy interruption or preterm delivery given the proportion
of cases where concern for PHTN was excluded by RHC. EF provides a poor proxy for
CO.
PMID- 18052838
TI - Impact of the new French clinical practice recommendations in embolization in
postpartum and post-abortion hemorrhage: study of 48 cases.
AB - AIM: To evaluate the use of arterial embolization in postpartum hemorrhage (PPH)
by comparing the indications, efficacy, times to diagnosis, treatment, and
embolization, and conditions of management of patients before and after
publication of the College National des Gynecologues et Obstetriciens Francais
clinical practice recommendations (CPR). METHODS: Forty-eight patients who
underwent embolization between January 2000 and December 2005 were included in a
retrospective, descriptive, and comparative study. We compared the management
before (n=21) and after (n=27) publication of the CPR. The main outcome measures
were time to diagnosis of PPH, time to treatment initiation, time to decision to
implement embolization, time to embolization, hemodynamic characteristics.
RESULTS: The number of embolizations has quadrupled since publication of the CPR,
and the time taken to decide on embolization has been reduced by 30%. The success
rate was 96%, there were no second embolization procedures, and one complication
was recorded (hematoma of pubic symphysis). Hemodynamic status was better in
patients treated after the CPR (P=0.003). CONCLUSION: Embolization is an
effective and safe technique, with a low complication rate. In our healthcare
network, the decision to use embolization was faster since the CPR, embolizations
have quadrupled, and patients' hemodynamic status has improved.
PMID- 18052839
TI - The relationship between congenital malformations and preterm birth.
AB - AIMS: To investigate how congenital malformations (CM) are associated with
preterm (PT) births. METHODS: A population cohort study analyzed data from the
New York State Congenital Malformations Registry to look at live births from the
Lower Hudson Valley Region from 2000-2003. ICD9 codes identified all cases and
types of CM in addition to cases with multiple malformations. Subjects were
categorized into one of three gestational age (GA) groups. Only cases with a
known GA at birth were included. Poisson regression analysis established a risk
ratio for children born with a CM of being born preterm. RESULTS: The PT birth
rate was 11.2% and the CM rate 3%. Children with a CM were more likely to be born
PT (RR: 2.20; CI: 2.01-2.40), moderately preterm (RR: 1.95; CI: 1.76-2.15), and
very preterm (RR: 3.45; CI: 2.95-4.04) than term. This relationship was
maintained for most organ systems and malformation types evaluated when checked
individually. Being born with more than one CM increased the likelihood of being
born PT (OR: 1.72; CI: 1.37-2.15). CONCLUSIONS: Congenital malformations in
children have a significant impact upon the likelihood of being born PT, and
contribute significantly to the degree of prematurity.
PMID- 18052840
TI - Obstetrical factors for death and brain injury among extremely-low-birth-weight
infants.
AB - OBJECTIVE: To examine the obstetrical risk factors for death and brain injury
among extremely-low-birth-weight (ELBW) infants (birth weight <1000 g). STUDY
DESIGN: Study subjects were 121 ELBW infants born at a single tertiary perinatal
center. Death among ELBW infants was considered to have occurred when subjects
died within their corrected age of 40 weeks. In the sub-analysis of the 91 ELBW
infants who survived their corrected age of 40 weeks, brain injury was defined as
present when criteria based on ultrasound and/or MRI were substantiated. RESULTS:
A birth weight of <800 g [adjusted odds ratio (OR), 14.57; 95% confidence
interval (CI), 4.72-56.98], a younger gestational age of <26 weeks (adjusted OR,
4.64; 95% CI, 1.60-14.90), and a low Apgar score of <5 (adjusted OR, 3.88; 95%
CI, 1.32-12.45) were significantly associated with death among ELBW infants. A
maternal age of 30 years or older (adjusted OR, 3.71; 95% CI, 1.19-13.35) was
only associated with brain injury among surviving ELBW infants. CONCLUSION:
Obstetrical care should be aimed at preventing or predicting premature delivery
especially at <26 weeks of gestation.
PMID- 18052841
TI - Early detection of a congenital coronary artery fistula in a neonate by Doppler
color flow mapping.
AB - AIMS: To describe a case of a congenital coronary artery fistula in a neonate
with the diagnostic and surgical modalities used to manage this condition. A full
term infant was recently diagnosed with a coronary artery fistula. The patient
was a term neonate, born without complications, which then appeared to be
cyanotic and had a significant heart murmur. RESULTS: Echocardiography
demonstrated a large right coronary fistula measuring 7 mm in diameter entering
the right ventricle and a small patent ductus arteriosus (PDA) with a left to
right shunt. The patient subsequently had patch closure of the coronary artery
fistula and ligation of the PDA. Recovery followed from the procedure without
apparent intra-operative or post-operative complications. CONCLUSION: Prompt
diagnosis and surgical management of the neonate with a coronary fistula is
essential to reduce the morbidity and mortality that may result from this
condition.
PMID- 18052842
TI - The exit procedure (ex-utero intrapartum treatment): management of giant fetal
cervical teratoma.
AB - The prognosis of giant cervical teratomas is determined by the severity of the
associated airway obstruction. Ex-utero intrapartum treatment (EXIT) is a
technique to establish a patent airway before completion of birth, while the
infant remains on placental support. We present two cases of newborns with
cervical teratomas treated with the EXIT procedure. In the first, this technique
allowed a tracheotomy to be performed, and in the second, correction of tracheal
displacement and subsequent nasotracheal intubation was achieved.
PMID- 18052843
TI - Intestinal trefoil factor in treatment of neonatal necrotizing enterocolitis in
the rat model.
PMID- 18052847
TI - Development of in vitro methods to predict induction of CYP1A2 and CYP3A4 in
humans.
AB - The important role of cytochrome P450 (CYP) drug-metabolizing enzymes has been
studied for many years, and the potential liabilities of inducing these enzymes
are well understood. Though several mechanisms of induction have been studied, a
growing consensus is developing that the aryl hydrocarbon receptor (AHR) and the
pregnane X receptor (PXR) have evolved as the primary mechanisms responsible for
clinically relevant drug-drug interactions caused by induction of drug
metabolizing factors. AHR and PXR have been identified as inducers of a variety
of Phase I and Phase II drug-metabolizing enzymes, drug transporters, and other
factors involved in drug metabolism. Though many genes are induced through these
regulating factors, CYP1A2 and CYP3A4 have been the most reliable biomarkers to
identify compounds with potential induction liabilities through AHR and PXR,
respectively. Here are presented several in vitro methods to detect AHR- and PXR
mediated induction of CYP1A2 and CYP3A4 in fresh and cryopreserved primary human
hepatocytes, stable transfectants, and transiently transfected immortalized
cells.
PMID- 18052848
TI - A three-stage experimental strategy to evaluate and validate an interplate IC50
format.
AB - The serial dilution of compounds to establish potency against target enzymes or
receptors can at times be a rate-limiting step in project progression. We have
investigated the possibility of running 50% inhibitory concentration experiments
in an interplate format, with dose ranges constructed across plates. The
advantages associated with this format include a faster reformatting time for the
compounds while also increasing the number of doses that can be potentially
generated. These two factors, in particular, would lend themselves to a higher
throughput and more timely testing of compounds, while also maximizing chances to
capture fully developed dose-response curves. The key objective from this work
was to establish a strategy to assess the feasibility of an interplate format to
ensure that the quality of data generated would be equivalent to historical
formats used. A three-stage approach was adopted to assess and validate running
an assay in an interplate format, compared to an intraplate format. Although the
three-stage strategy was tested with two different assay formats, it would be
necessary to investigate the feasibility for other assay types. The
recommendation is that the three-stage experimental strategy defined here is used
to assess feasibility of other assay formats used.
PMID- 18052850
TI - A beta-lactamase reporter assay for monitoring the activation of the smoothened
pathway.
AB - The seven-transmembrane protein Smoothened (Smo) mediates the cellular response
to the Hedgehog protein signal and is involved in cell growth and differentiation
during embryonic development. Stimulation of the Smo pathway is directly
implicated in tissue maintenance and repair, but overactivation of Smo could lead
to tumorigenesis. We developed a robust and sensitive functional cell-based assay
that measures the activity of endogenous Smo using a beta-lactamase
transcriptional readout. This is the first Smo reporter assay that utilizes beta
lactamase reporter technology. This assay type has distinct advantages over other
reporter technologies and can be used in a high-throughput mode to search for
therapeutically relevant downstream Smo target effectors.
PMID- 18052851
TI - LRET-based HTS of a small-compound library for inhibitors of bacterial RNA
polymerase.
AB - Resistance mechanisms against whole classes of antibiotics are currently
developing faster than research generates new structurally different biologically
active agents. The demand for new antimicrobial drugs has not been matched by the
speed of discovery. The interface between sigma and core of bacterial RNA
polymerase offers an attractive target for drug discovery, and we have previously
described the development of a very robust high-throughput assay for this target
based on luminescence resonance energy transfer. Here we describe a semiautomated
screen of a commercially available library (Chembridge, San Diego, CA) that led
to the identification of four small molecules, two of which have activity in
preventing in vitro transcription and growth of Escherichia coli.
PMID- 18052852
TI - Self-regulation and physical activity: the relationship in older adults.
AB - OBJECTIVES: To examine the relationship between self-regulation and physical
activity (PA) in older adults. METHODS: A convenience sample (N=284) of older
adults (M age=70.4 years) was surveyed. RESULTS: Multivariate analyses
controlling for self-efficacy and socio-demographic variables revealed that using
self-regulatory strategies more extensively, being male, and having better self
report health were significantly related to greater PA engagement. Supportive of
a social cognitive perspective, results were also consistent with mediation,
where self-regulation partially mediated the relationship between self-efficacy
and PA. CONCLUSIONS: Further examination of these relationships using prospective
and experimental designs is recommended. Practical implications of these findings
are discussed.
PMID- 18052853
TI - Breast-feeding intentions among low-income pregnant and lactating women.
AB - OBJECTIVES: Provide a better understanding of the process used by low-income
pregnant/postpartum women when deciding whether to breast-feed or not. METHODS:
Pregnant/postpartum women admitted to an obstetrics floor completed a survey to
determine breast-feeding intention (n=88). RESULTS: Subjects were primarily
Hispanic and African American women. Beliefs and referent other were related
positively to attitude and subjective norm, respectively. Subjective norm was
related positively to intention to breast-feed. Breast-feeding knowledge was low.
CONCLUSIONS: Others' opinions clearly influence feeding intentions among this
population of low-income women. Inclusion of these significant others, family,
and friends within the breast-feeding education process is warranted.
PMID- 18052854
TI - Smoking cessation counseling as a teachable moment for skin cancer prevention:
pilot studies.
AB - OBJECTIVE: To conduct pilot research examining smoking cessation counseling as a
teachable moment for skin cancer prevention. METHODS: Study I surveyed 199
beachgoers regarding skin cancer protection. Study II compared a standard smoking
cessation treatment against one that incorporated skin cancer education (N=35).
RESULTS: In Study I, smokers were less likely than nonsmokers to wear sunscreen
or perform skin self-examinations. In Study II, participants were satisfied with
the integrated program; smoking cessation treatment efficacy was not compromised;
and skin cancer knowledge and self-efficacy increased. CONCLUSIONS: Further study
of smoking cessation as a teachable moment for other health behavior change is
warranted.
PMID- 18052855
TI - Outcomes and adherence in Syria's first smoking cessation trial.
AB - OBJECTIVE: To determine the feasibility of implementing cessation interventions
in Syria. METHODS: We randomized 50 smokers to either a brief or intensive
behavioral cessation intervention. Adherence to treatment and cessation through 3
months postcessation were calculated. RESULTS: Adherence in the intensive group
was only moderate and was associated with smoking for more years and higher self
efficacy. Cessation rates in the brief and intensive intervention groups were 16%
and 4%, respectively. Nicotine dependence predicted abstinence at 3 months.
CONCLUSION: Important barriers to cessation included perceived dependence, lack
of access to pharmacotherapy, poor social support, and water pipe smoking.
PMID- 18052856
TI - Assessing self-management and spirituality practices among older women.
AB - OBJECTIVE: To understand the role of spirituality in the self-management of
cardiovascular disease and arthritis. METHOD: Self-management practices were
selected from older women enrolled in a longitudinal study (N=492) whereas 24 of
the enrolled participants were selected for an in-depth interview regarding the
role of spirituality in their self-management practices. RESULTS: Significant
differences were found in the level of spirituality in self-management behaviors
based on ethnicity. The qualitative analyses reaffirmed the importance of
spirituality in the self-management of chronic illness. CONCLUSION: Using
multiple methodologies, this study elucidated the role of spirituality in the
self-management of chronic illness among older women.
PMID- 18052857
TI - ViewPoint: conversation with Lloyd J. Kolbe, PhD.
PMID- 18052858
TI - Knowledge, attitudes, and behaviors of Chinese hepatitis B screening and
vaccination.
AB - OBJECTIVE: To measure the knowledge, attitudes, and behaviors of Hepatitis B
(HBV) screening and vaccination in the Chinese American population. METHODS: A
cross-sectional study was conducted among 429 Chinese in New York City.
Participants were recruited from Chinese community-based organizations.
Descriptive statistics and chi-square tests of independence were conducted.
RESULTS: Knowledge level of HBV risk factors and screening and vaccination rates
are low. There were significant differences in screening and vaccination by
marital and health insurance status, language, gender, education, and income.
CONCLUSIONS: Findings suggested that interventions for Chinese Americans and
health care providers can substantially increase screening and vaccination rates.
PMID- 18052859
TI - Influence of coping with prostate cancer threat on frequency of digital rectal
examinations.
AB - OBJECTIVES: To determine the role of personality variables in coping with cancer
threat in the receipt of digital rectal examinations among men from 7 ethnic
subpopulations composing 3 major ethnic groups. METHODS: Three hundred eight men
were assessed on how often they obtained digital rectal exams and their
likelihood of coping with a hypothetical cancer diagnosis. RESULTS: There were
ethnic disparities in screening frequency that were not accounted for by
demographic/background variables. Coping styles that reflect problem solving, use
of social support, and avoidance provided unique and additional variance in
understanding these disparities. CONCLUSIONS: Cancer researchers and educators
must account for heterogeneity within typical major ethnic groups, as well as
consider the role of personality variables, as they differentially predict
outcomes in ethnic subpopulations.
PMID- 18052860
TI - Workplace sun protection policies and employees' sun-related skin damage.
AB - OBJECTIVES: To investigate whether mandatory sun protection for outdoor workers
in tropical regions is associated with reduced sun damage. METHODS: Survey of 26
employees working under mandatory sun protection policy was compared to survey of
21 employees working under voluntary sun protection policy. RESULTS: Voluntary
sun protection associated with employees having more solar keratoses on dorsum of
right hand (P=0.006) and more previously excised self-reported skin cancers
(P=0.008). CONCLUSIONS: Employees working under mandatory sun protection policy
had reduced sun damage, a likely consequence of less sun exposure.
PMID- 18052861
TI - A structural model of alcohol use pathways among Latino youth.
AB - OBJECTIVES: To determine the pathways to alcohol use among adolescents. METHODS:
A cross-sectional study of risk and protective factors among a sample of Latino
youth (aged 11-13) was conducted. RESULTS: Peer norms and school connectedness
had direct pathways to alcohol use. Self-concept was related to peer norms. Youth
who were less acculturated were more likely to believe that their peers drank.
Family monitoring, connectedness, and academic support did not have direct
pathways to alcohol use. CONCLUSIONS: Peer norms appear critical in shaping
adolescent involvement with alcohol. The protective influence of family and
academic support appear to be indirect.
PMID- 18052862
TI - From HIV AIDS, TB to H. pylori and other infections in Africa.
PMID- 18052863
TI - Eligibility for HIV/AIDS treatment among adults in a medical emergency setting at
an urban hospital in Uganda.
AB - BACKGROUND: Despite global effort to scale up access to antiretroviral therapy
(ART), many people in need of HIV/AIDS care in Uganda have not been reached. HIV
testing and ART are not widely offered as routine medical services and data on
HIV/AIDS in emergency settings in Sub-Saharan Africa is limited. We determined
the HIV prevalence and eligibility for ART in a medical emergency unit at Mulago
hospital. METHODS: In a cross-sectional study, we interviewed 223 patients who
were systematically selected from the patients' register from October through
December 2004. HIV testing was offered routinely and results were delivered
within 30 minutes. We evaluated HIV infected patients for WHO clinical stage of
disease and referred them for HIV/AIDS care. RESULTS: Out of 223 patients, 111
(50%) had HIV infection of whom 78 (70%) had WHO clinical stage 3 and 4 of
disease thereby requiring ART. Overall, 84 out of 111 (76%) HIV positive patients
had not received any specific HIV/AIDS care. CONCLUSION: The burden of HIV
infection in the medical emergency unit is high and majority of the patients who
required ART had no prior HIV/AIDS care. We recommend scale up of HIV/AIDS care
in acute care settings in order to increase access to ART.
PMID- 18052864
TI - Human T-cell lymphotropic virus in a population of pregnant women and commercial
sex workers in South Western Nigeria.
AB - BACKGROUND: Over 20 million persons are infected with HTLV-I/II globally. The
virus is endemic in Africa and it is also transmitted sexually. Continued
identification of high risk groups is important for the control of the disease.
OBJECTIVES: To determine the prevalence of HTLV infection amongst two highly
sexually active groups, pregnant women and CSWs in South Western Nigeria.
METHODS: Serum samples were tested for the presence of HTLV-I/II antibodies using
the Vironostika HTLV-I/II micro ELISA system. RESULTS: A total of 364 serum
samples collected from pregnant women, commercial sex worker (CSW) and secondary
school students (control group) from Ibadan. While only 4 (5.1%) of 78 secondary
school students (average age: 13 years) were reactive for HTLV infection, 20
(16.7%) of 120 pregnant women (average age: 26 years) and 38 (22.9%) of 166 CSWs
(average age: 23 years) were found to have antibodies against HTLV in their sera.
The results of this study thus show that HTLV infection is active in the
population although higher in pregnant women (although not statistically
significant) and CSWs (p>0.05). Pregnant women and CSWs are therefore at a higher
risk of HTLV transmission than other members of the population. CONCLUSION:
Routine screening for HTLV infection may go a long way to understanding the
epidemiology of HTLV infection in Nigeria and subsequently provide tools for its
prevention and control.
PMID- 18052865
TI - Anti-retroviral therapy induced diabetes in a Nigerian.
AB - BACKGROUND: Anti-retroviral therapy (ART) using Highly Active Anti-retroviral
Therapy (HAART) has led to considerable reduction in morbidity and mortality
associated with human Immune deficiency virus (HIV) infection. This has led to
increased life expectancy in HIV infected individuals on one hand, and side
effects of chronic administration of these drugs on the other. One of such
untoward effects is the association of anti-retroviral drugs especially the
protease inhibitors (PI's) with metabolic derangements such as dyslipidaemia,
lipodystrophy, insulin resistance and rarely Diabetes mellitus. Although there is
extensive literature on this dysmetabolic syndrome in the Western World; there is
to our knowledge no previous report from Nigeria. OBJECTIVE: to report a case of
diabetes mellitus following the initiation of anti-retroviral therapy. METHODS: a
case report of diabetes mellitus induced by anti-retroviral therapy in a 48 year
old Nigerian male. CONCLUSION: Awareness and high index of suspicion is required
to identify the metabolic complications of ART.
PMID- 18052866
TI - The challenge of re-treatment pulmonary tuberculosis at two teaching and referral
hospitals in Uganda.
AB - BACKGROUND: With an annual tuberculosis (TB) incidence of about 350 cases per
100,000 of the population, Uganda is a high burden country. Moreover, it is
evident that some TB patients have been treated for a previous episode of the
disease. OBJECTIVE: To highlight the burden of re-treatment pulmonary TB and
examine patient factors associated with re-treatment among adults at two teaching
and referral hospitals, Mbarara and Mulago. METHODS: A descriptive cross
sectional study with data collection between September 2004 and March 2005; we
calculated the prevalence and used logistic regression to explore factors
associated with re-treatment. RESULTS: The prevalence of re-treatment pulmonary
TB at Mbarara based on medical records was 30.0% (95%CI: 21.2 to 40.0), and 21.3%
(95%CI: 12.9 to 31.8) from exit interviews. The corresponding estimates at Mulago
hospital were 12.0% (95% CI: 6.4 to 20.0) and 43.9% (33.0 to 55.3). Compared to
the 18-26 year age category, the prevalence odds ratio (POR) for a seven-year
increase in age was 1.54 (95%CI: 1.04-2.28; p = 0.027), while female patients
were 0.39 (95%CI: 0.17-0.90; p = 0.025) times less likely to report re-treatment
disease than males, in this facility-based study. CONCLUSIONS: Re-treatment
pulmonary TB is frequent at the two teaching and referral hospitals. A
contribution to re-treatment prevention should entail more rigorous management of
new TB cases, particularly at lower levels of care.
PMID- 18052867
TI - Antibiotic resistance of Helicobacter pylori from patients in Ile-Ife, South
west, Nigeria.
AB - BACKGROUND: Helicobacter pylori has become recognized as a major cause of
gastroduodenal diseases in man. Evidence indicates that once acquired, H. pylori
persists, usually for life unless eradicated by antimicrobial therapy. Over the
past few years, we have accumulated some knowledge of the epidemiology of H.
pylori in Ile-Ife, South-West Nigeria. In one collaborative study, we detected H.
pylori in 195 (73%) patients referred for endoscopy at Obafemi Awolowo University
Teaching Hospitals Complex (OAUTHC). Furthermore we have observed a variegated
gastric inflammatory response and atrophy including atrophic pangastritis but are
yet to demonstrate MALToma in any of our patients. In addition we have
demonstrated that dental plaque is a possible source of gastric H. pylori
infection and such an endogenous source could account for difficulty in
eradication leading to re-infection. Presently, infected patients are treated
with standard combination therapy made up of amoxycilin and ciprofloxacin with a
proton pump inhibitor/bismuth. Reports however have shown that the incidence of
antimicrobial resistance in Helicobacter pylori is a growing problem and which
has been linked with failures in treatment and eradication. Given this situation
it has become necessary to have information about the susceptibility of isolates
to particular antimicrobial agents before the selection of an appropriate
treatment regimen. OBJECTIVES: More recently, we sought to study antimicrobial
susceptibility of locally isolated H. pylori strains. METHODS: We subjected 32
isolates to antimicrobial susceptibility testing against seven agents. RESULTS:
All the isolates showed multiple acquired antimicrobial resistance as they were
all resistant to amoxicillin, clarithromycin, metronidazole, while 29/31, 27/31
showed resistance to rifampicin and tetracycline respectively. Five (15.6%) of
these isolates showed resistance to ciprofloxacin. CONCLUSIONS: Our findings
suggest that H. pylori strains isolated within our study environment have
acquired resistance to all the commonly prescribed antibiotics. On the basis of
the findings it would be necessary to re-evaluate the eradication treatment
regime in our setting.
PMID- 18052868
TI - Drug sensitivity patterns of bacterial isolates from septic post-operative wounds
in a regional referral hospital in Uganda.
AB - BACKGROUND: Wound infections have been a problem in the field of surgery for a
long time. Advances in control of infections have not completely eradicated this
problem because of development of drug resistance. Antimicrobial resistance can
increase complications and costs associated with procedures and treatment.
OBJECTIVE: A study was carried out on drug sensitivity patterns of bacterial
isolates from septic postoperative wounds in Jinja hospital, Uganda. This study
was designed to determine the distribution of bacterial pathogens isolated from
septic post-operative wounds and their antimicrobial susceptibility patterns.
METHOD: Specimens of pus swabs were collected aseptically and analysed in the
laboratory. Colony characteristics and Grams technique were used to differentiate
the organisms. Biochemical tests were done to confirm the species of the
organisms. Sensitivity testing was done on the isolates using the disk diffusion
method. RESULTS: Pathogenic bacteria were recovered from 58.5% of the specimens.
The isolates were: S.aureus (45.1%), Coliforms (16.9%), Proteus mirabilis
(11.3%), P.aeruginosa (9.9%), Klebsiella pneumoniae (7.0%) and Enterobacter spp
(2.82%). Most of the organisms were sensitive to gentamicin, ciprofloxacin and
ceftazidime. There was resistance to ampicillin, amoxycillin and chloramphenicol.
Staphylococcus aureus was generally sensitive to gentamicin (87.5%),
ciprofloxacin (68.7%) and methicillin (75%), but resistant to erythromycin
(56.2%) and ampicillin (97%). Most of the gram-negative bacteria isolated
(Coliforms, P.aeruginosa, E.coli, Proteus mirabilis, and Klebsiella pneumoniae)
were sensitive to Ciprofloxacin, Gentamicin and Ceftazidime but resistant to
Ampicillin, Amoxycillin and Chloramphenicol. Methicillin-resistant Staphylococcus
aureus (MRSA) strains formed 25% of this species. Pseudomonas aeruginosa was
sensitive to gentamicin (87.5%) and ceftazidime (85.7%) but showed resistance to
ciprofloxacin (57.2%). Some organisms e.g. S.aureus, Pseudomonas aeruginosa and
Proteus mirabilis exhibited multi-drug resistance to the antibiotics tested.
CONCLUSION: Since a high proportion of samples had positive cultures, infection
control is recommended as a strategy to minimise spread of resistant organisms.
It is recommended that gentamicin, ciprofloxacin and ceftazidime be used in
preference to ampicillin and amoxycillin for treatment of septic wounds. There is
need to develop national surveillance of antibiotic- resistant organisms.
PMID- 18052869
TI - Antibacterial activity of Thonningia sanguinea against some multi-drug resistant
strains of Salmonella enterica.
AB - BACKGROUND: The emergence of strains of S.enterica with multiple drug resistance
(MDR) is of great concern worldwide.The extracts of flowers of Thonningia
sanguinea are used in traditional medicine in Ivory Coast to treat diarrhoeal
diseases including salmonellosis. Previous studies had shown inhibition of the
MDR strain Salmonella Enteritidis lysotype 6. OBJECTIVES: The present study
focused to investigate the effect of the extract of the flowers of Thonningia
sanguinea on some clinical MDR strains of Salmonella namely S. Tyhpi, S.
Typhimurium, S. Hadar and a sensitive strain (S. Enteritidis). METHODS: The
antimicrobial parameters were determined by double dilution with agar slant
method. This method led us to determine MIC, IC50 and MBC. RESULTS: The MDR
strain of S.Typhimurium presented the highest MIC (2.5 mg/ml) whereas the other
two MDR strains (S. Hadar, S. Typhi) and the sensitive one (S. Enteritidis) had
the same MIC (1.25 mg/ml). The four strains presented the same MBC (2.5 mg/ml).
The MDR strain of S. Typhi is the most susceptible strain to the aqueous extract
of the flowers of Thonningia sanguinea according to The IC50 values. CONCLUSIONS:
The aqueous extract of Thonningia sanguinea can provide an alternative therapy
for the treatment of salmonellosis, mainly for typhoid fever caused by MDR
strains of S Typhi. The extract also inhibits S.Hadar a MDR emerging strain in
Ivory Coast.
PMID- 18052870
TI - Honey: a reservoir for microorganisms and an inhibitory agent for microbes.
AB - BACKGROUND: Honey is an ancient remedy for the treatment of infected wounds,
which has recently been 'rediscovered' by the medical profession. The use to
which honey is put in medical care is increasing daily with many authors pointing
out its importance and role in wound care. There have been reports that honey
contains many microorganisms including bacteria and fungi. OBJECTIVE: The aim of
this paper is to highlight the various uses, organisms commonly found in honey,
how the organisms arrived in the honey and their effects on wounds and wound
care. Would the presence of these organisms not constitute a limiting factor to
the use of honey in wound management? This is what this review aims to answer.
METHODS: A literature search was done on honey using pubmed, google, local books
and journals. Relevant journals were extracted and discussed with emphasis on the
antimicrobial properties as well as microbial content of honey and the
implications of these. RESULTS: The production of honey as well as the storing
process account for the presence of microorganisims. Most of these organisms are
said to be in inactive forms as they can hardly survive in honey because of its
several properties including hygroscopicity, hyperosmolarity, acidity, peroxide
content, antibiotic activities etc. However there is a need for caution in the
use of honey in wound management. CONCLUSION: We suggest that wounds to be
treated with honey should be investigated i.e with a swab for the microorganisms
present on the wound and their sensitivity to the honey before commencing honey
treatment. This will help in carefully selecting wounds that might do well with
honey treatment not withstanding other properties of honey that aid wound
healing.
PMID- 18052871
TI - Burkitt's lymphoma in Africa, a review of the epidemiology and etiology.
AB - Burkitt's lymphoma (BL) was first described in Eastern Africa, initially thought
to be a sarcoma of the jaw. Shortly it became well known that this was a distinct
form of Non Hodgkin's lymphoma. The disease has given insight in all aspects of
cancer research and care. Its peculiar epidemiology has led to the discovery of
Epstein Barr virus (EBV) and its importance in the cause of several viral
illnesses and malignancies. The highest incidence and mortality rates of BL are
seen in Eastern Africa. BL affects mainly children, and boys are more susceptible
than girls. Evidence for a causal relationship between EBV and BL in the endemic
form is fairly strong. Frequency of association between EBV and BL varies between
different patient groups and different parts of the world. EBV may play a role in
the pathogenesis of BL by deregulation of the oncogene c-MYC by chromosomal
translocation. Although several studies suggest an association between malaria
and BL, there has never been a conclusive population study in support of a direct
role of malaria in causation of BL. The emergence of HIV and a distinct subtype
of BL in HIV infected have brought a new dimension to the disease particularly in
areas where both HIV and BL are endemic. BL has been reported as a common
neoplasmin HIV infected patients, but not in other forms of immuno-depression,
and the occurrence of BL seems to be higher amongst HIV positive adults, while
the evidence of an association amongst children is still disputed. The role of
other possible risk factors such as low socio-economical status, exposure to a
plant species common in Africa called Euphorbiaceae, exposure to pesticies and to
other infections such as schistosomiasis and arbovirus (an RNA virus transmitted
by insect vectors) remain to be elucidated.
PMID- 18052872
TI - A community-based investigation of the avoidable factors of maternal mortality in
Nigeria: the pilot experience.
AB - BACKGROUND: Reduction of maternal mortality is one of the major goals of several
recent international conferences and has been included within the Millennium
Development Goals. However, because measuring maternal mortality is difficult and
complex, reliable estimates of the dimensions of the problem are not generally
available and assessing progress towards the goal is difficult in some countries.
Reliable baseline data are crucial to effectively track progress and measure that
targets or goals of reducing maternal mortality have been met. OBJECTIVES: The
objectives of this pilot study were: to test adequacy of research instruments; to
improve research techniques; to determine an appropriate workload; to determine
the time required for interviews; and to assess the feasibility of a (full-scale)
study/survey. METHODS: This pilot study was conducted between 11(th) April and
22(nd) April 2005. 420 houses were visited and interviews of 420 respondents
between the ages of 15-49 were conducted in a randomly pre-selected Local
Government Area of Oyo state using a structured instrument developed using the
principles of the Sisterhood Method. RESULTS: There was willingness of the public
to participate in the study. The response rate was 100%. There was no issue
raised as regards the structure, wording and translation of the questionnaire.
This pilot study uncovered local political problems and other issues that may be
encountered during the main study. CONCLUSIONS: The pilot raised a number of
fundamental issues related to the process of designing the research instrument,
identifying and recruiting Data Collectors, training and supervision of Data
Collectors and the research project, gaining access to respondents and obtaining
support and approval from "gatekeepers". This paper highlights the lessons
learned and reports practical issues that occurred during pilot study.
PMID- 18052873
TI - Presence of lupus anticoagulant in an asymptomatic Nigerian.
AB - BACKGROUND: The lupus anticoagulant (LA) is one of the antiphospholipid
antibodies (aPL), which prolong phospholipid- dependent coagulation tests by
interfering with coagulation reactions that depend on protein - phospholipid
complexes in vitro. METHOD: A 25 year old 'healthy' male Nigerian was screened
for the presence of any coagulation abnormality using the KCT, PT and platelet
count; after volunteering for his plasma to be used in the preparation of normal
pooled plasma in a study. RESULTS: He was discovered to have a prolonged KCT, PT
and normal platelet count. Based on the prolonged KCT his plasma was subjected to
mixing studies with various concentration of normal pooled plasma; the KCT index
was calculated and a curve was plotted. His KCT index was 1.6 and the curve
convex in the left axis suggesting the presence of LA. His past medical history
and physical examination were not remarkable. Three months after the initial
study, a repeat KCT index was 1.4 and the subject asymptomatic. CONCLUSION: From
literature review this is the first report of LA in an asymptomatic adult
Nigerian; the importance of this finding is discussed.
PMID- 18052874
TI - Chloroquine has not disappeared.
AB - Chloroquine (CHQ), an antimalarial, is also used as an anti-inflammatory drug for
systemic lupus erythematosus and rheumatoid arthritis (RA). Hydroxychloroquine
(HCQ) reduces the frequency of organ involvement and disease flares, and relieves
skin and joint symptoms. CHQ reduces the immunologically-mediated inflammation of
the joints. HCQ and combination therapies have a significant benefit on
synovitis, pain and physical disability on RA. We advocate the investment of
resistance Plasmodium prevalence determinations in countries beset by malaria,
and to match thereafter the quantity of persons administered CHQ. Follow-up
investigations are essential to diagnose and prevent visual damage.
PMID- 18052875
TI - Monochrome versus color softcopy displays for teleradiology: observer performance
and visual search efficiency.
AB - This study evaluated the potential clinical utility for teleradiology of a high
performance (3-mega-pixel) color softcopy display compared with two monochrome
softcopy displays: one of comparable luminance (250 cd/m2) and one of higher
luminance (450 cd/m2). Six radiologists viewed 50 chest images, half with nodules
and half without, once on each display. Eye position was recorded on a subset of
the images to characterize visual search efficiency. There was no statistically
significant difference in diagnostic performance as a function of monitor
(F=1.176, p=0.3127), although the higher luminance display yielded slightly
better performance. In terms of total viewing time, there were no statistically
significant differences between the three monitors (F=1.478, p=0.2298). The dwell
times associated with true- and false-positive decisions were shortest for the
high luminance monochrome display, longer for the low luminance monochrome, and
longest for the low luminance color display. Dwells for the false-negative
decisions were longest for the high luminance monochrome display, shorter for the
low luminance monochrome, and shortest for the low luminance color display. The
true negative dwells were not significantly different. The study suggests that
high-performance color displays can be used for teleradiologic interpretation of
diagnostic images without negatively impacting diagnostic accuracy or visual
search efficiency to a significant degree.
PMID- 18052876
TI - Comment on: The case for prioritizing research on late-onset life-extension
interventions in mammals.
PMID- 18052878
TI - Characterization of natural and induced variation in the LOV1 gene, a CC-NB-LRR
gene conferring victorin sensitivity and disease susceptibility in Arabidopsis.
AB - The fungus Cochliobolus victoriae, the causal agent of Victoria blight, produces
a compound called victorin that is required for pathogenicity of the fungus.
Victorin alone reproduces disease symptoms on sensitive plants. Victorin
sensitivity and susceptibility to C. victoriae were originally described on oats
but have since been identified on Arabidopsis thaliana. Victorin sensitivity and
disease susceptibility in Arabidopsis are conferred by LOV1, a coiled-coil
nucleotide-binding-leucine-rich repeat (CC-NB-LRR) protein. We sequenced the LOV1
gene from 59 victorin-insensitive mutants and found that the spectrum of
mutations causing LOV1 loss of function was similar to that found to cause loss
of function of RPM1, a CC-NB-LRR resistance protein. Also, many of the mutated
residues in LOV1 are in conserved motifs required for resistance protein
function. These data indicate that LOV1 may have a mechanism of action similar to
resistance proteins. Victorin sensitivity was found to be the prevalent phenotype
in a survey of 30 Arabidopsis ecotypes, and we found very little genetic
variation among LOV1 alleles. As selection would not be expected to preserve a
functional LOV1 gene to confer victorin sensitivity and disease susceptibility,
we propose that LOV1 may function as a resistance gene to a naturally-occurring
pathogen of Arabidopsis.
PMID- 18052879
TI - Identification of Plum pox virus pathogenicity determinants in herbaceous and
woody hosts.
AB - Plum pox virus (PPV) is a member of the genus Potyvirus that is able to infect a
large variety of plant species, including trees of the genus Prunus, its natural
host. When some PPV isolates are propagated for an extended time in herbaceous
plants, their ability to infect trees is reduced. The molecular basis of this
change in host infectivity is poorly understood. We report the construction of
hybrid viruses from cDNA clones of two D-strain isolates of PPV, PPV-D and PPV-R,
which differ in their host range. PPV-D can infect GF305 peach seedlings
efficiently, however, it is unable to infect Nicotiana clevelandii plants.
Conversely, PPV-R infects N. clevelandii, but not GF305 peach seedlings. The
analyses of the hybrid viruses showed that, although determinants of PPV
pathogenicity are extensively spread throughout the PPV genome, the 3' terminal
region of the PPV-R genome, including the 3' noncoding region and the coding
regions for the coat protein (CP), NIb, and part of NIa protein, is sufficient to
confer infectivity of N. clevelandii in a PPV-D background. Our data demonstrate
a high concentration of amino acid substitutions in the CP and a host-specific
effect of a deletion at the N terminus of this protein in PPV pathogenicity in
peach and N. clevelandii infectivity experiments. These results suggest that
relevant host specificity determinants are located in the N-terminal region of
the CP. The analyses of the PPV-R and PPV-D chimeras also showed that key host
specific pathogenicity determinants lie in the 5' terminal third of the PPV
genome, a region that spans proteins P1, HCPro, and P3. The selection of
mutations in only a few specific residues in proteins P1, P3, and 6K1 after
partial adaptation of a chimeric virus (BD-GFP) to N. clevelandii further
suggests a relevant role for these proteins in host adaptation.
PMID- 18052880
TI - Naturally occurring broad-spectrum powdery mildew resistance in a Central
American tomato accession is caused by loss of mlo function.
AB - The resistant cherry tomato (Solanum lycopersicum var. cerasiforme) line LC-95,
derived from an accession collected in Ecuador, harbors a natural allele (ol-2)
that confers broad-spectrum and recessively inherited resistance to powdery
mildew (Oidium neolycopersici). As both the genetic and phytopathological
characteristics of ol-2-mediated resistance are reminiscent of powdery mildew
immunity conferred by loss-of-function mlo alleles in barley and Arabidopsis, we
initiated a candidate-gene approach to clone Ol-2. A tomato Mlo gene (SlMlo1)
with high sequence-relatedness to barley Mlo and Arabidopsis AtMLO2 mapped to the
chromosomal region harboring the Ol-2 locus. Complementation experiments using
transgenic tomato lines as well as virus-induced gene silencing assays suggested
that loss of SlMlo1 function is responsible for powdery mildew resistance
conferred by ol-2. In progeny of a cross between a resistant line bearing ol-2
and the susceptible tomato cultivar Moneymaker, a 19-bp deletion disrupting the
SlMlo1 coding region cosegregated with resistance. This polymorphism results in a
frameshift and, thus, a truncated nonfunctional SlMlo1 protein. Our findings
reveal the second example of a natural mlo mutant that possibly arose post
domestication, suggesting that natural mlo alleles might be evolutionarily short
lived due to fitness costs related to loss of mlo function.
PMID- 18052881
TI - The Arabidopsis gain-of-function mutant ssi4 requires RAR1 and SGT1b
differentially for defense activation and morphological alterations.
AB - A gain-of-function mutation in resistance (R) gene SSI4 causes constitutive
activation of defense responses, spontaneous necrotic lesion formation, enhanced
resistance against virulent pathogens, and a severe dwarf phenotype. Genetic
analysis revealed that ssi4-induced H(2)O(2) accumulation and spontaneous cell
death require RAR1, whereas ssi4-mediated stunting is dependent on SGT1b. By
contrast, both RAR1 and SGT1b are required in a genetically additive manner for
ssi4-induced disease resistance, SA accumulation, and lesion formation after
pathogen infection. These data point to cooperative yet distinct functions of
RAR1 and SGT1b in responses conditioned by a deregulated nucleotide-binding
leucine-rich repeat protein. We also found that RAR1 and SGT1b together
contribute to basal resistance because an ssi4 rar1 sgt1b triple mutant exhibited
enhanced susceptibility to virulent pathogen infection compared with wild-type
SSI4 plants. All ssi4-induced phenotypes were suppressed when plants were grown
at 22 degrees C under high relative humidity. However, low temperature (16
degrees C) triggered ssi4-mediated cell death via an RAR1-dependent pathway even
in the presence of high humidity. Thus, multiple environmental factors impact on
ssi4 signaling, as has been observed for other constitutive defense mutants and R
gene-triggered pathways.
PMID- 18052882
TI - Defects in rhizobial cyclic glucan and lipopolysaccharide synthesis alter legume
gene expression during nodule development.
AB - cDNA array technology was used to compare transcriptome profiles of Lotus
japonicus roots inoculated with a Mesorhizobium loti wild-type and two mutant
strains affected in cyclic beta(1-2) glucan synthesis (cgs) and in
lipopolysaccharide synthesis (lpsbeta2). Expression of genes associated with the
development of a fully functional nodule was significantly affected in plants
inoculated with the cgs mutant. Array results also revealed that induction of
marker genes for nodule development was delayed when plants were inoculated with
the lpsbeta2 mutant. Quantitative real-time reverse-transcriptase polymerase
chain reaction was used to quantify gene expression of a subset of genes involved
in plant defense response, redox metabolism, or genes that encode for nodulins.
The majority of the genes analyzed in this study were more highly expressed in
roots inoculated with the wild type compared with those inoculated with the cgs
mutant strain. Some of the genes exhibited a transient increase in transcript
levels during intermediate steps of normal nodule development while others
displayed induced expression during the final steps of nodule development.
Ineffective nodules induced by the glucan mutant showed higher expression of
phenylalanine ammonia lyase than wild-type nodules. Differences in expression
pattern of genes involved in early recognition and signaling were observed in
plants inoculated with the M. loti mutant strain affected in the synthesis of
cyclic glucan.
PMID- 18052883
TI - Genetic dissection of resistance to anthracnose and powdery mildew in Medicago
truncatula.
AB - Medicago truncatula was used to characterize resistance to anthracnose and
powdery mildew caused by Colletotrichum trifolii and Erysiphe pisi, respectively.
Two isolates of E. pisi (Ep-p from pea and Ep-a from alfalfa) and two races of C.
trifolii (races 1 and 2) were used in this study. The A17 genotype was resistant
and displayed a hypersensitive response after inoculation with either pathogen,
while lines F83005.5 and DZA315.16 were susceptible to anthracnose and powdery
mildew, respectively. To identify the genetic determinants underlying resistance
in A17, two F7 recombinant inbred line (RIL) populations, LR4 (A17 x DZA315.16)
and LR5 (A17 x F83005.5), were phenotyped with E. pisi isolates and C. trifolii
races, respectively. Genetic analyses showed that i) resistance to anthracnose is
governed mainly by a single major locus to both races, named Ct1 and located on
the upper part of chromosome 4; and ii) resistance to powdery mildew involves
three distinct loci, Epp1 on chromosome 4 and Epa1 and Epa2 on chromosome 5. The
use of a consensus genetic map for the two RIL populations revealed that Ct1 and
Epp1, although located in the same genome region, were clearly distinct. In
silico analysis in this region identified the presence of several clusters of
nucleotide binding site leucine-rich repeat genes. Many of these genes have
atypical resistance gene analog structures and display differential expression
patterns in distinct stress-related cDNA libraries.
PMID- 18052884
TI - Hessian fly (Mayetiola destructor) attack causes a dramatic shift in carbon and
nitrogen metabolism in wheat.
AB - Carbon and nitrogen (C/N) metabolism and allocation within the plant have
important implications for plant-parasite interactions. Many plant parasites
manipulate the host by inducing C/N changes that benefit their own survival and
growth. Plant resistance can prevent this parasite manipulation. We used the
wheat-Hessian fly (Mayetiola destructor) system to analyze C/N changes in plants
during compatible and incompatible interactions. The Hessian fly is an insect but
shares many features with plant pathogens, being sessile during feeding stages
and having avirulence (Avr) genes that match plant resistance genes in gene-for
gene relationships. Many wheat genes involved in C/N metabolism were
differentially regulated in plants during compatible and incompatible
interactions. In plants during compatible interactions, the content of free
carbon-containing compounds decreased 36%, whereas the content of free nitrogen
containing compounds increased 46%. This C/N shift was likely achieved through a
coordinated regulation of genes in a number of central metabolic pathways,
including glycolysis, the tricarboxylic acid cycle, and amino-acid synthesis. Our
data on plants during compatible interactions support recent findings that
Hessian fly larvae create nutritive cells at feeding (attack) sites and
manipulate host plants to enhance their own survival and growth. In plants during
incompatible interactions, most of the metabolic genes examined were not affected
or down-regulated.
PMID- 18052885
TI - Distinct mechanisms govern the dosage-dependent and developmentally regulated
resistance conferred by the maize Hm2 gene.
AB - The maize Hm2 gene provides protection against the leaf spot and ear mold disease
caused by Cochliobolus carbonum race 1 (CCR1). In this regard, it is similar to
Hm1, the better-known disease resistance gene of the maize-CCR1 pathosystem.
However, in contrast to Hm1, which provides completely dominant resistance at all
stages of plant development, Hm2-conferred resistance is only partially dominant
and becomes fully effective only at maturity. To investigate why Hm2 behaves in
this manner, we cloned it on the basis of its homology to Hm1. As expected, Hm2
is a duplicate of Hm1, although the protein it encodes is grossly truncated
compared with HM1. The efficacy of Hm2 in conferring resistance improves
gradually over time, changing from having little or no impact in seedling tissues
to providing complete immunity at anthesis. The developmentally specified
phenotype of Hm2 is not dictated transcriptionally, because the expression level
of the gene, whether occurring constitutively or undergoing substantial and
transient induction in response to infection, does not change with plant age. In
contrast, however, the Hm2 transcript is much more abundant in plants homozygous
for this gene compared with plants that contain only one copy of the gene,
suggesting a transcriptional basis for the dosage-dependent nature of Hm2. Thus,
different mechanisms seem to underlie the developmentally programmed versus the
partially dominant resistance phenotype of Hm2.
PMID- 18052886
TI - Transformation-mediated complementation of a FUM gene cluster deletion in
Fusarium verticillioides restores both fumonisin production and pathogenicity on
maize seedlings.
AB - The filamentous ascomycete Fusarium verticillioides is a pathogen of maize and
produces the fumonisin mycotoxins. However, a distinct population of F.
verticillioides is pathogenic on banana and does not produce fumonisins.
Fumonisin-producing strains from maize cause leaf lesions, developmental
abnormalities, stunting, and sometimes death of maize seedlings, whereas
fumonisin-nonproducing banana strains do not. A Southern analysis of banana
strains did not detect genes in the fumonisin biosynthetic gene (FUM) cluster but
did detect genes flanking the cluster. Nucleotide sequence analysis of the
genomic region carrying the flanking genes revealed that the FUM cluster was
absent in banana strains except for portions of FUM21 and FUM19, which are the
terminal genes at each end of the cluster. Polymerase chain reaction analysis
confirmed the absence of the cluster in all banana strains examined.
Cotransformation of a banana strain with two overlapping cosmids, which together
contain the entire FUM cluster, yielded fumonisin-producing transformants that
were pathogenic on maize seedlings. Conversely, maize strains that possess the
FUM cluster but do not produce fumonisins because of mutations in FUM1, a
polyketide synthase gene, were not pathogenic on maize seedlings. Together, the
data indicate that fumonisin production may have been lost by deletion of the FUM
cluster in the banana population of F. verticillioides but that fumonisin
production could be restored by molecular genetic complementation. The results
also indicate that fumonisin production by F. verticillioides is required for
development of foliar disease symptoms on maize seedlings.
PMID- 18052887
TI - Maize 9-lipoxygenase ZmLOX3 controls development, root-specific expression of
defense genes, and resistance to root-knot nematodes.
AB - Root-knot nematodes (RKN) are severe pests of maize. Although lipoxygenase (LOX)
pathways and their oxylipin products have been implicated in plant-nematode
interactions, prior to this report there was no conclusive genetic evidence for
the function of any plant LOX gene in such interactions. We showed that
expression of a maize 9-LOX gene, ZmLOX3, increased steadily and peaked at 7 days
after inoculation with Meloidogyne incognita RKN. Mu-insertional lox3-4 mutants
displayed increased attractiveness to RKN and an increased number of juveniles
and eggs. A set of jasmonic acid (JA)- and ethylene (ET)-responsive and
biosynthetic genes as well as salicylic acid (SA)-dependent genes were
overexpressed specifically in the roots of lox3-4 mutants. Consistent with this,
levels of JA, SA, and ET were elevated in lox3-4 mutant roots, but not in leaves.
Unlike wild types, in lox3-4 mutant roots, a phenylalanine ammonia lyase (PAL)
gene was not RKN-inducible, suggesting a role for PAL-mediated metabolism in
nematode resistance. In addition to these alterations in the defense status of
roots, lox3-4 knockout mutants displayed precocious senescence and reduced root
length and plant height compared with the wild type, suggesting that ZmLOX3 is
required for normal plant development. Taken together, our data indicate that the
ZmLOX3-mediated pathway may act as a root-specific suppressor of all three major
defense signaling pathways to channel plant energy into growth processes, but is
required for normal levels of resistance against nematodes.
PMID- 18052888
TI - Ubc2, an ortholog of the yeast Ste50p adaptor, possesses a basidiomycete-specific
carboxy terminal extension essential for pathogenicity independent of pheromone
response.
AB - Proteins involved in the mitogen-activated protein (MAP) kinase pathway
controlling mating, morphogenesis, and pathogenicity have been identified
previously in the fungus Ustilago maydis. One of these, the Ubc2 adaptor protein,
possesses a basidiomycete-specific structure. In addition to containing sterile
alpha motif (SAM) and ras association (RA) domains typical of Ste50-like adaptor
proteins found in the fungal phylum Ascomycota, Ubc2 also contains two C-terminal
SH3 domains. Yeast two-hybrid assays indicated that Ubc2 interacts with the MAP
kinase-kinase kinase Ubc4 via the SAM domains at each of their respective N
termini. Site-directed mutagenesis of ubc2 and complementation analyses revealed
that the SAM and RA domains of Ubc2 are essential for filamentous growth. These
data support a role for the ascomycete-like N-terminus of Ubc2 in regulating
pheromone-responsive mating and morphogenesis analogous to the role of Ste50p in
Saccharomyces cerevisiae. In contrast, C-terminal deletion mutants were fully
capable of filamentous growth and mating. However, surprisingly, these strains
were nonpathogenic. Further, directed mutagenesis of the C-terminus revealed that
both SH3 domains are required for pathogenicity. These results suggest that the
Basidiomycota have retained the mating and morphogenetic functions of Ste50-type
proteins in the N-terminal half of their Ubc2-type adaptors but, additionally,
have integrated C-terminal SH3 domains that are critical for additional signal
transduction mechanisms, including those that lead to pathogenesis.
PMID- 18052889
TI - Responses of two contrasting genotypes of rice to brown planthopper.
AB - Rice (Oryza sativa L.) and brown planthoppers (BPH) (Nilaparvata lugens Stal)
provide an ideal system for studying molecular mechanisms involved in the
interactions between plants and phloem-feeding insects. The phenotypic responses
and changes in transcript profiles of seedlings representing two rice cultivars
differing in resistance to the BPH were analyzed. In the BPH-compatible
(susceptible) cv. MH63, BPH feeding reduced three examined plant growth
parameters (leaf area expansion, height increases, and dry weight increases) and
photosynthetic rates of the leaves. In the BPH-incompatible (resistant) cv. B5,
BPH feeding caused slight reductions in protein and sucrose contents, but the
plants maintained their photosynthetic activity and grew normally. A cDNA
microarray containing 1,920 suppression subtractive hybridization clones was used
to explore the transcript profiles differences in the two cultivars under control
and BPH-feeding conditions. In total, 160 unique genes were detected as being
significantly affected by BPH feeding in rice plants, covering a wide range of
functional categories, and there were 38 genes that showed the similar transcript
pattern in both genotypes. The physiological responses and transcript profiles of
plants represented in both genotypes suggested that multiple pathways might be
involved in reprogramming of BPH-infested rice plants. The differences in
transcript levels between the compatible and incompatible interactions revealed
in this study were not only the reaction of resistance and susceptibility but
also reflections of different damage rates and genotypic backgrounds of the rice
cultivars.
PMID- 18052890
TI - Dynamic regulation of GacA in type III secretion, pectinase gene expression,
pellicle formation, and pathogenicity of Dickeya dadantii (Erwinia chrysanthemi
3937).
AB - Dickeya dadantii (Erwinia chrysanthemi 3937) secretes exoenzymes, including
pectin-degrading enzymes, leading to the loss of structural integrity of plant
cell walls. A type III secretion system (T3SS) is essential for full virulence of
this bacterium within plant hosts. The GacS/GacA two-component signal
transduction system participates in important biological roles in several gram
negative bacteria. In this study, a gacA deletion mutant (Ech137) of D. dadantii
was constructed to investigate the effect of this mutation on pathogenesis and
other phenotypes. Compared with wild-type D. dadantii, Ech137 had a delayed
biofilm-pellicle formation. The production of pectate lyase (Pel), protease, and
cellulase was diminished in Ech137 compared with the wild-type cells. Reduced
transcription of two endo-Pel genes, pelD and pelL, was found in Ech137 using a
green fluorescence protein-based fluorescence-activated cell sorter promoter
activity assay. In addition, the transcription of T3SS genes dspE (an effector),
hrpA (a structural protein of the T3SS pilus), and hrpN (a T3SS harpin) was
reduced in Ech137. A lower amount of rsmB regulatory RNA was found in gacA mutant
Ech137 compared with the wild-type bacterium by quantitative reverse
transcription polymerase chain reaction. Compared with wild-type D. dadantii, a
lower amount of hrpL mRNA was observed in Ech137 at 12 h grown in medium.
Although the role of RsmA, rsmB, and RsmC in D. dadantii is not clear, from the
regulatory pathway revealed in E. carotovora, the lower expression of dspE, hrpA,
and hrpN in Ech137 may be due to a post-transcriptional regulation of hrpL
through the Gac-Rsm regulatory pathway. Consequently, the reduced exoenzyme
production and Pel gene expression in the mutant may be sue partially to the
regulatory role of rsmB-RsmA on exoenzyme expression. Similar to in vitro
results, a lower expression of T3SS and pectinase genes of Ech137 also was
observed in bacterial cells inoculated into Saintpaulia ionantha leaves, perhaps
accounting for the observed reduction in local maceration. Interestingly,
compared with the wild-type D. dadantii, although a lower concentration of Ech137
was observed at day 3 and 4 postinoculation, there is no significant difference
in bacterial concentration between the wild-type bacterium and Ech137 in the
early stage of infection. Finally, the nearly abolished systemic invasion ability
of Ech137 suggests that GacA of D. dadantii is essential for the pathogenicity
and systemic movement of the bacterium in S. ionantha.
PMID- 18052892
TI - Managing acute heart failure in the intensive care unit.
PMID- 18052891
TI - My tortuous journey to a life in interferon research.
PMID- 18052893
TI - Echocardiography training for the intensivist.
AB - The value of echocardiography in the critically ill patient is well established,
yet applying the modality to patients who could benefit presents considerable
logistical challenges. Central is a lack of readily available, trained operators.
Although many intensive care specialists and trainees are keen to fill this gap,
there are numerous hurdles to obtaining the necessary training. To address this
problem, the Australian and New Zealand Intensive Care Society has set up a
Committee on Echocardiography Training and Certification for Intensivists. The
Committee proposes a formal training and assessment program. This would not only
provide guidance to intensivists wishing to learn echocardiography, but also
clearly set out acceptable levels of expertise. It is important that, after
training, intensivists can be readily credentialed in their home institutions.
The intensive care community needs to determine the vehicle for training and
credentialling in echocardiography. This could be achieved solely by bodies
representing intensive care or in collaboration with the Australasian Society for
Ultrasound in Medicine.
PMID- 18052894
TI - Managing risk to improve cardiac surgical outcomes.
AB - Mortality and quality of cardiac surgery are inextricably linked. Mortality can
be a suitable measure of the quality of the service as long as risk is taken into
account, using one of a variety of available risk models. The measurement of risk
adjusted mortality can provide the tools with which to improve cardiac surgical
outcomes, as well as open up an exciting area of future study to identify where
further improvements can be made.
PMID- 18052895
TI - Long-stay patients in Australian and New Zealand intensive care units:
demographics and outcomes.
AB - AIM AND METHODS: There is no consensus definition on what constitutes a long stay
in the intensive care unit, and little published information on the demographic
characteristics, resource usage or outcomes of long-stay patients. We used data
from the Australian and New Zealand Intensive Care Society Adult Patient Database
to identify patients who had spent > 21 days in the ICU. We examined their
resource usage, hospital type, diagnoses and outcomes, and trends in these
characteristics over 5 years (2000-2004). RESULTS: 6,565 patients (2.3% of all
ICU patients) had one or more admissions > 21 days and accounted for 23% of total
ICU bed-hour usage. Long-stay patients had a mean (SD) age of 60.3 (15.3) years
and an APACHE III-J risk of death of 32.7% (21.3%). Metropolitan and tertiary
hospitals had the highest proportions of long-stay patients. The three diagnoses
most strongly associated with long ICU stay were neuromuscular disease (odds
ratio [OR], 13.3; 95% CI, 10.2-17.4; P < 0.001), burns (OR, 6.0; 95% CI, 4.9-7.3;
P < 0.001) and cervical spine injury (OR, 5.1; 95% CI, 3.4-7.5; P < 0.001), while
the most common diagnosis was pneumonia (12.7% of total). During the period 2000-
2004, there was no significant change in the proportion, age, resource usage or
outcomes of these patients. Overall observed mortality was 28% (predicted, 32.7%;
95% CI, 31.4%-34.5%). Of those aged >or= 80 years, 37% were discharged home, and
39% died. CONCLUSIONS: Patients who spend > 21 days in the ICU use significant
resources but appear to have worthwhile outcomes in all age brackets.
PMID- 18052896
TI - Mortality and outcomes of elderly patients admitted to the intensive care unit at
Cairns Base Hospital, Australia.
AB - OBJECTIVE: To determine the survival and outcome of elderly patients admitted to
the intensive care unit at Cairns Base Hospital. METHODS: A retrospective review
of patients aged 60 years or over admitted to the ICU (a Level 2 general unit) at
Cairns Base Hospital, a regional hospital in Queensland, during 2003-2005.
Mortality was determined by review of hospital records, the Queensland register
of deaths, and direct patient or family contact in November 2006. Demographic and
clinical details were collected, and the EuroQol-5D questionnaire was used to
assess current and pre-ICU quality of life. RESULTS: 432 patients aged >or= 60
years had 469 admissions to the ICU during 2003-2005. Long-term outcome was
determined for 68%: 201 (46%) were confirmed dead, and 94 (22%) were confirmed
alive, while the outcome for 137 (32%) remained unknown. Allowing for an
estimated mortality of 39% in the unknown group, the overall estimated mortality
was 60%. Mean follow-up time was 2.4 (SD, 0.9) years (range, 11-35 months). As
age increased, mortality increased: 37% for the 60-70 years age group; 50% for 70
80 years; 61% for 80-90 years, and 83% for > 90 years. The quality of life of
survivors was lower on follow-up than on ICU admission. CONCLUSIONS: Elderly
patients admitted to the ICU have high mortality. As age increases, mortality
increases. Elderly survivors can expect a lower quality of life after an ICU
admission, although on average the difference is not great.
PMID- 18052897
TI - Atypical neuroleptic malignant syndrome with long-term clozapine.
AB - Clozapine-induced neuroleptic malignant syndrome (NMS) may present differently
from NMS associated with traditional antipsychotic agents, with fewer clinical
features, particularly fewer extrapyramidal manifestations. The risk of
developing NMS with clozapine does not appear dose-related. In half of cases, it
occurs within 2 weeks of beginning clozapine therapy, but it can develop at any
stage, especially with long-term use. We describe a patient who presented with
atypical NMS after more than 10 years of clozapine treatment, and who was safely
re-challenged with the same drug.
PMID- 18052898
TI - Deep accidental hypothermia during the Queensland summer.
AB - A 52-year-old woman presented with severe accidental hypothermia associated with
out-of-hospital cardiac arrest after a polypharmacy overdose. Deep hypothermia
developed while she lay unconscious, with a split-system air-conditioning unit
rapidly cooling the confined area of her bedroom. Despite the need for lengthy
resuscitative efforts at the scene and in hospital, she went on to a full
neurological recovery. The neuroprotective role of accidental hypothermia is
reviewed, as are the guidelines for resuscitation in this setting. We conclude
that hypothermia must be considered even in unlikely circumstances, such as the
Queensland summer, when ambient temperatures are high.
PMID- 18052899
TI - The left heart can only be as good as the right heart: determinants of function
and dysfunction of the right ventricle.
AB - Discussions of cardiac physiology and pathophysiology most often emphasise the
function of the left heart. However, right heart dysfunction plays an important
role in critically ill patients and is often not recognised. This is probably
because the role of the right ventricle is for generating flow more than
pressure, and flow is not easy to evaluate. Of importance, when right ventricular
function limits cardiac output, assessing left ventricular function gives little
indication of overall cardiac performance. It has recently become evident that
the right ventricle also has different genetic origins and characteristics from
the left ventricle. The right and left ventricles interact through series
effects, diastolic interactions and systolic interactions. The mechanisms of
these, and their physiological and pathological significance are discussed.
PMID- 18052900
TI - Catecholamines for shock: the quest for high-quality evidence.
AB - Despite the established role of catecholamines for the treatment of circulatory
shock in intensive care medicine, these drugs have been subjected to few
randomised controlled trials with high methodological quality and patient-centred
outcomes. The literature has been dominated by low-quality, case-control studies
of the effects of synthetic catecholamines on surrogate haemodynamic end-points.
A recent Cochrane systematic review of the effects of vasopressors on mortality
from circulatory shock identified seven randomised controlled trials, none of
which demonstrated any conclusive evidence of benefit of one inotrope/vasopressor
over another. The review confirmed the persisting low methodological quality of
these studies. Three higher-quality studies of catecholamines (noradrenaline,
adrenaline, dopamine and vasopressin) have been completed, the results of which
will provide some evidence of efficacy of catecholamines on mortality and
resolution of shock. These studies may provide the basis for designing and
conducting a large-scale, pragmatic, randomised controlled trial to analyse the
effects of these commonly used drugs on patient-centred outcomes, such as
mortality, resolution of organ failure and hospital length of stay. The results
of such a study would be particularly important in geographical regions where
access to inotropes/vasopressors other than adrenaline remains restricted.
PMID- 18052901
TI - Thrombolysis is not warranted in submassive pulmonary embolism: a systematic
review and meta-analysis.
AB - Acute pulmonary embolism (PE) is a major cause of morbidity and mortality in
hospitalised patients. While the vast majority of patients with PE survive, a
subset die, mostly within a few hours of presentation. Anatomically massive
pulmonary emboli account for only half these deaths, while submassive or
recurrent embolism accounts for the other half. There are increasing reports of
patients with PE, normal blood pressure and no shock who have significant right
heart dysfunction. In large registry-based cohorts, patients with right
ventricular dysfunction have worse clinical outcomes. Rapid anticoagulation of
the haemodynamically stable patient with PE is associated with excellent
outcomes. There is also evidence to support the use of thrombolysis in patients
with massive PE. However, the optimal management of patients with submassive PE
is controversial. This article looks at the definition and diagnosis of
submassive PE, and systematically reviews the role of thrombolytic therapy in
this subgroup of patients.
PMID- 18052902
TI - "Don't flog the heart!" - development of specific drug therapies for heart
failure.
AB - Understanding the cellular and molecular biology of heart failure is essential to
developing targeted and effective treatment. Investigators are divided in their
belief regarding the primary abnormality and whether it lies in dysregulation of
neurohormonal signalling; nitric oxide synthesis and oxidative stress; cellular
energy supply; or cellular ions. Our research demonstrates that these
independently studied pathways are, in fact, closely interrelated. The Na+-K+
pump is critical in the determination of intracellular sodium levels, which are
elevated in heart failure. Drug therapies have been developed targeting the
neurohormonal abnormalities seen in the clinical syndrome of heart failure. We
have examined the effect of many of these medications on the activity of the Na+
K+ pump and observed a perfect correlation between the ability of the treatment
to stimulate the pump and its clinical outcome. This is illustrated by the
stimulation of the pump by inhibition of the renin- angiotensin signalling
pathway, and by aldosterone antagonists. We have also examined the role of
reactive oxygen species as mediators of angiotensin and adrenergic regulation of
the pump, demonstrating that intracellular pathways activated by Beta(1)/Beta(2)
adrenoceptors and the angiotensin II type 1 receptor converge, with both
activating NAD(P)H oxidase and inhibiting the Na+-K+ pump via oxidative stress.
In contrast, targeted stimulation of the Beta(3)-receptor resulted in nitric
oxide-dependent pump stimulation in vitro, and improvements in left ventricular
function in a large-animal heart failure model. Further characterisation of the
intricate pathways involved in the hormonal regulation of the myocyte and its
response to heart failure may aid in specific targeting of therapy.
PMID- 18052903
TI - Percutaneous coronary intervention in the 21st century - making surgeons
irrelevant?
AB - Interventional cardiology is a rapidly changing area, with technical improvements
allowing us to treat an increasing number of clinical situations by percutaneous
methods. Indeed, the interface between interventional cardiologist and cardiac
surgeon has changed in the past decade, with a dramatic reduction in the need for
"rescue" surgery. The most significant recent development has been drug-eluting
stents, which have dramatically reduced the rates of restenosis, although at the
cost of a need for longer-term antiplatelet therapy after implantation. Failure
to continue this antiplatelet therapy may lead to a small but significant excess
of stent thrombosis. There have been many other technological improvements, such
as distal protection devices and better guidewires for crossing occluded vessels,
as well as percutaneous devices for treating valve disease and other structural
cardiac abnormalities. These technologies are often best developed in a combined
approach with cardiac surgeons, and the selection of the best treatment more than
ever requires close cooperation between cardiologist and surgeon.
PMID- 18052904
TI - Should doctors keep calling medicines "drugs"?
PMID- 18052905
TI - 19th century pioneers of intensive therapy in North America. Part 1: George
Edward Fell.
AB - For three decades after Marshall Hall's 1856 strictures against "forcing methods"
and bellows for artificial ventilation (AV), human "forced respiration"
(equivalent to intermittent positive pressure ventilation) was virtually
abandoned. Various arm-chest manoeuvres often proved inadequate to save life.
After doctor and engineer George Fell, of Buffalo (New York) (1849-1918), failed
to save the life of an opiate-poisoned patient using Silvester's popular method,
he resolved to try his animal laboratory AV method (bellows and tracheotomy).
Following his first success in a landmark case (1887), he better adapted the
apparatus for human use and soon succeeded with further difficult cases, but was
unable to raise enthusiasm for his "Fell method" of AV. His reports of successful
rescues to prestigious Washington Congresses met derision (1887) and indifference
(1893), although by then they detailed 28 "human lives saved", mostly after
opiate poisoning, and a switch from tracheotomies to face masks (simpler, but
with a few complications). Continuing with rescues throughout the 1890s, Fell
personally achieved recoveries after AV for as long as 73.5 hours (1896), and
over 78 hours (1899). He argued for his method repeatedly with many talks, much
documentation, and pleas for its use in other ventilatory crises. Despite his
endeavours and successes, Fell was unable to secure widespread uptake of forced
respiration, but others adopted his principles. Joseph O'Dwyer modified Fell's
face mask-tracheotomy system by incorporating an intralaryngeal tube, and this
"Fell-O'Dwyer apparatus" was used for neurosurgical cases (1894), also
revolutionising intrathoracic surgery (1899).
PMID- 18052906
TI - Is there a place for levosimendan in the intensive care unit?
PMID- 18052909
TI - Testing for trends in dose-response microarray experiments: a comparison of
several testing procedures, multiplicity and resampling-based inference.
AB - Dose-response studies are commonly used in experiments in pharmaceutical research
in order to investigate the dependence of the response on dose, i.e., a trend of
the response level toxicity with respect to dose. In this paper we focus on dose
response experiments within a microarray setting in which several microarrays are
available for a sequence of increasing dose levels. A gene is called
differentially expressed if there is a monotonic trend (with respect to dose) in
the gene expression. We review several testing procedures which can be used in
order to test equality among the gene expression means against ordered
alternatives with respect to dose, namely Williams' (Williams 1971 and 1972),
Marcus' (Marcus 1976), global likelihood ratio test (Bartholomew 1961, Barlow et
al. 1972, and Robertson et al. 1988), and M (Hu et al. 2005) statistics.
Additionally we introduce a modification to the standard error of the M
statistic. We compare the performance of these five test statistics. Moreover, we
discuss the issue of one-sided versus two-sided testing procedures. False
Discovery Rate (Benjamni and Hochberg 1995, Ge et al. 2003), and resampling-based
Familywise Error Rate (Westfall and Young 1993) are used to handle the multiple
testing issue. The methods above are applied to a data set with 4 doses (3 arrays
per dose) and 16,998 genes. Results on the number of significant genes from each
statistic are discussed. A simulation study is conducted to investigate the power
of each statistic. A R library IsoGene implementing the methods is available from
the first author.
PMID- 18052910
TI - On the operational characteristics of the Benjamini and Hochberg False Discovery
Rate procedure.
AB - Multiple testing procedures are commonly used in gene expression studies for the
detection of differential expression, where typically thousands of genes are
measured over at least two experimental conditions. Given the need for powerful
testing procedures, and the attendant danger of false positives in multiple
testing, the False Discovery Rate (FDR) controlling procedure of Benjamini and
Hochberg (1995) has become a popular tool. When simultaneously testing
hypotheses, suppose that R rejections are made, of which Fp are false positives.
The Benjamini and Hochberg procedure ensures that the expectation of Fp/R is
bounded above by some pre-specified proportion. In practice, the procedure is
applied to a single experiment. In this paper we investigate the across
experiment variability of the proportion Fp/R as a function of three experimental
parameters. The operational characteristics of the procedure when applied to
dependent hypotheses are also considered.
PMID- 18052911
TI - A comparison of methods to control type I errors in microarray studies.
AB - Microarray studies often need to simultaneously examine thousands of genes to
determine which are differentially expressed. One main challenge in those studies
is to find suitable multiple testing procedures that provide accurate control of
the error rates of interest and meanwhile are most powerful, that is, they return
the longest list of truly interesting genes among competitors. Many multiple
testing methods have been developed recently for microarray data analysis,
especially resampling based methods, such as permutation methods, the null
centered and scaled bootstrap (NCSB) method, and the quantile-transformed
bootstrap-distribution (QTBD) method. Each of these methods has its own merits
and limitations. Theoretically permutation methods can fail to provide accurate
control of Type I errors when the so-called subset pivotality condition is
violated. The NCSB method does not suffer from that limitation, but an
impractical number of bootstrap samples are often needed to get proper control of
Type I errors. The newly developed QTBD method has the virtues of providing
accurate control of Type I errors under few restrictions. However, the relative
practical performance of the above three types of multiple testing methods
remains unresolved. This paper compares the above three resampling based methods
according to the control of family wise error rates (FWER) through data
simulations. Results show that among the three resampling based methods, the QTBD
method provides relatively accurate and powerful control in more general
circumstances.
PMID- 18052912
TI - Selection of biologically relevant genes with a wrapper stochastic algorithm.
AB - We investigate an important issue of a meta-algorithm for selecting variables in
the framework of microarray data. This wrapper method starts from any
classification algorithm and weights each variable (i.e. gene) relative to its
efficiency for classification. An optimization procedure is then inferred which
exhibits important genes for the studied biological process. Theory and
application with the SVM classifier were presented in Gadat and Younes, 2007 and
we extend this method with CART. The classification error rates are computed on
three famous public databases (Leukemia, Colon and Prostate) and compared with
those from other wrapper methods (RFE, lo norm SVM, Random Forests). This allows
the assessment of the statistical relevance of the proposed algorithm.
Furthermore, a biological interpretation with the Ingenuity Pathway Analysis
software outputs clearly shows that the gene selections from the different
wrapper methods raise very relevant biological information, compared to a
classical filter gene selection with T-test.
PMID- 18052913
TI - T-BAPS: a Bayesian statistical tool for comparison of microbial communities using
terminal-restriction fragment length polymorphism (T-RFLP) data.
AB - The investigation of microbial communities is an essential part of the study of
the biosphere. Flexible molecular fingerprinting tools such as terminal
restriction fragment length polymorphism (T-RFLP) analysis are often applied in
the studies to enable the characterization of the microbial population. However,
such data have so far been primarily analyzed using conventional clustering
methods. Here we introduce a Bayesian model-based method for the purpose of
comparing microbial communities using T-RFLP data. Such datasets have in general
several challenging features, e.g. sparseness, missing values and structurally
zero-valued observations. These features are taken into account by developing a
Bayesian latent class mixture model for the observations in our framework. To
make inferences under the model we use a recent Markov chain Monte Carlo (MCMC)
based method for the Bayesian model selection. To assess the introduced method we
analyze both simulated and real datasets. The simulations show that our approach
compares preferably to standard statistical clustering tools, such as k-means,
hierarchical clustering, and Autoclass. The developed tool is freely available as
a software package T-BAPS at http://www.abo.fi/fak/mnf/mate/jc/software/t
baps.html.
PMID- 18052914
TI - Population structure and covariate analysis based on pairwise microsatellite
allele matching frequencies.
AB - We describe a general model for pairwise microsatellite allele matching
probabilities. The model can be used for analysis of population substructure, and
is particularly focused on relating genetic correlation to measurable covariates.
The approach is intended for cases when the existence of subpopulations is
uncertain and a priori assignment of samples to hypothesized subpopulations is
difficult. Such a situation arises, for example, with western Arctic bowhead
whales, where genetic samples are available only from a possibly mixed migratory
assemblage. We estimate genetic structure associated with spatial, temporal, or
other variables that may confound the detection of population structure. In the
bowhead case, the model permits detection of genetic patterns associated with a
temporally pulsed multi-population assemblage in the annual migration. Hypothesis
tests for population substructure and for covariate effects can be carried out
using permutation methods. Simulated and real examples illustrate the
effectiveness and reliability of the approach and enable comparisons with other
familiar approaches. Analysis of the bowhead data finds no evidence for two
temporally pulsed subpopulations using the best available data, although a
significant pattern found by other researchers using preliminary data is also
confirmed here. Code in the R language is available from
www.stat.colostate.edu/~geof/gammmp.html.
PMID- 18052915
TI - Estimating the arm-wise false discovery rate in array comparative genomic
hybridization experiments.
AB - Array Comparative Genomic Hybridization (aCGH) is an array-based technology which
provides simultaneous spot assays of relative genetic abundance (RGA) levels at
multiple sites across the genome. These spot assays are spatially correlated with
respect to genomic location and, as a result, the univariate tests conducted
using data generated from these spot assays are also spatially correlated. In the
context of multiple hypothesis testing, this spatial correlation complicates the
question of how best to define a 'discovery' and consequently, how best to
estimate the false discovery rate (FDR) corresponding to a given rejection
region. One can quantify the number of discoveries as the total number of spots
for which the spot-based univariate test statistic falls within a given rejection
region. Under this spot-based method, separate but correlated discoveries are
identified. We show via a simulation study that the method of Benjamini and
Hochberg (1995) can provide a reasonable estimate of the spot-wise FDR, but these
results require that the simulated spot assays are categorized as true or false
discoveries in a particular way. However, laboratory researchers may actually be
interested in estimating a 'regional' FDR, rather than a 'local' spot-wise FDR.
We describe an example of such circumstances, and present a method for estimating
the (chromosome) arm-wise False Discovery Rate. In this framework, one can
quantify the number of discoveries as the total number of chromosome arms for
which at least one spot-based test statistic falls into a given rejection region.
Defining the discoveries in this way, both the biological and testing objectives
coincide. We provide results from a series of simulations which involved the
analysis of preferentially re-sampled spot assay values from a real aCGH dataset.
PMID- 18052917
TI - Preceptorship and mentorship: not merely a matter of semantics.
AB - In academic writing on mentoring and preceptorship there is little consensus on
the meaning or characteristics surrounding these terms. The writers of this paper
contend that the correct usage of preceptorship and mentorship, which gives
credence and respect to the very different concepts embedded in each, is a very
important precursor to the evolution of these two concepts in nursing education,
both academically and within practical application. Although language is
continually changing, lack of clarity robs language of its richness and
complexity and interferes with clear thinking about the issues. In professional
terms, clarity demands that concepts, around which a body of knowledge is
growing, be consistent in their meaning and characteristics. Such clarity between
the related educational concepts of mentor(ship) and preceptor(ship) is lacking.
PMID- 18052916
TI - An expectation maximization approach to estimate malaria haplotype frequencies in
multiply infected children.
AB - Characterizing genetic variability in the human pathogenic Plasmodium species,
the group of parasites that cause Malaria, may have broad global health
implications. Specifically, discerning the combinations of mutations that lead to
viable parasites and the population level frequencies of these clonal sequences
will allow for targeted vaccine development and individualized treatment choices.
This presents an analytical challenge, however, since haplotypic phase (i.e. the
alignment of bases on a single DNA strand) is generally unobservable in multiply
infected individuals. This manuscript describes an expectation maximization (EM)
approach to maximum likelihood estimation of haplotype frequencies in this
missing data setting. The approach is applied to a cohort of N=341 malaria
infected children in Uganda, Cameroon and Sudan to characterize regional
differences. A simulation study is also presented to characterize method
performance and assess sensitivity to distributional assumptions.
PMID- 18052918
TI - Art as a scaffolding teaching strategy in baccalaureate nursing education.
AB - Although the use of art in nursing education is well highlighted, most of the
literature is anecdotal or focuses on development of a reflective nursing
practice with clients. In this study, art was used as a scaffold to infuse
liberal nursing education by helping baccalaureate nursing students (n = 91)
create a personal expression of nursing and move toward greater self-awareness.
Scaffolding is a metaphor for supporting learners as they develop higher levels
of thinking. Using naturalistic inquiry to analyze students' written responses in
a course activity, four themes emerged from the data: art and creativity,
teamwork, boundaries and horizons within self, and boundaries and horizons in the
profession. Student's individual expressions of art served as the "calling forth"
of processes that opened the door to each student's personal expression.
PMID- 18052919
TI - Improving preceptor self-efficacy using an online educational program.
AB - The purpose of this study was to evaluate the effectiveness of a public/community
health online preceptor education program on knowledge of the preceptor role and
self-efficacy to perform in the preceptor role. Forty-eight public/community
health nurses from five states completed an online education program, a 32-item
quiz and a preceptor self-efficacy instrument. Preceptor self-efficacy and
knowledge scores improved significantly after completing the online education
program compared to pretest scores. Previous experience as a preceptor, age, and
place of employment, were not correlated with preceptor self-efficacy scores.
Previous preceptor education and highest level of education completed were
positively correlated with preceptor self-efficacy scores. Previous preceptor
education was reported by 39.6% of nurses. However, there was no standard
curriculum for these education programs. The results of this study support the
use of online education as a method for enhancing preceptor knowledge and
confidence in the preceptor role.
PMID- 18052920
TI - A comparison of clinical simulation and case study presentation on nurse
practitioner students' knowledge and confidence in managing a cardiac event.
AB - The study was designed to compare the efficacy of controlled simulation mannequin
(SM) assisted learning and case study presentation on knowledge and confidence of
nurse practitioner (NP) students in managing a cardiac event. Twenty-three
volunteer students were randomly assigned to the experimental (simulation) or
control (case study presentation) group. All participants were instructed on
atrial arrhythmias, were pre- and post-tested on knowledge and confidence, and
completed an evaluation of the experience. There were no statistically
significant differences in knowledge test scores, although the control group
scored significantly higher on post- test confidence (p=.040). Both groups rated
their experience as valuable. The simulation and case study presentation had
similar outcomes. Additional research is needed to determine the effectiveness of
this teaching modality.
PMID- 18052921
TI - Psychometric properties of the Chinese leadership practices inventory.
AB - There is little evidence of psychometric properties of the Leadership Practices
Inventory (LPI) when used with Taiwan's nursing faculty. The purpose of this
study was to examine psychometric properties of the Chinese LPI and provide a
culturally appropriate instrument for use in Taiwan's nursing. Thirteen bachelor
and nine associate degree nursing programs were eligible for participation. The
Chinese LPI was used to gather nursing faculty's perceptions of leadership
behaviors of nursing deans and directors. A total of 369 questionnaires were
returned. Psychometric examinations used content validity, internal consistency
reliability, item analysis, and construct and concurrent validities. Cronbach's
alpha of the Chinese LPI total scale was .96. Three factors were extracted that
explained 59.5 % of the variance. Concurrent validity was supported by the
Chinese Multifactor Leadership Questionnaire (MLQ-5X). Findings for the
psychometric properties and cultural appropriateness of the Chinese LPI supported
its potential as an instrument for measuring nursing leadership in Taiwan.
PMID- 18052922
TI - Measures of homophobia among nursing students and faculty: a Midwestern
perspective.
AB - It is well documented that homophobia exists among healthcare providers including
nurses. However, little research is available on the level of homophobia among
nursing students and nursing faculty. Using the Index of Attitudes Toward
Homosexuals (IAH) and the Homophobic Behavior of Students Scale (HBSS) 241
nursing students and 32 faculty in a Midwest university were invited to
participate. One hundred twenty six students completed the survey resulting in a
51% return rate. Fifteen faculty completed the survey. Results reveal that there
is a low level of homophobia among students and faculty at this university.
Religion and LGBT acquaintances or family members accounted for most of the
variance within the homophobia scores. While scores reflect low levels of
homophobia, it is the belief of these researchers that they actually may reflect
ambivalent or heterosexist attitudes toward LGBT people which may impact
healthcare delivered by these future nurses.
PMID- 18052923
TI - The functional relationship between co-repressor N-CoR and SMRT in mediating
transcriptional repression by thyroid hormone receptor alpha.
AB - A central issue in mediating repression by nuclear hormone receptors is the
distinct or redundant function between co-repressors N-CoR (nuclear receptor co
repressor) and SMRT (silencing mediator of retinoid and thyroid hormone
receptor). To address the functional relationship between SMRT and N-CoR in TR
(thyroid hormone receptor)-mediated repression, we have identified multiple TR
target genes, including BCL3 (B-cell lymphoma 3-encoded protein), Spot14 (thyroid
hormone-inducible hepatic protein), FAS (fatty acid synthase), and ADRB2 (beta
adrenergic receptor 2). We demonstrated that siRNA (small interfering RNA)
treatment against either N-CoR or SMRT is sufficient for the de-repression of
multiple TR target genes. By the combination of sequence mining and physical
association as determined by ChIP (chromatin immunoprecipitation) assays, we
mapped the putative TREs (thyroid hormone response elements) in BCL3, Spot14, FAS
and ADRB2 genes. Our data clearly show that SMRT and N-CoR are independently
recruited to various TR target genes. We also present evidence that
overexpression of N-CoR can restore repression of endogenous genes after knocking
down SMRT. Finally, unliganded, co-repressor-free TR is defective in repression
and interacts with a co-activator, p300. Collectively, these results suggest that
both SMRT and N-CoR are limited in cells and that knocking down either of them
results in co-repressor-free TR and consequently de-repression of TR target
genes.
PMID- 18052924
TI - First evidence of the pore-forming properties of a keratin from skin mucus of
rainbow trout (Oncorhynchus mykiss, formerly Salmo gairdneri).
AB - The epidermis of fish is covered with a layer of mucus, which contributes to the
defence of the species against parasites, bacteria and fungi. We have previously
extracted glycoproteins from various mucus samples from fish and have shown that
they present pore-forming activities well correlated with strong antibacterial
properties [Ebran, Julien, Orange, Saglio, Lemaitre and Molle(2000) Biochim.
Biophys. Acta 1467, 271-280]. The present study focuses on the 65 kDa
glycoprotein, Tr65, from the rainbow trout (Oncorhynchus mykiss, formerly Salmo
gairdneri).Enzymatic digestion of Tr65 yielded a fragment pattern with strong
homology with that of trout type II cytokeratin. Sequence analysis of the cDNA
clone obtained by PCR confirmed this homology. We thus constructed a plasmid to
overproduce the recombinant Tr65. We extracted and purified this recombinant
Tr65, using it for multichannel and single-channel experiments in azolectin
bilayers. Our results with recombinant Tr65 confirmed the pore-forming properties
already shown with native antibacterial Tr65. These findings offer new insights
into the function of keratin proteins present in various mucosal surfaces of
animals and human beings.
PMID- 18052925
TI - Fish oil fatty acids improve postprandial vascular reactivity in healthy men.
AB - Chronic fish oil intervention had been shown to have a positive impact on
endothelial function. Although high-fat meals have often been associated with a
loss of postprandial vascular reactivity, studies examining the effects of fish
oil fatty acids on vascular function in the postprandial phase are limited. The
aim of the present study was to examine the impact of the addition of fish oil
fatty acids to a standard test meal on postprandial vascular reactivity. A total
of 25 men received in a random order either a placebo oil meal (40 g of mixed
fat; fatty acid profile representative of the U.K. diet) or a fish oil meal (31 g
of mixed fat and 9 g of fish oil) on two occasions. Vascular reactivity was
measured at baseline (0 h) and 4 h after the meal by laser Doppler iontophoresis,
and blood samples were taken for the measurement of plasma lipids, total nitrite,
glucose and insulin. eNOS (endothelial NO synthase) and NADPH oxidase gene
expression were determined in endothelial cells after incubation with TRLs
(triacylglycerol-rich lipoproteins) isolated from the plasma samples taken at 4
h. Compared with baseline, sodium nitroprusside (an endothelium-independent
vasodilator)-induced reactivity (P=0.024) and plasma nitrite levels (P=0.001)
were increased after the fish oil meal. In endothelial cells, postprandial TRLs
isolated after the fish oil meal increased eNOS and decreased NADPH oxidase gene
expression compared with TRLs isolated following the placebo oil meal (P=0.03).
In conclusion, meal fatty acids appear to be an important determinant of vascular
reactivity, with fish oils significantly improving postprandial endothelium
independent vasodilation.
PMID- 18052926
TI - Unsaturated lipid peroxidation-derived aldehydes activate autophagy in vascular
smooth-muscle cells.
AB - Proteins modified by aldehydes generated from oxidized lipids accumulate in cells
during oxidative stress and are commonly detected in diseased or aged tissue. The
mechanisms by which cells remove aldehyde-adducted proteins, however, remain
unclear. Here, we report that products of lipid peroxidation such as 4-HNE (4
hydroxynonenal) and acrolein activate autophagy in rat aortic smooth-muscle cells
in culture. Exposure to 4-HNE led to the modification of several proteins, as
detected by anti-protein-4-HNE antibodies or protein-bound radioactivity in [3H]4
HNE-treated cells. The 4-HNE-modified proteins were gradually removed from cells.
The removal of 4-HNE-modified proteins was not affected by the oxidized protein
hydrolase inhibitor, acetyl leucine chloromethyl ketone, or lactacystin, although
it was significantly decreased by PSI (proteasome inhibitor I), the
lysosome/proteasome inhibitor MG-132 (carbobenzoxy-L-leucyl-L-leucyl-leucinal),
insulin or the autophagy inhibitor 3-MA (3-methyladenine). Pre-incubation of
cells with rapamycin accelerated the removal of 4-HNE-modified proteins.
Treatment with 4-HNE, nonenal and acrolein, but not nonanal or POVPC (1-palmitoyl
2-oxovaleroyl phosphatidyl choline), caused a robust increase in LC3-II
(microtubule-associated protein 1 light chain 3-II) formation, which was
increased also by rapamycin, but prevented by insulin. Electron micrographs of 4
HNE-treated cells showed extensive vacuolization, pinocytic body formation,
crescent-shaped phagophores, and multilamellar vesicles. Treatment with 3-MA and
MG-132, but not proteasome-specific inhibitors, induced cell death in 4-HNE
treated cells. Collectively, these results show that lipid peroxidation-derived
aldehydes stimulate autophagy, which removes aldehyde-modified proteins, and that
inhibition of autophagy precipitates cell death in aldehyde-treated cells.
Autophagy may be an important mechanism for the survival of arterial smooth
muscle cells under conditions associated with excessive lipid peroxidation.
PMID- 18052927
TI - A decrease in cellular energy status stimulates PERK-dependent eIF2alpha
phosphorylation and regulates protein synthesis in pancreatic beta-cells.
AB - In the present study, we demonstrate that, in pancreatic beta-cells, eIF2alpha
(eukaryotic initiation factor 2alpha) phosphorylation in response to a decrease
in glucose concentration is primarily mediated by the activation of PERK [PKR
(protein kinase RNA activated)-like endoplasmic reticulum kinase]. We provide
evidence that this increase in PERK activity is evoked by a decrease in the
energy status of the cell via a potentially novel mechanism that is independent
of IRE1 (inositol requiring enzyme 1) activation and the accumulation of unfolded
nascent proteins within the endoplasmic reticulum. The inhibition of eIF2alpha
phosphorylation in glucose-deprived cells by the overexpression of dominant
negative PERK or an N-terminal truncation mutant of GADD34 (growth-arrest and DNA
damage-inducible protein 34) leads to a 53% increase in the rate of total protein
synthesis. Polysome analysis revealed that this coincides with an increase in the
amplitude but not the number of ribosomes per mRNA, indicating that eIF2alpha
dephosphorylation mobilizes hitherto untranslated mRNAs on to polysomes. In
summary, we show that PERK is activated at low glucose concentrations in response
to a decrease in energy status and that this plays an important role in glucose
regulated protein synthesis in pancreatic beta-cells.
PMID- 18052928
TI - In nucleoli, the steady state of nucleolar proteins is leptomycin B-sensitive.
AB - BACKGROUND INFORMATION: The nucleolus is a dynamic structure. It has been
demonstrated that nucleolar proteins rapidly associate with and dissociate from
nucleolar components in continuous exchanges with the nucleoplasm using GFP
(green fluorescent protein)-tagged proteins. However, how the exchanges within
one nucleolus and between nucleoli within the nuclear volume occurred is still
poorly understood. RESULTS: The movement of PAGFP (photoactivatable GFP)-tagged
proteins that become visible after photoactivation can be followed. In the
present study, we establish the protocol allowing quantification of the traffic
of PAGFP-tagged nucleolar proteins in nuclei containing two nucleoli. The traffic
in the activated area, at the periphery of the activated area and to the
neighbouring nucleolus is measured. Protein B23 is rapidly replaced in the
activated area, and at the periphery of the activated area the steady state
suggests intranucleolar recycling of B23; this recycling is LMB (leptomycin B)
sensitive. The pool of activated B23 is equally distributed in the volume of the
two nucleoli within 2 min. The three-dimensional distribution of the proteins
Nop52 and fibrillarin is less rapid than that of B23 but is also LMB-sensitive.
In contrast, traffic of fibrillarin from the nucleoli to the CB (Cajal body) was
not modified by LMB. CONCLUSIONS: We propose that the steady state of nucleolar
proteins in nucleoli depends on the affinity of the proteins for their partners
and on intranucleolar recycling. This steady state can be impaired by LMB but not
the uptake in the neighbouring nucleolus or the CB.
PMID- 18052929
TI - Purification and properties of the alkaline lipase from Burkholderia cepacia
A.T.C.C. 25609.
AB - A Burkholderia cepacia (bacteria) strain, A.T.C.C. 25609, which had been isolated
from the bronchial washings of a cystic fibrosis patient, was used to produce
lipase. The presence of sodium alginate at an optimal concentration of 8 mg.ml(
1) in the growth medium nearly doubled the production of extracellular lipase
activity. The enzyme could be purified with 38-fold purification and 96% activity
recovery using a two-step purification protocol. The molecular mass of the
purified lipase determined by SDS/PAGE was shown to be 28 kDa. The pH optimum of
the purified enzyme was 9 and it was stable up to 12 h at pH 9 and 10. The enzyme
has a temperature optimum of 40 degrees C and its half-life (t(1/2)) values were
54 and 46 min at 50 and 60 degrees C respectively. The lipase was found to be
stable in the presence of the detergents Tween 20 and Triton X-100. The secondary
structure analysis of lipase by CD spectroscopy showed 52% alpha-helix, 7.7% beta
sheet, 12.6% beta-turn and 27.8% random structure. The lipase was cloned and
overexpressed in Escherichia coli. The gene sequence of the cloned lipase was
determined and compared with other lipases.
PMID- 18052930
TI - Peroxiredoxin IV is an endoplasmic reticulum-localized enzyme forming oligomeric
complexes in human cells.
AB - The peroxiredoxins are a ubiquitous family of proteins involved in protection
against oxidative stress through the detoxification of cellular peroxides. In
addition, the typical 2-Cys peroxiredoxins function in signalling of peroxide
stress and as molecular chaperones, functions that are influenced by their
oligomeric state. Of the human peroxiredoxins, Prx IV (peroxiredoxin IV) is
unique in possessing an N-terminal signal peptide believed to allow secretion
from the cell. Here, we present a characterization of Prx IV in human cells
demonstrating that it is actually retained within the ER (endoplasmic reticulum).
Stable knockdown of Prx IV expression led to detrimental effects on the viability
of human HT1080 cells following treatment with exogenous H2O2. However, these
effects were not consistent with a dose-dependent correlation between Prx IV
expression and peroxide tolerance. Moreover, modulation of Prx IV expression
showed no obvious effect on ER-associated stress, redox conditions or H2O2
turnover. Subsequent investigation demonstrated that Prx IV forms complex
structures within the ER, consistent with the formation of homodecamers.
Furthermore, Prx IV oligomeric interactions are stabilized by additional non
catalytic disulfide bonds, indicative of a primary role other than peroxide
elimination.
PMID- 18052931
TI - Enhanced cell-surface stability of rescued DeltaF508 cystic fibrosis
transmembrane conductance regulator (CFTR) by pharmacological chaperones.
AB - Misfolded proteins destined for the cell surface are recognized and degraded by
the ERAD [ER (endoplasmic reticulum) associated degradation] pathway. TS
(temperature-sensitive) mutants at the permissive temperature escape ERAD and
reach the cell surface. In this present paper, we examined a TS mutant of the
CFTR [CF (cystic fibrosis) transmembrane conductance regulator], CFTR DeltaF508,
and analysed its cell-surface trafficking after rescue [rDeltaF508 (rescued
DeltaF508) CFTR]. We show that rDeltaF508 CFTR endocytosis is 6-fold more rapid
(approximately 30% per 2.5 min) than WT (wild-type, approximately 5% per 2.5 min)
CFTR at 37 degrees C in polarized airway epithelial cells (CFBE41o-). We also
investigated rDeltaF508 CFTR endocytosis under two further conditions: in culture
at the permissive temperature (27 degrees C) and following treatment with
pharmacological chaperones. At low temperature, rDeltaF508 CFTR endocytosis
slowed to WT rates (20% per 10 min), indicating that the cell-surface trafficking
defect of rDeltaF508 CFTR is TS. Furthermore, rDeltaF508 CFTR is stabilized at
the lower temperature; its half-life increases from <2 h at 37 degrees C to >8 h
at 27 degrees C. Pharmacological chaperone treatment at 37 degrees C corrected
the rDeltaF508 CFTR internalization defect, slowing endocytosis from
approximately 30% per 2.5 min to approximately 5% per 2.5 min, and doubled
DeltaF508 surface half-life from 2 to 4 h. These effects are DeltaF508 CFTR
specific, as pharmacological chaperones did not affect WT CFTR or transferrin
receptor internalization rates. The results indicate that small molecular
correctors may reproduce the effect of incubation at the permissive temperature,
not only by rescuing DeltaF508 CFTR from ERAD, but also by enhancing its cell
surface stability.
PMID- 18052932
TI - Bioengineering functional human aortic vascular smooth-muscle strips in vitro.
AB - The contraction and relaxation of VSM (vascular smooth muscle) are responsible
for the maintenance of vascular tone, which is a major determinant of blood
pressure. However, the molecular events leading to the contraction and relaxation
of VSM are poorly understood. The development of three-dimensional bioengineered
tissues provides an opportunity to investigate the molecular events controlling
vascular tone in vitro. In the present study we used fibrin-gel casting to
bioengineer functional VSM strips from primary human aortic VSM cells. Our
bioengineered VSM strips are functionally similar to VSM in vivo and remained
viable in culture for up to 5 weeks. VSM strips demonstrate spontaneous basal
tone and can generate an active force (contraction) of up to 85.2 microN on
stimulation with phenylephrine. Bioengineered VSM strips exhibited Ca(2+)
dependent contraction and calcium-independent relaxation. The development of
functional bioengineered VSM tissue provides a new in vitro model system that can
be used to investigate the molecular events controlling vascular tone.
PMID- 18052933
TI - Application of SCAR (sequence characterized amplified region) analysis to
authenticate Lycium barbarum (wolfberry) and its adulterants.
AB - Fructus Lycii (Gouqizi) is well known in Chinese herbal medicine for its
restorative function of benefiting the liver and kidney, replenishing vital
essence and improving eyesight. However, ten species and varieties of Lycium have
benn found to be substitutes or adulterants of Lycium barbarum (wolfberry) in
commercial markets in the Hong Kong Special Administrative Region and in China
generally. L. barbarum cv. 'Tianjinense' and Lycium chinense var. potaninii are
the most common examples. It is difficult to differentiate among the Lycium
species by traditional morphological and histological analyses. An easy and
reliable approach based on SCAR (sequence characterized amplified region)
analysis was developed in the present study to differentiate L. barbarum from
other Lycium species. Two characteristic bands of approx. 700 and 650 bp were
detected on the RAPD (random amplification of polymorphic DNA) profiles generated
from samples of L. barbarum and L. chinense var. potaninii using the primer OPC
7. They were isolated and sequenced. Two primer sets, based on the sequences,
could amplify a single specific band in samples of L. barbarum respectively,
whereas no bands were detected in samples of L. chinense var. potaninii. The
results confirmed that the SCAR technique can be employed for authenticating L.
barbarum and its adulterants.
PMID- 18052934
TI - Urocortin 2 combined with angiotensin-converting enzyme inhibition in
experimental heart failure.
AB - Ucn2 (urocortin 2) is a recently discovered peptide with therapeutic potential in
heart failure. As any new treatment is likely to be used in conjunction with
standard ACEI (angiotensin-converting enzyme inhibitor) therapy, it is important
that the combined effects of these agents are assessed. In the present study, we
investigated the effects of Ucn2 and an ACEI (captopril) administered for 3 h,
both separately and together, in eight sheep with pacing-induced heart failure.
Ucn2 and captopril alone both increased CO (cardiac output; Ucn2>captopril) and
decreased arterial pressure (captopril>Ucn2), left atrial pressure
(Ucn2>captopril) and peripheral resistance (Ucn2=captopril) relative to controls.
Compared with either treatment alone, combined treatment further improved CO and
reduced peripheral resistance and cardiac preload, without inducing further falls
in blood pressure. In contrast with the marked increase in plasma renin activity
observed with captopril alone, Ucn2 administration reduced renin activity,
whereas the combined agents resulted in intermediate renin levels. All active
treatments decreased circulating levels of aldosterone
(Ucn2+captopril>Ucn2=captopril), endothelin-1 and the natriuretic peptides
(Ucn2+captopril=Ucn2>captopril), whereas adrenaline (epinephrine) fell only with
Ucn2 (Ucn2+captopril=Ucn2), and vasopressin increased during captopril alone.
Ucn2, both separately and in conjunction with captopril, increased urine output,
sodium and creatinine excretion and creatinine clearance. Conversely, captopril
administered alone adversely affected these renal indices. In conclusion, co
treatment with Ucn2 and an ACEI in heart failure produced significantly greater
improvements in haemodynamics, hormonal profile and renal function than achieved
by captopril alone. These results indicate that dual treatment with these two
agents is beneficial.
PMID- 18052935
TI - Effect of lysosomal storage on bis(monoacylglycero)phosphate.
AB - BMP [bis(monoacylglycero)phosphate] is an acidic phospholipid and a structural
isomer of PG (phosphatidylglycerol), consisting of lysophosphatidylglycerol with
an additional fatty acid esterified to the glycerol head group. It is thought to
be synthesized from PG in the endosomal/lysosomal compartment and is found
primarily in multivesicular bodies within the same compartment. In the present
study, we investigated the effect of lysosomal storage on BMP in cultured
fibroblasts from patients with eight different LSDs (lysosomal storage disorders)
and plasma samples from patients with one of 20 LSDs. Using ESI-MS/MS
(electrospray ionization tandem MS), we were able to demonstrate either
elevations or alterations in the individual species of BMP, but not of PG, in
cultured fibroblasts. All affected cell lines, with the exception of Fabry
disease, showed a loss of polyunsaturated BMP species relative to mono
unsaturated species, and this correlated with the literature reports of lysosomal
dysfunction leading to elevations of glycosphingolipids and cholesterol in
affected cells, processes thought to be critical to the pathogenesis of LSDs.
Plasma samples from patients with LSDs involving storage in macrophages and/or
with hepatomegaly showed an elevation in the plasma concentration of the
C(18:1)/C(18:1) species of BMP when compared with control plasmas, whereas
disorders involving primarily the central nervous system pathology did not. These
results suggest that the release of BMP is cell/tissue-specific and that it may
be useful as a biomarker for a subset of LSDs.
PMID- 18052937
TI - Bioconversion of small molecules by cytochrome P450 species expressed in
Escherichia coli.
AB - P450 (cytochrome P450) enzymes catalyse the mono-oxygenation of a wide range of
compounds such as steroids, fatty acids, vitamins and drugs. In the present paper
we demonstrate a system for bioconverting diverse compounds [flavanone, DHEA
(dehydroepiandrosterone) and 7-ethoxycoumarin] using P450 species expressed in
Escherichia coli. First, we expressed four P450 species: rabbit CYP2B (P450
family 2, subfamily B), fruitfly (Drosophila) CYP317A, rat CYP3A23 and mouse
CYP2J5. Next, we added substrates directly to the incubation medium. The
resulting metabolites were extracted and analysed by HPLC and spectrofluorimetry.
The first substrate, 7-ethoxycoumarin, was de-ethylated by CYP2B; CYP2J5 and
CYP3A23 showed weak activity, and CYP317A had no activity for 7-ethoxycoumarin.
We next used flavanone, a flavonoid, as a substrate for these four P450 species
and other P450 species expressed previously. As a result, CYP2B, CYP2C43 and
CYP2C29 catalysed flavanone 2-hydroxylation. CYP2A5 catalysed 2- and 4
hydroxylations. Finally, to produce diverse modified compounds, variants of
CYP2A5 with point mutations were incubated with a steroid (DHEA) and an
antioxidant (flavanone) in vivo. HPLC analysis indicated that two P450 species
produced a 7-beta-hydroxy-DHEA and two P450 species produced a 2-alpha-hydroxy
DHEA. Four P450 species catalysed flavanone 2- and 4-hydroxylations. These
results indicate that bioconversion by P450 is a useful technique to modify small
molecules (steroids, coumarin and flavanone) and produce new, diverse
hydroxylated compounds, which could be used for high-throughput screening for
drug discovery.
PMID- 18052936
TI - The guanine-nucleotide-exchange factor BopE from Burkholderia pseudomallei adopts
a compact version of the Salmonella SopE/SopE2 fold and undergoes a closed-to
open conformational change upon interaction with Cdc42.
AB - BopE is a type III secreted protein from Burkholderia pseudomallei, the
aetiological agent of melioidosis, a severe emerging infection. BopE is a GEF
(guanine-nucleotide-exchange factor) for the Rho GTPases Cdc42 (cell division
cycle 42) and Rac1. We have determined the structure of BopE catalytic domain
(amino acids 78-261) by NMR spectroscopy and it shows that BopE(78-261) comprises
two three-helix bundles (alpha1alpha4alpha5 and alpha2alpha3alpha6). This fold is
similar to that adopted by the BopE homologues SopE and SopE2, which are GEFs
from Salmonella. Whereas the two three-helix bundles of SopE(78-240) and SopE2(69
240) form the arms of a 'Lambda' shape, BopE(78-261) adopts a more closed
conformation with substantial interactions between the two three-helix bundles.
We propose that arginine and proline residues are important in the conformational
differences between BopE and SopE/E2. Analysis of the molecular interface in the
SopE(78-240)-Cdc42 complex crystal structure indicates that, in a BopE-Cdc42
interaction, the closed conformation of BopE(78-261) would engender steric
clashes with the Cdc42 switch regions. This implies that BopE(78-261) must
undergo a closed-to-open conformational change in order to catalyse guanine
nucleotide exchange. In an NMR titration to investigate the BopE(78-261)-Cdc42
interaction, the appearance of additional peaks per NH for residues in hinge
regions of BopE(78-261) indicates that BopE(78-261) does undergo a closed-to-open
conformational change in the presence of Cdc42. The conformational change
hypothesis is further supported by substantial improvement of BopE(78-261)
catalytic efficiency through mutations that favour an open conformation.
Requirement for closed-to-open conformational change explains the 10-40-fold
lower k(cat) of BopE compared with SopE and SopE2.
PMID- 18052939
TI - Genes affecting coat colour and pattern in domestic dogs: a review.
AB - Tremendous progress has been made in identifying genes involved in pigmentation
in dogs in the past few years. Comparative genomics has both aided and benefited
from these findings. Seven genes that cause specific coat colours and/or patterns
in dogs have been identified: melanocortin 1 receptor, tyrosinase related protein
1, agouti signal peptide, melanophilin, SILV (formerly PMEL17), microphthalmia
associated transcription factor and beta-defensin 103. Although not all alleles
have been yet identified at each locus, DNA tests are available for many. The
identification of these alleles has provided information on interactions in this
complex set of genes involved in both pigmentation and neurological development.
The review also discusses pleiotropic effects of some coat colour genes as they
relate to disease. The alleles found in various breeds have shed light on some
potential breed development histories and phylogenetic relationships. The
information is of value to dog breeders who have selected for and against
specific colours since breed standards and dog showing began in the late 1800s.
Because coat colour is such a visible trait, this information will also be a
valuable teaching resource.
PMID- 18052938
TI - Deprivation of protein or amino acid induces C/EBPbeta synthesis and binding to
amino acid response elements, but its action is not an absolute requirement for
enhanced transcription.
AB - A nutrient stress signalling pathway is triggered in response to protein or amino
acid deprivation, namely the AAR (amino acid response), and previous studies have
shown that C/EBPbeta (CCAAT/enhancer-binding protein beta) expression is up
regulated following activation of the AAR. DNA-binding studies, both in vitro and
in vivo, have revealed increased C/EBPbeta association with AARE (AAR element)
sequences in AAR target genes, but its role is still unresolved. The present
results show that in HepG2 human hepatoma cells, the total amount of C/EBPbeta
protein, both the activating [LAP* and LAP (liver-enriched activating protein)]
and inhibitory [LIP (liver-enriched inhibitory)] isoforms, was increased in
histidine-deprived cells. Immunoblotting of subcellular fractions and
immunostaining revealed that most of the C/EBPbeta was located in the nucleus.
Consistent with these observations, amino acid limitation caused an increase in
C/EBPbeta DNA-binding activity in nuclear extracts and chromatin
immunoprecipitation revealed an increase in C/EBPbeta binding to the AARE region
in vivo, but at a time when transcription from the target gene was declining. A
constant fraction of the basal and increased C/EBPbeta protein was phosphorylated
on Thr(235) and the phospho-C/EBPbeta did bind to an AARE. Induction of AARE
enhanced transcription was slightly greater in C/EBPbeta-deficient MEFs (mouse
embryonic fibroblasts) or C/EBPbeta siRNA (small interfering RNA)-treated HepG2
cells compared with the corresponding control cells. Transient expression of
LAP*, LAP or LIP in C/EBPbeta-deficient fibroblasts caused suppression of
increased transcription from an AARE-driven reporter gene. Collectively, the
results demonstrate that C/EBPbeta is not required for transcriptional activation
by the AAR pathway but, when present, acts in concert with ATF3 (activating
transcription factor 3) to suppress transcription during the latter stages of the
response.
PMID- 18052940
TI - QTL detection on porcine chromosome 12 for fatty-acid composition and association
analyses of the fatty acid synthase, gastric inhibitory polypeptide and acetyl
coenzyme A carboxylase alpha genes.
AB - Refinement of previous QTL on porcine chromosome 12 for fatty-acid composition
and a candidate gene association analysis were conducted using an Iberian x
Landrace cross. The concentrations of ten fatty acids were assayed in backfat
tissue from which four metabolic ratios were calculated for 403 F2 animals.
Linkage analysis identified two significant QTL. The first QTL was associated
with the average chain length ratio and the percentages of myristic, palmitic and
gadoleic acids. The second QTL was associated with percentages of palmitoleic,
stearic and vaccenic acids. Based upon its position on SSC12, fatty acid synthase
was tested as a candidate gene for the first QTL and no significant effects were
found. Similarly, gastric inhibitory polypeptide (GIP) and acetyl-coenzyme A
carboxylase alpha (ACACA) were tested as candidate genes for the second QTL using
three SNPs in GIP and 15 synonymous SNPs in ACACA cDNA sequences. Two missense
SNPs in GIP showed significant effects with palmitoleic and stearic fatty-acid
concentration. Highly significant associations were found for two SNPs in ACACA
with stearic, palmitoleic and vaccenic fatty-acid concentrations. These
associations could be due to linkage disequilibrium with the causal mutations.
PMID- 18052941
TI - Can you shrinkwrap a cow? Protections available for the intellectual property of
the animal breeding industry.
AB - There are currently four main intellectual property protection statutory schemes
available: copyright, trade secret, trademark and patent. Each of these protects
a different aspect of intellectual property, which leaves gaps of protection when
an innovation does not fit squarely within the boundaries of the statutes.
Contracts allow the industry to tailor the protection desired. One very common
approach is to license the product via contract. Licences allow intellectual
property owners to retain ownership and give permission to others to use the
product. Although there are several types of licences, the most common is the
field of use licence, which limits the licensee's use of the product. This often
leads to price discrimination where various levels of restriction are offered at
corresponding prices. The more rights retained by the owner, the more restricted
the buyer is and the lower the purchase price allowing customers to choose the
level of restriction they are willing to accept. Therefore, the different uses
and needs of various customers can be accounted for and reflected in the price.
The animal breeding industry is currently struggling to protect their innovations
falling into these statutory gaps. The protection for animal breeding industry
innovations is most likely through contract law rather than traditional
intellectual property law. By taking advantage of the unique nature of contracts,
industry will be able to tailor protection and pricing to best suit the variety
of customers and uses for the products sold.
PMID- 18052942
TI - Intraindividual variation in levels of serum testosterone and other reproductive
and adrenal hormones in men.
AB - BACKGROUND: Estimates of intraindividual variation in hormone levels provide the
basis for interpreting hormone measurements clinically and for developing
eligibility criteria for trials of hormone replacement therapy. However, reliable
systematic estimates of such variation are lacking. OBJECTIVE: To estimate
intraindividual variation of serum total, free and bioavailable testosterone (T),
dihydrotestosterone (DHT), SHBG, LH, dehydroepiandrosterone (DHEA),
dehydroepiandrosterone sulphate (DHEAS), oestrone, oestradiol and cortisol, and
the contributions of biological and assay variation to the total. DESIGN: Paired
blood samples were obtained 1-3 days apart at entry and again 3 months and 6
months later (maximum six samples per subject). Each sample consisted of a pool
of equal aliquots of two blood draws 20 min apart. STUDY PARTICIPANTS: Men aged
30-79 years were randomly selected from the respondents to the Boston Area
Community Health Survey, a study of the health of the general population of
Boston, MA, USA. Analysis was based on 132 men, including 121 who completed all
six visits, 8 who completed the first two visits and 3 who completed the first
four visits. MEASUREMENTS: Day-to-day and 3-month (long-term) intraindividual
standard deviations, after transforming measurements to logarithms to eliminate
the contribution of hormone level to intraindividual variation. RESULTS:
Biological variation generally accounted for more of total intraindividual
variation than did assay variation. Day-to-day biological variation accounted for
more of the total than did long-term biological variation. Short-term variability
was greater in hormones with pulsatile secretion (e.g. LH) than those that
exhibit less ultradian variation. Depending on the hormone, the intraindividual
standard deviations imply that a clinician can expect to see a difference
exceeding 18-28% about half the time when two measurements are made on a subject.
The difference will exceed 27-54% about a quarter of the time. CONCLUSIONS: Given
the level of intraindividual variability in hormone levels found in this study,
one sample is generally not sufficient to characterize an individual's hormone
levels but collecting more than three is probably not warranted. This is true for
clinical measurements and for hormone measurements used to determine eligibility
for a clinical trial of hormone replacement therapy.
PMID- 18052943
TI - Factors associated with elevated serum concentrations of anti-TPO antibodies in
subjects with and without diffuse goitre. Results from the Ukrainian-American
Cohort Study of thyroid cancer and other thyroid diseases following the Chornobyl
accident.
AB - OBJECTIVES: To examine factors associated with the prevalence of elevated anti
thyroid peroxidase antibodies (ATPO) among iodine-deficient adolescents and young
adults and test whether associations vary according to the presence of diffuse
goitre. DESIGN: Subjects were members of the Ukrainian-American Cohort Study
exposed to the Chornobyl accident whose (131)I thyroid dose estimates were below
0.2 Gy. MEASUREMENTS: The odds ratios (ORs) for ATPO above 60 U/ml were estimated
using logistic regression models for a number of factors in the total population
(N = 5133), and separately for thyroid disease-free subjects (N = 3875), those
with diffuse goitre (N = 921), and diffuse goitre without autoimmune thyroiditis
(AIT; N = 883). RESULTS: Elevated ATPO was found in 9.9% of the total population
and ORs were significantly higher in females, older individuals, those examined
in earlier calendar years, residents of Kyiv and Chernihiv oblasts, subjects with
a family history of thyroid disease, higher thyroid ultrasound volume, suppressed
or elevated TSH, blood collection in March to May, very low thyroglobulin (Tg),
and shorter serum storage time. When thyroid disease-free subjects and those with
diffuse goitre were compared, there were few differences in antibody prevalence,
and after excluding individuals with AIT, the only difference was an increased
prevalence of elevated ATPO at low urinary iodine in those with goitre alone.
CONCLUSIONS: Although a number of factors are associated with the prevalence of
elevated ATPO in our study group, with the exception of urinary iodine these
factors are independent of goitre, and differences between thyroid disease-free
subjects and those with diffuse goitre are largely due to AIT.
PMID- 18052944
TI - Active migration into the subcellular space precedes Campylobacter jejuni
invasion of epithelial cells.
AB - The bacterial pathogen Campylobacter jejuni invades mucosal cells via largely
undefined and rather inefficient (0.01-2 bacteria per cell) mechanisms. Here we
report a novel, highly efficient C. jejuni infection pathway resulting in 10-15
intracellular bacteria per cell within 3 h of infection. Electron microscopy,
pulse-chase infection assays and time-lapse multiphoton laser confocal microscopy
demonstrated that the mechanism involved active and rapid migration of the
pathogen into the subcellular space (termed 'subvasion'), followed by bacterial
entry ('invasion') at the cell basis. Efficient subvasion was maximal after
repeated rounds of selection for the subvasive phenotype. Targeted mutagenesis
indicated that the CadF, JlpA or PEB1 adhesins were not required. Dissection of
the selected and parental phenotypes by SDS-PAGE yielded comparable capsule
polysaccharide and lipooligosaccharide profiles. Proteomics revealed reduced
amounts of the chemotaxis protein CheW for the subvasive phenotype. Swarming
assays confirmed that the selected phenotype exhibited altered migration
behaviour. Introduction of a plasmid carrying chemotaxis genes into the subvasive
strain yielded wild-type subvasion levels and migration behaviour. These results
indicate that alterations in the bacterial migration machinery enable C. jejuni
to actively penetrate the subcellular space and gain access to the cell interior
with unprecedented efficiency.
PMID- 18052945
TI - Conversion of apical plasma membrane sphingomyelin to ceramide attenuates the
intoxication of host cells by cholera toxin.
AB - Cholera toxin (CT) enters host cells by binding to ganglioside GM1 in the apical
plasma membrane (PM). GM1 carries CT retrograde from the PM to the endoplasmic
reticulum (ER), where a portion of the toxin, the A1-chain, retro-translocates to
the cytosol, causing disease. Trafficking in this pathway appears to depend on
the association of CT-GM1 complexes with sphingomyelin (SM)- and cholesterol-rich
membrane microdomains termed lipid rafts. Here, we find that in polarized
intestinal epithelia, the conversion of apical membrane SM to ceramide by
bacterial sphingomyelinase attenuates CT toxicity, consistent with the lipid raft
hypothesis. The effect is reversible, specific to toxin entry via the apical
membrane, and recapitulated by the addition of exogenous long-chain ceramides.
Conversion of apical membrane SM to ceramide inhibits the efficiency of toxin
endocytosis, but retrograde trafficking from the apical PM to the Golgi and ER is
not affected. This result suggests that the cause for toxin resistance occurs at
steps required for retro-translocation of the CT A1-chain to the cytosol.
PMID- 18052947
TI - Migraine and the risk of stroke, TIA, or death in the UK (CME).
AB - BACKGROUND: Previous observational studies have reported a higher risk of stroke
in migraine patients. Objective.- We aimed to estimate the risk of stroke,
transient ischemic attack (TIA), or death in migraineurs in the UK. METHOD: We
conducted a population-based follow-up study within the General Practice Research
Database from 1994 to 2001. RESULTS: The relative risk (RR) of stroke in
migraineurs compared with non-migraineurs was 2.2 (95% confidence interval [CI]
1.7-2.9). It was highest for patients with a migraine diagnosis recorded within
30 days prior to a stroke (odds ratio 11.1, 95% CI 5.69-21.5). The RR of TIA in
migraineurs compared with non-migraineurs was 2.4 (95% CI 1.8-3.3), the mortality
of migraineurs was slightly decreased. CONCLUSION: In our study, the RR of
developing a stroke or a TIA was doubled in migraineurs as compared with non
migraineurs, while that for death was close to unity.
PMID- 18052948
TI - Effect of carbon dioxide on calcitonin gene-related peptide secretion from
trigeminal neurons.
AB - OBJECTIVE: The goal of this study was to determine whether the physiological
effects of carbon dioxide (CO(2)) involve regulation of CGRP secretion from
trigeminal sensory neurons. BACKGROUND: The neuropeptide calcitonin gene-related
peptide (CGRP) is implicated in the pathophysiology of allergic rhinosinusitis
and migraine. Recent clinical evidence supports the use of noninhaled intranasal
delivery of 100% CO(2) for treatment of these diseases. Patients report 2
distinct physiological events: first, a short duration stinging or burning
sensation within the nasal mucosa, and second, alleviation of primary symptoms.
METHODS: Primary cultures of rat trigeminal ganglia were utilized to investigate
the effects of CO(2) on CGRP release stimulated by a depolarizing stimulus (KCl),
capsaicin, nitric oxide, and/or protons. The amount of CGRP secreted into the
culture media was determined using a CGRP-specific radioimmunoassay.
Intracellular pH and calcium levels were measured in cultured trigeminal neurons
in response to CO(2) and stimulatory agents using fluorescent imaging techniques.
RESULTS: Incubation of primary trigeminal ganglia cultures at pH 6.0 or 5.5 was
shown to significantly stimulate CGRP release. Similarly, CO(2) treatment of
cultures caused a time-dependent acidification of the media, achieving pH values
of 5.5-6 that stimulated CGRP secretion. In addition, KCl, capsaicin, and a
nitric oxide donor also caused a significant increase in CGRP release.
Interestingly, CO(2) treatment of cultures under isohydric conditions, which
prevents extracellular acidification while allowing changes in PCO(2) values,
significantly repressed the stimulatory effects of KCl, capsaicin, and nitric
oxide on CGRP secretion. We found that CO(2) treatment under isohydric conditions
resulted in a decrease in intracellular pH and inhibition of the KCl- and
capsaicin-mediated increases in intracellular calcium. CONCLUSIONS: Results from
this study provide the first evidence of a unique regulatory mechanism by which
CO(2) inhibits sensory nerve activation, and subsequent neuropeptide release.
Furthermore, the observed inhibitory effect of CO(2) on CGRP secretion likely
involves modulation of calcium channel activity and changes in intracellular pH.
PMID- 18052949
TI - The impact of topiramate on health-related quality of life indicators in chronic
migraine.
AB - BACKGROUND: Chronic migraine is a disabling primary chronic daily headache
disorder that significantly impacts the daily activities of patients with this
disorder. To our knowledge, this is the first report of a large, randomized,
double-blind, placebo-controlled trial that assessed the impact of topiramate on
the daily activities, emotional distress, headache-related disability, and global
impression of change in patients with chronic migraine. OBJECTIVE: To assess
whether topiramate 100 mg/day reduces migraine-related disability and limitations
of daily activities in patients with chronic migraine. STUDY DESIGN/METHODS:
Patients aged > or =18 years with chronic migraine were randomized 1 : 1 ratio to
topiramate 100 mg/day or placebo. The double-blind period lasted 16 weeks. Three
patient-reported outcome measures were administered: Migraine Disability
Assessment, Migraine-Specific Quality of Life Questionnaire (Domains: Role
Function Restrictive and Preventive and Emotional Function), and Subject's Global
Impression of Change. Investigators completed a Physician's Global Impression of
Change for each patient. Subject's Global Impression of Change and Physician's
Global Impression of Change were completed one time, at the end of study, and
measured on a 7-point scale (1 = very much improved to 7 = very much worse). The
Migraine-Specific Quality of Life Questionnaire was analyzed using analysis of
covariance (last observation carried forward) approach. Results were not adjusted
for multiplicity. RESULTS: A total of 328 patients were randomized (topiramate, n
= 165; placebo, n = 163), and 306 patients were included in the intent-to-treat
population. Mean age was 38.2 years, and a majority of the patients were female
(85.3%). Fifty-six percent of topiramate-treated patients vs 45% of placebo
treated patients reported >50% improvement from baseline in Migraine Disability
Assessment scores (P = .074). The Migraine-Specific Quality of Life Questionnaire
analysis demonstrated significant improvements at week 4 in all 3 domains, and at
weeks 8 and 16 in both Role Function-Restrictive and Emotional Function domains
(P < .05). Role Function-Preventive approached, but did not reach significance,
at week 8 (P = .053). Seventy-five percent and 72% of topiramate-treated patients
vs 61% and 59% of placebo-treated patients reported improvements on the Subject
and Physician's Global Impression of Change scales (P = .025 and P = .037,
respectively). CONCLUSION: Compared with placebo-treated patients, topiramate 100
mg/day appears to contribute to reductions in migraine-related limitations on
daily activities and emotional distress beginning as early as week 4 and
continuing up to week 16 after treatment. Physician's Global Impression of Change
results are very similar with Subject's Global Impression of Change, indicating
concordance between the physician's and the subject's assessment of improvement.
PMID- 18052950
TI - A double-blind, dose comparison study of topiramate for prophylaxis of basilar
type migraine in children: a pilot study.
AB - BACKGROUND: Basilar-type migraine (BM) is the most common migraine "variant,"
representing 3-19% of migraine in children.BMis characterized by attacks of
dizziness, vertigo, visual disturbances, ataxia, and/or diplopia, followed by
migraine headache. OBJECTIVE: The objective of this study is to assess the
efficacy and safety of topiramate for prophylaxis of BM in children and
adolescents (6-18 years). DESIGN: Outpatient, double-blind, parallel-group, dose
comparison study with 2 phases: prerandomization (screening/washout and 4-week
prospective baseline) and 12-week double blind (titration and maintenance).
METHODS: Following consent and assent, subjects with BMs, as defined by the
International Classification of Headache Disorders (second edition), and > or =4
migraines/month were randomized to receive either 25 mg per day or 100 mg per day
of topiramate in a 1 : 1 ratio. RESULTS: Fourteen children (4 boys, 10 girls)
completed the double-blind phase (7 in the 25-mg group and 7 in the 100-mg
group). During the prospective baseline, the mean headache frequency of the
combined group "all migraines" per month was 4.5/month (25 mg) and 4.8/month (100
mg). Average duration of migraine was 5.5 hours (25 mg) and 5.0 hours (100 mg)
and average mean pain (5-point faces scale) was 3.3 for both (25 mg 100 mg). The
reduction in median monthly migraine rate during the double-blind treatment phase
relative to baseline was 2.9 (64.4%) and 3.6 (75.0%) for the 25-mg and 100-mg
topiramate-treated groups, respectively (P < .001). The reduction in median
monthly BM rate during the double-blind treatment phase relative to baseline was
2.5 (74.24%) and 2.3 (82.8%) for the 25-mg and 100-mg topiramate-treated groups,
respectively. The overall reduction in BM attacks reduced from 2.84/month to
0.59/month (79.2%; P < .0042). Overall, 86% of patients responded with a greater
than 50% reduction in migraine frequency (100%, 25 mg and 71%, 100 mg). Mean
reduction in migraine duration was 18 minutes (25 mg) and 89 minutes (100 mg).
There was no significant difference in migraine severity between the 2 groups.
Parent Global Assessment was "very much" or "much improved" in 6 of 7 (25 mg) and
3 of 7 (100 mg) patients. Migraine disability as measured by PedMidas reduced
from moderate to no disability (P < .001). There were no serious adverse events.
CONCLUSIONS: Preventive therapy with topiramate resulted in reducing the overall
migraine frequency and the frequency of attacks of BM at both 25 mg and 100 mg
doses relative to the historical baseline and prospective baseline periods. The 2
treatment groups resulted in comparable outcomes.
PMID- 18052951
TI - Hypothalamic activation in spontaneous migraine attacks.
AB - BACKGROUND: Migraine sufferers experience premonitory symptoms which suggest that
primary hypothalamic dysfunction is a likely trigger of the attacks.
Neuroendocrine and laboratory data also support this hypothesis. To date,
positron emission tomography (PET) scans of migraine sufferers have demonstrated
activation of brainstem nuclei, but not of the hypothalamus. OBJECTIVE: To record
cerebral activations withH2 15OPET during spontaneous migraine without aura
attacks. METHODS: We scanned 7 patients with migraine without aura (6 females and
1 male) in each of 3 situations: within 4 hours of headache onset, after headache
relief by sumatriptan injection (between the fourth and the sixth hour after
headache onset), and during an attack-free period. RESULTS: During the headache
we found not only significant activations in the midbrain and pons, but also in
the hypothalamus, all persisting after headache relief by sumatriptan.
CONCLUSION: Hypothalamic activity, long suspected by clinical and experimental
arguments as a possible trigger for migraine, is demonstrated for the first time
during spontaneous attacks.
PMID- 18052952
TI - Prevalence of vertigo, dizziness, and migrainous vertigo in patients with
migraine.
AB - OBJECTIVE: The aim of this study was to determine the lifetime prevalence of
vertigo and dizziness in patients with migraine as compared with controls and to
establish the lifetime prevalence of migrainous vertigo. BACKGROUND: Dizziness
and vertigo are relatively frequent complaints in general population; however,
the prevalence of migrainous vertigo has not been extensively studied so far.
METHODS: The study included 327 migraine patients and 324 controls who do not
suffer from frequent headaches. The study and control group were assessed
clinically and through diagnostic workup for having vertigo, dizziness,
hypotension, and sideropenic anemia. RESULTS: Vertigo or dizziness was
experienced by 51.7% of migraine patients (MVL group) and 31.5% in the control
group (CVL group), P < .0001. Among the MVL group, 23.2% of patients met the
criteria for migrainous vertigo. There was no difference between the MVL group
and CVL group in frequency of attacks or the pattern of symptom appearance in
relation to head movement. Patients in the MVL group more frequently had
hypotension, P = .011. Patients with migraine with aura significantly more often
had migraine attacks in association with vertigo or dizziness, P < .0001.
CONCLUSION: The lifetime prevalence of migrainous vertigo is relatively frequent
in migraine patients, especially in migraine with aura.
PMID- 18052953
TI - Insulin metabolism is altered in migraineurs: a new pathogenic mechanism for
migraine?
AB - BACKGROUND: Migraine is a complex biochemical dysfunction attributed to a
disorder of the trigeminal and hypothalamic pathways. Impairment of glucose
metabolism has been reported in migraine, but data are scanty and inconsistent.
OBJECTIVE: The main aim was to verify whether migraineurs have abnormalities of
the glucose and insulin metabolism. We also studied correlations between blood
glucose and insulin and between insulin levels and migraine severity. PATIENTS
AND METHODS: Patients with migraine or headache other than migraine, and healthy
volunteers were included. All had general blood tests and a standard oral glucose
tolerance test after a 12-hour fast, and glucose and insulin were measured.
RESULTS: Over a 6-month period, we recruited 84 migraineurs (73 women, 11 men),
25 patients with nonmigraine headache (20 women, 5 men), and 26 healthy controls
(24 women, 2 men). Multivariate analysis confirmed a significant difference
between groups for glucose levels (P < .0001), but no significant time
interaction. The differences were mostly between migraine and healthy controls (P
< .0001) and to a lesser extent between other headaches and healthy controls (P <
.05). A significant difference between groups was also found for insulin (P <
.0001), with a significant time interaction. The difference was confirmed for
migraine compared to other headaches (P < .0001) and healthy controls (P <
.0001). CONCLUSIONS: Blood glucose levels may be high in headache patients, but
do not seem to be specific to migraineurs. Insulin levels were higher in
migraineurs, and seemed specific to this group. These findings are in keeping
with recent reports on the effects of insulin on brain functions and lend support
to the possibility that insulin is involved in the pathogenesis of migraine.
PMID- 18052954
TI - Images from headache: external hydrocephalus due to cryptococcal meningitis.
PMID- 18052955
TI - Bilateral carotid artery dissection after dental work.
AB - Carotid artery dissection has been reported to occur spontaneously and after many
types of neck trauma. A case patient is presented who developed a right-sided
oculosympathetic palsy and was found to have bilateral carotid artery dissection
after she visited her dentist. To the best of our knowledge, this case represents
the first report of bilateral carotid dissection following dental work.
PMID- 18052956
TI - Giant cell arteritis with multiple cranial nerve palsy and reversible proptosis:
a case report.
AB - Giant cell arteritis (GCA) often presents with symptoms of headache, jaw
claudication, polymyalgia rheumatica, and blurred vision. GCA is relatively rare
and may have atypical manifestations in Asians, including multiple cranial nerve
palsy and reversible proptosis. A high suspicion of GCA is suggested when any
older Asian suffers from headache that is new-onset or different from the
previous pattern, even without other typical manifestations of GCA.
PMID- 18052957
TI - Diffusion MRI during migraine with aura attack associated with diagnostic
microbubbles injection in subjects with large PFO.
AB - The relationship between migraine with aura and patent foramen ovale (PFO) is
still debatable. We report 2 cases of migraine with aura attacks after diagnostic
microbubble injection for contrast transcranial Doppler (cTCD), in subjects with
large PFO. In one case MR images, including diffusion weighted imaging and
apparent diffusion coefficient, performed during aura did not show any signal
abnormality. Patients with migraine with aura may have an attack during cTCD,
probably by a "non-ischemic" mechanism.
PMID- 18052959
TI - Migraine pain--intracranial or extracranial?
PMID- 18052958
TI - Aquaporin 1 may be involved in the pathophysiology of migraine: a hypothesis.
PMID- 18052960
TI - PRO-513 for acute migraine treatment.
PMID- 18052961
TI - Teaching case: intractable posterior headache.
PMID- 18052963
TI - IL-21 modulates CD4+ CD25+ regulatory T-cell homeostasis in experimental
autoimmune encephalomyelitis.
AB - The homeostasis of CD4+ CD25+ regulatory T cells (Tregs) depends on the cytokine
interleukin (IL)-2. As IL-21 shares sequence homology with IL-2 and the IL-21
receptors contain a gamma-chain common to IL-2, we hypothesized that IL-21 could
also affect the homeostasis of Tregs. We tested this hypothesis in experimental
autoimmune encephalomyelitis (EAE), an animal model of relapsing-remitting human
multiple sclerosis. We show that blockade of IL-21 in SJL/J mice before and after
the induction of EAE enhances the influx of inflammatory cells into the central
nervous system (CNS). The blockade of IL-21 leads to proliferation of proteolipid
peptide (PLP(139-151))-autoreactive CD4+ T cells, which are capable to cause
severe EAE in adoptively transferred recipient mice. Conversely, Tregs from mice
where IL-21 was blocked, lose their capacity to prevent EAE induced PLP(139-151)
reactive T cells. Notably, direct effects of IL-21 on Tregs are confirmed by
studies of blockade of IL-21 in mice expressing a green fluorescent protein
'knocked' into a Foxp3 allele, in which a reduction of the number of Tregs and a
downregulation of their frequency and expression of Foxp3 are observed. These
data suggest a role of the IL-21/IL-21R axis in the homeostasis of Tregs in CNS
autoimmunity.
PMID- 18052964
TI - Effects of single administration of morphine on G-protein mRNA level in the
presence and absence of inflammation in the rat spinal cord.
AB - Antinociceptive potency of opioids is greater against various noxious stimuli in
animals with peripheral inflammation. Opioid agonists stimulate activation of G
protein-coupled receptor. Changes in the resting levels of G-protein subtypes
could have an effect on intracellular signalling pathways. The present study was
designed to investigate the effects of analgesic morphine treatment on the level
G-protein subunits mRNA in the presence and absence of inflammation. Our results
showed that the carrageenan administration increased G-protein subunits.
Administration of analgesic dose of morphine alone and in the presence of
inflammation induced different alterations in the levels of G-protein mRNA. Taken
together, the results obtained using real time RT-PCR suggested that G-protein
genes expression levels following the acute administration of morphine between
animals with and without inflammation could influence, at least in part,
analgesic responsiveness.
PMID- 18052965
TI - Expression of human TIM-1 and TIM-3 on lymphocytes from systemic lupus
erythematosus patients.
AB - The T-cell immunoglobulin- and mucin-domain-containing molecules (TIMs) comprise
a new family of cell surface molecules expressed on T cells. TIM-3 is expressed
on T helper type 1 (Th1) cells and implicated in the pathogenesis of Th1-driven
auto- and allo-immune diseases. TIM-1 is suggested to act as a co-stimulatory
molecule for all T cells, but with potentially stronger effects on Th2 than Th1
cells and is associated with Th2-related immune diseases. However, the TIM
molecules have not been investigated in the systemic lupus erythematosus (SLE).
In this study, we examined the expression of TIM-1 and TIM-3 on peripheral blood
mononuclear cells from SLE patients using quantitative real-time RT-PCR. An
increased TIM-1 expression was detected in SLE patients, which correlates with
interleukin-10 expression. We also found that there was a significant increase in
the expression of TIM-1 in SLE patients with quite active disease (SLE disease
activity index > 6), indicating that TIM-1 expression might be related to active
clinical phases. In contrast, TIM-3 expression remained normal in SLE patients
with low statistical power (34.89%). However, the expression of TIM-3 ligand,
galectin-9 increased in SLE patients indicating an enhanced engagement of TIM-3
with its ligand in SLE, which may result in a decreased regulatory T-cell
function as shown by the decreased expression of FoxP3 and TGF-beta1 in SLE.
These data suggest that TIM-1 and TIM-3/TIM-3L are involved in the pathogenesis
of SLE.
PMID- 18052966
TI - Circulating surfactant protein D is decreased in early rheumatoid arthritis: a 1
year prospective study.
AB - Innate immune system abnormalities, e.g., mannan-binding lectin (MBL) genotype
variants, have been demonstrated to modify the disease course of rheumatoid
arthritis (RA). Surfactant protein D (SP-D) shares important structural and
functional properties with MBL suggesting that SP-D may be an additional RA
disease modifier. The Met11Thr polymorphism in the N-terminal part of SP-D is an
important determinant for the SP-D serum level, but this polymorphism is also
essential to the function and assembly into oligomers. We aimed to compare the
serum levels of SP-D in a cohort of newly diagnosed untreated RA patients with
healthy matched controls, and to investigate if there was an association to core
measures of disease activity within the first year after disease onset. Secondly,
we aimed to investigate whether the Met11Thr polymorphism was associated with RA.
Serum SP-D was significantly lower in DMARD naive RA patients compared with
healthy controls (P = 0.016). Median SP-D concentration at inclusion was 878
ng/ml (95% CI: 730-1033) and 1164 ng/ml (95% CI: 1093-1366) in RA patients and
matched controls, respectively. SP-D increased during Methotrexate treatment (P <
0.0001), and at 1-year follow-up median SP-D was 1032 ng/ml (95% CI: 777-1255).
SP-D levels did not correlate with traditional disease activity measures. The
Thr11/Thr11 genotype and the Thr11 allele tended to be more frequent in RA
patients. In conclusion, the low serum level of SP-D and the lack of correlation
with traditional disease activity measures indicate that SP-D reflects a
distinctive aspect in the RA pathogenesis.
PMID- 18052967
TI - Expression and regulation of the CC-chemokine ligand 20 during human
tuberculosis.
AB - CC-chemokine ligand 20 (CCL20), a unique chemokine ligand of CC-chemokine
receptor 6 (CCR6), play roles in various pathologic conditions. However, the
characteristic expression profiles of CCL20 during human tuberculosis (TB) have
been largely unknown. The present study analyzed the production and regulatory
mechanisms of CCL20 in peripheral blood mononuclear cells (PBMC) and monocyte
derived macrophages (MDM) from active pulmonary TB patients and healthy controls
(HC). The 30-kDa antigen (Ag) of Mycobacterium tuberculosis actively induced the
production of CCL20 by human PBMC and MDM. A comparative analysis revealed that
the expression of CCL20 protein was prominently up-regulated in PBMC, MDM,
bronchoalveolar lavage fluids (not in sera) from TB patients compared with the
corresponding cells or body fluids from HC. Blockade of either tumour necrosis
factor-alpha or interferon-gamma, but not interleukin-10, significantly
attenuated the CCL20 production. In addition, recombinant CCL20 induced CCR6
expression by CD45RO+ T lymphocytes in a dose-dependent manner. Furthermore, the
expression of CCR6 was significantly increased in CD45RO+ T lymphocytes from TB
patients, as compared with those from HC. Pharmacological inhibition studies
showed that the 30-kDa Ag-induced CCL20 mRNA expression involves mitogen
activated protein kinases (MAPK; extracellular signal-regulated kinase 1/2 and
p38)- and NF-kappaB-dependent signalling. Collectively, the present study
demonstrated that TB patients show the up-regulated expression of CCL20, which is
modulated by proinflammatory cytokines, and through MAPK/NF-kappaB-mediated
transcriptional mechanisms. The findings suggest important implications of
potential roles of CCL20-CCR6 in immunopathogenesis of TB.
PMID- 18052968
TI - Enumeration of haemagglutinin-specific CD8+ T cells after influenza vaccination
using MHC class I peptide tetramers.
AB - With emergence of MHC class I tetramers loaded with CD8+ T-cell viral epitopes,
it is possible to study virus-specific CD8 cells in humans during infection and
after vaccination. MHC class I tetramers was used to detect the frequency of
haemagglutinin (HA)-specific T cells in 26 healthy influenza-vaccinated humans.
Peripheral blood was collected before, and 7, 14 and 28 days after vaccination.
Four-colour flow cytometry was used for monitoring of vaccine induced T-cell
response. In 15 donors, two- to fivefold increase in frequency of HA-specific T
cells was observed 7 days after vaccination. In addition, in 12 of these donors,
this increase was accompanied with fourfold increase of H1N1 antibody titre. The
increase in frequency of HA-specific CD8+/IFN-gamma+ cells was low and peaked 28
days after vaccination in three of the six donors tested. Frequencies of HA
specific CD8+ T cells and antibody titre returned to prevaccination values 1 year
after vaccination. Subunit influenza vaccines have the ability to induce HA
specific CD8+ cells. As the immune response to this vaccine decreased
significantly after 1 year, our results confirm the importance of annual
immunization for adequate protection.
PMID- 18052969
TI - Differences in intermale aggression are accompanied by opposite vasopressin
release patterns within the septum in rats bred for low and high anxiety.
AB - Several studies suggest a role for arginine vasopressin (AVP), particularly in
the lateral septum, in the regulation of intermale aggression. We used
intracerebral microdialysis to monitor the local in vivo AVP release within the
mediolateral septum of adult male Wistar rats bred for low (LAB) or high (HAB)
anxiety-related behaviour during exposure to the resident-intruder test. LAB
residents showed a significantly higher level of aggression than HAB residents,
as reflected by more time spent with lateral threat, offensive upright and total
aggressive behaviour as well as by more attacks and a shorter attack latency.
Septal AVP release was significantly decreased in high-aggressive LAB males,
while septal AVP release tended to increase in HAB males during resident-intruder
test exposure. Moreover, LAB residents showed reduced neuronal activation of the
lateral septum, as indicated by fewer c-Fos-positive cells, 1 h after the
resident-intruder test. Pharmacological manipulation of the septal AVP system by
local application of either synthetic AVP to LAB residents or the selective V1a
receptor antagonist d(CH(2))(5)Tyr(Me)AVP to HAB residents did not change the
level of aggression. However, application of AVP into the septum enhanced anxiety
related behaviour on the elevated plus-maze in LAB males, while local
administration of the V1a receptor antagonist reduced social investigation in HAB
males during the resident-intruder test. In conclusion, although AVP release
patterns within the septum are dependent on the level of aggression, locally
released AVP does not seem to be directly involved in the regulation of
aggression, but rather modulates non-aggressive social and anxiety-related
behaviours.
PMID- 18052970
TI - Brief treatment with the glucocorticoid receptor antagonist mifepristone
normalizes the reduction in neurogenesis after chronic stress.
AB - In rodents, stress suppresses adult neurogenesis. This is thought to involve
activation of glucocorticoid receptors in the brain. In the present study, we
therefore questioned whether glucocorticoid receptor blockade by mifepristone can
normalize the effects of chronic stress on adult neurogenesis. Rats received
mifepristone on the last 4 days of a 21-day chronic unpredictable and inescapable
stress regimen. Neurogenesis was analysed by stereological quantification of
adult-generated cell survival (bromodeoxyuridine), young neuronal survival
(doublecortin) and cell proliferation (Ki-67). The results show that only 4 days
of mifepristone treatment normalized the stress-induced reductions in
neurogenesis. Importantly, mifepristone by itself had no effect on neurogenesis.
We conclude that, contrary to other compounds interfering with the effects of
chronic stress on neurogenesis, like antidepressants, the normalizing effects of
mifepristone on neurogenesis are rapid and particularly potent in a high stress
environment. This neurogenic action of mifepristone could potentially contribute
to its clinical mechanism of action.
PMID- 18052971
TI - Complement activation contributes to leukocyte recruitment and neuropathic pain
following peripheral nerve injury in rats.
AB - Complement activation triggers inflammation and has been implicated in
neurological diseases associated with pain. However, the role of complement in
neuropathic pain has not been clearly defined. In this study, we tested whether
complement is activated by partial ligation of the rat sciatic nerve, a widely
used model of neuropathic pain, and whether complement activation or inhibition
in peripheral nerve influences leukocyte recruitment and neuropathic pain. We
found that C3 deposition significantly increased from 6 h to 7 days in the
injured nerve and was associated with the extent of thermal hyperalgesia and
mechanical allodynia. However, no deposition of the membrane attack complex was
detected. Complement activation by endoneurial injection of aggregated rat
immunoglobulin G into normal sciatic nerve produced significant thermal
hyperalgesia and mechanical allodynia of the ipsilateral hindpaw at 2-7 days
after injection. This was accompanied by increased deposition of C3 and
recruitment of macrophages at 7 days following injection. Complement inhibition
using systemic injections of soluble complement receptor 1 (AVANT
Immunotherapeutics, Inc., Needham, USA) into rats markedly suppressed C3
deposition and T-cell and macrophage recruitment to the injured nerve, and
produced significant alleviation of thermal hyperalgesia and mechanical
allodynia. These results demonstrate that C3 activation in the nerve contributes
to increased infiltration of inflammatory cells and to neuropathic pain behaviors
following peripheral nerve injury. Complement inhibition may be a potential
therapeutic treatment for neuropathic pain.
PMID- 18052972
TI - Two types of social buffering differentially mitigate conditioned fear responses.
AB - In a phenomenon known as 'social buffering' in various species, signals from a
conspecific animal can mitigate stress responses. This buffering can be achieved
either by 'pair-housing' after a stressful event or by 'pair-exposure' to an
acute stressor with a conspecific animal. In this study, we compared the impacts
of these two types of social buffering on auditory conditioned fear responses in
male rats. When subjects were exposed to an auditory conditioned stimulus (CS)
that had been paired with foot shocks on the previous day, they clearly exhibited
behavioral (freezing), autonomic (aggravated stress-induced hyperthermia) and
neural (Fos expression) responses. Pair-housing for 24 h with an unfamiliar rat
following fear conditioning resulted in a suppressed autonomic, but not
behavioral, response, with Fos expression in the lateral nucleus of the amygdala
and ventrolateral periaqueductal gray. On the other hand, pair-exposure to the CS
with an unfamiliar rat eliminated the behavioral, but not the autonomic,
response, with Fos expression in the basal nucleus of the amygdala and
infralimbic region of the prefrontal cortex. Furthermore, subjects that had been
pair-housed and then pair-exposed showed no behavioral, autonomic or neural
responses, suggesting that the combination of the two procedures can completely
block the fear conditioning sequence. These results demonstrate that two types of
social buffering differentially relieve conditioned fear responses, by
influencing different neural pathways in the amygdala.
PMID- 18052973
TI - Up-regulation of adenosine A1 receptors in frontal cortex from Pick's disease
cases.
AB - The adenosine A(1) receptor (A(1)R)-adenylyl cyclase (AC) pathway was studied in
post-mortem human frontal and occipital cortex from Pick's disease (PiD) cases
and age-matched nondemented controls. In frontal cortex, the main brain area
affected in PiD, A(1)Rs, determined by radioligand binding, Western blotting and
real-time PCR assays, were significantly increased in PiD samples, suggesting up
regulation of this receptor. AC activity was determined in basal and stimulated
conditions via stimulatory guanine nucleotide binding proteins (Gs) using GTP, or
directly with forskolin. Basal AC activity was reduced in brains from PiD cases.
This agrees with the decrease in AC type I (AC I) level detected by Western
blotting. However, inhibition of forskolin-stimulated AC activity by a selective
A(1)R agonist was significantly increased in brains from PiD. In occipital
cortex, adenosine A(1)R numbers were similar in control and PiD cases, and no
significant differences were found in A(1)R-mediated AC inhibition. These results
show that the adenosine A(1)R-AC transduction pathway is specifically up
regulated and sensitized in frontal cortex brain in PiD.
PMID- 18052974
TI - Short light-dark cycles affect sleep in mice.
AB - Environmental light has a strong impact on human physiology and behaviour,
including cognitive functioning and alertness. Previous studies have shown that
short light-dark (LD) cycles influence sleep in the albino rat. Rapid eye
movement (REM) sleep increases after the onset of darkness and increases after
light onset. In the present study, we investigated whether light affects sleep in
mice. To this purpose the electroencephalogram and electromyogram of nine adult
male C57BL/6 mice was recorded under 12 : 12 h baseline LD conditions, followed
by 24 h continuous darkness (DD) and 6 days with LD cycles of different durations
(2 h, 30 min, 14 min, 10 min, 4 min and 2 min), presented in a randomized order.
NREM sleep was evenly distributed over the light and dark intervals of all short
LD cycles. REM sleep, however, was increased during the dark intervals of short
(10-30 min) LD cycles. Analysis showed that in these LD cycles, the increment in
REM sleep was maximal in the second minute after dark onset, where the percentage
of epochs with REM sleep increased significantly to 175% of baseline values. This
increase was attributable to an increase in REM sleep episode duration. The
recorded responses show that sleep in mice is affected by photic stimulation. The
results demonstrate that pigmented animals can show REM sleep induction after
dark onset and indicate that light has significant effects on the regulation of
sleep.
PMID- 18052975
TI - Epileptiform synchronization in the rat insular and perirhinal cortices in vitro.
AB - The hippocampus plays a primary role in temporal lobe epilepsy, a common form of
partial epilepsy in adults. Recent studies, however, indicate that
extrahippocampal areas such as the perirhinal and insular cortices represent
important participants in this epileptic disorder. By employing field potential
recordings in the in vitro 4-aminopyridine model of temporal lobe epilepsy, we
have investigated here the contribution of glutamatergic and GABAergic signaling
to epileptiform activity in these structures. First, we provide evidence of
epileptiform synchronicity between the perirhinal and insular cortices, and
resolve some pharmacological and network mechanisms involved in sustaining the
interictal- and ictal-like discharges recorded there. Second, we report that in
the absence of ionotropic glutamatergic transmission, GABAergic networks produce
synchronous potentials that spread between the perirhinal and insular cortices.
Finally, we have established that such activity is modulated by activating micro
opioid receptors. Our findings support clinical and experimental evidence
concerning the involvement of the perirhinal and insular cortex networks in
temporal lobe epilepsy, and provide observations that may impact research
focussing on the role of the insular cortex in nociception.
PMID- 18052976
TI - Developmental changes in the BDNF-induced modulation of inhibitory synaptic
transmission in the Kolliker-Fuse nucleus of rat.
AB - The Kolliker-Fuse nucleus (KF), part of the pontine respiratory group, is
involved in the control of respiratory phase duration, and receives both
excitatory and inhibitory afferent input from various other brain regions. There
is evidence for developmental changes in the modulation of excitatory inputs to
the KF by the neurotrophin brain-derived neurotrophic factor (BDNF). In the
present study we investigated if BDNF exerts developmental effects on inhibitory
synaptic transmission in the KF. Recordings of inhibitory postsynaptic currents
(IPSCs) in KF neurons in a pontine slice preparation revealed general
developmental changes. Recording of spontaneous and evoked IPSCs (sIPSCs, eIPSCS)
revealed that neonatally the gamma-aminobutyric acid (GABA)ergic fraction of
IPSCs was predominant, while in later developmental stages glycinergic
neurotransmission significantly increased. Bath-application of BDNF significantly
reduced sIPSC frequency in all developmental stages, while BDNF-mediated
modulation on eIPSCs showed developmental differences. The eIPSCs mean amplitude
was uniformly and significantly reduced following BDNF application only in
neurons from rats younger than postnatal day 10. At later postnatal stages the
response pattern became heterogeneous, and both augmentations and reductions of
eIPSC amplitudes occurred. All BDNF effects on eIPSCs and sIPSCs were reversed
with the tyrosine kinase receptor-B inhibitor K252a. We conclude that
developmental changes in inhibitory neurotransmission, including the BDNF
mediated modulation of eIPSCs, relate to the postnatal maturation of the KF. The
changes in BDNF-mediated modulation of IPSCs in the KF may have strong
implications for developmental changes in synaptic plasticity and the adaptation
of the breathing pattern to afferent inputs.
PMID- 18052977
TI - Basolateral amygdala inactivation by muscimol, but not ERK/MAPK inhibition,
impairs the use of reward expectancies during working memory.
AB - Rats were trained on a delayed matching to position (DMTP) task that embedded
either a differential outcomes procedure (DOP) or a non-differential outcomes
procedure (NOP). The DOP, via Pavlovian conditioning (stimulus-outcome
associations), results in the use of unique reward expectancies that facilitate
learning and memory performance above subjects trained with a NOP that requires
subjects to retain cue information for accurate choice behavior (stimulus
response associations). This enhancement in learning and/or memory produced by
the DOP is called the differential outcomes effect (DOE). After being trained on
the DMTP task, rats were implanted with two cannulae aimed at the basolateral
amygdala (BLA) nuclei. Rats trained with the DOP, relative to those trained with
the NOP, displayed enhanced short-term memory (STM) performance under vehicle
conditions (i.e. the DOE). However, injections of the gamma-aminobutyric acid
(GABA)(A) agonist muscimol into the BLA dose-dependently (0.0625 and 0.125
microg) impaired STM performance only in DOP-trained rats. These results support
the role of the BLA in the use of established reward expectancies during a short
term working memory task. Despite the fact that extracellular signal-regulated
kinase/mitogen-activated protein kinases (ERK/MAPK) have been shown to be
necessary for amygdala-dependent long-term potentiation and some forms of long
term and STM, inhibition of the ERK/MAPK signaling cascade by U0126 (2.0 or 4.0
microg) in the BLA was not critical for updating the STM of either spatial
information or reward expectation.
PMID- 18052978
TI - Olfactory bulb hypoplasia in Prokr2 null mice stems from defective neuronal
progenitor migration and differentiation.
AB - New neurons are added on a daily basis to the olfactory bulb (OB) of a mammal,
and this phenomenon exists throughout its lifetime. These new cells are born in
the subventricular zone and migrate to the OB via the rostral migratory stream
(RMS). To examine the role of the prokineticin receptor 2 (Prokr2) in
neurogenesis, we created a Prokr2 null mouse, and report a decrease in the volume
of its OB and also a decrease in the number of bromodeoxyuridine (BrdU)-positive
cells. There is disrupted architecture of the OB, with the glomerular layer
containing terminal dUTP nick-end labeling (TUNEL) -positive nuclei and also a
decrease in tyrosine hydroxylase-positive neurons in this layer. In addition,
there are increased numbers of doublecortin-positive neuroblasts in the RMS and
increased PSA-NCAM (polysialylated form of the neural cell adhesion molecule)
positive neuronal progenitors around the olfactory ventricle, indicating their
detachment from homotypic chains is compromised. Finally, in support of this,
Prokr2-deficient cells expanded in vitro as neurospheres are incapable of
migrating towards a source of recombinant human prokineticin 2 (PROK2). Together,
these findings suggest an important role for Prokr2 in OB neurogenesis.
PMID- 18052979
TI - Influence of macrophages and lymphocytes on the survival and axon regeneration of
injured retinal ganglion cells in rats from different autoimmune backgrounds.
AB - The immune response after neural injury influences the survival and regenerative
capacity of neurons. In the primary visual pathway, previous studies have
described beneficial effects of macrophages and T-cells in promoting neural
survival and axonal regeneration in some rat strains. However, the contributions
of specific cell populations to these responses have been unclear. In adult
Fischer (F344) rats, we confirm prior reports that intravitreal macrophage
activation promotes the survival of retinal ganglion cells (RGCs) and greatly
enhances axonal regeneration through a peripheral nerve graft. Neonatal
thymectomy that results in elimination of T-cell production enhanced RGC survival
after axotomy, but diminished the effect of intravitreal macrophage activation on
axon regeneration. Thus, in F344 rats, lymphocytes appear to suppress RGC
survival but augment the pro-regenerative effects of macrophages. The cytotoxic
effect of lymphocytes on RGCs was confirmed in in vitro studies; coculture of
retinal explants with lymphocytes led to a 60% reduction in viable RGCs. Similar
in vivo results were obtained in Sprague Dawley rats. By comparison, in adult
Lewis rats, neither RGC survival nor axonal regeneration was increased after
intravitreal macrophage activation. Neonatal thymectomy had only a small
beneficial effect on RGC survival, and although Lewis lymphocytes reduced RGC
viability in culture, they did so to a lesser extent. Thus, in addition to a
complex role of lymphocytes, particularly T-cells, after central nervous system
injury, the present results demonstrate that the impact of macrophages is also
influenced by genetic background.
PMID- 18052980
TI - A potential role for calcium / calmodulin-dependent protein kinase-related
peptide in neuronal apoptosis: in vivo and in vitro evidence.
AB - Previously, we have established that a product of the doublecortin-like kinase
(DCLK) gene, DCLK-short, is cleaved by caspases during serum deprivation.
Subsequently, the N-terminal cleavage product of DCLK-short facilitates apoptosis
in the neuroblastoma cell line NG108. As this N-terminal cleavage product is
highly homologous to calcium/calmodulin-dependent protein kinase-related peptide
(CARP), another DCLK gene splice variant, we aimed to determine the possible
apoptotic properties of CARP in vivo and in vitro. We report highly specific CARP
expression in apoptotic granule cells in the rat dentate gyrus after
adrenalectomy relative to healthy granule cells. CARP is significantly
upregulated in the suprapyramidal blade of the dentate gyrus, with varying levels
of upregulation, depending on the extent of adrenalectomy-induced apoptosis.
Similar to the caspase-cleaved N-terminus of DCLK-short, CARP overexpression
itself facilitated apoptosis in serum-deprived NG108 cells. Furthermore, CARP
facilitated polymerization of tubulin in vitro and was capable of interacting
with growth factor receptor-bound protein 2, an intracellular protein involved in
vesicle trafficking. Together, our data demonstrate a facilitating role for CARP
in the apoptotic process in granule cell populations sensitive to adrenalectomy,
and suggest that this proapoptotic effect is mediated by increasing the stability
of the microtubule cytoskeleton.
PMID- 18052981
TI - Site-specific effects of tau phosphorylation on its microtubule assembly activity
and self-aggregation.
AB - Microtubule-associated protein tau is abnormally hyperphosphorylated and
aggregated into neurofibrillary tangles in brains with Alzheimer's disease. The
phosphorylation sites of tau are mainly localized in the proline-rich (residues
172-251) and C-terminal tail (residues 368-441) regions, which flank the
microtubule-binding repeats. Here, we investigated the effects of tau
phosphorylation at these distinct sites/regions on its activity of stimulating
microtubule assembly and its self-aggregation. We found that tau phosphorylation
at the proline-rich region by dual-specificity tyrosine-phosphorylated and
regulated kinase 1A inhibited its microtubule assembly activity moderately and
promoted its self-aggregation slightly. Tau phosphorylation at the C-terminal
tail region by glycogen synthase kinase-3beta increased its activity and promoted
its self-aggregation markedly. Tau phosphorylation at both regions plus the
microtubule-binding region by cAMP-dependent protein kinase diminished its
activity (approximately 70% inhibition) and disrupted microtubules. These studies
reveal the differential regulation of tau's biological activity and self
aggregation by phosphorylation at various sites/regions.
PMID- 18052982
TI - Target regulation of V2R expression and functional maturation in vomeronasal
sensory neurons in vitro.
AB - Vomeronasal receptors from the V1R and V2R gene families mediate the detection of
chemical stimuli such as pheromones via the vomeronasal organ (VNO). The
differential expression of vomeronasal receptors might contribute in part to a
variety of pheromonal effects, which are different sexually, developmentally and
even individually. However, little is known about the mechanisms controlling
vomeronasal receptor expression. Cultured vomeronasal sensory neurons (VSNs) bear
phenotypic resemblance to the intact VNO but they remain immature. Because
indices of VSN maturation are increased by coculture with the target cells for
VSNs, accessory olfactory bulb (AOB) neurons, AOB neurons may regulate
vomeronasal receptor expression and functional maturation in VSNs. To test this
hypothesis, we examined the expression of V2R-type vomeronasal receptors (VR1 and
VR4) and chemosensory responsiveness in VNOs cocultured with AOB neurons.
Immunoblot and immunocytochemical analysis revealed that the coculture of VNOs
with AOB neurons resulted in a greater expression of VR1 and VR4 after 10 days
than VNOs cultured alone. Moreover, calcium imaging analysis showed that
cocultured VNOs responded to urine components applied iontophoretically into
their cavities with a time course similar to the V2R expression, in contrast to
singly cultured VNOs that displayed no response. These results demonstrate that
AOB neurons induce the expression of vomeronasal receptors in VSNs, allowing them
to function.
PMID- 18052983
TI - Adenosine A1 receptor-mediated inhibition of dopamine release from rat striatal
slices is modulated by D1 dopamine receptors.
AB - Dopamine release is regulated by presynaptic dopamine receptors and interactions
between adenosine and dopamine receptors have been well documented. In the
present study, dopamine release from isolated striatal slices from Wistar rats
was measured using fast cyclic voltammetry. Single-pulse stimulation (0.1 ms, 10
V) was applied every 5 min over a 2-h period. Superfusion with the adenosine
(A)(1) receptor agonist N(6)-cyclopentyladenosine (CPA), but not the A(2)
receptor agonist 3-[4-[2-[[6-amino-9-[(2R,3R,4S,5S)-5-(ethylcarbamoyl)-3,4
dihydroxy-oxolan-2-yl]purin-2-yl]amino]ethyl] phenyl]propanoic acid (CGS 21680),
inhibited dopamine release in a concentration-dependent manner (IC(50) 3.80 x 10(
7) m; n = 10). The dose-response curve to CPA was shifted to the right (IC(50)
6.57 x 10(-6) m; n = 6, P < 0.05 vs. control) by the A(1) receptor antagonist 8
cyclopentyl-1,3-dipropylxanthine (DPCPX). Neither the D(1) agonist 6-chloro-APB
nor the D(1) antagonist R-(+)-8-chloro-2,3,4,5-tetrahydro-3-methyl-5-phenyl-1H-3-
benzazepine-7-ol (SCH 23390) altered dopamine release on their own. However, SCH
23390 (3 microm) significantly attenuated the response to CPA (IC(50) 1.44 x 10(
5) m; n = 6, P < 0.01 vs. control). Furthermore, the inhibitory effect of CPA was
significantly increased in the presence of 6-chloro-APB (1 microm). In
radioligand binding experiments, CPA interacted with high- and low-affinity
states of [(3)H]DPCPX-lableled A(1) receptors. The high-affinity agonist binding
to A(1) receptors was inhibited by the stable guanosine triphosphate analogue
Gpp(NH)p. In contrast, neither the proportion nor the affinity of high-affinity
A(1) receptors was altered by dopamine or SCH 23390. These results provide
evidence that the inhibition of dopamine release by adenosine A(1) receptors is
dependent, at least in part, on the simultaneous activation of D(1) dopamine
receptors. While the mechanism underlying this interaction remains to be
determined, it does not appear to involve an intramembrane interaction between
A(1) and D(1) receptors.
PMID- 18052984
TI - The transcriptional corepressor TPA-inducible sequence 7 regulates adult axon
growth through cellular retinoic acid binding protein II expression.
AB - TPA-inducible sequence 7 (TIS7) expression is regulated in epithelial cells and
acts as a transcriptional corepressor. Using a TIS7 knock-out mouse we
demonstrated that TIS7 is involved in the process of muscle regeneration. In this
study, we analysed the role of TIS7 in axon regeneration, applying primary
neurone cultures derived from adult dorsal root ganglia (DRGs) of TIS7+/+ and
TIS7-/- mice. TIS7-/- DRG neurones exhibited a significant decrease in axon
initiation and maximal axon extension. In contrast, nerve growth factor-induced
axon initiation and branching were significantly enhanced in cultures obtained
from TIS7-/- DRGs when compared with wildtype ganglia, suggesting an inhibitory
effect of TIS7 on nerve growth factor-stimulated axon growth. TIS7 overexpression
in TIS7-/- DRG neurones caused their morphological appearance to revert back to
the wildtype phenotype. Furthermore, the expression of cellular retinoic acid
binding protein II (CRABP II), previously identified by us as a TIS7 target gene,
was up-regulated in adult DRG sensory neurones from TIS7-/- mice. Overexpression
of CRABP II in TIS7+/+ neurones strongly increased the number of branch points,
making them morphologically similar to TIS7-/- neurones. Based on these results
we propose that TIS7 inhibits CRABP II expression during axonal regeneration,
thereby modulating retinoic acid signalling. Hence, neurite initiation and
branching are regulated by a negative feedback mechanism involving TIS7 and CRABP
II.
PMID- 18052985
TI - X chromosome-linked inhibitor of apoptosis protein reduces oxidative stress after
cerebral irradiation or hypoxia-ischemia through up-regulation of mitochondrial
antioxidants.
AB - We demonstrate that X chromosome-linked inhibitor of apoptosis protein (XIAP)
counteracts oxidative stress in two essentially different disease-related models
of brain injury, hypoxia-ischemia and irradiation, as judged by lower expression
of nitrotyrosine (5-fold) and 4-hydroxy-2-nonenal (10-fold) in XIAP
overexpressing compared with wild-type mice. XIAP overexpression induced up
regulation of at least three antioxidants residing in mitochondria, superoxide
dismutase 2, thioredoxin 2 and lysine oxoglutarate reductase. Cytochrome c
release from mitochondria was reduced in XIAP-overexpressing mice. Hence, in
addition to blocking caspases, XIAP can regulate reactive oxygen species in the
brain, at least partly through up-regulation of mitochondrial antioxidants. XIAP
induced prevention of oxidative stress was not secondary to tissue protection
because although XIAP overexpression provides tissue protection after hypoxia
ischemia, it does not prevent tissue loss after irradiation. This is a previously
unknown role of XIAP and may provide the basis for development of novel
protective strategies for both acute and chronic neurodegenerative diseases,
where oxidative stress is an integral component of the injury mechanisms
involved.
PMID- 18052986
TI - Monocular deprivation reduces reliability of visual cortical responses to
binocular disparity stimuli.
AB - While continuous monocular deprivation (MD) of patterned vision causes severe
loss of visual cortical responses and visual acuity in the affected eye, these
effects can be avoided by providing brief daily periods of binocular exposure
[BE; D.E. Mitchell et al. (2003) Curr. Biol., 8, 1179-1182; D.E. Mitchell et al.
(2006) Eur. J. Neurosci., 23, 2458-2466; D.S. Schwarzkopf et al. (2007) Eur. J.
Neurosci., 25, 270-280]. In order to analyse binocular mechanisms involved in
this phenomenon, we studied neuronal responses in primary visual cortex to
binocular disparity stimuli in cats that had experienced mixed daily visual
exposure (i.e. different amounts of daily binocular and monocular exposure). To
examine whether binocular responses are as reliable in MD as in normal animals,
we analysed single-trial responses to spatial phase disparity stimuli. In cats
with various amounts of daily binocular experience (3.5 h, 7 h or 12 h) alone,
about half of neurons (47.9%) showed reliable phase-specific binocular responses
in two consecutive trials. The percentage of phase-selective cells was reduced in
cats with mixed visual exposure with a decrease in the duration of daily BE.
Within these neurons, a 'stable' cell population, i.e. with identical relative
phases eliciting the strongest and weakest responses in two trials, was also
reduced. In other words, the responses of neurons recorded from deprived animals
were more likely to show different preferred phases on successive trials,
although their amplitude ratios in both trials were about equal. We suggest that
the detrimental effect of MD on binocular vision may begin, at least in part,
with a subtle disruption of the mechanism involved in discrimination of binocular
disparity signals.
PMID- 18052987
TI - Calpain-mediated cleavage of collapsin response mediator protein(CRMP)-2 during
neurite degeneration in mice.
AB - Axon or dendrite degeneration involves activation of the ubiquitin-proteasome
system, failure to maintain neuritic ATP levels, microtubule fragmentation and a
mitochondrial permeability transition that occur independently of the somal death
programs. To gain further insight into the neurite degeneration mechanims we have
compared two-dimensional gel electrophoresis patterns of neurite proteins from
suprior cervical ganglia during degeneration caused by nerve growth factor (NGF)
deprivation. We show here that collapsin response mediator protein (CRMP)-2 and
CMRP-4 protein patterns were altered during beading formation, an early hallmark
of neurite degeneration, prior to neurite fragmentation, the final stage of
degeneration. Western blotting using a monoclonal antibody against CRMP-2 shows
that the native form (64 kDa) was cleaved to generate a truncated form (58 kDa).
No cleavage of CRMP-2 or -4 occurred in NGF-deprived neurites from Wld(s)
(Wallerian degeneration slow) mutant mice in which neurite degeneration is
markedly delayed. Using different protease inhibitors, purified calpain 1 protein
and calpain 1-specific siRNA, we have demonstrated that CRMP-2 is a substrate for
calpain 1. Indeed, caplain activity was activated at an early phase of neuronal
degeneration in cerebellar granule neurons, and down-regulation of caplain 1
expression suppressed CRMP-2 cleavage. Furthermore, this cleavage occurred after
vinblastine treatment or in vitro Wallerian degeneration, suggesting that it
represents a common step in the process of dying neurites. CRMP-2 and -4 play a
pivotal role in axonal growth and transport, and the C-terminus region of CRMP-2
is essential for its binding to kinesin-1. Hence, this cleavage will render them
dysfunctional and subject to autophagic processing associated with beading
formation, as evidenced by the finding that the truncated form was localized in
the beadings.
PMID- 18052988
TI - Modulation of human corticomuscular beta-range coherence with low-level static
forces.
AB - Although corticomuscular synchronization in the beta range (15-30 Hz) was shown
to occur during weak steady-state contractions, an examination of low-level
forces around 10% of the maximum voluntary contraction (MVC) is still missing. We
addressed this question by investigating coherence between electroencephalogram
(EEG) and electromyogram (EMG) as well as cortical spectral power during a
visuomotor task. Eight healthy right-handed subjects compensated isometrically
static forces at a level of 4% and 16% of MVC with their right index finger.
While 4% MVC was accompanied by low coherence values in the middle to high beta
frequency range (25-30 Hz), a significant increase of coherence mainly confined
to low beta frequencies (19-20 Hz) was observed with force of 16% MVC.
Furthermore, this increase was associated with better performance, as reflected
in decreased relative error in force during 16% MVC. We additionally show that
periods of good motor performance within each condition were associated with
higher values of EEG-EMG coherence and spectral power. In conclusion, our results
suggest a role for beta-range corticomuscular coherence in effective sensorimotor
integration, thus stabilizing corticospinal communication.
PMID- 18052989
TI - Axonal projections of single auditory neurons in the thalamic reticular nucleus:
implications for tonotopy-related gating function and cross-modal modulation.
AB - Tonotopically comparable subfields of the primary auditory area (AI) and
nonprimary auditory areas (non-AI), i.e. posterodorsal area (PD) and ventral
auditory area (VA), in the rat cortex have similar topographies in the projection
to the ventral division of the medial geniculate nucleus (MGV), but reverse
topographies in the projection to the thalamic reticular nucleus (TRN). In this
study, we examined axonal projections of single auditory TRN cells, using
juxtacellular recording and labeling techniques, to determine features of TRN
projections and estimate how the TRN mediates corticofugal inhibition along with
the reverse topographies of cortical projections to the TRN. Auditory TRN cells
sent topographic projections to limited parts of the MGV in a manner that relays
cortical inputs from tonotopically comparable subfields of the AI and non-AI (PD
and VA) to different parts of the MGV. The results suggest that corticofugal
excitations from the AI and non-AI modulate thalamic cell activity in the same
part of the MGV, whereas corticofugal inhibitions via the TRN modulate cell
activity in different parts of the MGV with regard to tonotopic organization. The
AI and non-AI could serve distinctive gating functions for auditory attention
through the differential topography of inhibitory modulation. In addition, we
obtained an intriguing finding that a subset of auditory TRN cells projected to
the somatosensory but not to the auditory thalamic nuclei. There was also a cell
projecting to the MGV and somatosensory nuclei. These findings extend the
previously suggested possibility that TRN has a cross-modal as well as an
intramodal gating function in the thalamus.
PMID- 18052990
TI - CB1 receptor-mediated control of the release of endocannabinoids (as assessed by
microdialysis coupled with LC/MS) in the rat hypothalamus.
AB - In the present study, we examined the occurrence and potential regulation of
endocannabinoid release by cannabinoid CB1 receptors in the rat brain. To this
end, we developed a highly sensitive (limit of sensitivity 30-300 amol) new
analytical method, combining online brain microdialysis with solid-phase
extraction-liquid chromatography-tandem mass spectrometry, which allowed the
detection in real time of trace amounts of endocannabinoids in the extracellular
fluid. In the hypothalamus, anandamide and 2-arachidonoyl-glycerol release was
stimulated following depolarization via local administration of K(+), with or
without addition of Ca(2+), or glutamate application. Inhibition of fatty acid
amide hydrolase by systemic administration of intraperitoneal (i.p.) URB597 (0.5
mg/kg) induced an increase of anandamide, but not 2-arachidonoyl-glycerol,
outflow. The CB1 receptor antagonist rimonabant (10 mg/kg i.p.) increased,
whereas the CB1 agonist WIN55,212-2 (2.5 mg/kg i.p.) decreased, anandamide
release. Interestingly, the same treatments induced opposite changes in 2
arachidonoyl-glycerol release. At a dose of 3 mg/kg i.p., which by itself did not
affect endocannabinoid release, rimonabant fully antagonized the effect of
WIN55,212-2 (2.5 mg/kg i.p.). Taken together, these results suggest that CB1
receptors are able to control the local release of endocannabinoids in the
hypothalamus via a feedback mechanism and strengthen the view that anandamide and
2-arachidonoyl-glycerol have distinct physiological roles.
PMID- 18052991
TI - Skin conductance compared to a combined behavioural and physiological pain
measure in newborn infants.
AB - AIM: To assess the ability of galvanic skin response (GSR) to differentiate
between tactile and painful stimulation in newborn infants, and to compare this
with the ability of the premature infant pain profile (PIPP). METHODS: Thirty-two
healthy full-term infants undergoing routine blood sampling were recruited. In a
randomized order they were subjected to tactile and painful stimulation. The
three GSR variables conductance baseline level, number of waves per second and
mean amplitude of the waves were recorded together with the behavioural and
physiological variables of PIPP. RESULTS: The GSR variables number of waves and
amplitude of the waves increased more during painful stimulation than during
tactile stimulation, as did also the PIPP score. Receiver operating
characteristic curves analysis revealed no significant differences between the
studied methods. CONCLUSION: GSR can differentiate painful from tactile
stimulation, but more research is needed to achieve a clinically useful
application.
PMID- 18052992
TI - Can we reduce episodes of haemoglobin desaturation in full-term babies restrained
in car seats?
AB - OBJECTIVES: To determine whether episodes of haemoglobin oxygen (SpO2)
desaturation in full-term infants restrained in car seats can be reduced by a
simple foam plastic infant car seat insert designed to push the body forward,
with space for the protuberant occiput to lie behind the spine, and so reduce
flexion of the infant's head on the trunk. METHODS: Eighteen healthy full-term
babies were evaluated while restrained in an infant car safety seat with, and
without, the foam insert. Infants were monitored in each position for 30 min with
continuous polygraphic recording of respiratory and heart rate, nasal airflow and
SpO2. RESULTS: Placement of the insert in the car seat was associated with a
significant reduction in the rate of apneas with a fall in SpO2 >5% (median,
interquartile range: 4.4 (0, 10.6) vs. 9.2 (5.4, 15.2) events per hour, p=0.03).
The one clinically severe episode of apnea, with a fall in SpO2 of more than 30%,
occurred in the car seat without the insert. CONCLUSIONS: A car seat insert that
allows the newborn's head to lie in a neutral position during sleep may reduce
the frequency of mild episodes of reduced SpO2 in some full-term newborn babies.
PMID- 18052993
TI - Catecholaminergic polymorphic ventricular tachycardia in a child: a case report.
AB - Catecholaminergic polymorphic ventricular tachycardia (CPVT) is a rare
arrythmogenic disease characterized by exercise--or stress--induced ventricular
tachyarrythmias, syncope, or sudden death, usually in the pediatric age group.
Familial occurrence has been noted in about 30% of cases. Inheritance may be
autosomal dominant or recessive, usually with high penetrance. The causative
genes have been mapped to chromosome 1. Mutations of the cardiac ryanodine
receptor gene (RyR2) have been identified in autosomal dominant pedigrees, while
calsequestrin gene (CASQ2) mutations are seen in recessive cases. CONCLUSION: Due
to its potential lethal outcome, exclusion or confirmation of catecholaminergic
polymorphic ventricular tachycardia in children with physical and emotional
syncope is mandatory. We report a case of catecholaminergic polymorphic
ventricular tachycardia in a three-year-old child only diagnosed by genetic
mapping.
PMID- 18052994
TI - A visual pitfall: persistent Mullerian duct syndrome (PMDS).
AB - Persistent Mullerian Duct Syndrome (PMDS) is a rare disorder of the anti
mullerian hormone (AMH) synthesis or receptor, which due to the visual contrast
of normal masculine external genitalia and female internal genitalia can raise
confusion, sometimes during surgery for cryptorchidism or hernia inguinalis. For
an acute and accurate analysis of such a situation a thorough knowledge of
gonadal embryology is mandatory. The diagnosis is made on finding Mullerian
structures in an individual with complete virilization without signs of
hypocortisolism or exposition to maternal androgens during foetal life.
Karyotyping and gonadal biopsy provide additional information to confirm the
diagnosis. As the risk of malignant transformation is not clear, orchidopexy is
advised in patients with cryptorchidism, with lifelong palpatory follow-up. In
case of urologic symptoms, surgical removal of the Mullerian remnants can be
considered, with careful attention for the vulnerable ductus deferens. Despite
optimal treatment the prognosis regarding fertility remain uncertain.
PMID- 18052995
TI - Fathers' involvement and children's developmental outcomes: a systematic review
of longitudinal studies.
AB - OBJECTIVE: This systematic review aims to describe longitudinal evidence on the
effects of father involvement on children's developmental outcomes. METHODS:
Father involvement was conceptualized as accessibility (cohabitation),
engagement, responsibility or other complex measures of involvement. Both
biological fathers and father figures were included. We searched all major
databases from the first dates. Data on father involvement had to be generated at
least 1 year before measuring offspring outcomes. RESULTS: N = 24 publications
were included in the overview: 22 of these described positive effects of father
involvement, whereof 16 studies had controlled for SES and 11 concerned the study
population as a whole [five socio-economic status (SES)-controlled]. There is
certain evidence that cohabitation with the mother and her male partner is
associated with less externalising behavioural problems. Active and regular
engagement with the child predicts a range of positive outcomes, although no
specific form of engagement has been shown to yield better outcomes than another.
Father engagement seems to have differential effects on desirable outcomes by
reducing the frequency of behavioural problems in boys and psychological problems
in young women, and enhancing cognitive development, while decreasing delinquency
and economic disadvantage in low SES families. CONCLUSIONS: There is evidence to
support the positive influence of father engagement on offspring social,
behavioural and psychological outcomes. Although the literature only provides
sufficient basis for engagement (direct interaction with the child) as the
specific form of 'effective' father involvement, there is enough support to urge
both professionals and policy makers to improve circumstances for involved
fathering.
PMID- 18052996
TI - Risk factors for early sepsis in very low birth weight neonates with respiratory
distress syndrome.
AB - AIM: To identify maternal and neonatal factors that increase suspicion of early
sepsis in Very Low Birth Weight neonates with respiratory distress syndrome.
METHODS: The cohort included 282 neonates born at Soroka Medical Centre 1996
2000. Definitions of 'high' and 'low'-suspicion groups for early sepsis were
based on comparison between neonates with early sepsis and the remaining cohort.
Univariate analysis and logistic regression were used to compare between groups.
RESULTS: The incidence of early sepsis in the cohort was 1.8%, and 94% received
antibiotics following delivery. Comparing with the remaining cohort, the five
neonates with early sepsis had increased incidence of positive maternal cultures,
use of antenatal antibiotics, lower 1 min Apgar scores and tendency to
leucopenia. A 'low-suspicion' group comprised 38% of the cohort and did not
include any neonates with early sepsis. This group were more frequently treated
with antenatal steroids and delivered by Caesarean section compared to the 'high
suspicion' group, but otherwise there were no clinical and laboratory
differences. CONCLUSION: Although the incidence of early sepsis is low almost all
neonates received antibiotics. A 'low-suspicion' group was defined and the role
of antibiotic treatment in this group needs to be determined.
PMID- 18052997
TI - Erythropoietin inhibits cytokine production of neonatal and adult leukocytes.
AB - BACKGROUND: Erythropoietin (Epo) was originally defined as a hematopoietic growth
factor, but also has potent tissue-protective properties. The cytokine-modulating
actions of Epo have received scant attention. We hypothesized that Epo
significantly influences the in vitro cytokine production in both neonates and
adults. METHODS: The effects of Epo were investigated using a standardized in
vitro whole blood assay. Production of various cytokines was assessed by means of
intracellular cytokine detection (IL-2, -6, -8, IFN-gamma and TNF-alpha) in
preterm infants, term neonates and adults. Furthermore, synthesis of IL-4, -5 and
-10 in adults was investigated via cytometric bead array. RESULTS: Epo
significantly inhibits the production of various cytokines in preterm infants,
term neonates and adults. In CD3+ lymphocytes, Epo predominantly decreases the
number of IL-2-positive cells in all age groups. Similarly, in CD14+ cells, Epo
significantly diminishes the number of IL-6- and TNF-alpha-producing cells.
Furthermore, Epo significantly inhibits the synthesis of IL-4, IL-5 and IL-10 in
adults. CONCLUSION: rhEpo has significant inhibitory potential on the production
of various cytokines by leukocytes in preterm and term infants as well as in
adults. The described effect likely contributes to the tissue protective
properties of Epo.
PMID- 18052998
TI - Wheezy babies--wheezy adults? Review on long-term outcome until adulthood after
early childhood wheezing.
AB - Population-based birth cohort studies have documented that about 30% of children
suffer from wheezing during respiratory infection before their third birthday.
Recurrent wheezing is common in early childhood, but most patients outgrow their
symptoms by school age. However, recent long-term postbronchiolitis follow-up
studies from Sweden and Finland have revealed that asthma is present in about 40%
of young adults and over half of the cases are relapses after many symptom-free
years. In population studies, the principal predictors for later asthma have been
parental asthma, recurrent wheezing, atopy and eosinophilia. In the Swedish
postbronchiolitis study, atopic diathesis through the development of clinical
atopy, and early passive smoking through bronchial hyper-reactivity or later
active smoking led to adult asthma. The Finnish postbronchiolitis follow-up
stressed early recurrence of wheezing, wheezing induced by less invasive viruses
than respiratory syncytial virus (RSV), early-life atopy and eosinophilia and
parental asthma as predictors for adult asthma. CONCLUSION: The majority of
wheezing infants and children outgrow their symptoms by school age, but based on
recent long-term follow-up studies, asthma relapses are common in young adults.
These studies have highlighted parental asthma, maternal smoking and wheezing
induced by other viruses than RSV as predictive factors for later asthma.
PMID- 18053000
TI - Promotion of exclusive breastfeeding is not likely to be cost effective in West
Africa. A randomized intervention study from Guinea-Bissau.
AB - AIM: To evaluate the impact of promotion of exclusive breastfeeding on infant
health in Guinea-Bissau, West Africa, where mortality rates are high,
breastfeeding is widely practiced but exclusive breastfeeding is rare. METHOD: At
the Bandim Health Project in Guinea Bissau, West Africa, a birth cohort of 1721
infants were randomized to receive health education: promotion of exclusive
breastfeeding for the first 4-6 months of life according to WHO recommendations
at the time of the study. All children were followed from birth to 6 months of
age. RESULTS: Introduction of both water and weaning food was significantly
delayed in the intervention group. However we found no beneficial health effects
of the intervention; there was no reduction in mortality in the intervention
group compared with the control group (mortality rate ratio: 1.86 (0.79-4.39)),
weight at 4-6 months of age was significantly lower in the intervention group
(7.10 kg vs. 7.25 kg; Wilcoxon two-sample test: p=0.03). There was no difference
in diarrhoea morbidity and hospitalization rates. CONCLUSION: Although mothers
were sensitive to follow new breastfeeding recommendations, it had no beneficial
impact on infant health in this society with traditional, intensive
breastfeeding. There seems to be little reason to discourage local practices as
long as there are no strong data justifying such a change.
PMID- 18052999
TI - Maturation of oral feeding skills in preterm infants.
AB - AIM: Safe and successful oral feeding requires proper maturation of sucking,
swallowing and respiration. We hypothesized that oral feeding difficulties result
from different temporal development of the musculatures implicated in these
functions. METHODS: Sixteen medically stable preterm infants (26 to 29 weeks
gestation, GA) were recruited. Specific feeding skills were monitored as indirect
markers for the maturational process of oral feeding musculatures: rate of milk
intake (mL/min); percent milk leakage (lip seal); sucking stage, rate (#/s) and
suction/expression ratio; suction amplitude (mmHg), rate and slope (mmHg/s);
sucking/swallowing ratio; percent occurrence of swallows at specific phases of
respiration. Coefficients of variation (COV) were used as indices of functional
stability. Infants, born at 26/27- and 28/29-week GA, were at similar
postmenstrual ages (PMA) when taking 1-2 and 6-8 oral feedings per day. RESULTS:
Over time, feeding efficiency and several skills improved, some decreased and
others remained unchanged. Differences in COVs between the two GA groups
demonstrated that, despite similar oral feeding outcomes, maturation levels of
certain skills differed. CONCLUSIONS: Components of sucking, swallowing,
respiration and their coordinated activity matured at different times and rates.
Differences in functional stability of particular outcomes confirm that
maturation levels depend on infants' gestational rather than PMA.
PMID- 18053001
TI - Do parental ratings on cognition reflect neuropsychological outcome in congenital
heart disease?
AB - AIM: To describe the parental view of the cognitive skills of their child with a
surgically corrected congenital heart disease (CHD) and compare it to objectified
cognitive measures in children with CHD 6-12 years postoperatively. METHODS:
Parents completed a questionnaire on several cognitive functions of their child.
Children with CHD and healthy controls (n=86, aged 8 years 8 months +/- 1 year 6
months) underwent an abbreviated IQ-testing and a neurodevelopmental assessment.
RESULTS: Parents of the children with CHD more frequently indicated lower
sustained attention (p<0.05), lower divided attention (p<0.001), more problems
with memory and learning skills (p<0.05), and deficient gross motor functioning
(p<0.01) compared to the parents of healthy controls. Intellectual and
neuropsychological assessment revealed a lower estimated full-scale IQ (p<0.01),
worse sensorimotor functioning (p<0.001), and lower performances on language
(p<0.001), attention/executive functioning (p<0.05), and memory (p<0.05) in the
CHD-group. Several items of the questionnaire were significant predictors for
worse neurodevelopmental outcome. CONCLUSION: Overall, the objective and
subjective measures on cognitive functioning are in agreement and indicate the
presence of neurocognitive deficits in children with CHD. This study endorses the
accuracy and usefulness of a parental questionnaire to report on the cognitive
functioning of the child and urges the investigation of neurocognitive
functioning in children with CHD at follow-up.
PMID- 18053003
TI - Attaching effacing Escherichia coli and paradigms of Tir-triggered actin
polymerization: getting off the pedestal.
AB - Enteropathogenic and enterohaemorrhagic Escherichia coli (EPEC and EHEC) colonize
the gut mucosa via attaching and effacing (A/E) lesions. For years cultured cells
were used as model systems to study A/E lesion formation, which showed actin
accumulation under attached bacteria that can be raised above the plasma membrane
in a pedestal-shaped structure. Studies of prototypical strains revealed that
although both converge on N-WASP EPEC and EHEC O157:H7 use different actin
polymerization pathways. While EPEC use the Tir-Nck pathway, Tir(EHECO157)
cooperates with TccP/EspF(U) to activate N-WASP. However, recent in vitro studies
revealed a common EPEC and EHEC Tir-dependent and Nck-independent inefficient
actin polymerization pathway. Unexpectedly, bacterial populations studies
demonstrated that most non-O157 EHEC strains and EPEC lineage 2 strains can
utilize both the Nck and TccP2 pathways in vitro. Importantly, in vivo and ex
vivo mucosal infections have shown efficient A/E lesion formation independently
of Nck and TccP. This review covers the progression in our understanding of EPEC
and EHEC infection, through the different milestones obtained using cultured
cells, to the realization that EPEC and EHEC have much more in common than
previously appreciated and that mucosal attachment and microvillous effacement
may be the key events, rather than pedestal formation.
PMID- 18053002
TI - Prevention of traumatic headache, dizziness and fatigue with creatine
administration. A pilot study.
AB - AIM: The complex pathobiology of traumatic brain injury (TBI) offers numerous
targets for potential neuroprotective agents. We evaluate the clinical benefit
after creatine (Cr) administration in children and adolescents. METHODS: A
prospective, randomized, comparative, open- labelled pilot study of the possible
neuroprotective effect of Cr was carried out on 39 children and adolescents, aged
between 1 and 18 years of age, with TBI. The Cr was administered for 6 months, at
a dose of 0.4 g/kg in an oral suspension form every day. For categorical
variables, we used the Chi-square test to identify differences between controls
and cases. Statistical significance was defined as a p-value <0.05 and not
statistically significant if p-value >0.1. RESULTS: The administration of Cr to
children and adolescents with TBI improved results in several parameters,
including duration of post traumatic amnesia (PTA), duration of intubation,
intensive care unit stay. Significant improvement was recorded in the categories
of headache (p<0.001), dizziness (p=0.005) and fatigue (p<0.001), aspects in all
patients. No side effects were seen due to Cr administration. CONCLUSION: More
specific examinations including brain spectroscopy for in vivo evaluation of Cr
can be done, in order to draw conclusions for the optimal duration and manner of
Cr supply, as well as its possible role for the prevention of TBI complications,
in double blind studies.
PMID- 18053004
TI - The Pseudomonas aeruginosa type III secreted toxin ExoT is necessary and
sufficient to induce apoptosis in epithelial cells.
AB - Type III secreted (T3SS) effectors are important virulence factors in acute
infections caused by Pseudomonas aeruginosa. PA103, a well-studied human lung
isolate, encodes and secretes two effectors, ExoU and ExoT. ExoU is a potent
cytotoxin that causes necrotic cell death. In addition, PA103 can induce cell
death in macrophages in an ExoU-independent but T3SS-dependent manner. We now
demonstrate that ExoT is both necessary and sufficient to cause apoptosis in HeLa
cells and that it activates the mitochondrial/cytochrome c-dependent apoptotic
pathway. We further show that ExoT induction of cell death is primarily dependent
on its ADP ribosyltransferase domain activity. Our data also indicate that the
T3SS apparatus can cause necrotic cell death, which is effectively blocked by
ExoT, suggesting that P. aeruginosa may have evolved strategies to prevent T3SS
induced necrosis.
PMID- 18053005
TI - Gibberellins modulate light signaling pathways to prevent Arabidopsis seedling de
etiolation in darkness.
AB - In many plants, photomorphogenesis is the default developmental program after
seed germination, and provides the key features that allow adaptation to light.
This program is actively repressed if germination occurs in the absence of light,
through a mechanism dependent on the E3 ubiquitin ligase activity that is encoded
in Arabidopsis by COP1 (CONSTITUTIVE PHOTOMORPHOGENIC 1), which induces
proteolytic degradation of transcription factors necessary for light-regulated
development, such as HY5 (LONG HYPOCOTYL 5) and HYH (LONG HYPOCOTYL 5 HOMOLOG),
and stabilization of transcription factors that promote skotomorphogenesis, such
as PIF3 (PHYTOCHROME INTERACTING FACTOR 3). Seedlings deficient in gibberellin
(GA) synthesis or signaling display a de-etiolated phenotype when grown in
darkness, equivalent to the phenotype of cop1 mutants, which indicates that the
switch between photo- and skotomorphogenesis is also under hormonal control. Here
we provide evidence for the existence of crosstalk between GA and the COP1
mediated pathway, and identify HY5 and the PIF family as nodes of a regulatory
network. This interaction occurs through distinct molecular mechanisms, based on
the observation that GA signaling regulates protein stability of HY5, and the
activity of PIF3.
PMID- 18053006
TI - Application of carborundum abrasion for investigating the leaf epidermis:
molecular cloning of Catharanthus roseus 16-hydroxytabersonine-16-O
methyltransferase.
AB - The Madagascar periwinkle (Catharanthus roseus) produces the well-known and
remarkably complex anti-cancer dimeric alkaloids vinblastine and vincristine that
are derived from the coupling of vindoline and catharanthine monomers. This study
describes the novel application of a carborundum abrasion (CA) technique for
large-scale isolation of leaf epidermis-enriched proteins in order to purify to
apparent homogeneity 16-hydroxytabersonine-16-O-methyltransferase (16OMT), which
catalyses the second of six steps in the conversion of tabersonine into
vindoline, and to clone the gene. Functional expression and biochemical
characterization of recombinant 16OMT demonstrated its very narrow substrate
specificity and high affinity for 16-hydroxytabersonine. In addition to allowing
the cloning of this gene, the CA technique clearly showed that 16OMT is
predominantly expressed in Catharanthus leaf epidermis. The results provide
compelling evidence that most of the pathway for vindoline biosynthesis,
including the O-methylation of 16-hydroxytabersonine, occurs exclusively in the
leaf epidermis, with subsequent steps occurring in other leaf cell types.
PMID- 18053007
TI - Effect of short-term exposure to air pollution and pollen on medical emergency
calls: a case-crossover study in Spain.
AB - BACKGROUND: A symmetric case-crossover design was used to analyse the short-term
relationship between air pollution, pollen and emergency calls to medical
services. METHODS: This study covered patients who made medical emergency calls
in the City of Vigo (Spain) during the period 1996-1999. Morbidity data were
obtained from the records of the 061 Medical Emergency Control Center, in its
capacity as the body officially coordinating all medical emergencies by
telephone. Air pollution data were furnished by the Vigo Municipal Air Pollution
Surveillance Grid. Pollen levels were provided by the staff of the Spanish
Aerobiology Network in Vigo. RESULTS: A rise of 10 microg/m(3) in ambient
particulate levels led to the risk of medical emergency calls requesting
attention increasing by: (i) 1.97% [95% confidence interval (95% CI): 1.83-2.11%]
for circulatory causes on the same day; (ii) 1.95% (95% CI: 1.76-2.14%) for
respiratory causes at 2 days and (iii) 1.34% (95% CI: 1.23-1.45%) for combined
circulatory and respiratory causes on the same day. A number of pollens displayed
a statistically significant relationship with emergency calls. No interaction was
in evidence between pollens and air pollutants. CONCLUSIONS: While elevations in
particulate air pollution increase medical emergency calls because of cardiac or
respiratory causes or both combined, elevations in pollen levels increase medical
emergency calls because of respiratory causes.
PMID- 18053008
TI - Prevalence and cumulative incidence of food hypersensitivity in the first 3 years
of life.
AB - BACKGROUND: Prevalence and incidence of food hypersensitivity (FHS) and its
trends in early childhood are unclear. METHODS: A birth cohort born on the Isle
of Wight (UK) between 2001 and 2002 was followed-up prospectively. Children were
clinically examined and skin prick tested at set times and invited for food
challenges when indicated. RESULTS: Nine hundred and sixty-nine children were
recruited and 92.9%, 88.5% and 91.9% of them respectively were assessed at 1, 2
and 3 years of age. Prevalence of sensitization to foods was 2.2%, 3.8% and 4.5%
respectively at these ages. Cumulatively, 5.3% [95% confidence interval (CI): 3.9
7.1] children were sensitized to a food. Using open food challenge and a good
clinical history, the cumulative incidence of FHS was 6.0% (58/969, 95% CI: 4.6
7.7). Based on double-blinded, placebo-controlled, food challenge (DBPCFC) and a
good clinical history, the cumulative incidence was 5.0% (48/969, 95% CI: 3.7
6.5). There is no evidence to suggest that the incidence of FHS has increased,
comparing these results with previous studies. Overall, 33.7% of parents reported
a food-related problem and of these, 16.1% were diagnosed with FHS by open
challenge and history and 12.9% by DBPCFC and history. Main foods implicated were
milk, egg and peanut. CONCLUSIONS: By the age of 3 years, 5-6% of children suffer
from FHS based on food challenges and a good clinical history. There were large
discrepancies between reported and diagnosed FHS. Comparing our data with a study
performed in the USA more than 20 years ago, there were no significant
differences in the cumulative incidence of FHS.
PMID- 18053009
TI - SCF and IL-31 rather than IL-17 and BAFF are potential indicators in patients
with allergic asthma.
AB - BACKGROUND: Although the prevalence of allergic asthma increased quickly in the
past decade, the diagnostic criteria have not been well established. The aim of
the present study was to explore whether stem cell factor (SCF), B cell
activating factor (BAFF), and cytokines interleukin (IL)-17 and IL-31 are usable
parameters for the diagnosis of allergic asthmatics. METHODS: Blood samples were
collected from patients with allergic asthma, control patients, and healthy
control subjects. The serum concentrations of SCF, BAFF, IL-17, and IL-31 were
measured by enzyme-linked immunosorbent assay. The corresponding mRNA levels in
peripheral blood mononuclear cells (PBMCs) were determined by real-time reverse
transcription polymerase chain reaction. RESULTS: A good correlation existed
between protein levels of SCF and IL-31 and their mRNA levels (SCF: r = 0.6162;
IL-31: r = 0.5463). The serum concentrations of SCF and IL-31 in allergic
asthmatic patients, but not control patients, were significantly higher than
those in normal control subjects (SCF: median 1.83 vs 0.85 ng/ml, P < 0.01; IL
31: 50.15 vs 10.01 pg/ml, P < 0.001). Consistently, the levels of SCF and IL-31
mRNAs in allergic asthmatic patients' PBMCs were also significantly higher than
those in normal control subjects (P = 0.002 and P < 0.001, respectively).
CONCLUSIONS: These findings suggest that allergic asthma is characterized by an
elevation of cytokines SCF and IL-31 and the measurement of their expression at
either protein level in serum or mRNA level in PBMCs will be a valuable parameter
for the diagnosis of allergic asthma.
PMID- 18053010
TI - Evaluation of cytokine mRNA in induced sputum from patients with allergic
rhinitis: relationship to airway hyperresponsiveness.
AB - BACKGROUND: Although airway hyperresponsiveness (AHR) is a characteristic feature
of asthma, it is also frequently present in allergic rhinitis (AR). However, the
pathogenesis of AHR is unclear and the roles of cytokines in the airway have not
been well established in AR. We sought to compare cytokine mRNA levels in the
sputum of AR patients with or without AHR and those of asthma patients, and to
evaluate whether differences in cytokine levels are associated with the
development of an abnormal airway response and the absence of respiratory
symptoms in AR patients with AHR. METHODS: Airway cells were obtained by sputum
induction from 18 AR patients with AHR, 58 AR patients without AHR, and 27 asthma
patients. Airway cell cytokine levels, interleukin (IL) -4, IL-5, IL-13, vascular
endothelial growth factor (VEGF), and interferon-gamma (IFN-gamma), were studied
at the mRNA level by RT-PCR. RESULTS: Vascular endothelial growth factor and IL-5
mRNA levels were significantly higher in AR patients with AHR than in AR patients
without AHR, but these were lower than those of asthmatic patients. Eosinophils
were significantly higher in AR patients with AHR and in asthmatic patients than
in AR patients without AHR. Interleukin-4, IL-13, and IFN-gamma levels were not
elevated in AR patients with or without AHR vs asthma patients. CONCLUSIONS:
These findings suggest that VEGF and IL-5 can be important determinants of the
development of AHR in AR patients and that lower levels of other cytokines may be
associated with the absence of asthmatic symptoms in AR patients with AHR.
PMID- 18053011
TI - Sinonasal pathology in nonallergic asthma and COPD: 'united airway disease'
beyond the scope of allergy.
AB - BACKGROUND: In contrast to the epidemiological and clinical association between
allergic rhinitis and asthma, upper airway inflammation is less characterized in
patients with nonatopic asthma and virtually unexplored in chronic obstructive
pulmonary disease (COPD). Here, sinonasal pathology is studied in patients with
allergic asthma, nonallergic asthma and COPD. METHODS: Ninety patients with
stable bronchial disease were included in the study, of which 35 were diagnosed
with allergic asthma, 24 with nonallergic asthma and 31 with COPD. Concurrently,
61 control subjects without pulmonary disease were included and matched for age
and smoking habits respectively with the asthma and the COPD group. Sinonasal
symptoms were evaluated on a visual analogue scale and rhinosinusitis-related
impairment of quality of life was assessed with the sino-nasal outcome test-22
(SNOT-22) questionnaire. Nasal mucosal abnormalities were quantified with nasal
endoscopy and nasal secretions collected for measuring inflammatory mediators.
RESULTS: Allergic asthmatics, nonallergic asthmatics and COPD patients reported
more nasal symptoms than their respective control subjects, had a higher SNOT-22
score and presented more mucosal abnormalities in the nose. Nasal secretions of
both allergic and nonallergic asthmatics contained higher levels of eotaxin, G
CSF, IFN-gamma and MCP-1 than controls. Allergic asthmatics had higher nasal IP
10 levels as well. COPD-patients had higher nasal levels of eotaxin, G-CSF and
IFN-gamma than controls. CONCLUSION: Patients with allergic and nonallergic
asthma and COPD show increased nasal symptoms and more nasal inflammation. Hence,
our data confirm the 'united airways' concept to be beyond the scope of allergic
asthma.
PMID- 18053012
TI - Consensus statements, evidence-based medicine and guidelines in allergic
diseases.
PMID- 18053013
TI - Diagnosis and treatment of asthma in childhood: a PRACTALL consensus report.
AB - Asthma is the leading chronic disease among children in most industrialized
countries. However, the evidence base on specific aspects of pediatric asthma,
including therapeutic strategies, is limited and no recent international
guidelines have focused exclusively on pediatric asthma. As a result, the
European Academy of Allergy and Clinical Immunology and the American Academy of
Allergy, Asthma and Immunology nominated expert teams to find a consensus to
serve as a guideline for clinical practice in Europe as well as in North America.
This consensus report recommends strategies that include pharmacological
treatment, allergen and trigger avoidance and asthma education. The report is
part of the PRACTALL initiative, which is endorsed by both academies.
PMID- 18053014
TI - Practical allergy (PRACTALL) report: risk assessment in anaphylaxis.
AB - Effector mechanisms in anaphylaxis were reviewed. Current approaches to
confirmation of the clinical diagnosis were discussed. Improved methods for
distinguishing between allergen sensitization (which is common in the general
population) and clinical risk of anaphylaxis (which is uncommon) were
deliberated. Innovative techniques that will improve risk assessment in
anaphylaxis in the future were described.
PMID- 18053015
TI - Methodology for development of the Allergic Rhinitis and its Impact on Asthma
guideline 2008 update.
AB - BACKGROUND: We describe the methodology for the 2008 update of the Allergic
Rhinitis and its Impact on Asthma (ARIA) guidelines. The methodology differs from
the 2001 edition in several respects. The most prominent change is the
application of the Grading of Recommendations Assessment, Development and
Evaluation (GRADE) approach to compiling evidence, assessing the quality of
evidence and grading of recommendations. METHODS AND RESULTS: Representatives of
the GRADE working group joined the ARIA guideline panel to achieve these tasks.
While most recommendations result from existing systematic reviews, systematic
reviews were not always available and the panel compiled the best available
evidence in evidence profiles without conducting actual reviews. The panel
conducted two meetings and used the GRADE criteria to assess the quality of
evidence (four categories of high, moderate, low and very low) and the strength
of recommendation (strong and weak) based on weighing up the desirable and
undesirable effects of management strategies, considering values and preferences
influencing recommendations, and resource implications. The guideline panel has
chosen the words 'we recommend'--for strong recommendations and 'we suggest'--for
weak recommendations. Both categories indicate the best course of action for a
given patient population, but their implementation, requires different
considerations as we describe subsequently in this article. CONCLUSIONS: The 2008
update of the ARIA guidelines has become more evidence-based. Future iterations
of the guidelines will further be improved by following the described processes
even closer, such as ensuring availability of updated high quality systematic
reviews for each question.
PMID- 18053016
TI - The functional insufficiency of human CD4+CD25 high T-regulatory cells in
allergic asthma is subjected to TNF-alpha modulation.
AB - BACKGROUND: Natural CD4(+)CD25(high)Foxp3(+) regulatory T (nTreg) cells are
important in maintaining immunologic tolerance, but their role in the
pathogenesis of allergic asthma is unclear. We studied the function of nTreg
cells in allergic asthmatic children and assessed the factors which may relate to
the functional insufficiency of nTreg cells. METHODS: The percentage of
CD4(+)CD25(high) Treg cells, the expression of Foxp3, and the cell-induced
suppressive activity of nTreg cells isolated from nonatopic controls, allergic
asthmatics, and allergen-specific immunotherapy (AIT)-treated asthmatic patients
were studied. RESULTS: Although the percentage of nTreg in peripheral blood
mononuclear cells was increased, the expression of Foxp3 and its cell-induced
suppressive activity were significantly lower in Dermatophagoides pteronyssinus
(Der p)-sensitive asthmatic children when compared to nonatopic controls. In
contrast, the expression of Foxp3 and the functional activity of nTreg cells were
reversed in allergic asthmatics who received AIT. The addition of recombinant
tumor necrosis factor (TNF)-alpha directly downregulated Foxp3 expression and
abrogated the cell-induced suppressive function of Treg cells. The anti-TNF-alpha
reagent, etanercept, restored the functional activity and Foxp3 expression of
CD4(+)CD25(high) Treg derived from allergic asthmatics. CONCLUSIONS: The
functional insufficiency of nTreg cells in patients with allergic asthma may be
related to the enhanced production of TNF-alpha and its effect on the Foxp3
expression. These results may explain, in part, the effectiveness of anti-TNF
alpha therapy in the treatment of allergic asthma.
PMID- 18053017
TI - Predictivity of allergic sensitization (RAST) for the onset of allergic diseases
in adults.
AB - BACKGROUND: Specific IgE antibodies are often detected without any clinical
manifestation of allergies. We aimed to analyse the predictivity of allergic
sensitization for incident symptoms of allergic diseases in adults during a 10
year follow-up. METHODS: In 1994/95 specific IgE antibodies against five common
inhalant allergens (grass pollen, birch pollen, house dust mite, cat dander and
Cladosporium) were diagnosed by radioallergosorbent test in 4178 adults aged 25
74 years. A subset of 2656 participants could be re-evaluated in 2004/05.
Information on socio-economic factors and medical history, including data on
atopic diseases, was assessed by a combination of a personal interview and a self
administered questionnaire. Logistic regression models were applied to study
associations between allergic sensitization and incident allergic diseases.
RESULTS: Allergic sensitization was an important predictor for incident hay fever
(OR 7.95, CI 95% 4.64-13.62) and asthma (OR 1.82, CI 95% 1.29-2.57). Specific IgE
antibodies were mainly related to outdoor allergens (grass and birch pollen) for
hay fever and indoor allergens (mite and cat dander) for asthma, while for atopic
dermatitis no specific IgE antibodies were identified as major predictors.
CONCLUSIONS: Allergic sensitization not only covers clinically apparent
allergies, but indicates a prognostic factor for later allergies, even in
adulthood.
PMID- 18053018
TI - Asthma, body mass, gender, and Hispanic national origin among 517 preschool
children in New York City.
AB - BACKGROUND: Striking differences in asthma prevalence have been reported among
Hispanic adults and children living in different cities of the USA. Prevalence is
highest among those of Puerto Rican and lowest among those of Mexican origin. We
hypothesized that body size would mediate this association. METHODS: Parents of
children in New York City Head Start programs completed a questionnaire including
demographic factors, health history, a detailed history of respiratory
conditions, lifestyle, and home environment. Children's height and weight were
measured in home visits. Logistic regression was used to model the association of
asthma with body mass index percentile (<85th percentile, gender/age specific
vs>or=85th percentile, gender/age specific), national origin, and other factors.
RESULTS: Of 517 children at mean age of 4.0 +/- 0.6 years, 34% met the study
criteria for asthma, and 43% were above the 85th percentile. Asthma was strongly
associated with non-Mexican national origin, male gender, allergy symptoms, and
maternal asthma, and marginally with body size. The odds of asthma among boys of
non-Mexican origin was 5.9 times that among boys of Mexican origin [95%
confidence interval (CI): 2.9-12.2]; the comparable odds ratio (OR) among girls
was 1.8 (95% CI: 0.9-3.6). Body mass was associated with asthma among girls [OR =
2.0 (95% CI: 1.1-3.7)], but not boys [OR = 1.4 (95% CI: 0.8-2.6)]. CONCLUSIONS:
The association of asthma with both body mass and national origin was gender
specific among the children in our study. Ours is one of the first studies to
report on pediatric asthma in different Hispanic populations in the same city, by
gender.
PMID- 18053019
TI - Indacaterol provides sustained 24 h bronchodilation on once-daily dosing in
asthma: a 7-day dose-ranging study.
AB - BACKGROUND: Indacaterol is a novel, once-daily beta(2)-agonist in development for
the treatment of asthma and chronic obstructive pulmonary disease. Studies were
required to determine optimal dose(s) for continuing investigation. OBJECTIVE: A
dose-ranging study was undertaken to evaluate efficacy and safety of indacaterol.
METHODS: A total of 436 patients with persistent asthma receiving inhaled
corticosteroids were randomized to 7 days treatment with once-daily indacaterol
50, 100, 200, or 400 microg via multi-dose dry-powder inhaler (MDDPI;
Certihaler), indacaterol 400 microg via single-dose dry-powder inhaler (SDDPI),
or placebo. Serial 24-h spirometry was performed on days 1 and 7. Vital signs,
laboratory evaluations, and adverse events were monitored. RESULTS: All doses of
indacaterol increased the mean time-standardized area under the curve of forced
expiratory volume in 1 s (FEV(1)) from 22 to 24 h postdose (P or=15%
reversibility in FEV(1) after salbutamol. All subjects completed the ACQ,
recording FEV(1) and asthma symptoms (night awakening, morning symptoms,
dyspnoea, wheeze, activity limitation and use of reliever inhaler). RESULTS:
Compared with the never-smokers, smokers with asthma had significantly worse
median (IQR) total asthma control score [1.6 (1.1-2.3) vs 2.8 (1.7-3.4); (P <
0.0001)] and in each of the six individual symptom question scores (P < 0.001),
but no difference in FEV(1) levels (P = 0.908). CONCLUSION: Asthma control is
significantly worse in asthmatics who smoke compared with never-smokers, with all
symptoms related to asthma control uniformly worse in smokers, independent of
FEV(1).
PMID- 18053023
TI - Author's reply on: 'Obtaining concomitant control of allergic rhinitis and asthma
with a nasally inhaled steroid'.
PMID- 18053024
TI - Injection site reactions to TNF-alpha blocking agents with positive skin tests.
PMID- 18053025
TI - Mast cell activation after adenosine inhalation challenge in patients with
bronchial asthma.
PMID- 18053026
TI - The dorsal motor nucleus of the vagus is not an obligatory trigger site of
Parkinson's disease: a critical analysis of alpha-synuclein staging.
AB - AIMS: It has been proposed that alpha-synuclein (alpha Syn) pathology in
Parkinson's disease (PD) spreads in a predictable caudo-rostral way with the
earliest changes seen in the dorsal motor nucleus of the vagus nerve (DMV).
However, the reliability of this stereotypical spread of alpha Syn pathology has
been questioned. In addition, the comparative occurrence of alpha Syn pathology
in the spinal cord and brain has not been closely studied. METHODS: In order to
address these issues, we have examined 71 cases of PD from the UK Parkinson's
Disease Society Tissue Bank at Imperial College, London. The incidence and
topographic distribution of alpha Syn pathology in several brain regions and the
spinal cord were assessed. RESULTS: The most affected regions were the substantia
nigra (SN; in 100% of cases) followed by the Nucleus Basalis of Meynert (NBM) in
98.5%. Fifty-three per cent of cases showed a distribution pattern of alpha Syn
compatible with a caudo-rostral spread of alpha Syn through the PD brain.
However, 47% of the cases did not fit the predicted spread of alpha Syn pathology
and in 7% the DMV was not affected even though alpha Syn inclusions were found in
SN and cortical regions. We also observed a high incidence of alpha Syn in the
spinal cord with concomitant affection of the DMV and in a few cases in the
absence of DMV involvement. CONCLUSIONS: Our results demonstrate a predominant
involvement of the SN and NBM in PD but do not support the existence of a
medullary induction site of alpha Syn pathology in all PD brains.
PMID- 18053027
TI - Low level of microsatellite instability in paediatric malignant astrocytomas.
AB - AIM: Microsatellite instability (MSI) has been proposed as a possible mechanism
in the development of cancer. The aim of the current study was to determine
whether MSI is involved in the pathogenesis of paediatric malignant astrocytomas.
METHODS: We screened a cohort of 126 high-grade astrocytoma samples for MSI using
a sensitive and precise method of DNA analysis including a panel of five
mononucleotide repeats, in combination with immunohistochemistry for DNA mismatch
repair (MMR) proteins. RESULTS: We identified low level of MSI (MSI-L) in four of
126 (3.2%) paediatric malignant astrocytic tumours. To analyse the molecular
profile associated with MSI-L positive tumours, we performed immunohistochemistry
for protein expression of hMSH6 and p53 as well as mutational analysis of the K
ras gene. In MSI-L paediatric malignant astrocytic tumours we detected retained
nuclear expression of hMSH6 protein and strong nuclear accumulation of p53
protein indicating possible mutations of TP53. There was no correlation between K
ras mutational status and frequency of MSI in this patient population.
CONCLUSION: Our results suggest that the MSI-L phenotype is associated with p53
accumulation and/or mutations. However, this represents only a small subgroup of
paediatric gliomas with possible distinct biological features, and the
deficiencies of DNA MMR genes do not play a main role in the tumourigenesis of
the majority of paediatric malignant astrocytomas.
PMID- 18053029
TI - Subacute intoxication of a deltamethrin-based preparation (Butox) 5% EC) in
monosex Nile tilapia, Oreochromis niloticus L.
AB - The monosex Nile tilapia, Oreochromis niloticus L., was exposed to subacute
concentration (1.46 microg/l) of a pyrethroid insecticide, deltamethrin for 28
consecutive days. Behavioural, clinical, haematological, serum biochemical and
histopathological consequences were assayed at a regular interval of 7 days. The
abnormal behavioural responses and toxic symptoms were described. Exposure to
deltamethrin not only significantly decreased lymphocyte and basophile
percentages, total leucocytic and total erythrocytic counts, haemoglobin
percentage and packed cell volume value, but also caused serious effects in the
form of hypoproteinaemia, hypoalbuminaemia, hypercholesterolaemia, hyperglycaemia
and significantly increased serum aspartate aminotransferase, alanine
aminotransferase and alkaline phosphatase activities. Moreover, the
histopathological results indicated that the haemobiotic organs were affected by
deltamethrin, primarily liver and gills. Our data suggest that subacute exposure
to deltamethrin exerts a serious metabolic distress on the fish corresponding to
the exposure period. In addition, the assayed parameters and histopathological
findings can be as good biomarkers of pyrethroid ecosystem pollution.
PMID- 18053028
TI - Spatiotemporal patterns of postsynaptic density (PSD)-95 expression after rat
spinal cord injury.
AB - AIMS: Postsynaptic density (PSD)-95 is a scaffolding protein linking the N-methyl
D-aspartate receptor with neuronal nitric oxide synthase (nNOS), which
contributes to many physiological and pathological actions. We here investigated
whether PSD-95 was involved in the secondary response following spinal cord
injury (SCI). METHODS: Spinal cord contusion (SCC) and spinal cord transection
(SCT) models at thoracic (T) segment 9 (T(9)) were established in adults rats.
Real-time polymerase chain reaction, Western blot, immunohistochemistry and
immunofluorescence were used to detect the temporal profile and spatial
distribution of PSD-95 after SCI. The association between PSD-95 and nNOS in the
injured cords was also assessed by coimmmunoprecipation and double
immunofluorescent staining. RESULTS: The mRNA and protein for PSD-95 expression
were significantly increased at 2 h or 8 h, and then gradually declined to the
baseline level, ultimately up-regulated again from 5 days to 7 days for its mRNA
level and at 7 days or 14 days for its protein level after either SCC or SCT. PSD
95 immunoreactivity was found in neurones, oligodendrocytes and synaptic puncta
of spinal cord tissues within 5 mm from the lesion site. Importantly, injury
induced expression of PSD-95 was colabelled by active caspase-3 (apoptotic
marker), Tau-1 (the marker for pathological oligodendrocytes) and nNOS.
CONCLUSIONS: Accompanied by the spatio-temporal changes for PSD-95 expression,
the association between PSD-95 and nNOS undergoes substantial alteration after
SCI. These two molecules are likely to form a complex on apoptotic neurones and
pathological oligodendrocytes, which may in turn be involved in the secondary
response after SCI.
PMID- 18053030
TI - The hyaluronic acid push technique for the nasojugal groove.
PMID- 18053031
TI - Late-onset, recurrent facial nodules associated with injection of poly-L-lactic
acid.
PMID- 18053032
TI - Adverse effects of Q-switched laser treatment of tattoos.
PMID- 18053033
TI - Treatment of hidradenitis suppurativa with a nonablative radiofrequency device.
PMID- 18053034
TI - Shave and phenolization of periungual fibromas, Koenen's tumors, in a patient
with tuberous sclerosis.
PMID- 18053035
TI - A case of animal-type melanoma (or pigmented epithelioid melanocytoma?): an open
prognosis.
PMID- 18053036
TI - Primary giant cell tumor of soft tissue mimicking a vascular neoplasm.
PMID- 18053037
TI - Occurrence of a basal cell carcinoma and dermatofibroma in a smallpox vaccination
scar.
PMID- 18053038
TI - Mounting the debulk specimen with the first layer: a time-saver in the Mohs unit.
PMID- 18053039
TI - A moldable dressing for facial skin grafting: polysiloxane.
PMID- 18053040
TI - Accurate and anatomic midface filler injection by using cheek implants as an
injection template.
PMID- 18053041
TI - The use of a 5-microm filter hub increases foam stability when using the double
syringe technique.
PMID- 18053045
TI - Long-term results of nail brace application in diabetic patients with ingrown
nails.
AB - BACKGROUND: Ingrown toe nail is a common foot problem; however, there are limited
data concerning the treatment options for diabetic patients. OBJECTIVE: Because
of the special attention given to avoidance of infection and ulceration of the
foot in diabetics, we applied a new, simple nail device as a treatment option
without any systemic treatment or surgical intervention. METHODS AND MATERIALS:
We applied braces to 21 diabetic patients with ingrown toe nails. All had severe
pain, erythema, and edema without suppuration or granulation tissue formation.
Braces were applied until all the symptoms are cleared. We followed the patients
for 2 years for the recurrence of symptoms and signs. RESULTS: All patients had
immediate relief of symptoms once the brace was applied. After the dislocation of
braces, 15 of 21 patients did not have any recurrences for 2 years. Six patients
had recurrence of pain and ingrown nail and were willing to use the brace once
more instead of having any operations. CONCLUSION: Nail brace application is a
safe, simple, and inexpensive treatment option for diabetic patients with ingrown
toe nails. Although there may be recurrences, patients are willing to use it for
a second time as it is simple and pain free.
PMID- 18053046
TI - Surgical treatment of ingrown toenail without matricectomy.
AB - BACKGROUND: Partial excision of the nail matrix (matricectomy) is generally
considered necessary in the surgical treatment of ingrown toenail. Recurrences
may occur, however, and poor cosmetic results are frequently observed. OBJECTIVE:
The objective is to present a new surgical procedure for ingrown toenail with
complete preservation of the nail matrix. METHODS: Twenty-three patients with
ingrown toenail were included in this study. The surgical excision was performed
1 week after the completion of treatment of the initial infection. A large volume
of soft tissue surrounding the nail plate was removed under local anesthesia. No
matrix excision was performed. RESULTS: Short-term results were excellent. No
recurrences or severe complications were observed during the minimum 12-months
follow-up period. Cosmetic results were remarkable. CONCLUSIONS: Ingrown toenail
results from the compression of the lateral nail folds on the nail plate. This
study shows that ingrown toenail can be surgically treated without matricectomy.
A large volume of soft tissue surrounding the nail plate should be removed to
decompress the nail and reduce inflammation. Cosmetic results are excellent and
superior to the classical Emmert plasty. Postoperative nail dystrophies and
spicule formation are not observed. The main advantage of this surgical approach
is the complete preservation of the anatomy and function of the nail to improve
both therapeutic and cosmetic results.
PMID- 18053047
TI - Fractional photothermolysis for photoaging of hands.
AB - BACKGROUND: Laser treatment for photoaging of the hands should ideally address
pigmentary alteration as well as associated skin roughness and wrinkling.
Fractional resurfacing has been previously shown to effectively treat facial
rhytids and dyschromia. OBJECTIVE: We examined the effect of fractional
resurfacing for photoaging of the hands. METHODS AND MATERIALS: Ten patients
(skin phototypes II to IV) with hand photodamage were randomized to receive five
treatments with a 1,550-nm diode-pumped erbium fiber laser (Fraxel SR, Reliant
Technologies) laser on either the right or left hand. Treatments were performed
at settings of 8 to 9 mJ/microscopic treatment zone and density of 2,500
microscopic treatment zones/cm2. Subjective assessments by the patients and
investigator were performed for skin roughness, wrinkling, and pigmentation using
a 5-point scale. Skin biopsies were taken at baseline and at 1 and 3 months.
RESULTS: Patient subjective assessment and physician clinical assessment at 1 and
3 months revealed a mean 51% to 75% improvement in skin pigmentation and 25% to
50% improvement in skin roughness and wrinkling. Biopsies of the skin showed
increased density of dermal collagen. Patients experienced transient erythema and
edema and none had scarring or other adverse effects. LIMITATIONS: This was a
small study. CONCLUSION: Fractional resurfacing appears to be an effective and
safe treatment modality for correcting both the pigmentary and the textural
aspects of photoaging of the hand.
PMID- 18053048
TI - Pulsed dye laser treatment is effective in the treatment of recalcitrant viral
warts.
AB - BACKGROUND: Verrucae vulgaris can be removed in a variety of ways but a specific
therapy of choice has not yet been developed. Doctors are faced with a challenge,
especially in the treatment of recalcitrant warts. It has been suggested that the
success of pulsed dye laser treatment lies in the fact that warts contain an
increased number of dilated blood vessels. METHODS: Seventy-three patients (42
female, 31 male) with verrucae vulgaris on their hands or feet (1:1.5) were given
a maximum of 12 treatments with a flashlamp-pumped pulsed dye laser every 2 weeks
over a period of 24 weeks until complete clearance had been achieved. A laser
energy density of 8 to 12 J/cm2 with a spot size of 5 mm and a pulse duration of
450 microsec were used. The minimum follow-up period was 6 months. RESULTS: A
total of 15.1% patients achieved complete clearance after 1 session and 47.9%
after 2 to 5 sessions, resulting in a remission of 63.0% patients after a maximum
of 5 treatment sessions. A remission of 23.3% patients was seen after 6 to 9
treatments and a total of 89.0% of patients showed remission after a maximum of
10 sessions. Only three patients (4.1%) failed and five patients (6.9%) stopped
the treatment on account of pain/noncompliance. Only one patient, from a group of
patients treated between January 2003 and April 2004, has relapsed. CONCLUSION:
Pulsed dye laser treatment is effective and safe in the treatment of recalcitrant
viral warts.
PMID- 18053049
TI - Phosphatidylcholine and sodium deoxycholate in the treatment of localized fat: a
double-blind, randomized study.
AB - BACKGROUND: Recent articles have introduced the novel concept of chemical
lipolysis through local injections. Phosphatidylcholine is the active drug in the
commercial preparation used for this purpose, but some studies have suggested
that sodium deoxycholate, an excipient of the preparation, could be the real
active substance. AIM: We decided to investigate whether phosphatidylcholine and
sodium deoxycholate have any clinical efficacy in chemical lipolysis and their
respective roles. We also studied the safety and side effects of the treatments.
MATERIALS AND METHODS: Thirty-seven consecutive female patients were studied for
the treatment of localized fat in gynoid lipodystrophy. Each patient received
injections of a phosphatidylcholine/sodium deoxycholate preparation on one side
and sodium deoxycholate on the contralateral side, each single patient being
herself the control. Four treatments were carried out every 8 weeks in a double
blind, randomized fashion. Metric circumferential evaluations and photographic
and ultrasonographic measurements throughout the study allowed for final
judgment. A statistical evaluation concluded our study. RESULTS: An overall
reduction of local fat was obtained in 91.9% of the patients without
statistically significant differences between the treated sides. Reduction values
on the phosphatidylcholine/sodium deoxycholate-treated sides are in the order of
6.46% metrically and 36.87% ultrasonographically, whereas on the deoxycholate
treated sides they are in the order of 6.77% metrically and 36.06%
ultrasonographically. Both treatments, at the dose used in the study, proved safe
in the short term. The most common side effects were local and few, but were more
pronounced on the deoxycholate-treated sides. No laboratory test was carried out.
CONCLUSION: Both treatments have shown moderate and equivalent efficacy in
treating localized fat, with sodium deoxycholate having a slower postoperative
resolution, suggesting that sodium deoxycholate could be sufficient by itself to
determine fat cell destruction and that phosphatidylcholine could be useful for
obtaining a later emulsification of the fat.
PMID- 18053050
TI - A randomized pilot study comparing the action halos of two commercial
preparations of botulinum toxin type A.
AB - BACKGROUND: The determination of the action halos of botulinum toxin type A aids
in targeting specific muscles and/or sweat glands, thereby preventing the
occurrence of side effects. OBJECTIVES: The objective of this study was to
compare the action halos of two commercial preparations of botulinum toxin type
A, Dysport (Ipsen, Slough, UK) and BOTOX (Allergan, Irvine, CA). MATERIAL AND
METHODS: Eighteen volunteers received applications of both products into
randomized sides of the frontalis muscle. Equivalent doses of 5 U of Dysport and
2 U of BOTOX were injected using the same technique, in the same volume (0.02
mL), and at a controlled depth. Twenty-eight days later, clinical and
photographic assessments were performed. RESULTS: All the areas around the
injected points were regular, round, or slightly oval and showed similar effects
in the muscles and sweat glands. No statistically significant differences were
observed between the mean sizes of the diameters of the halos produced by the two
products. CONCLUSION: Injections of Dysport and BOTOX at an equivalence ratio of
2.5:1 U, respectively, applied at the same volume and depth, using the same
technique resulted in similar action halos with regard to muscular and sweat
gland activity. Both products seem to be safe and very predictable.
PMID- 18053051
TI - Comparison of alpha- and beta-hydroxy acid chemical peels in the treatment of
mild to moderately severe facial acne vulgaris.
AB - BACKGROUND: Chemical peels are used as adjuvants for treatment of facial acne. No
well-controlled studies have compared alpha- and beta-hydroxy acid peels in the
treatment of mild to moderately severe facial acne. OBJECTIVE: To compare the
efficacy of alpha- and beta-hydroxy acid chemical peels in the treatment of mild
to moderately severe facial acne vulgaris. MATERIALS AND METHODS: Twenty patients
were recruited in this split-face, double-blind, randomized, controlled study. An
alpha-hydroxy acid (30% glycolic acid) was applied to one-half of the face and a
beta-hydroxy acid peel (30% salicylic acid) was applied contralaterally every 2
weeks for a total of six treatments. A blinded evaluator performed quantitative
assessment of papules and pustules. RESULTS: Both chemical peels were
significantly effective by the second treatment (p<.05) and there were no
significant differences in effectiveness between the two peels. At 2 months
posttreatment, the salicylic acid peel had sustained effectiveness. More adverse
events were reported with the glycolic acid peel after the initial treatment.
CONCLUSION: The glycolic acid and salicylic acid peels were similarly effective.
The salicylic acid peel had sustained effectiveness and fewer side effects. Alpha
and beta-hydroxy acid peels both offer successful adjunctive treatment of facial
acne vulgaris.
PMID- 18053052
TI - Tie-over dressings in full-thickness skin grafts.
AB - BACKGROUND: A full-thickness skin graft is often performed by tie-over dressing
to compress and immobilize it. OBJECTIVE: The aim of this study was to compare
the results of a full-thickness skin graft with tie-over dressing performed with
the classic technique and with simple dressing. METHODS AND MATERIALS: This was a
prospective study in which 25 patients had tulle gras jelly tie-over dressing and
25 patients had a simple tulle gras dressing after full-thickness skin graft for
nipple areola reconstruction. RESULTS: Graft success on the fifth day was
evaluated at 100% for patients with a simple dressing and at 99% for those with
tie-over dressing. CONCLUSIONS: In this study, simple dressing appeared to be at
least as effective as the tie-over dressing. Simple dressing, however, was faster
and left fewer residual marks than the tie-over dressing.
PMID- 18053053
TI - The evaluation of relevant factors influencing skin graft changes in color over
time.
AB - BACKGROUND: Objective studies evaluating the relevant factors that affect skin
graft changes in color over time have yet to be published. OBJECTIVE: Therefore,
the patterns of the grafted skin's color changes and the presence of relevant
factors were analyzed statistically. MATERIALS AND METHODS: The study included
107 skin grafts in 107 subjects. Using a chromameter, the colors of skin graft,
the area adjacent to the recipient site, and the donor site were measured. The
grafted skin's color changes and the color difference were analyzed with respect
to several factors. RESULTS: Over time, the grafted skin became lighter, redness
decreased, yellowness increased, and the color difference decreased. As the donor
site was lighter, the grafted skin was lighter and less red. The grafted skin was
lighter in females than in males. The skin graft type was not related to the
lightness and the redness. The grafted skin was lightest in the upper arm and
darkest in the lower leg. The grafted skin was lighter in Fitzpatrick Skin Type
III, followed by Type IV and then Type V. CONCLUSION: The factors that affected
the skin graft's lightness were time, the lightness of the donor, sex, the hand,
the foot, and Fitzpatrick skin type.
PMID- 18053054
TI - Is levofloxacin necessary to prevent postoperative infections of auricular second
intention wounds?
AB - BACKGROUND: Surgeons may prescribe oral quinolones after auricular procedures to
prevent postoperative infections, especially those caused by Pseudomonas
aeruginosa. OBJECTIVE: This study compares the efficacy of levofloxacin and local
wound care to local wound care alone in preventing postoperative infection of
auricular second-intention wounds. MATERIALS AND METHODS: This study was a
prospective, randomized trial of 84 consecutive patients (82 in the final
analysis) who underwent Mohs micrographic surgery for an auricular neoplasm and
had a wound left to heal by second intention. After surgery, patients were
randomly assigned to receive either local wound care or local wound care with
concurrent 500 mg of levofloxacin by mouth daily. RESULTS: Overall, 85.4% of
patients had no complications. Complications included 12.2% of patients with
inflammatory chondritis and 2.4% of patients with infection. No infections with
P. aeruginosa were observed. No statistical significance was observed between the
two treatment groups. CONCLUSION: Levofloxacin is not necessary to prevent
postoperative infections of auricular second-intention wounds after Mohs surgery.
PMID- 18053055
TI - Rapid preparation of high-quality frozen sections using a membrane and vacuum
system embedding machine.
AB - BACKGROUND: A wide variety of embedding techniques have been employed to process
frozen sections for Mohs micrographic surgery. Prospective data comparing
different techniques are lacking. OBJECTIVE: The purpose of this study was to
compare tissue processing times and slide quality using the three embedding
techniques. METHODS: Seventy-five consecutive Mohs surgery tissue specimens,
measuring 1 cm in diameter, were prospectively randomized to processing with the
CryoHist, the Cryocup, or the Miami Special. Tissue preparation times were
recorded, and slide quality was evaluated. Tissue specimen preparation was
standardized to exclude the use of relaxing incisions or other tissue
manipulations. In a separate evaluation, slide quality was retrospectively
evaluated for 50 large specimens (>2.5 cm) processed with the CryoHist machine.
RESULTS: The mean tissue processing time was 11.4 minutes using the CryoHist,
12.9 minutes using the Cryocup, and 12.6 minutes using the Miami Special. Slide
quality, using epidermal edge as a primary end point, was superior with the
CryoHist compared to the other methods. For large (>2.5 cm) en bloc Mohs
specimens processed using the CryoHist, the slide quality was excellent with
92.3% of epidermal edge obtained. CONCLUSIONS: The fully automated CryoHist
embedding machine enables high-quality frozen sections to be processed in less
time than the Cryocup or the Miami Special. Slide quality is excellent, even for
larger specimens.
PMID- 18053056
TI - Longitudinal diminution of tumor size for basal cell carcinoma suggests shifting
referral patterns for Mohs surgery.
AB - BACKGROUND: The Mohs technique for removal of cutaneous malignancies offers
tissue-sparing benefits compared with other treatment methods. With wider
acceptance and availability of Mohs surgery, referral patterns may be shifting
toward the treatment of smaller, lower-risk tumors. OBJECTIVE: The objective was
to examine whether referral patterns for basal cell carcinoma (BCC) at an
academic Mohs surgery practice have shifted over recent years toward referral for
smaller, lower risk tumors. METHODS: A retrospective longitudinal comparison of
tumor characteristics was performed for BCCs treated at our institution from a
recent year (2004) and a past year (1996). Statistical analyses were used to
identify differences in tumor size, distribution by anatomic site, and primary
versus recurrent status. RESULTS: Complete data were available for 603 BCCs
treated in 1996 and 1,514 BCCs treated in 2004. A 24% decrease in preoperative
tumor surface area was observed from 1996 (1.25 cm2) to 2004 (0.95 cm2). Tumors
were twice as likely to be recurrent in 1996 (15.1%) than in 2004 (7.4%). There
were no significant differences in the anatomic distribution of lesions in the
years compared. CONCLUSIONS: Findings at our institution suggest that in recent
years, referral patterns have shifted toward a preference for Mohs surgery for
the treatment of smaller, primary BCCs. This may be a result of increased
awareness by the dermatologic and medical community of the numerous advantages of
Mohs surgery and a greater appreciation of its tissue-sparing properties, which
may result in less complex and more successful aesthetic reconstructions.
PMID- 18053057
TI - Infiltrative basal cell carcinomas presenting as actinic keratosis: implications
for clinical practice.
PMID- 18053058
TI - What specialties perform the most common outpatient cosmetic procedures in the
United States?
AB - BACKGROUND: The number of cosmetic procedures performed annually is on the rise
and is being performed by more specialties. PURPOSE: We sought to determine the
relative outpatient cosmetic procedure experience of dermatology and other
specialties. We also examined demographic data of patients who underwent cosmetic
procedures. METHODS: Demographics and data from the National Ambulatory Medical
Care Survey (NAMCS) were analyzed to estimate the number of visits for office
based cosmetic procedures from 1995 to 2003 by specialty and type of procedure.
RESULTS: In order of decreasing frequency, the percentage of all cosmetic
procedures performed in the outpatient setting by specialty was as follows:
dermatology (48%), plastic surgery (38%), general surgery (>4%), otolaryngology
(>3%), ophthalmology (>3%), facial plastic surgery (1%), family practice (<1%),
pediatrics (<1%), and internal medicine (<1%). Most cosmetic procedures were
performed on white, female patients in the 40- to 59-year-old age group. There
was a mean of 55 visits per 1,000 whites and 27 visits per 1,000 nonwhites.
Chemical peels and soft tissue fillers were the two most common procedures.
CONCLUSIONS: Dermatology as a specialty performs more office-based cosmetic
procedures than other specialties. On a per-physician basis, dermatologists and
plastic surgeons have far more experience with cosmetic procedures than other
physicians.
PMID- 18053059
TI - Quality of master impressions and related materials for fabrication of complete
dentures in the UK.
AB - The aim of this study was to examine the quality of master impressions and
related materials for fabricating complete dentures in the UK. One hundred and
fifty pre-piloted questionnaires were distributed to a number of dental
laboratories in the UK with large catchment areas. Information requested related
to the quality and choice of techniques for the master impression stage of
fabricating complete dentures, as well as prescription of materials for occlusal
registration, amongst others. All information was recorded anonymously. One
hundred and forty-four completed questionnaires were returned. All items were
provided on a private basis. Eighty-three per cent (n=119) of master impressions
were made using a custom tray, the remainder were made using a plastic stock
tray. The most commonly used impression material was zinc oxide-eugenol (42%,
n=60), followed by polyvinylsiloxane (39%, n=56) and irreversible hydrocolloid
(19%, n=28). Master casts were poured after a minimum of 4 days. Eleven per cent
of impressions examined (n=16) were judged to have errors. It was reported that
64% of master impressions (n=92) examined had been disinfected adequately. While
this study revealed evidence of good clinical practice, particularly in relation
to the selection of impression trays and quality of master impressions for
complete dentures, there were some areas of concern, particularly in relation to
the disinfection of the impressions examined. Dental practitioners should aim to
provide their patients with high-quality prostheses by observing best clinical
practice at all times.
PMID- 18053060
TI - Automatic regulation of occlusal force because of hardness-change of the bite
object.
AB - It is considered that the information of chewed food, such as size and texture,
is important for smooth mastication. In this study, we analysed aspects of the
control of occlusal force, by experimentally reproducing situations in which the
hardness of food changed unpredictably during mastication, using a device that
utilized a 3-sectioned urethane rubber piece with different hardness values.
Seven healthy subjects were instructed to perform repetitive jaw open-close
movements paced by a metronome (1.0 Hz) and to maintain constant occlusal force
throughout the trial. Using the device, the following parameters were measured
during the first to fifth strokes after changing the hardness, peak value,
impulse, duration and time to peak of occlusal force in the waveform of occlusal
force, cycle time of open-close jaw movements, jaw gape and maximum speed in the
closing phase in the waveform of the jaw movements. Each parameter value was
statistically analysed by anova with Fisher's least significant difference method
(P < 0.05). Peak occlusal force, impulse and jaw gape were significantly affected
by the change in hardness, while an increase in hardness caused increases in the
values for peak occlusal force and impulse against the instruction, after which
those values remained constant while the subjects occluded the same level of
hardness. Our results indicate that the level of the resulting occlusal force is
regulated automatically according to the hardness of the chewed food. We
concluded that occlusal force was adapted for efficient mastication when the
hardness of foods changes unpredictably.
PMID- 18053061
TI - Zero tolerance of abuse of people with intellectual disabilities: implications
for nursing.
AB - AIMS: This paper explores the concept of 'zero tolerance' to abuse in the context
of the professional responsibilities of all nurses. The workability of zero
tolerance will be considered in light of findings of a study into abuse.
BACKGROUND: Registered nurses are led to believe that zero tolerance of abuse of
patients is the only philosophy consistent with protecting the public. However,
the approach of zero tolerance is not without its difficulties. Staff,
particularly registered nurses, who come into contact with people with
intellectual disabilities have a professional responsibility to prevent and
report all forms of abuse. DESIGN: This study used a multiphase, multimethod
approach (literature review, survey and focus groups). METHODS: The results
reported here relate to the focus group stage of the study. There were 70
participants in the focus group who worked either as direct care staff with
people with intellectual disabilities or as investigators of abuse. RESULTS:
Analysis of recurrent themes indicated that both individual (e.g. a positive
value base) and systemic factors (e.g. environment) seemed to have an impact on
how staff will respond to abuse. CONCLUSIONS: This study found that a 'hierarchy
of abuse' existed among staff who worked with people with intellectual
disabilities. Individual staff members seemed to be 'weighing up' what they
perceived as serious abuse before reporting areas of concern. This is in contrast
to a philosophy of zero tolerance which registered nurses have to adhere to.
RELEVANCE TO CLINICAL PRACTICE: There needs to be a consistent approach to abuse
and nurses need to have appropriate training in which to gain confidence in their
role in preventing, identifying and responding to abuse of patients or clients.
PMID- 18053062
TI - The emerging role of epidermal growth factor receptor inhibitors in ovarian
cancer.
AB - Epidermal growth factor receptor (EGFR) inhibitors are a new biologically
targeted therapy, which may offer new hope in the treatment of patients with
advanced or recurrent ovarian cancers. In this review, we summarize and discuss
the results of research to date on EGFR inhibitors with particular emphasis on
ovarian cancer. We reviewed data identified by searches of MEDLINE, PubMed, and
abstracts from the proceedings of the American Society of Clinical Oncology
meetings from 1998 to 2006, with the search terms "Ovarian
Cancer,""EGFR,""gefitinib, ZD1839, Iressa,""erlotinib, OSI-774, Tarceva,""CI
1033,"" GW 572016, lapatinib,""PKI-166,""EKB 569,""anti-EGFR
antibodies,""trastuzumab, Herceptin,""cetuximab, Erbitux, IMC-C225,""matuzumab,
EMD 72000,""panitumamab, ABX-EGF,""pertuzumab," and "vandetanib, rINN, Zactima,
ZD6474." Phase II trials of both small molecule inhibitors of EGFR- and antibody
based inhibitors are currently ongoing in ovarian cancer and emerging data
suggest that their activity in unselected women with advanced or recurrent
ovarian cancer is modest, when utilized as a single agent. It is possible that
these agents will be highly effective in smaller subsets of patients whose tumors
are dependent on EGFR signaling, perhaps through activating mutations in EGFR or
its downstream pathway. Targeted therapy with EGFR inhibitors is an untapped
potential resource in the treatment of advanced or recurrent ovarian cancer.
Ongoing trials will elucidate the most effective strategies to use these agents
individually or in combination with traditional chemotherapeutic agents.
PMID- 18053063
TI - Extended pelvic resections for recurrent uterine and cervical cancer: out-of-the
box surgery.
AB - Patients with recurrent uterine and cervical cancer have poor prognoses. The
objective of this study was to analyze the outcomes of patients with recurrent
uterine and cervical cancer who had undergone attempted curative resection of
pelvic bone, sidewall muscle, major blood vessels, and/or nerves. We reviewed the
records of all 14 patients with recurrent uterine and cervical cancer who had
extended pelvic resections at our institution between June 2000 and November
2006. Primary sites of disease were the uterus (11 patients) and cervix (3
patients). Tumor histology was as follows: adenocarcinoma, seven; squamous cell
carcinoma, three; leiomyosarcoma, three; and adenosarcoma, one. Previous
treatment included hysterectomy, 11; pelvic radiation, 9; chemotherapy, 9; and
total pelvic exenteration, 2. Extended pelvic resections included removal of
pelvic sidewall muscle, five; bone, five; common and/or external iliac vessel,
five; femoral nerve, two; lumbosacral nerve root, one; and obturator nerve, one.
Other procedures included total pelvic exenteration, three; posterior
exenteration, two; and anterior exenteration, one. Complete resection with
negative margins was obtained in 11 (78%) of 14 patients. Seven patients (50%)
received high-dose rate intraoperative radiation therapy. Reconstructive
procedures included continent or incontinent urinary diversion, four; femoral
femoral arterial bypass, two; myocutaneous flap, two; and urinary ileal
interposition, one. Median total operating time was 628 min (range, 345-935 min)
and median estimated blood loss was 900 mL (range, 300-16,000 mL). Seven patients
(50%) had one or more major complication(s), including pelvic abscess, three;
colonic fistula, two; massive intraoperative hemorrhage, one; postoperative
bladder perforation, one; thrombosed femoral-femoral graft, one; and disruption
of appendicocutaneous urinary anastomosis, one. At a median follow-up of 26
months (range, 5-84 months), ten patients (71%) are alive and four patients (29%)
have died of disease at 8, 13, 33, and 42 months postoperatively.
PMID- 18053064
TI - Presence in Legionella pneumophila of a mammalian-like mitochondrial permeability
transition pore?
AB - The genome of Legionella pneumophila reveals the presence of a large number of
genes coding for eukaryotic-like proteins. By using database searches and
homology investigations, we identified three proteins in L. pneumophila whose
sequences share similarities with that of eukaryotic polypeptides (lpg0211,
lpg1974 and lpg1982). In eukaryotes, the corresponding proteins (PBR, peripheral
benzodiazepine receptor; VDAC, voltage-dependant anion channel; and CypD,
cyclophilin D) participate in the formation of the mammalian mitochondrial
permeability transition pore (MPTP), a complex involved in cell apoptosis.
Intriguingly, the presence of these proteins has never been reported in the same
bacterium and constitutes, up to now, a unique feature of L. pneumophila. In
Legionella, we hypothesize that these proteins are recruited in a multiprotein
complex close to the MPTP that may regulate intracellular survival and/or
proliferation.
PMID- 18053065
TI - FT-IR microspectroscopy: a promising method for the rapid identification of
Listeria species.
AB - This work presents a pilot study to investigate the potential of fourier
transform infrared (FT-IR) microspectroscopy for rapid identification of Listeria
at the species level. Using this technique, FT-IR spectra were acquired from 30
strains from five Listeria species. The FT-IR spectra were analysed using
stepwise canonical discriminant analysis and partial least-squares regression in
a stepwise identification scheme. The results showed that 93% of all the samples
were assigned to the correct species, and that 80% of the Listeria monocytogenes
strains were correctly identified. In comparison, 100% of the samples, including
the L. monocytogenes samples, were correctly identified using spectra acquired by
FT-IR macrospectroscopy. The results show that FT-IR microspectroscopy has
potential as a rapid screening method for Listeria, which is especially valuable
for the food industry.
PMID- 18053066
TI - A high prevalence of antimicrobial resistant Escherichia coli isolated from pigs
and a low prevalence of antimicrobial resistant E. coli from cattle and sheep in
Great Britain at slaughter.
AB - The incidence of antimicrobial resistance and expressed and unexpressed
resistance genes among commensal Escherichia coli isolated from healthy farm
animals at slaughter in Great Britain was investigated. The prevalence of
antimicrobial resistance among the isolates varied according to the animal
species; of 836 isolates from cattle tested only 5.7% were resistant to one or
more antimicrobials, while only 3.0% of 836 isolates from sheep were resistant to
one or more agents. However, 92.1% of 2480 isolates from pigs were resistant to
at least one antimicrobial. Among isolates from pigs, resistance to some
antimicrobials such as tetracycline (78.7%), sulphonamide (66.9%) and
streptomycin (37.5%) was found to be common, but relatively rare to other agents
such as amikacin (0.1%), ceftazidime (0.1%) and coamoxiclav (0.2%). The isolates
had a diverse range of resistance gene profiles, with tet(B), sul2 and strAB
identified most frequently. Seven out of 615 isolates investigated carried
unexpressed resistance genes. One trimethoprim-susceptible isolate carried a
complete dfrA17 gene but lacked a promoter for it. However, in the remaining six
streptomycin-susceptible isolates, one of which carried strAB while the others
carried aadA, no mutations or deletions in gene or promoter sequences were
identified to account for susceptibility. The data indicate that antimicrobial
resistance in E. coli of animal origin is due to a broad range of acquired genes.
PMID- 18053067
TI - The ability to utilize mucin affects the regulation of virulence gene expression
in Streptococcus pneumoniae.
AB - Streptococcus pneumoniae colonizes the mucin-rich environment of the nasopharynx.
As colonization may be the first stage of infection, investigation was carried
out as to whether the pneumococcus could utilize mucin as a source of nutrient
and whether its virulence gene expression is influenced by this glycoprotein. It
was found that when grown in Sicard's defined medium supplemented with 1% w/v
mucin, the organism grew at a rate similar to that in rich medium. The presence
of sialate O-acetylesterase activity, an enzyme implicated in glycoprotein
degradation, in pneumococcal cell extracts was also reported. The authors
hypothesized that neuraminidase A, which is linked to pneumococcal virulence,
plays an important role in mucin utilization. Growth in mucin resulted in an
increase in nanA transcription and a DeltananA-deficient strain of pneumococcus
could not grow when mucin was used as the sole carbon source.
PMID- 18053068
TI - Determination of how many immunoglobulin variable region heavy chain mutations
are allowable in unmutated chronic lymphocytic leukaemia - long-term follow up of
patients with different percentages of mutations.
AB - The choice of 98% sequence homology for immunoglobulin heavy chains to
distinguish between mutated and unmutated versions of chronic lymphocytic
leukaemia (CLL) was arbitrary and was chosen to account for supposed
polymorphisms. Some authors chose 97% or even 95%. This study examined survival
curves for cohorts of patients with varying degrees of sequence homology. All
patients with <97% homology behaved as if mutated. Those with 97-98% homology
were more aggressive than the mutated cases, but less aggressive than those with
>98% homology.
PMID- 18053069
TI - Gloves and socks syndrome after bone marrow transplantation.
PMID- 18053070
TI - PI3K/AKT pathway activation in acute myeloid leukaemias is not associated with
AKT1 pleckstrin homology domain mutation.
AB - Despite its' central role, the precise mechanisms of the phosphoinositide 3
kinase/Akt (PI3K)/Akt pathway activation in acute myeloid leukaemia (AML) have
not been elucidated. Recently, a recurrent novel AKT1 pleckstrin homology domain
(PHD) mutation leading to membrane translocation, constitutive AKT activation and
leukaemia development in mice was described. To assess AKT1 PHD mutations in AML,
we sequenced 57 specimens from 49 AML patients, all of whom showed PI3K/AKT
pathway activation by analysis of total and phospho-protein expression for AKT,
mTor, p70S6Kinase, S6ribosomal protein and PTEN. No mutations in AKT1 PHD were
identified, making this mutation an unlikely cause of PI3K/AKT pathway activation
in AML.
PMID- 18053071
TI - Intentional induction of mixed haematopoietic chimerism as platform for cellular
therapy after HLA-matched allogeneic stem cell transplantation in childhood
leukaemia patients.
AB - Allogeneic peripheral blood stem cell CD34(+)-selected transplantation followed
by donor lymphocyte infusion (DLI) to maximize graft-versus-leukaemia effect
while avoiding graft-versus-host disease was investigated in 22 paediatric
patients with acute myeloid leukaemia (n = 10) or acute lymphoblastic leukaemia
(n = 12). Patients were grafted with a median (range) 6 x 10(6) (2-31 x 10(6))/kg
CD34(+) cells and 1.1 x 10(4) (0.2-3.9 x 10(4)) CD3(+) cells. Seventy-five DLI
were performed with no complications. Median time (range) to neutrophil and
platelet engraftment was 13 (11-15) and 12 d (8-13) respectively. Probability of
relapse and disease-free survival was 23 +/- 9% and 72 +/- 6% respectively
(median follow-up of 15 months).
PMID- 18053072
TI - Extraordinary AFLP fingerprint similarity despite strong assortative mating
between reef fish color morphospecies.
AB - Recent theoretical models and empirical studies of fruit flies, birds, and fish
indicate that assortative mating may initiate speciation when physical barriers
to gene flow are absent, and before postzygotic barriers evolve. These are
important results for marine animals like coral reef fish, where ocean currents
can carry planktonic larvae over broad ranges, interconnecting populations and
slowing genetic divergence. The Caribbean hamlets (genus Hypoplectrus) are a
flock of reef fish morphospecies with highly distinct color pattern that mate
like with like, but show little mitochondrial or microsatellite DNA
differentiation. Here, we broadly screen genomic diversity using amplified
fragment length polymorphisms (AFLP) and survey mating pair formation between two
morphospecies in the Florida Keys, the butter hamlet (H. unicolor) and the blue
hamlet (H. gemma). No AFLP was species-diagnostic (fixed), and neighbor-joining
analyses revealed no clustering of individuals consistent with morphospecies
boundaries. Assignment tests, however, placed most individuals within their
morphospecies of origin. Field surveys showed that > 98% of mating pairs,
including those of rare morphospecies, were of like color pattern. Spawning by a
single mixed pair adds to earlier observations suggesting that infrequent
hybridization may be a genetically homogenizing force in Hypoplectrus. This study
provides a clear example of strong assortative mating in a system with limited
genetic differentiation.
PMID- 18053073
TI - Multiple genetic benefits of female promiscuity in a socially monogamous
passerine.
AB - The adaptive function of female extrapair mating in socially monogamous
passerines is currently debated. In the bluethroat (Luscinia s. svecica), a
previous study showed that offspring sired by extrapair males had a higher cell
mediated immunity than their within-pair half siblings, suggesting an
immunogenetic benefit of extrapair mating in this species. Here, we expanded that
dataset with two more years and investigated the association between extrapair
paternity and microsatellite multilocus heterozygosity, in addition to cell
mediated immunity. We found that extrapair offspring were more heterozygous than
their within-pair half siblings, and corroborated the previous finding of
enhanced cellular immunity in extrapair offspring in this four-year dataset. The
increased heterozygosity among extrapair offspring appeared to be a result of
extrapair mates being less genetically similar than pair mates, and also less
genetically similar than expected by random choice. Together with previous
findings in this species, showing that the majority of females participate in
extrapair copulations, our results indicate a postcopulatory cryptic female
choice of genetically dissimilar males. The enhanced cellular immunity and
increased heterozygosity were not related to each other, and hence our results
indicate two independent genetic benefits of extrapair mating in the bluethroat.
PMID- 18053074
TI - Life-history evolution when Lestes damselflies invaded vernal ponds.
AB - We know little about the macroevolution of life-history traits along
environmental gradients, especially with regard to the directionality compared to
the ancestral states and the associated costs to other functions. Here we examine
how age and size at maturity evolved when Lestes damselflies shifted from their
ancestral temporary pond habitat (i.e., ponds that may dry once every decade or
so) to extremely ephemeral vernal ponds (ponds that routinely dry completely each
year). Larvae of three species were reared from eggs until emergence under
different levels of photoperiod and transient starvation stress. Compared to the
two temporary-pond Lestes, the phylogenetically derived vernal-pond Lestes dryas
developed more rapidly across photoperiod treatments until the final instar, and
only expressed plasticity in development time in the final instar under
photoperiod levels that simulated a later hatching date. The documented change in
development rate can be considered adaptive and underlies the success of the
derived species in vernal ponds. Results suggest associated costs of faster
development are lower mass at maturity and lower immune function after transient
starvation stress. These costs may not only have impeded further evolution of the
routine development rate to what is physiologically maximal, but also maintained
some degree of plasticity to time constraints when the habitat shift occurred.
PMID- 18053075
TI - Experimentally replicated disruptive selection on performance traits in a
Caribbean lizard.
AB - A central theme underlying studies of adaptive radiation is that ecologically
mediated selection drives diversification. However, demonstrating the ecological
basis of natural selection and linking this process to patterns of morphological
diversity represents a formidable challenge. This is because selection
experiments that test correlations between an organism's phenotype and its
ecology are difficult to perform in the wild. Previous studies of Anolis lizards
have shown that divergent morphologies are correlated with habitat use and have
evolved repeatedly on islands throughout the Greater Antilles. Here, we show that
the forms of selection acting within a species support an ecological mechanism
for diversification. In natural populations, performance-related traits such as
limb length are subject to correlational and disruptive selection driven by
differences in habitat use. Experimental manipulations in the wild verify the
same pattern of selection and indicate that both the targets and forms of
selection are consistent through time. Elsewhere, we have demonstrated that these
traits are heritable and should therefore evolve in response to selection. Our
results provide evidence for the short-term repeatability of selection and its
potency in the diversification of anoles.
PMID- 18053076
TI - The genetic architecture of a female sexual ornament.
AB - Understanding the evolution of sexual ornaments, and particularly that of female
sexual ornaments, is an enduring challenge in evolutionary biology. Key to this
challenge are establishing the relationship between ornament expression and
female reproductive investment, and determining the genetic basis underpinning
such relationship. Advances in genomics provide unprecedented opportunities to
study the genetic architecture of sexual ornaments in model species. Here, we
present a quantitative trait locus (QTL) analysis of a female sexual ornament,
the comb of the fowl, Gallus gallus, using a large-scale intercross between red
junglefowl and a domestic line, selected for egg production. First, we
demonstrate that female somatic investment in comb reflects female reproductive
investment. Despite a trade-off between reproductive and skeletal investment
mediated by the mobilization of skeletal minerals for egg production, females
with proportionally large combs also had relatively high skeletal investment.
Second, we identify a major QTL for bisexual expression of comb mass and several
QTL specific to female comb mass. Importantly, QTL for comb mass were nonrandomly
clustered with QTL for female reproductive and skeletal investment on chromosomes
one and three. Together, these results shed light onto the physiological and
genetic architecture of a female ornament.
PMID- 18053077
TI - What use is an infertile sperm? A comparative study of sperm-heteromorphic
Drosophila.
AB - Sperm size and number are important determinants of male reproductive success.
The genus Drosophila exhibits a remarkable diversity of sperm production
strategies, including the production of multiple sperm morphs by individual
males, a phenomenon called sperm heteromorphism. Sperm-heteromorphic Drosophila
species in the obscura group produce large numbers of infertile "parasperm" in
addition to fertile eusperm. Parasperm have been hypothesized to perform a number
of roles in place of fertilization, predominantly focused on their potential
function in postcopulatory sexual selection. However, the evolutionary
significance of parasperm remains unknown. Here we measured several male and
female morphological, behavioral, and life-history traits in 13 obscura group
species to test competing hypotheses of parasperm function using comparative
methods. We found that parasperm size was unrelated to female reproductive tract
morphology but was negatively related to our two indices of sperm competition,
suggesting that postcopulatory sexual selection may indeed have shaped the
evolution of parasperm. We also found abundant coevolution between male and
female reproductive traits. Some of these relationships have been found in both
sperm-monomorphic and sperm-heteromorphic taxa, but others are dissimilar. We
discuss the significance of our results to the evolution of reproductive traits
and the elusive function of Drosophila parasperm.
PMID- 18053078
TI - Relic thermosensitive gene expression in a turtle with genotypic sex
determination.
AB - The evolution of sex determination remains one of the most fascinating enigmas in
biology. Transitions between genotypic sex determination (GSD) and temperature
dependent sex determination (TSD) have occurred multiple times during vertebrate
evolution, however, the molecular basis and consequences of these transitions in
closely related taxa remain unresolved. Here I address a critical question: Do
species with GSD derived from ancestors possessing TSD retain any ancestral
thermal sensitivity in the developmental pathways underlying gonadal
differentiation? Results from an expression study of a gene involved in early
gonadogenesis in GSD (Apalone mutica) and TSD (Chrysemys picta) turtles, support
the hypothesis that Wt1 in A. mutica displays such a relic thermal sensitivity.
This retention is likely enabled by Sf1, a gene immediately downstream from Wt1
whose expression is independent of temperature in this species. My results
constitute the first empirical evidence of a GSD vertebrate exhibiting thermal
sensitivity in the expression of a gene regulating gonadogenesis. This novel
finding reveals an undocumented source of raw material for future evolutionary
change that may exist in other GSD taxa, and one that enhances the evolutionary
potential of the gene networks underlying sexual differentiation and contributes
to the astonishing ability of sex-determining mechanisms.
PMID- 18053079
TI - Blocking endogenous peroxidases: a cautionary note for immunohistochemistry.
PMID- 18053081
TI - The ginsenoside metabolite compound K, a novel agonist of glucocorticoid
receptor, induces tolerance to endotoxin-induced lethal shock.
AB - Compound K (C-K), a protopanaxadiol ginsenoside metabolite, was previously shown
to have immunomodulatory effects. Here, we describe a novel therapeutic role for
C-K in the treatment of lethal sepsis through the modulation of Toll-like
receptor (TLR) 4-associated signalling via glucocorticoid receptor (GR) binding.
In mononuclear phagocytes, C-K significantly repressed the activation of
TLR4/lipopolysaccharide (LPS)-induced NF-kappaB and mitogen-activated protein
kinases (MAPKs), as well as the secretion of pro-inflammatory cytokines. However
C-K did not affect the TLR3-mediated expression of interferon-beta or the nuclear
translocation of IRF-3. C-K competed with the synthetic glucocorticoid
dexamethasone for binding to GR and activated glucocorticoid responsive element
(GRE)-containing reporter plasmids in a dose-dependent manner. In addition, the
blockade of GR with either the GR antagonist RU486 or a siRNA against GR
substantially reversed the anti-inflammatory effects of C-K. Furthermore, TLR4
dependent repression of inflammatory response genes by C-K was mediated through
the disruption of p65/interferon regulatory factor complexes. Importantly, pre-
or post-treatment with C-K significantly rescued mice from Gram-negative
bacterial LPS-induced lethal shock by lowering their systemic inflammatory
cytokine levels and by reversing the lethal sequelae of sepsis. Collectively,
these results demonstrate that C-K, as a functional ligand of GR, regulates
distinct TLR4-mediated inflammatory responses, and suggest a novel therapy for
Gram-negative septic shock.
PMID- 18053083
TI - The unfolded protein response in hereditary haemochromatosis.
AB - To cope with the accumulation of unfolded or misfolded proteins the endoplasmic
reticulum (ER) has evolved specific signalling pathways collectively called the
unfolded protein response (UPR). Elucidation of the mechanisms governing ER
stress signalling has linked this response to the regulation of diverse
physiologic processes as well as to the progression of a number of diseases.
Interest in hereditary haemochromatosis (HH) has focused on the study of proteins
implicated in iron homeostasis and on the identification of new alleles related
with the disease. HFE has been amongst the preferred targets of interest, since
the discovery that its C282Y mutation was associated with HH. However, the
discrepancies between the disease penetrance and the frequency of this mutation
have raised the possibility that its contribution to disease progression might go
beyond the mere involvement in regulation of cellular iron uptake. Recent
findings revealed that activation of the UPR is a feature of HH and that this
stress response may be involved in the genesis of immunological anomalies
associated with the disease. This review addresses the connection of the UPR with
HH, including its role in MHC-I antigen presentation pathway and possible
implications for new clinical approaches to HH.
PMID- 18053084
TI - Oval cell proliferation in p16INK4a expressing mouse liver is triggered by
chronic growth stimuli.
AB - Terminal differentiation requires molecules also involved in aging such as the
cell cycle inhibitor p16(INK4a). Like other organs, the adult liver represents a
quiescent organ with terminal differentiated cells, hepatocytes and
cholangiocytes. These cells retain the ability to proliferate in response to
liver injury or reduction of liver mass. However, under conditions which prevent
mitotic activation of hepatocytes, regeneration can occur instead from
facultative hepatic stem cells.For therapeutic application a non-toxic activation
of this stem cell compartment is required. We have established transgenic mice
with conditional overexpression of the cell cycle inhibitor p16(INK4a) in
hepatocytes and have provoked and examined oval cell activation in adult liver in
response to a range of proliferative stimuli. We could show that the liver
specific expression of p16(INK4a) leads to a faster differentiation of
hepatocytes and an activation of oval cells already in postnatal mice without
negative consequences on liver function.
PMID- 18053086
TI - Pleomorphism of the nuclear envelope in breast cancer: a new approach to an old
problem.
AB - In routine practice, nuclear pleomorphism of tumours is assessed by haematoxylin
staining of the membrane-bound heterochromatin. However, decoration of the
nuclear envelope (NE) through the immunofluorescence staining of NE proteins such
as lamin B and emerin can provide a more objective appreciation of the nuclear
shape. In breast cancer, nuclear pleomorphism is one of the least reproducible
parameters to score histological grade, thus we sought to use NE proteins to
improve the reproducibility of nuclear grading. First, immuno-fluorescence
staining of NE as well as confocal microscopy and three-dimensional
reconstruction of nuclei in cultured cells showed a smooth and uniform NE of
normal breast epithelium in contrast to an irregular foldings of the membrane and
the presence of deep invaginations leading to the formation of an intranuclear
scaffold of NE-bound tubules in breast cancer cells. Following the above methods
and criteria, we recorded the degree of NE pleomorphism (NEP) in a series of 273
invasive breast cancers tested by immunofluorescence. A uniform nuclear shape
with few irregularities (low NEP) was observed in 135 cases or, alternatively,
marked folds of the NE and an intranuclear tubular scaffold (high NEP cases) were
observed in 138 cases. The latter features were significantly correlated (P-value
<0.002) with lymph node metastases in 54 histological grade 1 and in 173 cancers
with low mitotic count. Decoration of the NE might thus be regarded as a novel
diagnostic parameter to define the grade of malignancy, which parallels and
enhances that provided by routine histological procedures.
PMID- 18053089
TI - NFkappaB mediates IL-1beta-induced down-regulation of TbetaRII through the
modulation of Sp3 expression.
AB - We previously showed that interleukin-1beta (IL-1beta) down-regulation of type II
TGFbeta receptor (TbetaRII) involves NFkappaB pathway and requires de novo
synthesis of a yet unknown protein. Here, we demonstrate that this effect is
mediated through Sp1 site located at position -25 of human TbetaRII promoter.
Inhibition of transcription factors binding (decoy oligonucleotides or
mithramycin) abolished IL-1beta effect. EMSA and ChIP revealed that this
treatment induced Sp3 binding to cis-sequence whereby IL-1beta exerts its
transcriptional effects whereas it decreased that of Sp1. Moreover, although the
cytokine did not modulate Sp1 expression, it increased that of Sp3 via NFkappaB
pathway. Experiments of gain and loss of function clearly showed that Sp3
inhibited TbetaRII expression whereas its silencing abolished IL-1beta effect. In
addition, both Sp1 and Sp3 were found to interact with NFkappaB, which therefore
may indirectly interact with TbetaRII pro moter. Altogether, these data suggest
that IL-1beta decreases TbetaRII expression by inducing Sp3 via NFkappaB and its
binding on core promote at the expense of Sp1, which could explain the loss of
cell responsiveness in certain conditions. These findings bring new insights in
the knowledge of the interference between two antagonistic transduction pathways
involved in multiple physiopathological processes.
PMID- 18053090
TI - Acidic pre-conditioning suppresses apoptosis and increases expression of Bcl-xL
in coronary endothelial cells under simulated ischaemia.
AB - Ischaemic pre-conditioning has a powerful protective potential against ischaemia
induced cell death, and acidosis is an important feature of ischaemia and can
lead to apoptosis. Here we tested whether pre-conditioning with acidosis, that
is, acidic pre-conditioning (APC), may protect coronary endothelial cells (EC)
against apoptosis induced by simulated ischaemia. For pre-conditioning, EC were
exposed fo 40 min. to acidosis (pH 6.4) followed by a 14-hrs recovery period (pH
7.4) and finally treated for 2 hrs with simulated ischaemia (glucose-free anoxia
at pH 6.4). Cells undergoing apoptosis were visualized by chromatin staining or
by determination of caspase-3 activity Simulated ischaemia in untreated EC
increased caspase-3 activity and the number of apoptotic cell (31.3 +/-
1.3%versus 3.9 +/- 0.6% in control). APC significantly reduced the rate of
apoptosis (14.2 +/- 1.3%) and caspase-3 activity. Western blot analysis exploring
the under lying mechanism leading to this protection revealed suppression of the
endoplasmic reticulum- (reduced cleavage of caspase-12) and mitochondria-mediated
(reduced cytochrome C release) pathways of apoptosis. These effects were
associated with an over-expression of the anti-apoptotic protein Bcl-xL 14 hrs
after APC, whereas no effect on the expression of Bcl-2, Bax, Bak, procaspase-12,
reticulum-localized chaperones (GRP78, calreticulin), HSP70, HSP32 and HSP27
could be detected. Knock-down of Bcl-xL by siRNA-treatment prevented the
protective effect of APC. In conclusion, short acidic pre-treatment can protect
EC against ischaemic apoptosis. The mechanism of this protection consists of
suppression of the endoplasmic reticulum- and mitochondria-mediated pathways.
Over-expression of the anti apoptotic protein Bcl-xL is responsible for the
increased resistance to apoptosis during ischaemic insult.
PMID- 18053085
TI - The glucocorticoid receptor signalling in breast cancer.
AB - Glucocorticoids are provided as co-medication with chemotherapy in breast cancer,
albeit several lines of evidence indicate that their use may have diverse effects
and in fact may inhibit chemosensitivity. The molecular basis of glucocorticoid
induced resistance to chemotherapy in breast cancer remains poorly defined.
Recent researchers, in an attempt to clarify some aspects of the underlying
pathways, provide convincing evidence that glucocorticoids induce effects that
are dependent upon the glucocorticoid receptor -mediated transcriptional
regulation of specific genes known to play key roles in cellular/tissue
functions, including growth, apoptosis, differentiation, metastasis and cell
survival. In this review, we focus on how glucocorticoid-induced chemoresistance
in breast cancer is mediated by the glucocorticoid receptor, unraveling the
molecular interplay of glucocorticoid receptor signaling with other signaling
cascades prevalent in breast cancer. We also include a detailed description of
glucocorticoid receptor structure and function, summarizing data gained during
recent years into the mechanism(s) of the cross-talk between the glucocorticoid
receptor and other signaling cascades and secondary messengers, via which
glucocorticoids exert their pleiotropic effects.
PMID- 18053091
TI - Regulatory roles for histone deacetylation in IL-1beta-induced nitric oxide
release in pancreatic beta-cells.
AB - Histone (de)acetylases control gene transcription via modification of the
chromatin structure. Herein, we investigated potential roles for histone
deacetylation (or hypoacetylation) in interleukin-1beta (IL-1beta)-mediated
inducible nitric oxide synthase (iNOS) and nitric oxide (NO) release in insulin
secreting INS 832/13 (INS) cells. Western blot analysis suggested localization of
members of Class 1 and Class 2 families of histone deacetylases (HDACs) in these
cells. Trichostatin A (TSA), a known inhibitor of HDACs, markedly reduced IL
1beta-mediated iNOS expression and NO release from these cells in a concentration
dependent manner. TSA also promoted hyperacetylation of histone H4 under
conditions in which it inhibited IL-1beta-mediated effects on isolated beta
cells. Rottlerin, a known inhibitor of protein kinase Cdelta, also increased
histone H4 acetylation, and inhibited IL-1beta-induced iNOS expression and NO
release in these cells. It appears that the putative mechanism underlying the
stimulatory effects of rottlerin on acetylation status of histone H4 are distinct
from the HDAC inhibitory property of TSA, since rottlerin failed to inhibit HDAC
activity in nuclear extracts isolated from INS cells. These data are suggestive
of potential regulatory effects of rottlerin at the level of increasing the
histone acetyltransferase activity in these cells. Together our studies present
the first evidence to suggest a PKCdelta-mediated signalling step, which promotes
hypoacetylation of candidate histones culminating in IL-1beta-induced metabolic
dysfunction of the isolated beta cell.
PMID- 18053093
TI - Epidermal growth factor increases insulin secretion and lowers blood glucose in
diabetic mice.
AB - Epidermal growth factor (EGF) is synthesized in the pancreas and diabetic animals
have low levels of EGF. However, the role of EGF in regulating the major function
of the pancreas, insulin secretion, has not been studied. Here, we show that EGF
rapidly increased insulin secretion in mouse pancreatic islets, as well as in a
pancreatic beta-cell line. These events were dependent on a Ca(2+) influx and
phospholipase D (PLD) activity, particularly PLD2, as determined using
pharmacological blockers and molecular manipulations such as over-expression and
siRNA of PLD isozymes. In addition, EGF also increased plasma insulin levels and
mediated glucose lowering in normal and diabetic mice. Here, for the first time,
we provide evidence that EGF is a novel secretagogue that regulates plasma
glucose levels and a candidate for the development of therapeutics for diabetes.
PMID- 18053094
TI - Protein prenylation in glucose-induced insulin secretion from the pancreatic
islet beta cell: a perspective.
AB - Insulin secretion from the pancreatic beta-cell is regulated principally by the
ambient concentration of glucose. However, the molecular and cellular mechanisms
underlying the stimulus-secretion coupling of glucose-stimulated insulin
secretion (GSIS) remain only partially understood. Emerging evidence from
multiple laboratories suggests key regulatory roles for GTP-binding proteins (G
proteins) in the cascade of events leading to GSIS. This class of signaling
proteins undergo a series of requisite post-translational modifications (e.g.,
prenylation) at their C-terminal cysteines, which appear to be necessary for
their targeting to respective membranous sites for optimal interaction with their
respective effector proteins. This communication represents a perspective on
potential regulatory roles for protein prenylation steps (i.e., protein
farnesylation and protein geranylgeranylation) in GSIS from the islet beta cell.
Possible consequences of protein prenylation and potential mechanisms underlying
glucose-induced regulation of prenylation, specifically in the context of GSIS
are also discussed.
PMID- 18053095
TI - Caveolin-1 is transported to multi-vesicular bodies after albumin-induced
endocytosis of caveolae in HepG2 cells.
AB - Caveolae-mediated endocytosis is a highly regulated endocytic pathway that exists
in parallel to other forms of clathrin-dependent and -independent endocytosis.
Internalized caveolae accumulate in intermediate organelles called caveosomes.
Here we addressed the further fate of internalized caveolae by inducing caveolae
mediated uptake of albumin by HepG2 cells. We followed the route of internalized
caveolin-1 by immunogold labelling of ultrathin frozen sections and by Western
blot analyses of purified membrane fractions. Long-term (1 and 3 hrs) albumin
treatment resulted in the appearance of albumin-containing caveolae in special
multi-caveolar complexes (consisting of multiple caveolae clustered together)
connected to the plasma membrane and caveosome-like structures in the cytoplasm.
In addition, numerous CD63 (LIMP-1) positive late endosomes/multi-vesicular
bodies were found positive for caveolin-1, suggesting that upon albumin
incubation, caveolin-1 is endocytosed and enters the degradative pathway.
Surprisingly, the number of caveolae at the plasma membrane increased after
addition of albumin. This increase was blocked by cycloheximide treatment,
indicating that albumin internalization also stimulates de novo protein
synthesis, which is necessary for new caveolae formation. Together, our results
show that during long-term albumin uptake, caveolin-1 travels to late endosomes
and is replaced by newly synthesized caveolin-1 at the plasma membrane.
PMID- 18053097
TI - A fishy recommendation: omega-3 fatty acid intake in pregnancy.
PMID- 18053092
TI - Cancer stem cells: the lessons from pre-cancerous stem cells.
AB - How a cancer is initiated and established remains elusive despite all the
advances in decades of cancer research. Recently the cancer stem cell (CSC)
hypothesis has been revived, challenging the long-standing model of "clonal
evolution" for cancer development and implicating the dawning of a potential cure
for cancer [1]. The recent identification of precancerous stem cells (pCSCs) in
cancer, an early stage of CSC development, however, implicates that the "clonal
evolution" is not contradictory to the CSC hypothesis, but is rather an aspect of
the process of CSC development [2]. The discovery of pCSC has revealed and will
continue to reveal the volatile properties of CSC with respects to their
phenotype, differentiation and tumorigenic capacity during initiation and
progression. Both pCSC and CSC might also serve as precursors of tumor stromal
components such as tumor vasculogenic stem/progenitor cells (TVPCs). Thus, the
CSC hypothesis covers the developing process of tumor-initiating cells (TIC) -->
pCSC --> CSC --> cancer, a cellular process that should parallel the histological
process of hyperplasia/metaplasia (TIC) --> precancerous lesions (pCSC) -->
malignant lesions (CSC --> cancer). The embryonic stem (ES) cell and germline
stem (GS) cell genes are subverted in pCSCs. Especially the GS cell protein
piwil2 may play an important role during the development of TIC --> pCSC --> CSC,
and this protein may be used as a common biomarker for early detection,
prevention, and treatment of cancer. As cancer stem cell research is yet in its
infancy, definitive conclusions regarding the role of pCSC can not be made at
this time. However this review will discuss what we have learned from pCSC and
how this has led to innovative ideas that may eventually have major impacts on
the understanding and treatment of cancer.
PMID- 18053098
TI - Manual versus electric vacuum aspiration for first-trimester abortion: a
systematic review.
AB - BACKGROUND: As an alternative to electric vacuum aspirations (EVA), there is an
increasing interest in using manual vacuum aspiration (MVA). OBJECTIVE: To
compare the safety, efficacy and acceptability of MVA with those of EVA for first
trimester abortion. SEARCH STRATEGY: We searched MEDLINE, EMBASE, Cochrane
Library and Chinese Biomedical Database in all language, together with reference
lists of retrieved papers. SELECTION CRITERIA: Randomised controlled trials
comparing MVA with EVA for first-trimester abortion were included. The outcomes
are complete abortion rate, uterine perforation rate, blood loss, pain perception
and acceptability. DATA COLLECTION AND ANALYSIS: Two reviewers independently
extracted the data. Results from the trials were combined to calculate relative
risks (RRs) or risk differences for dichotomous outcomes and weighted mean
differences (WMDs) for continuous outcomes, together with 95% CIs. MAIN RESULTS:
Ten trials were included, involving 1660 women. Generally, the methodological
quality was poor. There were no statistically significant differences, comparing
MVA with EVA, in complete abortion rate (RR 1.00; 95% CI 0.99-1.02) and
participants' satisfaction (RR 1.02; 95% CI 0.87-1.20). In participants with less
than 50 days of gestational age, less blood loss (WMD -1.84; 95% CI -2.45 to
1.23) and less severe pain perception (RR 0.04; 95% CI 0.01-0.12) were reported
during the MVA procedure, whereas the operation time was shorter (WMD 0.32; 95%
CI 0.02-0.63) in the EVA procedure. AUTHOR'S CONCLUSIONS: There is some evidence
that MVA is as effective and acceptable as EVA and might be safer than EVA.
PMID- 18053099
TI - Nitric oxide and peroxynitrite platelet levels in women with small-for
gestational-age fetuses.
AB - OBJECTIVE: The placenta produces reactive oxygen species (ROS) including nitric
oxide (NO) and peroxynitrite (ONOO(-)) that have pronounced effects on placental
function. Excessive ROS production may occur in pathological pregnancies, such as
those complicated by small-for-gestational-age (SGA) fetuses. DESIGN: The aim of
the present work was to study NO and ONOO(-) levels in platelets of pregnant
women with SGA fetuses compared with a control group. SETTING AND POPULATION: The
study was performed on 30 pregnant women with SGA fetuses (SGA group) and on 30
healthy pregnant women (appropriate-for-gestational-age [AGA] group) matched for
maternal and gestational age. All women included in this study were in the third
trimester of pregnancy. METHODS: Platelets were isolated by differential
centrifugation. NO metabolites, after enzymatic conversion followed by the Griess
reaction, were measured as nitrite by spectrophotometric detection. Peroxynitrite
(ONOO(-)) levels were evaluated using the fluorescence probe 2,7
dichlorofluorescein diacetate (DCFDA). MAIN OUTCOME MEASURES: The following
determinations were made: platelet nitric oxide and peroxynitrite levels in the
SGA group and controls; inducible nitric oxide synthase (iNOS), endothelial
nitric oxide synthase (eNOS) and nitrotyrosine (N-Tyr) expression in the same
groups. RESULTS: Our results show that both platelet NO and ONOO(-) levels were
significantly higher in the SGA group than in the controls. CONCLUSION: Increased
platelets levels of nitric oxide and peroxynitrite might play a role in the
pathophysiology of intrauterine growth restriction. Further investigations are in
progress to clarify if these molecules are pathogenetic factors, an epiphenomenon
or a pathophysiological marker.
PMID- 18053100
TI - Retrospective multicentre study of the new minimally invasive mesh repair devices
for pelvic organ prolapse.
AB - OBJECTIVES: To assess the complications and short-term outcomes of prolapse
repair mesh devices used in the management of female pelvic organ prolapse (POP).
DESIGN: Retrospective cohort study. SETTING: Multicentre study involving a
tertiary referral urogynaecology unit and two district general hospitals.
POPULATION: 329 women who underwent surgical management of prolapse with various
prolapse repair mesh devices in the period between January 2005 and December
2006. METHODS: Women were identified from theatre records. An independent
clinician performed a case notes review during the period March to May 2007. MAIN
OUTCOME MEASURES: Complication rates and the short-term cure at 3-month follow-up
(defined as =stage I prolapse on the POP-Q or Baden Walker scoring systems).
RESULTS: A total of 289 women were included: 219 (76%) used the Gynecare prolapse
repair mesh devices, while 70 women (24%) used the American Medical Systems
prolapse repair mesh devices. Operative complications included: bladder injury
(1.6%), rectal injury (1.1%) and two women with serious vascular injuries.
Postoperative complications included: buttock pain (5.2%), vaginal erosion (10%),
one woman with bladder erosion and two women (0.7%) with serious infection,
leading to necrotising fasciitis in one woman. Short-term cure rates in different
groups varied from 94 to 100%, depending on vaginal compartment and device used.
In total 15 women (5%) had persistent prolapse at 3-month follow up. CONCLUSION:
The new prolapse repair mesh devices demonstrate excellent short-term cure and
low morbidity rates. However, some complications are serious and require highly
specialised management. Rigorous evaluation by means of independent, controlled
studies is urgently required.
PMID- 18053101
TI - A survey of women's views of Thermachoice endometrial ablation in the outpatient
versus day case setting.
AB - OBJECTIVE: To determine women's preference towards Thermachoice being performed
either awake in the outpatient setting or under general anaesthetic (GA) as a day
case. DESIGN: Questionnaire. Setting Large teaching hospital. POPULATION: One
hundred women. METHODS: The questionnaire was developed from prior research on
factors associated with preference for procedures performed in the outpatient
versus day case setting. MAIN OUTCOME MEASURES: (1) Describe women's preference
towards outpatient versus day case Thermachoice and other menorrhagia treatments.
(2) Identify variations in preference by demographic characteristics and prior
experience of anaesthesia. Results There was an exact split in preference with
50% preferring Thermachoice as an outpatient and 50% as a day case. The mean age
of women preferring outpatient Thermachoice was significantly higher (41.5 years)
than those preferring day case (33.5 years) (P < 0.005). Women with children and
a higher qualification were more likely to opt for outpatient Thermachoice. A
previous bad experience of GA was associated with preference for outpatient
Thermachoice. Spending less time in hospital, attending for one visit, feeling
well straight after treatment and choosing the treatment setting were important
factors to the majority of women. Most women (70%) who opted for Thermachoice as
a menorrhagia treatment would prefer to have it performed in the outpatient
setting. CONCLUSIONS: If the Department of Heath is to target services towards
women's choice, there is a need to increase the provision of outpatient
menorrhagia treatments, such as Thermachoice, to more women in the UK.
PMID- 18053102
TI - The incidence of preterm deliveries decreases in Finland.
AB - OBJECTIVE: We examined the trends and risk factors of preterm delivery. DESIGN:
Register-based retrospective cohort study from Finland. SETTING: National Medical
Birth Register data during 1987-2005. POPULATION: The study population consisted
of 1 137 515 deliveries, of which 59 025 were preterm (5.2%). METHODS: We
calculated the population attributable risks for using the risk factor prevalence
rates in the population. We further calculated odds ratios with 95% CI by
multivariate logistic regression to adjust for confounders. MAIN OUTCOME
MEASURES: Preterm delivery rate subclassified into moderately preterm (32-36
weeks), very preterm (28-31 weeks) and extremely preterm (less than 28 weeks).
RESULTS; Preterm delivery rates increased from 5.1% in the late 1980s to 5.4% in
the late 1990s but then decreased to 5.2% for 2001-05. The proportion of
extremely preterm deliveries decreased substantially by 12% (P < 0.01). The
greatest risk factors were multiplicity (OR 13.72, 95% CI 13.26-14.19), followed
by elective delivery (OR 1.86, 95% CI 1.82-1.89), primiparity (OR 1.47, 95% CI
1.45-1.50), in vitro fertilisation treatment (OR 1.39, 95% CI 1.31-1.47),
maternal smoking (OR 1.31, 95% CI 1.29-1.34) and advanced maternal age (OR 1.02,
95% CI 1.02-1.03 for each additional year of age). Prematurity rates decreased by
1.8% after adjusting for risk variables. CONCLUSIONS: The rate of preterm
delivery has not increased from 1987 to 2005 in Finland, while the risk for
extremely preterm delivery has decreased. This finding is in contrast with recent
trends in other countries.
PMID- 18053103
TI - Thrombocytopenia in hydropic fetuses with parvovirus B19 infection: incidence,
treatment and correlation with fetal B19 viral load.
AB - OBJECTIVE: To examine (1) the incidence of fetal thrombocytopenia in hydropic
fetuses with congenital B19 virus infection, (2) the effect of intrauterine
platelet transfusions and (3) the correlation between fetal B19 viral load and
severity of thrombocytopenia. DESIGN: Retrospective analysis of data from
prospectively collected fetal blood samples. SETTING: Leiden University Medical
Centre, the national centre for management of intrauterine fetal disease in the
Netherlands. POPULATION: Thirty hydropic fetuses treated with intrauterine red
blood cell and platelet transfusions for human B19 virus-induced severe fetal
anaemia and thrombocytopenia over a 10-year period. METHODS: Fetal blood samples
(n= 30) taken before and after intrauterine transfusion were investigated. No
cases were excluded, and there was no loss to follow up. MAIN OUTCOME MEASURES:
Parameters recorded were gestational age, experienced fetal movements, gravidity
and parity, severity of fetal hydrops, severity of fetal anaemia and
thrombocytopenia and megakaryocyte and reticulocyte counts. Survival and
procedure-associated complications were documented. Quantitative B19 viral load
measurements were performed on all fetal samples. RESULTS: Forty-six percent of
all hydropic fetuses showed severe thrombocytopenia. No antenatal intracerebral
haemorrhage or procedure-associated bleeding occurred. Overall, survival was 77%.
Platelet counts increased following platelet transfusion and decreased
significantly following red blood cell transfusion alone. No correlation was
found between fetal viral loads and platelet counts. CONCLUSION: Thrombocytopenia
was frequently encountered in fetal B19V infection, but fetal bleeding
complications were not noted. Absence of a direct relationship between fetal B19
viral load and platelet counts suggests a temporal dissociation between these
findings. Dilutional thrombocytopenia is frequently seen in the fetus following
red blood cell transfusion alone. The clinical significance of this phenomenon is
unclear. The risk of fluid overload by fetal platelet transfusion in a severely
hydropic fetus should be weighed against the low incidence of fetal bleeding
complications.
PMID- 18053104
TI - Social inequalities in preterm birth in Scotland 1980-2003: findings from an area
based measure of deprivation.
AB - OBJECTIVE: The preterm birth rate in Scotland has been increasing in recent
years. Although preterm birth rates show a social gradient, it is unclear how
this gradient has been affected by the overall increase. We examined time trends
in singleton live preterm birth rates in relation to area-based socio-economic
indicators. DESIGN: Population-based retrospective cohort study. SETTING:
Scotland. PARTICIPANTS: All singleton live births delivered in Scottish hospitals
between 1980 and 2003 (n= 1 423 993). MAIN OUTCOME MEASURES: Singleton live
preterm birth rates in each deprivation quintile were derived. Subgroup analyses
of those born moderately preterm (32-36 weeks), very preterm (28-31 weeks) and
extremely preterm (24-27 weeks) were performed. RESULTS: The rate of singleton
live preterm births increased from 49.7 per 1000 live births in the 5-year period
1980-84 to 56.1 per 1000 in the 4-year period 2000-03, a relative increase of
12.9%. A marked social gradient was apparent at all time periods: relative
indices of inequality were 1.63 (95% CI 1.38-1.92) in 1980-84 and 1.55 (1.44
1.66) in 2000-03. Similar social gradients existed for all gestational age
subgroups. Smoking status at first antenatal contact and increased obstetric
intervention, possibly reflecting improvements in fetal monitoring and neonatal
care, appeared to explain some but not all the social gradient. CONCLUSIONS:
Social inequalities in preterm birth were apparent in Scotland between 1980 and
2003. In addition to helping pregnant women to stop smoking, other means to
reduce social inequalities are required.
PMID- 18053105
TI - Effect of narghile and cigarette smoking on newborn birthweight.
AB - OBJECTIVE: To assess the effect of narghile smoking on the weight of newborns.
DESIGN: Historical retrospective cohort. SETTING: Six major hospitals in Greater
Beirut, Lebanon. POPULATION: Consecutive singleton newborns delivered from August
2000 to August 2003. METHODS: Obstetric and nursery charts were reviewed to
obtain information about maternal and neonatal variables. Information concerning
initiation of smoking, dose of smoking, smoking habits during pregnancy, and
socio-demographic characteristics was collected through interviews with mothers.
MAIN OUTCOME MEASURES: Low birthweight and newborn birthweight. RESULTS:
Exclusive narghile smokers constituted 4.4% (378/8592) of women. Multiparas were
significantly more likely to smoke cigarettes and narghile. Mothers smoking
narghile more than once per day were at 2.4 increased odds of having low
birthweight infants compared with nonsmoking mothers (OR 2.4; 95% CI 1.2-5.0)
after adjusting for confounding variables. No difference was noted between women
smoking narghile in the first trimester and those initiating smoking in
subsequent trimesters regarding low birthweight. CONCLUSIONS: Narghile smoking
more than once per day increases the odds of low birthweight by a 2.4-fold
compared with nonsmokers, although to a lesser extent than cigarette smoking.
PMID- 18053107
TI - Use of C-reactive protein as a predictor of chorioamnionitis in preterm prelabour
rupture of the membranes: a systematic review.
PMID- 18053109
TI - Laparoscopic uterine sling suspension: a new technique of uterine suspension in
women desiring surgical management of uterine prolapse with uterine conservation.
PMID- 18053111
TI - Ultrasonographic diagnosis of fetal seizures: a case report and review of the
literature.
PMID- 18053112
TI - Retained hormone release capsule following removal of Mirena intrauterine system.
PMID- 18053114
TI - Laparoscopic uterine sling suspension: a new technique of uterine suspension in
women desiring surgical management of uterine prolapse with uterine conservation.
PMID- 18053115
TI - Don't forget OSA with PCOS!
PMID- 18053118
TI - Abstracts of the 23rd Patient Classifications Systems International (PCSI)
Working Conference, Venice, Italy, 7-10 November 2007.
PMID- 18053117
TI - Abstracts from the 3rd International Society for Computational Biology (ISCB)
Student Council Symposium at the 15th Annual International Conference on
Intelligent Systems for Molecular Biology (ISMB), Vienna, Austria, 21 July 2007.
PMID- 18053119
TI - Abstracts of the 13th Scientific Symposium of the Austrian Pharmacological
Society (APHAR). Joint Meeting with the Austrian Society of Toxicology (ASTOX)
and the Hungarian Society for Experimental and Clinical Pharmacology (MFT),
Vienna, Austria, 22-24 November 2007.
PMID- 18053120
TI - Growth hormone as concomitant treatment in severe fibromyalgia associated with
low IGF-1 serum levels. A pilot study.
AB - BACKGROUND: There is evidence of functional growth hormone (GH) deficiency,
expressed by means of low insulin-like growth factor 1 (IGF-1) serum levels, in a
subset of fibromyalgia patients. The efficacy of GH versus placebo has been
previously suggested in this population. We investigated the efficacy and safety
of low dose GH as an adjunct to standard therapy in the treatment of severe,
prolonged and well-treated fibromyalgia patients with low IGF-1 levels. METHODS:
Twenty-four patients were enrolled in a randomized, open-label, best available
care-controlled study. Patients were randomly assigned to receive either 0.0125
mg/kg/d of GH subcutaneously (titrated depending on IGF-1) added to standard
therapy or standard therapy alone during one year. The number of tender points,
the Fibromyalgia Impact Questionnaire (FIQ) and the EuroQol 5D (EQ-5D), including
a Quality of Life visual analogic scale (EQ-VAS) were assessed at different time
points. RESULTS: At the end of the study, the GH group showed a 60% reduction in
the mean number of tender points (pairs) compared to the control group (p < 0.05;
3.25 +/- 0.8 vs. 8.25 +/- 0.9). Similar improvements were observed in FIQ score
(p < 0.05) and EQ-VAS scale (p < 0.001). There was a prompt response to GH
administration, with most patients showing improvement within the first months in
most of the outcomes. The concomitant administration of GH and standard therapy
was well tolerated, and no patients discontinued the study due to adverse events.
CONCLUSION: The present findings indicate the advantage of adding a daily GH dose
to the standard therapy in a subset of severe fibromyalgia patients with low IGF
1 serum levels. TRIAL REGISTRATION: NCT00497562 (ClinicalTrials.gov).
PMID- 18053121
TI - Regulation of nestin expression by thrombin and cell density in cultures of bone
mesenchymal stem cells and radial glial cells.
AB - BACKGROUND: Bone marrow stromal cells and radial glia are two stem cell types
with neural phenotypic plasticity. Bone marrow mesenchymal stem cells can
differentiate into osteocytes, chondrocytes and adipocytes, but can also
differentiate into non-mesenchymal cell, i.e. neural cells in appropriate in vivo
and in vitro experimental conditions. Likewise, radial glial cells are the
progenitors of many neurons in the developing cortex, but can also generate
astrocytes. Both cell types express nestin, an intermediate filament protein
which is the hallmark of neural precursors. RESULTS: In this study, we
demonstrate that thrombin, a multifunctional serine protease, stimulates the
growth of radial glial cells (RG) and mesenchymal stem cells (MSCs) in a dose
dependent manner. In RG, the mitogenic effect of thrombin is correlated with
increased expression of nestin but in MSCs, this mitogenic effect is associated
with nestin down-regulation. Both cell types express the PAR-1 type receptor for
Thrombin and the effect of Thrombin on both cell types can be mimicked by its
analogue TRAP-6 activating specifically this receptor subtype or by serum which
contains various amount of thrombin. Moreover, we also demonstrate that serum
deprivation-induced expression of nestin in MSCs is inhibited by high cell
density (> 50,000 cells/cm2). CONCLUSION: This work shows that thrombin
stimulates the growth of both RG and MSCs and that nestin expression by MSCs and
RG is regulated in opposite manner by thrombin in vitro. Thrombin effect is thus
associated in both cell types with a proliferating, undifferentiated state but in
RG this involves the induction of nestin expression, a marker of immaturity for
neural progenitors. In MSCs however, nestin expression, as it corresponds to a
progression from the mesenchymal "undifferentiated", proliferating phenotype
toward acquisition of a neural fate, is inhibited by the mitogenic signal.
PMID- 18053122
TI - A conceptual framework for implementation fidelity.
AB - BACKGROUND: Implementation fidelity refers to the degree to which an intervention
or programme is delivered as intended. Only by understanding and measuring
whether an intervention has been implemented with fidelity can researchers and
practitioners gain a better understanding of how and why an intervention works,
and the extent to which outcomes can be improved. DISCUSSION: The authors
undertook a critical review of existing conceptualisations of implementation
fidelity and developed a new conceptual framework for understanding and measuring
the process. The resulting theoretical framework requires testing by empirical
research. SUMMARY: Implementation fidelity is an important source of variation
affecting the credibility and utility of research. The conceptual framework
presented here offers a means for measuring this variable and understanding its
place in the process of intervention implementation.
PMID- 18053123
TI - Experimental transmission of Anaplasma marginale by male Dermacentor reticulatus.
AB - BACKGROUND: Bovine anaplasmosis has been reported in several European countries,
but the vector competency of tick species for Anaplasma marginale from these
localities has not been determined. Because of the wide distributional range of
Dermacentor reticulatus within Europe and the major role of Dermacentor spp. as a
vector of A. marginale in the United States, we tested the vector competency of
D. reticulatus for A. marginale. RESULTS: Male D. reticulatus were allowed to
feed for 7 days on a calf persistently infected with a Zaria isolate of A.
marginale, after which they were removed and held off-host for 7 days. The ticks
were then allowed to feed a second time for 7 days on a susceptible tick-naive
calf. Infection of calf No. 4291 was detected 20 days post exposure (p.i.) and
confirmed by msp4 PCR. Thirty percent of the dissected acquisition fed ticks was
infected. In addition, A. marginale colonies were detected by light microscopy in
the salivary glands of the acquisition fed ticks. Transmission of A. marginale to
calf No. 9191 was confirmed by examination of Giemsa-stained blood smears and
msp4 PCR. Ticks were dissected after transmission feeding and presence of A.
marginale was confirmed in 18.5% of the dissected ticks. CONCLUSION: This study
demonstrates that D. reticulatus males are competent vectors of A. marginale.
Further studies are needed to confirm the vector competency of D. reticulatus for
other A. marginale strains from geographic areas in Europe.
PMID- 18053124
TI - The Fer tyrosine kinase regulates an axon retraction response to Semaphorin 3A in
dorsal root ganglion neurons.
AB - BACKGROUND: Fps/Fes and Fer are the only two members of a distinct subclass of
cytoplasmic protein tyrosine kinases. Fps/Fes was previously implicated in
Semaphorin 3A (Sema3A)-induced growth cone collapse signaling in neurons from the
dorsal root ganglion (DRG) through interaction with and phosphorylation of the
Sema3A receptor component PlexinA1, and members of the collapsin response
mediator protein (CRMP) family of microtubule regulators. However, the potential
role of the closely related Fer kinase has not been examined. RESULTS: Here we
provide novel biochemical and genetic evidence that Fer plays a prominent role in
microtubule regulation in DRG neurons in response to Sema3A. Although Fps/Fes and
Fer were both expressed in neonatal brains and isolated DRGs, Fer was expressed
at higher levels; and Fer, but not Fps/Fes kinase activity was detected in vivo.
Fer also showed higher in vitro kinase activity toward tubulin, as an exogenous
substrate; and this activity was higher when the kinases were isolated from
perinatal relative to adult brain stages. CRMP2 was a substrate for both kinases
in vitro, but both CRMP2 and PlexinA1 inhibited their autophosphorylation
activities. Cultured mouse DRG neurons retracted their axons upon exposure to
Sema3A, and this response was significantly diminished in Fer-deficient, but only
slightly attenuated in Fps/Fes-deficient DRG neurons. CONCLUSION: Fps/Fes and Fer
are both capable of phosphorylating tubulin and the microtubule regulator CRMP2
in vitro; and their in vitro kinase activities were both inhibited by CRMP2 or
PlexinA1, suggesting a possible regulatory interaction. Furthermore, Fer plays a
more prominent role than Fps/Fes in regulating the axon retraction response to
Sema3A in DRG neurons. Therefore, Fps/Fes and Fer may play important roles in
developmental or regenerative axon pathfinding through signaling from Sema3A to
the microtubule cytoskeleton.
PMID- 18053125
TI - Restriction landmark genomic scanning (RLGS) spot identification by second
generation virtual RLGS in multiple genomes with multiple enzyme combinations.
AB - BACKGROUND: Restriction landmark genomic scanning (RLGS) is one of the most
successfully applied methods for the identification of aberrant CpG island
hypermethylation in cancer, as well as the identification of tissue specific
methylation of CpG islands. However, a limitation to the utility of this method
has been the ability to assign specific genomic sequences to RLGS spots, a
process commonly referred to as "RLGS spot cloning." RESULTS: We report the
development of a virtual RLGS method (vRLGS) that allows for RLGS spot
identification in any sequenced genome and with any enzyme combination. We report
significant improvements in predicting DNA fragment migration patterns by
incorporating sequence information into the migration models, and demonstrate a
median Euclidian distance between actual and predicted spot migration of 0.18
centimeters for the most complex human RLGS pattern. We report the confirmed
identification of 795 human and 530 mouse RLGS spots for the most commonly used
enzyme combinations. We also developed a method to filter the virtual spots to
reduce the number of extra spots seen on a virtual profile for both the mouse and
human genomes. We demonstrate use of this filter to simplify spot cloning and to
assist in the identification of spots exhibiting tissue-specific methylation.
CONCLUSION: The new vRLGS system reported here is highly robust for the
identification of novel RLGS spots. The migration models developed are not
specific to the genome being studied or the enzyme combination being used, making
this tool broadly applicable. The identification of hundreds of mouse and human
RLGS spot loci confirms the strong bias of RLGS studies to focus on CpG islands
and provides a valuable resource to rapidly study their methylation.
PMID- 18053126
TI - Immune reconstitution inflammatory syndrome in association with HIV/AIDS and
tuberculosis: views over hidden possibilities.
AB - Gut immune components are severely compromised among persons with AIDS, which
allows increased translocation of bacterial lipopolysaccharides (LPS) into the
systemic circulation. These microbial LPS are reportedly increased in chronically
HIV-infected individuals and findings have correlated convincingly with measures
of immune activation. Immune reconstitution inflammatory syndrome (IRIS) is an
adverse consequence of the restoration of pathogen-specific immune responses in a
subset of HIV-infected subjects with underlying latent infections during the
initial months of highly active antiretroviral treatment (HAART). Whether IRIS is
the result of a response to a high antigen burden, an excessive response by the
recovering immune system, exacerbated production of pro-inflammatory cytokines or
a lack of immune regulation due to inability to produce regulatory cytokines
remains to be determined. We theorize that those who develop IRIS have a high
burden of proinflammatory cytokines produced also in response to systemic
bacterial LPS that nonspecifically act on latent mycobacterial antigens. We also
hypothesize that subjects that do not develop IRIS could have developed either
tolerance (anergy) to persistent LPS/tubercle antigens or could have normal
FOXP3+ gene and that those with defective FOXP3+ gene or those with enormous
plasma LPS could be vulnerable to IRIS. The measure of microbial LPS, anti-LPS
antibodies and nonspecific plasma cytokines in subjects on HAART shall predict
the role of these components in IRIS.
PMID- 18053127
TI - The establishment and utility of Sweha-Reg: a Swedish population-based registry
to understand hereditary angioedema.
AB - BACKGROUND: The importance of acquiring comprehensive epidemiological and
clinical data on hereditary angioedema has increasingly caught the attention of
physicians and scientists around the world. The development of networks and
creation of comprehensive policies to improve care of people suffering from rare
diseases, such as hereditary angioedema, is a stated top priority of the European
Union. Hereditary angioedema is a rare disease, that it may be life-threatening.
Although the exact prevalence is unknown, current estimates suggest that it is
1/10,000-1/150,000 individuals. The low prevalence requires combined efforts to
gain accurate epidemiological data on the disease and so give us tools to reduce
morbidity and mortality, and improve quality of life of sufferers. METHODS: Sweha
Reg is a population-based registry of hereditary angioedema in Sweden with the
objectives of providing epidemiological data, and so creates a framework for the
study of this disease. The registry contains individual-based data on diagnoses,
treatments and outcomes. CONCLUSION: The present manuscript seeks to raise
awareness of the existence of Sweha-Reg to stimulate the international
collaboration of registries. A synthesis of data from similar registries across
several countries is required to approach an inclusive course understanding of
HAE.
PMID- 18053128
TI - An insight into the phylogenetic history of HOX linked gene families in
vertebrates.
AB - BACKGROUND: The human chromosomes 2q, 7, 12q and 17q show extensive intra-genomic
homology, containing duplicate, triplicate and quadruplicate paralogous regions
centered on the HOX gene clusters. The fact that two or more representatives of
different gene families are linked with HOX clusters is taken as evidence that
these paralogous gene sets might have arisen from a single chromosomal segment
through block or whole chromosome duplication events. This would imply that the
constituent genes including the HOX clusters reflect the architecture of a single
ancestral block (before vertebrate origin) where all of these genes were linked
in a single copy. RESULTS: In the present study we have employed the currently
available set of protein data for a wide variety of vertebrate and invertebrate
genomes to analyze the phylogenetic history of 11 multigene families with three
or more of their representatives linked to human HOX clusters. A topology
comparison approach revealed four discrete co-duplicated groups: group 1 involves
the genes from GLI, HH, INHB, IGFBP (cluster-1), and SLC4A families; group 2
involves ERBB, ZNFN1A, and IGFBP (cluster-2) gene families; group 3 involves the
HOX clusters and the SP gene family; group 4 involves the integrin beta chain and
myosine light chain families. The distinct genes within each co-duplicated group
share the same evolutionary history and are duplicated in concert with each
other, while the constituent genes of two different co-duplicated groups may not
share their evolutionary history and may not have duplicated simultaneously.
CONCLUSION: We conclude that co-duplicated groups may themselves be remnants of
ancient small-scale duplications (involving chromosomal segments or gene
clusters) which occurred at different time points during chordate evolution.
Whereas the recent combination of genes from distinct co-duplicated groups on
different chromosomal regions (human chromosomes 2q, 7, 12q, and 17q) is probably
the outcome of subsequent rearrangement of genomic segments, including syntenic
groups of genes.
PMID- 18053129
TI - Factors associated with herb and dietary supplement use by young adults in the
United States.
AB - BACKGROUND: Little is known about the association between use of herbs and
dietary supplements (HDS) and lifestyle/behavior factors in young adults in the
US. METHODS: Analyzing the 2002 National Health Interview Survey (NHIS), we
examined the patterns of HDS (excluding vitamins/minerals) use among young adults
in the United States using descriptive statistics and logistic regression.
RESULTS: In our sample of 18 to 30 year olds (n = 6666), 26% were current
smokers, 24% were moderate/heavy drinkers, 43% had high physical activity, and
54% and 76% use prescription and over the counter (OTC) medications respectively.
Non-vitamin, non-mineral HDS was used by 17% of the overall sample in the last 12
months. In the multivariable analysis, the lifestyle and behavioral factors
associated with HDS use include: current smoking (odds ratio 1.41 95% CI [1.16
1.72]); being a former smoker (1.50 [1.15-1.95]); moderate/heavy alcohol use
(2.02 [1.53-2.65]); high physical activity levels (2.45 [1.98-3.03]); and
prescription medication use (1.51 [1.26-1.81]). Among HDS users, only 24%
discussed their use with a health care professional. CONCLUSION: Nearly one in
five young adults report using non-vitamin/non-mineral HDS.
PMID- 18053130
TI - Generating confidence intervals on biological networks.
AB - BACKGROUND: In the analysis of networks we frequently require the statistical
significance of some network statistic, such as measures of similarity for the
properties of interacting nodes. The structure of the network may introduce
dependencies among the nodes and it will in general be necessary to account for
these dependencies in the statistical analysis. To this end we require some form
of Null model of the network: generally rewired replicates of the network are
generated which preserve only the degree (number of interactions) of each node.
We show that this can fail to capture important features of network structure,
and may result in unrealistic significance levels, when potentially confounding
additional information is available. METHODS: We present a new network resampling
Null model which takes into account the degree sequence as well as available
biological annotations. Using gene ontology information as an illustration we
show how this information can be accounted for in the resampling approach, and
the impact such information has on the assessment of statistical significance of
correlations and motif-abundances in the Saccharomyces cerevisiae protein
interaction network. An algorithm, GOcardShuffle, is introduced to allow for the
efficient construction of an improved Null model for network data. RESULTS: We
use the protein interaction network of S. cerevisiae; correlations between the
evolutionary rates and expression levels of interacting proteins and their
statistical significance were assessed for Null models which condition on
different aspects of the available data. The novel GOcardShuffle approach results
in a Null model for annotated network data which appears better to describe the
properties of real biological networks. CONCLUSION: An improved statistical
approach for the statistical analysis of biological network data, which
conditions on the available biological information, leads to qualitatively
different results compared to approaches which ignore such annotations. In
particular we demonstrate the effects of the biological organization of the
network can be sufficient to explain the observed similarity of interacting
proteins.
PMID- 18053131
TI - A new generation of pPRIG-based retroviral vectors.
AB - BACKGROUND: Retroviral vectors are valuable tools for gene transfer. Particularly
convenient are IRES-containing retroviral vectors expressing both the protein of
interest and a marker protein from a single bicistronic mRNA. This coupled
expression increases the relevance of tracking and/or selection of transduced
cells based on the detection of a marker protein. pAP2 is a retroviral vector
containing eGFP downstream of a modified IRES element of EMCV origin, and a CMV
enhancer-promoter instead of the U3 region of the 5'LTR, which increases its
efficiency in transient transfection. However, pAP2 contains a limited
multicloning site (MCS) and shows weak eGFP expression, which previously led us
to engineer an improved version, termed pPRIG, harboring: i) the wild-type ECMV
IRES sequence, thereby restoring its full activity; ii) an optimized MCS flanked
by T7 and SP6 sequences; and iii) a HA tag encoding sequence 5' of the MCS (pPRIG
HAa/b/c). RESULTS: The convenience of pPRIG makes it a good basic vector to
generate additional derivatives for an extended range of use. Here we present
several novel pPRIG-based vectors (collectively referred to as PRIGs) in which :
i) the HA tag sequence was inserted in the three reading frames 3' of the MCS
(3'HA PRIGs); ii) a functional domain (ER, VP16 or KRAB) was inserted either 5'
or 3' of the MCS (<< modular >> PRIGs); iii) eGFP was replaced by either eCFP,
eYFP, mCherry or puro-R (<< single color/resistance >> PRIGs); and iv) mCherry,
eYFP or eGFP was inserted 5' of the MCS of the IRES-eGFP, IRES-eCFP or IRES-Puro
R containing PRIGs, respectively (<< dual color/selection >> PRIGs).
Additionally, some of these PRIGs were also constructed in a pMigR MSCV
background which has been widely used in pluripotent cells. CONCLUSION: These
novel vectors allow for straightforward detection of any expressed protein (3'HA
PRIGs), for functional studies of chimeric proteins (<< modular >> PRIGs), for
multiple transductions and fluorescence analyses of transduced cells (<< single
color/resistance >> PRIGs), or for quantitative detection of studied proteins in
independently identified/selected transduced cells (<< dual color/selection >>
PRIGs). They maintain the original advantages of pPRIG and provide suitable tools
for either transient or stable expression and functional studies in a large range
of experimental settings.
PMID- 18053132
TI - Statistical learning of peptide retention behavior in chromatographic
separations: a new kernel-based approach for computational proteomics.
AB - BACKGROUND: High-throughput peptide and protein identification technologies have
benefited tremendously from strategies based on tandem mass spectrometry (MS/MS)
in combination with database searching algorithms. A major problem with existing
methods lies within the significant number of false positive and false negative
annotations. So far, standard algorithms for protein identification do not use
the information gained from separation processes usually involved in peptide
analysis, such as retention time information, which are readily available from
chromatographic separation of the sample. Identification can thus be improved by
comparing measured retention times to predicted retention times. Current
prediction models are derived from a set of measured test analytes but they
usually require large amounts of training data. RESULTS: We introduce a new
kernel function which can be applied in combination with support vector machines
to a wide range of computational proteomics problems. We show the performance of
this new approach by applying it to the prediction of peptide adsorption/elution
behavior in strong anion-exchange solid-phase extraction (SAX-SPE) and ion-pair
reversed-phase high-performance liquid chromatography (IP-RP-HPLC). Furthermore,
the predicted retention times are used to improve spectrum identifications by a p
value-based filtering approach. The approach was tested on a number of different
datasets and shows excellent performance while requiring only very small training
sets (about 40 peptides instead of thousands). Using the retention time predictor
in our retention time filter improves the fraction of correctly identified
peptide mass spectra significantly. CONCLUSION: The proposed kernel function is
well-suited for the prediction of chromatographic separation in computational
proteomics and requires only a limited amount of training data. The performance
of this new method is demonstrated by applying it to peptide retention time
prediction in IP-RP-HPLC and prediction of peptide sample fractionation in SAX
SPE. Finally, we incorporate the predicted chromatographic behavior in a p-value
based filter to improve peptide identifications based on liquid chromatography
tandem mass spectrometry.
PMID- 18053133
TI - Primary mediastinal liposarcoma: a case report.
AB - INTRODUCTION: Liposarcoma is the most commonly diagnosed soft tissue sarcoma in
adults and occurs predominantly in the lower limbs and retroperitoneum. Primary
mediastinal liposarcomas are rare.They are often asymptomatic and when growing to
large size the presenting symptoms are related to direct invasion or compression
of other thoracic organs such as the heart, great vessels and lung. CASE
PRESENTATION: A case of a 68-year-old man with primary mediastinal liposarcoma
involving the diaphragm and pericardium and successfully managed by complete
surgical excision is presented. The patient's postoperative course was uneventful
with no evidence of recurrence 9 months after the operation. CONCLUSION: Surgical
removal is the optimal treatment for a mediastinal liposarcoma. If the entire
tumor can not be resected, surgical debulking often results in symptomatic
relief. International literature has demonstrated that recurrent disease occurs
and therefore a long-term careful follow up is required.
PMID- 18053134
TI - A structural analysis of in vitro catalytic activities of hammerhead ribozymes.
AB - BACKGROUND: Ribozymes are small catalytic RNAs that possess the dual functions of
sequence-specific RNA recognition and site-specific cleavage. Trans-cleaving
ribozymes can inhibit translation of genes at the messenger RNA (mRNA) level in
both eukaryotic and prokaryotic systems and are thus useful tools for studies of
gene function. However, identification of target sites for efficient cleavage
poses a challenge. Here, we have considered a number of structural and
thermodynamic parameters that can affect the efficiency of target cleavage, in an
attempt to identify rules for the selection of functional ribozymes. RESULTS: We
employed the Sfold program for RNA secondary structure prediction, to account for
the likely population of target structures that co-exist in dynamic equilibrium
for a specific mRNA molecule. We designed and prepared 15 hammerhead ribozymes to
target GUC cleavage sites in the mRNA of the breast cancer resistance protein
(BCRP). These ribozymes were tested, and their catalytic activities were measured
in vitro. We found that target disruption energy owing to the alteration of the
local target structure necessary for ribozyme binding, and the total energy
change of the ribozyme-target hybridization, are two significant parameters for
prediction of ribozyme activity. Importantly, target disruption energy is the
major contributor to the predictability of ribozyme activity by the total energy
change. Furthermore, for a target-site specific ribozyme, incorrect folding of
the catalytic core, or interactions involving the two binding arms and the end
sequences of the catalytic core, can have detrimental effects on ribozyme
activity. CONCLUSION: The findings from this study suggest rules for structure
based rational design of trans-cleaving hammerhead ribozymes in gene knockdown
studies. Tools implementing these rules are available from the Sribo module and
the Srna module of the Sfold program available through Web server at
http://sfold.wadsworth.org.
PMID- 18053136
TI - Capacity management of nursing staff as a vehicle for organizational improvement.
AB - BACKGROUND: Capacity management systems create insight into required resources
like staff and equipment. For inpatient hospital care, capacity management
requires information on beds and nursing staff capacity, on a daily as well as
annual basis. This paper presents a comprehensive capacity model that gives
insight into required nursing staff capacity and opportunities to improve
capacity utilization on a ward level. METHODS: A capacity model was developed to
calculate required nursing staff capacity. The model used historical bed
utilization, nurse-patient ratios, and parameters concerning contract hours to
calculate beds and nursing staff needed per shift and the number of nurses needed
on an annual basis in a ward. The model was applied to three different capacity
management problems on three separate groups of hospital wards. The problems
entailed operational, tactical, and strategic management issues: optimizing
working processes on pediatric wards, predicting the consequences of reducing
length of stay on nursing staff required on a cardiology ward, and calculating
the nursing staff consequences of merging two internal medicine wards. RESULTS:
It was possible to build a model based on easily available data that calculate
the nursing staff capacity needed daily and annually and that accommodate
organizational improvements. Organizational improvement processes were initiated
in three different groups of wards. For two pediatric wards, the most important
improvements were found to be improving working processes so that the agreed
nurse-patient ratios could be attained. In the second case, for a cardiology
ward, what-if analyses with the model showed that workload could be substantially
lowered by reducing length of stay. The third case demonstrated the possible
savings in capacity that could be achieved by merging two small internal medicine
wards. CONCLUSION: A comprehensive capacity model was developed and successfully
applied to support capacity decisions on operational, tactical, and strategic
levels. It appeared to be a useful tool for supporting discussions between wards
and hospital management by giving objective and quantitative insight into staff
and bed requirements. Moreover, the model was applied to initiate organizational
improvements, which resulted in more efficient capacity utilization.
PMID- 18053135
TI - Exosomal transfer of proteins and RNAs at synapses in the nervous system.
AB - BACKGROUND: Many cell types have been reported to secrete small vesicles called
exosomes, that are derived from multivesicular bodies and that can also form from
endocytic-like lipid raft domains of the plasma membrane. Secretory exosomes
contain a characteristic composition of proteins, and a recent report indicates
that mast cell exosomes harbor a variety of mRNAs and microRNAs as well. Exosomes
express cell recognition molecules on their surface that facilitate their
selective targeting and uptake into recipient cells. RESULTS: In this review, I
suggest that exosomal secretion of proteins and RNAs may be a fundamental mode of
communication within the nervous system, supplementing the known mechanisms of
anterograde and retrograde signaling across synapses. In one specific scenario,
exosomes are proposed to bud from the lipid raft region of the postsynaptic
membrane adjacent to the postsynaptic density, in a manner that is stimulated by
stimuli that elicit long-term potentiation. The exosomes would then transfer
newly synthesized synaptic proteins (such as CAM kinase II alpha) and synaptic
RNAs to the presynaptic terminal, where they would contribute to synaptic
plasticity. CONCLUSION: The model is consistent with the known cellular and
molecular features of synaptic neurobiology and makes a number of predictions
that can be tested in vitro and in vivo.
PMID- 18053137
TI - Scar-like lesion on dorsal nose (cellular neurothekeoma).
AB - Neurothekeomas are tumors of neural differentiation and of unknown origin that
occur in females at the 2nd and 3rd decades of life. They usually affect the face
with an unspecific clinical aspect. The histological features include cellular or
mixoid differentiation and immunohistochemistry can be positive for protein s
100, vimentin and epithelilal membrane antigen (EMA). This case report presents a
13-year-old female patient with nasal neurothekeoma of cellular variety and
strongly positive for vimentin and s-100; and negative for EMA.
PMID- 18053138
TI - Environmental adversity and uncertainty favour cooperation.
AB - BACKGROUND: A major cornerstone of evolutionary biology theory is the explanation
of the emergence of cooperation in communities of selfish individuals. There is
an unexplained tendency in the plant and animal world - with examples from alpine
plants, worms, fish, mole-rats, monkeys and humans - for cooperation to flourish
where the environment is more adverse (harsher) or more unpredictable. RESULTS:
Using mathematical arguments and computer simulations we show that in more
adverse environments individuals perceive their resources to be more
unpredictable, and that this unpredictability favours cooperation. First we show
analytically that in a more adverse environment the individual experiences
greater perceived uncertainty. Second we show through a simulation study that
more perceived uncertainty implies higher level of cooperation in communities of
selfish individuals. CONCLUSION: This study captures the essential features of
the natural examples: the positive impact of resource adversity or uncertainty on
cooperation. These newly discovered connections between environmental adversity,
uncertainty and cooperation help to explain the emergence and evolution of
cooperation in animal and human societies.
PMID- 18053139
TI - OrthoMaM: a database of orthologous genomic markers for placental mammal
phylogenetics.
AB - BACKGROUND: Molecular sequence data have become the standard in modern day
phylogenetics. In particular, several long-standing questions of mammalian
evolutionary history have been recently resolved thanks to the use of molecular
characters. Yet, most studies have focused on only a handful of standard markers.
The availability of an ever increasing number of whole genome sequences is a
golden mine for modern systematics. Genomic data now provide the opportunity to
select new markers that are potentially relevant for further resolving branches
of the mammalian phylogenetic tree at various taxonomic levels. DESCRIPTION: The
EnsEMBL database was used to determine a set of orthologous genes from 12
available complete mammalian genomes. As targets for possible amplification and
sequencing in additional taxa, more than 3,000 exons of length > 400 bp have been
selected, among which 118, 368, 608, and 674 are respectively retrieved for 12,
11, 10, and 9 species. A bioinformatic pipeline has been developed to provide
evolutionary descriptors for these candidate markers in order to assess their
potential phylogenetic utility. The resulting OrthoMaM (Orthologous Mammalian
Markers) database can be queried and alignments can be downloaded through a
dedicated web interface http://kimura.univ-montp2.fr/orthomam. CONCLUSION: The
importance of marker choice in phylogenetic studies has long been stressed. Our
database centered on complete genome information now makes possible to select
promising markers to a given phylogenetic question or a systematic framework by
querying a number of evolutionary descriptors. The usefulness of the database is
illustrated with two biological examples. First, two potentially useful markers
were identified for rodent systematics based on relevant evolutionary parameters
and sequenced in additional species. Second, a complete, gapless 94 kb
supermatrix of 118 orthologous exons was assembled for 12 mammals. Phylogenetic
analyses using probabilistic methods unambiguously supported the new placental
phylogeny by retrieving the monophyly of Glires, Euarchontoglires,
Laurasiatheria, and Boreoeutheria. Muroid rodents thus do not represent a basal
placental lineage as it was mistakenly reasserted in some recent phylogenomic
analyses based on fewer taxa. We expect the OrthoMaM database to be useful for
further resolving the phylogenetic tree of placental mammals and for better
understanding the evolutionary dynamics of their genomes, i.e., the forces that
shaped coding sequences in terms of selective constraints.
PMID- 18053140
TI - Prevalence of anemia and deficiency of iron, folic acid, and zinc in children
younger than 2 years of age who use the health services provided by the Mexican
Social Security Institute.
AB - BACKGROUND: In Mexico, as in other developing countries, micronutrient
deficiencies are common in infants between 6 and 24 months of age and are an
important public health problem. The objective of this study was to determine the
prevalence of anemia and of iron, folic acid, and zinc deficiencies in Mexican
children under 2 years of age who use the health care services provided by the
Mexican Institute for Social Security (IMSS). METHODS: A nationwide survey was
conducted with a representative sample of children younger than 2 years of age,
beneficiaries, and users of health care services provided by IMSS through its
regular regimen (located in urban populations) and its Oportunidades program
(services offered in rural areas). A subsample of 4,955 clinically healthy
children was studied to determine their micronutrient status. A venous blood
sample was drawn to determine hemoglobin, serum ferritin, percent of transferrin
saturation, zinc, and folic acid. Descriptive statistics include point estimates
and 95% confidence intervals for the sample and projections for the larger
population from which the sample was drawn. RESULTS: Twenty percent of children
younger than 2 years of age had anemia, and 27.8% (rural) to 32.6% (urban) had
iron deficiency; more than 50% of anemia was not associated with low ferritin
concentrations. Iron stores were more depleted as age increased. Low serum zinc
and folic acid deficiencies were 28% and 10%, respectively, in the urban areas,
and 13% and 8%, respectively, in rural areas. The prevalence of simultaneous iron
and zinc deficiencies was 9.2% and 2.7% in urban and rural areas. Children with
anemia have higher percentages of folic acid deficiency than children with normal
iron status. CONCLUSION: Iron and zinc deficiencies constitute the principal
micronutrient deficiencies in Mexican children younger than 2 years old who use
the health care services provided by IMSS. Anemia not associated with low
ferritin values was more prevalent than iron-deficiency anemia. The presence of
micronutrient deficiencies at this early age calls for effective preventive
public nutrition programs to address them.
PMID- 18053141
TI - Detecting groups of coevolving positions in a molecule: a clustering approach.
AB - BACKGROUND: Although the patterns of co-substitutions in RNA is now well
characterized, detection of coevolving positions in proteins remains a difficult
task. It has been recognized that the signal is typically weak, due to the fact
that (i) amino-acid are characterized by various biochemical properties, so that
distinct amino acids changes are not functionally equivalent, and (ii) a given
mutation can be compensated by more than one mutation, at more than one position.
RESULTS: We present a new method based on phylogenetic substitution mapping. The
two above-mentioned problems are addressed by (i) the introduction of a weighted
mapping, which accounts for the biochemical effects (volume, polarity, charge) of
amino-acid changes, (ii) the use of a clustering approach to detect groups of
coevolving sites of virtually any size, and (iii) the distinction between
biochemical compensation and other coevolutionary mechanisms. We apply this
methodology to a previously studied data set of bacterial ribosomal RNA, and to
three protein data sets (myoglobin of vertebrates, S-locus Receptor Kinase and
Methionine Amino-Peptidase). CONCLUSION: We succeed in detecting groups of sites
which significantly depart the null hypothesis of independence. Group sizes range
from pairs to groups of size approximately 10, depending on the substitution
weights used. The structural and functional relevance of these groups of sites
are assessed, and the various evolutionary processes potentially generating
correlated substitution patterns are discussed.
PMID- 18053142
TI - Domain motions of Argonaute, the catalytic engine of RNA interference.
AB - BACKGROUND: The Argonaute protein is the core component of the RNA-induced
silencing complex, playing the central role of cleaving the mRNA target. Visual
inspection of static crystal structures already has enabled researchers to
suggest conformational changes of Argonaute that might occur during RNA
interference. We have taken the next step by performing an all-atom normal mode
analysis of the Pyrococcus furiosus and Aquifex aeolicus Argonaute crystal
structures, allowing us to quantitatively assess the feasibility of these
conformational changes. To perform the analysis, we begin with the energy
minimized X-ray structures. Normal modes are then calculated using an all-atom
molecular mechanics force field. RESULTS: The analysis reveals low-frequency
vibrations that facilitate the accommodation of RNA duplexes - an essential step
in target recognition. The Pyrococcus furiosus and Aquifex aeolicus Argonaute
proteins both exhibit low-frequency torsion and hinge motions; however,
differences in the overall architecture of the proteins cause the detailed
dynamics to be significantly different. CONCLUSION: Overall, low-frequency
vibrations of Argonaute are consistent with mechanisms within the current
reaction cycle model for RNA interference.
PMID- 18053143
TI - Does practicing hatha yoga satisfy recommendations for intensity of physical
activity which improves and maintains health and cardiovascular fitness?
AB - BACKGROUND: Little is known about the metabolic and heart rate responses to a
typical hatha yoga session. The purposes of this study were 1) to determine
whether a typical yoga practice using various postures meets the current
recommendations for levels of physical activity required to improve and maintain
health and cardiovascular fitness; 2) to determine the reliability of metabolic
costs of yoga across sessions; 3) to compare the metabolic costs of yoga practice
to those of treadmill walking. METHODS: In this observational study, 20
intermediate-to-advanced level yoga practitioners, age 31.4 +/- 8.3 years,
performed an exercise routine inside a human respiratory chamber (indirect
calorimeter) while wearing heart rate monitors. The exercise routine consisted of
30 minutes of sitting, 56 minutes of beginner-level hatha yoga administered by
video, and 10 minutes of treadmill walking at 3.2 and 4.8 kph each. Measures were
mean oxygen consumption (VO2), heart rate (HR), percentage predicted maximal
heart rate (%MHR), metabolic equivalents (METs), and energy expenditure (kcal).
Seven subjects repeated the protocol so that measurement reliability could be
established. RESULTS: Mean values across the entire yoga session for VO2, HR,
%MHR, METs, and energy/min were 0.6 L/kg/min; 93.2 beats/min; 49.4%; 2.5; and 3.2
kcal/min; respectively. Results of the ICCs (2,1) for mean values across the
entire yoga session for kcal, METs, and %MHR were 0.979 and 0.973, and 0.865,
respectively. CONCLUSION: Metabolic costs of yoga averaged across the entire
session represent low levels of physical activity, are similar to walking on a
treadmill at 3.2 kph, and do not meet recommendations for levels of physical
activity for improving or maintaining health or cardiovascular fitness. Yoga
practice incorporating sun salutation postures exceeding the minimum bout of 10
minutes may contribute some portion of sufficiently intense physical activity to
improve cardio-respiratory fitness in unfit or sedentary individuals. The
measurement of energy expenditure across yoga sessions is highly reliable.
PMID- 18053145
TI - Discovery of novel alternatively spliced C. elegans transcripts by computational
analysis of SAGE data.
AB - BACKGROUND: Alternative RNA splicing allows cells to produce multiple protein
isoforms from one gene. These isoforms may have specialized functions, and may be
tissue- or stage-specific. Our aim was to use computational analysis of SAGE and
genomic data to predict alternatively spliced transcripts expressed in C.
elegans. RESULTS: We predicted novel alternatively spliced variants and confirmed
five of eighteen candidates selected for experimental validation by RT-PCR tests
and DNA sequencing. CONCLUSION: We show that SAGE data can be efficiently used to
discover alternative mRNA isoforms, including those with skipped exons or
retained introns. Our results also imply that C. elegans may produce a larger
number of alternatively spliced transcripts than initially estimated.
PMID- 18053144
TI - Review on solving the forward problem in EEG source analysis.
AB - BACKGROUND: The aim of electroencephalogram (EEG) source localization is to find
the brain areas responsible for EEG waves of interest. It consists of solving
forward and inverse problems. The forward problem is solved by starting from a
given electrical source and calculating the potentials at the electrodes. These
evaluations are necessary to solve the inverse problem which is defined as
finding brain sources which are responsible for the measured potentials at the
EEG electrodes. METHODS: While other reviews give an extensive summary of the
both forward and inverse problem, this review article focuses on different
aspects of solving the forward problem and it is intended for newcomers in this
research field. RESULTS: It starts with focusing on the generators of the EEG:
the post-synaptic potentials in the apical dendrites of pyramidal neurons. These
cells generate an extracellular current which can be modeled by Poisson's
differential equation, and Neumann and Dirichlet boundary conditions. The
compartments in which these currents flow can be anisotropic (e.g. skull and
white matter). In a three-shell spherical head model an analytical expression
exists to solve the forward problem. During the last two decades researchers have
tried to solve Poisson's equation in a realistically shaped head model obtained
from 3D medical images, which requires numerical methods. The following methods
are compared with each other: the boundary element method (BEM), the finite
element method (FEM) and the finite difference method (FDM). In the last two
methods anisotropic conducting compartments can conveniently be introduced. Then
the focus will be set on the use of reciprocity in EEG source localization. It is
introduced to speed up the forward calculations which are here performed for each
electrode position rather than for each dipole position. Solving Poisson's
equation utilizing FEM and FDM corresponds to solving a large sparse linear
system. Iterative methods are required to solve these sparse linear systems. The
following iterative methods are discussed: successive over-relaxation, conjugate
gradients method and algebraic multigrid method. CONCLUSION: Solving the forward
problem has been well documented in the past decades. In the past simplified
spherical head models are used, whereas nowadays a combination of imaging
modalities are used to accurately describe the geometry of the head model.
Efforts have been done on realistically describing the shape of the head model,
as well as the heterogenity of the tissue types and realistically determining the
conductivity. However, the determination and validation of the in vivo
conductivity values is still an important topic in this field. In addition, more
studies have to be done on the influence of all the parameters of the head model
and of the numerical techniques on the solution of the forward problem.
PMID- 18053146
TI - The oncoprotein H-RasV12 increases mitochondrial metabolism.
AB - BACKGROUND: Neoplastic cells increase glycolysis in order to produce anabolic
precursors and energy within the hypoxic environment of a tumor. Ras signaling is
activated in several cancers and has been found to regulate metabolism by
enhancing glycolytic flux to lactate. We examined the effects of sequential
immortalization and H-RasV12-transformation of human bronchial epithelial cells
on the anabolic fate of fully-labeled 13C-glucose-derived carbons using two
dimensional total correlated spectroscopic analysis-nuclear magnetic resonance
spectroscopy (2D TOCSY-NMR). RESULTS: We found that the introduction of activated
H-RasV12 into immortalized human bronchial epithelial cells unexpectedly
increased tricarboxylic acid cycle activity as measured by the direct conversion
of 13C-glucose carbons into the anabolic substrates glutamate/glutamine,
aspartate and uridine. We then observed that immortalization and H-RasV12
transformation of bronchial epithelial cells caused a stepwise increase in oxygen
consumption, a global measure of electron transport chain activity. Importantly,
ectopic expression of H-RasV12 sensitized immortalized cells to the ATP-depleting
and cytotoxic effects of electron transport perturbation using the complex I
inhibitor rotenone. CONCLUSION: Taken together, these data indicate that the
oncoprotein H-RasV12 increases mitochondrial metabolism and provide new rationale
for the targeting of the tricarboxylic acid cycle and electron transport chain as
anti-neoplastic strategies.
PMID- 18053147
TI - Understanding animal fears: a comparison of the cognitive vulnerability and harm
looming models.
AB - BACKGROUND: The Cognitive Vulnerability Model holds that both clinical and sub
clinical manifestations of animal fears are a result of how an animal is
perceived, and can be used to explain both individual differences in fear
acquisition and the uneven distribution of fears in the population. This study
looked at the association between fear of a number of animals and perceptions of
the animals as uncontrollable, unpredictable, dangerous and disgusting. Also
assessed were the perceived loomingness, prior familiarity, and negative
evaluation of the animals as well as possible conditioning experiences. METHODS:
162 first-year University students rated their fear and perceptions of four high
fear and four low-fear animals. RESULTS: Perceptions of the animals as dangerous,
disgusting and uncontrollable were significantly associated with fear of both
high- and low-fear animals while perceptions of unpredictability were
significantly associated with fear of high-fear animals. Conditioning experiences
were unrelated to fear of any animals. In multiple regression analyses,
loomingness did not account for a significant amount of the variance in fear
beyond that accounted for by the cognitive vulnerability variables. However, the
vulnerability variables accounted for between 20% and 51% of the variance in all
animals fears beyond that accounted for by perceptions of the animals as looming.
Perceptions of dangerousness, uncontrollability and unpredictability were highly
predictive of the uneven distribution of animal fears. CONCLUSION: This study
provides support for the Cognitive Vulnerability Model of the etiology of
specific fears and phobias and brings into question the utility of the harm
looming model in explaining animal fear.
PMID- 18053148
TI - Chlorin e6 - polyvinylpyrrolidone mediated photosensitization is effective
against human non-small cell lung carcinoma compared to small cell lung carcinoma
xenografts.
AB - BACKGROUND: Photodynamic therapy (PDT) is an effective local cancer treatment
that involves light activation of a photosensitizer, resulting in oxygen
dependent, free radical-mediated cell death. Little is known about the
comparative efficacy of PDT in treating non-small cell lung carcinoma (NSCLC) and
small cell lung carcinoma (SCLC), despite ongoing clinical trials treating lung
cancers. The present study evaluated the potential use of chlorin e6 -
polyvinylpyrrolidone (Ce6-PVP) as a multimodality photosensitizer for
fluorescence detection and photodynamic therapy (PDT) on NSCLC and SCLC
xenografts. RESULTS: Human NSCLC (NCI-H460) and SCLC (NCI-H526) tumor cell lines
were used to establish tumor xenografts in the chick chorioallantoic membrane
(CAM) model as well as in the Balb/c nude mice. In the CAM model, Ce6-PVP was
applied topically (1.0 mg/kg) and fluorescence intensity was charted at various
time points. Tumor-bearing mice were given intravenous administration of Ce6-PVP
(2.0 mg/kg) and laser irradiation at 665 nm (fluence of 150 J/cm2 and fluence
rate of 125 mW/cm2). Tumor response was evaluated at 48 h post PDT. Studies of
temporal fluorescence pharmacokinetics in CAM tumor xenografts showed that Ce6
PVP has a selective localization and a good accuracy in demarcating NSCLC
compared to SCLC from normal surrounding CAM after 3 h post drug administration.
Irradiation at 3 h drug-light interval showed greater tumor necrosis against
human NSCLC xenografts in nude mice. SCLC xenografts were observed to express
resistance to photosensitization with Ce6-PVP. CONCLUSION: The formulation of Ce6
PVP is distinctly advantageous as a diagnostic and therapeutic agent for
fluorescence diagnosis and PDT of NSCLC.
PMID- 18053150
TI - World AIDS Day 2007: AIDS at 26, are we there yet?
AB - This editorial comments on selected progress made in combating the acquired
immune deficiency syndrome (AIDS) after 26 years and some of the remaining
challenges.
PMID- 18053149
TI - A comprehensive analysis of common genetic variation in prolactin (PRL) and PRL
receptor (PRLR) genes in relation to plasma prolactin levels and breast cancer
risk: the multiethnic cohort.
AB - BACKGROUND: Studies in animals and humans clearly indicate a role for prolactin
(PRL) in breast epithelial proliferation, differentiation, and tumorigenesis.
Prospective epidemiological studies have also shown that women with higher
circulating PRL levels have an increase in risk of breast cancer, suggesting that
variability in PRL may also be important in determining a woman's risk. METHODS:
We evaluated genetic variation in the PRL and PRL receptor (PRLR) genes as
predictors of plasma PRL levels and breast cancer risk among African-American,
Native Hawaiian, Japanese-American, Latina, and White women in the Multiethnic
Cohort Study (MEC). We selected single nucleotide polymorphisms (SNPs) from both
the public (dbSNP) and private (Celera) databases to construct high density SNP
maps that included up to 20 kilobases (kb) upstream of the transcription
initiation site and 10 kb downstream of the last exon of each gene, for a total
coverage of 59 kb in PRL and 210 kb in PRLR. We genotyped 80 SNPs in PRL and 173
SNPs in PRLR in a multiethnic panel of 349 unaffected subjects to characterize
linkage disequilibrium (LD) and haplotype patterns. We sequenced the coding
regions of PRL and PRLR in 95 advanced breast cancer cases (19 of each
racial/ethnic group) to uncover putative functional variation. A total of 33 and
60 haplotype "tag" SNPs (tagSNPs) that allowed for high predictability (Rh2 > or
= 0.70) of the common haplotypes in PRL and PRLR, respectively, were then
genotyped in a multiethnic breast cancer case-control study of 1,615 invasive
breast cancer cases and 1,962 controls in the MEC. We also assessed the
association of common genetic variation with circulating PRL levels in 362
postmenopausal controls without a history of hormone therapy use at blood draw.
Because of the large number of comparisons being performed we used a relatively
stringent type I error criteria (p < 0.0005) for evaluating the significance of
any single association to correct for performing approximately 100 independent
tests, close to the number of tagSNPs genotyped for both genes. RESULTS: We
observed no significant associations between PRL and PRLR haplotypes or
individual SNPs in relation to breast cancer risk. A nominally significant
association was noted between prolactin levels and a tagSNP (tagSNP 44,
rs2244502) in intron 1 of PRL. This SNP showed approximately a 50% increase in
levels between minor allele homozygotes vs. major allele homozygotes. However,
this association was not significant (p = 0.002) using our type I error criteria
to correct for multiple testing, nor was this SNP associated with breast cancer
risk (p = 0.58). CONCLUSION: In this comprehensive analysis covering 59 kb of the
PRL locus and 210 kb of the PRLR locus, we found no significant association
between common variation in these candidate genes and breast cancer risk or
plasma PRL levels. The LD characterization of PRL and PRLR in this multiethnic
population provide a framework for studying these genes in relation to other
disease outcomes that have been associated with PRL, as well as for larger
studies of plasma PRL levels.
PMID- 18053151
TI - Localised pericardial tamponade diagnosed by computed tomography: a case
presentation.
PMID- 18053152
TI - Nanotechnology-based drug delivery systems.
AB - Nanoparticles hold tremendous potential as an effective drug delivery system. In
this review we discussed recent developments in nanotechnology for drug delivery.
To overcome the problems of gene and drug delivery, nanotechnology has gained
interest in recent years. Nanosystems with different compositions and biological
properties have been extensively investigated for drug and gene delivery
applications. To achieve efficient drug delivery it is important to understand
the interactions of nanomaterials with the biological environment, targeting cell
surface receptors, drug release, multiple drug administration, stability of
therapeutic agents and molecular mechanisms of cell signalling involved in
pathobiology of the disease under consideration. Several anti-cancer drugs
including paclitaxel, doxorubicin, 5-fluorouracil and dexamethasone have been
successfully formulated using nanomaterials. Quantom dots, chitosan,
Polylactic/glycolic acid (PLGA) and PLGA-based nanoparticles have also been used
for in vitro RNAi delivery. Brain cancer is one of the most difficult
malignancies to detect and treat mainly because of the difficulty in getting
imaging and therapeutic agents past the blood-brain barrier and into the brain.
Anti-cancer drugs such as loperamide and doxorubicin bound to nanomaterials have
been shown to cross the intact blood-brain barrier and released at therapeutic
concentrations in the brain. The use of nanomaterials including peptide-based
nanotubes to target the vascular endothelial growth factor (VEGF) receptor and
cell adhesion molecules like integrins, cadherins and selectins, is a new
approach to control disease progression.
PMID- 18053153
TI - The results of arthroscopic versus mini-open repair for rotator cuff tears at mid
term follow-up.
AB - BACKGROUND: To prospectively evaluate patients who underwent a "mini-open" repair
versus a completely arthroscopic technique for small to large size rotator cuff
tears. METHODS: Fifty-two patients underwent "mini-open" or all arthroscopic
repair of a full thickness tear of the rotator cuff. Patients who complained of
shoulder pain and/or weakness and who had failed a minimum of 6 weeks of physical
therapy and had at least one sub-acromial injection were surgical candidates. Pre
and post-operative clinical evaluations included the following: 1) demographics;
2) Simple Shoulder Test (SST); 3) University of California, Los Angeles (UCLA)
rating scale; 4) visual analog pain assessment (VAS); and 5) pre-op SF12
assessment. Descriptive analysis was performed for patient demographics and for
all variables. Pre and post outcome scores, range of motion and pain scale were
compared using paired t-tests. Analysis of variance (ANOVA) was used to evaluate
any effect between dependent and independent variables. Significance was set at p
is less than or equal to 0.05. RESULTS: There were 31 females and 21 males. The
average follow-up was 50.6 months (27 - 84 months). The average age was similar
between the two groups [arthroscopic x = 55 years/mini-open x = 58 years, p =
0.7]. Twenty-seven patients underwent arthroscopic repair and 25 underwent repair
with a mini-open incision. The average rotator cuff tear size was 3.1 cm (range:
1-5 centimeters). There was no significant difference in tear size between the
two groups (arthroscopic group = 2.9 cm/mini-open group = 3.2 cm, p = 0.3).
Overall, there was a significant improvement from pre-operative status in
shoulder pain, shoulder function as measured on the Simple Shoulder test and UCLA
Shoulder Form. Visual analog pain improved, on average, 4.4 points and the most
recent Short Shoulder Form and UCLA scores were 8 and 26 respectively. Both
active and passive glenohumeral joint range of motion improved significantly from
pre-operatively. CONCLUSION: Based upon the number available, we found no
statistical difference in outcome between the two groups, indicating that either
procedure is efficacious in the treatment of small and medium size rotator cuff
tears. LEVEL OF EVIDENCE: Type III.
PMID- 18053154
TI - Postoperative phlegmasia caerulea dolens: a case report and consideration of
potential iatrogenic factors.
AB - While the haemorrhagic consequences of anti-coagulants are well and frequently
described in the surgical literature, the paradoxical prothrombotic tendencies of
these drugs tend to be under-recognised due, perhaps, to their clinical
infrequency. However, when these effects pertain, their consequences can be
devastating. Here, we present a postoperative oncology patient who suffered a
massive recrudescence of his lower limb venous thrombosis immediately after
discontinuation of his heparin infusion, despite seemingly being adequately
anticoagulated by warfarin therapy (INR > 2.0). We intend this case to
graphically illustrate the theoretical considerations that must govern the
perioperative use of these drugs in high-risk patients.
PMID- 18053156
TI - An observational study of the effectiveness of practice guideline implementation
strategies examined according to physicians' cognitive styles.
AB - BACKGROUND: Reviews of guideline implementation recommend matching strategies to
the specific setting, but provide little specific guidance about how to do so. We
hypothesized that the highest level of guideline-concordant care would be
achieved where implementation strategies fit well with physicians' cognitive
styles. METHODS: We conducted an observational study of the implementation of
guidelines for hypertension management among patients with diabetes at 43
Veterans' Health Administration medical center primary care clinics. Clinic
leaders provided information about all implementation strategies employed at
their sites. Guidelines implementation strategies were classified as education,
motivation/incentive, or barrier reduction using a pre-specified system.
Physician's cognitive styles were measured on three scales: evidence vs.
experience as the basis of knowledge, sensitivity to pragmatic concerns, and
conformity to local practices. Doctors' decisions were designated guideline
concordant if the patient's blood pressure was within goal range, or if the blood
pressure was out of range and a dose change or medication change was initiated,
or if the patient was already using medications from three classes. RESULTS: The
final sample included 163 physicians and 1,174 patients. All of the participating
sites used one or more educational approaches to implement the guidelines. Over
90% of the sites also provided group or individual feedback on physician
performance on the guidelines, and over 75% implemented some type of reminder
system. A minority of sites used monetary incentives, penalties, or barrier
reduction. The only type of intervention that was associated with increased
guideline-concordant care in a logistic model was barrier reduction (p < 0.02).
The interaction between physicians' conformity scale scores and the effect of
barrier reduction was significant (p < 0.05); physicians ranking lower on the
conformity scale responded more to barrier reduction. CONCLUSION: Guidelines
implementation strategies that were designed to reduce physician time pressure
and task complexity were the only ones that improved performance. Education may
have been necessary but was clearly not sufficient, and more was not better.
Incentives had no discernible effect. Measurable physician characteristics
strongly affected response to implementation strategies.
PMID- 18053155
TI - Requirement of extracellular signal-regulated kinase/mitogen-activated protein
kinase for long-term potentiation in adult mouse anterior cingulate cortex.
AB - Long-term potentiation (LTP) in the anterior cingulate cortex (ACC) is believed
to be critical for higher brain functions including emotion, learning, memory and
chronic pain. N-methyl-D-aspartate (NMDA) receptor-dependent LTP is well studied
and is thought to be important for learning and memory in mammalian brains. As
the downstream target of NMDA receptors, the extracellular signal-regulated
kinase (ERK) in the mitogen-activated protein kinase (MAPK) cascade has been
extensively studied for its involvement in synaptic plasticity, learning and
memory in hippocampus. By contrast, the role of ERK in cingulate LTP has not been
investigated. In this study, we examined whether LTP in ACC requires the
activation of ERK. We found that P42/P44 MAPK inhibitors, PD98059 and U0126,
suppressed the induction of cingulate LTP that was induced by presynaptic
stimulation with postsynaptic depolarization (the pairing protocol). We also
showed that cingulate LTP induced by two other different protocols was also
blocked by PD98059. Moreover, we found that these two inhibitors had no effect on
the maintenance of cingulate LTP. Inhibitors of c-Jun N-terminal kinase (JNK) and
p38, other members of MAPK family, SP600125 and SB203850, suppressed the
induction of cingulate LTP generated by the pairing protocol. Thus, our study
suggests that the MAPK signaling pathway is involved in the induction of
cingulate LTP and plays a critical role in physiological conditions.
PMID- 18053157
TI - Impacts of chronic kidney disease and albuminuria on associations between
coronary heart disease and its traditional risk factors in type 2 diabetic
patients - the Hong Kong diabetes registry.
AB - BACKGROUND: Glycated haemoglobin (HbA1c), blood pressure and body mass index
(BMI) are risk factors for albuminuria, the latter in turn can lead to
hyperlipidaemia. We used novel statistical analyses to examine how albuminuria
and chronic kidney disease (CKD) may influence the effects of other risk factors
on coronary heart disease (CHD). METHODS: A prospective cohort of 7067 Chinese
type 2 diabetic patients without history of CHD enrolled since 1995 were censored
on July 30th, 2005. Cox proportional hazard regression with restricted cubic
spline was used to auto-select predictors. Hazard ratio plots were used to
examine the risk of CHD. Based on these plots, non-linear risk factors were
categorised and the categorised variables were refitted into various Cox models
in a stepwise manner to confirm the findings. RESULTS: Age, male gender, duration
of diabetes, spot urinary albumin: creatinine ratio, estimated glomerular
filtration rate, total cholesterol (TC), high density lipoprotein cholesterol
(HDL-C) and current smoking status were risk factors of CHD. Linear association
between TC and CHD was observed only in patients with albuminuria. Although in
general, increased HDL-C was associated with decreased risk of CHD, full-range
HDL-C was associated with CHD in an A-shaped manner with a zenith at 1.1 mmol/L.
Albuminuria and CKD were the main contributors for the paradoxically positive
association between HDL-C and CHD for HDL-C values less than 1.1 mmol/L.
CONCLUSION: In type 2 diabetes, albuminuria plays a linking role between
conventional risk factors and CHD. The onset of CKD changes risk associations
between lipids and CHD.
PMID- 18053158
TI - Malaria control in Malawi: are the poor being served?
AB - BACKGROUND: In Africa, national governments and international organizations are
focusing on rapidly "scaling up" malaria control interventions to at least 60
percent of vulnerable populations. The potential health and economic benefits of
"scaling up" will depend on the equitable access to malaria control measures by
the poor. This paper analyses the present inequalities in access to malaria
interventions in Malawi. METHODS: Equity in access to malaria control measures
was assessed using the Malawi Demographic Health Survey (DHS) 2000 and the 2004
national survey on malaria control. Utilisation of malaria control methods was
compared across the wealth quintiles, to determine whether the poor were being
reached with malaria control measures. RESULTS: Overall ITN coverage increased
from 5% in 2000 to 35% in 2004. However, there was a disproportionate
concentration of ITNs amongst the least poor compared to the poorest group.
Effective treatment of fever remains unacceptably low with only 17% of the under
five children being promptly treated with an effective antimalarial drug. And
only 29 percent of pregnant women received the recommended dose of at least two
doses during the pregnancy. No income related inequalities were associated with
prompt treatment and IPT use. CONCLUSION: The present distribution strategies for
ITNs are not addressing the needs of the vulnerable groups, especially the poor.
Increasing access to ITNs by the poor will require innovative distribution models
which deliberately target the poorest of the poor.
PMID- 18053159
TI - Native cardiac reserve predicts survival in acute post infarction heart failure
in mice.
AB - Cardiac reserve can be used to predict survival and outcome in patients with
heart failure. The aim of this study was to investigate if native cardiac reserve
could predict survival after myocardial infarction (MI) in mice. METHOD: We
investigated 27 healthy C57Bl6 mice (male symbol10-12 weeks old) with
echocardiography using a high-frequency 15-MHz linear transducer. Investigations
were performed both at rest and after pharmacological stress induced by
dobutamine (1 mug/g body weight i.p.). The day after the echocardiography
examination, a large MI was induced by ligation of the left anterior descending
(LAD) coronary artery for evaluation of mortality rate. RESULTS: Two weeks after
induction of MI, 7 mice were alive (26%). Evaluation of the difference between
the surviving and deceased animals showed that the survivors had a better native
ability to increase systolic performance (DeltaLVESd -1.86 vs -1.28mm p = 0.02)
upon dobutamine challenge, resulting in a better cardiac reserve (DeltaFS 37 vs
25% p = 0.02 and DeltaCO 0.27 vs -0.10 ml/min p = 0.02) and a better chronotropic
reserve (DeltaR-R interval -68 vs -19 ms p < 0.01). A positive relationship was
found between ability to survive and both cardiac (p < 0.05) and chronotropic
reserve (p < 0.05) when the mice were divided into three groups: survivors,
surviving < 7 days, and surviving < 1 day. CONCLUSION: We conclude that before MI
induction the surviving animals had a better cardiac function compared with the
deceased. This indicates that native cardiac and chronotropic reserve may be an
important determinant and predictor of survival in the setting of large MI and
post-infarction heart failure.
PMID- 18053160
TI - Predicting and improving the protein sequence alignment quality by support vector
regression.
AB - BACKGROUND: For successful protein structure prediction by comparative modeling,
in addition to identifying a good template protein with known structure,
obtaining an accurate sequence alignment between a query protein and a template
protein is critical. It has been known that the alignment accuracy can vary
significantly depending on our choice of various alignment parameters such as gap
opening penalty and gap extension penalty. Because the accuracy of sequence
alignment is typically measured by comparing it with its corresponding structure
alignment, there is no good way of evaluating alignment accuracy without knowing
the structure of a query protein, which is obviously not available at the time of
structure prediction. Moreover, there is no universal alignment parameter option
that would always yield the optimal alignment. RESULTS: In this work, we develop
a method to predict the quality of the alignment between a query and a template.
We train the support vector regression (SVR) models to predict the MaxSub scores
as a measure of alignment quality. The alignment between a query protein and a
template of length n is transformed into a (n + 1)-dimensional feature vector,
then it is used as an input to predict the alignment quality by the trained SVR
model. Performance of our work is evaluated by various measures including Pearson
correlation coefficient between the observed and predicted MaxSub scores. Result
shows high correlation coefficient of 0.945. For a pair of query and template, 48
alignments are generated by changing alignment options. Trained SVR models are
then applied to predict the MaxSub scores of those and to select the best
alignment option which is chosen specifically to the query-template pair. This
adaptive selection procedure results in 7.4% improvement of MaxSub scores,
compared to those when the single best parameter option is used for all query
template pairs. CONCLUSION: The present work demonstrates that the alignment
quality can be predicted with reasonable accuracy. Our method is useful not only
for selecting the optimal alignment parameters for a chosen template based on
predicted alignment quality, but also for filtering out problematic templates
that are not suitable for structure prediction due to poor alignment accuracy.
This is implemented as a part in FORECAST, the server for fold-recognition and is
freely available on the web at http://pbil.kaist.ac.kr/forecast.
PMID- 18053161
TI - Tissue factor pathway inhibitor-2 was repressed by CpG hypermethylation through
inhibition of KLF6 binding in highly invasive breast cancer cells.
AB - BACKGROUND: Tissue factor pathway inhibitor-2 (TFPI-2) is a matrix-associated
Kunitz inhibitor that inhibits plasmin and trypsin-mediated activation of zymogen
matrix metalloproteinases involved in tumor progression, invasion and metastasis.
Here, we have investigated the mechanism of DNA methylation on the repression of
TFPI-2 in breast cancer cell lines. RESULTS: We found that both protein and mRNA
of TFPI-2 could not be detected in highly invasive breast cancer cell line MDA-MB
435. To further investigate the mechanism of TFPI-2 repression in breast cancer
cells, 1.5 Kb TFPI-2 promoter was cloned, and several genetic variations were
detected, but the promoter luciferase activities were not affected by the point
mutation in the promoter region and the phenomena was further supported by
deleted mutation. Scan mutation and informatics analysis identified a potential
KLF6 binding site in TFPI-2 promoter. It was revealed, by bisulfite modified
sequence, that the CpG island in TFPI-2 promoter region was hypermethylated in
MDA-MB-435. Finally, using EMSA and ChIP assay, we demonstrated that the CpG
methylation in the binding site of KLF-6 diminished the binding of KLF6 to TFPI-2
promoter. CONCLUSION: In this study, we found that the CpG islands in TFPI-2
promoter was hypermethylated in highly invasive breast cancer cell line, and DNA
methylation in the entire promoter region caused TFPI-2 repression by inducing
inactive chromatin structure and decreasing KLF6 binding to its DNA binding
sequence.
PMID- 18053162
TI - Determination of suitable housekeeping genes for normalisation of quantitative
real time PCR analysis of cells infected with human immunodeficiency virus and
herpes viruses.
AB - The choice of an appropriate housekeeping gene for normalisation purposes has now
become an essential requirement when designing QPCR experiments. This is of
particular importance when using QPCR to measure viral and cellular gene
transcription levels in the context of viral infections as viruses can
significantly interfere with host cell pathways, the components of which
traditional housekeeping genes often encode. In this study we have determined the
reliability of 10 housekeeping genes in context of four heavily studied viral
infections; human immunodeficiency virus type 1, herpes simplex virus type 1,
cytomegalovirus and varicella zoster virus infections using a variety of cell
types and virus strains. This provides researchers of these viruses with a
shortlist of potential housekeeping genes to use as normalisers for QPCR
experiments.
PMID- 18053163
TI - Functional annotation of 19,841 Populus nigra full-length enriched cDNA clones.
AB - BACKGROUND: Populus is one of favorable model plants because of its small genome.
Structural genomics of Populus has reached a breakpoint as nucleotides of the
entire genome have been determined. Reaching the post genome era, functional
genomics of Populus is getting more important for well-comprehended plant
science. Development of bioresorce serving functional genomics is making rapid
progress. Huge efforts have achieved deposits of expressed sequence tags (ESTs)
in various plant species consequently accelerating functional analysis of genes.
ESTs from full-length cDNA clones are especially powerful for accurate molecular
annotation. We promoted collection and annotation of the ESTs from Populus full
length enriched cDNA clones as part of functional genomics of tree species.
RESULTS: We have been collecting the full-length enriched cDNA of the female
poplar (Populus nigra var. italica) for years. By sequencing P. nigra full-length
(PnFL) cDNA libraries, we generated about 116,000 5'-end or 3'-end ESTs
corresponding to 19,841 nonredundant PnFL clones. Population of PnFL cDNA clones
represents 44% of the predicted genes in the Populus genome. CONCLUSION: Our
resource of P. nigra full-length enriched clones is expected to provide valuable
tools to gain further insight into genome annotation and functional genomics in
Populus.
PMID- 18053164
TI - The proinflammatory cytokines IL-2, IL-15 and IL-21 modulate the repertoire of
mature human natural killer cell receptors.
AB - Natural killer (NK) cells play a crucial role in the immune response to micro
organisms and tumours. Recent evidence suggests that NK cells also regulate the
adaptive T-cell response and that it might be possible to exploit this ability to
eliminate autoreactive T cells in autoimmune disease and alloreactive T cells in
transplantation. Mature NK cells consist of a highly diverse population of cells
that expresses different receptors to facilitate recognition of diseased cells
and possibly pathogens themselves. Ex vivo culture of NK cells with cytokines
such as IL-2 and IL-15 is an approach that permits significant expansion of the
NK cell subpopulations, which are likely to have potent antitumour, antiviral, or
immunomodulatory effects in autoimmunity. Our data indicate that the addition of
IL-21 has a synergistic effect by increasing the numbers of NK cells on a large
scale. IL-2 and IL-15 may induce the expression of killer cell immunoglobulin
like receptors (KIRs) in KIR-negative populations, the c-lectin receptor NKG2D
and the natural cytotoxic receptor NKp44. The addition of IL-21 to IL-15 or IL-2
can modify the pattern of the KIR receptors and inhibit NKp44 expression by
reducing the expression of the adaptor DAP-12. IL-21 also preserved the
production of interferon-gamma and enhanced the cytotoxic properties of NK cells.
Our findings indicate that the proinflammatory cytokines IL-2, IL-15 and IL-21
can modify the peripheral repertoire of NK cells. These properties may be used to
endow subpopulations of NK cells with specific phenotypes, which may be used in
ex vivo cellular immunotherapy strategies.
PMID- 18053165
TI - Epidemiology and psycho-social aspects of onchocercal skin diseases in
northeastern Nigeria.
AB - BACKGROUND: Observations were made on the prevalence of onchocerciasis and
Onchocercal Skin Diseases (OSD); frequency of occurrence and anatomical
distribution of OSD in the Hawal River Valley, an established onchocerciasis
endemic focus in north-eastern Nigeria. METHODS: Symptoms of OSD were diagnosed
in 5 844 subjects using Rapid Assessment Method (RAM) while 1 479 of the subjects
chosen from alternate households had their skin biopsies examined for active
microfilariae of Onchocerca volvulus. Also, Focal Group Discussions (FGD) were
conducted at the Health District levels. RESULTS: O. volvulus was recorded in
(19.0%) and OSD in (43.8%) of the subjects. The Mantel-Haenszel test for linear
association showed a close agreement between onchocerciasis prevalence and the
rate of OSD (chi2 = 3.93; p < 0.05). The various forms of OSD occurred in the
order: CPOD (17.7%), APOD (9.9%), DPM (9.0%), LOD (7.0%) and ATR (3.1%). The
overall frequency of occurrence of various symptoms of OSD on different
anatomical locations showed the locations in descending order of occurrence as
lower limbs (24.6%), upper limbs (21.3%), buttocks (19.9%), shoulder & neck
(19.1%), abdomen and trunk (11.3%), backside (10.6), and 'other' sites (7.5%).
The Focal Group Discussion (FGD) revealed the most worrisome consequences of OSD
as social isolation of victims (31.3%), shame and low self esteem (22.7%) and
high cost of medication (15.6%). CONCLUSION: It is recommended that
Onchocerciasis control programmes in the Hawal River Valley and any other focus
with high incidence of OSD should incorporate an aspect that would address the
anxiety and depression caused by various OSD lesions since they carry lots of
psycho-social implications. This would increase acceptance and compliance of the
target population. The classification criteria of onchocerciasis endemicity
should be based on either or both of the O. volvulus and onchocercal skin disease
burden of any community and no longer on O. volvulus parasitic infection rate
alone.
PMID- 18053166
TI - Spatial targeted vector control in the highlands of Burundi and its impact on
malaria transmission.
AB - BACKGROUND: Prevention of malaria epidemics is a priority for African countries.
The 2000 malaria epidemic in Burundi prompted the government to implement
measures for preventing future outbreaks. Case management with artemisinin-based
combination therapy and malaria surveillance were nationally improved. A vector
control programme was initiated in one of the most affected highland provinces.
The focal distribution of malaria vectors in the highlands was the starting point
for designing a targeted vector control strategy. The objective of this study was
to present the results of this strategy on malaria transmission in an African
highland region. METHODS: In Karuzi, in 2002-2005, vector control activities
combining indoor residual spraying and long-lasting insecticidal nets were
implemented. The interventions were done before the expected malaria transmission
period and targeted the valleys between hills, with the expectation that this
would also protect the populations living at higher altitudes. The impact on the
Anopheles population and on malaria transmission was determined by nine cross
sectional surveys carried out at regular intervals throughout the study period.
RESULTS: Anopheles gambiae s.l. and Anopheles funestus represented 95% of the
collected anopheline species. In the valleys, where the vector control activities
were implemented, Anopheles density was reduced by 82% (95% CI: 69-90).
Similarly, transmission was decreased by 90% (95% CI: 63%-97%, p = 0.001). In the
sprayed valleys, Anopheles density was further reduced by 79.5% (95% CI: 51.7
91.3, p < 0.001) in the houses with nets as compared to houses without them. No
significant impact on vector density and malaria transmission was observed in the
hill tops. However, the intervention focused on the high risk areas near the
valley floor, where 93% of the vectors are found and 90% of the transmission
occurs. CONCLUSION: Spatial targeted vector control effectively reduced Anopheles
density and transmission in this highland district. Bed nets have an additional
effect on Anopheles density though this did not translate in an additional impact
on transmission. Though no impact was observed in the hilltops, the programme
successfully covered the areas most at risk. Such a targeted strategy could
prevent the emergence and spread of an epidemic from these high risk foci.
PMID- 18053167
TI - Hypercalcemia after transplant nephrectomy in a hemodialysis patient: a case
report.
AB - INTRODUCTION: Hypercalcemia is a complication often seen in chronic hemodialysis
patients. A rare cause of this condition is sarcoidosis. Its highly variable
clinical presentation is challenging. Especially in patients suffering chronic
kidney graft failure the nonspecific constitutional symptoms of sarcoidosis like
fever, weight loss, arthralgia and fatigue may be easily misleading. CASE
PRESENTATION: A 51 year old male developed hypercalcemia, arthralgia and B
symptoms after explantation of his kidney graft because of suspected acute
rejection. The removed kidney showed vasculopathy and tubulointerstitial
nephritis, which had not been overt in the biopsy taken half a year earlier.
Despite explantation and withdrawal of the immunosuppression the patient's
general condition deteriorated progressively. A rapid rise in serum calcium
finally provoked us to check for sarcoidosis. CT scans of the lungs, broncho
alveolar-lavage and further lab tests confirmed the diagnosis. CONCLUSION: This
case demonstrates that withdrawal of immunosuppressive drugs sometimes unmasks
sarcoidosis. It should be considered as differential diagnosis even in
hemodialysis patients, in whom other reasons for hypercalcemia are much more
common.
PMID- 18053168
TI - A meta-analysis on depression and subsequent cancer risk.
AB - BACKGROUND: The authors tested the hypothesis that depression is a possible
factor influencing the course of cancer by reviewing prospective epidemiological
studies and calculating summary relative risks. METHODS: Studies were identified
by computerized searches of Medline, Embase and PsycINFO. as well as manual
searches of reference lists of selected publications. Inclusion criteria were
cohort design, population-based sample, structured measurement of depression and
outcome of cancer known for depressed and non-depressed subjects RESULTS:
Thirteen eligible studies were identified. Based on eight studies with complete
crude data on overall cancer, our summary relative risk (95% confidence interval)
was 1.19 (1.06-1.32). After adjustment for confounders we pooled a summary
relative risk of 1.12 (0.99-1.26).No significant association was found between
depression and subsequent breast cancer risk, based on seven heterogeneous
studies, with or without adjustment for possible confounders. Subgroup analysis
of studies with a follow-up of ten years or more, however, resulted in a
statistically significant summary relative risk of 2.50 (1.06-5.91).No
significant associations were found for lung, colon or prostate cancer.
CONCLUSION: This review suggests a tendency towards a small and marginally
significant association between depression and subsequent overall cancer risk and
towards a stronger increase of breast cancer risk emerging many years after a
previous depression.
PMID- 18053169
TI - Comparative evaluation of antiproliferative, antiangiogenic and apoptosis
inducing potential of black tea polyphenols in the hamster buccal pouch
carcinogenesis model.
AB - BACKGROUND: To evaluate the relative chemopreventive efficacy of two black tea
polyphenols, Polyphenon-B [P-B] and BTF-35 on 7,12-dimethylbenz [a]anthracene
(DMBA)-induced hamster buccal pouch (HBP) carcinogenesis. METHODS: Hamsters were
divided into 6 groups. The right buccal pouches of animals in groups 1-3 were
painted with 0.5% of DMBA three times a week for 14 weeks. While hamsters in
group 1 received no further treatment, animals in groups 2 and 3 received diet
containing 0.05% P-B and BTF-35 respectively, four weeks before DMBA painting
that was continued until the end of the experiments. Animals in groups 4 and 5
were given P-B and BTF-35 alone respectively as in groups 2 and 3. Group 6
animals served as the untreated control. All the animals were sacrificed after 18
weeks. The expression of p21, cyclin D1, glutathione S-transferase pi (GST-P),
nuclear factor kappa B (NF-kappaB), Bcl-2, Bax, cytochrome C, caspase-3, caspase
9, poly(ADP-ribose) polymerase (PARP), cytokeratins and vascular endothelial
growth factor (VEGF) was analysed by RT-PCR, immunohistochemical and Western blot
analyses. RESULTS: DMBA treated animals developed buccal pouch carcinomas that
displayed increased expression of p21, cyclin D1, GST-P, NF-kappaB, cytokeratins,
VEGF and Bcl-2 with decreased expression of Bax, cytochrome C, caspase-3, caspase
9, and PARP. Dietary administration of both P-B and BTF-35 reduced the incidence
of DMBA-induced HBP carcinomas by modulating markers of cell proliferation, cell
survival, tumour infiltration, angiogenesis, and apoptosis. CONCLUSION: The
results of the present study provide a mechanistic basis for the chemopreventive
potential of black tea polyphenols. The greater efficacy of BTF-35 in inhibiting
HBP carcinogenesis and modulating multiple molecular targets may have a potential
role in the prevention of oral cancer.
PMID- 18053170
TI - Improvement of psychometric properties of a scale measuring inpatient
satisfaction with care: a better response rate and a reduction of the ceiling
effect.
AB - BACKGROUND: The objective was to solve two problems of an already validated scale
measuring inpatient opinion on care: 1) a high non-response rate for some items
due to the "not applicable" response option and 2) a skewed score distribution
with high ceiling effect. METHODS: The EQS-H scale ("echelle de qualite des soins
en hospitalisation") comprised 26 items and 2 sub-scales of 13 items each,
'quality of medical information' (MI) and 'relationships with staff and daily
routine' (RS). Three studies were conducted: a first mono-centre study (n = 552,
response rate = 83.4%, self-completion of the scale the day before discharge) to
construct a shorter version of the scale without the items with high non-response
rate and maintaining those useful to ensure good internal validity (construct,
convergent and divergent) and reliability; a second mono-centre study (n = 1246,
response rate = 77.9%, self-completion of the scale before discharge) to confirm
psychometric properties of the new version; a third multi-centre national study
(n = 886, response rate 41.7%, self-completion at home 15 days after discharge)
to test a new response pattern in order to reduce ceiling effect. RESULTS: Six
items having a non-response rate >20% were deleted, increasing rates of
exhaustive response to all items from 15% to 48%. Factorial analysis supported
the evidence for removing 4 more items to ensure good internal validity and
reliability of the new version. These good results (initial variance explained:
43%; Cronbach's alpha: 0.80 (MI) and 0.81 (RS)) were confirmed by the second
study. The new response format produced a normalisation of the 2 scores with a
large decrease in ceiling effect (25% to 4% for MI subscale and 61% to 8% for
RS). Psychometric properties of the final version were excellent: the 2 subscales
(8 items each) explained 66% of the variance in principal component analysis,
Cronbach's alpha were respectively 0.92 (MI) and 0.93 (RS). CONCLUSION: The new
version of the EQS-H has better psychometric properties than the previous one.
Rates of missing values are lower, and score distribution is normalized. An
English version of this scale focused on quality of medical information delivered
and on relationship with staff already exists, and this could be useful to
conduct cross-cultural studies of health care service quality.
PMID- 18053171
TI - The 3' untranslated region of human Cyclin-Dependent Kinase 5 Regulatory subunit
1 contains regulatory elements affecting transcript stability.
AB - BACKGROUND: CDK5R1 plays a central role in neuronal migration and differentiation
during central nervous system development. CDK5R1 has been implicated in
neurodegenerative disorders and proposed as a candidate gene for mental
retardation. The remarkable size of CDK5R1 3'-untranslated region (3'-UTR)
suggests a role in post-transcriptional regulation of CDK5R1 expression. RESULTS:
The bioinformatic study shows a high conservation degree in mammals and predicts
several AU-Rich Elements (AREs). The insertion of CDK5R1 3'-UTR into luciferase
3'-UTR causes a decreased luciferase activity in four transfected cell lines. We
identified 3'-UTR subregions which tend to reduce the reporter gene expression,
sometimes in a cell line-dependent manner. In most cases the quantitative
analysis of luciferase mRNA suggests that CDK5R1 3'-UTR affects mRNA stability. A
region, leading to a very strong mRNA destabilization, showed a significantly low
half-life, indicating an accelerated mRNA degradation. The 3' end of the
transcript, containing a class I ARE, specifically displays a stabilizing effect
in neuroblastoma cell lines. We also observed the interaction of the stabilizing
neuronal RNA-binding proteins ELAV with the CDK5R1 transcript in SH-SY5Y cells
and identified three 3'-UTR sub-regions showing affinity for ELAV proteins.
CONCLUSION: Our findings evince the presence of both destabilizing and
stabilizing regulatory elements in CDK5R1 3'-UTR and support the hypothesis that
CDK5R1 gene expression is post-transcriptionally controlled in neurons by ELAV
mediated mechanisms. This is the first evidence of the involvement of 3'-UTR in
the modulation of CDK5R1 expression. The fine tuning of CDK5R1 expression by 3'
UTR may have a role in central nervous system development and functioning, with
potential implications in neurodegenerative and cognitive disorders.
PMID- 18053172
TI - Discrepancy in clinical versus radiological parameters describing deformity due
to brace treatment for moderate idiopathic scoliosis.
AB - BACKGROUND: The shape of the torso in patients with idiopathic scoliosis is
considered to reflect the shape of the vertebral column, however the direct
correlation between parameters describing clinical deformity and those
characterizing radiological curvature was reported to be weak. It is not clear if
the management proposed for scoliosis (physiotherapy, brace, surgery) affects
equally the shape of the axial skeleton and the surface of the body. The aim of
the study was to compare clinical deformity of (1) idiopathic scoliosis girls
being under brace treatment for radiological curves of 25 to 40 degrees and (2)
non treated scoliotic girls matched for age and Cobb angle. METHODS: Cross
sectional study of 24 girls wearing the brace versus 26 girls without brace
treatment, matched for age and Cobb angle. HYPOTHESIS: Patients wearing the brace
for more than 6 months, when comparing to patients without brace, may present
different external morphology of the trunk, in spite of having similar Cobb
angle. Material. INCLUSION CRITERIA: girls, idiopathic scoliosis, growing age (10
16 years), Cobb angle minimum 25 degrees , maximum 40 degrees . The braced group
consisted of girls wearing a TLSO brace (Cheneau) for more than 6 months with
minimum of 16 hours per day. The non-braced group consisted of girls first seen
for their spinal deformity, previously not treated. The groups presented similar
curve pattern. Methods. Scoliometer exam: angle of trunk rotation at three levels
of the spine: upper thoracic, main thoracic, lumbar or thoracolumbar. The maximal
angle was noted at each level and the sum of three levels was calculated.
Posterior trunk symmetry index (POTSI) and Hump Sum were measured using surface
topography. RESULTS: Cobb angle was 34.9 degrees +/- 4.8 degrees in braced and
32.7 degrees +/- 4.9 degrees in un-braced patients (difference not significant).
The age was 14.1 +/- 1.6 years in braced patients and 13.1 +/- 1.9 years in un
braced group (p = 0.046). The value of angle of trunk rotation in the main
curvature was 8.4 degrees +/- 2.7 degrees in braced and 11.4 degrees +/- 2.7
degrees in un-braced patients (difference extremely significant, p = 0.0003). The
value of the sum of angles of trunk rotation at three levels of the trunk was
12.8 degrees +/- 4.6 degrees in braced and 16.5 degrees +/- 3.8 degrees in un
braced patients (difference very significant, p = 0.0038). The POTSI did not
differ significantly between the groups (p = 0.78), the Hump Sum values were not
quite different (p = 0.07). CONCLUSION: (1) Adolescent girls wearing the brace
for idiopathic scoliosis of 25 to 40 degrees of Cobb angle, reveal smaller
clinical rotational deformity of their back than non-treated girls having similar
radiological deformity. (2) Evaluation of the results of treatment for idiopathic
scoliosis should consider parameters describing both clinical and radiological
deformity.
PMID- 18053173
TI - Does the spillage of petroleum products in Anopheles breeding sites have an
impact on the pyrethroid resistance?
AB - BACKGROUND: The emergence of Anopheles populations capable of withstanding lethal
doses of insecticides has weakened the efficacy of most insecticide based
strategies of vector control and, has highlighted the need for further studies on
the mechanisms of insecticide resistance and the various factors selecting
resistant populations of mosquitoes. This research targeted the analysis of
breeding sites and the oviposition behaviour of susceptible and resistant
populations of Anopheles in localities of spilled petroleum products. The aim was
to establish the possible contribution of oil spillage in the selection of
pyrethroid resistance in malaria vectors. METHODS: Anopheles breeding sites were
identified and the insecticide susceptibility of the Anopheles gambiae
populations mapped in 15 localities of South Western Nigeria. The presence of oil
particles as well as the turbidity, the dissolved oxygen and the pH of each
identified breeding site was recorded. Data were cross-analysed to correlate the
habitat types and the insecticide susceptibility status of emerging mosquitoes.
The second phase of this study was basically a laboratory model to provide more
information on the implication of the spillage of petroleum on the selection of
pyrethroid resistance in An. gambiae. RESULTS: Moderate levels of resistance
following exposure to permethrin-impregnated papers were recorded with the
majority of An. gambiae samples collected in the South Western Nigeria. Data from
this study established a link between the constituency of the breeding sites and
the resistance status of the emerging Anopheles. CONCLUSION: This study has
revealed the segregational occupation of breeding habitats by pyrethroid
resistant and susceptible strains of An. gambiae in south-western Nigeria.
Compiled results from field and laboratory research point out clear relationships
between oil spillage and pyrethroid resistance in malaria vectors. The
identification of this factor of resistance could serve as strong information in
the management of insecticide resistance in some West African settings.
PMID- 18053174
TI - Identification of a novel truncating PALB2 mutation and analysis of its
contribution to early-onset breast cancer in French-Canadian women.
AB - BACKGROUND: PALB2 has recently been identified as a breast cancer susceptibility
gene. PALB2 mutations are rare causes of hereditary breast cancer but may be
important in countries such as Finland where a founder mutation is present. We
sought to estimate the contribution of PALB2 mutations to the burden of breast
cancer in French Canadians from Quebec. METHODS: We screened all coding exons of
PALB2 in a sample of 50 French-Canadian women diagnosed with either early-onset
breast cancer or familial breast cancer at a single Montreal hospital. The
genetic variants identified in this sample were then studied in 356 additional
women with breast cancer diagnosed before age 50 and in 6,448 newborn controls.
RESULTS: We identified a single protein-truncating mutation in PALB2 (c.2323 C>T,
resulting in Q775X) in 1 of the 50 high-risk women. This variant was present in 2
of 356 breast cancer cases and in none of 6,440 newborn French-Canadian controls
(P = 0.003). We also identified two novel new non-synonymous single nucleotide
polymorphisms in exon 4 of PALB2 (c.5038 A>G [I76V] and c.5156 G>T [G115V]).
G115V was found in 1 of 356 cases and in 15 of 6,442 controls (P = 0.6). The I76V
variant was not identified in either the extended case series or the controls.
CONCLUSION: We have identified a novel truncating mutation in PALB2. The mutation
was found in approximately 0.5% of unselected French-Canadian women with early
onset breast cancer and appears to have a single origin. Although mutations are
infrequent, PALB2 can be added to the list of breast cancer susceptibility genes
for which founder mutations have been identified in the French-Canadian
population.
PMID- 18053175
TI - Therapy-refractory Panton Valentine Leukocidin-positive community-acquired
methicillin-sensitive Staphylococcus aureus sepsis with progressive metastatic
soft tissue infection: a case report.
AB - We report a case of fulminant multiple organ failure including the Acute
Respiratory Distress Syndrome (ARDS), haemodynamic, and renal failure due to
community-acquired methicillin-sensitive Panton Valentine Leukocidin (PVL)
positive spa-type 284 (ST121) Staphylococcus aureus septic shock. The patient's
first clinical symptom was necrotizing pneumonia. Despite organism-sensitive
triple antibiotic therapy with linezolid, imipenem and clindamycin from the first
day of treatment, progressive abscess formation in multiple skeletal muscles was
observed. As a result, repeated surgical interventions became necessary. Due to
progressive soft tissue infection, the anti-microbial therapy was changed to a
combination of clindamycin and daptomycin. Continued surgical and antimicrobial
therapy finally led to a stabilisation of the patients' condition. The clinical
course of our patient underlines the existence of a "PVL-syndrome" which is
independent of in vitro Staphylococcus aureus susceptibility. The PVL-syndrome
should not only be considered in patients with soft tissue or bone infection, but
also in patients with pneumonia. Such a condition, which may easily be mistaken
for uncomplicated pneumonia, should be treated early, aggressively and over a
long period of time in order to avoid relapsing infection.
PMID- 18053176
TI - Adeno-associated virus (AAV)-mediated suppression of Ca2+/calmodulin kinase IV
activity in the nucleus accumbens modulates emotional behaviour in mice.
AB - BACKGROUND: Calcium/calmodulin-dependent protein kinase IV (CaMKIV) controls
activity-dependent gene transcription by regulating the activity of the cyclic
AMP response element binding protein (CREB). This signaling pathway is involved
in gating emotional responses in the CNS but previous studies did not address the
potential roles of CaMKIV in discrete brain regions. In the present study, we
aimed at specifically dissecting the role of CaMKIV in the nucleus accumbens of
adult mice. RESULTS: We used recombinant adeno-associated virus (rAAV)-mediated
gene transfer of a dominant-negative CaMKIV variant (rAAV-dnCaMKIV) to inhibit
endogenous CaMKIV in the nucleus accumbens. rAAV-dnCaMKIV treated animals were
subjected to a battery of tests including, prepulse inhibition of the acoustic
startle response, open field, social interaction and anxiety-related behaviour.
We found that basal locomotor activity in the open field, and prepulse inhibition
or startle performance were unaltered in mice infected with rAAV-dnCaMKIV in the
nucleus accumbens. However, anxiogenic effects were revealed in social
interaction testing and the light/dark emergence test. CONCLUSION: Our findings
suggest a modulatory role of CaMKIV in the nucleus accumbens in anxiety-like
behaviour but not sensorimotor gating.
PMID- 18053177
TI - Recurrence of suicidal ideation due to treatment with antidepressants in anxiety
disorder: a case report.
AB - This report describes a patient suffering from panic disorder who developed
repeated suicidal ideation specifically due to the treatment with Venlafaxine. A
first suicide attempt years ago occurred while being treated with Venlafaxine.
Subsequent treatment with SSRIs or other antidepressants involved no suicidal
ideation. Re-commencement of Venlafaxine four years later immediately led to a
second suicide attempt. This unwanted effect subsided immediately after switching
to another SNRI (i.e. Duloxetine). The case report underlines the importance of
onset of suicide risk in panic disorders due to specific antidepressants.
PMID- 18053178
TI - Health-related quality of life of child and adolescent retinoblastoma survivors
in the Netherlands.
AB - BACKGROUND: To assess health-related quality of life (HRQoL) in children (8-11
years) and adolescents (12-18 years) who survived retinoblastoma (RB), by means
of the KIDSCREEN self-report questionnaire and the proxy-report version. METHODS:
This population-based cross-sectional study (participation rate 70%) involved 65
RB survivors (8-18 years) and their parents. Child/adolescents' and parents'
perception of their youth's HRQoL was assessed using the KIDSCREEN, and the
results were compared with Dutch reference data. Relations with gender, age,
marital status of the parents, and visual acuity were analyzed. RESULTS: RB
survivors reported better HRQoL than did the Dutch reference group on the
dimensions "moods and emotions" and "autonomy". Increased ratings of HRQoL in RB
survivors were mainly seen in perceptions of the younger children and adolescent
girls. RB survivors with normal visual acuity scored higher on "physical well
being" than visually impaired survivors. Age was negatively associated with the
dimensions "psychological well-being", "self-perception" (according to the child
and parent reports) and "parent relations and home life" (according to the
child). "Self-perception" was also negatively associated with visual acuity
(according to the child). Only parents of young boys surviving RB reported lower
on "autonomy" than the reference group, and parents of low visual acuity and
blind RB survivors reported higher on "autonomy" than parents of visually
unimpaired survivors. Survivors' perceptions and parents' perceptions correlated
poorly on all HRQoL dimensions. CONCLUSION: RB survivors reported a very good
HRQoL compared with the Dutch reference group. The perceptions related to HRQoL
differ substantially between parents and their children, i.e. parents judge the
HRQoL of their child to be relatively poorer. Although the results are
reassuring, additional factors of HRQoL that may have more specific relevance,
such as psychological factors or coping skills, should be explored.
PMID- 18053179
TI - Bioinformatics process management: information flow via a computational journal.
AB - This paper presents the Bioinformatics Computational Journal (BCJ), a framework
for conducting and managing computational experiments in bioinformatics and
computational biology. These experiments often involve series of computations,
data searches, filters, and annotations which can benefit from a structured
environment. Systems to manage computational experiments exist, ranging from
libraries with standard data models to elaborate schemes to chain together input
and output between applications. Yet, although such frameworks are available,
their use is not widespread-ad hoc scripts are often required to bind
applications together. The BCJ explores another solution to this problem through
a computer based environment suitable for on-site use, which builds on the
traditional laboratory notebook paradigm. It provides an intuitive, extensible
paradigm designed for expressive composition of applications. Extensive features
facilitate sharing data, computational methods, and entire experiments. By
focusing on the bioinformatics and computational biology domain, the scope of the
computational framework was narrowed, permitting us to implement a capable set of
features for this domain. This report discusses the features determined critical
by our system and other projects, along with design issues. We illustrate the use
of our implementation of the BCJ on two domain-specific examples.
PMID- 18053180
TI - How can developing countries harness biotechnology to improve health?
AB - BACKGROUND: The benefits of genomics and biotechnology are concentrated primarily
in the industrialized world, while their potential to combat neglected diseases
in the developing world has been largely untapped. Without building developing
world biotechnology capacity to address local health needs, this disparity will
only intensify. To assess the potential of genomics to address health needs in
the developing world, the McLaughlin-Rotman Centre for Global Health, along with
local partners, organized five courses on Genomics and Public Health Policy in
the developing world. The overall objective of the courses was to collectively
explore how to best harness genomics to improve health in each region. This
article presents and analyzes the recommendations from all five courses.
DISCUSSION: In this paper we analyze recommendations from 232 developing world
experts from 58 countries who sought to answer how best to harness biotechnology
to improve health in their regions. We divide their recommendations into four
categories: science; finance; ethics, society and culture; and politics. SUMMARY:
The Courses' recommendations can be summarized across the four categories listed
above: SCIENCE: - Collaborate through national, regional, and international
networks- Survey and build capacity based on proven models through education,
training, and needs assessments FINANCE: - Develop regulatory and intellectual
property frameworks for commercialization of biotechnology- Enhance funding and
affordability of biotechnology- Improve the academic-industry interface and the
role of small and medium enterprise ETHICS, SOCIETY, CULTURE: - Develop public
engagement strategies to inform and educate the public about developments in
genomics and biotechnology- Develop capacity to address ethical, social and
cultural issues- Improve accessibility and equity POLITICS: - Strengthen
understanding, leadership and support at the political level for biotechnology-
Develop policies outlining national biotechnology strategyThese recommendations
provide guidance for all those interested in supporting science, technology, and
innovation to improve health in the developing world. Applying these
recommendations broadly across sectors and regions will empower developing
countries themselves to harness the benefits of biotechnology and genomics for
billions who have long been excluded.
PMID- 18053181
TI - Malignant perivascular epithelioid cell tumor (PEComa) of the uterus with late
renal and pulmonary metastases: a case report with review of the literature.
AB - BACKGROUND: Perivascular epithelioid cell tumor (PEComa), other than
angiomyolipoma (AML), clear cell sugar tumor (CCST), and lymphangioleiomyomatosis
(LAM), is a very rare mesenchymal tumor with an unpredictable natural history.
The uterus is the most prevalent reported site of involvement of PEComa-not
otherwise specified (PEComa-NOS). To the best of our knowledge, about 100 PEComa
NOS have been reported in the English Language medical literature, of which 38
were uterine PEComa-NOS. These reported cases of uterine PEComa-NOS have usually
shown clinically benign behavior, but 13 tumors, three of them associated with
tuberous sclerosis complex (TSC), exhibited local aggressive behavior and four of
them showed distant metastases. CASE PRESENTATION: We report the case of a 59
year-old woman, who presented with renal and pulmonary lesions seven years after
the initial diagnosis of uterine leiomyosarcoma. Left nephrectomy and right
middle lobe wedge resection were performed. Histological and immunohistochemical
analysis of the renal and pulmonary lesions, in addition to retrospective re
evaluation of the previous uterine tumor, led to the final diagnosis of malignant
uterine PEComa with late renal and pulmonary metastases. All three lesions had
the typical histological appearance of PEComa-NOS showing a biphasic growth
pattern with continuous transition between spindle cells and epithelioid cells,
often arranged around vascular spaces. Immunohistochemically, the tumor cells of
both phenotypes in all three lesions stained for melanocytic (HMB-45 and Melan
A/MART-1) and myoid (desmin, smooth muscle actin, and muscle-specific actin/all
muscle actin/HHF-35) markers. CONCLUSION: The findings indicate that despite the
small number of reported cases, PEComas-NOS should be considered tumors of
uncertain malignant potential, and metastases to other organs might become
evident even several years after the primary diagnosis.
PMID- 18053182
TI - Seizures as the first manifestation of chromosome 22q11.2 deletion syndrome in a
40-year old man: a case report.
AB - BACKGROUND: The microdeletion of chromosome 22q11.2 is the most common human
deletion syndrome. It typically presents early in life and is rarely considered
in adult patients. As part of the manifestations of this condition, patients can
have parathyroid glandular involvement ranging from hypocalcemic
hypoparathyroidism to normocalcemia with normal parathryroid hormone levels. The
first manifestation of the syndrome might be seizures due to profound
hypocalcemia. CASE PRESENTATION: A 40-year-old man without significant past
medical history presented with a new-onset generalized tonic-clonic seizure. He
had no personal history of hypocalcemia or seizures. Physical examination was
remarkable for short stature, hypertelorism, prominent forehead and nasal voice.
His initial laboratory examination showed hypocalcemia (Calcium 5.2 mg/dl and
Calcium ionized 0.69 mmol/l) with hypoparathyroidism (Parathyroid hormone intact
< 2.5 pg/ml. NV: 14-72 pg/ml). Urine Calcium was 3 mg/dl on a spot and 88 mg in a
24-hour urine collection (NV: 100-300 mg/24 hs). The electrocardiogram showed a
prolonged corrected QT interval. Echocardiogram, abdominal ultrasound and
electroencephalogram were normal. A computer tomography of the brain showed basal
ganglia calcification. The subtle physical findings and the presence of
idiopathic hypoparathyroidism motivated the performance of fluorescent in situ
hybridization which demonstrated a microdeletion on one of the homologs 22q11.2.
The patient was treated with calcium citrate and calcitriol with good response.
CONCLUSION: Microdeletion of chromosome 22q11.2 is among the most clinically
variable syndromes, with more than 180 features associated with the deletion. It
has a variable phenotypical expression, requiring a high level of awareness for
its early diagnosis. Seizures, related to marked hypocalcemia due to idiopathic
hypoparathyroidism, might be the presenting feature in an adult patient with this
syndrome.
PMID- 18053183
TI - Glycine propionyl-L-carnitine increases plasma nitrate/nitrite in resistance
trained men.
AB - : We have recently demonstrated that oral intake of glycine propionyl-L-carnitine
(GPLC) increases plasma nitrate/nitrite (NOx), a surrogate measure of nitric
oxide production. However, these findings were observed at rest, and in
previously sedentary subjects. PURPOSE: In the present study, we sought to
determine the impact of oral GPLC on plasma NOx at rest and in response to a
period of reactive hyperemia in resistance trained men. METHODS: Using a double
blind, crossover design, 15 healthy men (24 +/- 4 years) were assigned to GPLC (3
g/d PLC + 1044 mg glycine) and a placebo in random order, for a four-week period,
with a two-week washout between condition assignment. Blood samples were taken
from subjects at rest and at 0, 3, and 10 minutes following an ischemia
reperfusion protocol (six minutes of upper arm cuff occlusion at 200 mmHg
followed by rapid reperfusion with cuff removal). Blood samples were taken from a
forearm vein from the same arm used for the protocol and analyzed for total
nitrate/nitrite. Data are presented as mean +/- SEM. RESULTS: A condition main
effect (p = 0.0008) was noted for NOx, with higher values in subjects when using
GPLC (45.6 +/- 2.8 mumol.L-1) compared to placebo (34.9 +/- 1.2 mumol.L-1). No
time main effect was noted (p = 0.7099), although values increased approximately
12% from rest (37.7 +/- 2.7 mumol.L-1) to a peak at 10 minutes post protocol
(42.3 +/- 3.3 mumol.L-1). The interaction effect was not significant (p =
0.8809), although paired time contrasts revealed higher values for GPLC compared
to placebo at 3 (48.2 +/- 6.7 vs. 34.9 +/- 2.4 mumol.L-1; p = 0.033) and 10 (48.8
+/- 5.9 vs. 35.7 +/- 2.1 mumol.L-1; p = 0.036) minutes post protocol, with non
statistically significant differences noted at rest (41.8 +/- 4.5 vs. 33.6 +/-
2.5 mumol.L-1; p = 0.189) and at 0 minutes (43.6 +/- 5.1 vs. 35.4 +/- 2.7 mumol.L
1; p = 0.187) post protocol. An analysis by subject (collapsed across time)
indicated that 11 of the 15 subjects experienced an increase in NOx with GPLC
treatment. CONCLUSION: These findings indicate that short-term oral GPLC
supplementation can increase NOx in resistance trained men. However, as with many
dietary supplements, there exist both "responders" and "non-responders" to
treatment. Future work may focus on the mechanisms for the discrepancy in
response to GPLC supplementation for purposes of NOx elevation.
PMID- 18053184
TI - Real-time intelligent pattern recognition algorithm for surface EMG signals.
AB - BACKGROUND: Electromyography (EMG) is the study of muscle function through the
inquiry of electrical signals that the muscles emanate. EMG signals collected
from the surface of the skin (Surface Electromyogram: sEMG) can be used in
different applications such as recognizing musculoskeletal neural based patterns
intercepted for hand prosthesis movements. Current systems designed for
controlling the prosthetic hands either have limited functions or can only be
used to perform simple movements or use excessive amount of electrodes in order
to achieve acceptable results. In an attempt to overcome these problems we have
proposed an intelligent system to recognize hand movements and have provided a
user assessment routine to evaluate the correctness of executed movements.
METHODS: We propose to use an intelligent approach based on adaptive neuro-fuzzy
inference system (ANFIS) integrated with a real-time learning scheme to identify
hand motion commands. For this purpose and to consider the effect of user
evaluation on recognizing hand movements, vision feedback is applied to increase
the capability of our system. By using this scheme the user may assess the
correctness of the performed hand movement. In this work a hybrid method for
training fuzzy system, consisting of back-propagation (BP) and least mean square
(LMS) is utilized. Also in order to optimize the number of fuzzy rules, a
subtractive clustering algorithm has been developed. To design an effective
system, we consider a conventional scheme of EMG pattern recognition system. To
design this system we propose to use two different sets of EMG features, namely
time domain (TD) and time-frequency representation (TFR). Also in order to
decrease the undesirable effects of the dimension of these feature sets,
principle component analysis (PCA) is utilized. RESULTS: In this study, the
myoelectric signals considered for classification consists of six unique hand
movements. Features chosen for EMG signal are time and time-frequency domain. In
this work we demonstrate the capability of an EMG pattern recognition system
using ANFIS as classifier with a real-time learning method. Our results reveal
that the utilized real-time ANFIS approach along with the user evaluation
provides a 96.7% average accuracy. This rate is superior to the previously
reported result utilizing artificial neural networks (ANN) real-time method 1.
CONCLUSION: This study shows that ANFIS real-time learning method coupled with
mixed time and time-frequency features as EMG features can provide acceptable
results for designing sEMG pattern recognition system suitable for hand
prosthesis control.
PMID- 18053185
TI - Increased serum levels of TGFbeta1 in children with localized scleroderma.
AB - BACKGROUND: There are neither sensitive nor specific laboratory tests for
measuring disease activity in localized scleroderma (LS). Monitoring is done
almost exclusively by clinical assessment. Our aim was to determine whether serum
concentrations of TGFbeta1 are a good biomarker of disease activity in children
with LS. METHODS: 55 pediatric patients with LS were divided into sub-types
according to their main lesion; morphea, generalized morphea, linear scleoderma
affecting a limb or the face. The lesions were further categorized by overall
clinical assessment into active, inactive, and indeterminate groups according to
disease activity. Serum TGFbeta1 concentration levels were measured by enzyme
linked immunosorbent assay (ELISA), analyzed and correlated with disease subtypes
and disease activity. RESULTS: The mean TGFbeta1 concentration were significantly
higher in the patient group (51393 +/- 33953 pg/ml) than in the control group
(9825 +/- 5287 pg/ml) (P < 0.001). The mean concentration were elevated in all
the disease subtypes, and did not correlate with disease duration or activity.
CONCLUSION: Serum concentration of TGFbeta1 were elevated in patients with all
subtypes of LS irrespective of clinical disease activity. Although TGFbeta1 may
play an important role in the pathogenesis of local skin fibrosis, circulating
blood levels of molecules known to act locally may not be useful biomarkers of
disease activity.
PMID- 18053186
TI - Modifiable risk factors remain significant causes of medium term mortality after
first time Coronary artery bypass grafting.
AB - BACKGROUND: Whilst there is much current data on early outcomes after Coronary
artery bypass grafting(CABG), there is relatively little data on medium term
outcomes in the current era. The purpose of this study is to present a single
surgeon series comprising of all first time CABG patients operated on with the
technique of cross clamp fibrillation from Feb-1996 to through to Jan-2003, and
to seek risk factors for medium term mortality in these patients. METHODS: Data
was collected from Hospital Episode Statistics and departmental patient
administration and tracking systems and cross checked using database techniques.
Patient outcomes were searched using the National Health Service strategic
tracing service. RESULTS: Mean follow up was 5.3 years(0-9.4 years) and was
complete for all patients. 30-day survival was 98.4%, 1-year survival 95% and 8
year survival 79%. Cox-regression analysis revealed that several modifiable pre
operative risk factors remain significant predictors of medium term mortality,
including Diabetes(Hazard Ratio(HR) 1.73, 95%CI 1.21-2.45), Chromic obstructive
pulmonary disease(HR 2.02, 95%CI 1.09-3.72), Peripheral vascular disease(HR 1.68,
95%CI 1.13-2.5), Body mass index>30(HR 1.54, 95%CI 1.08-2.20) and current smoker
at operation(HR 1.67, 95%CI 1.03-2.72). However hypertension(HR 1.31, 95%CI 0.95
1.82) and Hypercholestrolaemia(HR 0.81, 95%CI 0.58-1.13) were not predictive
which may reflect adequate post-operative control. CONCLUSION: Coronary artery
bypass surgery using cross clamp fibrillation is associated with a very low
operative mortality. Medium term survival is also good but risk factors such as
smoking at operation, Chronic obstructive pulmonary disease, obesity and diabetes
negatively impact this survival and should be aggressively treated in the years
post-surgery.
PMID- 18053187
TI - Cerebellum morphogenesis: the foliation pattern is orchestrated by multi-cellular
anchoring centers.
AB - BACKGROUND: The cerebellum has a striking morphology consisting of folia
separated by fissures of different lengths. Since folia in mammals likely serve
as a broad platform on which the anterior-posterior organization of the sensory
motor circuits of the cerebellum are built, it is important to understand how
such complex morphology arises. RESULTS: Using a combination of genetic inducible
fate mapping, high-resolution cellular analysis and mutant studies in mouse, we
demonstrate that a key event in initiation of foliation is the acquisition of a
distinct cytoarchitecture in the regions that will become the base of each
fissure. We term these regions 'anchoring centers'. We show that the first
manifestation of anchoring centers when the cerebellar outer surface is smooth is
an increase in proliferation and inward thickening of the granule cell
precursors, which likely causes an associated slight invagination of the Purkinje
cell layer. Thereafter, granule cell precursors within anchoring centers become
distinctly elongated along the axis of the forming fissure. As the outer
cerebellar surface begins to fold inwards, Bergmann glial fibers radiate in
towards the base of the immature fissure in a fan shape. Once the anchoring
center is formed, outgrowth of folia seems to proceed in a self-sustaining manner
driven by granule cell migration along Bergmann glial fibers. Finally, by
analyzing a cerebellum foliation mutant (Engrailed 2), we demonstrate that
changing the timing of anchoring center formation leads to predictable changes in
the shape and size of the surrounding folia. CONCLUSION: We present a new
cellular model of the initial formation of cerebellar fissures with granule cells
providing the driving physical force. Both the precise timing of the appearance
of anchoring centers at the prospective base of each fissure and the subsequent
coordinated action of granule cells and Bergmann glial fibers within the
anchoring centers dictates the shape of the folia.
PMID- 18053188
TI - International Physical Activity Questionnaire (IPAQ) and New Zealand Physical
Activity Questionnaire (NZPAQ): a doubly labelled water validation.
AB - BACKGROUND: Accurate measurement of physical activity is a pre-requisite for
monitoring population health and for evaluating effective interventions. The
International Physical Activity Questionnaire (IPAQ) is used as a comparable and
standardised self-report measure of habitual physical activity of populations
from different countries and socio-cultural contexts. The IPAQ has been modified
to produce a New Zealand physical activity questionnaire (NZPAQ). The aim of this
study was to validate the IPAQ and NZPAQ against doubly labelled water (DLW).
METHOD: Total energy expenditure (TEE) was measured over a 15-day period using
DLW. Activity-related energy expenditure (AEE) was estimated by subtracting the
energy expenditure from resting metabolic rate and thermic effect of feeding from
TEE. The IPAQ (long form) and NZPAQ (short form) were completed at the end of
each 7-day period. Activity-related energy expenditure (IPAQAEE and NZPAQAEE) was
calculated from each questionnaire and compared to DLWAEE. RESULTS: Thirty six
adults aged 18 to 56 years (56% female) completed all measurements. Compared to
DLWAEE, IPAQAEE and NZPAQAEE on average underestimated energy expenditure by 27%
and 59%, respectively. There was good agreement between DLWAEE and both IPAQAEE
and NZPAQAEE at lower levels of physical activity. However there was marked
underestimation of questionnaire-derived energy expenditure at higher levels of
activity. CONCLUSION: Both the IPAQ and NZPAQ instruments have a demonstrated
systematic bias toward underestimation of physical activity-related energy
expenditure at higher levels of physical activity compared to DLW. Appropriate
calibration factors could be used to correct for measurement error in physical
activity questionnaires and hence improve estimation of AEE.
PMID- 18053189
TI - Geographical information system and access to HIV testing, treatment and
prevention of mother-to-child transmission in conflict affected Northern Uganda.
AB - OBJECTIVES: Using Geographical Information System (GIS) as a tool to determine
access to and gaps in providing HIV counselling and testing (VCT), treatment
(ART) and mother-to-child transmission (PMTCT) services in conflict affected
northern Uganda. METHODS: Cross-sectional data on availability and utilization,
and geo-coordinates of health facilities providing VCT, PMTCT, and ART were
collected in order to determine access. ArcView software produced maps showing
locations of facilities and Internally Displaced Population(IDP) camps. FINDINGS:
There were 167 health facilities located inside and outside 132 IDP camps with
VCT, PMTCT and ART services provided in 32 (19.2%), 15 (9%) and 10 (6%)
facilities respectively. There was uneven availability and utilization of
services and resources among districts, camps and health facilities. Inadequate
staff and stock-out of essential commodities were found in lower health facility
levels. Provision of VCT was 100% of the HSSP II target at health centres IV and
hospitals but 28% at HC III. For PMTCT and ART, only 42.9% and 20% of the
respective targets were reached at the health centres IV. CONCLUSION: Access to
VCT, PMTCT and ART services was geographically limited due to inadequacy and
heterogeneous dispersion of these services among districts and camps. GIS mapping
can be effective in identifying service delivery gaps and presenting complex data
into simplistic results hence can be recommended in need assessments in conflict
settings.
PMID- 18053190
TI - Possible implications of an accessory abductor digiti minimi muscle: a case
report.
AB - BACKGROUND: Accessory ADM was first reported in 1868 although muscular, vascular
and nervous variations of the hypothenar eminence are rare, contrary to anomalous
muscles in the wrist which are relatively common. CASE PRESENTATION: This case
report presents a bilateral variation of an accessory abductor digiti minimi
muscle in a male specimen. Ulnar artery and ulnar nerves were taken into account
regarding their position and trajectory related to this variation. CONCLUSION:
Muscle size may be an important factor in considering whether a variation is able
to produce neurovascular compression and clinical implications.
PMID- 18053191
TI - Bortezomib in combination with celecoxib in patients with advanced solid tumors:
a phase I trial.
AB - BACKGROUND: COX-2 inhibitors, such as celecoxib, and ubiquitin-proteasome pathway
inhibitors, such as bortezomib, can down-regulate NF-kappaB, a transcription
factor implicated in tumor growth. The objective of this study was to determine
the maximum tolerated dose and dose-limiting toxicities of bortezomib in
combination with celecoxib in patients with advanced solid tumors. METHODS:
Patients received escalating doses of bortezomib either on a weekly schedule
(days 1, 8, 15, 22, and 29 repeated every 42 days) or on a twice-weekly
administration schedule (days 1, 4, 8, and 11 repeated every 21 days), in
combination with escalating doses of celecoxib twice daily throughout the study
period from 200 mg to 400 mg twice daily. RESULTS: No dose-limiting toxicity was
observed during the study period. Two patients had stable disease lasting for
four and five months each, and sixteen patients developed progressive disease.
CONCLUSION: The combination of bortezomib and celecoxib was well tolerated,
without dose limiting toxicities observed throughout the dosing ranges tested,
and will be studied further at the highest dose levels investigated. TRIAL
REGISTRATION NUMBER: NCT00290680.
PMID- 18053192
TI - Management of acquired bronchobiliary fistula: 3 case reports and a literature
review.
AB - Bronchobiliary fistula (BBF), which often presents with bilioptysis, is an
abnormal communication between the bronchial system and biliary tree. It is a
complication associated with a high mortality rate and requires a well-planned
management strategy. Although hydatid disease is still the leading cause,
extensive surgical interventions and invasive procedures of the liver have
altered the profile of patients in recent decades. This paper presents 3 cases of
BBF and reviews the literature regarding the treatment options generally mandated
by clinical presentation and the underlying disease.
PMID- 18053193
TI - Fibroma with minor sex cord elements--an incidental finding in a normal sized
ovary. A case report with literature review.
AB - Ovarian fibroma with minor sex cord element is a rare neoplasm. Microscopically
it is composed of predominantly fibromatous or a thecomatous tumor containing
scattered minor sex cord elements in less than 10% of the tumor area.A case of
fibroma with minor sex cord elements discovered incidentally in a normal sized
ovary in a patient who presented with dysfunctional uterine bleeding is being
presented. This is the first case report describing this entity in a normal sized
ovary as an incidental finding.
PMID- 18053194
TI - The effect of phosphatidylserine on golf performance.
AB - BACKGROUND: A randomized, double-blind, placebo-controlled study was performed to
evaluate the effect of oral phosphatidylserine (PS) supplementation on golf
performance in healthy young golfers with handicaps of 15-40. METHODS: Perceived
stress, heart rate and the quality of the ball flight was evaluated before (pre
test) and after (post-test) 42 days of 200 mg per day PS (n = 10) or placebo (n =
10) intake in the form of a nutritional bar. Subjects teed-off 20 times aiming at
a green 135 meters from the tee area. RESULTS: PS supplementation significantly
increased (p < 0.05) the number of good ball flights (mean: pre-test 8.3 +/- 3.5,
post-test 10.1 +/- 3.0), whereas placebo intake (mean: pre-test 7.8 +/- 2.4, post
test 7.9 +/- 3.6) had no effect. PS supplementation showed a trend towards
improving perceived stress levels during teeing-off (mean: pre-test 5.8 +/- 2.0,
post-test 4.0 +/- 2.0, p = 0.07), whereas stress levels remained unchanged in the
placebo group (mean: pre-test: 5.1 +/- 2.0, post-test: 5.1 +/- 3.1).
Supplementation did not influence mean heart rate in either group. CONCLUSION: It
is concluded that six weeks of PS supplementation shows a statistically not
significant tendency (p = 0.07) to improve perceived stress levels in golfers and
significantly improves (p < 0.05) the number of good ball flights during tee-off
which might result in improved golf scores.
PMID- 18053195
TI - Specific components of face perception in the human fusiform gyrus studied by
tomographic estimates of magnetoencephalographic signals: a tool for the
evaluation of non-verbal communication in psychosomatic paradigms.
AB - AIMS: The aim of this study was to determine the specific spatiotemporal
activation patterns of face perception in the fusiform gyrus (FG). The FG is a
key area in the specialized brain system that makes possible the recognition of
face with ease and speed in our daily life. Characterization of FG response
provides a quantitative method for evaluating the fundamental functions that
contribute to non-verbal communication in various psychosomatic paradigms.
METHODS: The MEG signal was recorded during passive visual stimulus presentation
with three stimulus types - Faces, Hands and Shoes. The stimuli were presented
separately to the central and peripheral visual fields. We performed statistical
parametric mapping (SPM) analysis of tomographic estimates of activity to compare
activity between a pre- and post-stimulus period in the same object (baseline
test), and activity between objects (active test). The time course of regional
activation curves was analyzed for each stimulus condition. RESULTS: The SPM
baseline test revealed a response to each stimulus type, which was very compact
at the initial segment of main M(FG)170. For hands and shoes the area of
significant change remains compact. For faces the area expanded widely within a
few milliseconds and its boundaries engulfed the other object areas. The active
test demonstrated that activity for faces was significantly larger than the
activity for hands. The same face specific compact area as in the baseline test
was identified, and then again expanded widely. For each stimulus type and
presentation in each one of the visual fields locations, the analysis of the time
course of FG activity identified three components in the FG: M(FG)100, M(FG)170,
and M(FG)200 - all showed preference for faces. CONCLUSION: Early compact face
specific activity in the FG expands widely along the occipito-ventral brain
within a few milliseconds. The significant difference between faces and the other
object stimuli in M(FG)100 shows that processing of faces is already
differentiated from processing of other objects within 100 ms. Standardization of
the three face-specific MEG components could have diagnostic value for the
integrity of the initial process of non-verbal communication in various
psychosomatic paradigms.
PMID- 18053196
TI - Case-cohort design in practice - experiences from the MORGAM Project.
AB - When carefully planned and analysed, the case-cohort design is a powerful choice
for follow-up studies with multiple event types of interest. While the literature
is rich with analysis methods for case-cohort data, little is written about the
designing of a case-cohort study. Our experiences in designing, coordinating and
analysing the MORGAM case-cohort study are potentially useful for other studies
with similar characteristics. The motivation for using the case-cohort design in
the MORGAM genetic study is discussed and issues relevant to its planning and
analysis are studied. We propose solutions for appending the earlier case-cohort
selection after an extension of the follow-up period and for achieving maximum
overlap between earlier designs and the case-cohort design. Approaches for
statistical analysis are studied in a simulation example based on the MORGAM
data.
PMID- 18053197
TI - Tumors: too sweet to remember?
AB - Immunity, based on a natural and an educated system, is responsible for
recognition and elimination of infectious particles, cellular waste, modified
self and transformed cells. This dual system guarantees that dangerous particles
are removed immediately after appearance and that a memory with maturated weapons
exists, if the organism is re-infected by the same particle. For malignant cells,
however, the immune response seems to be restricted to innate immunity, because
at least for the humoral response, all so far detected tumor-specific antibodies
belong to the natural immunity. In this review we try to explain why malignant
cells might be "too sweet" to induce a memory.
PMID- 18053199
TI - Recruitment of young women to a trial of chlamydia screening - as easy as it
sounds?
AB - BACKGROUND: Recruiting to trials is complex and difficult. The Prevention of
Pelvic Infection (POPI) trial aims to see if screening women for chlamydia and
treating those found to be infected reduces the incidence of pelvic inflammatory
disease in the following twelve months. It focuses on young, sexually active,
multiethnic, mainly inner city, female students. The main aim of this paper is to
describe our recruitment methods. Secondary aims in two small subgroups, are to
compare characteristics of women recruited with those not recruited, and to
explore participants' understanding of when their samples would be tested for
chlamydia. METHODS: Women students attending lectures or in common rooms at 22
universities and further education colleges were recruited by female research
assistants working in pairs. Participants were asked to complete a questionnaire
on sexual health and to provide self-taken vaginal swabs. In addition, during 3
recruitment sessions, a female medical student asked non-participants to complete
a brief anonymous questionnaire on reasons for not taking part. Finally another
female medical student contacted 40 consecutive participants within a month of
recruitment and asked if they understood that their samples might not be tested
for a year. RESULTS: With enormous effort over 2 years we recruited 2526 women. A
survey of 61 non-responders showed only 18 (30%) were eligible to take part (age
<28, been sexually active and not been tested for chlamydia in the past 3
months). Eligible non-responders were of similar age to the 35 responders in the
same recruitment sessions, but more likely to be from ethnic minority groups (67%
12/18 versus 29% 10/35 p < 0.01). Email and telephone contact with 35/40 (88%) of
consecutive participants showed only two (6%) did not understand that their
specimen might not be tested for chlamydia for a year. Thirty participants (85%)
could name one or more possible consequences of untreated chlamydia infection.
CONCLUSION: As in other studies, a key to attaining recruitment targets was the
enthusiasm of the research team. Minority ethnic groups were probably under
represented, but understanding of participants was good. TRIAL REGISTRATION:
Clinical Trials NCT 00115388.
PMID- 18053198
TI - A prospective, randomised, controlled clinical study on the assessment of
tolerability and of clinical efficacy of Merional (hMG-IBSA) administered
subcutaneously versus Merional administered intramuscularly in women undergoing
multifollicular ovarian stimulation in an ART programme (IVF).
AB - BACKGROUND: Multifollicular ovarian stimulation (MOS) is widely used in IVF and
the compliance to treatment is deeply influenced by the tolerability of the
medication(s) used and by the ease of self-administration. This prospective,
controlled, randomised, parallel group open label, multicenter, phase III,
equivalence study has been aimed to compare the clinical effectiveness (in terms
of oocytes obtained) and tolerability of subcutaneous (s.c.) self-administered
versus classical intramuscular (i.m.) injections of Merional, a new highly
purified hMG preparation. METHODS: A total of 168 normogonadotropic women
undergoing IVF were enrolled. Among them, 160 achieved pituitary suppression with
a GnRH-agonist long protocol and were randomised to MOS treatment with Merional
s.c. or i.m. They started MOS with a standard hMG dose between 150-300 IU,
depending upon patient's age, and underwent a standard IVF procedure. RESULTS: No
statistically significant difference in the mean number of collected oocytes
(primary endpoint) was observed between the two study subgroups (7.46, SD 4.24
vs. 7.86, SD 4.28 in the s.c. and i.m. subgroups, respectively). As concerns the
secondary outcomes, both the pregnancy and the clinical pregnancy rates were
comparable between subgroups. The incidence of adverse events was similar in the
two groups (2.4% vs. 3.7%, respectively). Pain at injection site was reported
only the i.m. group (13.9% of patients). CONCLUSION: Merional may be used by s.c.
injections in IVF with an effectiveness in terms of retrieved oocytes that is
equivalent to the one obtained with i.m administration and with a better local
tolerability. With the limitations due to the sample size af this study, s.c. and
i.m. administration routes seem to have the same overall safety.
PMID- 18053200
TI - COPD diagnosis related to different guidelines and spirometry techniques.
AB - The aim was to compare the diagnosis of COPD among smokers according to different
international guidelines and to compare the outcome when using slow (SVC) and
forced vital capacity (FVC). In order to find current smokers a questionnaire was
sent to persons who had been on sick leave for more than two weeks. Those who
smoked more than 8 cigarettes per day were invited to perform a spirometry.
Totally 3,887 spirometries were performed. In this sample 10.2% fulfilled the
NICE COPD-criteria, 14.0% the GOLD COPD-criteria and 21.7% the ERS COPD criteria.
The diagnosis according to NICE and GOLD guidelines is based on FVC and in the
ERS guidelines the best value of either SVC or FVC is used. Thus, substantially
more subjects with COPD were found when the best of either SVC or FVC was used.
Forced VC tended to be higher than SVC when lung function was normal and in those
with mild obstruction prior to bronchodilatation whereas SVC exceeded FVC after
bronchodilatation in those who had severe bronchial obstruction.The diagnosis of
COPD is highly depending on which guidelines are used for defining the disease.
If FVC and not the best of SVC and FVC is used when defining COPD the diagnosis
will be missed in a substantial number of patients.
PMID- 18053201
TI - Why the way we consider the body matters - reflections on four bioethical
perspectives on the human body.
AB - BACKGROUND: Within the context of applied bioethical reasoning, various
conceptions of the human body are focused upon by the author in relation to
normative notions of autonomy. RESULTS: The author begins by descriptively
exploring some main positions in bioethics from which the "body" is
conceptualized. Such positions conflict: the body is that which is constitutive
of the individual's experience and perception, or it is conceived of materially
or mechanistically; or as a constructed locus, always historically and culturally
transformed. The author goes on to suggest a methodological approach that
dialectically considers embodiment from four different perspectives: as bodily
self-determination, as respect for the bodily unavailability of the other, as
care for bodily individuality; and lastly, as acknowledgement of bodily
constituted communities. These four perspectives encompass autonomy in two of its
main interpretations: as the capability of a person to act independent of
external forces, and as the moral ideal of pursuing individual wishes by means of
role distance, self-limitation and universalization. Various bioethical cases are
utilized to show how the four perspectives on the body can complement one
another. CONCLUSION: The way we consider the body matters. The author's
dialectical method allows a premise-critical identification and exploration of
bioethical problems concerning the body. The method is potentially applicable to
other bioethical problems.
PMID- 18053202
TI - Costs of two alternative Salmonella control policies in Finnish broiler
production.
AB - BACKGROUND: Costs and benefits of two Salmonella control policies for broiler
production were described and compared. The control options were the Zoonosis
Directive 92/117/EC and the more intense strategy, the Finnish Salmonella Control
Programme (FSCP). METHODS: The comparison included the Salmonella control costs
in primary and secondary production and the direct and indirect losses due to
Salmonella infections in humans in 2000. RESULTS: The total annual costs of the
FSCP were calculated to be 990 400 EUR (0.02 euro/kg broiler meat). The average
control costs in the broiler production chain were seven times higher with the
FSCP than with the Zoonosis Directive alone. However, the public health costs
were 33 times higher with the Zoonosis Directive alone. The value of one
prevented loss of life per year exceeded the annual control costs of the FSCP.
CONCLUSION: Due to significant savings in public health costs compared to costs
of FSCP, the FSCP was found to be economically feasible.
PMID- 18053203
TI - Frequency and severity of aggressive incidents in acute psychiatric wards in
Switzerland.
AB - BACKGROUND: Aggression and violence and negative consequences thereof are a major
concern in acute psychiatric inpatient care globally. Variations in study
designs, settings, populations, and data collection methods render comparisons of
the incidence of aggressive behaviour in high risk settings difficult. OBJECTIVE:
To describe the frequency and severity of aggressive incidents in acute
psychiatric wards in the German speaking part of Switzerland. METHODS: We
conducted a prospective multicentre study on 24 acute admission wards in 12
psychiatric hospitals in the German speaking part of Switzerland. Aggressive
incidents were recorded by the revised Staff Observation Aggression Scale (SOAS
R) and we checked the data collection for underreporting. Our sample comprised
2344 treatment episodes of 2017 patients and a total of 41'560 treatment days.
RESULTS: A total of 760 aggressive incidents were registered. We found incidence
rates per 100 treatment days between 0.60 (95% CI 0.10-1.78) for physical attacks
and 1.83 (1.70-1.97) for all aggressive incidents (including purely verbal
aggression). The mean severity was 8.80 +/- 4.88 points on the 22-point SOAS-R
severity measure; 46% of the purely verbally aggression was classified as severe
(>/= 9 pts.). 53% of the aggressive incidents were followed by a coercive
measure, mostly seclusion or seclusion accompanied by medication. In 13% of the
patients, one ore more incidents were registered, and 6.9% of the patients were
involved in one ore more physical attack. Involuntary admission (OR 2.2; 1.6
2.9), longer length of stay (OR 2.7; 2.0-3.8), and a diagnosis of schizophrenia
(ICH-10 F2) (OR 2.1; 1.5-2.9) was associated with a higher risk for aggressive
incidents, but no such association was found for age and gender. 38% of the
incidents were registered within the first 7 days after admission. CONCLUSION:
Aggressive incidents in acute admission wards are a frequent and serious problem.
Due to the study design we consider the incidence rates as robust and
representative for acute wards in German speaking Switzerland, and thus useful as
reference for comparative and interventional research. Implications for clinical
practice include the recommendation to extend the systematic risk assessment
beyond the first days after admission. The study confirms the necessity to
differentiate between types of aggressive behaviour when reporting and comparing
incidence-data.
PMID- 18053204
TI - Technical challenges to surgical clipping of aneurysmal regrowth with coil
herniation following endovascular treatment - a case report.
AB - In recent years, technical developments have made endovascular procedures
attractive therapeutic options and enabled the endovascular surgeon to redefine
the management of cerebral aneurysms. However, as the number of aneurysms
undergoing endovascular therapy has grown, so has the number of patients with
incompletely treated aneurysms who are presenting for further management. In
cases of failure of endovascular treatment caused by either incomplete occlusion
or regrowth of the aneurysm, a complementary treatment is often necessary.
Surgical treatment of these patients is challenging. We present a case of a
ruptured posterior cerebral artery aneurysm treated initially with endovascular
coiling that left behind significant residual aneurysmal sac. Regrowth of the
aneurysm documented on follow-up was treated surgically. At surgery, the coil was
found to have herniated through the aneurysmal sac into the subarachnoid space,
and the aneurysm was successfully clipped without removing the coils. We review
the regrowth of aneurysms following endovascular therapy and potential problems
and challenges of surgically managing these lesions.
PMID- 18053206
TI - Ultrasound in the diagnosis of a median neuropathy in the forearm: case report.
AB - BACKGROUND: Electrodiagnostic studies are traditionally used in the diagnosis of
focal neuropathies, however they lack anatomical information regarding the nerve
and its surrounding structures. The purpose of this case is to show that high
resolution ultrasound used as an adjunct to electrodiagnostic studies may
complement this lack of information and give insight to the cause. CASE
PRESENTATION: A 60-year-old male patient sustained a forearm traction injury
resulting in progressive weakness and functional loss in the first three digits
of the right hand. High-resolution ultrasound showed the presence of an enlarged
nerve and a homogenous soft-tissue structure appearing to engulf the nerve. The
contralateral side was normal. Surgery revealed fibrotic bands emanating from the
flexor digitorum profundus muscle compressing the median nerve thus confirming
the ultrasound findings. CONCLUSION: A diagnostically challenging case of median
neuropathy in the forearm is presented in which high-resolution ultrasound was
valuable in establishing an anatomic etiology and directing appropriate
management.
PMID- 18053205
TI - Impact of light on Hypocrea jecorina and the multiple cellular roles of ENVOY in
this process.
AB - BACKGROUND: In fungi, light is primarily known to influence general morphogenesis
and both sexual and asexual sporulation. In order to expand the knowledge on the
effect of light in fungi and to determine the role of the light regulatory
protein ENVOY in the implementation of this effect, we performed a global screen
for genes, which are specifically effected by light in the fungus Hypocrea
jecorina (anamorph Trichoderma reesei) using Rapid Subtraction Hybridization
(RaSH). Based on these data, we analyzed whether these genes are influenced by
ENVOY and if overexpression of ENVOY in darkness would be sufficient to execute
its function. RESULTS: The cellular functions of the detected light responsive
genes comprised a variety of roles in transcription, translation, signal
transduction, metabolism, and transport. Their response to light with respect to
the involvement of ENVOY could be classified as follows: (i) ENVOY-mediated
upregulation by light; (ii) ENVOY-independent upregulation by light; (iii) ENVOY
antagonized upregulation by light; ENVOY-dependent repression by light; (iv)
ENVOY-independent repression by light; and (v) both positive and negative
regulation by ENVOY of genes not responsive to light in the wild-type. ENVOY was
found to be crucial for normal growth in light on various carbon sources and is
not able to execute its regulatory function if overexpressed in the darkness.
CONCLUSION: The different responses indicate that light impacts fungi like H.
jecorina at several cellular processes, and that it has both positive and
negative effects. The data also emphasize that ENVOY has an apparently more
widespread cellular role in this process than only in modulating the response to
light.
PMID- 18053207
TI - Open access to novel dual flow chamber technology for in vitro cell
mechanotransduction, toxicity and pharamacokinetic studies.
AB - BACKGROUND: A major stumbling block for researchers developing experimental
models of mechanotransduction is the control of experimental variables, in
particular the transmission of the mechanical forces at the cellular level. A
previous evaluation of state of the art commercial perfusion chambers showed that
flow regimes, applied to impart a defined mechanical stimulus to cells, are
poorly controlled and that data from studies in which different chambers are
utilized can not be compared, even if the target stress regimes are comparable.
METHODS: This study provides a novel chamber design to provide both
physiologically-based flow regimes, improvements in control of experimental
variables, as well as ease of use compared to commercial chambers. This novel
design achieves controlled stresses through five gasket designs and both single-
and dual-flow regimes. RESULTS: The imparted shear stress within the gasket
geometry is well controlled. Fifty percent of the entire area of the 10 x 21 mm
universal gasket (Gasket I, designed to impart constant magnitude shear stresses
in the center of the chamber where outcome measures are taken), is exposed to
target stresses. In the 8 mm diameter circular area at the center of the chamber
(where outcome measures are made), over 92% of the area is exposed to the target
stress (+/- 2.5%). In addition, other gasket geometries provide specific
gradients of stress that vary with distance from the chamber inlet. Bench-top
testing of the novel chamber prototype shows improvements, in the ease of use as
well as in performance, compared to the other commercial chambers. The design of
the chamber eliminates flow deviations due to leakage and bubbles and allows
actual flow profiles to better conform with those predicted in computational
models. CONCLUSION: The novel flow chamber design provides predictable and well
defined mechanical forces at the surface of a cell monolayer, showing improvement
over previously tested commercial chambers. The predictability of the imparted
stress improves both experiment repeatability as well as the accuracy of inter
study comparisons. Carefully controlling the stresses on cells is critical in
effectively mimicking in vivo situations. Overall, the improved perfusion flow
chamber provides the needed resolution, standardization and in vitro model
analogous to in vivo conditions to make the step towards greater use in research
and the opportunity to enter the diagnostic and therapeutic market.
PMID- 18053208
TI - A new computational approach to analyze human protein complexes and predict novel
protein interactions.
AB - We propose a new approach to identify interacting proteins based on gene
expression data. By using hypergeometric distribution and extensive Monte-Carlo
simulations, we demonstrate that looking at synchronous expression peaks in a
single time interval is a high sensitivity approach to detect co-regulation among
interacting proteins. Combining gene expression and Gene Ontology similarity
analyses enabled the extraction of novel interactions from microarray datasets.
Applying this approach to p21-activated kinase 1, we validated alpha-tubulin and
early endosome antigen 1 as its novel interactors.
PMID- 18053209
TI - Intermittent preventive treatment for the prevention of malaria during pregnancy
in high transmission areas.
AB - Malaria in pregnancy is one of the major causes of maternal morbidity and adverse
birth outcomes. In high transmission areas, its prevention has recently changed,
moving from a weekly or bimonthly chemoprophylaxis to intermittent preventive
treatment (IPTp). IPTp consists in the administration of a single curative dose
of an efficacious anti-malarial drug at least twice during pregnancy - regardless
of whether the woman is infected or not. The drug is administered under
supervision during antenatal care visits. Sulphadoxine-pyrimethamine (SP) is the
drug currently recommended by the WHO. While SP-IPTp seems an adequate strategy,
there are many issues still to be explored to optimize it. This paper reviewed
data on IPTp efficacy and discussed how to improve it. In particular, the
determination of both the optimal number of doses and time of administration of
the drug is essential, and this has not yet been done. As both foetal growth and
deleterious effects of malaria are maximum in late pregnancy women should
particularly be protected during this period. Monitoring of IPTp efficacy should
be applied to all women, and not only to primi- and secondigravidae, as it has
not been definitively established that multigravidae are not at risk for malaria
morbidity and mortality. In HIV-positive women, there is an urgent need for
specific information on drug administration patterns (need for higher doses,
possible interference with sulpha-based prophylaxis of opportunistic infections).
Because of the growing level of resistance of parasites to SP, alternative drugs
for IPTp are urgently needed. Mefloquine is presently one of the most attractive
options because of its long half life, high efficacy in sub-Saharan Africa and
safety during pregnancy. Also, efforts should be made to increase IPTp coverage
by improving the practices of health care workers, the motivation of women and
their perception of malaria complications in pregnancy. Because IPTp is not
applicable in early pregnancy, which is a period when malaria may also be
deleterious for women and their offspring, there is a necessity to integrate this
strategy with other preventive measures which can be applied earlier in pregnancy
such as insecticide-treated nets.
PMID- 18053210
TI - Aggregates of bacteriophage 0305phi8-36 seed future growth.
AB - Lytic bacteriophage 0305phi8-36 forms visually observed aggregates during plaque
formation. Aggregates intrinsically lower propagation potential. In the present
study, the following observations indicate that lost propagation potential is
regained with time: (1) Aggregates sometimes concentrate at the edge of clear
plaques. (2) A semi-clear ring sometimes forms beyond the plaques. (3) Formation
of a ring is completely correlated with the presence of aggregates at the same
angular displacement along the plaque edge. To explain this aggregate-derived
lowering/raising of propagation potential, the following hypothesis is presented:
Aggregation/dissociation of bacteriophage of 0305phi8-36 is a selected phenomenon
that evolved to maintain high host finding rate in a trade-off with maintaining
high rate of bacteriophage progeny production. This hypothesis explains ringed
plaque morphology observed for other bacteriophages and predicts that aggregates
will undergo time-dependent change in structure as propagation potential
increases. In support, fluorescence microscopy reveals time-dependent change in
the distance between resolution-limited particles in aggregates.
PMID- 18053211
TI - Compartmentalization of the gut viral reservoir in HIV-1 infected patients.
AB - BACKGROUND: Recently there has been an increasing interest and appreciation for
the gut as both a viral reservoir as well as an important host-pathogen interface
in human immunodefiency virus type 1 (HIV-1) infection. The gut associated
lymphoid tissue (GALT) is the largest lymphoid organ infected by HIV-1. In this
study we examined if different HIV-1 quasispecies are found in different parts of
the gut of HIV-1 infected individuals. RESULTS: Gut biopsies (esophagus, stomach,
duodenum and colorectum) were obtained from eight HIV-1 infected preHAART (highly
active antiretroviral therapy) patients. HIV-1 Nef and Reverse transcriptase (RT)
encoding sequences were obtained through nested PCR amplification from DNA
isolated from the gut biopsy tissues. The PCR fragments were cloned and
sequenced. The resulting sequences were subjected to various phylogenetic
analyses. Expression of the nef gene and viral RNA in the different gut tissues
was determined using real-time RT-PCR. Phylogenetic analysis of the Nef protein
encoding region revealed compartmentalization of viral replication in the gut
within patients. Viral diversity in both the Nef and RT encoding region varied in
different parts of the gut. Moreover, increased nef gene expression (p < 0.05)
and higher levels of viral genome were observed in the colorectum (p < 0.05).
These differences could reflect an adaptation of HIV-1 to the various tissues.
CONCLUSION: Our results indicated that different HIV-1 quasispecies populate
different parts of the gut, and that viral replication in the gut is
compartmentalized. These observations underscore the importance of the gut as a
host-pathogen interface in HIV-1 infection.
PMID- 18053213
TI - Recurrence of hepatitis C virus during leucocytopenia and spontaneous clearance
after recovery from cytopenia: a case report.
AB - INTRODUCTION: There is little information about the risk of HCV recurrence in
immunosuppressed patients. Although the presence of antibodies to HCV and the
absence of HCV-RNA is usually considered to indicate viral elimination, the virus
may not be completely eliminated but may be under control of an effective immune
response. CASE PRESENTATION: A 69 year old man presented with jaundice, elevated
ALT, AST, lipase and concomitant abdominal pain. He was found to be positive for
HCV-RNA (genotype 3a) and was diagnosed with acute hepatitis C. Six weeks later
no HCV-RNA was detected, and the patient was diagnosed with hyperthyreosis and
started on propylthiouracil. After 4 weeks of propylthiouracil treatment, the
patient developed leucocytopenia, followed by liver function deterioration and
reappearance of HCV-RNA. Propylthiouracil was discontinued and his leukocyte
counts increased. Twenty-eight weeks after onset of acute hepatitis C, no HCV-RNA
was detected. CONCLUSION: This case history shows the risk of recurrence of HCV
during leucocytopenia. These findings indicate that patients who are anti-HCV
positive but HCV-RNA negative may be at risk of cytopenia-induced HCV
reactivation.
PMID- 18053212
TI - Validity and reliability of the Spanish version of the DN4 (Douleur Neuropathique
4 questions) questionnaire for differential diagnosis of pain syndromes
associated to a neuropathic or somatic component.
AB - BACKGROUND: This study assesses the validity and reliability of the Spanish
version of DN4 questionnaire as a tool for differential diagnosis of pain
syndromes associated to a neuropathic (NP) or somatic component (non-neuropathic
pain, NNP). METHODS: A study was conducted consisting of two phases: cultural
adaptation into the Spanish language by means of conceptual equivalence,
including forward and backward translations in duplicate and cognitive
debriefing, and testing of psychometric properties in patients with NP
(peripheral, central and mixed) and NNP. The analysis of psychometric properties
included reliability (internal consistency, inter-rater agreement and test-retest
reliability) and validity (ROC curve analysis, agreement with the reference
diagnosis and determination of sensitivity, specificity, and positive and
negative predictive values in different subsamples according to type of NP).
RESULTS: A sample of 164 subjects (99 women, 60.4%; age: 60.4 +/- 16.0 years), 94
(57.3%) with NP (36 with peripheral, 32 with central, and 26 with mixed pain) and
70 with NNP was enrolled. The questionnaire was reliable [Cronbach's alpha
coefficient: 0.71, inter-rater agreement coefficient: 0.80 (0.71-0.89), and test
retest intra-class correlation coefficient: 0.95 (0.92-0.97)] and valid for a cut
off value > or = 4 points, which was the best value to discriminate between NP
and NNP subjects. DISCUSSION: This study, representing the first validation of
the DN4 questionnaire into another language different than the original, not only
supported its high discriminatory value for identification of neuropathic pain,
but also provided supplemental psychometric validation (i.e. test-retest
reliability, influence of educational level and pain intensity) and showed its
validity in mixed pain syndromes.
PMID- 18053214
TI - Cardiac functional stress imaging: a sequential approach with stress echo and
cardiovascular magnetic resonance.
AB - AIMS: The aim of the study was to assess the feasibility and accuracy of an
integrated stress imaging algorithm with echo first and second-line Cardiac
Magnetic Resonance (CMR) in selected cases. Stress echo (SE) is widely used for
non-invasive diagnosis of coronary artery disease (CAD), but difficult patients
and ambiguous responses may be met even with top-level technology and expertise.
CMR might ideally complement SE in well-selected cases with unfeasible and/or
ambiguous and/or submaximal results. METHODS AND RESULTS: 152 in-hospital
patients with chest pain and normal baseline function were referred for SE and
coronary angiography. Of the initial population, 33 were shunted to CMR due to
poor acoustic window or ambiguous or submaximal SE test. The only criterion of
positivity for both techniques was the presence of regional wall motion
abnormalities in at least 2 contiguous segments. Coronary angiography was
performed independently of test results. Significant CAD was identified by a >50%
quantitatively assessed diameter reduction in at least 1 major coronary
vessel.CAD was present in 88 patients. Interpretable and diagnostic stress test
were obtained in 143 patients with the sequential algorithm. The sequential (SE
in 110 + CMR in 33 patients) algorithm showed a sensitivity of 76% (95% CI 66% to
85%) specificity of 87% (95% CI 76% to 95%) and accuracy of 80% (95% CI 73% to
86%). CONCLUSION: A sequential functional stress imaging algorithm with stress
echo first and stress CMR in selected cases is feasible, clinically realistic and
allows an efficient, radiation-free diagnosis of CAD.
PMID- 18053215
TI - Applying the scientific method when assessing the influence of migratory birds on
the dispersal of H5N1.
AB - BACKGROUND: The role of wild birds in the dispersal of highly pathogenic avian
influenza virus H5N1 continues to be the subject of considerable debate. However,
some researchers functionally examining the same question are applying opposing
null hypotheses when examining this issue. DISCUSSION: I describe the correct
method for establishing a null hypothesis under the scientific method. I suggest
that the correct null hypothesis is that migratory birds can disperse this virus
during migration and encourage researchers to design studies to falsify this
null. Finally, I provide several examples where statements made during this
debate, while strictly true, are not generally informative or are speculative.
SUMMARY: By adhering to the scientific method, definitive answers regarding the
role of wild birds in the dispersal of highly pathogenic viruses will be reached
more effectively.
PMID- 18053216
TI - Effects of stimulus-driven synchronization on sensory perception.
AB - BACKGROUND: A subject's ability to differentiate the loci of two points on the
skin depends on the stimulus-evoked pericolumnar lateral inhibitory interactions
which increase the spatial contrast between regions of SI cortex that are
activated by stimulus-evoked afferent drive. Nevertheless, there is very little
known about the impact that neuronal interactions - such as those evoked by
mechanical skin stimuli that project to and coordinate synchronized activity in
adjacent and/or near-adjacent cortical columns - could have on sensory
information processing. METHODS: The temporal order judgment (TOJ) and temporal
discriminative threshold (TDT) of 20 healthy adult subjects were assessed both in
the absence and presence of concurrent conditions of tactile stimulation. These
measures were obtained across a number of paired sites - two unilateral and one
bilateral - and several conditions of adapting stimuli were delivered both prior
to and concurrently with the TOJ and TDT tasks. The pairs of conditioning stimuli
were synchronized and periodic, synchronized and non-periodic, or asynchronous
and non-periodic. RESULTS: In the absence of any additional stimuli, TOJ and TDT
results obtained from the study were comparable across a number of pairs of
stimulus sites - unilateral as well as bilateral. In the presence of a 25 Hz
conditioning sinusoidal stimulus which was delivered both before, concurrently
and after the TOJ task, there was a significant change in the TOJ measured when
the two stimuli were located unilaterally on digits 2 and 3. However, in the
presence of the same 25 Hz conditioning stimulus, the TOJ obtained when the two
stimuli were delivered bilaterally was not impacted. TDT measures were not
impacted to the same degree by the concurrent stimuli that were delivered to the
unilateral or bilateral stimulus sites. This led to the speculation that the
impact that the conditioning stimuli - which were sinusoidal, periodic and
synchronous - had on TOJ measures was due to the synchronization of adjacent
cortical ensembles in somatosensory cortex, and that the synchronization of these
cortical ensembles could have been responsible for the degradation in temporal
order judgment. In order to more directly test this hypothesis, the synchronized
25 Hz conditioning stimuli that were delivered during the initial TOJ test were
replaced with asynchronous non-periodic 25 Hz conditioning stimuli, and these
asynchronous conditioning stimuli did not impact the TOJ measures. CONCLUSION:
The results give support to the theory that synchronization of cortical ensembles
in SI could significantly impact the topography of temporal perception, and these
findings are speculated to be linked mechanistically to previously reported co
activation plasticity studies. Additionally, the impact that such synchronizing
conditioning stimuli have on TOJ - which can be measured relatively quickly -
could provide an effective means to assess the functional connectivity of
neurologically compromised subject populations.
PMID- 18053217
TI - Perceived community environment and physical activity involvement in a northern
rural Aboriginal community.
AB - BACKGROUND: Type 2 diabetes disproportionately affects Aboriginal peoples in
Canada. Ample evidence shows that regular physical activity (PA) plays an
important role in the prevention and treatment of type 2 diabetes. Evidence is
beginning to emerge linking PA to the physical environment but little is known
about the relationship between remote rural environments and PA involvement in
Aboriginal peoples. This study's purpose was to investigate the relationship
between perceptions of the environment and PA and walking patterns in Aboriginal
adults in order to inform the planning and implementation of community-relevant
PA interventions. METHODS: Two hundred and sixty three residents (133 women, mean
age = 35.6 years, SD = 12.3 and 130 men, mean age = 37.2 years, SD = 13.1) from
Moose Factory, Ontario were asked about environmental factors related to walking
and PA involvement. Survey items were drawn from standardized, validated
questionnaires. Descriptive statistics (means, standard deviations, percentages)
were calculated. A series of hierarchical multiple regressions were performed to
determine associations between walking and overall PA with perceived
environmental variables. RESULTS: Hierarchical multiple regression to predict
walking revealed significant associations between walking and perceived safety
and aesthetics. Owning home exercise equipment predicted strenuous PA. Different
aspects of the physical environment appear to influence different types of
physical activities. The significant amount of variance in behaviour accounted
for by perceived environmental variables (5.3% walking) included safety,
aesthetics, convenience, owning home exercise equipment and comfortable shoes for
walking. CONCLUSION: Results suggest that a supportive physical environment is
important for PA involvement and that walking and activities of different
intensity appear to be mediated by different perceived environmental variables.
Implications for PA promotion in rural environments where Aboriginal people face
many unique environmental features (e.g., bears, mosquitoes, extreme cold) are
discussed.
PMID- 18053218
TI - Hematochezia in a patient with liver cirrhosis.
AB - Although commonly detectable in patients with cirrhosis, rectal varices only
infrequently cause significant hematochezia (0.5-3.6%). While they may be
expected to resolve with treatment of the concomitant portal hypertension, there
is currently no standardized approach to their management in isolation. Therefore
many authorities recommend transjugular intrahepatic portosystemic shunting
(TIPS) as a means of alleviating otherwise recalcitrant bleeding. Conceptually,
however, rectal varices should be as amenable to local therapies as are their
counterparts occurring at the esophagogastric junction. In this report, we
describe the use of endoscopic banding per ano to alleviate significant rectal
bleeding in a patient with poorly controlled portal hypertension. This allowed
medical optimisation so that the underlying pathology could be controlled without
recourse to TIPS or other means of creating a formal portosystemic shunt.
PMID- 18053219
TI - Correlates of current cigarette smoking among in-school adolescents in the
Kurdistan region of Iraq.
AB - BACKGROUND: Many adult cigarette smokers initiated the habit as adolescents.
Adolescent tobacco use may be a marker of other unhealthy behaviours. There are
limited data on the prevalence and correlates of cigarette smoking among in
school adolescents in Iraq. We aimed to estimate the prevalence of, and assess
the socio-demographic correlates of current cigarette smoking among in-school
adolescents in Kurdistan region of Iraq. METHODS: Secondary data analysis of the
Global Youth Tobacco Survey, conducted in the region of Kurdistan, Iraq in 2006.
Logistic regression analysis was conducted to assess the association between
current cigarette smoking and explanatory variables. RESULTS: One thousand nine
hundred eighty-nine adolescents participated in the Kurdistan-Iraq Global Youth
Tobacco Survey. Of these, 58.1% and 41.9% were boys and girls respectively. The
overall prevalence of current cigarette smoking was 15.3%; 25.1% and 2.7% in boys
and girls respectively. The factors associated with adolescent smoking were:
parents' smoking, smoking in closest friends, male gender, having pocket money
and perceptions that boys or girls who smoked were attractive. CONCLUSION: We
suggest that public health interventions aimed to curb adolescent cigarette
smoking should be designed, implemented and evaluated with due recognition to the
factors that are associated with the habit.
PMID- 18053220
TI - Pioglitazone is as effective as dexamethasone in a cockroach allergen-induced
murine model of asthma.
AB - BACKGROUND: While glucocorticoids are currently the most effective therapy for
asthma, associated side effects limit enthusiasm for their use. Peroxisome
proliferator-activated receptor-gamma (PPAR-gamma) activators include the
synthetic thiazolidinediones (TZDs) which exhibit anti-inflammatory effects that
suggest usefulness in diseases such as asthma. How the ability of TZDs to
modulate the asthmatic response compares to that of glucocorticoids remains
unclear, however, because these two nuclear receptor agonists have never been
studied concurrently. Additionally, effects of PPAR-gamma agonists have never
been examined in a model involving an allergen commonly associated with human
asthma. METHODS: We compared the effectiveness of the PPAR-gamma agonist
pioglitazone (PIO) to the established effectiveness of a glucocorticoid receptor
agonist, dexamethasone (DEX), in a murine model of asthma induced by cockroach
allergen (CRA). After sensitization to CRA and airway localization by intranasal
instillation of the allergen, Balb/c mice were challenged twice at 48-h intervals
with intratracheal CRA. Either PIO (25 mg/kg/d), DEX (1 mg/kg/d), or vehicle was
administered throughout the period of airway CRA exposure. RESULTS: PIO and DEX
demonstrated similar abilities to reduce airway hyperresponsiveness, pulmonary
recruitment of inflammatory cells, serum IgE, and lung levels of IL-4, IL-5, TNF
alpha, TGF-beta, RANTES, eotaxin, MIP3-alpha, Gob-5, and Muc5-ac. Likewise,
intratracheal administration of an adenovirus containing a constitutively active
PPAR-gamma expression construct blocked CRA induction of Gob-5 and Muc5-ac.
CONCLUSION: Given the potent effectiveness shown by PIO, we conclude that PPAR
gamma agonists deserve investigation as potential therapies for human asthma.
PMID- 18053221
TI - Impact of estrogen receptor gene polymorphisms and mRNA levels on obesity and
lipolysis--a cohort study.
AB - BACKGROUND: The estrogen receptors alpha and beta (ESR1, ESR2) have been
implicated in adiposity, lipid metabolism and feeding behaviour. In this report
we analyse ESR1 and ESR2 gene single nucleotide polymorphisms (SNPs) for
association with obesity. We also relate adipose tissue ESR1 mRNA levels and ESR1
SNPs to adipocyte lipolysis and lipogenesis phenotypes. METHODS: 23 ESR1 and 11
ESR2 tag-SNPs, covering most of the common haplotype variation in each gene
according to HAPMAP data, were analysed by Chi2 for association with obesity in a
cohort comprising 705 adults with severe obesity and 402 lean individuals.
Results were replicated in a cohort comprising 837 obese and 613 lean subjects.
About 80% of both cohorts comprised women and 20% men. Adipose tissue ESR1 mRNA
was quantified in 122 women and related to lipolysis and lipogenesis by multiple
regression. ESR1 SNPs were analysed for association with adipocyte lipolysis and
lipogenesis phenotypes in 204 obese women by simple regression. RESULTS: No ESR1
SNP was associated with obesity. Five ESR2 SNPs displayed nominal significant
allelic association with obesity in women and one in men. The two ESR2 SNPs
associated with obesity with nominal P value < 0.01 were genotyped in a second
cohort where no association with obesity was observed. There was an inverse
correlation between ESR1 mRNA levels in abdominal subcutaneous (sc) adipose
tissue and basal lipolysis, as well as responsiveness to adrenoceptor agonists
independent of age and BMI (P value 0.009-0.045). ESR1 rs532010 was associated
with lipolytic sensitivity to noradrenaline (nominal P value 0.012), and ESR1
rs1884051 with responsiveness to the non-selective beta-adrenoceptor agonist
isoprenaline (nominal P value 0.05). These associations became non-significant
after Bonferroni correction. CONCLUSION: ESR1 gene alleles are unlikely to be a
major cause of obesity in women. A minor importance of ESR2 on severe obesity
cannot be excluded. The inverse correlation between ESR1 mRNA levels and
lipolytic responsiveness to adrenoceptor agonists implies that low adipose tissue
ESR1 levels attenuate catecholamine resistance in sc fat cells of obese women
hereby contributing to loss of sc and gain of visceral fat. There is no evidence
for a genetic impact of ESR1 on lipolysis or lipogenesis.
PMID- 18053222
TI - GSTM1 and APE1 genotypes affect arsenic-induced oxidative stress: a repeated
measures study.
AB - BACKGROUND: Chronic arsenic exposure is associated with an increased risk of
skin, bladder and lung cancers. Generation of oxidative stress may contribute to
arsenic carcinogenesis. METHODS: To investigate the association between arsenic
exposure and oxidative stress, urinary 8-hydroxy-2'-deoxyguanosine (8-OHdG) was
evaluated in a cohort of 97 women recruited from an arsenic-endemic region of
Bangladesh in 2003. Arsenic exposure was measured in urine, toenails, and
drinking water. Drinking water and urine samples were collected on three
consecutive days. Susceptibility to oxidative stress was evaluated by genotyping
relevant polymorphisms in glutathione-s transferase mu (GSTM1), human 8
oxoguanine glycosylase (hOGG1) and apurinic/apyrimidinic endonuclease (APE1)
genes using the Taqman method. Data were analyzed using random effects Tobit
regression to account for repeated measures and 8-OHdG values below the detection
limit. RESULTS: A consistent negative effect for APE1 was observed across water,
toenail and urinary arsenic models. APE1 148 glu/glu + asp/glu genotype was
associated with a decrease in logged 8-OHdG of 0.40 (95%CI -0.73, -0.07) compared
to APE1 148 asp/asp. An association between total urinary arsenic and 8-OHdG was
observed among women with the GSTM1 null genotype but not in women with GSTM1
positive. Among women with GSTM1 null, a comparison of the second, third, and
fourth quartiles of total urinary arsenic to the first quartile resulted in a
0.84 increase (95% CI 0.27, 1.42), a 0.98 increase (95% CI 033, 1.66) and a 0.85
increase (95% CI 0.27, 1.44) in logged 8-OHdG, respectively. No effects between 8
OHdG and toenail arsenic or drinking water arsenic were observed. CONCLUSION:
These results suggest the APE1 variant genotype decreases repair of 8-OHdG and
that arsenic exposure is associated with oxidative stress in women who lack a
functional GSTM1 detoxification enzyme.
PMID- 18053223
TI - Trafficking of central opioid receptors and descending pain inhibition.
AB - The delta-opioid receptor (DOR) belongs to the superfamily of G-protein-coupled
receptors (GPCRs) with seven transmembrane domains, and its membrane trafficking
is regulated by intracellular sorting processes involving its C-tail motifs,
intracellular sorting proteins, and several intracellular signaling pathways. In
the quiescent state, DOR is generally located in the intracellular compartments
in central neurons. However, chronic stimulation, such as chronic pain and
sustained opioid exposure, may induce membrane trafficking of DOR and its
translocation to surface membrane. The emerged functional DOR on cell membrane is
actively involved in pain modulation and opioid analgesia. This article reviews
current understanding of the mechanisms underlying GPCRs and DOR membrane
trafficking, and the analgesic function of emerged DOR through membrane
trafficking under certain pathophysiological circumstances.
PMID- 18053224
TI - Phylogenetic analysis of Shiga toxin 1 and Shiga toxin 2 genes associated with
disease outbreaks.
AB - BACKGROUND: Shiga toxins 1 and 2 (Stx1 and Stx2) are bacteriophage-encoded
proteins that have been associated with hemorrhagic colitis, hemolytic uremic
syndrome and other severe disease conditions. Stx1 and Stx2 are genetically and
immunologically distinct but share the same compound toxin structure, method of
entry and enzymatic function. RESULTS: Phylogenetic analysis was performed using
Stx1 and Stx2 amino acid and nucleotide sequences from 41 strains of Escherichia
coli, along with known stx sequences available from GenBank. The analysis
confirmed the Stx1 and Stx2 divergence, and showed that there is generally more
sequence variation among stx2 genes than stx1. The phylograms showed generally
flat topologies among our strains' stx1 and stx2 genes. In the stx2 gene, 39.5%
of the amino acid sites display very low nonsynonymous to synonymous substitution
ratios. CONCLUSION: The stx1 and stx2 genes used in this phylogenetic study show
sequence conservation with no significant divergence with respect to place or
time. These data could indicate that Shiga toxins are experiencing purifying
selection.
PMID- 18053225
TI - How is childhood development of immunity to Plasmodium falciparum enhanced by
certain antimalarial interventions?
AB - The development of acquired protective immunity to Plasmodium falciparum
infection in young African children is considered in the context of three current
strategies for malaria prevention: insecticide-impregnated bed nets or curtains,
anti-sporozoite vaccines and intermittent preventive therapy. Evidence is
presented that each of these measures may permit attenuated P. falciparum blood
stage infections, which do not cause clinical malaria but can act as an effective
blood-stage "vaccine". It is proposed that the extended serum half-life, and
rarely considered liver-stage prophylaxis provided by the anti-folate combination
sulphadoxine-pyrimethamine frequently lead to such attenuated infections in high
transmission areas, and thus contribute to the sustained protection from malaria
observed among children receiving the combination as intermittent preventative
therapy or for parasite clearance in vaccine trials.
PMID- 18053226
TI - Giant cutaneous horn in an African woman: a case report.
AB - INTRODUCTION: A cutaneous horn is a conical projection of hyperkeratotic
epidermis. Though grossly resembling an animal horn, it lacks a bony core. These
lesions have been well described in Caucasian patients, as well as in a number of
Arabic and Asian patients. CASE PRESENTATION: A young female presented with a
large 'horn' of five-year duration, arising from a burn scar. Excision and scalp
reconstruction were performed. Histology was reported as verrucoid epidermal
hyperplasia with cutaneous horn. CONCLUSION: This may be the first documentation
of this lesion in a black African. Although likely rare, it should be considered
in the differential diagnosis of dermatologic lesions. Up to 40% of cutaneous
horns occur as part of a premalignant or malignant lesion, and surgical
extirpation with histological examination is thus more important than the
curiosity surrounding these lesions.
PMID- 18053227
TI - Bullet-induced synovitis as a cause of secondary osteoarthritis of the hip joint:
A case report and review of literature.
AB - BACKGROUND: With increasing prevalence of gunshot injuries we are seeing more
patients with retained bullet fragments lodged in their bodies. Embedded lead
bullets are usually considered inert after their kinetic energy has dissipated
hence these are not removed routinely. However, exposure of any foreign body to
synovial fluid may lead to rapid degradation and hence result in systemic
absorption, causing local and systemic symptoms. We present the case of a thirty
year old man who came to our out patient department with a history of
progressive, severe hip pain ten years after a gun shot injury to his right hip.
CONCLUSION: The common belief that intraarticular bullets should not be removed
has no benefit and may result in unwanted long term complications.
PMID- 18053228
TI - Aspects of statin prescribing in Norwegian counties with high, average and low
statin consumption - an individual-level prescription database study.
AB - BACKGROUND: A previous study has shown that variations in threshold and intensity
(lipid goal attainment) of statins for primary prevention contribute to regional
differences in overall consumption of statins in Norway. Our objective was to
explore how differences in prevalences of use, dosing characteristics, choice of
statin and continuity of therapy in individual patients adds new information to
previous results. METHODS: Data were retrieved from The Norwegian Prescription
Database. We included individuals from counties with high, average, and low
statin consumption, who had at least one statin prescription dispensed during
2004 (N = 40 143).1-year prevalence, prescribed daily dose (PDD), statin of
choice, and continuity of therapy assessed by mean number of tablets per day.
RESULTS: The high-consumption county had higher prevalence of statin use in all
age groups. Atorvastatin and simvastatin were dispensed in 79-87% of all statin
users, and the proportion was significantly higher in the high-consumption
county. The estimated PDDs were higher than the DDDs, up to twice the DDD for
atorvastatin. The high-consumption county had the highest PDD for simvastatin
(25.9 mg) and atorvastatin (21.9 mg), and more users received tablets in the
upper range of available strengths. Continuity of therapy was similar in the
three counties. CONCLUSION: Although differences in age-distribution seems to be
an important source of variation in statin consumption, it cannot account for the
total variation between counties in Norway. Variations in prevalences of use, and
treatment intensity in terms of PDD and choice of statin also affect the total
consumption. The results in this study seems to correspond to previous findings
of more frequent statin use in primary prevention, and more statin users
achieving lipid goal in the highest consuming county.
PMID- 18053229
TI - Topical latanoprost causes posterior movement of lens in a patient with
exfoliation syndrome and subluxated lens: a case report.
AB - INTRODUCTION: To report the effect of topical latanoprost on the position of a
subluxated lens. CASE PRESENTATION: After 0.005% latanoprost was administered
topically to a patient with ocular hypertension due to a pseudoexfoliation
syndrome and a subluxated lens, the position of the lens was examined by slit
lamp biomicroscopy, and the ciliary body thickness by ultrasound biomicroscopy.
The lens had moved posteriorly, and the thickness of the ciliary body had
decreased after the latanoprost. CONCLUSION: We suggest that the decrease in the
thickness of the ciliary body resulted in an increase in the tension of the
zonule of Zinn fibers, thus pulling the subluxated lens posteriorly.
PMID- 18053231
TI - 'It gives you an understanding you can't get from any book.' The relationship
between medical students' and doctors' personal illness experiences and their
performance: a qualitative and quantitative study.
AB - BACKGROUND: Anecdotes abound about doctors' personal illness experiences and the
effect they have on their empathy and care of patients. We formally investigated
the relationship between doctors' and medical students' personal illness
experiences, their examination results, preparedness for clinical practice,
learning and professional attitudes and behaviour towards patients. METHODS:
Newly-qualified UK doctors in 2005 (n = 2062/4784), and two cohorts of students
at one London medical school (n = 640/749) participated in the quantitative arm
of the study. 37 Consultants, 1 Specialist Registrar, 2 Clinical Skills Tutors
and 25 newly-qualified doctors participated in the qualitative arm. Newly
qualified doctors and medical students reported their personal illness
experiences in a questionnaire. Doctors' experiences were correlated with self
reported preparedness for their new clinical jobs. Students' experiences were
correlated with their examination results, and self-reported anxiety and
depression. Interviews with clinical teachers, newly-qualified doctors and senior
doctors qualitatively investigated how personal illness experiences affect
learning, professional attitudes, and behaviour. RESULTS: 85.5% of newly
qualified doctors and 54.4% of medical students reported personal illness
experiences. Newly-qualified doctors who had been ill felt less prepared for
starting work (p < 0.001), but those who had only experienced illness in a
relative or friend felt more prepared (p = 0.02). Clinical medical students who
had been ill were more anxious (p = 0.01) and had lower examination scores (p =
0.006). Doctors felt their personal illness experiences helped them empathise and
communicate with patients. Medical students with more life experience were
perceived as more mature, empathetic, and better learners; but illness at medical
school was recognised to impede learning. CONCLUSION: The majority of the medical
students and newly qualified doctors we studied reported personal illness
experiences, and these experiences were associated with lower undergraduate
examination results, higher anxiety, and lower preparedness. However reflection
on such experiences may have improved professional attitudes such as empathy and
compassion for patients. Future research is warranted in this area.
PMID- 18053230
TI - Prediction of synergistic transcription factors by function conservation.
AB - BACKGROUND: Previous methods employed for the identification of synergistic
transcription factors (TFs) are based on either TF enrichment from co-regulated
genes or phylogenetic footprinting. Despite the success of these methods, both
have limitations. RESULTS: We propose a new strategy to identify synergistic TFs
by function conservation. Rather than aligning the regulatory sequences from
orthologous genes and then identifying conserved TF binding sites (TFBSs) in the
alignment, we developed computational approaches to implement the novel strategy.
These methods include combinatorial TFBS enrichment utilizing distance
constraints followed by enrichment of overlapping orthologous genes from human
and mouse, whose regulatory sequences contain the enriched TFBS combinations.
Subsequently, integration of function conservation from both TFBS and overlapping
orthologous genes was achieved by correlation analyses. These techniques have
been used for genome-wide promoter analyses, which have led to the identification
of 51 homotypic TF combinations; the validity of these approaches has been
exemplified by both known TF-TF interactions and function coherence analyses. We
further provide computational evidence that our novel methods were able to
identify synergistic TFs to a much greater extent than phylogenetic footprinting.
CONCLUSION: Function conservation based on the concordance of combinatorial TFBS
enrichment along with enrichment of overlapping orthologous genes has been proven
to be a successful means for the identification of synergistic TFs. This approach
avoids the limitations of phylogenetic footprinting as it does not depend upon
sequence alignment. It utilizes existing gene annotation data, such as those
available in GO, thus providing an alternative method for functional TF discovery
and annotation.
PMID- 18053232
TI - Analgesics use and ESRD in younger age: a case-control study.
AB - BACKGROUND: An ad hoc peer-review committee was jointly appointed by Drug
Authorities and Industry in Germany, Austria and Switzerland in 1999/2000 to
review the evidence for a causal relation between phenacetin-free analgesics and
nephropathy. The committee found the evidence as inconclusive and requested a new
case-control study of adequate design. METHODS: We performed a population-based
case-control study with incident cases of end-stage renal disease (ESRD) under
the age of 50 years and four age and sex-matched neighborhood controls in 170
dialysis centers (153 in Germany, and 17 in Austria) from January 1, 2001 to
December 31, 2004. Data on lifetime medical history, risk factors, treatment, job
exposure and intake of analgesics were obtained in a standardized face-to-face
interview using memory aids to enhance accuracy. Study design, study performance,
analysis plan, and study report were approved by an independent international
advisory committee and by the Drug Authorities involved. Unconditional logistic
regression analyses were performed. RESULTS: The analysis included 907 cases and
3,622 controls who had never used phenacetin-containing analgesics in their
lifetime. The use of high cumulative lifetime dose (3rd tertile) of analgesics in
the period up to five years before dialysis was not associated with later ESRD.
Adjusted odds ratios with 95% confidence intervals were 0.8 (0.7 - 1.0) and 1.0
(0.8 - 1.3) for ever- compared with no or low use and high use compared with low
use, respectively. The same results were found for all analgesics and for mono-,
and combination preparations with and without caffeine. No increased risk was
shown in analyses stratifying for dose and duration. Dose-response analyses
showed that analgesic use was not associated with an increased risk for ESRD up
to 3.5 kg cumulative lifetime dose (98 % of the cases with ESRD). While the large
subgroup of users with a lifetime dose up to 0.5 kg (278 cases and 1365 controls)
showed a significantly decreased risk, a tiny subgroup of extreme users with over
3.5 kg lifetime use (19 cases and 11 controls) showed a significant risk
increase. The detailed evaluation of 22 cases and 19 controls with over 2.5 kg
lifetime use recommended by the regulatory advisors showed an impressive excess
of other conditions than analgesics triggering the evolution of ESRD in cases
compared with controls. CONCLUSION: We found no clinically meaningful evidence
for an increased risk of ESRD associated with use of phenacetin-free analgesics
in single or combined formulation. The apparent risk increase shown in a small
subgroup with extreme lifetime dose of analgesics is most likely an indirect, non
causal association. This hypothesis, however, cannot be confirmed or refuted
within our case-control study. Overall, our results lend support to the mounting
evidence that phenacetin-free analgesics do not induce ESRD and that the notion
of "analgesic nephropathy" needs to be re-evaluated.
PMID- 18053234
TI - Being 40 or younger is an independent risk factor for relapse in operable breast
cancer patients: the Saudi Arabia experience.
AB - BACKGROUND: Breast cancer in young Saudi women is a crucial problem. According to
the 2002 annual report of Saudi National Cancer Registry, breast cancers that
developed before the age of 40 comprise 26.4% of all female breast cancers
comparing to 6.5% in the USA. Breast cancer in young patients is often associated
with a poorer prognosis, but there has been a scarcity of published data in the
Middle East population. METHODS: Total of 867 breast cancer patients seen at King
Faisal Specialist Hospital & Research Centre (KFSH&RC) between 1986 and 2002 were
reviewed. Patients were divided in two age groups: < or = 40 years and above 40
years. The clinicopathological characteristics and treatment outcomes were
compared between younger and older age groups. RESULTS: Median age at
presentation was 45 years. A total of 288 (33.2%) patients were aged < or = 40
years. Hormone receptors were positive in 69% of patients 40 and 78.2% of
patients above 40 (p = 0.009). There was a significantly higher incidence of
grade III tumor in younger patients compared to older patients (p = 0.0006).
Stage, tumor size, lymphatic/vascular invasion, number of nodes and axillary
lymph node status, did not differ significantly between the two age groups.
Younger patients had a greater probability of recurrence at all time periods (p =
0.035). Young age had a negative impact on survival of patients with positive
axillary lymph nodes (p = 0.030) but not on survival of patients with negative
lymph nodes (p = 0.695). Stage, tumor size, nodal status and hormonal receptors
had negative impact on survival. Adjuvant chemotherapy was administered to 87.9%
of younger and 65.6% of older patients (p < 0.0001). In terms of hormone therapy,
the proportion of tamoxifen treated patients was significantly lower in young age
group (p < 0.0001). No significant difference in radiation therapy between the
two groups. CONCLUSION: Young age (< or = 40) is an independent risk factor for
relapse in operable Saudi breast cancer patients. The fundamental biology of
young age breast cancer patients needs to be elucidated.
PMID- 18053233
TI - Clinical protection against caprine herpesvirus 1 genital infection by intranasal
administration of a live attenuated glycoprotein E negative bovine herpesvirus 1
vaccine.
AB - BACKGROUND: Caprine herpesvirus 1 (CpHV-1) is responsible of systemic diseases in
kids and genital diseases leading to abortions in goats. CpHV-1 is widespread and
especially in Mediterranean countries as Greece, Italy and Spain. CpHV-1 is
antigenically and genetically closely related to bovine herpesvirus 1 (BoHV-1).
Taking into account the biological properties shared by these two viruses, we
decided in the current study to assess the protection of a live attenuated
glycoprotein E (gE) negative BoHV-1 vaccine against a genital CpHV-1 infection in
goats. RESULTS: The vaccine was inoculated intranasally twice three weeks apart
followed by a subsequent CpHV-1 intravaginal challenge which is the natural route
of infection in three goats. To analyse the safety and the efficacy of this
marker vaccine, two groups of three goats served as controls: one immunised with
a virulent CpHV-1 and one uninoculated until the challenge. Goats were clinically
monitored and all sampling procedures were carried out in a blind manner. The
vaccine did not induce any undesirable local or systemic reaction and goats did
not excrete gE-negative BoHV-1. After challenge, a significant reduction in
disease severity was observed in immunised goats. Moreover, goats immunised with
either gE-negative BoHV-1 or CpHV-1 exhibited a significant reduction in the
length and the peak of viral excretion. Antibodies neutralising both BoHV-1 and
CpHV-1 were raised in immunised goats. CONCLUSION: Intranasal application of a
live attenuated gE-negative BoHV-1 vaccine is able to afford a clinical
protection and a reduction of virus excretion in goats challenged by a CpHV-1
genital infection.
PMID- 18053235
TI - Incudomalleal joint formation: the roles of apoptosis, migration and
downregulation.
AB - BACKGROUND: The middle ear of mammals is composed of three endochondrial
ossicles, the stapes, incus and malleus. Joints link the malleus to the incus and
the incus to the stapes. In the mouse the first arch derived malleus and incus
are formed from a single Sox9 and Type II collagen expressing condensation that
later subdivides to give rise to two separate ossicles. In contrast the stapes
forms from a separate condensation derived from the second branchial arch. Fusion
of the malleus and incus is observed in a number of human syndromes and results
in conductive hearing loss. Understanding how this joint forms during normal
development is thus an important step in furthering our understanding of such
defects. RESULTS: We show that the developing incudomalleal joint is
characterised by a lack of proliferation and discrete areas of apoptosis.
Apoptosis has been suggested to aid in the removal of pre-cartilaginous cells
from the joint region, allowing for the physical separation of the cartilaginous
elements, however, we show that joint initiation is unaffected by blocking
apoptosis. There is also no evidence of cell migration out of the presumptive
joint region, as observed by labelling of joint and ossicle cells in culture.
Using Type II collagen lacZ reporter mice, however, it is evident that cells in
the presumptive joint region remain in place and downregulate cartilage markers.
CONCLUSION: The malleus and incus first appear as a single united condensation
expressing early cartilage markers. The incudomalleal joint region forms by cells
in the presumptive joint region switching off cartilage markers and turning on
joint markers. Failure in this process may result in fusion of this joint, as
observed in human syndromes such as Branchio-Oto-Renal Syndrome or Treacher
Collins Syndrome.
PMID- 18053236
TI - Four-week short chain fructo-oligosaccharides ingestion leads to increasing fecal
bifidobacteria and cholesterol excretion in healthy elderly volunteers.
AB - BACKGROUND: Short-chain fructo-oligosaccharides (scFOS) are increasingly used in
human diet for their prebiotic properties. We aimed at investigating the effects
of scFOS ingestion on the colonic microflora and oro-fecal transit time in
elderly healthy humans. METHODS: Stools composition, oro-fecal transit time, and
clinical tolerance were evaluated in 12 healthy volunteers, aged 69 +/- 2 yrs, in
three consecutive periods: basal period (2 weeks), scFOS (Actilight) ingestion
period (8 g/d for 4 weeks) and follow-up period (4 weeks). Two-way ANOVA, with
time and treatment as factors, was used to compare the main outcome measures
between the three periods. RESULTS: Fecal bifidobacteria counts were
significantly increased during the scFOS period (9.17 +/- 0.17 log cfu/g vs 8.52
+/- 0.26 log cfu/g during the basal period) and returned to their initial values
at the end of follow-up (8.37 +/- 0.21 log cfu/g; P < 0.05). Fecal cholesterol
concentration increased during the scFOS period (8.18 +/- 2.37 mg/g dry matter vs
2.81 +/- 0.94 mg/g dry matter during the basal period) and returned to the
baseline value at the end of follow-up (2.87 +/- 0.44 mg/g dry matter; P < 0.05).
Fecal pH tended to decrease during scFOS ingestion and follow-up periods compared
to the basal period (P = 0.06). Fecal bile acids, stool weight, water percentage,
and oro-fecal transit time did not change throughout the study. Excess flatus and
bloating were significantly more frequent during scFOS ingestion when compared to
the basal period (P < 0.05), but the intensity of these symptoms was very mild.
CONCLUSION: Four-week 8 g/d scFOS ingestion is well tolerated and leads to a
significant increase in fecal bifidobacteria in healthy elderly subjects. Whether
the change in cholesterol metabolism found in our study could exert a beneficial
action warrants further studies.
PMID- 18053237
TI - Plasma concentrations of cortisol and PGF2alpha metabolite in Danish sows during
mating, and intrauterine and conventional insemination.
AB - BACKGROUND: The aims of the present work was to study whether there are any
relationships between cortisol and PG-metabolite in mated sows or inseminated
with the intrauterine technique and compare these to changes occurring in
conventionally inseminated sow. METHODS: Thirty three crossbred sows (Danish
Landrace x Danish Large White) were fitted with jugular vein catheters through
vena auricularis from one of the ears. The sows were randomly divided into three
groups (Boar-, IUI- and AI-group) and blood samples were collected before, during
and after service. In a final evaluation only 25 sows that became pregnant and
farrowed piglets at full term were used. RESULTS: Cortisol concentrations
increased in all groups but Boar-group (n = 8) had a significantly higher
cortisol during 10 to 20 min after service than sows in AI-group (n = 8). In
mated sows cortisol concentrations peaked at 15 minutes after service. The Boar
group (n = 8) showed no ascending PG-metabolite levels during the whole
experiment, while both IUI- and AI-groups (n = 9 and n = 8, respectively) had a
2.5-fold increase in PG-metabolite 15 minutes after service. CONCLUSION: In
conclusion, mating of sows by a boar results in a greater increase of cortisol
than AI and without an elevation of PG-metabolite levels, which was seen in both
the inseminated groups. It was also demonstrated that IUI-group had an earlier
significant increase of PG-metabolite levels than sows inseminated
conventionally. Further investigation using different semen extenders or even
different type of insemination catheters might be helpful in understanding the
reason for an immediate increase of PG-metabolite after insemination but not
after mating.
PMID- 18053238
TI - Genome-wide interacting effects of sucrose and herbicide-mediated stress in
Arabidopsis thaliana: novel insights into atrazine toxicity and sucrose-induced
tolerance.
AB - BACKGROUND: Soluble sugars, which play a central role in plant structure and
metabolism, are also involved in the responses to a number of stresses, and act
as metabolite signalling molecules that activate specific or hormone-crosstalk
transduction pathways. The different roles of exogenous sucrose in the tolerance
of Arabidopsis thaliana plantlets to the herbicide atrazine and oxidative stress
were studied by a transcriptomic approach using CATMA arrays. RESULTS: Parallel
situations of xenobiotic stress and sucrose-induced tolerance in the presence of
atrazine, of sucrose, and of sucrose plus atrazine were compared. These
approaches revealed that atrazine affected gene expression and therefore seedling
physiology at a much larger scale than previously described, with potential
impairment of protein translation and of reactive-oxygen-species (ROS) defence
mechanisms. Correlatively, sucrose-induced protection against atrazine injury was
associated with important modifications of gene expression related to ROS defence
mechanisms and repair mechanisms. These protection-related changes of gene
expression did not result only from the effects of sucrose itself, but from
combined effects of sucrose and atrazine, thus strongly suggesting important
interactions of sucrose and xenobiotic signalling or of sucrose and ROS
signalling. CONCLUSION: These interactions resulted in characteristic
differential expression of gene families such as ascorbate peroxidases,
glutathione-S-transferases and cytochrome P450s, and in the early induction of an
original set of transcription factors. These genes used as molecular markers will
eventually be of great importance in the context of xenobiotic tolerance and
phytoremediation.
PMID- 18053239
TI - Hospital outpatient perceptions of the physical environment of waiting areas: the
role of patient characteristics on atmospherics in one academic medical center.
AB - BACKGROUND: This study examines hospital outpatient perceptions of the physical
environment of the outpatient waiting areas in one medical center. The
relationship of patient characteristics and their perceptions and needs for the
outpatient waiting areas are also examined. METHOD: The examined medical center
consists of five main buildings which house seventeen primary waiting areas for
the outpatient clinics of nine medical specialties: 1) Internal Medicine; 2)
Surgery; 3) Ophthalmology; 4) Obstetrics-Gynecology and Pediatrics; 5) Chinese
Medicine; 6) Otolaryngology; 7) Orthopedics; 8) Family Medicine; and 9)
Dermatology. A 15-item structured questionnaire was developed to rate patient
satisfaction covering the four dimensions of the physical environments of the
outpatient waiting areas: 1) visual environment; 2) hearing environment; 3) body
contact environment; and 4) cleanliness. The survey was conducted between
November 28, 2005 and December 8, 2005. A total of 680 outpatients responded.
Descriptive, univariate, and multiple regression analyses were applied in this
study. RESULTS: All of the 15 items were ranked as relatively high with a range
from 3.362 to 4.010, with a neutral score of 3. Using a principal component
analysis' summated scores of four constructed dimensions of patient satisfaction
with the physical environments (i.e. visual environment, hearing environment,
body contact environment, and cleanliness), multiple regression analyses revealed
that patient satisfaction with the physical environment of outpatient waiting
areas was associated with gender, age, visiting frequency, and visiting time.
CONCLUSION: Patients' socio-demographics and context backgrounds demonstrated to
have effects on their satisfaction with the physical environment of outpatient
waiting areas. In addition to noticing the overall rankings for less satisfactory
items, what should receive further attention is the consideration of the
patients' personal characteristics when redesigning more comfortable and
customized physical environments of waiting areas.
PMID- 18053240
TI - Perception versus polysomnographic assessment of sleep in CFS and non-fatigued
control subjects: results from a population-based study.
AB - BACKGROUND: Complaints of unrefreshing sleep are a prominent component of chronic
fatigue syndrome (CFS); yet, polysomnographic studies have not consistently
documented sleep abnormalities in CFS patients. We conducted this study to
determine whether alterations in objective sleep characteristics are associated
with subjective measures of poor sleep quality in persons with CFS. METHODS: We
examined the relationship between perceived sleep quality and polysomnographic
measures of nighttime and daytime sleep in 35 people with CFS and 40 non-fatigued
control subjects, identified from the general population of Wichita, Kansas and
defined by empiric criteria. Perceived sleep quality and daytime sleepiness were
assessed using clinical sleep questionnaires. Objective sleep characteristics
were assessed by nocturnal polysomnography and daytime multiple sleep latency
testing. RESULTS: Participants with CFS reported unrefreshing sleep and problems
sleeping during the preceding month significantly more often than did non
fatigued controls. Participants with CFS also rated their quality of sleep during
the overnight sleep study as significantly worse than did control subjects.
Control subjects reported significantly longer sleep onset latency than latency
to fall asleep as measured by PSG and MSLT. There were no significant differences
in sleep pathology or architecture between subjects with CFS and control
subjects. CONCLUSION: People with CFS reported sleep problems significantly more
often than control subjects. Yet, when measured these parameters and sleep
architecture did not differ between the two subject groups. A unique finding
requiring further study is that control, but not CFS subjects, significantly over
reported sleep latency suggesting CFS subjects may have an increased appreciation
of sleep behaviour that may contribute to their perception of sleep problems.
PMID- 18053241
TI - A simple way to distinguish bed clothing contamination in a whole body bone scan:
a case report.
AB - Whole body bone scan with Technetium-99m MDP (methylene diphosphate) can detect
bony lesions due to altered osteoblastic activity.Non-physiologic or increased
radiotracer uptake in the bony structures of patients with a history of malignant
diseases is usually interpreted as being suspicious of bone metastasis. It is
extremely important to properly distinguish false positive sites of Tc-99m MDP
localization. We present three patients with the same pattern of Tc-99m MDP
abnormality in different locations. These scans were all performed on the same
day to evaluate possible bone metastases in three patients with breast carcinoma.
After careful examination, repeated images revealed bed clothing contamination.
This is different from bed contamination by displacement among different
patients. It is also different from detector contamination by limited area of
involvement where detector contamination appears as a line throughout the total
body projection. It can be helpful if a nuclear medicine specialist has a brief
look at all scans prior to reporting them. In cases where the same pattern of
abnormality is repeated in all images, the possibility of technical error such as
bed clothing contamination rather than a pathological abnormality should be borne
in mind.
PMID- 18053242
TI - Mononuclear cells modulate the activity of pancreatic stellate cells which in
turn promote fibrosis and inflammation in chronic pancreatitis.
AB - BACKGROUND: Interactions between mononuclear cells and activated pancreatic
myofibroblasts (pancreatic stellate cells; PSC) may contribute to inflammation
and fibrosis in chronic pancreatitis (CP). METHODS: Markers of fibrosis and
inflammation were concomitantly analysed by immunohistochemistry in chronic
pancreatitis tissues. In vitro, PSC were stimulated with TNFalpha and LPS.
Primary human blood mononuclear cells (PBMC) and PSC were cocultured, followed by
analysis of cytokines and extracellular matrix (ECM) proteins. PBMC were derived
from healthy donors and CP and septic shock patients. RESULTS: In areas of
mononuclear cell infiltration in chronic pancreatitis tissues, there was
decreased immunoreactivity for collagen1 and fibronectin, in contrast to areas
with sparse mononuclear cells, although PSC were detectable in both areas. LPS
and TNFalpha induced collagen1 and fibronectin levels as well as the matrix
degradation enzyme MMP-1. Coculture experiments with PSC and PBMC revealed
increased fibronectin secretion induced by PBMC. In addition, donor and CP PBMC
significantly induced an increase in IL-6, MCP-1 and TGFbeta levels under
coculture conditions. Determination of the source of cytokines and ECM proteins
by mRNA expression analysis confirmed PSC as major contributors of ECM
production. The increase in cytokine expression was PBMC- and also PSC-derived.
CONCLUSION: Mononuclear cells modulate the activity of pancreatic stellate cells,
which may in turn promote fibrosis and inflammation.
PMID- 18053243
TI - Genetic variability of the P120' surface protein gene of Mycoplasma hominis
isolates recovered from Tunisian patients with uro-genital and infertility
disorders.
AB - BACKGROUND: Among the surface antigens of Mycoplasma hominis, the P120' protein
was previously shown to elicit a subtle antibody response and appears to be
relatively conserved. To get better insight into the evolution of this protein,
we analysed the genetic variability of its surface exposed region in 27 M.
hominis isolates recovered from the genital tract of Tunisian patients with
infertility disorders. METHODS: All specimens were processed for culture and PCR
amplification of the N-terminal surface exposed region of p120' gene. PCR
products were sequenced to evaluate the genetic variability, to test for adaptive
selection, and to infer the phylogenetic relationship of the M. hominis isolates.
RESULTS: Sequence analysis showed a total of 25 single nucleotide polymorphisms
distributed through 23 polymorphic sites, yielding 13 haplotypes. All but one
mutation were confined within three distinct regions. Analysis of the amino acid
based phylogenetic tree showed a predominant group of 17 closely related isolates
while the remaining appear to have significantly diverged. CONCLUSION: By
analysing a larger sample of M. hominis recovered from patients with urogenital
infections, we show here that the P120' protein undergoes substantial level of
genetic variability at its surface exposed region.
PMID- 18053244
TI - Direct maximum parsimony phylogeny reconstruction from genotype data.
AB - BACKGROUND: Maximum parsimony phylogenetic tree reconstruction from genetic
variation data is a fundamental problem in computational genetics with many
practical applications in population genetics, whole genome analysis, and the
search for genetic predictors of disease. Efficient methods are available for
reconstruction of maximum parsimony trees from haplotype data, but such data are
difficult to determine directly for autosomal DNA. Data more commonly is
available in the form of genotypes, which consist of conflated combinations of
pairs of haplotypes from homologous chromosomes. Currently, there are no general
algorithms for the direct reconstruction of maximum parsimony phylogenies from
genotype data. Hence phylogenetic applications for autosomal data must therefore
rely on other methods for first computationally inferring haplotypes from
genotypes. RESULTS: In this work, we develop the first practical method for
computing maximum parsimony phylogenies directly from genotype data. We show that
the standard practice of first inferring haplotypes from genotypes and then
reconstructing a phylogeny on the haplotypes often substantially overestimates
phylogeny size. As an immediate application, our method can be used to determine
the minimum number of mutations required to explain a given set of observed
genotypes. CONCLUSION: Phylogeny reconstruction directly from unphased data is
computationally feasible for moderate-sized problem instances and can lead to
substantially more accurate tree size inferences than the standard practice of
treating phasing and phylogeny construction as two separate analysis stages. The
difference between the approaches is particularly important for downstream
applications that require a lower-bound on the number of mutations that the
genetic region has undergone.
PMID- 18053246
TI - Incidence of human herpesvirus 8 (HHV-8) infection among HIV-uninfected
individuals at high risk for sexually transmitted infections.
AB - BACKGROUND: The occurrence of, and risk factors for, HHV-8 infection have yet to
be definitively determined, particularly among heterosexual individuals with at
risk behavior for sexually transmitted infections (STI). The objective of this
study was to estimate the incidence and determinants of HHV-8 infection among HIV
uninfected individuals repeatedly attending an urban STI clinic. METHODS: Sera
from consecutive HIV-uninfected individuals repeatedly tested for HIV-1
antibodies were additionally tested for HHV-8 antibodies using an
immunofluorescence assay. To identify determinants of HHV-8 infection, a nested
case-control study and multivariate logistic regression analysis were performed.
RESULTS: Sera from 456 HIV-uninfected individuals (224 multiple-partner
heterosexuals and 232 men who have sex with men (MSM]) were identified for
inclusion in the study. The HHV-8 seroprevalence at enrollment was 9.4% (21/224;
95% C.I.: 6.0-14.2%) among heterosexuals with multiple partners and 22.0%
(51/232; 95% C.I.: 16.9-28.0%) among MSM. Among the 203 multiple-partner
heterosexuals and 181 MSM who were initially HHV-8-negative, 17 (IR = 3.0/100 p
y, 95% C.I.: 1.9 - 4.8) and 21 (IR = 3.3/100 p-y, 95% C.I:.2.1 - 5.1)
seroconversions occurred, respectively. HHV-8 seroconversion tended to be
associated with a high number of sexual partners during the follow-up among MSM
(> 10 partners: AOR = 3.32 95% CI:0.89-12.46) and among the multiple-partner
heterosexuals (> 10 partner; AOR = 3.46, 95% CI:0.42-28.2). Moreover, among MSM,
HHV-8 seroconversion tended to be associated with STI (AOR = 1.80 95%CI: 0.52
7.96). During the study period the HIV-1 incidence was lower than that of HHV-8
among both groups (0.89/100 p-y among MSM and 0.95/100 p-y among multiple-partner
heterosexuals). CONCLUSION: The large difference between the incidence of HHV-8
and the incidence of HIV-1 and other STIs may suggest that the circulation of HHV
8 is sustained by practices other than classical at-risk sexual behavior.
PMID- 18053245
TI - NMR and X-ray analysis of structural additivity in metal binding site-swapped
hybrids of rubredoxin.
AB - BACKGROUND: Chimeric hybrids derived from the rubredoxins of Pyrococcus furiosus
(Pf) and Clostridium pasteurianum (Cp) provide a robust system for the
characterization of protein conformational stability and dynamics in a
differential mode. Interchange of the seven nonconserved residues of the metal
binding site between the Pf and Cp rubredoxins yields a complementary pair of
hybrids, for which the sum of the thermodynamic stabilities is equal to the sum
for the parental proteins. Furthermore, the increase in amide hydrogen exchange
rates for the hyperthermophile-derived metal binding site hybrid is faithfully
mirrored by a corresponding decrease for the complementary hybrid that is derived
from the less thermostable rubredoxin, indicating a degree of additivity in the
conformational fluctuations that underlie these exchange reactions. RESULTS:
Initial NMR studies indicated that the structures of the two complementary
hybrids closely resemble "cut-and-paste" models derived from the parental Pf and
Cp rubredoxins. This protein system offers a robust opportunity to characterize
differences in solution structure, permitting the quantitative NMR chemical shift
and NOE peak intensity data to be analyzed without recourse to the conventional
conversion of experimental NOE peak intensities into distance restraints. The
intensities for 1573 of the 1652 well-resolved NOE crosspeaks from the hybrid
rubredoxins were statistically indistinguishable from the intensities of the
corresponding parental crosspeaks, to within the baseplane noise level of these
high sensitivity data sets. The differences in intensity for the remaining 79 NOE
crosspeaks were directly ascribable to localized dynamical processes. Subsequent
X-ray analysis of the metal binding site-swapped hybrids, to resolution limits of
0.79 A and 1.04 A, demonstrated that the backbone and sidechain heavy atoms in
the NMR-derived structures lie within the range of structural variability
exhibited among the individual molecules in the crystallographic asymmetric unit
(approximately 0.3 A), indicating consistency with the "cut-and-paste"
structuring of the hybrid rubredoxins in both crystal and solution. CONCLUSION:
Each of the significant energetic interactions in the metal binding site-swapped
hybrids appears to exhibit a 1-to-1 correspondence with the interactions present
in the corresponding parental rubredoxin structure, thus providing a structural
basis for the observed additivity in conformational stability and dynamics. The
congruence of these X-ray and NMR experimental data offers additional support for
the interpretation that the conventional treatment of NOE distance restraints
contributes substantially to the systematic differences that are commonly
reported between NMR- and X-ray-derived protein structures.
PMID- 18053247
TI - Medical theses as part of the scientific training in basic medical and dental
education: experiences from Finland.
AB - BACKGROUND: Teaching the principles of scientific research in a comprehensive way
is important at medical and dental schools. In many countries medical and dental
training is not complete until the candidate has presented a diploma thesis. The
objective of this study was to evaluate the nature, quality, publication pattern
and visibility of Finnish medical diploma theses. METHODS: A total of 256 diploma
theses presented at the University of Oulu from 2001 to 2003 were analysed. Using
a standardised questionnaire, we extracted several characteristics from each
thesis. We used the name of the student to assess whether the thesis resulted in
a scientific publication indexed in medical article databases. The number of
citations received by each published thesis was also recorded. RESULTS: A high
proportion of the theses (69.5%) were essentially statistical in character, often
combined with an extensive literature review or the development of a laboratory
method. Most of them were supervised by clinical departments (55.9%). Only 61
theses (23.8%) had been published in indexed scientific journals. Theses in the
fields of biomedicine and diagnostics were published in more widely cited
journals. The median number of citations received per year was 2.7 and the range
from 0 to 14.7. CONCLUSION: The theses were seldom written according to the
principles of scientific communication and the proportion of actually published
was small. The visibility of these theses and their dissemination to the
scientific community should be improved.
PMID- 18053248
TI - Spatial transcription of CYP1A in fish liver.
AB - BACKGROUND: The aim of this work was to study how evenly detoxifying genes are
transcribed spatially in liver tissue of fish. Ten Atlantic salmon Salmo salar
were intraperitoneally injected with 50 mg/kg of the strong CYP1A inducer beta
naphthoflavone and liver tissue harvested seven days later. The liver from 10
control and 10 exposed fish were split into eight sections, RNA extracted and
three reference (beta-actin, elongation factor 1AB (EF1AB)) and two detoxifying
genes (CYP1A and GST) quantified with real-time RT-PCR. The cellular localization
of the EF1AB and CYP1A mRNA in the liver of control and beta-naphthoflavone
treated fish was then determined by in situ hybridization (ISH) using EF1AB and
CYP1A biotinylated oligonucleotide probes. RESULTS: The study shows that genes
encoding phase I and phase II conjugating enzymes are unevenly transcribed in
different parts of the liver of Atlantic salmon seven days after a single-dose of
beta-naphthoflavone exposure. Transcription of CYP1A and GST was higher in the
middle section of the liver compared to the distal and proximal parts of the
organ. The ISH data suggest that CYP1A transcription happens mainly in hepatocyte
cells in the liver, and that hepatocytes in the vicinity of blood vessels respond
stronger to beta-naphthoflavone than cells further away from the blood supply.
CONCLUSION: Overall, the qRT-PCR and ISH results reported here suggest that gene
expression analysis should be performed on as pure cell populations as possible.
If bulk tissue samples are to be used, one should always check how evenly the
target genes are expressed in tissue sections and organs in every study.
PMID- 18053249
TI - Chondrocyte outgrowth into a gelatin scaffold in a single impact load model of
damage/repair - effect of BMP-2.
AB - BACKGROUND: Articular cartilage has little capacity for repair in vivo, however,
a small number of studies have shown that, in vitro, a damage/repair response can
be induced. Recent work by our group has shown that cartilage can respond to
single impact load and culture by producing repair cells on the articular
surface. The purpose of this study was to identify whether chondrocyte outgrowth
into a 3D scaffold could be observed following single impact load and culture.
The effect of bone morphogenic-2 (BMP-2) on this process was investigated.
METHODS: Cartilage explants were single impact loaded, placed within a scaffold
and cultured for up to 20 days +/- BMP-2. Cell numbers in the scaffold, on and
extruding from the articular surface were quantified and the immunohistochemistry
used to identify the cellular phenotype. RESULTS: Following single impact load
and culture, chondrocytes were observed in a 3D gelatin scaffold under all
culture conditions. Chondrocytes were also observed on the articular surface of
the cartilage and extruding out of the parent cartilage and on to the cartilage
surface. BMP-2 was demonstrated to quantitatively inhibit these events.
CONCLUSION: These studies demonstrate that articular chondrocytes can be
stimulated to migrate out of parent cartilage following single impact load and
culture. The addition of BMP-2 to the culture medium quantitatively reduced the
repair response. It may be that the inhibitory effect of BMP-2 in this
experimental model provides a clue to the apparent inability of articular
cartilage to heal itself following damage in vivo.
PMID- 18053250
TI - Broad network-based predictability of Saccharomyces cerevisiae gene loss-of
function phenotypes.
AB - We demonstrate that loss-of-function yeast phenotypes are predictable by guilt-by
association in functional gene networks. Testing 1,102 loss-of-function
phenotypes from genome-wide assays of yeast reveals predictability of diverse
phenotypes, spanning cellular morphology, growth, metabolism, and quantitative
cell shape features. We apply the method to extend a genome-wide screen by
predicting, then verifying, genes whose disruption elongates yeast cells, and to
predict human disease genes. To facilitate network-guided screens, a web server
is available http://www.yeastnet.org.
PMID- 18053251
TI - Differential inhibition of human cytomegalovirus (HCMV) by toll-like receptor
ligands mediated by interferon-beta in human foreskin fibroblasts and cervical
tissue.
AB - Human cytomegalovirus (HCMV) can be acquired sexually and is shed from the
genital tract. Cross-sectional studies in women show that changes in genital
tract microbial flora affect HCMV infection and/or shedding. Since genital
microbial flora may affect HCMV infection or replication by stimulating cells
through Toll-like receptors (TLR), we assessed the effects of defined TLR-ligands
on HCMV replication in foreskin fibroblasts and ectocervical tissue. Poly I:C (a
TLR3-ligand) and lipopolysaccharide (LPS, a TLR4-ligand) inhibited HCMV and
induced secretion of IL-8 and Interferon-beta (IFNbeta) in both foreskin
fibroblasts and ectocervical tissue. The anti-HCMV effect was reversed by
antibody to IFNbeta. CpG (TLR9 ligand) and lipoteichoic acid (LTA, TLR2 ligand)
also inhibited HCMV infection in ectocervical tissue and this anti-HCMV effect
was also reversed by anti-IFNbeta antibody. In contrast, LTA and CpG did not
inhibit HCMV infection in foreskin fibroblasts. This study shows that TLR ligands
induce an HCMV-antiviral effect that is mediated by IFNbeta suggesting that
changes in genital tract flora may affect HCMV infection or shedding by
stimulating TLR. This study also contrasts the utility of two models that can be
used for assessing the interaction of microbial flora with HCMV in the genital
tract. Clear differences in the response to different TLR ligands suggests the
explant model more closely reflects in vivo responses to genital infections.
PMID- 18053253
TI - Conceptualizing childhood health problems using survey data: a comparison of key
indicators.
AB - BACKGROUND: Many definitions are being used to conceptualize child health
problems. With survey data, commonly used indicators for identifying children
with health problems have included chronic condition checklists, measures of
activity limitations, elevated service use, and health utility thresholds. This
study compares these different indicators in terms of the prevalence rates
elicited, and in terms of how the subgroups identified differ. METHODS: Secondary
data analyses used data from the National Longitudinal Survey of Children and
Youth, which surveyed a nationally representative sample of Canadian children (n
= 13,790). Descriptive analyses compared healthy children to those with health
problems, as classified by any of the key indicators. Additional analyses
examined differences between subgroups of children captured by a single indicator
and those described as having health problems by multiple indicators. RESULTS:
This study demonstrates that children captured by any of the indicators had
poorer health than healthy children, despite the fact that over half the sample
(52.2%) was characterized as having a health problem by at least one indicator.
Rates of child ill health differed by indicator; 5.6% had an activity limitation,
9.2% exhibited a severe health difficulty, 31.7% reported a chronic condition,
and 36.6% had elevated service use. Further, the four key indicators captured
different types of children. Indicator groupings differed on child and socio
demographic factors. Compared to children identified by more than one indicator,
those identified only by the severe health difficulty indicator displayed more
cognitive problems (p < 0.0001), those identified only by the chronic condition
checklist had a greater likelihood of reporting allergies or asthma (p < 0.0001),
and those identified as having elevated service use only were more affluent (p =
0.01) and showed better overall health (p < 0.0001). Children identified by only
a single indicator were less likely to have serious health problems than those
identified by two or more indicators. CONCLUSION: We provide information useful
to researchers when selecting indicators from survey data to identify children
with health problems. Researchers and policy makers need to be aware of the
impact of such definitions on prevalence rates as well as on the composition of
children classified as being in poor health.
PMID- 18053252
TI - Higher polymerase activity of a human influenza virus enhances activation of the
hemagglutinin-induced Raf/MEK/ERK signal cascade.
AB - Influenza viruses replicate within the nucleus of infected cells. Viral genomic
RNA, three polymerase subunits (PB2, PB1, and PA), and the nucleoprotein (NP)
form ribonucleoprotein complexes (RNPs) that are exported from the nucleus late
during the infectious cycle. The virus-induced Raf/MEK/ERK (MAPK) signal cascade
is crucial for efficient virus replication. Blockade of this pathway retards RNP
export and reduces virus titers. Hemagglutinin (HA) accumulation and its tight
association with lipid rafts activate ERK and enhance localization of cytoplasmic
RNPs. We studied the induction of MAPK signal cascade by two seasonal human
influenza A viruses A/HK/218449/06 (H3N2) and A/HK/218847/06 (H1N1) that differed
substantially in their replication efficiency in tissue culture. Infection with
H3N2 virus, which replicates efficiently, resulted in higher HA expression and
its accumulation on the cell membrane, leading to substantially increased
activation of MAPK signaling compared to that caused by H1N1 subtype. More H3N2
HAs were expressed and accumulated on the cell membrane than did H1N1-HAs. Viral
polymerase genes, particularly H3N2-PB1 and H3N2-PB2, were observed to contribute
to increased viral polymerase activity. Applying plasmid-based reverse genetics
to analyze the role of PB1 protein in activating HA-induced MAPK cascade showed
that recombinant H1N1 virus possessing the H3N2-PB1 (rgH1N1/H3N2-PB1) induced
greater ERK activation, resulting in increased nuclear export of the viral genome
and higr virus titers. We conclude that enhanced viral polymerase activity
promotes the replication and transcription of viral RNA leading to increased
accumulation of HA on the cell surface and thereby resulting in an upregulation
of the MAPK cascade and more efficient nuclear RNP-export as well as virus
production.
PMID- 18053254
TI - Arginine-to-lysine substitutions influence recombinant horseradish peroxidase
stability and immobilisation effectiveness.
AB - BACKGROUND: Horseradish Peroxidase (HRP) plays important roles in many
biotechnological fields, including diagnostics, biosensors and biocatalysis.
Often, it is used in immobilised form. With conventional immobilisation
techniques, the enzyme adheres in random orientation: the active site may face
the solid phase rather than bulk medium, impeding substrate access and leading to
sub-optimal catalytic performance. The ability to immobilise HRP in a directional
manner, such that the active site would always face outwards from the insoluble
matrix, would maximise the immobilised enzyme's catalytic potential and could
increase HRP's range of actual and potential applications. RESULTS: We have
replaced arginine residues on the face of glycan-free recombinant HRP opposite to
the active site by lysines. Our strategy differs from previous reports of
specific HRP immobilisation via an engineered affinity tag or single reactive
residue. These conservative Arg-to-Lys substitutions provide a means of
multipoint covalent immobilisation such that the active site will always face
away from the immobilisation matrix. One triple and one pentuple mutant were
generated by substitution of solvent-exposed arginines on the "back" of the
polypeptide (R118, R159 and R283) and of residues known to influence stability
(K232 and K241). Orientated HRP immobilisation was demonstrated using a modified
polyethersulfone (PES) membrane; the protein was forced to orientate its active
site away from the membrane and towards the bulk solution phase. Mutant
properties and bioinformatic analysis suggested the reversion of K283R to improve
stability, thus generating two additional mutants (K118/R159K and
R118K/K232N/K241F/R283K). While most mutants were less stable in free solution
than wild type rHRP, the quadruple revertant regained some stability over its
mutant counterparts. A greater degree of immobilisation on CNBr-activated
Sepharosetrade mark was noted with increased lysine content; however, only
marginal gains in solvent stability resulted from immobilisation on this latter
matrix. CONCLUSION: Directional, orientated, immobilisation of rHRP mutants onto
an activated, modified polyethersulfone membrane has been achieved with excellent
retention of catalytic activity; however, re-engineering of acceptable stability
characteristics into the "immobilisation mutants" will determine their
applicability in diagnosis and biosensor development.
PMID- 18053255
TI - Hospital admission patterns subsequent to diagnosis of type 1 diabetes in
children : a systematic review.
AB - BACKGROUND: Patients with type 1 diabetes are known to have a higher hospital
admission rate than the underlying population and may also be admitted for
procedures that would normally be carried out on a day surgery basis for non
diabetics. Emergency admission rates have sometimes been used as indicators of
quality of diabetes care. In preparation for a study of hospital admissions, a
systematic review was carried out on hospital admissions for children diagnosed
with type 1 diabetes, whilst under the age of 15. The main thrust of this review
was to ascertain where there were gaps in the literature for studies
investigating post-diagnosis hospitalisations, rather than to try to draw
conclusions from the disparate data sets. METHODS: A systematic search of the
electronic databases PubMed, Cochrane LibrarMEDLINE and EMBASE was conducted for
the period 1986 to 2006, to identify publications relating to hospital admissions
subsequent to the diagnosis of type 1 diabetes under the age of 15. RESULTS:
Thirty-two publications met all inclusion criteria, 16 in Northern America, 11 in
Europe and 5 in Australasia. Most of the studies selected were focussed on
diabetic ketoacidosis (DKA) or diabetes-related hospital admissions and only four
studies included data on all admissions. Admission rates with DKA as primary
diagnosis varied widely between 0.01 to 0.18 per patient-year as did those for
other diabetes-related co-morbidity ranging from 0.05 to 0.38 per patient year,
making it difficult to interpret data from different study designs. However,
people with Type 1 diabetes are three times more likely to be hospitalised than
the non-diabetic populations and stay in hospital twice as long. CONCLUSION: Few
studies report on all admissions to hospital in patients diagnosed with type 1
diabetes whilst under the age of 15 years. Health care costs for type 1 patients
are higher than those for the general population and information on associated
patterns of hospitalisation might help to target interventions to reduce the cost
of hospital admissions.
PMID- 18053256
TI - Initial in vitro screening approach to investigate the potential health and
environmental hazards of Enviroxtrade mark - a nanoparticulate cerium oxide
diesel fuel additive.
AB - Nanotechnology is the new industrial revolution of the 21st Century as the
various processes lead to radical improvements in medicine, manufacturing, energy
production, land remediation, information technology and many other everyday
products and applications. With this revolution however, there are undoubted
concerns for health, safety and the environment which arise from the unique
nature of materials and processes at the nanometre scale.The in vitro assays used
in the screening strategy are all validated, internationally accepted protocols
and provide a useful indication of potential toxicity of a chemical as a result
of effects on various toxicological endpoints such as local site of contact
(dermal) irritation, general cytotoxicity and mutagenicity.The initial in vitro
screening strategy described in this paper to investigate the potential health
implications, if any, which may arise following exposure to one specific
application of nanoparticulate cerium oxide used as a diesel fuel borne catalyst,
reflects a precautionary approach and the results will inform judgement on how
best to proceed to ensure safe use.
PMID- 18053257
TI - The role of anxiety symptoms in school performance in a community sample of
children and adolescents.
AB - BACKGROUND: Anxiety symptoms are relatively common among children and adolescents
and can interfere with functioning. The prevalence of anxiety and the
relationship between anxiety and school performance were examined among
elementary, middle, and high school students. METHODS: Samples of elementary (N =
131, age 8-10 years), middle (N = 267, age 11-13 years), and high school (N = 80,
age 14-16 years) children were recruited from four public schools in a
predominantly middle-class community in Catania, Italy. Children completed the
Multidimensional Anxiety Scale for Children (MASC). T-scores were computed for
the MASC total scores, and considered to be in the anxious range if 65 or above.
Current academic grades were obtained from school records. RESULTS: Of the 478
children, 35 (7.3%) had a MASC T-score in the anxious range. The rate of children
in the anxious range was 2.3% in elementary, 7.9% in middle, and 15.9% in high
school (chi2 = 7.8, df = 2, p < 0.05), and was 14.1% among students with
insufficient grades, 9.4% among those with sufficient grades, and 3.9% among
those with good or very good grades (chi2 = 11.68, df = 2, p < 0.01). CONCLUSION:
In this community sample of children and adolescents attending elementary through
high school, the prevalence of abnormally high self-reported levels of anxiety
increased in frequency with age and was negatively associated with school
performance.
PMID- 18053259
TI - Immigrant families' perceptions on walking to school and school breakfast: a
focus group study.
AB - BACKGROUND: Immigrant children face an increased risk of being overweight. Little
is known about how immigrant families perceive school programs that may help
prevent obesity, such as walking to school and school breakfast. METHODS: Six
focus groups (n = 53) were conducted with immigrant parents of school-aged
children, two each in three languages: Vietnamese, Spanish, and Somali. A
facilitator and translator conducted the focus groups using a script and question
guide. Written notes and audio transcripts were recorded in each group.
Transcripts were coded for themes by two researchers and findings classified
according to an ecological model. RESULTS: Participants in each ethnic group held
positive beliefs about the benefits of walking and eating breakfast. Barriers to
walking to school included fear of children's safety due to stranger abductions,
distrust of neighbors, and traffic, and feasibility barriers due to distance to
schools, parent work constraints, and large families with multiple children.
Barriers to school breakfast participation included concerns children would not
eat due to lack of appealing/appropriate foods and missing breakfast due to late
bus arrival or lack of reminders. Although some parents acknowledged concerns
about child and adult obesity overall, obesity concerns did not seem personally
relevant. CONCLUSION: Immigrant parents supported the ideals of walking to school
and eating breakfast, but identified barriers to participation in school programs
across domains of the ecological model, including community, institution, and
built environment factors. Schools and communities serving immigrant families may
need to address these barriers in order to engage parents and children in walking
and breakfast programs.
PMID- 18053258
TI - The Lothian Birth Cohort 1936: a study to examine influences on cognitive ageing
from age 11 to age 70 and beyond.
AB - BACKGROUND: Cognitive ageing is a major burden for society and a major influence
in lowering people's independence and quality of life. It is the most feared
aspect of ageing. There are large individual differences in age-related cognitive
changes. Seeking the determinants of cognitive ageing is a research priority. A
limitation of many studies is the lack of a sufficiently long period between
cognitive assessments to examine determinants. Here, the aim is to examine
influences on cognitive ageing between childhood and old age. METHODS/DESIGN: The
study is designed as a follow-up cohort study. The participants comprise
surviving members of the Scottish Mental Survey of 1947 (SMS1947; N = 70,805) who
reside in the Edinburgh area (Lothian) of Scotland. The SMS1947 applied a valid
test of general intelligence to all children born in 1936 and attending Scottish
schools in June 1947. A total of 1091 participants make up the Lothian Birth
Cohort 1936. They undertook: a medical interview and examination; physical
fitness testing; extensive cognitive testing (reasoning, memory, speed of
information processing, and executive function); personality, quality of life and
other psycho-social questionnaires; and a food frequency questionnaire. They have
taken the same mental ability test (the Moray House Test No. 12) at age 11 and
age 70. They provided blood samples for DNA extraction and testing and other
biomarker analyses. Here we describe the background and aims of the study, the
recruitment procedures and details of numbers tested, and the details of all
examinations. DISCUSSION: The principal strength of this cohort is the rarely
captured phenotype of lifetime cognitive change. There is additional rich
information to examine the determinants of individual differences in this
lifetime cognitive change. This protocol report is important in alerting other
researchers to the data available in the cohort.
PMID- 18053260
TI - A quantitative synthesis of the medicinal ethnobotany of the Malinke of Mali and
the Ashaninka of Peru, with a new theoretical framework.
AB - BACKGROUND: Although ethnomedically and taxonomically guided searches for new
medicinal plants can improve the percentage of plants found containing active
compounds when compared to random sampling, ethnobotany has fulfilled little of
its promise in the last few decades to deliver a bounty of new, laboratory-proven
medicinal plants and compounds. It is quite difficult to test, isolate, and
elucidate the structure and mechanism of compounds from the plethora of new
medicinal plant uses described each year with limited laboratory time and
resources and the high cost of clinical trials of new drug candidates. METHODS: A
new quantitative theoretical framework of mathematical formulas called
"relational efficacy" is proposed that should narrow down this search for new
plant-derived medicines based on the hypothesis that closely related plants used
to treat closely related diseases in distantly related cultures have a higher
probability of being effective because they are more likely to be independent
discoveries of similar plant compounds and disease mechanisms. A prerequisite to
this hypothesis, the idea that empirical testing in traditional medicine will
lead to choosing similar medicinal plants and therefore the medicinal flora of
two distant cultures will prove to be more similar than their general flora, is
tested using resampling statistics on cross-cultural field data of the plants
used by the Malinke of Mali and the Ashaninka of Peru to treat the diseases
malaria, African sleeping sickness, Chagas' disease, leishmaniasis, diabetes,
eczema, asthma, and uterine fibroids. RESULTS: In this case, the similarity of
the medicinal floras is found to be significantly greater than the similarity of
the general floras, but only when the diseases in question are grouped into the
categories of parasitic and autoimmune diseases. CONCLUSION: If the central
theoretical framework of this hypothesis is shown to be true, it will allow the
synthesis of medicinal plant information from around the world to pinpoint the
species with the highest potential efficacy to take into the laboratory and
analyze further, ultimately saving much field and laboratory time and resources.
PMID- 18053261
TI - Continuous exposure to Plasmodium results in decreased susceptibility and
transcriptomic divergence of the Anopheles gambiae immune system.
AB - BACKGROUND: Plasmodium infection has been shown to compromise the fitness of the
mosquito vector, reducing its fecundity and longevity. However, from an
evolutionary perspective, the impact of Plasmodium infection as a selective
pressure on the mosquito is largely unknown. RESULTS: In the present study we
have addressed the effect of a continuous Plasmodium berghei infection on the
resistance to infection and global gene expression in Anopheles gambiae. Exposure
of A. gambiae to P. berghei-infected blood and infection for 16 generations
resulted in a decreased susceptibility to infection, altered constitutive
expression levels for approximately 2.4% of the mosquito's total transcriptome
and a lower basal level of immune genes expression, including several anti
Plasmodium factors. The infection-responsiveness for several defense genes was
elevated in the P. berghei exposed mosquito colonies. CONCLUSION: Our study
establishes the existence of a selective pressure exerted by the parasite P.
berghei on the malaria vector A. gambiae that results in a decreased
permissiveness to infection and changes in the mosquito transcriptome regulation
that suggest a decreased constitutive immune gene activity but a more potent
immune response upon Plasmodium challenge.
PMID- 18053262
TI - Biologically induced mineralization of dypingite by cyanobacteria from an
alkaline wetland near Atlin, British Columbia, Canada.
AB - BACKGROUND: This study provides experimental evidence for biologically induced
precipitation of magnesium carbonates, specifically dypingite
(Mg5(CO3)4(OH)2.5H2O), by cyanobacteria from an alkaline wetland near Atlin,
British Columbia. This wetland is part of a larger hydromagnesite
(Mg5(CO3)4(OH)2.4H2O) playa. Abiotic and biotic processes for magnesium carbonate
precipitation in this environment are compared. RESULTS: Field observations show
that evaporation of wetland water produces carbonate films of nesquehonite
(MgCO3.3H2O) on the water surface and crusts on exposed surfaces. In contrast,
benthic microbial mats possessing filamentous cyanobacteria (Lyngbya sp.) contain
platy dypingite (Mg5(CO3)4(OH)2.5H2O) and aragonite. Bulk carbonates in the
benthic mats (delta13C avg. = 6.7%, delta 18O avg. = 17.2%) were isotopically
distinguishable from abiotically formed nesquehonite (delta13C avg. = 9.3%, delta
18O avg. = 24.9%). Field and laboratory experiments, which emulated natural
conditions, were conducted to provide insight into the processes for magnesium
carbonate precipitation in this environment. Field microcosm experiments included
an abiotic control and two microbial systems, one containing ambient wetland
water and one amended with nutrients to simulate eutrophic conditions. The
abiotic control developed an extensive crust of nesquehonite on its bottom
surface during which [Mg2+] decreased by 16.7% relative to the starting
concentration. In the microbial systems, precipitation occurred within the mats
and was not simply due to the capturing of mineral grains settling out of the
water column. Magnesium concentrations decreased by 22.2% and 38.7% in the
microbial systems, respectively. Laboratory experiments using natural waters from
the Atlin site produced rosettes and flakey globular aggregates of dypingite
precipitated in association with filamentous cyanobacteria dominated biofilms
cultured from the site, whereas the abiotic control again precipitated
nesquehonite. CONCLUSION: Microbial mats in the Atlin wetland create ideal
conditions for biologically induced precipitation of dypingite and have
presumably played a significant role in the development of this natural Mg
carbonate playa. This biogeochemical process represents an important link between
the biosphere and the inorganic carbon pool.
PMID- 18053263
TI - Onset of the Thermic Effect of Feeding (TEF): a randomized cross-over trial.
AB - BACKGROUND: The purpose of this investigation was to identify the onset of the
thermic effect of feeding (TEF) after ingestion of a high carbohydrate (CHO) and
a high protein (PRO) 1255 kJ (300 kcal) drink. METHODS: Resting metabolic rate
(RMR) and TEF were measured over 30-minute periods via indirect calorimetry using
a ventilated hood technique. Eighteen subjects (7 men and 11 women) completed two
randomized, double-blind trials. Data were collected in 1-minute measurement
intervals. RMR was subtracted from TEF and the time of onset was obtained when
two consecutive data points exceeded 5% and 10% of resting metabolic rate.
RESULTS: At 5% above RMR the onset of TEF for CHO was 8.4 +/- 6.2 minutes and was
not different as compared to PRO, 8.6 +/- 5.2 minutes (p = 0.77). Likewise, no
differences were found with a 10% increase above RMR: CHO, 14.1 +/- 7.5 min; PRO,
16.7 +/- 6.7 min (p = 0.36). Several subjects did not show a 10% increase within
30-min. CONCLUSION: We conclude that the onset of TEF is variable among subjects
but is initiated within about 5 to 20-min for most subjects after ingestion of a
1255 kJ liquid meal. No differences were found between CHO or PRO liquid meals.
PMID- 18053264
TI - Cerebral palsy in a total population of 4-11 year olds in southern Sweden.
Prevalence and distribution according to different CP classification systems.
AB - BACKGROUND: The aim of this study was to investigate the prevalence of cerebral
palsy (CP) as well as to characterize the CP population, its participation in a
secondary prevention programme (CPUP) and to validate the CPUP database. METHODS:
The study population was born 1990-1997 and resident in Skane/Blekinge on Jan 1st
2002. Multiple sources were used. Irrespective of earlier diagnoses,
neuropaediatrician and other professional medical records were evaluated for all
children at the child habilitation units. The CPUP database and diagnosis
registers at hospital departments were searched for children with CP or
psychomotor retardation, whose records were then evaluated. To enhance early
prevention, CP/probable CP was searched for also in children below four years of
age born 1998-2001. RESULTS: The prevalence of CP was 2.4/1,000 (95% CI 2.1-2.6)
in children 4-11 years of age born in Sweden, excluding post-neonatally acquired
CP. Children born abroad had a higher prevalence of CP with more severe
functional limitations. In the total population, the prevalence of CP was
2.7/1,000 (95% CI 2.4-3.0) and 48% were GMFCS-level I (the mildest limitation of
gross motor function). One third of the children with CP, who were born or had
moved into the area after a previous study in 1998, were not in the CPUP
database. The subtype classification in the CPUP database was adjusted in the
case of every fifth child aged 4-7 years not previously reviewed. CONCLUSION: The
prevalence of CP and the subtype distribution did not differ from that reported
in other studies, although the proportion of mild CP tended to be higher. The
availability of a second opinion about the classification of CP/CP subtypes is
necessary in order to keep a CP register valid, as well as an active search for
undiagnosed CP among children with other impairments.
PMID- 18053265
TI - Expression and function of nr4a2, lmx1b, and pitx3 in zebrafish dopaminergic and
noradrenergic neuronal development.
AB - BACKGROUND: Dopaminergic neurons form in diverse areas of the vertebrate di- and
mesencephalon to constitute several major neuromodulatory systems. While much is
known about mammalian mesencephalic dopaminergic neuron development, little is
known about the specification of the diencephalic dopaminergic groups. The
transcription factors Pitx3 and Lmx1b play an important role in mammalian
mesencephalic dopaminergic specification, and Nurr1/Nr4a2 has been shown to
contribute to specification of the dopaminergic neurotransmitter phenotype. We
use zebrafish to analyze potentially evolutionarily conserved roles of these
transcription factors in a vertebrate brain that lacks a mesencephalic
dopaminergic system, but has an ascending dopaminergic system in the ventral
diencephalon. RESULTS: We use a combination of fluorescent in situ hybridization
and immunohistochemistry to determine whether nr4a2, lmx1b, and pitx3 genes are
expressed in mature dopaminergic neurons or in potential precursor populations.
We identify a second nr4a2 paralogue, nr4a2a, and find it co-expressed with
Tyrosine hydroxylase in preoptic, pretectal and retinal amacrine dopaminergic
neurons, while nr4a2b is only expressed in preoptic and retinal dopaminergic
neurons. Both zebrafish nr4a2 paralogues are not expressed in ventral
diencephalic dopaminergic neurons with ascending projections. Combined morpholino
antisense oligo mediated knock-down of both nr4a2a and nr4a2b transcripts reveals
that all zebrafish dopaminergic neurons expressing nr4a2a depend on Nr4a2
activity for tyrosine hydroxylase and dopamine transporter expression. Zebrafish
lmx1b.1 is expressed in noradrenergic neurons of the locus coeruleus and medulla
oblongata, but knock-down reveals that it is specifically required for tyrosine
hydroxylase expression only in the medulla oblongata area postrema noradrenergic
neurons. Both lmx1b genes and pitx3 are not expressed in dopaminergic neurons,
but in a diencephalic territory that might contain precursor cells for ventral
diencephalic dopaminergic neurons. Upon morpholino knock-down of both lmx1b
paralogues, the number of neurons in diencephalic dopaminergic clusters with
ascending projections appears specifically reduced. Thus lmx1b paralogues may
contribute to the generation of diencephalic dopaminergic precursors. Conversely,
knock-down of pitx3 does not specifically affect any diencephalic DA cluster.
CONCLUSION: Our data indicate a conserved evolutionary role of Nr4a2 proteins in
specification of the neurotransmitter phenotype, albeit it appears to be only one
of several regulatory modules of dopaminergic differentiation, as most ventral
diencephalic dopaminergic neurons do not express nr4a2 genes in zebrafish. For
zebrafish lmx1b genes, which are not expressed in mature dopaminergic neurons,
our data suggest a role in diencephalic precursor populations contributing to the
ascending dopaminergic systems. A di-mesencephalic longitudinal domain of lmx1b
expression may be the basis for the expansion and posterior shift of ventral di
/mesencephalic dopaminergic populations with ascending projections during
evolution.
PMID- 18053266
TI - My first time: initiation into injecting drug use in Manipur and Nagaland, north
east India.
AB - BACKGROUND: The north-east Indian states of Manipur and Nagaland are two of the
six high HIV prevalence states in the country, and the main route of HIV
transmission is injecting drug use. Understanding the pathways to injecting drug
use can facilitate early intervention with HIV prevention programs. While several
studies of initiation into injecting drug use have been conducted in developed
countries, little is known about the situation in developing country settings.
The aim of this study was to increase understanding of the contextual factors
associated with initiation into injecting drug use in north-east India, and the
influence of these factors on subsequent initiation of others. METHOD: In mid
2006 a cross-sectional survey among 200 injecting drug users (IDUs) was
undertaken in partnership with local NGOs that provide HIV prevention and care
services and advocacy for IDUs in Imphal, Manipur and Dimapur, Nagaland. The
questionnaire elicited detailed information about the circumstances of the first
injection and the contexts of participants' lives. Demographic information, self
reported HIV status, and details about initiation of others were also recorded.
RESULTS: Initiation into injecting drug use occurred at 20 years of age. The
drugs most commonly injected were Spasmo-proxyvon (65.5%) and heroin (30.5%). In
53.5% cases, a needle belonging to someone else was used. Two-thirds (66.7%) had
used the drug previously, and 91.0% had known other IDUs prior to initiation
(mean = 7.5 others). The first injection was usually administered by another
person (94.5%), mostly a friend (84.1%). Initiation is a social event; 98% had
others present (mean = 2.7 others). Almost 70% of participants had initiated at
least one other (mean = 5 others). Initiation of others was independently
associated with being male and unemployed; having IDU friends and using alcohol
around the time of initiation; and having been taught to inject and not paid for
the drug at the time of initiation. CONCLUSION: Targeting harm reduction messages
to (non-injecting) drug users and capitalising on existing IDU social networks to
promote safe injecting and deter initiation of others are possible strategies for
reducing the impact of injecting drug use and the HIV epidemic in north-east
India.
PMID- 18053267
TI - The management of an endodontically abscessed tooth: patient health state
utility, decision-tree and economic analysis.
AB - BACKGROUND: A frequent encounter in clinical practice is the middle-aged adult
patient complaining of a toothache caused by the spread of a carious infection
into the tooth's endodontic complex. Decisions about the range of treatment
options (conventional crown with a post and core technique (CC), a single tooth
implant (STI), a conventional dental bridge (CDB), and a partial removable
denture (RPD)) have to balance the prognosis, utility and cost. Little is know
about the utility patients attach to the different treatment options for an
endontically abscessed mandibular molar and maxillary incisor. We measured
patients' dental-health-state utilities and ranking preferences of the treatment
options for these dental problems. METHODS: Forty school teachers ranked their
preferences for conventional crown with a post and core technique, a single tooth
implant, a conventional dental bridge, and a partial removable denture using a
standard gamble and willingness to pay. Data previously reported on treatment
prognosis and direct "out-of-pocket" costs were used in a decision-tree and
economic analysis RESULTS: The Standard Gamble utilities for the restoration of a
mandibular 1st molar with either the conventional crown (CC), single-tooth
implant (STI), conventional dental bridge (CDB) or removable-partial-denture
(RPD) were 74.47 [+/- 6.91], 78.60 [+/- 5.19], 76.22 [+/- 5.78], 64.80 [+/- 8.1]
respectively (p < 0.05). Their respective Willingness-to-Pay ($CDN) were 1,782.05
[+/- 361.42], 1,871.79 [+/- 349.44], 1,605.13 [+/- 348.10], 1,351.28 [+/- 368.62]
(p < 0.05).The standard gamble utilities for the restoration of a maxillary
central incisor with a CC, STI, CDB and RPD were 88.50 [+/- 6.12], 90.68 [+/-
3.41], 89.78 [+/- 3.81] and 91.10 [+/- 3.57] respectively (p > 0.05). Their
respective willingness-to-pay ($CDN) were: 1,782.05 [+/- 361.42], 1,871.79 [+/-
349.44], 1,605.13 [+/- 348.10] and 1,351.28 [+/- 368.62]. A statistical
difference was found between the utility of treating a maxillary central incisor
and mandibular 1st-molar (p < 0.05).The expected-utility-value for a 5-year
prosthetic survival was highest for the CDB and the STI treatment of an abscessed
mandibular molar (74.75 and 71.47 respectively) and maxillary incisor (86.24 and
84.91 respectively). This held up to a sensitivity analysis when the success of
root canal therapy and the risk of damage to the adjacent tooth were varied. The
RPD for both the molar and incisor was the favored treatment based on a cost
utility (3.85 and 2.74 CND$ per year of tooth saved respectively) and cost
benefit analysis (0.92 to 0.60 CND$ of cost per $ of benefit, respectively) for a
prosthetic clinical survival of 5-years. CONCLUSION: The position of the
abscessed tooth and the amount of insurance coverage influences the utility and
rank assigned by patients to the different treatment options. STI and CDB have
optimal EUVs for a 5-year survival outcome, and RPD has significantly lower cost
providing the better cost:benefit ratio.
PMID- 18053268
TI - Use pattern of maternal health services and determinants of skilled care during
delivery in Southern Tanzania: implications for achievement of MDG-5 targets.
AB - BACKGROUND: Almost two decades since the initiation of the Safe motherhood
Initiative, Maternal Mortality is still soaring high in most developing
countries. In 2000 WHO estimated a life time risk of a maternal death of 1 in 16
in Sub- Saharan Africa while it was only 1 in 2800 in developed countries. This
huge discrepancy in the rate of maternal deaths is due to differences in access
and use of maternal health care services. It is known that having a skilled
attendant at every delivery can lead to marked reductions in maternal mortality.
For this reason, the proportion of births attended by skilled health personnel is
one of the indicators used to monitor progress towards the achievement of the MDG
5 of improving maternal health. METHODS: Cross sectional study which employed
quantitative research methods. RESULTS: We interviewed 974 women who gave birth
within one year prior to the survey. Although almost all (99.8%) attended ANC at
least once during their last pregnancy, only 46.7% reported to deliver in a
health facility and only 44.5% were assisted during delivery by a skilled
attendant. Distance to the health facility (OR = 4.09 (2.72-6.16)), discussion
with the male partner on place of delivery (OR = 2.37(1.75-3.22)), advise to
deliver in a health facility during ANC (OR = 1.43 (1.25-2.63)) and knowledge of
pregnancy risk factors (OR 2.95 (1.65-5.25)) showed significant association with
use of skilled care at delivery even after controlling for confounding factors.
CONCLUSION: Use of skilled care during delivery in this district is below the
target set by ICPD + of attaining 80% of deliveries attended by skilled personnel
by 2005. We recommend the following in order to increase the pace towards
achieving the MDG targets: to improve coverage of health facilities, raising
awareness for both men and women on danger signs during pregnancy/delivery and
strengthening counseling on facility delivery and individual birth preparedness.
PMID- 18053269
TI - The role of horizontal transfer in the evolution of a highly variable
lipopolysaccharide biosynthesis locus in xanthomonads that infect rice, citrus
and crucifers.
AB - BACKGROUND: Lipopolysaccharide (LPS) is a pathogen associated molecular pattern
(PAMP) of animal and plant pathogenic bacteria. Variation at the interstrain
level is common in LPS biosynthetic gene clusters of animal pathogenic bacteria.
This variation has been proposed to play a role in evading the host immune
system. Even though LPS is a modulator of plant defense responses, reports of
interstrain variation in LPS gene clusters of plant pathogenic bacteria are rare.
RESULTS: In this study we report the complete sequence of a variant 19.9 kb LPS
locus present in the BXO8 strain of Xanthomonas oryzae pv. oryzae (Xoo), the
bacterial blight pathogen of rice. This region is completely different in size,
number and organization of genes from the LPS locus present in most other strains
of Xoo from India and Asia. Surprisingly, except for one ORF, all the other ORFs
at the BXO8 LPS locus are orthologous to the genes present at this locus in a
sequenced strain of X. axonopodis pv. citri (Xac; a pathogen of citrus plants).
One end of the BXO8 LPS gene cluster, comprised of ten genes, is also present in
the related rice pathogen, X. oryzae pv. oryzicola (Xoc). In Xoc, the remainder
of the LPS gene cluster, consisting of seven genes, is novel and unrelated to LPS
gene clusters of any of the sequenced xanthomonads. We also report substantial
interstrain variation suggestive of very recent horizontal gene transfer (HGT) at
the LPS biosynthetic locus of Xanthomonas campestris pv. campestris (Xcc), the
black rot pathogen of crucifers. CONCLUSION: Our analyses indicate that HGT has
altered the LPS locus during the evolution of Xanthomonas oryzae pathovars and
suggest that the ancestor of all Xanthomonas oryzae pathovars had an Xac type of
LPS gene cluster. Our finding of interstrain variation in two major xanthomonad
pathogens infecting different hosts suggests that the LPS locus in plant
pathogenic bacteria, as in animal pathogens, is under intense diversifying
selection.
PMID- 18053270
TI - Association of autism with polymorphisms in the paired-like homeodomain
transcription factor 1 (PITX1) on chromosome 5q31: a candidate gene analysis.
AB - BACKGROUND: Autism is a complex, heterogeneous, behaviorally-defined disorder
characterized by disruptions of the nervous system and of other systems such as
the pituitary-hypothalamic axis. In a previous genome wide screen, we reported
linkage of autism with a 1.2 Megabase interval on chromosome 5q31. For the
current study, we hypothesized that 3 of the genes in this region could be
involved in the development of autism: 1) paired-like homeodomain transcription
factor 1 (PITX1), which is a key regulator of hormones within the pituitary
hypothalamic axis, 2) neurogenin 1, a transcription factor involved in
neurogenesis, and 3) histone family member Y (H2AFY), which is involved in X
chromosome inactivation in females and could explain the 4:1 male:female gender
distortion present in autism. METHODS: A total of 276 families from the Autism
Genetic Resource Exchange (AGRE) repository composed of 1086 individuals
including 530 affected children were included in the study. Single nucleotide
polymorphisms tagging the three candidate genes were genotyped on the initial
linkage sample of 116 families. A second step of analysis was performed using
tightly linked SNPs covering the PITX1 gene. Association was evaluated using the
FBAT software version 1.7.3 for single SNP analysis and the HBAT command from the
same package for haplotype analysis respectively. RESULTS: Association between
SNPs and autism was only detected for PITX1. Haplotype analysis within PITX1
showed evidence for overtransmission of the A-C haplotype of markers rs11959298 -
rs6596189 (p = 0.0004). Individuals homozygous or heterozygous for the A-C
haplotype risk allele were 2.54 and 1.59 fold more likely to be autistic than
individuals who were not carrying the allele, respectively. CONCLUSION: Strong
and consistent association was observed between a 2 SNPs within PITX1 and autism.
Our data suggest that PITX1, a key regulator of hormones within the pituitary
hypothalamic axis, may be implicated in the etiology of autism.
PMID- 18053271
TI - Prevalence of transfusion transmitted virus (TTV) genotypes among HCC patients in
Qaluobia governorate.
AB - BACKGROUND: Transfusion Transmitted virus (TTV) is a novel single-stranded DNA
virus that was identified in patients with post-transfusion hepatitis of non-A-G
type. Clinical significance of TTV infection was analyzed in Egyptian
hepatocellular carcinoma (HCC) patients. The present study attempted to clarify
these issues in Egypt, particularly in Qaluobia governorate, a country known for
its high endemicity of liver disease and hepatotropic viruses. METHODS: TTV are
determined in the serum of 60 samples obtained from HCC and liver cirrhosis (LC)
patients and 30 healthy individuals. TTV DNA is amplified by nested-PCR with TTV
specific mixed primers derived from the conserved open reading frame 1 (ORF1)
region followed by digestion with restriction enzyme. Using the enzymes HaeIII,
DraI, EcoRI and PstI, we are able to distinguish between the four TTV genotypes.
RESULTS: The positive rate of TTV detection was 46.7%, 40% and 36.7% among HCC,
LC patients and healthy individuals respectively. The more prevalence genotype
was detected in the positive serum samples was genotype 1 (35.7%) in HCC
patients, (50%) in LC and (63.3%) in healthy individuals, Genotype 5 (21.4%),
(25.5%) and (18.2%) in HCC, LC and healthy individuals respectively. DISCUSSION:
This study indicates that TTV is commonly present in adult patients with HCC and
LC as well as healthy individuals. The most prevalence TTV genotype is genotype
1. It seems that the infection neither contribute to the severity of liver
disease no to the causation of HCC.
PMID- 18053272
TI - Activation of middle-ear muscles by transcranial magnetic stimulation.
AB - OBJECTIVES: To evaluate the reliability of transcranial magnetic stimulation in
eliciting admittance changes due to activation of middle-ear muscles. METHODS:
Admittance changes induced by transcranial magnetic stimulation at the inion were
evaluated in eight normal subjects, two subjects with prelingual deafness and 22
patients suffering from other otological disorders characterised by absence of
acoustic reflex. RESULTS: Responses showed a predominant negative peak in normal
ears. Two small positive components, one preceding and the other following the
negative deflection, were less consistently elicited. Only a positive wave was
detected in otosclerotic subjects. Patients with tympanic membrane perforation or
previous tympanoplasty with ossicular discontinuity did not show any response.
CONCLUSIONS: Transcranial magnetic stimulation is able to activate both stapedius
and tensor tympani muscles. In conjunction with admittance audiometry, it may
represent a method of exploring the mechanics of the middle ear when acoustic
reflex testing is not reliable. It can be helpful in the confirmation of stapes
fixation when a severe to profound hearing loss is present.
PMID- 18053273
TI - The elimination of Chagas' disease from Brazil.
AB - On 9 June 2006 the Pan American Health Organization (PAHO) presented the Minister
of Health of Brazil with the International Elimination of Transmission of Chagas'
Disease Certificate. This act was the culmination of an intensive process that
began in 1991 with the Southern Cone Initiative, a joint agreement between the
governments of Argentina, Bolivia, Brazil, Chile, Paraguay, Uruguay and Peru, to
control Chagas' disease by the elimination of the main vector, Triatoma
infestans. This initiative has been highly successful and the prevalence area of
the vector diminished rapidly in the last years. As a consequence, the current
seroprevalence in children aged between 0 and 5 years is of the order of 10(-5),
a clear indication that transmission, if it is occurring, is only accidental. In
this review I calculate the basic reproduction number, R0, for Chagas' disease
and demonstrate that its relatively low value (1.25) explains why vectorial
transmission was interrupted relatively easily. In addition, I used a
mathematical model to forecast how long the remaining cases of the disease, as
well as the additional vertically transmitted cases will last.
PMID- 18053274
TI - The seasonality of human cryptosporidiosis in New Zealand.
AB - In New Zealand human cryptosporidiosis demonstrates spring and autumn peaks of
incidence with the spring peak being three times greater in magnitude than the
autumn peak. The imbalance between the two peaks is notable, and may be
associated with the high livestock density in New Zealand. In the summer and
autumn the cryptosporidiosis rate was positively associated with temperatures in
the current and previous month, highlighting the importance of outdoor recreation
to transmission. No associations between spring incidence and weather were found
providing little support for the importance of drinking-water pathways. Imported
travel cases do not appear to be an important factor in the aetiology of
cryptosporidiosis in New Zealand.
PMID- 18053275
TI - The prevalence of iodine deficiency in women of reproductive age in the United
States of America.
AB - OBJECTIVE: To review the iodine status of women as assessed through National
Health and Nutrition Examination Surveys from 1971 to 2002. DESIGN AND SETTING:
National normative estimates of iodine status of the civilian, non
institutionalized population in the United States of America. SUBJECTS: Women of
reproductive age and pregnant women. RESULTS: In the United States of America,
iodine began to be added to the diet in the 1920s. An excessive iodine intake was
documented by the first National Health and Nutrition Examination Survey (NHANES
I) in the 1970s which reported a median urinary iodine (UI) concentration of 320
microg l-1. In the NHANES III survey, conducted between 1988 and 1994, the median
UI concentration had decreased to 145 microg l-1, while 14.9% of women aged 15-44
years and 6.9% of pregnant women had a UI concentration 50 microg l-1. The
concentrations of serum T4 and thyroid-stimulating hormone of women with a low UI
concentration did not, however, indicate an iodine deficiency. CONCLUSIONS:
Further studies of the association between iodine excretion and biochemical and
physiological changes should be undertaken to better understand women's needs for
iodine and to develop criteria to monitor them in pregnancy. Because of the
potential harm caused by iodine deficiency during pregnancy, we support the use
of iodine supplements for all pregnancies while these data are being collected.
PMID- 18053277
TI - The importance of iodine nutrition during pregnancy.
AB - OBJECTIVE: To examine the importance of iodine nutrition during pregnancy.
DESIGN: Review of existing literature of iodine in pregnancy. SETTING: Population
surveys and metabolic studies. SUBJECTS: Pregnant women. RESULTS: The main
changes in thyroid function associated with pregnancy are due to an increase in
hormone requirements that begin in the first trimester of gestation. This
increase can only be met by a proportional increase in hormone production,
something that depends directly upon the availability of iodine. When dietary
iodine is lacking, an adequate physiological adaptation is difficult to achieve
and is progressively replaced by pathological alterations that occur in parallel
with the degree and duration of iodine deprivation. CONCLUSIONS: Iodine
prophylaxis should be given systematically to women during pregnancy. In most
public health programmes dealing with the correction of iodine deficiency
disorders, iodised salt has been used as the preferred means to deliver iodine to
households. Iodised salt, however, is not the ideal means of delivering iodine in
the specific instances of pregnancy, breast-feeding and complementary feeding
because of the need to limit salt intake during these periods. In European
countries, presently it is proposed that iodine is given to pregnant women and
breast-feeding mothers by systematically administering multivitamin tablets
containing iodine in order to reach the recommended dietary allowance of 250
microg iodine day-1.
PMID- 18053278
TI - Evaluating iodine deficiency in pregnant women and young infants-complex
physiology with a risk of misinterpretation.
AB - OBJECTIVE: To review methods for evaluating iodine deficiency in pregnant women
and young infants and to discuss factors to be considered in the interpretation
of their results. DESIGN: Review of the literature regarding the various methods
available for assessing iodine status. SETTING: Population surveys and research
studies. SUBJECTS: Pregnant women and young infants. RESULTS: Several factors to
consider when assessing iodine status in pregnant women and young infants
include: 1) the urinary iodine (UI) concentration (microg l-1) is not
interchangeable with 24 h UI excretion (microg per 24 h); 2) the concentration of
iodine in a spot or casual urine sample cannot be used to diagnose iodine
deficiency in an individual; 3) a moderate fall in the concentration of serum
free T4 during pregnancy is not a sign of maternal iodine deficiency; 4) an
increase in the concentration of serum thyroglobulin (Tg) during pregnancy is not
a sign of maternal iodine deficiency; 5) a higher concentration of TSH and Tg in
cord blood than in maternal blood is not a sign of iodine deficiency in the
mother or neonate; and 6) thyroid function in a full-term foetus, a neonate or a
small child is not more sensitive to a mild iodine deficiency than in the mother.
CONCLUSIONS: If the iodine status of pregnant women and small children is not to
be misjudged, the above six factors need to be taken into account.
PMID- 18053280
TI - Iodine deficiency and brain development in the first half of pregnancy.
AB - An inadequate supply of iodine during gestation results in damage to the foetal
brain that is irreversible by mid-gestation unless timely interventions can
correct the accompanying maternal hypothyroxinemia. Even mild to moderate
maternal hypothyroxinemia may result in suboptimal neurodevelopment. This review
mainly focuses on iodine and thyroid hormone economy up to mid-gestation, a
period during which the mother is the only source for the developing brain of the
foetus. The cerebral cortex of the foetus depends on maternal thyroxine (T4) for
the production of the 3',3,5-tri-iodothyronine (T3) for nuclear receptor-binding
and biological effectiveness. Maternal hypothyroxinemia early in pregnancy is
potentially damaging for foetal brain development. Direct evidence has been
obtained from experiments on animals: even a relatively mild and transient
hypothyroxinemia during corticogenesis, which takes place mostly before mid
gestation in humans, affects the migration of radial neurons, which settle
permanently in heterotopic locations within the cortex and hippocampus.
Behavioural defects have also been detected. The conceptus imposes important
early changes on maternal thyroid hormone economy that practically doubles the
amount of T4 secreted something that requires a concordant increase in the
availability of iodine, from 150 to 250-300 microg I day- 1. Women who are unable
to increase their production of T4 early in pregnancy constitute a population at
risk for having children with neurological disabilities. As a mild to moderate
iodine deficiency is still the most widespread cause of maternal
hypothyroxinemia, the birth of many children with learning disabilities may be
prevented by advising women to take iodine supplements as soon as pregnancy
starts, or earlier if possible, in order to ensure that their requirements for
iodine are met.
PMID- 18053281
TI - Iodine requirements during pregnancy, lactation and the neonatal period and
indicators of optimal iodine nutrition.
AB - OBJECTIVE: This paper re-evaluates the requirements for iodine during pregnancy,
lactation and the neonatal period, and formulates original proposals for the
median concentrations of urinary iodine (UI) that indicate optimal iodine
nutrition during these three critical periods of life. This paper also discusses
the measurements that are used to explore thyroid functions during the same
periods. DESIGN: An extensive and critical review of the literature on thyroid
physiopathology during the perinatal period. SETTING: Human studies conducted in
various regions throughout the world. SUBJECTS: Pregnant women, lactating women,
and newborns. RESULTS: The following proposals are made after extensive review of
the literature: the requirement for iodine by the mother during pregnancy is 250
300 microg day-1; during lactation the requirement is 225-350 microg day-1; and
during the neonatal period the requirement of the infant is 90 microg day-1. The
median UI that indicates an optimal iodine nutrition during these three periods
should be in the range of 150-230 microg day-1. These figures are higher than
recommended to date by the international agencies. CONCLUSIONS: Pregnant women
and young infants, but especially the second group, are more sensitive to the
effects of an iodine deficiency (ID) than the general population because their
serum thyroid-stimulating hormone (TSH) and thyroxine are increased and
decreased, respectively, for degrees of ID that do not seem to affect thyroid
function in the general population. Systematic neonatal thyroid screening using
primary TSH could be the most sensitive indicator to monitor the process of ID
control.
PMID- 18053283
TI - The impact of iodised salt or iodine supplements on iodine status during
pregnancy, lactation and infancy.
AB - OBJECTIVES: Monitoring of iodine status during pregnancy, lactation and infancy
is difficult as there are no established reference criteria for urinary iodine
concentration (UI) for these groups; so it is uncertain whether iodized salt
programs meet the needs of these life stages. DESIGN AND SUBJECTS: The method
used in this paper was: 1) to estimate the median UI concentration that reflects
adequate iodine intake during these life stages; and 2) to use these estimates in
a review of the literature to assess whether salt iodisation can control iodine
deficiency in pregnant and lactating women, and their infants. RESULTS: For
pregnancy, recommended mean daily iodine intakes of 220-250 microg were estimated
to correspond to a median UI concentration of about 150 microg l- 1, and larger
surveys from the iodine sufficient countries have reported a median UI in
pregnant women > or = 140 microg l- 1. Iodine supplementation in pregnant women
who are mild-to-moderately iodine deficient is beneficial, but there is no clear
affect on maternal or newborn thyroid hormone levels. In countries where the
iodine intake is sufficient, most mothers have median breast milk iodine
concentration (BMIC) greater than the concentration (100-120 microg l- 1)
required to meet an infant's needs. The median UI concentration during infancy
that indicates optimal iodine nutrition is estimated to be > or = 100 microg l-
1. In iodine-sufficient countries, the median UI concentration in infants ranges
from 90-170 microg l- 1, suggesting adequate iodine intake in infancy.
CONCLUSIONS: These findings suggest pregnant and lactating women and their
infants in countries with successful sustained iodised salt programs have
adequate iodine status.
PMID- 18053284
TI - Iodine nutrition in pregnancy and lactation in Iran.
AB - OBJECTIVE: To describe studies evaluating urinary iodine excretion during
pregnancy and lactation in women living in cities with adequate or more than
adequate iodine intake. DESIGN: Cross-sectional study conducted between 1996 and
1998 in pregnant women and a study of lactating women conducted in 2003. SETTINGS
AND SUBJECTS: Pregnant women attending prenatal clinics in four cities in the
Islamic Republic of Iran. Urinary iodine excretion and thyroid volume was
measured in 403 women. In a second study, 100 lactating women from Taleghani
Hospital in Gorgan, Iran were evaluated for thyroid size, and both urinary and
breast milk iodine concentrations were determined. RESULTS: In Rasht city, 84% of
pregnant women had a urinary iodine concentration of > or = 200 microg l-1, while
in the other cities this percentage ranged from 45 to 55%. When data were
combined for the cities of Ilam, Isfahan and Tehran, where women have an adequate
or more than adequate median urinary iodine concentration, 51% of pregnant women
had a urinary iodine concentration less than that recommended during pregnancy.
In Rasht, where the median urinary iodine concentration indicates an excessive
iodine intake, 15.4% of pregnant women had a urinary iodine concentration < 200
microg l-1. The mean urinary iodine concentration in lactating women was 250
microg l-1, and 16% of women had a urinary iodine concentration < 100 microg l-1.
Grade 1 goitre was present in 8% of lactating women, and another 8% had grade 2
goitre. CONCLUSIONS: Findings of this study call for further attention to iodine
intake during pregnancy and lactation. The currently recommended intake of iodine
through universal salt iodisation may not be adequate for pregnant and lactating
women, and supplementation during pregnancy and lactation should be further
considered in light of the latest recommendations.
PMID- 18053285
TI - Iodine nutrition of pregnant and lactating women in Hong Kong, where intake is of
borderline sufficiency.
AB - OBJECTIVE: To describe the iodine nutrition of pregnant and lactating women in
Hong Kong, where intake is of borderline sufficiency. DESIGN: Review of cross
sectional and prospective studies. SETTING: China, Hong Kong Special
Administrative Region (SAR). SUBJECTS: Pregnant and lactating women. RESULTS:
Studies of pregnant women in Hong Kong SAR have revealed an increase in the
urinary iodine (UI) concentration as pregnancy advances. A significant percentage
of women had a sub-normal serum thyroid hormone concentration at full term.
Although iodine is concentrated by the mammary gland, 19% of all mothers had low
iodine concentrations in their breast milk. The moderate correlation between the
concentrations of iodine in breast milk and urine suggests that an adequate
maternal urinary iodine concentration cannot reliably indicate that an infant is
getting enough iodine in breast milk. Therefore, some breast-fed infants may
still be at risk of low iodine intake, and additional iodine supplements, other
than salt iodisation, would be warranted in this population. CONCLUSIONS: The
currently recommended intake of iodine through universal salt iodisation may not
be adequate for pregnant and lactating women, and supplementation during
pregnancy and lactation should be further considered in light of the latest
recommendations.
PMID- 18053286
TI - Iodine deficiency in pregnant women and neonates in Thailand.
AB - OBJECTIVE: To present data on the relationship between the concentration of
thyroid-stimulating hormone (TSH) in whole blood or serum from neonates and the
concentration of iodine in their mother's urine collected at birth to contribute
to the contention that the recommended iodine intake during pregnancy should be
increased. DESIGN AND SETTING: Data were provided by current programmes of
neonatal screening of congenital hypothyroidism in Bangkok and rural areas of
Thailand. SUBJECTS: A total of 5144 cord serum samples were collected in 2003 and
measured for TSH concentrations. Paired samples of blood and urine were collected
in 2000 from 203 infants and their mothers and from 1182 infant-mother pairs in
2002-03 in six rural provinces. Iodine was measured in the urine and TSH was
measured in cord serum. RESULTS: The urinary iodine concentration of mothers in
rural Thailand is adequate, with a median of 103 microg l-1. However, in 2000,
the median urinary iodine concentration of mothers in Bangkok was only 85 microg
l-1. The concentration of TSH in whole blood collected on filter paper from
neonates was not sensitive enough to be used as a monitoring tool for iodine
nutrition in the neonates, as there was no relationship with the concentration of
iodine in the urine of the children's mothers. This was in contrast to the
concentration of TSH in serum collected from cord blood. CONCLUSIONS: Several
conclusions were drawn from this data: 1) Neonatal TSH screening using whole
blood collected from a heel prick at 3 days of age is not sensitive enough to
assess the iodine nutrition of neonates; 2) Neonatal TSH screening using cord
sera can be used to assess iodine nutrition in neonates; 3) The optimum median
maternal urinary iodine concentration in Thailand appears to be 103 microg l-1;
4) The criteria proposed by WHO, UNICEF, and ICCIDD to assess iodine nutrition
using data on neonatal TSH concentrations should be reassessed; and 5) Neonatal
TSH screening can be effectively performed by collecting cord serum in district
hospitals in Thailand.
PMID- 18053287
TI - Prevention and control of iodine deficiency in pregnant and lactating women and
in children less than 2-years-old: conclusions and recommendations of the
Technical Consultation.
PMID- 18053288
TI - Iron-regulatory proteins: molecular biology and pathophysiological implications.
AB - Iron is required for key cellular functions, and there is a strong link between
iron metabolism and important metabolic processes, such as cell growth, apoptosis
and inflammation. Diseases that are directly or indirectly related to iron
metabolism represent major health problems. Iron-regulatory proteins (IRPs) 1 and
2 are key controllers of vertebrate iron metabolism and post-transcriptionally
regulate expression of the major iron homeostasis genes. Here we discuss how
dysregulation of the IRP system can result from both iron-related and unrelated
effectors and explain how this can have important pathological consequences in
several human disorders.
PMID- 18053289
TI - Prevalence of self-reported sleep disturbance among older adults and the
association of disturbed sleep with service demand and medical conditions.
AB - OBJECTIVE: This cross-sectional study investigated the prevalence of disturbed
sleep and the association of disturbed sleep with medical conditions and service
use among older adults. METHODS: A sample of 6961 household residents aged 60 and
over was recruited from a population-based random sample. Each subject was
examined in a face-to-face interview. RESULTS: The overall prevalence of
disturbed sleep was 33.7%, with the condition being more prevalent in women
(37.2%) than in men (27.4%). The overall rate of medical consultations was 78%,
and higher in those with sleep disturbance (males 73% vs 27%; females 80% vs 20%)
compared to persons without disturbed sleep. The overall rate of hospitalizations
was 20.2%. In logistic regression analyses, being female, of low income, low
education, younger age, with psychiatric morbidity, pneumonia, urinary infection,
dermatological problems and/or hypertension were significantly associated with
self-reported sleep disturbance. Ethnicity, civil status or outpatient visits in
the previous six months and hospitalizations in the previous year were not
associated with self-reported sleep disturbance. CONCLUSION: Self-reported sleep
disturbance was a frequent problem in the study population and was associated
with gender, income, education, lower age and medical conditions. There was no
association between sleep problems and use of medical services in the surveyed
population.
PMID- 18053290
TI - Attachment style and anxiety in carers of people with Alzheimer's disease:
results from the LASER-AD study.
AB - BACKGROUND: Preliminary evidence indicates that the quality of the carer-care
recipient relationship predicts those carers most at risk from anxiety.
Attachment style is related to higher carer burden, psychological morbidity and
increased care recipient institutionalization. We tested, for the first time, the
hypothesis that carer burden and the coping strategies used mediate a
relationship between attachment style and anxiety. METHODS: We interviewed 83
people with Alzheimer's disease and their family carers, originally recruited for
a larger community study. Carers filled in a validated measure about their
attachment style, the Hospital Anxiety and Depression Scale, the COPE to measure
coping strategies, and the Zarit-Burden interview. RESULTS: More avoidant
attachment (beta = 0.28) and less secure attachment (beta = -0.32) predicted
anxiety. After adding coping strategies to the equation, the relationship with
avoidant attachment (beta = 0.15) was no longer significant, and that with less
secure attachment was reduced (beta = -0.23). A well-fitting structural equation
model supported our finding that dysfunctional coping mediated the relationship
between attachment style and anxiety. CONCLUSION: Carers who were less secure or
more avoidantly attached reported higher anxiety. Interventions that aim to
modify coping strategies have shown promise in reducing carer anxiety. Our
finding that coping strategies also appear partially to explain the excess of
anxiety among less securely attached carers suggests they are likely to benefit
from such interventions.
PMID- 18053291
TI - Specific tyrosine phosphorylation induced in Schistosoma mansoni miracidia by
haemolymph from schistosome susceptible, but not resistant, Biomphalaria
glabrata.
AB - Molecular interplay during snail-schistosome interactions is poorly understood
and there is much to discover concerning the effect of snail host molecules on
molecular processes in schistosomes. Using the Biomphalaria glabrata -
Schistosoma mansoni host-parasite system, the effects of exposure to haemolymph,
derived from schistosome-resistant and susceptible snail strains, on protein
tyrosine phosphorylation in miracidia have been investigated. Western blotting
revealed several tyrosine phosphorylated proteins in this larval stage. Exposure
of miracidia to haemolymph from susceptible snails for 60 min resulted in a
striking, 5-fold, increase in the tyrosine phosphorylation of a 56 kDa (p56) S.
mansoni protein. In contrast, haemolymph from resistant snails had little effect
on protein tyrosine phosphorylation levels in miracidia. Confocal microscopy
revealed that tyrosine phosphorylation was predominantly associated with proteins
present in the tegument. Finally, treatment of miracidia with the tyrosine kinase
inhibitor genistein significantly impaired their development into primary
sporocysts. The results open avenues for research that focus on the potential
importance of phospho-p56 to the outcome of schistosome infection in snails, and
the significance of protein tyrosine kinase-mediated signalling events to the
transformation of S. mansoni larvae.
PMID- 18053292
TI - Effects of water flow on the infection dynamics of Myxobolus cerebralis.
AB - Myxobolus cerebralis, the myxozoan parasite responsible for whirling disease in
salmonid fishes, has a complex life-cycle involving an invertebrate host and 2
spore stages. Water flow rate is an environmental variable thought to affect the
establishment and propagation of M. cerebralis; however, experimental data that
separates flow effects from those of other variables are scarce. To compare how
this parameter affected parasite infection dynamics and the invertebrate and
vertebrate hosts, dead, infected fish were introduced into a naive habitat with
susceptible hosts under 2 experimental flow regimes: slow (0 x 02 cm/s) and fast
(2 x 0 cm/s). Throughout the 1-year study, uninfected fry were held in both
systems, the outflows were screened weekly for spores and the annelid populations
were monitored. We found clear differences in prevalence of infection in the
worms, prevalence and severity of infection in the fish, and host survival. Both
flows provided environments in which M. cerebralis could complete its life-cycle;
however, both the parasite and its invertebrate host proliferated to a greater
extent in the slow flow environment over the 1-year study period. This finding is
of significance for aquatic systems where the flow rate can be manipulated, and
should be incorporated into risk analysis assessments.
PMID- 18053293
TI - Dietary intake and different types of physical activity: full-day energy
expenditure, occupational and leisure-time.
AB - OBJECTIVE: To describe the relationship between dietary intake and different
levels and types of physical activity (PA). DESIGN: Cross-sectional evaluation of
the EPIPorto study. Energy expenditure (metabolic energy equivalent tasks) and
dietary intake during the past year were assessed using a PA questionnaire and a
semi-quantitative food-frequency questionnaire, respectively. SETTING:
Representative sample of adults in Porto, Portugal. SUBJECTS: Data were analysed
for 2404 Portuguese Caucasian adults, aged between 18 and 92 years. RESULTS: For
total PA, males who were active had significantly higher mean intake of energy
(10.76 (2570.7) vs. 9.78 (2336.9) MJ/d (kcal/d), P < 0.001) and lower level of
protein consumption (16.9 vs. 17.6 % of energy, P < 0.001) compared with
sedentary males. In males, the association between total PA and energy intake
remained after adjustment for age, education and body mass index. Similar results
were observed when occupational activity was analysed. Concerning the energy
expended in leisure time, in both genders, after adjustment for the previously
described variables, a significant positive association was found between PA and
intake of vitamin C (g/d): beta = 0.12, 99 % confidence interval (CI) 0.02, 0.21
for females and beta = 0.13, 99 % CI 0.03, 0.22 for males. Leisure-time activity
in females was also positively associated with intakes of fibre, vitamin E,
folate, calcium and magnesium, and negatively associated with saturated fat.
CONCLUSIONS: Higher levels of PA in leisure time were associated with higher
intakes of micronutrients and lower intakes of saturated fat, particularly in
females. For total and occupational PA, similar nutrient intake was observed
between active and sedentary individuals.
PMID- 18053295
TI - Reproducibility of systematic literature reviews on food, nutrition, physical
activity and endometrial cancer.
AB - OBJECTIVE: Despite the increasing dependence on systematic reviews to summarise
the literature and to issue public health recommendations, the formal assessment
of the reliability of conclusions emerging from systematic reviews has received
little attention. The main goal of the present study was to evaluate whether two
independent centres, in two continents, draw similar conclusions regarding the
association of food, nutrition and physical activity and endometrial cancer, when
provided with the same general instructions and with similar resources. DESIGN:
The assessment of reproducibility concentrated on four main areas: (1) paper
search and selection; (2) assignment of study design; (3) inclusion of papers;
and (4) individual studies selected for meta-analysis and the summary risk
estimate obtained. RESULTS: In total 310 relevant papers were identified, 166 (54
%) were included by both centres. Of the remaining 144 papers, 72 (50 %) were
retrieved in the searches of one centre and not the other (54 in centre A, 18 in
centre B) and 72 were retrieved in both searches but regarded as relevant by only
one of the centres (52 in centre A, 20 in centre B). Of papers included by both
centres, 80 % were allocated the same study design. Agreement for inclusion of
cohort-type and case-control studies was about 63% compared with 50% or less for
ecological and case series studies. The agreement for inclusion of 138 'key'
papers was 87 %. Summary risk estimates from meta-analyses were similar.
CONCLUSIONS: Transparency of process and explicit detailed procedures are
necessary parts of a systematic review and crucial for the reader to interpret
its findings.
PMID- 18053294
TI - Carotenoid intakes, assessed by food-frequency questionnaires (FFQs), are
associated with serum carotenoid concentrations in the Jackson Heart Study:
validation of the Jackson Heart Study Delta NIRI Adult FFQs.
AB - OBJECTIVES: Intake and status of carotenoids have been associated with chronic
disease. The objectives of this study were to examine the association between
carotenoid intakes as measured by two regional food-frequency questionnaires
(FFQs) and their corresponding measures in serum, and to report on dietary food
sources of carotenoids in Jackson Heart Study (JHS) participants. DESIGN: Cross
sectional analysis of data for 402 African American men and women participating
in the Diet and Physical Activity Sub-Study (DPASS) of the JHS. RESULTS: Mean
serum carotenoid concentrations and intakes in this population were comparable to
those reported for the general US population. After adjustment for covariates,
correlations between serum and dietary measures of each carotenoid, for the
average of the recalls (deattenuated), the short FFQ and the long FFQ,
respectively, were: 035 and 0-carotene; 026 and 0-carotene; 017 and 0-carotene;
034 and 0-cryptoxanthin; 015 and 037, 014 for lycopene. Major dietary sources of
carotene and lutein plus zeaxanthin, mustard, turnip and collard greens; of beta
cryptoxanthin, orange juice; and of lycopene, tomato juice. CONCLUSIONS: On
average, carotenoid intakes and serum concentrations are not lower in this
southern African American population than the general US population. The two
regional FFQs developed for a southern US population and used as dietary
assessment tools in the JHS appear to provide reasonably valid information for
most of these carotenoids.
PMID- 18053296
TI - Kinetic disposition of albendazole in goats subclinically infected with
gastrointestinal nematodes vis-a-vis naive animals following oral and
intraruminal administration.
AB - The influence of subclinical nematodosis on the kinetic disposition of
albendazole was evaluated in goats following oral and intraruminal
administration. The disposition curves of its metabolites indicated increased
uptake of the drug in parasitized goats following intraruminal compared to oral
dosing (P < 0.05). The midpoint for the pharmacologically active metabolite,
albendazole sulphoxide, in the circulatory compartment was around 0.6 mug ml- 1
both in parasitized and naive goats. The period of exposure to this concentration
was around 14 h (oral route), 18 h (intraruminal route) and 16 h (oral route), 17
h (intraruminal route) in parasitized and naive goats, respectively. As the
duration of exposure of parasites to the toxic concentration of the
anthelmintically active metabolite was prolonged, it could be assumed that
intraruminal delivery of the drug would improve the efficacy of albendazole in
parasitized goats.
PMID- 18053297
TI - Seasonal contamination of public squares and lawns by parasites with zoonotic
potential in southern Brazil.
AB - The presence of helminths and protozoans in public squares and lawns of the city
of Maringa, southern Brazil, during winter and summer was assessed in order to
evaluate their seasonal fluctuations in relation to edaphic and climatic factors.
Samples were collected from January 2003 through June 2004 in 90% (13) of all
public squares covered by sand, and in 30% (4) of all lawns used as leisure
areas. The samples were analysed quantitatively by modified centrifugal-flotation
and sedimentation in water techniques, and qualitatively by a method based on
positive larval thermo-hydrotropism. Meteorological data were recorded, and
physical, chemical and structural characteristics of the soil were analysed. One
hundred and thirty samples of sand from squares, 65 in summer and 65 in winter,
and 40 samples of grass from lawns, 20 in each season, were collected. All
samples from lawns, 62 (95.38%) from squares in winter and 45 (69.23%) in summer,
contained protozoans and/or helminths. Eggs of Toxocara spp. were the most
frequently observed parasites in both winter and summer in squares (P < 0.0001)
and in lawns (P = 0.6142), being equally distributed among the different
locations (P = 0.2038). Species diversity was lower in winter; fewer parasites
were found in summer. This region, with a tropical climate and a mild winter dry
season, has favourable edaphic and climatic conditions for soil contaminants to
persist year-round. In addition, the high frequency of animals such as dogs and
cats and the poor sanitary measures in force made it possible for zoonoses to be
transmitted in the public spaces.
PMID- 18053298
TI - Glycogen catabolism enzymes and protein fractions in the third and fourth larval
stages of Anisakis simplex.
AB - Extracts of Anisakis simplex third (L3) and fourth (L4) larval stages were
assayed for protein content and activity and properties of alpha-amylase,
glucoamylase and glycogen phosphorylase. Protein content in L4 was twice that in
L3. SDS-PAGE applied to both larval stages revealed 22 protein fractions in each,
including five stage-specific fractions in each larval stage. The L3 extracts
contained three amylase isoenzymes: alpha 1, alpha 2 and alpha 3; their molecular
weights were 64, 29 and 21 kDa, respectively. Only one amylase isoenzyme (64 kDa)
was found in the L4 extracts. Glycogen in L3 was found to be broken down mostly
by hydrolysis because of low glycogen phosphorylase activity. The alpha-amylase
activity in L4 was higher than that in L3 by half and the glycogen phosphorylase
activity was ten times higher. In addition, the same enzymes isolated from L3 and
L4 were found to differ in their properties. These differences could be
manifestations of metabolic adaptations of A. simplex larvae to host switch from
fish (L3) to mammals (L4), i.e. adaptations to a new habitat.
PMID- 18053299
TI - The occurrence of Caryophyllaeus laticeps in the nase Chondrostoma nasus from
Austrian rivers: possible anthropogenic factors.
AB - Between April 2003 and November 2006 a total of 198 nase Chondrostoma nasus (L.)
were examined for parasites from seven river sites in Austria. The selected sites
showed different levels of anthropogenic alterations in river morphology ranging
from pristine areas, with minor anthropogenic alterations, to strongly physically
altered rivers. A variety of parasites were recovered from the skin, gills,
swimbladder and eyes, with Caryophyllaeus laticeps (Pallas) being identified as
the only cestode species occurring in the intestine. This is the first record of
this species in nase in Austria. Caryophyllaeus laticeps was confined to the
first 20% of the intestine, even in heavily infected hosts. The occurrence of C.
laticeps within the fish population seems to be correlated with the degree of
anthropogenic changes of the nase's habitat. In rivers with a high degree of
ecological integrity or in rivers that are only partially dammed, C. laticeps is
either absent in C. nasus or occurs with low prevalence (5.6-20%) and low mean
intensity values (1.0-4.0). Where anthropogenic factors have changed the entire
river into a reservoir, and fish cannot escape, the prevalence of infection with
C. laticeps increases from 46.2 to 78.2% and the mean intensity from 8.8 to 17.2.
PMID- 18053300
TI - Development of Fasciola hepatica in Lymnaea columella infected with miracidia
derived from cattle and marmoset infections.
AB - The development of Fasciola hepatica from two species of definitive hosts, i.e.
cattle (Bos taurus) and a marmoset (Callithrix penicillata) in the snail Lymnaea
columella was determined based on the production of rediae and cercariae and
snail survival rate. More rediae and cercariae at 60-74 days post-infection were
produced by snails infected by cattle-derived miracidia (cattle group) than by
those infected by marmoset-derived miracidia (marmoset group). Among the L.
columella parasitized by the marmoset group, the survival rate and the percentage
of positive snails were higher than among those parasitized by the cattle group.
Eggs of F. hepatica released in cattle faeces were significantly bigger than
those released in marmoset faeces. Miracidia originating from parasites that
completed their development in cattle were more efficient in infecting the
intermediate host. These results suggest that vertebrate-host origin influences
the eggs produced by the parasite and the infection rates in the snail host L.
columella.
PMID- 18053301
TI - Epidemiology of intestinal helminth parasites of dogs in Ibadan, Nigeria.
AB - An epidemiological study of gastrointestinal helminths of dogs (Canis familiaris)
in two veterinary clinics in Ibadan, Nigeria, was conducted between January 2001
and December 2002. Faecal samples collected from 959 dogs were processed by
modified Kato-Katz technique and then examined for helminth eggs. The results of
the study showed that 237 (24.7%) of the dogs examined were infected with
different types of helminths. The prevalences for the various helminth eggs
observed were: Toxocara canis 9.0%, Ancylostoma spp. 17.9%, Toxascaris leonina
0.6%, Trichuris vulpis 0.5%, Uncinaria stenocephala 0.4% and Dipylidium caninum
0.2%. The faecal egg intensities, determined as mean egg count/gram of faeces (
+/- SEM), were: T. canis 462.0 +/- 100.5, Ancylostoma spp. 54.1 +/- 8.6, T.
leonina 0.8 +/- 0.4, T. vulpis 0.1 +/- 0.0, U. stenocephala 1.0 +/- 0.7 and D.
caninum 0.2 +/- 0.1. Host age was found to be a significant factor with respect
to the prevalence and intensity of T. canis and Ancylostoma spp. There was no
significant difference in the prevalence of intestinal helminth parasites between
male (27.0%) and female (22.5%) dogs (P>0.05). The prevalence of helminth
parasites was significantly higher (P < 0.05) in the local breed (African
shepherd) (41.2%) than in Alsatian dogs (16.2%) or in other exotic breeds
(21.0%). Single parasite infections (85.7%) were more common than mixed
infections (3.5%).
PMID- 18053302
TI - Modification of gDNA extraction from soil for PCR designed for the routine
examination of soil samples contaminated with Toxocara spp. eggs.
AB - A modification of gDNA extraction was developed for the polymerase chain reaction
(PCR) technique, intended for the detection and differentiation of Toxocara spp.
eggs in soil or sediments. Sand samples from sandpits confirmed as being
contaminated with Toxocara spp. eggs by the flotation technique were analysed by
PCR. The use of proteinase K made it possible to obtain genomic DNA from the
sample without needing to isolate eggs using flotation or to inactivate PCR
inhibitors present in the sand. Specific primers in the PCR reaction allowed
discrimination between T. canis and T. cati eggs. The modification simplified the
procedure, thanks to eliminating the step of gDNA isolation from eggs, which is
both laborious and difficult.
PMID- 18053303
TI - Experimental infection of the cockroach Periplaneta americana with Toxocara canis
and the establishment of patent infections in pups.
AB - The possible role of the cockroach Periplaneta americana in the transmission of
Toxocara canis eggs and larvae via faeces and tissue migration was studied.
Cockroaches fed with 3 x 105 and 5 x 105 embryonated eggs were found to harbour
viable eggs and larvae from days 1 to 5 post-infection (DPI). At necropsy on 5
DPI, eggs and larvae were also recovered from the rectal contents but not from
the tissues of cockroaches. In addition patent infections were established in
pups fed on infected faeces of cockroaches, with eggs first appearing in the
faeces of pups at 38 DPI. Adult worms of T. canis were also recovered at
necropsy. Therefore the importance of cockroaches as good mechanical
disseminators of ascarid eggs, especially T. canis, is discussed.
PMID- 18053304
TI - In vitro nematicidal effects of medicinal plants from the Sierra de Huautla,
Biosphere Reserve, Morelos, Mexico against Haemonchus contortus infective larvae.
AB - Twenty extracts from plants from Sierra de Huautla Biosphere Reserve, Morelos,
Mexico were evaluated against Haemonchus contortus infective larvae in an in
vitro assay. The plant species evaluated were Bursera copallifera, B.
grandifolia, Lippia graveolens, Passiflora mexicana, Prosopis laevigata, Randia
echinocarpa and Urtica dioica. The plants were separated into their parts and
macerated with different solvents (n-hexane, acetone, ethanol and methanol). An
in vitro assay was used to evaluate the anthelmintic activity against unsheathed
third stage H. contortus infective larvae. The experiment was carried out in 24
well cell culture plates at room temperature with three replicates per treatment
and using a concentration of 20 mg ml- 1. Ten 5 microl aliquots were taken from
the corresponding wells and deposited on a slide for microscopical observation at
24, 48, 72 and 96 h post-exposure. The evaluation criteria were based on the
average numbers of live and/or dead larvae in the different treatments. Alive and
dead larval numbers were statistically analysed through the ANOVA test (P>0.01).
The Tukey test was used as a complementary tool to determine which treatment was
different from the other treatments (P>0.05). The highest mortality was observed
with P. laevigata hexanic extract from stem and leaves combined, which produced
51%, 81% and 86% larval mortality at 24, 48 and 72 h post-exposure, respectively.
On the other hand, B. copallifera stem acetonic extract exhibited 18%, 59% and
66% nematicidal activity after 24, 48 and 72 h of exposure, respectively.
PMID- 18053305
TI - Effects of green tea on insulin sensitivity, lipid profile and expression of
PPARalpha and PPARgamma and their target genes in obese dogs.
AB - As in man, canine obesity is associated with insulin resistance, dyslipidaemia
and other chronic diseases. This study was designed to examine the effects of a
nutritional supplement (green tea) on insulin sensitivity and plasma lipid
concentrations in an obese insulin-resistant dog model. We also determined mRNA
expression of two transcription factors, PPARgamma and PPARalpha, and some of
their target genes, including GLUT4, lipoprotein lipase (LPL) and adiponectin.
Obese dogs were divided into two groups: a green tea group (n 6); a control group
(n 4). Dogs in the green tea group were given green tea extract (80 mg/kg per d)
orally, just before their single daily meal, for 12 weeks. Insulin sensitivity
(using a euglycaemic-hyperinsulinaemic clamp) and concentrations of plasma TAG,
total cholesterol and NEFA were assessed in each group. Gene expression was
measured in visceral and subcutaneous adipose tissues and in liver and skeletal
muscle, by real-time PCR. At 12 weeks in the green tea group, mean insulin
sensitivity index was 60 (SEM 11) % higher (P < 0.05) and TAG concentration 50
(SEM 10) % lower (P < 0.001), than baseline. PPARgamma, GLUT4, LPL and
adiponectin expression were significantly higher in both adipose tissues, whilst
PPARalpha and LPL expression were significantly higher in skeletal muscle,
compared with baseline. These findings show that nutritional doses of green tea
extract may improve insulin sensitivity and lipid profile and alter the
expression of genes involved in glucose and lipid homeostasis.
PMID- 18053306
TI - The effect of a dietary supplement of potassium chloride or potassium citrate on
blood pressure in predominantly normotensive volunteers.
AB - Blood pressure (BP) shows a continuous relationship with the risk of CVD. There
is substantial evidence that dietary potassium exerts an anti-pressor effect.
Most clinical trials have used KCl. However, the chloride ion may have a pressor
effect and in foods potassium is associated with organic anions. In a double
blind randomized placebo-controlled trial we explored the effect on BP of two
salts of potassium, KCl and potassium citrate (K-cit), in predominantly young
healthy normotensive volunteers. The primary outcome was the change in mean
arterial pressure as measured in a clinic setting. After 6 weeks of
supplementation, compared with the placebo group (n 31), 30 mmol K-cit/d (n 28)
changed mean arterial pressure by -5.22 mmHg (95% CI -8.85, -4.53) which did not
differ significantly from that induced by KCl (n 26), -4.70 mmHg (-6.56, -2.84).
The changes in systolic and diastolic BP were -6.69 (95% CI -8.85, -4.43) and
4.26 (95% CI -6.31, -2.21) mmHg with K-cit and -5.24 (95% CI -7.43, -3.06) and
4.30 (95% CI -6.39, -2.20) mmHg with KCl, and did not differ significantly
between the two treatments. Changes in BP were not related to baseline urinary
electrolytes. A greater treatment-related effect was observed in those with
higher systolic BP. Increasing dietary potassium could therefore have a
significant impact on the progressive rise in BP in the entire population.
PMID- 18053307
TI - Response of cell cycle/stress-related protein expression and DNA damage upon
treatment of CaCo2 cells with anthocyanins.
AB - Anthocyanins are a class of flavonoids, widely spread throughout the plant
kingdom, exhibiting important antioxidant and anti-inflammatory actions as well
as chemotherapeutic effects; nonetheless, little is known about the molecular
mechanisms by which these activities are exerted. The present study is aimed at
investigating molecular mechanisms involved in the chemotherapeutic effects
induced by both cyanidin-3-O-beta glucopyranoside (CY3G) and its aglycon form,
cyanidin chloride (CY), in human colon cancer cells (CaCo2). The effect on cell
growth, reactive oxygen species (ROS) formation and cell cycle/stress proteins
modification, including ataxia teleangectasia mutated protein (ATM), p53, p21, 8
oxoguanine DNA glycosylase (OGG1), 70 kDa heat shock protein (HSP70) and
topoisomerase IIbeta, as well as on DNA fragmentation, was determined. CY and
CY3G treatment affect cell growth and cell proliferation, this latter in a
moderately dose-dependent way. Interestingly, ROS level is decreased by any
concentration of CY and, only at the lowest concentration, by CY3G. Moreover, the
two molecules exert their activities increasing ATM, topoisomerase II, HSP70 and
p53 expression. The analysis of DNA fragmentation by Comet assay evidences: (1) a
dose-dependent increase in DNA damage only after treatment with CY3G; (2) a more
evident trend in the DNA fragmentation when the treatment is performed on agarose
embedded cells (cellular atypical Comet); (3) a highly dose-dependent DNA
fragmentation induced by CY when the treatment is carried out on agarose embedded
naked DNA (acellular atypical Comet). The present findings substantiate a
possible chemotherapeutic role of anthocyanins and suggest that CY and CY3G act
on CaCo2 by different mechanisms, respectively, ROS-dependent and ROS
independent.
PMID- 18053308
TI - Effect of Ramadan fasting on some indices of insulin resistance and components of
the metabolic syndrome in healthy male adults.
AB - The purpose of the present study was to evaluate the effect of Ramadan fasting on
insulin sensitivity in subjects with the metabolic syndrome. Males (n 55; age
34.1 (sd 8.9) years) with the metabolic syndrome were studied. Blood pressure,
waist circumference, body weight, HDL-cholesterol (HDL-C), TAG, fasting plasma
glucose (FPG), fasting blood insulin and insulin resistance indices (quantitative
insulin sensitivity check index (QUICKI), homeostasis model assessment of insulin
resistance (HOMA-IR) and reciprocal index of HOMA-IR (1/HOMA-IR)) were evaluated
before and after 30 d of Ramadan fasting (two meals at 12 h intervals). The
dietary intake was estimated by 24 h recall before and after fasting. The total
daily energy intake was decreased by 234.6 (sd 88.2) kJ/d in the fasting period
(P = 0.005). 1/HOMA-IR, QUICKI and HDL-C were significantly increased (P = 0.005,
P = 0.001 and P = 0.004) and FPG significantly decreased (P < 0.005) after
fasting. Simple linear regression analysis demonstrated that HOMA-IR, 1/HOMA-IR
and QUICKI were related to waist circumference after intervention (r 0.458, P <
0.001; r - 0.396, P < 0.05; r - 0.342, P < 0.05). In conclusion, the present
study showed that the combined change in the number and timing of meals and
portioning of the entire intake into only two meals per d may increase insulin
sensitivity in subjects with the metabolic syndrome even when the decrease in
energy consumption is minimal.
PMID- 18053309
TI - Population-specific Mini Nutritional Assessment effectively predicts the
nutritional state and follow-up mortality of institutionalized elderly Taiwanese
regardless of cognitive status.
AB - The study was conducted to determine the effectiveness of a modified Mini
Nutritional Assessment (MNA) for assessing the nutritional status and predicting
follow-up mortality of institutionalized elderly Taiwanese. The study was
conducted in a large long-term care centre in central Taiwan. Trained
interviewers assisted by the caregivers elicited sociodemographic data,
healthcare and disease history, and answers to the MNA screen from each subject.
One researcher performed all subjects' anthropometric measurements. Plasma
albumin and cholesterol concentrations were determined. Results showed that the
MNA without BMI, modified according to population-specific mid-arm circumference
and calf circumference cut-points, effectively predicted the nutritional risk
status of the elderly regardless of cognitive status. Substituting caregiver's
assessments for self-viewed nutrition and health status (questions O and P of
MNA) improved the predicting power of the tool in cognition-normal subjects.
Results showed that 21.9% of the elderly were malnourished, 59.2% were at risk of
malnutrition and 18.9% were normal according to self-assessment whereas 14.2%
were malnourished, 59.2% were at risk of malnutrition, and 26.6% were normal
according to caregiver's evaluation. The tool was also effective in predicting 12
and 6-month follow-up mortality in cognition-normal and cognition-impaired
elderly, respectively. Results indicate that a population-specific MNA can
effectively predict the nutritional status and 6-month follow-up mortality of
elderly Taiwanese regardless of cognitive condition. Easier and wider application
of the tool will enable early detection of emerging nutritional problems and
timely intervention to prevent the development of severe malnutrition in the
elderly.
PMID- 18053310
TI - Dietary flaxseed lignan extract lowers plasma cholesterol and glucose
concentrations in hypercholesterolaemic subjects.
AB - Lignans, derived from flaxseed, are phyto-oestrogens being increasingly studied
for their health benefits. An 8-week, randomised, double-blind, placebo
controlled study was conducted in fifty-five hypercholesterolaemic subjects,
using treatments of 0 (placebo), 300 or 600 mg/d of dietary secoisolariciresinol
diglucoside (SDG) from flaxseed extract to determine the effect on plasma lipids
and fasting glucose levels. Significant treatment effects were achieved (P < 0.05
to < 0.001) for the decrease of total cholesterol (TC), LDL-cholesterol (LDL-C)
and glucose concentrations, as well as their percentage decrease from baseline.
At weeks 6 and 8 in the 600 mg SDG group, the decreases of TC and LDL-C
concentrations were in the range from 22.0 to 24.38 % respectively (all P < 0.005
compared with placebo). For the 300 mg SDG group, only significant differences
from baseline were observed for decreases of TC and LDL-C. A substantial effect
on lowering concentrations of fasting plasma glucose was also noted in the 600 mg
SDG group at weeks 6 and 8, especially in the subjects with baseline glucose
concentrations > or = 5.83 mmol/l (lowered 25.56 and 24.96 %; P = 0.015 and P =
0.012 compared with placebo, respectively). Plasma concentrations of
secoisolariciresinol (SECO), enterodiol (ED) and enterolactone were all
significantly raised in the groups supplemented with flaxseed lignan. The
observed cholesterol-lowering values were correlated with the concentrations of
plasma SECO and ED (r 0.128-0.302; P < 0.05 to < 0.001). In conclusion, dietary
flaxseed lignan extract decreased plasma cholesterol and glucose concentrations
in a dose-dependent manner.
PMID- 18053311
TI - Acute effects on metabolism and appetite profile of one meal difference in the
lower range of meal frequency.
AB - A gorging pattern of food intake has been shown to enhance lipogenesis and
increase body weight, which may be due to large fluctuations in storage and
mobilisation of nutrients. In a state of energy balance, increasing meal
frequency, and thereby decreasing inter-meal interval, may prevent large
metabolic fluctuations. Our aim was to study the effect of the inter-meal
interval by dividing energy intake over two or three meals on energy expenditure,
substrate oxidation and 24 h satiety, in healthy, normal-weight women in a state
of energy balance. The study was a randomised crossover design with two
experimental conditions. During the two experimental conditions subjects
(fourteen normal-weight women, aged 24.4 (SD 7.1) years, underwent 36 h sessions
in energy balance in a respiration chamber for measurements of energy expenditure
and substrate oxidation. The subjects were given two (breakfast, dinner) or three
(breakfast, lunch, dinner) meals per d. We chose to omit lunch in the two meals
condition, because this resulted in a marked difference in inter-meal-interval
after breakfast (8.5 h v. 4 h). Eating three meals compared with two meals had no
effects on 24 h energy expenditure, diet-induced thermogenesis, activity-induced
energy expenditure and sleeping metabolic rate. Eating three meals compared with
two meals increased 24 h fat oxidation, but decreased the amount of fat oxidised
from the breakfast. The same amount of energy divided over three meals compared
with over two meals increased satiety feelings over 24 h. In healthy, normal
weight women, decreasing the inter-meal interval sustains satiety, particularly
during the day, and sustains fat oxidation, particularly during the night.
PMID- 18053312
TI - Polymorphisms in the methylenetetrahydrofolate reductase (MTHFR) gene, intakes of
folate and related B vitamins and colorectal cancer: a case-control study in a
population with relatively low folate intake.
AB - Folate is key in one-carbon metabolism, disruption of which can interfere with
DNA synthesis, repair, and methylation. Efficient one-carbon metabolism requires
other B vitamins and the optimal activity of enzymes including 5,10
methylenetetrahydrofolate reductase (MTHFR). We report a population-based case
control study of folate intake, related dietary factors and MTHFR polymorphisms
(C677T, A1298C) and colorectal cancer in a population with relatively high
colorectal cancer incidence and relatively low folate intake. A total of 264
cases with histologically confirmed incident colorectal cancer and 408 controls
participated. There was no clear trend in risk with reported intakes of total, or
dietary, folate, riboflavin, vitamin B12 or vitamin B6, nor were there
interactions between folate intake and the other B vitamins or alcohol. For
C677T, risk decreased with increasing variant alleles (multivariate OR for CT v.
CC = 0.77 (95 % CI 0.52, 1.16); OR for TT v. CC = 0.62 (95 % CI 0.31, 1.24)),
which, although not statistically significant, was consistent with previous
studies. For A1298C, compared with AA subjects, CC subjects had modest, non
significant, reduced risk (multivariate OR = 0.81 (95 % CI 0.45, 1.49)). There
were significant interactions between total folate and C677T (P = 0.029) and
A1298C (P = 0.025), and total vitamin B6 and both polymorphisms (C677T, P =
0.016; A1298C, P = 0.033), although the patterns observed differed from previous
studies. Seen against the setting of low folate intake, the results suggest that
the role of folate metabolism in colorectal cancer aetiology may be more complex
than previously thought. Investigation of particular folate vitamers (for
example, tetrahydrofolate, 5,10-methylenetetrahydrofolate) may help clarify
carcinogenesis pathways.
PMID- 18053313
TI - Assessment of nutrient and water intake among adolescents from sports federations
in the Federal District, Brazil.
AB - Adolescents aged 11-14 years (n 326), belonging to organized sports federations
in the Federal District, Brazil were interviewed. Subjects (n 107) provided four
non-consecutive days of food consumption and 219 subjects provided two non
consecutive days of intake. The objective was to assess their nutrient and water
intake according to dietary reference intake values and their energy and
macronutrient intake by sex and sports groups they were engaged in: endurance,
strength-skill or mixed, according to the guidelines established by the American
College of Sport Medicine (ACSM). Dietary data were corrected for intra
individual variation. Total energy expenditure was higher among endurance
athletes (P < 0.001) following their higher training time (P < 0.001) when
compared to adolescents engaged in strength-skill or mixed sports. Total energy
intake was only significantly higher among endurance-engaged females (P = 0.05).
Protein intake of males was above the guidelines established by the ACSM for all
sports groups. All male sport groups fulfilled the intake levels of carbohydrate
per kg body weight but only females engaged in endurance sports fulfilled
carbohydrate guidelines. Intakes of micronutrients with low prevalence of
adequate intake were: vitamins B1, E and folate, magnesium and phosphorus. Few
adolescents ( < 5 %) presented adequate intake for calcium, fibre, drinking water
and beverages. For micronutrients, prevalence of adequacies were lower for
females than males, except for liquids and water. Nutrition guidance is needed to
help adolescents fulfil specific guidelines of macronutrient intake for their
sports and to improve their intake of micronutrients and water. Special attention
should be given to female adolescent athletes.
PMID- 18053314
TI - The prevalence of postoperative pain in a sample of 1490 surgical inpatients.
AB - BACKGROUND AND OBJECTIVE: To measure the prevalence of postoperative pain, an
assessment was made of 1490 surgical inpatients who were receiving postoperative
pain treatment according to an acute pain protocol. METHODS: Measurements of pain
(scores from 0 to 100 on a visual analogue scale) were obtained three times a day
on the day before surgery and on days 0-4 postoperatively; mean pain intensity
scores were calculated. Patients were classified as having no pain (score 0-5),
mild pain (score 6-40), moderate pain (score 41-74) or severe pain (score 75
100). RESULTS: Moderate or severe pain was reported by 41% of the patients on day
0, 30% on days 1 and 19%, 16% and 14% on days 2, 3 and 4. The prevalence of
moderate or severe pain in the abdominal surgery group was high on postoperative
days 0-1 (30-55%). A high prevalence of moderate or severe pain was found during
the whole of days 1-4 in the extremity surgery group (20-71%) and in the
back/spinal surgery group (30-64%). CONCLUSION: We conclude that despite an acute
pain protocol, postoperative pain treatment was unsatisfactory, especially after
intermediate and major surgical procedures on an extremity or on the spine.
PMID- 18053315
TI - Substance P is involved in the cutaneous blood flow increase response to
sympathetic nerve stimulation in persistently inflamed rats.
AB - Changed vascular functions have been reported in several pathological conditions,
such as chronic regional pain syndrome, obstructive vascular disease, and
inflammation. Our previous experiments also showed that electrical stimulation of
the lumbar sympathetic trunk (sympathetic stimulation: SS), which normally
induces a decrease in blood flow (BF), caused a BF increase in about half of the
measured sites in rats persistently inflamed with complete Freund's Adjuvant (AI
rats). We also showed that the BF-increase response was only partially suppressed
by the alpha1 antagonist at a higher dosage, suggesting the involvement of
nonadrenergic mechanisms. We hypothesize that nonadrenergic mechanisms mediating
vasodilatation might involve a vasodilating neuropeptide such as substance P (SP)
that is released from sympathetic nerve terminals. In this experiment, we
conducted an examination using an NK-1 receptor antagonist to determine whether
SP plays any role in changed response to SS in AI rats, and also an
immunohistochemical examination of whether SP is expressed in the lumbar
sympathetic nerve ganglia (SG) of AI rats. The administration of an NK-1 receptor
antagonist, CP-96,345, significantly reduced the BF-increase response to SS in AI
rats, but its inactive enantiomer, CP-96,344, had no effect. Immunohistochemistry
for SP revealed that SP-ir positive SG neurons (mean 13 neurons/rat) were found
in 5 of 8 AI rats, whereas only one neuron was stained in 8 control rats. These
results suggest that NK-1 receptor activation is involved in the BF-increase
response to SS, and that this activation is in part mediated by SP from lumbar SG
that was synthesized de novo in inflamed animals.
PMID- 18053317
TI - Effects of ezetimibe, simvastatin, atorvastatin, and ezetimibe-statin therapies
on non-cholesterol sterols in patients with primary hypercholesterolemia.
AB - BACKGROUND: Levels of cholesterol are regulated by its synthesis, absorption, and
elimination. Plasma levels of phytosterols (e.g., sitosterol, campesterol) and
ratios of these sterols to total cholesterol (TC) are reported to correlate with
efficiency of intestinal cholesterol absorption, whereas levels of certain
cholesterol precursor sterols (e.g., desmosterol, lathosterol) and their ratios
to TC correlate with cholesterol biosynthesis. However, there is a paucity of
published data concerning the effects of combined treatment using HMG-CoA
reductase inhibitors (statins) and a cholesterol absorption inhibitor (ezetimibe)
on these parameters. OBJECTIVES: To characterize the effects of ezetimibe co
administered with statins, compared with each treatment alone, on cholesterol
precursor sterols and plasma phytosterol levels. METHODS: A post-hoc analysis was
performed to determine the effects of treatment with ezetimibe 10 mg, simvastatin
(10-80 mg), and atorvastatin (10-80 mg), alone or in combination, on these non
cholesterol sterols using plasma samples from two randomized controlled trials
involving patients with primary hypercholesterolemia (low-density lipo protein
[LDL-C] = 145-250 mg/dL; triglycerides < or = 350 mg/dL; N = 975) but without a
recent (< or = 6-month) history of coronary heart disease (CHD) or either
uncontrolled or newly diagnosed diabetes mellitus. RESULTS: Ezetimibe monotherapy
significantly reduced plasma sitosterol and campesterol concentrations from
baseline compared with placebo (both p < 0.001), whereas statins significantly
lowered desmo sterol and lathosterol levels (p < 0.001 vs. placebo). Co
administration of ezetimibe and statins significantly decreased plasma levels of
all of these sterols (p < 0.001). CONCLUSIONS: The observed effects of co
administration of ezetimibe and statins on non-cholesterol sterols are consistent
with net inhibition of sterol absorption (driven by ezetimibe) in conjunction
with net inhibition of cholesterol synthesis (driven by statins). The potential
influence of treatment-induced changes in phytosterols on cardiovascular risk
warrants further investigation in long-term, prospective, randomized controlled
trials. This post-hoc study was by nature exploratory, and, because data from
such analyses are not customarily adjusted for multiple comparisons, some
associations may have emerged as statistically significant by chance. Future
prospective randomized controlled studies may help to confirm our findings and
address other research issues, such as the generalizability of our findings to
patients with CHD or diabetes mellitus and possible dose:response relationships
between escalating statin (or ezetimibe-statin) doses and circulating non
cholesterol levels.
PMID- 18053318
TI - Clopidogrel versus aspirin in patients with atherothrombosis: CAPRIE-based
calculation of cost-effectiveness for Germany.
AB - OBJECTIVES: To model the 2-year cost-effectiveness of secondary prevention with
clopidogrel versus aspirin (acetylsalicylic acid) (ASS) in German patients with
myocardial infarction (MI), ischaemic stroke (IS) or diagnosed with peripheral
arterial disease (PAD), based on CAPRIE trial data and from the perspective of
German third party payers (TPP). METHODS: An existing Markov model was adapted to
Germany by using German cost data. The model was extended by using different
datasets for cardiovascular event survival times (Framingham vs. Saskatchewan
health databases) and in two separate scenarios. RESULTS: The treatment with
clopidogrel leads to a reduction of 13.19 vascular events per 1000 patients, of
which 2.21 are vascular deaths. The overall incremental costs for the 2-year
management of atherothrombotic patients with clopidogrel instead of ASS are
calculated to be about euro1 241 440 per 1000 patients. The number of life-years
saved (LYS) has been calculated as the difference in the number of life-years
lost due to vascular death or events with ASS versus clopidogrel: it is 86.35 LYS
when analysis is based on Framingham data and 66.07 LYS with Saskatchewan-based
survival data. The incremental costs per LYS are euro14 380 and euro18 790,
respectively. Cost-effectiveness is sensitive to changes in survival data,
discounting and daily costs of clopidogrel, but stable against substantial (+/-
25%) changes in all other cost data. CONCLUSION: The findings for Germany are in
line with published results for Belgium (euro13 390 per LYS) and also with
results for Italy (euro17 500 per LYS), both based on Saskatchewan data, and with
a French analysis based on Framingham data (euro15 907 per LYS). Even if no
officially accepted cost-effectiveness threshold exists for Germany at present,
incremental cost-effectiveness results of less than euro20 000 per LYS for the
treatment with clopidogrel can be assumed to be acceptable for German third party
payers.
PMID- 18053319
TI - Comparison of costs of sublingual immunotherapy and drug treatment in grass
pollen induced allergy: results from the SIMAP database study.
AB - OBJECTIVES: This analysis is focused on the comparison of costs of allergic
rhinitis (R) alone or with allergic asthma (R + A) in grass pollen allergy, for
subjects treated with sublingual immunotherapy (SLIT) and symptomatic drugs,
versus standard care controls. METHODS: The SIMAP (Sublingual IMmunotherapy in
Allergic Patients) study is a longitudinal observational database operated by a
network of Allergy centers. Patients suffering from grass pollen allergy were
included in this analysis and assigned to SLIT (plus drugs as needed) or to
treatment with drugs alone. Outcome measures included use of medications, SLIT,
visits and tests. Costs were assessed from the perspective of the Italian
National Health Service; unit costs were obtained from published sources to
produce an average cost/patient for the first year after enrolment. RESULTS: One
hundred and two patients were analyzed. Demographics were comparable in the two
groups. Overall per patient yearly cost of treatment was higher in SLIT patients,
both in the whole sample (euro311 vs. euro180/patient), in the R (euro288 vs.
euro116) and R + A (euro362 vs. euro230) subpopulations, with R + A patients
generating more costs than R patients in both groups. Nevertheless considerable
savings were obtained in the cost of symptomatic drugs (-22% for R; -34% for R +
A) in SLIT patients. CONCLUSIONS: Other studies have shown that SLIT can reduce
the use of drugs for asthma and rhinitis, but this is the first time this outcome
has been demonstrated in a routine care population (in the medical practice
environment of an observational study) within the first year of treatment.
PMID- 18053320
TI - Exenatide effects on diabetes, obesity, cardiovascular risk factors and hepatic
biomarkers in patients with type 2 diabetes treated for at least 3 years.
AB - BACKGROUND: Exenatide, an incretin mimetic for adjunctive treatment of type 2
diabetes (T2DM), reduced hemoglobin A(1c) (A1C) and weight in clinical trials.
The objective of this study was to evaluate the effects of > or = 3 years
exenatide therapy on glycemic control, body weight, cardiometabolic markers, and
safety. METHODS: Patients from three placebo-controlled trials and their open
label extensions were enrolled into one open-ended, open-label clinical trial.
Patients were randomized to twice daily (BID) placebo, 5 mug exenatide, or 10 mug
exenatide for 30 weeks, followed by 5 mug exenatide BID for 4 weeks, then 10 mug
exenatide BID for > or = 3 years of exenatide exposure. Patients continued
metformin and/or sulfonylureas. RESULTS: 217 patients (64% male, age 58 +/- 10
years, weight 99 +/- 18 kg, BMI 34 +/- 5 kg/m(2), A1C 8.2 +/- 1.0% [mean +/- SD])
completed 3 years of exenatide exposure. Reductions in A1C from baseline to week
12 (-1.1 +/- 0.1% [mean +/- SEM]) were sustained to 3 years (-1.0 +/- 0.1%; p <
0.0001), with 46% achieving A1C < or = 7%. Exenatide progressively reduced body
weight from baseline (-5.3 +/- 0.4 kg at 3 years; p < 0.0001). Patients with
elevated serum alanine aminotransferase (ALT) at baseline (n = 116) had reduced
ALT (-10.4 +/- 1.5 IU/L; p < 0.0001) and 41% achieved normal ALT. Patients with
elevated ALT at baseline tended to lose more weight than patients with normal ALT
at baseline (-6.1 +/- 0.6 kg vs. -4.4 +/- 0.5 kg; p = 0.03), however weight
change was minimally correlated with baseline ALT (r = -0.01) or ALT change (r =
0.31). Homeostasis Model Assessment B (HOMA-B), blood pressure, and aspartate
aminotransferase (AST) all improved. A subset achieved 3.5 years of exenatide
exposure and had serum lipids available for analysis (n = 151). Triglycerides
decreased 12% (p = 0.0003), total cholesterol decreased 5% (p = 0.0007), LDL-C
decreased 6% (p < 0.0001), and HDL-C increased 24% (p < 0.0001). Exenatide was
generally well tolerated. The most frequent adverse event was mild-to-moderate
nausea. The main limitation of this study is the open-label, uncontrolled nature
of the study design which does not provide a placebo group for comparison.
CONCLUSION: Adjunctive exenatide treatment for > or = 3 years in T2DM patients
resulted in sustained improvements in glycemic control, cardiovascular risk
factors, and hepatic biomarkers, coupled with progressive weight reduction.
PMID- 18053321
TI - Review of the ethnobotany, chemistry, biological activity and safety of the
botanical dietary supplement Morinda citrifolia (noni).
AB - Morinda citrifolia, commonly called noni, has a long history as a medicinal plant
and its use as a botanical dietary supplement has grown tremendously in recent
years. This has prompted a concomitant increase in research on the phytochemical
constituents and biological activity of noni. A relatively large number of
scientific publications on noni have been published in recent years, including a
number of review articles. The goals of this review are to provide an updated
categorization of the phytochemical constituents found in noni and to provide
perspective for its extensive utilization as a major botanical dietary
supplement. Included herein are a comprehensive list of known ethnobotanical uses
and common names of M. citrifolia, a brief summary of relevant biological studies
and a discussion of the safety of noni as a supplement.
PMID- 18053322
TI - Preparation and characterization of quercetin-loaded polymethyl methacrylate
microcapsules using a polyol-in-oil-in-polyol emulsion solvent evaporation
method.
AB - Flavonoids and related compounds exhibit a wide range of useful pharmacological
properties but present challenges related to their stability and solubility in
commonly available solvents. In this study, polymethyl methacrylate (PMMA)
microcapsules were prepared using a novel polyol-in-oil-in-polyol (P/O/P)
emulsion solvent evaporation method as a means of stabilizing the flavonoids,
using quercetin as a model flavonoid drug. The morphology of the microcapsules
was evaluated using a scanning electron microscope, revealing a spherical shape
with a smooth surface. The cross-section image of the PMMA microcapsules prepared
with an amphiphilic polymer in the inner polyol phase showed that the
microcapsule was filled with several submicron microspheres. The mean diameter
varied from 1.03+/-0.12 microm to 2.39+/-0.42 microm, and the encapsulation
efficiency ranged from 12.7% to 26.9%. When free quercetin was stored at 42
degrees C, the residual quercetin content gradually decreased to 18% over 28 days
as a result of oxidation. However, when encapsulated in PMMA microcapsules with
an amphiphilic polymer in the inner polyol phase, the residual quercetin content
decreased to just 82%. In-vitro release studies indicated a sustained release
pattern throughout the 36-h study. The release kinetics of the microcapsules with
an amphiphilic polymer followed a diffusion-controlled mechanism and the
microcapsule without amphiphilic polymer followed an anomalous diffusion
behaviour. This study suggests that the novel P/O/P emulsion solvent evaporation
method can be applied to the encapsulation of flavonoids.
PMID- 18053323
TI - Influence of solid lipid microparticle carriers on skin penetration of the
sunscreen agent, 4-methylbenzylidene camphor.
AB - The objective of this study was to prepare lipid microparticles (LMs) loaded with
the sunscreen agent, 4-methylbenzylidene camphor (4-MBC), to achieve decreased
skin penetration of this UV filter. The microparticles were produced by the melt
dispersion technique using tristearin as lipidic material and hydrogenated
phosphatidylcholine as the surfactant. The obtained microparticles were
characterized by scanning electron microscopy and differential scanning
calorimetry. Release of 4-MBC from the LMs was found to be slower than its
dissolution rate. The influence of the LMs' carrier system on percutaneous
penetration was evaluated after their introduction in a model topical formulation
(emulsion). In-vitro measurements were performed with cellulose acetate membranes
in Franz diffusion cells. The 4-MBC release and diffusion was decreased by 66.7
77.3% with the LM formulation, indicating that the retention capacity of the
microparticles was maintained after incorporation into the emulsion. In-vivo
human skin penetration of 4-MBC was investigated by tape stripping, a technique
for selectively removing the upper cutaneous layers. The amount of sunscreen
penetrating into the stratum corneum was greater for the emulsion containing non
encapsulated 4-MBC (36.55% of the applied dose) compared with the formulation
with the sunscreen-loaded microparticles (24.57% of the applied dose). The
differences between the two formulations were statistically significant in the
first (2-4) horny layer strips. Moreover, the LMs' effect measured in-vivo was
less pronounced than in-vitro. The increased 4-MBC retention on the skin surface
achieved by its incorporation in the LMs should enhance its efficacy and reduce
the potential toxicological risk associated with skin penetration.
PMID- 18053325
TI - Potentiating effect of beta-caryophyllene on anticancer activity of alpha
humulene, isocaryophyllene and paclitaxel.
AB - beta-caryophyllene is a sesquiterpene widely distributed in essential oils of
various plants. Several biological activities are attributed to beta
caryophyllene, such as anti-inflammatory, antibiotic, antioxidant,
anticarcinogenic and local anaesthetic activities. In this work, the potentiating
effect of beta-caryophyllene on the anticancer activity of alpha-humulene,
isocaryophyllene and paclitaxel against MCF-7, DLD-1 and L-929 human tumour cell
lines was evaluated. A non-cytotoxic concentration of beta-caryophyllene
significantly increased the anticancer activity of alpha-humulene and
isocaryophyllene on MCF-7 cells: alpha-humulene or isocaryophyllene alone (32
microg mL(-1)) inhibited cell growth by about 50% and 69%, respectively, compared
with 75% and 90% when combined with 10 microg mL(-1) beta-caryophyllene.
Moreover, beta-caryophyllene potentiated the anticancer activity of paclitaxel on
MCF-7, DLD-1 and L-929 cell lines. The highest potentiating effect was obtained
in DLD-1 cells treated with paclitaxel combined with 10 microg mL(-1) beta
caryophyllene, which increased the paclitaxel activity about 10-fold. The
intracellular accumulation of paclitaxel-oregon green was evaluated in
combination with concentrations of beta-caryophyllene ranging from 2.5 to 40
microg mL(-1). beta-Caryophyllene (10 microg mL(-1)) significantly increased the
intracellular accumulation of paclitaxel-oregon green (about 64% over controls).
Moreover, beta-caryophyllene induced intracellular accumulation of calcein but
not verapamil, an inhibitor of P-glycoprotein and multidrug resistance related
protein transporters, suggesting that beta-caryophyllene promotes drug
accumulation by a different mechanism of action. These results suggest that beta
caryophyllene facilitates the passage of paclitaxel through the membrane and thus
potentiates its anticancer activity.
PMID- 18053324
TI - Cod liver oil supplementation improves cardiovascular and metabolic abnormalities
in streptozotocin diabetic rats.
AB - Abnormalities in the metabolism of essential fatty acids and the results of
increased oxidative stress have been implicated in cardiovascular disorders
observed in diabetes mellitus. This study, therefore, aimed to investigate the
effects of cod liver oil (CLO, Lysi Ltd, Iceland), which comprises mainly an
antioxidant vitamin A, n:3 polyunsaturated fatty acids (n:3 PUFAs),
eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA), on cardiovascular
abnormalities in streptozotocin (STZ)-diabetic rats. Two days after single STZ
(55 mg kg(-1), i.p.) or vehicle injection, diabetes was verified by increased
blood glucose, and non-diabetic and diabetic rats were left untreated or treated
with CLO (0.5 mL kg(-1) daily, by intragastric probing) for 12 weeks. Plasma
glucose, triacylglycerol and cholesterol concentrations were significantly
elevated in 12-week untreated-diabetic rats; CLO provided better weight gain,
entirely prevented the plasma lipid abnormalities, but partially controlled the
glycaemia in diabetic rats. In isolated aorta rings, diabetes resulted in
increased phenylephrine-induced vasoconstriction and isoprenaline-induced
vasorelaxation, impaired endothelium-dependent vasodilatation and unchanged
responsiveness to sodium nitroprusside. CLO treatment completely prevented
endothelial deficiency, partly corrected the phenylephrine-induced
vasoconstriction and did not affect the responses to isoprenaline and sodium
nitroprusside in diabetic aorta. Diabetes also produced a marked decrease in the
rate of spontaneously beating right atria and a significant increase in basal
contractile force of left ventricular papillary muscle. The responsiveness of
right atria to the positive chronotropic effect of isoprenaline was significantly
decreased in diabetic rats, and was increased in CLO-treated diabetic rats. The
positive chronotropic effect of noradrenaline was markedly increased in diabetic
atria, but prevented by CLO treatment. Diabetes also resulted in an increased
positive inotropic response of papillary muscle to both noradrenaline and
isoprenaline, which were prevented by CLO treatment. CLO treatment also resulted
in lower tissue sensitivity (pD(2)) to these agonists in diabetic papillary
muscle. Ventricular hydroxyproline content was found to be unchanged among the
experimental groups. The ultrastructure of diabetic myocardium displayed various
degenerations (i.e. intracellular oedema, myofibrillar fragmentation, condensed
pleomorphic mitochondria, thick capillary irregular basement membrane, swollen
endothelial cells), which were partially prevented by CLO treatment. We conclude
that the supplementation with CLO is effective in preventing cardiovascular
disorders observed in experimental diabetes.
PMID- 18053326
TI - Substituted tetrahydroisoquinoline compound B3 inhibited P-glycoprotein-mediated
multidrug resistance in-vitro and in-vivo.
AB - P-glycoprotein (P-gp) mediated multidrug resistance (MDR) is one of the main
obstacles in tumour chemotherapy. A promising approach to reverse MDR is the
combined use of nontoxic and potent P-gp inhibitor with conventional anticancer
drugs. We have examined the potential of a newly synthesized
tetrahydroisoquinoline derivative B3 as a MDR-reversing agent. The MTT (3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide) assay was used to examine
the effect of B3 on the cytotoxicity in K562/A02 and MCF-7/ADM cells caused by
doxorubicin (adriamycin). Accumulation and efflux of P-gp substrate rhodamine123
in K562/A02 and primary cultured rat brain microvessel endothelial cells (RBMECs)
were measured to evaluate the inhibitory effect of B3 on P-gp. The K562/A02
xenograft model in nude mice was established to examine MDR-reversing efficacy of
B3 in-vivo. The results indicated that co-administration of B3 resulted in an
increase on chemosensitivity of K562/A02 and MCF-7/ADM cells to doxorubicin in a
dose-dependent manner. Rhodamine123 accumulation in K562/A02 cells and RBMECs
were significantly enhanced after the incubation with various concentrations of
B3. Furthermore, B3 inhibited the efflux of rhodamine123 from RBMECs. Co
administration of B3 with doxorubicin significantly decreased weight and volume
of tumour in nude mice. In conclusion, B3 is a novel and potent MDR reversal
agent with the potential to be an adjunctive agent for tumour chemotherapy.
PMID- 18053327
TI - Bicyclol, a synthetic dibenzocyclooctadiene derivative, decreases hepatic lipids
but increases serum triglyceride level in normal and hypercholesterolaemic mice.
AB - Bicyclol is used for the treatment of chronic hepatitis B in China. In this
study, the effects of bicyclol (100 or 300 mg kg(-1), p.o.) on serum and liver
lipid contents were investigated in both normal and experimentally induced
hypercholesterolaemic mice. Hypercholesterolaemia was induced by either oral
administration of cholesterol/bile salt or feeding a diet containing
lard/cholesterol. Daily administration of bicyclol for 7 days dose-dependently
increased the serum triglyceride level (29-80%) but slightly decreased the
hepatic total cholesterol level (12-17%) in normal mice. Co-administration of
bicyclol with cholesterol/bile salt decreased the hepatic triglyceride and total
cholesterol levels (7-15% and 25-31%, respectively), when compared with the drug
untreated and cholesterol/bile salt-treated group. Bicyclol treatment for 7 days
decreased hepatic triglyceride (5-76%) and total cholesterol (5-48%) levels in
mice fed with high-fat/cholesterol diet. In contrast, bicyclol treatment
increased the serum triglyceride level (18-77%) in mice treated with
cholesterol/bile salt or fed with high-fat/cholesterol diet. Bicyclol treatment
also caused an increase in hepatic index of normal and hypercholesterolaemic mice
(3-32%). The results indicate that bicyclol treatment can invariably decrease
hepatic lipid levels and increase serum triglyceride levels in normal and
hypercholesterolaemic mice.
PMID- 18053328
TI - Coptidis Rhizoma attenuates repeated nicotine-induced behavioural sensitization
in the rat.
AB - Repeated injections of nicotine can produce an increase in locomotor activity and
the expression of immediate-early gene, c-fos, in the central dopaminergic areas.
Many studies have shown that Coptidis Rhizoma (CR) and its main alkaloid
compound, berberine (BER), have a suppressive effect on the central nervous
system. We examined the influence of CR or BER on repeated nicotine-induced
locomotor activity in rats and the change of c-Fos expression in the brain by
using immunohistochemistry. Male Sprague-Dawley rats were given CR and BER before
repeated injections of nicotine hydrochloride (0.4 mg kg(-1), s.c.) twice daily
for 7 days. After 3 days withdrawal, rats received a challenge injection of
nicotine. Pretreatment with CR (100 mg kg(-1), i.p.) and BER (100 mg kg(-1),
i.p.) significantly inhibited the nicotine-induced locomotor activity and
expression of c-Fos in the striatum and the nucleus accumbens. These results
suggest that CR and BER may produce inhibitory effects of nicotine on behavioural
sensitization by possibly reducing postsynaptic neuronal activation in the
central dopaminergic systems.
PMID- 18053329
TI - Chemistry and biology of antioxidants.
PMID- 18053330
TI - Antioxidant properties of phenols.
AB - The current understanding of the antioxidant properties of phenols (in
homogeneous solutions) is reviewed, with particular emphasis on the role of the
solvent. Phenols (ArOH) are known to reduce the rates of oxidation of organic
matter by transferring a H atom (from their OH groups) to the chain-carrying ROO*
radicals, a mechanism that most likely involves a concerted transfer of the
hydrogen as a proton and of one electron between the two oxygen atoms, O-H---O*
(proton-coupled electron transfer mechanism). The antioxidant capabilities of
phenols are strongly reduced by hydrogen-bond accepting solvents since the
hydrogen-bonded molecules ArOH---S are virtually unreactive toward ROO* radicals.
The magnitude of these kinetic solvent effects is determined by the solute
acidity alpha(2)(H) of ArOH (range 0 to 1) and solvent basicity beta(2)(H) (range
0 to 1). Hydroxyl solvents (alcohols) have a double effect on ArOH. On the one
hand, they act as hydrogen-bond accepting solvents and reduce the conventional
rates of the ArOH + ROO* reaction. On the other hand, these solvents favour the
ionization of ArOH into their phenoxide anions ArO(-), which may react with ROO*
very rapidly by electron transfer (sequential proton loss electron transfer
mechanism). The overall effect is therefore determined by the ionization degree
of ArOH. Other aspects of the kinetics and thermodynamics of ArOH + ROO* are also
discussed.
PMID- 18053331
TI - Peroxynitrite and nitrosoperoxycarbonate, a tightly connected oxidizing-nitrating
couple in the reactive nitrogen-oxygen species family: new perspectives for
protection from radical-promoted injury by flavonoids.
AB - Peroxynitrite is the product of the reaction of nitric oxide with superoxide
radical and is implicated in the pathogenesis of a wide variety of human
diseases, being responsible for in-vivo oxidation/nitration events.
Nitrosoperoxycarbonate anion, formed by the interaction of peroxynitrite with
CO(2)/bicarbonate at physiological concentrations, provides a new interpretation
of oxidative/nitrative processes formerly attributed to peroxynitrite. The aim of
this review is to summarize the chemistry and biology of peroxynitrite and
radical species related to nitrosoperoxycarbonate anion, as well as the
information available regarding the molecular mechanisms that determine and
regulate radical-promoted injury by the two tightly connected species at
physiological concentrations. Interception of carbonate and nitro radicals
produced by interaction of peroxynitrite with CO(2)/bicarbonate, as in-vivo
prevention of pathological events, creates new perspectives for the evaluation of
safe scavengers of oxidative/nitrative stress at the physiological level. In this
respect, natural products such as flavonoids hold a preeminent position among the
vast array of compounds endowed with such properties.
PMID- 18053332
TI - Efficient synthesis of polyoxygenated flavones from naturally occurring
flavanones.
AB - Flavonoids are constituents of the human diet (they are present in many beverages
and food), and in organisms they are responsible for several biological
functions, including that of antioxidant. Because of the increasing interest in
these molecules, methods for their synthesis and structural modification are of
great importance; studies on the biological activities of many of these compounds
are insufficient because of their scarcity and/or high cost. We have developed an
expeditious synthesis of polyoxygenated flavones, starting from available and
inexpensive flavanones, using a bromination-methoxylation procedure. A series of
flavonoids that are not otherwise accessible can be prepared using this method.
As an example, 3'-demethoxysudachitin, a limited flavone possessing antimicrobial
activity against methicillin-resistant Staphylococcus aureus and Helicobacter
pylori and acting as a 2,2-diphenyl-1-picrylhydrazyl (DPPH) scavenger, was
prepared in fairly satisfactory yield.
PMID- 18053333
TI - Radical-scavenging polyphenols: new strategies for their synthesis.
AB - New strategies for the synthesis of polyphenols, compounds with antioxidant
properties contained in every kind of plants, are discussed. Syntheses of
different classes of polyphenols, namely ubiquinones, present in many natural
systems in which electron-transfer mechanisms are involved, hydroxytyrosol, one
of the main components of the phenol fraction in olives, and flavonoids,
widespread in the plant kingdom, were approached by simple and environmentally
sustainable methods.
PMID- 18053334
TI - Involvement of plasma membrane redox systems in hormone action.
AB - Reactive oxygen species (ROS) is the common name used to describe the partially
reduced forms of molecular oxygen that may be generated in cells during oxidative
metabolism. They are normally considered to be toxic, and cells possess various
defence systems to protect themselves including antioxidant enzymes and low
molecular weight antioxidants like vitamin C and vitamin E. However, it is now
clear that small amounts of ROS also act as messenger molecules in cell signal
transduction pathways; the plasma membrane of eukaryotic cells in particular
contains a variety of different ROS-producing oxidases and reductases, of which
the best characterized are the superoxide-producing NADPH oxidases. It has been
known for many years that membrane redox activity can be changed rapidly by
various hormones and growth factors, but the molecular mechanisms involved and
the physiological importance of this phenomenon have only recently begun to be
unveiled. This review summarizes the state of the art on plasma membrane-based
ROS signalling in the pathways of insulin, steroid and thyroid hormones and
growth factors. The apparent paradox of ROS being essential biomolecules in the
regulation of cellular functions, but also toxic by-products of metabolism, may
be important for the pharmacological application of natural and synthetic
antioxidants.
PMID- 18053336
TI - Protective effect of icariin on DNA against radical-induced oxidative damage.
AB - Icariin (2-(4'-methoxylphenyl)-3-rhamnosido-5-hydroxyl-7-glucosido-8-(3'-methyl-2
butylenyl)-4-chromanone) is a flavonoid with a rhamnose as ligand. It is the
major component in Herba epimedii, widely used for the treatment of
atherosclerosis and neuropathy in Chinese traditional medicine, and its
antioxidative property has attracted much scientific interest. The major
objective of this work is to determine the antioxidative effect of icariin
against oxidative DNA damage induced by 2,2'-azobis(2-amidinopropane)
dihydrochloride (AAPH). The oxidative damage of DNA was followed by measuring the
formation of carbonyl compounds that can react with thiobarbituric acid (TBA) to
form thiobarbituric acid reactive substance (TBARS). We found that icariin
protects DNA against AAPH-induced oxidative damage in a concentration-dependent
manner, although it does not affect the rate of AAPH-induced DNA damage. This
result indicates that icariin is a concentration-dependent chemopreventor in
protecting DNA against radical-induced damage.
PMID- 18053335
TI - Antioxidant activity of 4-methylcoumarins.
AB - Polyphenolic coumarins are known to act as antioxidants in biological systems,
but it is difficult to distinguish their antioxidant activity from the many other
effects they produce in cells. We have determined the radical scavenging capacity
of 22 structurally related natural and synthetic 4-methylcoumarins, by measuring
their reaction with radicals, galvinoxyl and 2,2-diphenyl-1-picrylhydrazyl, using
electron paramagnetic resonance spectroscopy. Efficient antioxidant activity of 4
methylcoumarins in cells was verified using the DCF fluorescent probe assay for
determination of intracellular reactive oxygen species levels. As expected, the o
dihydroxysubstituted coumarins were found to be excellent radical scavengers and
better than the m-dihydroxysubstituted or monohydroxysubstituted analogues, but
surprisingly the corresponding o-diacetoxy derivatives also turned out to be good
scavengers, even in the absence of an esterase. Another unexpected result was
that the antioxidant efficiency of 4-methylcoumarins could be modulated by
introducing an ethoxycarbonylethyl substituent at the C-3 position; this effect
cannot be explained by simple electron donating/withdrawing properties. Coumarin
concentrations of 10 microM or less were used in all experiments, corresponding
to the levels relevant for therapeutic purposes. Considering that 4
methylcoumarins, in contrast to many other coumarins, are not metabolized to
toxic epoxide intermediates, these results indicate promising new strategies for
the design of non-toxic antioxidant coumarin-based drugs.
PMID- 18053337
TI - In-vivo and in-vitro studies on the effect of Huang-Lian-Jie-Du-Tang on
nimodipine transport across rat blood-brain barrier.
AB - Huang-Lian-Jie-Du-Tang (HLJDT), an aqueous extract of Rhizoma Coptidis, Radix
Scutellariae, Cortex Phellodendri and Fructus Gardeniae (3:2:2:3) is an important
multi-herb remedy in traditional Chinese medicine (TCM). The aim of this study
was to evaluate the effect of HLJDT on nimodipine transport across rat blood
brain barrier (BBB). It was found that in-vivo the brain concentrations of
nimodipine significantly increased when rats were pretreated with HLJDT. In
vitro, the serum of HLJDT-treated rats increased the accumulation of nimodipine
in primary cultured rat brain microvessel endothelial cells (rBMECs) and
decreased the expression of P-glycoprotein (P-gp) on rBMECs. Our previous study
showed that the peak concentration of baicalin and berberine in rats after
administration of HLJDT was 5 mug mL(-1) and 10 ng mL(-1), respectively. This
study showed that 5 mug mL(-1) baicalin significantly increased the accumulation
of nimodipine in rBMECs, while 10 ng mL(-1) berberine had no effect on the
accumulation of nimodipine in rBMECs. Both the in-vivo and in-vitro experimental
findings indicated that HLJDT pretreatment may alter the transport of nimodipine
across rat BBB.
PMID- 18053340
TI - HIV-related pulmonary disorders: practice issues.
AB - Pulmonary disease is the major cause of morbidity and mortality in infants and
children infected with the human immunodeficiency virus (HIV). Diagnosis and
management is often difficult in the resource-limited setting, especially as most
HIV-related pulmonary disease presents in infancy or early childhood. Knowledge
of the causes of pulmonary disease in HIV-infected children in that setting has
improved considerably over the last decade, as has the availability of effective
treatment for all HIV-infected children, such as cotrimoxazole preventive therapy
and antiretroviral therapy. Important causes of acute bacterial pneumonia in HIV
infected children include bacteria such as pneumococci, gram-negatives and
staphylococci. Pneumocystis pneumonia is particularly common in HIV-infected
infants and a common cause of death. Cytomegalovirus is also found frequently in
infants with pneumonia, often as a co-infection with PcP. Tuberculosis (TB) is
increasingly recognised as a common cause of acute pneumonia as well as chronic
pulmonary disease in regions endemic for TB/HIV. Other important causes of
chronic lung disease in HIV-infected children include lymphocytic interstitial
pneumonitis and bronchiectasis. This review aims to address practical issues that
health workers often face in the management of acute or chronic pulmonary disease
presenting in HIV-infected children in the resource-limited setting.
PMID- 18053338
TI - Modulatory effect of seabuckthorn leaf extract on oxidative stress parameters in
rats during exposure to cold, hypoxia and restraint (C-H-R) stress and post
stress recovery.
AB - This study was carried out to examine the antioxidative potential, if any, of
seabuckthorn leaf aqueous extract, administered orally in rats at a dose of 100
mg kg(-1) both in single and five doses, 30 min before cold (5 degrees C)-hypoxia
(428 mm Hg)-restraint (C-H-R) exposure. The effect of the extract was studied on
lipid peroxidation and antioxidant parameters in liver and gastrocnemius muscle
of rats on attaining the rectal temperature (T(rec)) of 23 degrees C during C-H-R
exposure and after recovery (T(rec)37 degrees C) from C-H-R-induced hypothermia.
In untreated rats exposed to C-H-R, there was a significant increase in
malondialdehyde (MDA) levels in liver and muscle along with decreased activity of
catalase (CAT) and glutathione-S-transferase (GST) in liver and muscle. Single-
and five-dose extract treatment restricted the increase in liver and muscle MDA
levels and five doses of extract treatment further improved the levels of liver
antioxidants, viz. reduced glutathione (GSH), on recovery of T(rec)37 degrees C,
increased superoxide dismutase (SOD) during exposure and recovery, normalized CAT
activity in liver during C-H-R exposure and an increase on recovery of T(rec)37
degrees C. The decreasing pattern of liver and muscle GST levels both in single
dose and five-dose extract treated rats was similar to that in untreated rats.
Results suggested that supplementation with seabuckthorn extract helps to reduce
oxidative stress in liver and muscle of rats during C-H-R exposure and post
stress recovery.
PMID- 18053341
TI - Clinical profile of sickle cell disease in Yemeni children.
AB - The clinical spectrum of sickle cell disease (SCD) in the Arabian Peninsula
varies widely. This is the first report in Yemeni children. METHODS: A hospital
based, cross-sectional study was undertaken in Al-Wahada Teaching Hospital in
Aden of children under 16 years with homozygous (SS) SCD. RESULTS: Fifty-six
(55%) were males. There were clinical manifestations in 20% by the age of 6
months and in 67%, 88% and 92% by 1, 2 and 3 years, respectively. Dactylitis
(hand-foot syndrome) was the most common presenting symptom and occurred in 54%
of cases, followed by acute respiratory infections and other acute febrile
illnesses. The main causes of hospitalisation were painful crisis (36%), anaemic
crisis (16%) and acute chest syndrome (11%). Hepatomegaly was detected in 72% and
splenomegaly in 40%. Cerebrovascular accident, cholelithiasis, hepatic crisis and
leg ulcers each occurred in about 5% of patients. There was first- and second
degree consanguinity in 31% and 16%, respectively, of patients' families.
CONCLUSION: SCD is a serious problem, affecting children in Yemen from an early
age. Disease course and severity were similar to that in Africans and American
blacks and some reports from western Saudi Arabia. A screening programme linked
to comprehensive medical care and genetic counselling is required to improve
management and quality of life.
PMID- 18053342
TI - Experience of anti-retroviral treatment for HIV-infected children in Malawi: the
1st 12 months.
AB - INTRODUCTION: Malawi is a resource-poor country in central southern Africa with
an estimated 91,000 HIV-infected children. A national public sector
antiretroviral treatment (ART) scale-up programme was commenced in 2004. AIM: The
experience and results of the 1st 12 months of free ART for HIV-infected children
from a public sector hospital in Malawi is reported. METHODS: Demographic and
clinical data were collected at the commencement of ART and during treatment of
all children who attended the clinic at Queen Elizabeth Central Hospital,
Blantyre from 1 August 2004 to 31 July 2005. RESULTS: ART was prescribed for 238
children during the 1st 12-month period. Of these, 196 were ART-naive and 42 had
previously begun ART elsewhere. There were 128 (53.8%) males. Median age of the
196 ART-naive children was 87 months (range 7-212); 173 (88.3%) had WHO clinical
stage III disease and 23 (11.7%) had WHO clinical stage I or II disease. Weight
for-age and weight-for-height Z-scores improved significantly with treatment. By
31 July 2005, 194 (81.5%) of the 238 children who attended the clinic were alive
and on treatment, 20 (8.4%) had died, 19 (8.0%) were lost to follow-up and 5
(2.1%) had been transferred to other health facilities. CONCLUSIONS: In a
resource-poor setting with only clinical monitoring available, children can
feasibly and effectively be treated with ART. Lack of appropriate laboratory
facilities, extra staff and paediatric drug formulations, although not ideal,
should not prevent commencement of ART for children in such a setting.
PMID- 18053343
TI - Micronutrients and T-cell subsets: a comparison between HIV-infected and
uninfected, severely malnourished Rwandan children.
AB - OBJECTIVE: To determine the levels of CD4+ cells and micronutrients in HIV
infected and uninfected severely malnourished children. DESIGN: Cross-sectional
study in two centres. SETTING: Children admitted to the malnutrition units in
Kigali and Butare, Rwanda. PATIENTS: A total of 112 children aged 2 months to 5
years presenting with severe malnutrition (weight for height Z- score -3 SD +/-
oedema). Fifty-two (46.4%) were HIV-infected. METHODS: CD4+ counts, selenium,
zinc and copper levels were measured. The percentage of CD4 cells was calculated
as a proportion of total lymphocyte count. RESULTS: The mean age of the 52 HIV
infected children (18 months) was lower than of the 60 uninfected children (26
months) (p=0.01). Six (11.5%) of the HIV-infected had oedematous malnutrition
compared with 50% of the uninfected group. The mean (SD) CD4+ count was 1054
(780) in the HIV-infected and 1579 (721) in the uninfected group (p=0.001). The
CD4+ count was also significantly lower in the HIV-infected group than in the
uninfected group for the ages <12 mths (p=0.09), 12-24 mths (p=0.045) and >36
mths (p=0.001). In HIV-infected children, 17% had severe immunosuppression (<15%
CD4+ cells), 33% moderate (15-24%) and 50% had none (>25%) compared with 9%, 12%
and 80% in the HIV-uninfected group, respectively (p<0.001). Approximately one
third in both groups had low levels of selenium and zinc and 77% had raised
levels of copper. In multivariate analysis there was significant correlation
between selenium and CD4+ (r=0.36, p<0.001) in HIV-infected children and no
correlation of zinc and copper to CD4+ %. In HIV uninfected children, CD4+ % was
related to selenium (r=0.282, p=0.03) and to zinc (r=0.264, p=0.047) but not to
copper. CONCLUSIONS: In severely malnourished children with HIV infection, low
CD4+ levels are associated mainly with HIV infection. There was no significant
difference in levels of selenium, zinc and copper between HIV-infected and
uninfected children.
PMID- 18053344
TI - Kawasaki disease in south India: a prospective, case-control study.
AB - AIM: To describe the clinical and laboratory profile of Kawasaki disease in south
India and compare patients with normal and abnormal cardiac status. METHODS: This
was a nested case-control study. All patients admitted to Kanchi Kamakoti CHILDS
Trust Hospital, Chennai with Kawasaki disease between January 2002 and March 2006
were included. They were stratified into two groups: cases, who had abnormal
echocardiography (ECHO), and controls, who had normal ECHO. All clinical and
laboratory investigations, results of echocardiography and management were
recorded and follow-up was organised. RESULTS: Of 56 patients, 36 (64.3%) were
boys and 58.9% were under 3 years of age. Only 17.9% had an atypical
presentation. Oral cavity changes and cervical lymphadenopathy were the most
common clinical parameters and were detected in 85.7%. Thrombocytosis and
elevated CRP on admission were seen in 41% and 100%, respectively.
Echocardiography was abnormal in 27 (48.2%). Apart from raised CRP, which was
higher in those with cardiac abnormality, the clinical and laboratory profiles
were similar in the two groups. CONCLUSION: Kawasaki disease is not uncommon in
south India. The clinical and laboratory profiles were similar between those with
and without cardiac echocardiographic abnormality.
PMID- 18053345
TI - Genital lesions complicating female genital cutting in infancy: a hospital-based
study in south-east Nigeria.
AB - BACKGROUND: Despite the global outcry against female genital cutting (FGC), the
practice continues in many African communities. The morbidity of this practice on
the girl child deserves more attention. OBJECTIVE: To determine the genital
lesions complicating childhood FGC and the underlying factors that sustain this
practice among the Igbos in south-east Nigeria. METHODS: Prospective evaluation
of girls with genital complications of FGC between January 2003 and June 2005 at
the Federal Medical Centre, Owerri, south-east Nigeria. The girls' mothers were
interviewed at presentation and subsequent visits to determine their perception
and attitudes towards FGC. RESULTS: The average age at presentation was 3.5 years
(range 1-5) and the genital cutting procedures were performed 8-90 days after
birth. The procedure was undertaken by traditional practitioners in 14 (66.7%)
girls and by nurses in 7 (33.3%) girls. Twelve girls (57.1%) had type I genital
cutting and nine (42.9%) type II. Inclusion clitoral dermoid cyst and labial
fusion were the complications in 13 (61.9%) and eight (38.1%), respectively.
Treatment involved complete excision of the cysts and operative division of the
labial fusion. Post-operative complications were wound infection (5) and labial
adhesion (2). With duration of admission ranging from 3 to 5 days, the average
cost of managing each child was $120. Of the girls' mothers, 15 (71.4%) had been
educated to secondary level and 17 (80.1%) were aware of the campaign against
FGC. Regrettably, all the mothers had genital cutting themselves during childhood
and 13 (61.9%) stated that they would like to perpetuate the practice for socio
cultural reasons. Despite this, they all volunteered that FGC is enforced by the
child's paternal relations. CONCLUSION: Childhood FGC contributes to appreciable
morbidity among girls, a large proportion of whom are not managed in a hospital
setting. The cost of managing these complications can be enormous, especially in
low-resource settings. Girl child education to tertiary level and the involvement
of traditional rulers and local decision-makers in the campaign against FGC might
help to eradicate the practice.
PMID- 18053346
TI - Socio-economic status and hearing loss in chronic suppurative otitis media in
Nigeria.
AB - BACKGROUND: Hearing loss is reported in about 50% of cases of chronic suppurative
otitis media (CSOM). AIM: To report the prevalence, type and severity of hearing
loss in CSOM and identify risk factors. METHODS: A prospective study of hearing
loss in CSOM was undertaken in University College Hospital, Ibadan, two general
hospitals and two primary health care centres, all in densely populated, semi
urban areas in south-west Nigeria. Controls were selected from school children,
hospital workers' children and children whose parents were visiting the
hospitals, all of whom claimed that there had been no episode of otitis media in
the past. An oral questionnaire was administered to all, followed by audiometry
and examination of the ear, nose and throat in study children. RESULTS: There
were 189 CSOM subjects and 100 controls aged between 4 and 150 months [mean (SD)
59.25 (44.55), 173 girls and 116 boys, M:F 1.5:1]. The prevalence of hearing loss
in CSOM was 89/189 (47%) and was conductive in 73/89 (82%) and sensorineural
(SHL) in 16/89 (18%). Of the subjects with hearing loss, 72% had had otitis media
during the 1st year of life. Of the 89, 61 (69%) were of low social class, 13/37
(35%) were middle class and 15/63 (24%) upper class. The duration of CSOM ranged
from 4 weeks to 12 years [mean (SD) 4 yrs (2.04)] and from 5 to 12 years in those
with SHL [mean (SD) 9 yrs (6.21)]. There was significant correlation between
socio-economic status and hearing loss (r=0.138, p=0.02) while no correlation was
found with upper respiratory infection (r=0.054, p=0.36), age of onset (r=0.037,
p=0.62) or frequency of attacks (r=-0.068, p=0.35). CONCLUSION: About one fifth
of patients with CSOM have SHL. Early diagnosis and management of CSOM is
imperative to improve outcome. CSOM is strongly associated with low socio
economic status.
PMID- 18053347
TI - Performance of a rapid antigen test for the diagnosis of congenital malaria.
AB - OBJECTIVE: To assess the performance of OptiMAL, a rapid malaria antigen capture
dipstick, in diagnosing congenital malaria. METHODS: Live newborns aged 0-3 days,
delivered at Olabisi Onabanjo University Teaching Hospital, Sagamu, Nigeria
between August 2004 and January 2005, were screened for malaria parasitaemia with
an immunochromatographic test (OptiMAL) and blood film microscopy. OptiMAL
detects plasmodium lactate dehydrogenase (pLDH). RESULTS: Twenty-one of 192
newborns (10.9%) were diagnosed with congenital malaria by blood film microscopy.
The OptiMAL test was negative in all infants. CONCLUSION: OptiMAL rapid malaria
antigen capture dipstick might not be useful for diagnosing malaria parasitaemia
in newborns. Blood film microscopy remains the gold standard for the diagnosis of
congenital malaria.
PMID- 18053348
TI - Kawasaki disease presenting as hepatitis.
AB - Kawasaki disease (KD) presenting with an acute hepatitic picture is exceedingly
rare. A 3.5-year-old girl presented with acute hepatitis and went on to satisfy
the criteria for the diagnosis of KD for which she was treated. There were no
cardiac abnormalities or sequelae.
PMID- 18053349
TI - Cutaneous anthrax involving the genital area.
AB - A 5-year-old girl was admitted with a lesion of the labia majora which was found
to be owing to anthrax. She had been licked on the hand by a sick cow (which
subsequently died) 5 days prior to admission to a health clinic and 8 days before
admission to hospital.
PMID- 18053350
TI - Churg-Strauss syndrome presenting as an abdominal mass in a non-asthmatic child.
AB - A 2-year-old boy presented with an abdominal mass and was diagnosed as Churg
Strauss syndrome (CSS). There was no history of asthma. He developed fatal gastro
intestinal disease, despite treatment with corticosteroids and cyclophosphamide.
CSS is extremely rare in young children and gastro-intestinal involvement might
carry a worse prognosis than in adults.
PMID- 18053351
TI - An unusual complication of femoral vein catheterisation: pneumoperitoneum.
AB - A 2-month-old girl with severe pneumonia required a central venous line. Femoral
vein catheterisation was attempted but insertion was difficult. Pneumoperitoneum
developed, which is a rare complication of femoral vein catheterisation. It is
important when undertaking femoral vein catheterisation to use the correct
landmarks in the femoral triangle below the inguinal ligament and an appropriate
size of catheter.
PMID- 18053352
TI - Vitamin D deficiency and rickets: possible role of maternal vitamin D deficiency.
PMID- 18053353
TI - [Smoking in health care workers].
PMID- 18053354
TI - [Dissociated deviation. A strabismus of cortical origin].
AB - BACKGROUND: We undertook this study to determine the cortical alterations related
to the origin of dissociated deviation. METHODS: This was a prospective,
descriptive and observational study that included 10 children with diagnosis of
dissociated horizontal deviation, without previous evidence of neuronal damage.
Manual, cerebral and ocular dominance were determined, as well as sensorial state
and visual perceptual profile. Cortical response to different stimuli was
analyzed: luminous, hyperventilation, slow and saccadic movements of pursuit,
gaze and stare through cerebral mapping and neurometric EEG. The biochemical
composition to the visual cortex by means of magnetic resonance spectroscopy was
also investigated. RESULTS: The following alterations were demonstrated: a)
neuroelectric: dysynchronic, paroxysms and/or lentification; b) neurometabolic:
elevation of lactate, decrease of N-acetylaspartate and loss of the
creatine/choline relationship; c) sensorial: suppression and amblyopia; d)
perceptual vision: under-yield in visual abilities; e) motor: horizontal and
vertical dissociated deviation; f) optometric: hyperopic astigmatism.
CONCLUSIONS: The study suggests that dissociated deviation has a cortical origin
and is related to epileptogenic disease.
PMID- 18053355
TI - [Ultrastructure of the anterior capsule of the lens in different pathologies].
AB - BACKGROUND: We undertook this study to compare the ultrastructural analysis of
capsules of normal lenses (phacorefractive procedures) and those of other types
of cataracts also such as senile cataracts, with trans-surgical staining.
METHODS: Capsule samples were obtained by circular continuous capsulorhexis
during phacoemulsification procedures. All samples were fixed and conventionally
processed for light- and transmission-electron microscopy analysis. RESULTS:
Seventeen analyzed samples were grouped as follows: a) phacorefractive (n = 2),
b) senile cataract (n = 3); c) senile diabetic (n = 3), d) pseudoexfoliation
syndrome (n = 3); e) stained with trypan blue (n = 2), f) indocyanine green (n =
2), and g) gentian violet (n = 2). According to morphological analysis, all
samples of cataract demonstrated lacunae zones between epithelial cells.
Filamentous annular bodies were found near the epithelium in capsule of senile
cataracts. Senile diabetic cataract samples showed more abnormalities in
epithelial morphology; specifically we found conformation of two or three layers
of epithelial cells. Samples stained with trypan blue showed a regular basal
membrane and minor loss of epithelial cells than other trans-surgical stain
techniques. CONCLUSIONS: After an exhaustive medical literature search, we
published the first report about the observation of filamentous annular bodies
near the epithelium in capsules of senile cataracts. Additionally, it is
important to note the presence of stratification of the epithelium at the
anterior pole of capsules from diabetic patients.
PMID- 18053356
TI - [Primary melanoma of the oral mucosa. Experience of the Oncology Hospital of
Centro Medico Nacional Siglo XXI].
AB - BACKGROUND: Primary intraoral melanoma is extremely rare and carries a poor
prognosis. Treatment of choice remains controversial. Our aim was to define the
clinical features of this disease and to evaluate treatment methods. METHODS: We
retrospectively studied 14 patients with primary malignant melanoma of the oral
cavity seen at the Oncology Hospital of the Mexican Institute of Social Security
(IMSS), 21st Century National Medical Center (CMN-SXXI) between 1991 and 2005.
The main variables studied were clinical findings, response to therapy, and
outcome. RESULTS: In five males (35.7%) and nine females (64.3%) with a mean age
of 65 years, tumor locations included hard palate (nine cases), maxillary gingiva
(three cases), hard/soft palate (one case) and lip (one case). Pre-existing
melanotic pigmentation was present in two patients. Nine patients were in stage
I, four in stage II, and one was in stage III. Surgical excision was the primary
treatment in 11 cases; four patients underwent simultaneous neck dissections. All
patients in stage II received adjuvant radiation therapy. After a 3-year follow
up, three patients of those presenting stage I are still alive (33.3%, 3/9
cases), and all patients in stages II and III eventually died of the disease.
CONCLUSIONS: Early diagnosis of pigmented lesions in the mouth along with
adequate tumor resection may improve the prognosis of this disease; however, in
advanced disease stage it may be reasonable to infer that major improvements in
outcome after treatment of malignant melanoma of the oral cavity may not be
carried out until more effective systemic therapy becomes available.
PMID- 18053358
TI - [Clinical problem solving as a measurement instrument in a diploma course for
respiratory technicians].
AB - BACKGROUND: We undertook this study to consider the gaps in the training of
clinical respiratory insufficiency as relates to students enrolled in a technical
professional course in respiratory therapy. METHOD: An instrument was constructed
and validated to evaluate clinical aptitude, integrated by four clinical cases of
respiratory insufficiency. Six indicators were defined and 140 statements were
elaborated, after two rounds with five technicians in respiratory therapy with
experience in the care of patients with respiratory insufficiency in intensive
care units, 90 statements remained. The instrument was applied in a pilot group
of 31 students using Kuder Richardson formula 21 and the consistency was (0.80).
Clinical aptitude of students was described on an ordinal scale from very low to
very high. Categories were established from qualifications calculated for the
expected chance effects. RESULTS: On comparing initial and final qualifications,
there were statistically significant differences (p <0.005). CONCLUSIONS:
Clinical aptitude was developed in most students enrolled in the training course.
Although a promotional educational participation strategy was not planned, we are
in agreement that education and training of the coordinating professors
contributed to achieving the goals.
PMID- 18053357
TI - [Heller's myotomy with fundoplication by endoscopic surgery].
AB - BACKGROUND: We undertook this study to present a 5-year experience in
laparoscopic treatment of achalasia using Heller myotomy with an antireflux
technique. METHODS: Fourteen patients with diagnosis of achalasia were included.
We analyzed age, sex, symptoms, endoscopy, radiology and manometry results, pre-
and postoperative treatment, fundoplication technique, surgical time and Visick
Score. RESULTS: Heller myotomy with an antireflux technique was carried out in 14
patients: Dor was performed in eight patients, Toupet in four patients, and
Nissen in two patients. Average surgical time was 145 min, which decreased to 130
min in those patients who underwent Dor fundoplication. Oral feeding was started
1.5 days after surgery and the average hospital stay was 2.5 days. Visick Score
was as follows: grade I-six patients (42.8%, Dor four patients, Toupet one
patient, Nissen one patient); grade II-four patients (28.5%, Dor three patients,
Toupet one patient); grade IIIA-two patients (14.2%, Dor two patient); grade IVA
one patient (7.1%, Toupet); grade IVB-one patient (7.1%, Nissen-Rossetti).
CONCLUSIONS: Heller myotomy is currently the gold standard in the treatment of
achalasia and should be performed with an antireflux procedure. In our series, we
have found that there are fewer complications with Dor fundoplication, the
procedure is easier (implying shorter surgical time), and it offers the best
results as compared with other antireflux techniques.
PMID- 18053359
TI - [Pediatric laparoscopic cholecystectomy].
AB - BACKGROUND: We undertook this study to evaluate the results of surgical treatment
of symptomatic cholelithiasis through laparoscopic cholecystectomy in a series of
47 consecutive pediatric patients. METHODS: During a 5-year period (2001-2005) we
performed laparoscopic cholecystectomy in 47 patients <18 years old in one
institution by the same surgical team. The population was comprised of 41 girls
and 6 boys (age range: 6-18 years). All had symptomatic cholelithiasis confirmed
at ultrasound examination. Associated pathology was studied in each case. The
surgical procedure consisted of a four-port laparoscopic cholecystectomy under
CO(2) pneumoperitoneum. No other concomitant procedure was performed in any case.
RESULTS: Median age was 14.6 years old. The youngest patient of the series had a
hematological disease. In girls we identified obesity in 62.5% of patients, with
a body mass index (BMI) of 26.6 kg/m(2) (SD 3.0) vs. 21.5 kg/m(2) (SD 3.0) in
boys (p <0.05), 30% of the girls had a positive medical history for pregnancies
(range 1-3) and 15% regularly used oral contraceptives. All cases were
symptomatic and 13% suffered from acute biliary pancreatitis. Average surgical
time was 59.8 min, the conversion rate was 2.1% and the most frequent surgical
complication was gallbladder rupture. No major morbidity was observed as well as
no mortality. Postoperatively, all patients were asymptomatic, with a minimum
follow-up time of 6 months. CONCLUSIONS: Laparoscopic cholecystectomy was highly
effective in cases of symptomatic cholelithiasis. Etiologically, females showed
risk factors for cholelithiasis similar to those usually observed in adults.
Probably in the near future the incidence of cholelithiasis will increase in this
age group. Pediatric surgeons should be familiarized with the minimal access
technique to treat this disease.
PMID- 18053360
TI - [Orthotopic liver transplantation: results at a single center].
AB - BACKGROUND: We undertook this study to describe the results of hepatic orthotopic
transplant. Patient characteristics, implants, and patient evolution after
transplant were studied. The study was conducted at a third-level medical
facility in Mexico. METHODS: The files of 49 patients who had a liver transplant
were reviewed from July 17, 1999, through November 30, 2006. We also analyzed
pretransplant status and status of the hepatic implant at the time of the
transplant. Finally, patient evolution was reported postoperatively. RESULTS:
Fifty transplants were carried out in 49 patients (one man was retransplanted and
one women had a liver and kidney transplant). There were 35 women (71.43%) and 14
men (28.57%). Ages of the patients were between 2 and 61 years (average 40.40
years). The most frequent causes resulting in transplants were cirrhosis caused
by hepatitis C virus (HCV) and autoimmune cirrhosis: 28 cases (56%) and 7 cases
(14%), respectively. Four patients (8%) died from surgery-related complications
and 11 patients died (22%) from nonsurgical complications. Patient survival
according to Child-Pugh is as follows: Child-Pugh A: 3/4 patients (75%), Child
Pugh B: 17/24 patients (70%), Child-Pugh C: 15/22 patients (68%). Preoperative
survival was 35 patients (70%), 1-year survival was 32 patients (64) and 5-year
survival was 29 patients (58%). CONCLUSIONS: Survival rates of our patients are
acceptable even though the majority of those transplanted had severe hepatic
insufficiency and others had diabetes and portal thrombosis.
PMID- 18053361
TI - [Laparoscopic splenectomy: 7-year experience].
AB - BACKGROUND: Laparoscopic splenectomy is an effective and safe technique in the
management of benign splenic pathologies. METHODS: We reviewed our 7-year
experience to evaluate utility and safety of this procedure. RESULTS:
Laparoscopic splenectomy was performed in 20 patients (13 women, 7 men), with a
mean age of 49 years. Pathology included 19 cases of idiopathic thrombocytopenic
purpura (ITP) and one case of autoimmune hemolytic anemia. Mean operative time
was 165 min, including anesthetic procedure. There was only one conversion to
open surgery. Accessory spleens were detected in 15% of patients. Early remission
of hematological disorders was achieved in 70% of the cases and long-term
remission in 90%. The complications rate was 20%, including two cases of
subphrenic hematoma, one case of acute focal pancreatitis and one case of
thrombosis of the portal venous system; all recovered with no sequelae. Mortality
rate was 0%. CONCLUSIONS: Laparoscopic splenectomy obtains results identical to
those of open surgery in terms of efficacy and safety but with the benefits of
laparoscopic surgery. Therefore, it should be considered as the procedure of
choice for the treatment of benign hematological pathologies unresponsive to
medical therapy.
PMID- 18053362
TI - [Papillary cardiac fibroelastoma. An unusual presentation].
AB - BACKGROUND: Papillary fibroelastoma is a rare benign tumor characterized
morphologically since first being described in 1976. Nevertheless, this tumor can
be presented with a variety of clinical manifestations, making diagnosis
challenging for the physician. There are no gender or age preferences but it is
diagnosed by site of presentation along with macro- and microscopic
characteristics. CASE REPORT: We report the case of a male patient with a history
of type 2 diabetes mellitus and arterial hypertension who was admitted to the
hospital with a diagnosis of ischemic heart disease accompanied by sustained
ventricular tachycardia. Echocardiogram reported degree I diastolic dysfunction,
apical ventricular aneurysm, and unusual apical tumor of the septum and left
ventricle. DISCUSSION: Primary heart tumors have an incidence of 0.0017%. The
most common symptoms are chest pain, syncope, dyspnea and arrhythmias. Diagnosis
is accomplished incidentally by echocardiography, which is usually carried out
for other reasons. Surgical procedure of choice is total tumor resection along
with valve repair or replacement, if necessary, and in some cases cardiac
endothelium resection and repair, with or without pericardium patch replacement.
CONCLUSIONS: Papillary fibroelastoma is rare but is a recognized cause of embolic
phenomena. Rapid identification followed by surgical resection is curative,
precise and well tolerated by the patient.
PMID- 18053363
TI - [Endoluminal treatment for traumatic aortic rupture. Case report and literature
review].
AB - Traumatic rupture of the thoracic aorta is a near-lethal event presenting on
scene mortality rates of 80% and 60-80% perioperatively with an overall survival
rate of 15%. Conventional treatment includes thoracotomy with aortic clamping and
aortic replacement but this implies high complication and mortality rates with
extended inpatient care. Endoluminal treatment has recently become an attractive
treatment option with advantages such as lower death and complication rates as
well as shorter inpatient care. We present an 18-year-old female victim of a
frontal automobile crash who presented mediastinal enlargement and underwent CT
evaluation confirming pericardial effusion, left hemothorax and a contained
traumatic rupture of the thoracic aorta. She was sent to our hospital where
aortography was performed identifying the injury, and a preperitoneal left iliac
artery approach was made to insert a Medtronic Talent 24F endograft. Under
fluoroscopic guidance the graft was placed below the subclavian ostium. There was
no endoleak after the procedure. A left iliac-femoral bypass was performed and a
chest tube was inserted. The patient was managed in the ICU, being later operated
by reconstructive and orthopedic surgeons for injuries related to the initial
trauma. The patient was released from the hospital on the 10th postoperative day
after a satisfactory evolution. We present also a brief review of recent
articles.
PMID- 18053364
TI - [Positron emission tomography and computed tomography (PET/CT) in lung cancer].
AB - BACKGROUND: Lung cancer is the most frequent cause of death due to neoplasm in
Western populations, with >660,000 new diagnoses of lung cancer per year
according to the World Health Organization. METHODS: We undertook this study to
emphasize the role of positron emission tomography to all health care
professionals involved in lung cancer diagnosis. RESULTS: There are false
negatives with PET-(18)FDG in carcinoids and broncheoalveolar carcinoma in almost
40% of the cases. One relatively common cause of false positives is the vocal
cord and adjacent muscles contralateral and compensatory to the lung lesion that
show an increased uptake of (18)FDG because of lesions in the laryngeal nerve by
the tumor or secondary to surgery. It should not be confounded with metastases.
CONCLUSIONS: There is sufficient scientific evidence pointing to the usefulness
of PET studies and its evolution to PET/CT, especially in patients with lung
cancer. This can resolve doubts by the oncologist and patient when there is a
suspicious malignant lesion by the following: characterizing solitary pulmonary
nodules (benign or malignant), localizing the optimal site for the biopsy,
diagnosis of the primary tumor for initial staging, evaluation of mediastinal
involvement and distant metastasis, evaluate and restage residual tumor,
assessment of recurrence, monitoring response, prognostic prediction and
radiotherapy planning.
PMID- 18053365
TI - [Thrombophilia, autoimmunity, and perioperative thromboprophylaxis].
AB - Thrombosis is observed in several areas of medicine. Equilibrium between pro- and
anticoagulant factors is required for maintaining blood flow. Tissue injury from
multiple causes may induce coagulum formation mediated by coagulation pathway
activation. Tissue factor (F III) + F VIIa interacts with both platelet and
endothelial cell receptors. This coagulation model displays four stages: a)
initiation, b) amplification, c) propagation and d) stabilization. Development of
thrombosis is associated with either primary or hereditary and acquired factors.
Primary thrombophilia is determined genetically by a hypercoagulative state shown
by loss of natural anticoagulant activity, such as antithrombin III, C, S protein
or procoagulant activity gaining resistance to activated C protein: factor V
(Leiden), prothrombin and methylenetetrahydrofolate reductase mutations. Acquired
thrombophilia mainly relates to an autoimmune condition such as the presence of
anticardiolipin antibodies or lupus anticoagulant. Surgical procedures enhance
mechanisms that predispose to thrombosis, e.g., acidosis, hypothermia, plasma
expanders, extracorporeal circulation, duration of surgical procedure, and tissue
manipulation. Adequate classification of the patient's thrombosis risk and
adequate use of primary and secondary prophylactic recommendations in these
groups of patients is necessary.
PMID- 18053367
TI - Editor's view.
PMID- 18053366
TI - [Letter to the editor].
PMID- 18053369
TI - Mentoring for surgical skills: a tool to share the workload.
PMID- 18053370
TI - Does sex affect residency application to surgery?
AB - OBJECTIVE: To determine whether women are proportionately underselected at the
level of the annual residency match. METHODS: Data were obtained from the Royal
College of Physicians and Surgeons of Canada and the Canadian Residency Matching
Service. The odds of men being rejected from their top choice of surgical
discipline were compared with the corresponding odds for women for the surgical
specialties of general surgery, orthopedic surgery, neurosurgery, otolaryngology,
urology, cardiac surgery and plastic surgery. RESULTS: Women continue to be
underrepresented among surgery residents and surgeons in practice; however, the
number of women has increased. Neither sex was overselected among the surgical
specialties examined. CONCLUSIONS: There was no evidence of overselection of
either sex at the level of the annual resident selection committee.
PMID- 18053371
TI - Injury patterns and outcomes associated with elderly trauma victims in Kingston,
Ontario.
AB - OBJECTIVE: To characterize the common injuries incurred by elderly trauma victims
and to identify the most frequent complications and outcomes. METHODS: We
undertook a retrospective descriptive chart review of 125 consecutive patients
who were over age 65 years and who were admitted to an academic hospital in
Kingston, Ontario, over a 3-year period with an injury severity score (ISS) > 12.
Complete data about the mechanism of injury (MOI), age, date, sex, specific
injury, principal and secondary diagnoses, comorbid conditions, intensive care
unit (ICU) and hospital length of stay and discharge disposition were recorded
for 99 of these patients. RESULTS: Elderly trauma cases accounted for 125 of the
total 460 trauma admissions over 3 years. For that same period, more than 50% of
trauma deaths occurred among elderly patients, of whom 65 were men and 34 were
women. Their mean age was 77 (standard deviation [SD] 6) years, with an age range
of 66-95 years. The average ISS score was 23 (SD 13), with a range of 12-75. MOI
included falls (64%), motor vehicle collision (27%), injury from machinery (3%),
injury from natural and environmental causes (2%), suicide or self-inflicted
injury (3%) and burns (1%). The mean length of stay was 14.6 days, but this
ranged from 1 to 111 days. Of the 99 patients, 14 were admitted to the ICU for a
total of 37 days, and 9 of these died. Of the total of 67 (67%) patients who were
discharged from hospital, 46% were discharged home and 32% died. Falls accounted
for the most frequent MOI, followed by motor vehicle collisions. The most common
injury in the falls group was subdural hematoma, whereas fractures were the most
common injuries in the motor vehicle collision group. The most frequent
complications included urinary tract infections and aspiration pneumonias.
Neither age nor MOI was correlated with injury severity. Increasing age and
injury severity were predictors for complications and mortality while in
hospital. CONCLUSIONS: Despite severe injuries, most elderly patients can survive
traumatic injuries. The data suggest that, although elderly patients are prone to
incur complications and have greater risk of dying as a result of their injuries,
most of these patients will survive their traumatic accidents. The data also show
that nosocomial complications play a significant role in the risk of mortality in
elderly trauma victims.
PMID- 18053372
TI - Patient satisfaction with outpatient lumbar microsurgical discectomy: a
qualitative study.
AB - OBJECTIVE: Lumbar microsurgical discectomy was traditionally done as an inpatient
procedure, but over the last decade, there has been an ever-expanding shift
toward outpatient lumbar microdiscectomy (OLM). We have been performing OLM since
1997 (MB) and 2002 (EMM), but no study of patient satisfaction has been carried
out to date. the objective of our study was to investigate patient satisfaction
with the experience. METHODS: Between September 2004 and March 2005, we carried
out a qualitative case study at Toronto Western Hospital, involving interviews
with 28 patients who had recently undergone OLM. The protocol was approved by the
institutional Research Ethics Board, and informed consent was obtained from each
participant. Interviews were transcribed and stored anonymously and subjected to
modified thematic analysis by 5 reviewers. RESULTS: Analysis of the interviews
yielded several overarching themes: 1) patients are surprised that back surgery
can be done on an outpatient basis; 2) the amount and quality of information they
receive is satisfactory; 3) the overall experience is positive; 4) trust in one's
surgeon is important; and 5) some patients have significant back pain in the
early postoperative period. CONCLUSIONS: Most patients appreciate the need for
the health care system to save money where possible so that it can be spent in
other, more resource-intensive areas. Outpatient lumbar microdiscectomy satisfies
this goal while retaining high patient satisfaction rates. Qualitative research
can yield substantial insight, which will improve the experience for future
patients. Qualitative research is rare in the surgical literature and can answer
questions quantitative research methods cannot.
PMID- 18053373
TI - Chest tube complications: how well are we training our residents?
AB - BACKGROUND: Thoracic trauma is commonly treated with tube thoracostomy. The
overall complication rate associated with this procedure is up to 30% among all
operators. The primary purpose of this study was to define the incidence and risk
factors for complications in chest tubes placed exclusively by resident
physicians. The secondary objective was to outline the rate of complications
occult to postinsertional supine anteroposterior (AP) chest radiographs (CXRs).
METHODS: Over a 12-month period at a regional trauma centre, we retrospectively
reviewed all severely injured trauma patients (injury severity score >or= 12) who
underwent tube thoracostomy (338/761 patients). Insertional, positional and
infective complications were identified. Patients were assessed for complications
on the basis of resident operator characteristics, patient demographics,
associated injuries and outcomes. Thoracoabdominal CT scans and corresponding
CXRs were also used to determine the rate of complications occult to
postinsertional supine AP CXR. RESULTS: Of the patients, 338 (44%) had CXR and CT
imaging. Out of 76 (22%) chest tubes placed by residents in 61 (18%) patients
(99% of whom had blunt trauma injuries), there were 17 complications; 6 (35%)
were insertional; 9 (53%) were positional and 2 (12%) were infective. Tube
placement outside the trauma bay (p = 0.04) and nonsurgical resident operators (p
= 0.03) were independently predictive of complications. The rates of
complications according to training discipline were as follows: 7% general
surgery, 13% internal and family medicine, 25% other surgical disciplines and 40%
emergency medicine. Resident seniority, time of day and other factors were not
predictive. Six of 11 (55%) positional and intraparenchymal lung tube placements
were occult to postinsertional supine AP CXR. CONCLUSIONS: Chest tubes placed by
resident physicians are commonly associated with complications that are not
identified by postinsertional AP CXR. Thoracic CT is the only way to reliably
identify this morbidity. The differential rate of complications according to
resident specialty suggests that residents in non-general surgical training
programs may benefit from more structured instruction and closer supervision in
tube thoracostomy.
PMID- 18053375
TI - Radiology for the surgeon. Musculoskeletal case 40.
PMID- 18053374
TI - Use of octreotide for the prevention of pancreatic fistula after elective
pancreatic surgery: a systematic review and meta-analysis.
AB - OBJECTIVE: To assess the effectiveness of octreotide in preventing postoperative
pancreatic fistula. Pancreatic fistula is one of the most common complications
after elective pancreatic surgery. Several clinical trials have evaluated the use
of octreotide to prevent the development of pancreatic fistula after pancreatic
surgery with conflicting recommendations. METHODS: We undertook a meta-analysis
of 7 identified randomized controlled trials, reporting comparisons between
octreotide and a control. The primary outcome was the incidence of postoperative
pancreatic fistula, and the secondary outcome was the postoperative mortality.
RESULTS: Seven studies, involving 1359 patients, met the inclusion criteria for
this review. In these studies, sample sizes ranged from 75 to 252 patients. In
total, 679 patients were given octreotide and 680 patients formed the control
group. Perioperative octreotide is associated with a significant reduction in the
incidence of pancreatic fistula after elective pancreatic surgery, with a
relative risk of 0.59 (95% confidence interval 0.41-0.85, p = 0.004). However,
this risk reduction was not associated with a significant difference in
postoperative mortality (p > 0.05). CONCLUSIONS: The review revealed that
perioperative octreotide is associated with a significant reduction in the
incidence of pancreatic fistula after elective pancreatic surgery. However, this
risk reduction was not associated with a significant difference in postoperative
mortality; further studies are warranted to confirm the results of this
metaanalysis and to define which patient subgroups might benefit the most from
prophylactic octreotide administration.
PMID- 18053376
TI - Surgical technique. The ambidextrous surgeon's knot: an alternate way to tie the
surgeon's knot.
PMID- 18053378
TI - Canadian Association of General Surgeons and American College of Surgeons
Evidence Based Reviews in Surgery. 23. ASCO recommended guidelines for sentinel
lymph node biopsy for early-stage breast cancer. Evidence-based medicine. A new
approach to teaching the practice of medicine.
PMID- 18053377
TI - The efficacy and risks of using povidone-iodine irrigation to prevent surgical
site infection: an evidence-based review.
PMID- 18053379
TI - William D. Stevenson: Atlantic Canada's first neurosurgeon.
AB - The origins of neurosurgical services in Atlantic Canada are tied to the
individual efforts of William D. Stevenson. Born in Hamilton, Ontario, Stevenson
completed his senior matriculation in Dunnville, Ontario, before studying
medicine at the University of Toronto. He completed the Gallie surgical course in
Toronto and then spent 1 year training with Edward Archibald at McGill
University. After working for 2 years with the Canadian Mobile Neurosurgical Unit
in Europe during the Second World War, Stevenson undertook formal neurosurgical
training with Kenneth G. McKenzie, Canada's first neurosurgeon. Stevenson was
thereafter recruited to Halifax to start the neurosurgical service at the
Victoria General Hospital in January 1948, and he remained head of the division
for the next 26 years. His pioneering work laid the foundations for the
establishment of a major academic neurosurgical service at Dalhousie University
and was crucial for the establishment of neurosurgery in Atlantic Canada. After
his retirement, Stevenson moved back to Ontario and began his second career,
transferring his passion for neurosurgery to oil painting. His legacy to
neurosurgery in Atlantic Canada will be remembered in perpetuity with the annual
Neurosurgery Resident Research Award at Dalhousie University, established and
named in his honour. This paper focuses on Stevenson's life and work in
neurosurgery as Atlantic Canada's first neurosurgeon.
PMID- 18053380
TI - Vulvar seborrheic keratosis.
PMID- 18053382
TI - Postpartum pain relief: a randomized comparison of self-administered medication
and standard administration.
AB - OBJECTIVE: To compare pain relief in postpartum women receiving analgesia
administered by nurses with the relief achieved by use of self-administered
medication (SAM). METHOD: This randomized trial included women in spontaneous or
induced labour or admitted for elective Caesarean section (CS). Women were
stratified according to the mode of delivery. In the standard group, nurses
administered the medications. In the SAM group, women kept the medications at the
bedside and recorded each dose. The women were asked to record their pain level
with a validated scale before and one hour after the administration of analgesia.
A global pain score was calculated using the mean of these scores, and the
satisfaction of patients and nurses with the process was recorded. RESULTS: We
recruited 345 women for the study. Eleven women (3.15 %) were lost to follow-up.
We analyzed data from 197 women in the vaginal delivery arm and 133 women in the
CS arm. There was no difference between the global pain scores before and one
hour after the administration of analgesia in each group, independent of the mode
of delivery. Women who used SAM and had a vaginal delivery were significantly
more likely to have used no medication (P = 0.02) or to have used acetaminophen
(P = 0.008), and fewer of these women took naproxen (P = 0.05). No significant
difference was seen in women who had CS. Narcotic use was similar in each group.
Women who used SAM were more likely to indicate that they would choose this
method again and recommend it to others. The level of satisfaction with SAM
expressed by nurses did not change after the study. CONCLUSION: Postpartum pain
relief was similar for women who had standard administration of medication by
nurses and those who had SAM. More women using SAM used either no medication or
acetaminophen only, and more women using SAM were highly satisfied with their
method of pain relief. Use of self-administered medication should be considered
for every postpartum unit.
PMID- 18053383
TI - Addressing wait times for endometrial cancer surgery in Ontario.
AB - OBJECTIVE: Wait times for cancer surgery in Ontario have increased over the last
decade. We reviewed trends in wait times for endometrial cancer surgery from 1996
to 2000 and identified determinants that may need to be addressed in order to
reduce these wait times. METHODS: The study population included women diagnosed
with endometrial cancer (ICD-9 codes 179 or 182) prior to surgery. Surgical wait
time was defined as the interval between date of diagnosis and hospital admission
for surgery. Univariate analyses assessed demographic, treatment, and hospital
factors associated with wait times. A multilevel linear regression model was
created to account for clustering of patients at the hospital level and regional
level defined by local health integration networks (LHINs). Effects of covariates
were expressed as estimates of the median proportional change in wait time.
RESULTS: There were 2042 cases in this analysis. Mean wait time increased from 32
to 40 days (P = 0.0012). Prolonged wait times were associated with age > 70
years, presence of comorbidities, and surgery performed at a teaching hospital
and by a gynaecologic oncologist. Wait times were not associated with income
level or region of residence defined by LHIN. CONCLUSION: Wait times for
endometrial cancer surgery have increased significantly in Ontario. Determinants
of these prolonged wait times need to be addressed, and criteria for referral to
a teaching hospital and gynaecologic oncologist should be developed to ensure
that local health integration networks provide equal and timely access to care.
PMID- 18053385
TI - Increasing folate supplementation for selected groups of Canadian women.
AB - After review of current evidence related to the potential risks and benefits of
folic acid supplementation, we conclude that unless clinicians can be assured
that pregnant women will reliably use prenatal vitamin supplements containing 0.8
1.1 mg of folate, the prenatal vitamin supplements should be combined with 5 mg
of folate.
PMID- 18053384
TI - Women's Pain Experience Predicts Future Surgery for Pain Associated With
Endometriosis.
AB - OBJECTIVES: A previous randomized controlled trial from 1991 to 1993 comparing
excision of endometriosis with sham surgery demonstrated no difference in
reported pain after blinding between the excision and sham groups for one year.
Overall, when both groups were considered, there was a significant reduction in
pain one year postoperatively. This trial was done to determine the predictors of
subsequent surgery. METHODS: The time to repeat surgery was the outcome of
interest as a marker for significant pain. Survival analysis and log rank tests
were performed to determine if the time to repeat surgery differed by group or by
age, parity, original level of pain pre-operatively and stage of the disease.
RESULTS: Only the reported measurement of pain prior to the initial trial was a
significant covariate in the overall prediction of repeat surgery among all
subjects. The overall repeat surgical operation rate was 48.3% in the sham
surgery group and 51.7% in the excision group. The estimated relative risk for
repeat surgery (excision vs. sham) was 1.42 (95% confidence intervals 0.539-
3.75). CONCLUSION: Pain experience preoperatively was found to be an important
predictor of subsequent surgical need. In this study, age, stage of disease, and
excision of endometriosis were not associated with improvement in pain as
measured by the time to repeat surgery.
PMID- 18053386
TI - An investigation of embryo donation, informed consent, and research oversight in
Canadian human embryonic stem cell research.
AB - OBJECTIVES: To investigate compliance with applicable regulations by Canadian
human embryonic stem cell (hESC) researchers and fertility clinics involved in
providing embryos for their research, and to ascertain actual consent practices
in the hESC research context. METHOD: Telephone interviews were conducted with
all hESC researchers, and email interviews were conducted with fertility clinics
that provide embryos to these researchers. Consent forms currently used for
donation of embryos to hESC research were reviewed. Separate questionnaires were
used for the researchers and clinics. RESULTS: Three of four clinics responded.
Of the clinics that responded, each had provided embryos for hESC research to at
least one of the researchers. Despite considerable policy attention given to hESC
research, very few researchers and clinics are actually involved in the research
in Canada. Only cryopreserved embryos are currently being used in hESC research,
but one researcher has applied to use fresh embryos. Fertility clinics play a
primary role in the consent process, and researchers have no contact with
patients/donors. Although representations have been made in academic literature
and the popular press suggesting a lack of compliance by Canadian hESC
researchers, researchers and clinics report that they are in substantial
compliance with applicable regulations. The researchers appear to be very
conscious of the ethics of hESC research. In addition, they state that they are
willing to follow the rules, but they are frustrated by the lack of regulatory
clarity and by delays in the research oversight process. CONCLUSION: Empirical
research on perceptions other stakeholders (donors, research ethics boards etc.)
have of the hESC research process appears essential.
PMID- 18053387
TI - Pre-conceptional vitamin/folic acid supplementation 2007: the use of folic acid
in combination with a multivitamin supplement for the prevention of neural tube
defects and other congenital anomalies.
AB - OBJECTIVE: To provide information regarding the use of folic acid in combination
with a multivitamin supplement for the prevention of neural tube defects and
other congenital anomalies, so that physicians, midwives, nurses, and other
health care workers can assist in the education of women in the pre-conception
phase of their health care. OPTION: Supplementation with folic acid and vitamins
is problematic, since 50% of pregnancies are unplanned, and women's health status
may not be optimal when they conceive. OUTCOMES: Folic acid in combination with a
multivitamin supplement has been associated with a decrease in specific birth
defects. EVIDENCE: Medline, PubMed, and Cochrane Database were searched for
relevant English language articles published between 1985 and 2007. The previous
Society of Obstetricians and Gynaecologists of Canada (SOGC) Policy Statement of
November 1993 and statements from the American College of Obstetrics and
Gynecology and Canadian College of Medical Geneticists were also reviewed in
developing this clinical practice guideline. VALUES: The quality of evidence was
rated using the criteria described in the Report of the Canadian Task Force on
Preventive Health Care. BENEFITS, HARMS, AND COSTS: Promoting the use of folic
acid and a multivitamin supplement among women of reproductive age will reduce
the incidence of birth defects. The costs are those of daily vitamin
supplementation and eating a healthy diet. RECOMMENDATIONS: 1. Women in the
reproductive age group should be advised about the benefits of folic acid in
addition to a multivitamin supplement during wellness visits (birth control
renewal, Pap testing, yearly examination) especially if pregnancy is
contemplated. (III-A) 2. Women should be advised to maintain a healthy diet, as
recommended in Eating Well With Canada's Food Guide (Health Canada). Foods
containing excellent to good sources of folic acid are fortified grains, spinach,
lentils, chick peas, asparagus, broccoli, peas, Brussels sprouts, corn, and
oranges. However, it is unlikely that diet alone can provide levels similar to
folate-multivitamin supplementation. (III-A) 3. Women taking a multivitamin
containing folic acid should be advised not to take more than one daily dose of
vitamin supplement, as indicated on the product label. (II-2-A) 4. Folic acid and
multivitamin supplements should be widely available without financial or other
barriers for women planning pregnancy to ensure the extra level of
supplementation. (III-B) 5. Folic acid 5 mg supplementation will not mask vitamin
B12 deficiency (pernicious anemia), and investigations (examination or
laboratory) are not required prior to initiating supplementation. (II-2-A) 6. The
recommended strategy to prevent recurrence of a congenital anomaly (anencephaly,
myelomeningocele, meningocele, oral facial cleft, structural heart disease, limb
defect, urinary tract anomaly, hydrocephalus) that has been reported to have a
decreased incidence following preconception / first trimester folic acid +/-
multivitamin oral supplementation is planned pregnancy +/- supplementation
compliance. A folate-supplemented diet with additional daily supplementation of
multivitamins with 5 mg folic acid should begin at least three months before
conception and continue until 10 to 12 weeks post conception. From 12 weeks post
conception and continuing throughout pregnancy and the postpartum period (4-6
weeks or as long as breastfeeding continues), supplementation should consist of a
multivitamin with folic acid (0.4-1.0 mg). (I-A) 7. The recommended strategy(ies)
for primary prevention or to decrease the incidence of fetal congenital anomalies
will include a number of options or treatment approaches depending on patient
age, ethnicity, compliance, and genetic congenital anomaly risk status. OPTION A:
Patients with no personal health risks, planned pregnancy, and good compliance
require a good diet of folate-rich foods and daily supplementation with a
multivitamin with folic acid (0.4-1.0 mg) for at least two to three months before
conception and throughout pregnancy and the postpartum period (4-6 weeks and as
long as breastfeeding continues). (II-2-A) OPTION B: Patients with health risks,
including epilepsy, insulin dependent diabetes, obesity with BMI >35 kg/m2,
family history of neural tube defect, belonging to a high-risk ethnic group
(e.g., Sikh) require increased dietary intake of folate-rich foods and daily
supplementation, with multivitamins with 5 mg folic acid, beginning at least
three months before conception and continuing until 10 to 12 weeks post
conception. From 12 weeks post-conception and continuing throughout pregnancy and
the postpartum period (4-6 weeks or as long as breastfeeding continues),
supplementation should consist of a multivitamin with folic acid (0.4-1.0 mg).
(II-2-A) OPTION C: Patients who have a history of poor compliance with
medications and additional lifestyle issues of variable diet, no consistent birth
control, and possible teratogenic substance use (alcohol, tobacco, recreational
non-prescription drugs) require counselling about the prevention of birth defects
and health problems with folic acid and multivitamin supplementation. The higher
dose folic acid strategy (5 mg) with multivitamin should be used, as it may
obtain a more adequate serum red blood cell folate level with irregular vitamin /
folic acid intake but with a minimal additional health risk. (III-B) 8. The
Canadian Federal Government could consider an evaluation process for the
benefit/risk of increasing the level of national folic acid flour fortification
to 300 mg/100 g (present level 140 mg/100 g). (III-B) 9. The Canadian Federal
Government could consider an evaluation process for the benefit/risk of
additional flour fortification with multivitamins other than folic acid. (III-B)
10. The Society of Obstetricians and Gynaecologists of Canada will explore the
possibility of a Canadian Consensus conference on the use of folic acid and
multivitamins for the primary prevention of specific congenital anomalies. The
conference would include Health Canada/Congenital Anomalies Surveillance,
Canadian College of Medical Geneticists, Canadian Paediatric Society, Motherisk,
and pharmaceutical industry representatives. VALIDATION: This is a revision of a
previous guideline and information from other consensus reviews from medical and
government publications has been used. SPONSOR: The Society of Obstetricians and
Gynaecologists of Canada.
PMID- 18053389
TI - Multidisciplinarity, interdisciplinarity, and transdisciplinarity in health
research, services, education and policy: 2. Promotors, barriers, and strategies
of enhancement.
AB - BACKGROUND/PURPOSE: Multidisciplinary, interdisciplinary and transdisciplinary
teams are increasingly encouraged in health research, services, education and
policy. This paper is the second in a series. The first discussed the
definitions, objectives, and evidence of effectiveness of multiple disciplinary
teamwork. This paper continues to examine the promotors, barriers, and ways to
enhance such teamwork. METHODS: The paper is a literature review based on Google
and MEDLINE (1982-2007) searches. "Multidisciplinarity", "interdisciplinarity",
"transdisciplinarity" and "definition" were used as keywords to identify the
pertinent literature. RESULTS: The promotors of teamwork success include: good
selection of team members, good team leaders, maturity and flexibility of team
members, personal commitment, physical proximity of team members, the Internet
and email as a supporting platform, incentives, institutional support and changes
in the workplace, a common goal and shared vision, clarity and rotation of roles,
communication, and constructive comments among team members. The barriers, in
general, reflect the situation in which the promotors are lacking. They include:
poor selection of the disciplines and team members, poor process of team
functioning, lack of proper measures to evaluate success of interdisciplinary
work, lack of guidelines for multiple authorship in research publications,
language problems, insufficient time or funding for the project, institutional
constraints, discipline conflicts, team conflicts, lack of communication between
disciplines, and unequal power among disciplines. CONCLUSION: Not every health
project needs to involve multiple disciplines. Several questions can help in
deciding whether a multiple disciplinary approach is required. If multiple
disciplinarity is called for, eight strategies to enhance multiple disciplinary
teamwork are proposed. They can be summarised in the acronym TEAMWORK - Team,
Enthusiasm, Accessibility, Motivation, Workplace, Objectives, Role, Kinship.
PMID- 18053390
TI - Clinical predictors of facial nerve outcome after translabyrinthine resection of
acoustic neuromas.
AB - PURPOSE: The translabyrinthine approach to acoustic neuroma resection offers
excellent exposure for facial nerve dissection with 95% preservation of anatomic
continuity. Acceptable outcome in facial asymptomatic patients is reported at 64
90%, but transient postoperative deterioration often occurs. The objective of
this study was to identify preoperative clinical presentation and intraoperative
surgical findings that predispose patients to facial nerve dysfunction after
acoustic neuroma surgery. METHODS: The charts of 128 consecutive
translabyrinthine patients were examined retrospectively to identify new clinical
and intraoperative predictors of facial nerve outcome. Postoperative evaluation
of patients to normal function or mild asymmetry upon close inspection (House
Brackmann grades of I or II) was defined as an acceptable outcome, with obvious
asymmetry to no movement (grades III to VI) defined as unacceptable.
Intraoperative nerve stimulation was performed in all cases, and clinical grading
was performed by a single neurosurgeon in all cases. RESULTS: Among patients with
no preoperative facial nerve deficit, 87% had an acceptable result. Small size (P
< 0.01) and low intraoperative nerve stimulation of < 0.10 mA (P< 0.01) were
reaffirmed as predictive of functional nerve preservation. Additionally,
preoperative tinnitus (P = 0.03), short duration of hearing loss (P< 0. 01), and
lack of subjective tumour adherence to the facial nerve (P = 0.02) were
independently correlated with positive outcome. CONCLUSIONS: Our experience with
the translabyrinthine approach reveals the previously unestablished associations
of facial nerve outcome to include presence of tinnitus and duration of
hypoacusis. Independent predictors of tumour size and nerve stimulation
thresholds were reaffirmed, and the subjective description of tumour adherence to
the facial nerve making dissection more difficult appears to be important.
PMID- 18053391
TI - Serum IgA1 from IgA nephropathy patients induces apoptosis in podocytes through
direct and indirect pathways.
AB - PURPOSE: To investigate apoptosis of podocytes induced by IgA1 isolated from IgA
nephropathy (IgAN) patients through direct and indirect pathways. METHODS:
Jacalin affinity chromatography and Sephacryl S-200 molecular sieve
chromatography were used to isolate IgA1 from blood of IgAN patients made as
aggregated IgA1 (aIgA1). Podocytes were incubated with aIgA1 or special treated
medium from mesangial cells after co-incubation with aIgA1 from IgAN patients.
Apoptosis of podocytes was assessed by TUNEL staining and flow cytometry. Real
time PCR was used to detect the mRNA expression of Bcl-2, Bax, Fas and Fas-L.
RESULTS: AIgA1 from IgAN patients induced more apoptosis of podocytes by both
time and concentration-dependent patterns than control (30.5+/-5.4% vs 20.5+/
4.5, respectively, P < 0.05). The percentage of apoptotic podocytes exposed to
treated medium was higher than control (28.5+/-5.9 % vs 20.5+/-4.5%,
respectively, P < 0.05). The level of normalized Fas mRNA expression in podocytes
exposed to aIgA1 was 2.4-fold higher than control (P < 0.05), while the level in
podocytes exposed with treated medium was 1.89-fold higher than control (P <
0.05), and the level of normalized Bcl-2 mRNA expression in this group was 72%
lower than control (P < 0.05) CONCLUSION: IgA1 from IgAN patients may induce
apoptosis of podocytes through direct and indirect pathways. IgA1 may accelerate
progression of IgAN by inducing apoptosis of podocytes.
PMID- 18053392
TI - Evaluation of in vivo and in vitro biological activity of a Vibrio cholerae 01
hemolysin.
AB - PURPOSE: To evaluate the hemolysin effect by ileal loop model produced by Vibrio
cholerae O1 strains, compared with the cellular lysis or cytotoxic activity (CA)
observed in cell culture. METHOD: We studied nine V. cholerae O1 strains,
obtained during the Mexican outbreak of cholera (1990-1993), which had CA in Vero
and CHO cells. Hemolysin was monitored with the hemolysis test. Titers of CA were
calculated by CD50, and the association between CA and cholera toxin (CT)
production was discarded by means of neutralization tests using an anti-CT
polyclonal antibody. The CT production was measured with ELISA test. The LAL
assay was performed in order to study relationships between the CA and bacterial
lipopolysaccharide. Strains with CA were evaluated in rabbit and rat ileal loop
models; hemorrhagic fluid was also measured. Tissues from ileal loop were
included in paraffin to detect intestinal epithelial damage. RESULTS: The
hemolysin CA was not neutralized with the anti-CT polyclonal antibody. However,
the associated factor of CA was heat labile. CA in cell cultures was not related
to the bacterial lipopolysaccharide. The ileal loop test exhibited the presence
of hemorrhagic tissue with inflammation. CONCLUSION: The V. cholerae O1 strains
isolated were able to secrete hemolysin which, in turn, caused CA in cell
cultures and produced the hemorrhagic and inflammatory effects observed in the
ileal loop of rabbit and rat models.
PMID- 18053393
TI - Impact of beta-blocker treatment and nutritional status on glycemic response
during exercise in patients with type 2 diabetes.
AB - PURPOSE: Most individuals with type 2 diabetes are affected by hypertension and
thus have higher risk of cardiac complications. In addition to behavioural
modifications, such as healthy food choices and regular physical activity, beta
blocker treatment may be considered to reduce morbidity and mortality, especially
after a cardiovascular event. However, this medication is generally associated
with a deleterious impact on glucose metabolism. The objective of the study was
to assess the impact of beta-blocker treatment on glucose response during
exercise in patients with type 2 diabetes, free of cardiovascular complications.
METHODS: Ten sedentary men, treated with diet and/or hypoglycemic agents have
performed four exercise sessions at 60% of their V O2peak, in the fasted state or
2 hours following a standardized breakfast, with and without beta-blockers
(atenolol 100 mg id for five consecutive days). Blood samples were drawn during
the resting period, at 15-min intervals during the exercise session and during
the recovery period. RESULTS: A reduction of blood glucose levels was observed
following the exercise session in the postprandial state (48% and 44% reduction
with and without beta-blockers respectively; P < 0.001). One hour of exercise
performed in the fasted state had a minimal impact on glucose and insulin levels,
whether with or without beta-blockers. beta-blocker treatment was not associated
with increased baseline blood glucose or insulin levels in the fasted or the
postprandial situation. CONCLUSION: Dietary status has a more important impact on
plasma glucose and insulin modulation than short-term use of beta-blockers.
PMID- 18053394
TI - Does the energy expenditure status in obstructive sleep apnea favour a positive
energy balance?
AB - PURPOSE: The effect of the obstructive sleep apnea syndrome on energy expenditure
is controversial. The objective of this study was to assess the relationship
between 24-hr energy expenditure or sleeping metabolic rate and features of the
obstructive sleep apnea. METHODS: Twenty-four apneic men took part in this cross
sectional study and were classified in quartiles of nocturnal desaturation
severity, i.e. of percentage total sleep time with SaO2 < 90% determined with
polysomnography. 24-hr energy expenditure and sleeping metabolic rate were
measured with a whole body indirect calorimetry (respiratory chamber), and body
composition by hydrodensitometry. During the stay in the respiratory chamber,
urine was collected to assess catecholamine concentration and percentage
recording time with SaO2 < 90% (%TRT SaO2 < 90%) was measured with nocturnal
oximetry. RESULTS: Mean fat free mass and fat mass were greater in quartile 4
than in quartile 1 (P < 0.05). %TRT SaO2 < 90% was higher in quartile 4 than in
other quartiles (P < 0.0001). 24-hr energy expenditure and sleeping metabolic
rate were similar among quartiles. However, when expressed on a per kg body
weight basis (kcal/kg), these variables were negatively correlated with the %TRT
SaO2 < 90% in the whole group (r = -0.46 and -0.48, respectively, P < 0.05). %TRT
SaO2 < 90% was found to be a predictor of sleeping metabolic rate which
explained, together with fat mass and fat free mass, 86% of this variance (P <
0.05). CONCLUSION: In apneic men energy expenditure relative to body weight
decreases with increasing severity of oxygen desaturation which could favour a
positive energy balance.
PMID- 18053395
TI - CSCI/RCPSC Henry Friesen lecture: the past and the future of neurogenetics.
PMID- 18053396
TI - Influence of a platelet concentrate on prosthetic bone ingrowth in a rabbit
model.
AB - Recent studies have shown that an increase in bone ingrowth by addition of
osteogenic growth factors can reduce micro motion and gross implant motion and
contribute to joint implant stability through osseointegration. Platelet-rich
plasma (PRP) has the potential to provide growth factors that may be conducive to
osteointegration at the bone-implant interface. This study analyzed the influence
of PRP on bone ingrowth upon a beaded metal implant in distal femurs of 22
rabbits. Rabbit limbs were randomly assigned to receive an implant plus PRP or
plain implant. Half of the specimens were randomly assigned to a 2-week group (n
= 20) or a 5-week group (n = 20). Histologic and histomorphometric comparison
between implant alone and implant plus PRP, at 2 and 5 weeks, was performed. In
both the 2- and 5-week comparisons, there was no statistical difference (p > .05)
in bone ingrowth between the control and PRP group, despite a slight increase in
trabecular bone growth in PRP groups. This study suggests that PRP is not a major
contributing factor to bone ingrowth at the bone-implant interface. This supports
growing evidence in the literature that PRP can lead to variable bone growth
stimulation in vivo.
PMID- 18053397
TI - Primary posterior cruciate-retaining total knee arthroplasty: a comparison of
American and Japanese cohorts.
AB - Excessive polyethylene wear is recognized as one of the most important factors
affecting the durability of total knee arthroplasty; however, bearing surface
wear is a multifactorial problem. The purpose of this study was to identify
factors for polyethylene wear and failure in primary posterior cruciate-retaining
(PCR) total knee arthroplasty (TKA) in two disparate cohorts (American and
Japanese). Seventy-three total knee arthroplasty operations were performed on 48
Japanese patients, and 76 on 63 American patients with noninflammatory
arthritides. All patients were evaluated clinically and radiographically using a
total joint arthroplasty database. Age, weight, diagnosis, Knee Society patient
category, prosthesis size, insert thickness, alignment, polyethylene wear,
osteolysis, Knee Society knee score, Knee Society pain score, and radiographic
and clinical survivorship were evaluated. Seventy-three Japanese TKAs were
followed for a mean of 6.6 years (range, 2.0-10.6). Three (4.1%) Japanese
patients required revision. Seventy-six American TKAs were followed for a mean of
9 years (range, 2-10.2). Two (2.6%) American patients required revision. The
American patients were significantly older, heavier, male predominant, and
required larger size implants. The Japanese patients were significantly more
female predominant and had a significantly less postoperative arc of motion. Knee
Society knee and pain scores, survivorship, average total polyethylene wear, and
annual wear rates were not different among the two cohorts. PCR TKA had similar
survivorship in disparate cohorts of Japanese and American total knee
arthroplasty patients despite smaller stature patients in the Japanese cohort.
Cultural, gender-specific, and morphologic differences need to be considered for
knee implant design. However, survivorship and polyethylene wear rates appear to
be independent of these factors in disparate populations.
PMID- 18053398
TI - Does knee position at the time of tourniquet inflation affect knee range of
motion?
AB - Pneumatic tourniquets about the thigh are commonly employed in lower extremity
orthopaedic surgery to maintain a bloodless operative field. The purpose of this
study was to determine whether the position of the knee at the time of tourniquet
inflation has an impact on knee range of motion (ROM). Passive ROM of the knees
of 30 patients was measured with the tourniquet deflated, with the tourniquet
inflated while the knees were in extension, and with the tourniquet inflated
while the knees were in flexion. The average knee ROM with a deflated tourniquet
was 143.0 degrees with a standard deviation of 8.1 degrees (range, 125 degrees
160 degrees ). When the tourniquet was inflated with the knees in extension, the
average knee ROM was 143.0 degrees with a standard deviation of 7.8 degrees
(range, 125 degrees -159 degrees ). When the tourniquet was inflated with the
knees in flexion, the average knee ROM was 143.7 degrees with a standard
deviation of 7.8 degrees (range, 124 degrees -160 degrees ). There was a
statistically significant difference between the ROM of knees with tourniquet
inflation in flexion versus extension (p = .0011.) Although there was a
statistical difference, it was concluded that a difference of approximately 1
degrees in knee ROM is not clinically relevant.
PMID- 18053399
TI - Surgical correction of spinal deformities following spinal cord injury occurring
in childhood.
AB - This article reports on the surgical treatment of 14 consecutive patients with
paralytic spinal deformities secondary to spinal cord injury occurring in
childhood. Eleven patients underwent a posterior spinal fusion and three patients
underwent a combined anterior and posterior spinal arthrodesis. Luque rods were
used in all but one patient. The spinal fusion extended to the sacrum in 10
patients. No patient developed postoperative wound infections or medical
complications. Four patients (28.6%) who underwent initially a posterior spinal
arthrodesis developed pseudarthrosis. This was treated successfully by a combined
anterior and posterior spinal fusion in two patients. The remaining patients
underwent a revision posterior spinal fusion with recurrence of the nonunion in
one patient. A combined anterior and posterior spinal arthrodesis could be
considered the treatment of choice for patients with severe deformities who can
tolerate anterior surgery. If pseudarthrosis develops following posterior spinal
fusion, this can be best treated by a combined anterior and posterior revision
procedure with instrumentation.
PMID- 18053400
TI - Role of the peroneal tendons and superior peroneal retinaculum as static
stabilizers of the ankle.
AB - The role of the peroneal tendons as static stabilizers of the ankle is poorly
understood. Anterior-posterior displacement of the talus was evaluated in eight
fresh-frozen cadaveric ankle joints. With the distal tibia stabilized, loads of
150 N were applied to the talus in the anterior direction while the ankle was
held in neutral. All tests were initially performed on intact specimens. Loads
were reapplied after sequential sectioning of the peroneal tendons and superior
peroneal retinaculum and then the anterior talofibular ligament. When compared
with intact ankles, releasing the peroneal tendons caused an average increase of
15% displacement (0.90 mm, p < .05). Adding the release of the anterior
talofibular ligament increased the anterior displacement an additional 16% (1.35
mm, p < .05) for a combined anterior laxity of 34% (2.25 mm, p < .05). The data
suggest that the peroneal tendons along with the superior peroneal retinaculum
provide static resistance to anterior talar displacement with the ankle in
neutral. This may contribute to the overall stability of the lateral ankle not
previously recognized.
PMID- 18053401
TI - Sensory communication of the median and ulnar nerves in the palm.
AB - A communicating branch between the median and superficial ulnar nerve in the palm
of the hand has been described, but its relationship to the cutaneous anatomy of
the hand has had little emphasis. Fifty preserved cadaveric hands were dissected.
A communicating branch was found in 37 of 50 specimens. In 34 specimens, the
connecting branch proceeded from the ulnar nerve to enter the median nerve
distally; in three specimens it proceeded from the median nerve to reach the
ulnar nerve distally. This study describes the communicating branch in relation
to the distal crease of the wrist with the axis of the third webspace and fifth
ray as the radial and ulnar borders, respectively. This study may aid surgeons in
determining the likelihood of injury in trauma or during various surgical
procedures.
PMID- 18053402
TI - Cluster of Mycobacterium fortuitum prosthetic joint infections.
AB - Mycobacterium fortuitum is a member of the rapidly growing nontuberculous
mycobacteria. Although mortality is rare from M. fortuitum, morbidity depends on
the localized site of infection. M. fortuitum is ubiquitous in the environment
and has been reported to cause infections of prosthetic devices. There have been
seven previously reported cases of prosthetic joint infections due to M.
fortuitum. This article presents three additional cases of postoperative joint
infections due to M. fortuitum. All three cases were confirmed to be genetically
indistinguishable by pulse-field gel electrophoresis. Awareness of its importance
is especially noteworthy in the realm of ongoing antibiotic resistance.
PMID- 18053403
TI - Infraclavicular brachial plexopathy secondary to coracoid osteoid osteoma.
AB - Coracoid osteoid osteomas are rare. This case report presents two patients with
coracoid osteoid osteomas who developed neurologic sequelae of the
infraclavicular brachial plexus (namely, musculocutaneous neuropathy in one
patient with an anterior lesion, and suprascapular neuropathy in the other
patient with a more posterior lesion). The neuropathy was due to soft tissue
edema, which surrounded the bony lesion and was apparent on MRI. Surgical
resection of the bony lesion in both cases produced excellent outcomes at long
term follow-up.
PMID- 18053404
TI - Hip incision planning for free vascularized fibular grafting of the proximal
femur: a handy tip.
AB - Free vascularized fibula graft surgery has been shown to be successful in the
treatment of osteonecrosis of the femoral head. Refinements in the surgical
technique have greatly decreased patient morbidity and overall surgical time.
Careful placement of the hip incision is one such refinement. Specific bony
landmarks to map out the location of the incision and a simple technique for
incision placement are described. An accurately placed 10- to 15-cm incision will
allow access to both the proximal femur and the ascending branch of the lateral
femoral circumflex artery and veins.
PMID- 18053405
TI - Re: Ewing's sarcoma of the hip presenting as a benign cyst lesion,
Papagelopoulos, P.J., Mavrogenis, A.F., Benetos, I.S., Papaparaskeva, K.,
Galanis, E.C., Soucacos, P.N., JSOA 16(2):84-88, 2007.
PMID- 18053408
TI - Image-guided analyses reveal that non-CD4 splenocytes contribute to CD4+ T cell
mediated inflammation leading to islet destruction by altering their local
function and not systemic trafficking patterns.
AB - Recruitment of CD4(+) T cells into islets is a critical component of islet
inflammation (insulitis) leading to type 1 diabetes; therefore, determining if
conditions used to treat diabetes change their trafficking patterns is relevant
to the outcome. Cotransfer of CD4(+)BDC2.5 (BDC) cells with non-CD4 splenocytes
obtained from newly diabetic NOD mice, but not when they are transferred alone,
induces accelerated diabetes. It is unclear whether these splenocytes affect
diabetes development by altering the systemic and/or local trafficking and
proliferation patterns of BDC cells in target and nontarget tissues. To address
these questions, we developed an animal model to visualize BDC cell trafficking
and proliferation using whole-body in vivo bioluminescence imaging and used the
images to direct tissue sampling for further analyses of the cell distribution
within tissues. The whole-body, or macroscopic, trafficking patterns were not
dramatically altered in both groups of recipient mice. However, the local
patterns of cell distribution were distinct, which led to invasive insulitis only
in cotransferred mice with an increased number of islet-infiltrating CD11b(+) and
CD11c(+) cells. Taken together, the non-CD4 splenocytes act locally by promoting
invasive insulitis without altering the systemic trafficking patterns or
proliferation of BDC cells and thus contributing to diabetes by altering the
localization within the tissue.
PMID- 18053410
TI - Noninvasive detection of matrix metalloproteinase activity in vivo using a novel
magnetic resonance imaging contrast agent with a solubility switch.
AB - We have developed novel proteinase-modulated contrast agents (PCAs) to detect the
activity of proteinases in vivo using magnetic resonance imaging. The PCAs are
based on the concept of a solubility switch, from hydrophilic to hydrophobic,
that significantly modifies the pharmacokinetic properties of the agent as
revealed by the slow efflux kinetics from the activity site. Our compound PCA7
switch detects the activity of the secreted matrix-degrading proteinase matrix
metalloproteinase 7 (MMP-7) in living, tumor-bearing mice. Control experiments
were performed using an agent that was not cleaved by MMP-7 (PCA7-scrambled), an
agent that could be cleaved by MMP-7 but lacked the solubility switch (PCA7-B),
and a standard contrast agent (gadolinium-diethylenetriaminepentaacetic acid).
PCA7-switch detected a reduction in MMP-7 activity in tumor-bearing mice treated
with a synthetic MMP inhibitor, demonstrating its effectiveness in noninvasive
functional imaging of proteolytic activity in vivo.
PMID- 18053409
TI - Tailoring the size distribution of ultrasound contrast agents: possible method
for improving sensitivity in molecular imaging.
AB - Encapsulated microbubble contrast agents incorporating an adhesion ligand in the
microbubble shell are used for molecular imaging with ultrasound. Currently
available microbubble agents are produced with techniques that result in a large
size variance. Detection of these contrast agents depends on properties related
to the microbubble diameter such as resonant frequency, and current ultrasound
imaging systems have bandwidth limits that reduce their sensitivity to a
polydisperse contrast agent population. For ultrasonic molecular imaging, in
which only a limited number of targeted contrast agents may be retained at the
site of pathology, it is important to optimize the sensitivity of the imaging
system to the entire population of contrast agent. This article presents contrast
agents with a narrow size distribution that are targeted for molecular imaging
applications. The production of a functionalized, lipid-encapsulated, microbubble
contrast agent with a monodisperse population is demonstrated, and we evaluate
parameters that influence the size distribution and demonstrate initial acoustic
testing.
PMID- 18053411
TI - HSP70-inducible hNIS-IRES-eGFP reporter imaging: response to heat shock.
AB - A retroviral vector pQHSP70/hNIS-IRES-eGFP (pQHNIG70) was constructed containing
the hNIS-IRES-eGFP dual-reporter genes under the control of an inducible human
heat shock protein (HSP)70 promoter and RG2-pQHSP70/hNIS-IRES-eGFP (RG2-pQHNIG70)
transduced cells were generated. Heat-induced expression of both reporter genes
in RG2-pQHNIG70 cells was validated by enhanced green fluorescent protein (eGFP)
fluorescence-activated cell sorter, in vitro radiotracer assays, and immunoblot
and immunocytochemistry. A 2.2- to 6.1-fold ((131)I(-)), a 6.1- to 14.4-fold
((99m)TcO(4)(-)), and a 5.1- to 39-fold (fluorescence) increase above baseline
was observed in response to graded hyperthermia (39-43 degrees C). Increases in
eGFP fluorescence and radiotracer uptake were first noted at 6 hours, reached a
maximum at 24 hours, and fell toward baseline at 72 hours. A stable ratio of
radiotracer uptake to eGFP fluorescence and to heat shock protein (HSP)70 protein
was demonstrated over a wide range of expression levels, induced by different
levels of heating. We also demonstrate that the local application of heat on RG2
pQHNIG70 xenografts can effectively induce hNIS and eGFP gene expression in vivo
and that this expression can be efficiently visualized by fluorescence,
scintigraphic, and micro-positron emission tomography imaging. Endogenous HSP70
protein and reporter expression was confirmed by postmortem tissue evaluations
(immunoblot and immunohistochemistry). The pQHNIG70 reporter system can be used
to study stress and drug responses in transduced cells and tissues.
PMID- 18053412
TI - Targeting phosphatidylserine on apoptotic cells with phages and peptides selected
from a bacteriophage display library.
AB - Phosphatidylserine (PS) is a well-characterized biomarker for apoptosis. Ligands
that bind to PS can be used for noninvasive imaging of therapy-induced cell
death, particularly apoptosis. In this study, we screened a random 12-mer peptide
phage library on liposomes prepared from PS. One clone displaying the peptide
SVSVGMKPSPRP (designated as PS3-10) bound to PS approximately 4-fold better than
its binding to phosphatidylcholine and 18-fold better than to bovine serum
albumin in a solid-phase binding assay. In addition, the binding of the
corresponding PS3-10 peptide to PS was significantly higher than that of a
scrambled peptide. PS3-10 phages, but not a control 4-2-2 phage, bound to aged
red blood cells that had PS exposed on their surface. Binding of PS3-10 phages
and PS3-10 peptide to TRAIL-induced apoptotic DLD1 cells was 3.2 and 5.4 times
higher than their binding to untreated viable cells, respectively. Significantly,
immunohistochemical staining confirmed selective binding of PS3-10 phages to
apoptotic cells. Our data suggest that panning of phage display libraries may
allow the selection of suitable peptide ligands for apoptotic cells and that PS3
10 peptide may serve as a template for further development of molecular probes
for in vitro and in vivo imaging of apoptosis.
PMID- 18053413
TI - Signaling can be uncoupled from imaging of the somatostatin receptor type 2.
AB - Endogenous and exogenous somatostatin receptors are commonly targeted for imaging
using radiopharmaceutical analogues of somatostatin. Ligand binding activates
receptor-mediated signaling. We assessed whether somatostatin receptor type 2A
(SSTR2A) imaging can be uncoupled from signal transduction. In both human
fibrosarcoma (HT1080) and human embryonic kidney (HEK293) cells, reverse
transcriptase-polymerase chain reaction and enzyme-linked immunosorbent assay
found similar levels of expression of hemagglutinin A tagged SSTR2A (HA-SSTR2A)
or the same fusion protein with a deletion of the C-terminus beyond amino acid
314 (HA-SSTR2Delta314). Scatchard analysis demonstrated similar degrees of ligand
binding by the wild-type or mutant receptor to (111)In-octreotide in both cell
pairs. Cyclic guanosine monophosphate (cGMP) production and inhibition of
forskolin-induced cylic adenosine monophosphate (cAMP) production were evaluated
at the signaling level, and growth inhibition was evaluated at the cellular level
before and after stimulation. Unlike wild-type receptor, HA-SSTR2Delta314 was
deficient in inhibiting forskolin-induced cAMP production (p < .05) and in
inciting cGMP (p < .05) production; furthermore, at the cellular level, HA
SSTR2Delta314 was deficient in inhibiting cell proliferation (p < .05). Yet
tumors expressing HA-SSTR2Delta314 could be imaged in vivo. Thus, in vivo imaging
of SSTR2 can be uncoupled from cAMP and cGMP signaling as well as growth
inhibition.
PMID- 18053416
TI - Nitric oxide: what a vascular surgeon needs to know.
AB - Atherosclerosis in the form of peripheral arterial disease results in significant
morbidity and mortality. Surgical treatment options for peripheral arterial
disease include angioplasty with and without stenting, endarterectomy, and bypass
grafting. Unfortunately, all of these procedures injure the vascular endothelium,
which impairs its ability to produce nitric oxide (NO) and ultimately leads to
neointimal hyperplasia and restenosis. To improve on current patency rates after
vascular procedures, investigators are engaged in research to improve the
bioavailability of NO at the site of vascular injury in an attempt to reduce the
risk of thrombosis and restenosis after successful revascularization. This
article reviews some of the previous research that has aimed to improve NO
bioavailability after vascular procedures whether through systemic or local
delivery, as well as to describe some of the NO-releasing products that are
currently undergoing study for use in clinical practice.
PMID- 18053417
TI - Mitochondriopathy of peripheral arterial disease.
AB - The signs and symptoms of peripheral arterial occlusive disease (PAD), including
claudication, rest pain, and tissue loss, are consequences of compromised
bioenergetics and oxidative tissue injury within the affected lower extremities.
Compromised bioenergetics is the result of a combination of low blood flow
through diseased arteries and diminished adenosine triphosphate production by
dysfunctional mitochondria. The tissue injury appears to be secondary to
increased production of reactive oxygen species by dysfunctional mitochondria and
by inflammation, in association with ischemia and ischemia/reperfusion. In this
review, we present the current histomorphologic, physiologic, and biochemical
evidence defining the nature of this mitochondriopathy and discuss its
contribution to the pathogenesis and clinical manifestations of PAD.
PMID- 18053418
TI - Regulation of vascular smooth muscle cell growth by survivin.
AB - The inhibitor of apoptosis protein survivin has long been of interest in the
cancer literature for its role in both the regulation of cell proliferation and
the inhibition of apoptosis. A growing body of literature has implicated survivin
in the maladaptive pathways following vascular injury and, in particular, in the
growth of vascular smooth muscle cells that comprise the hyperplastic neointimal
lesions that characterize midterm vein bypass graft failure and restenosis
following angioplasty and stenting. This review focuses on the emerging role of
survivin in the regulation of smooth muscle cell growth and its implications for
the prevention of restenosis following revascularization procedures. The
expression, regulation, and function of survivin are addressed, as well as the
current state of understanding regarding the effects of survivin inhibition in
vitro and in vivo.
PMID- 18053419
TI - Chemokines and diabetic wound healing.
AB - Chemokines are critical for white blood cell recruitment to injured tissues and
play an important role in normal wound healing processes. In contrast, impaired
wound healing in diabetic patients is accompanied by decreased early inflammatory
cell infiltration but persistence of neutrophils and macrophages in the chronic,
nonhealing wounds. These changes in inflammatory cell recruitment occur in
conjunction with alterations in chemokine and growth factor expression. In
addition to leukocyte trafficking, many different cell types, including
endothelial cells, fibroblasts, and keratinocytes, produce and respond to
chemokines, and these interactions are altered in diabetic wounds. Thus, the
chemokine system may have both direct and inflammatory-mediated effects on many
different aspects of diabetic wound healing. The potential roles of chemokines
and inflammatory or immune cells in nonhealing diabetic wounds, including
impairments in growth factor expression, angiogenesis, extracellular matrix
formation, and reepithelialization, are examined.
PMID- 18053420
TI - Optimal prosthetic graft design for small diameter vascular grafts.
AB - Autogenous vein and arterial grafts, such as great saphenous veins and internal
mammary and radial arteries, remain the gold standard conduits for vascular
reconstruction. Expanded polytetrafluoroethylene (PTFE) grafts, which exhibit
little inflammatory and thrombogenic reactivity, are the most commonly used
material of choice for small diameter vascular grafts when autogenous grafts are
not available. Several modifications of the basic graft have been attempted to
enhance graft healing of expanded PTFE grafts, and little but definite
experimental and clinical improvement has been achieved so far. The technique of
vascular tissue engineering, in combination with stem cell research, may hold the
key for the creation of a practical and successful small diameter prosthetic
graft.
PMID- 18053421
TI - Medical therapy approach for treating abdominal aortic aneurysm.
AB - Abdominal aortic aneurysm (AAA) is a common and deadly problem. The aortic
diameter increases in association with a complex remodeling process that includes
changes in the structure and content of key proteins, elastin and collagen. As
these changes occur, the tissue mechanical properties also change. The natural
history of AAA is progressive enlargement to a point of mechanical tissue
failure, typically followed by death. Currently, the marker used to predict the
risk of impending rupture is the largest transverse diameter. After reaching a
diameter threshold of 5.5 cm, the aneurysm is surgically repaired. This criterion
does not consider any patient-specific information or the known heterogeneity of
the aneurysm that may, in some cases, lead to rupture before the aneurysm reaches
the standard intervention threshold. Conversely, in many patients, continued
observation beyond this threshold is safe. Although no medical treatment is yet
approved, doxycycline has been shown to greatly reduce aortic aneurysm growth in
animal models and has been shown to slow growth in several small clinical trials.
Although larger prospective randomized trials are needed, one unknown is what
effect doxycycline has on the structural integrity of the aortic wall. That is,
does slowed aneurysm growth by doxycycline treatments, in fact, prevent rupture,
or does the wall continue to weaken and the aneurysm instead ruptures at a
smaller diameter? Research has begun to answer these questions before a large
clinical trial begins.
PMID- 18053422
TI - Plasmin and matrix metalloproteinase system in deep venous thrombosis resolution.
AB - Deep venous thrombosis (DVT) is a common event in hospitalized medical and
surgical patients. Outside of anticoagulation, few good options exist for
decreasing the vein wall damage that results after natural thrombolysis. DVT
resolution is complex and involves chemokines, leukocytes, and native vein wall
cells. Herein some aspects of DVT resolution related to the intersection of
inflammation, the plasminogen and matrix metalloproteinase systems, and their
respective inhibitors are reviewed. Ultimately, better knowledge of these natural
thrombolytic systems may allow local, directed, and specific acceleration of DVT
resolution and decreased vein wall damage.
PMID- 18053423
TI - Direct thrombin inhibitors: alternatives to heparin.
AB - After more than 70 years of effective clinical use, heparin remains the most
common anticoagulant in use and one of the most commonly prescribed drugs to
hospitalized patients. However, the biologic variability and immunogenicity limit
its utility. With increasing volumes of vascular intervention and an aging
population, an increase in the need for anticoagulation can be anticipated. This
article reviews current viable options and barriers to the use of heparin.
PMID- 18053424
TI - Theory, technique, and practice of magnetic resonance angiography.
AB - Magnetic resonance angiography (MRA) is now a widely accepted technique used to
characterize vascular pathology such as stenosis, dissection, fistula, and
aneurysms. Magnetic resonance techniques are increasingly driving clinical
decision making by vascular physicians. The physics behind MRA can contribute to
the general understanding and interpretation of the anatomic images. We seek to
provide a window into how magnetic resonance images are generated, which
techniques may be employed, and the potential advantages and limitations of
various techniques and to discuss the future role MRA may have for the vascular
physician.
PMID- 18053425
TI - Endothelial progenitor cells: a primer for vascular surgeons.
AB - Endothelial progenitor cells (EPCs) participate in vascular healing during both
acute injury and chronic disease. The quantity and quality of circulating EPCs
correlate inversely with the severity of vascular disease, such that reduced
number and/or function of EPCs are significant independent risk factors for
impaired healing capacity, dysfunctional endothelium, and progression of
atherosclerosis and vascular disease. EPC therapy assists healing of cardiac and
limb ischemia and has great potential for improving the quality of life and
longevity of patients with severe cardiovascular and peripheral vascular disease
who are not candidates for conventional revascularization procedures. In
addition, EPCs can be used to promote vascular graft patency. This review focuses
on the characterization of EPCs, positive and negative regulators of EPCs, the
role of EPCs in vascular disease, and the potential for EPC therapy to ameliorate
the sequelae of severe peripheral vascular disease.
PMID- 18053427
TI - Early detection and biomarkers in pancreatic cancer.
AB - Major advances in cancer control will be greatly aided by early detection for
diagnosing and treating cancer in its preinvasive state before metastasis.
Unfortunately, for pancreatic ductal adenocarcinoma (PDAC), which is the fourth
leading cause of cancer-related death in the United States, effective early
detection and screening are currently not available and tumors are typically
diagnosed at a late stage, frequently after metastasis. Partly because of low
sensitivity/specificity, existing biomarkers such as CA19-9 are not adequate as
early detection markers of pancreatic cancer. Thus, a great need exists for new
biomarkers for pancreatic cancer. This article focuses on recent developments in
the identification of new serum protein biomarkers that are useful in the early
detection of PDAC.
PMID- 18053426
TI - Pancreatic adenocarcinoma. Clinical Practice Guidelines in Oncology.
PMID- 18053428
TI - Targets, trials, and travails in pancreas cancer.
AB - Pancreas cancer is a highly aggressive and rapidly fatal disease. The current
standard of care for advanced disease improves survival modestly at best and
provides palliation for a minority of patients. The need for new therapies is
undisputed. This article describes new therapeutic strategies currently under
investigation and discusses possible reasons that others have failed. New
potential targets in the treatment of this formidable disease are suggested based
on recent findings.
PMID- 18053429
TI - Cancer-related fatigue. Clinical Practice Guidelines in Oncology.
PMID- 18053430
TI - Update on psychotropic medications for cancer-related fatigue.
AB - Fatigue is a common and highly distressing symptom of cancer associated with
reduced quality of life and considerable psychological and functional morbidity.
The reported prevalence of cancer-related fatigue ranges from 4% to 91%,
depending on the specific cancer population studied and the methods of
assessment. Cancer-related fatigue has typically been underreported,
underdiagnosed, and undertreated. Fatigue and depression may coexist in cancer
patients, and considerable overlap of symptoms occurs. This is partly the reason
for the interest in examining the role of psychotropic medications in treating
fatigue. Clarifying the relationship between depression and fatigue is necessary
to effectively evaluate and treat cancer-related fatigue. Even with International
Classification of Diseases criteria, differentiating cancer-related fatigue is
difficult. Psychotropic drugs that have been studied for cancer-related fatigue
include psychostimulants, wakefulness-promoting agents, and antidepressants.
Methylphenidate has been studied most and seems to be effective and well
tolerated despite common side effects. Some preliminary data support using
modafinil in cancer-related fatigue with less concern about tolerance or
dependence. Antidepressant studies have shown mixed results. Paroxetine seems to
show benefit for fatigue primarily when it is a symptom of clinical depression.
Bupropion, a norepinephrine/dopamine reuptake inhibitor, may have psychostimulant
like effects, and therefore may be more beneficial for treating fatigue. However,
studies are currently limited. Randomized, placebo-controlled trials with
specific agents are needed to further assess the efficacy and tolerability of
psychotropic medications in the treatment of cancer-related fatigue.
PMID- 18053431
TI - Implementing the Fatigue Guidelines at one NCCN member institution: process and
outcomes.
AB - Fatigue, despite being the most common and distressing symptom in cancer, is
often unrelieved because of numerous patient, provider, and system barriers. The
overall purpose of this 5-year prospective clinical trial is to translate the
NCCN Cancer-Related Fatigue Clinical Practice Guidelines in Oncology and NCCN
Adult Cancer Pain Clinical Practice Guidelines in Oncology into practice and
develop a translational interventional model that can be replicated across
settings. This article focuses on one NCCN member institution's experience
related to the first phase of the NCCN Cancer-Related Fatigue Guidelines
implementation, describing usual care compared with evidence-based guidelines.
Phase 1 of this 3-phased clinical trial compared the usual care of fatigue with
that administered according to the NCCN guidelines. Eligibility criteria included
age 18 years or older; English-speaking; diagnosed with breast, lung, colon, or
prostate cancer; and fatigue and/or pain ratings of 4 or more on a 0 to 10
screening scale. Research nurses screened all available subjects in a cancer
center medical oncology clinic to identify those meeting these criteria.
Instruments included the Piper Fatigue Scale, a Fatigue Barriers Scale, a Fatigue
Knowledge Scale, and a Fatigue Chart Audit Tool. Descriptive and inferential
statistics were used in data analysis. At baseline, 45 patients had fatigue only
(> or = 4) and 24 had both fatigue and pain (> or = 4). This combined sample (N =
69) was predominantly Caucasian (65%), female (63%), an average of 60 years old,
diagnosed with stage 3 or 4 breast cancer, and undergoing treatment (82%). The
most common barriers noted were patients' belief that physicians would introduce
the subject of fatigue if it was important (patient barrier); lack of fatigue
documentation (professional barrier); and lack of supportive care referrals
(system barrier). Findings showed several patient, professional, and system
barriers that distinguish usual care from that recommended by the NCCN Cancer
Related Fatigue Guidelines. Phase 2, the intervention model, is designed to
decrease these barriers and improve patient outcomes over time, and is in
progress.
PMID- 18053436
TI - Stress ulcer prophylaxis: overuse is understated.
PMID- 18053437
TI - Onychomycosis is more than a cosmetic concern.
PMID- 18053438
TI - Azithromycin for PID beats doxycycline on all counts.
PMID- 18053439
TI - Is it DVT? Wells score and D-dimer may avert costly workup.
PMID- 18053440
TI - Annual zoledronic acid infusion lowers risk of fracture, death.
PMID- 18053441
TI - Hooked from the first cigarette.
PMID- 18053442
TI - Itchy perianal erythema.
PMID- 18053443
TI - Empathy goes a long way in weight loss discussions.
AB - PURPOSE: This study explores how weight-related topics are discussed between
physicians and their overweight and obese female patients. METHODS: We surveyed
and audio-recorded preventive health and chronic care visits with 25 overweight
and obese female patients. We coded both for quantity (content and time) of
weight-related discussions and quality (adherence to Motivational Interviewing
[MI] techniques). We then tested correlations of these measures with patients'
reported attempts to lose weight, change diet, and change exercise patterns 1
month after the visit. RESULTS: Weight was routinely addressed (19 of 25
encounters). Patients usually initiated the topic (67% of time). Physicians' use
of MI techniques resulted in patients attempting to lose weight and changing
their exercise patterns. CONCLUSION: Physicians may benefit from MI training to
help patients lose weight.
PMID- 18053444
TI - An unusual case of chest pain.
PMID- 18053445
TI - Clinical inquiries. What is the risk of bowel strangulation in an adult with an
untreated inguinal hernia?
PMID- 18053446
TI - Clinical inquiries. Are steroid injections effective for tenosynovitis of the
hand?
PMID- 18053447
TI - Clinical inquiries. How should you document a patient's refusal to undergo a
necessary intervention?
PMID- 18053448
TI - Clinical inquiries. What is the best treatment for chronic constipation in the
elderly?
PMID- 18053449
TI - Prominent J wave in accidental hypothermia.
PMID- 18053450
TI - Monoclonal gammopathy of undetermined significance: genetic vs environmental
etiologies.
PMID- 18053451
TI - Advance directives: know what you want, get what you need.
PMID- 18053452
TI - Methicillin-resistant Staphylococcus aureus: the latest health scare.
PMID- 18053453
TI - Prevalence of monoclonal gammopathy of undetermined significance among men in
Ghana.
AB - OBJECTIVE: To determine the prevalence of monoclonal gammopathy of undetermined
significance (MGUS), a precursor of multiple myeloma (MM), in Ghanaian men vs
white men and to test for evidence to support an underlying race-related
predisposition of the 2-fold higher prevalence of MGUS in African Americans vs
whites. PARTICIPANTS AND METHODS: Between September 1, 2004, and September 30,
2006, 917 men (50-74 years) underwent in-person interviews and physical
examinations. Serum samples from all participants were analyzed by
electrophoresis performed on agarose gel; any serum sample with a discrete or
localized band was subjected to immunofixation. Age-adjusted and standardized (to
the 2000 world population) prevalence estimates of MGUS and 95% confidence
intervals (CIs) were computed in the Ghanaian men and compared with MGUS
prevalence in 7996 white men from Minnesota. Associations between selected
characteristics and MGUS prevalence were assessed by the Fisher exact test and
logistic regression models. RESULTS: Of the 917 study participants, 54 were found
to have MGUS, yielding an age-adjusted prevalence of 5.84 (95% CI, 4.27-7.40) per
100 persons. No significant variation was found by age group, ethnicity,
education status, or prior infectious diseases. The concentration of monoclonal
immunoglobulin was undetectable in 41 (76%) of the 54 MGUS cases, less than 1
g/dL in 10 patients (19%), and 1 g/dL or more in only 3 patients (6%). Compared
with white men, the age-adjusted prevalence of MGUS was 1.97-fold (95% CI, 1.94
2.00) higher in Ghanaian men. CONCLUSION: The prevalence of MGUS in Ghanaian men
was twice that in white men, supporting the hypothesis that race-related genetic
susceptibility could explain the higher rates of MGUS in black populations. An
improved understanding of MGUS and MM pathophysiology would facilitate the
development of strategies to prevent progression of MGUS to MM.
PMID- 18053454
TI - Prevalence of monoclonal gammopathy of undetermined significance: study of 52,802
persons in Nagasaki City, Japan.
AB - OBJECTIVE: To assess the prevalence of monoclonal gammopathy of undetermined
significance (MGUS) in a large Japanese population. PARTICIPANTS AND METHODS:
From October 1, 1988, to March 31, 2004, a total of 52,802 (of 71,675) Japanese
survivors of the atomic bomb explosion in Nagasaki City, Japan, were screened for
M protein. The youngest participant was 42.3 years as of October 1, 1988. A 2
step screening was performed with a serum protein electrophoresis followed by
immunoelectrophoresis and a quantitative determination of serum concentration of
immunoglobulins. Twenty-one patients who were diagnosed for the first time at the
time of screening as having multiple myeloma and Waldenstrom macroglobulinemia
were excluded from analyses. Age- and sex-specific prevalence rates of MGUS were
calculated. RESULTS: Monoclonal gammopathy of undetermined significance was
identified in 1088 of the 52,781 study participants. The overall prevalence of
MGUS was 2.1% (95% confidence interval [CI], 1.9%-2.2%) in the total population
screened and 2.4% (95% CI, 2.0%-2.6%) in those 50 years or older. The prevalence
was significantly higher in men than in women (2.8% vs 1.6%; age-adjusted odds
ratio, 2.0; 95% CI, 1.8-2.3; P less than .001). In both sexes, the prevalence
rose with increasing age from 1.0% in participants aged 42 to 49 years, 1.9% in
those 50 to 59 years, 2.6% in those 60 to 69 years, and 3.0% in those 70 to 79
years, to 4.4% in those 80 years and older. The heavy chain isotypes of
immunoglobulin were IgG in 73.6% of patients, IgA in 17.7%, IgM in 7.5%, and
oligoclonal gammopathies in 1.1%. CONCLUSION: The prevalence of MGUS is lower in
this Japanese population than that reported in Western countries among people
older than 60 years, especially among women.
PMID- 18053455
TI - Patients who complete advance directives and what they prefer.
AB - OBJECTIVE: To determine health care preferences expressed by patients in advance
directives (ADs) and to identify characteristics of patients who completed them.
PATIENTS AND METHODS: A computer-generated random sample of 500 patients was
selected from the 25,865 (margin of error, +/- 4.34%) unique patients who
submitted ADs to Mayo Clinic Rochester between January 1, 2004, and July 1, 2005.
After excluding 24 (4.8%) patients who had submitted documents related to
financial and property matters instead of ADs, we analyzed the contents of the
476 ADs and the demographic features of the patients to whom the documents
belonged. RESULTS: The median (range) age of the patients at the time they signed
their respective ADs was 67 (19-97) years. Of the 476 study patients, 409 (91.3%)
were high school graduates; 339 (71.2%) had submitted a combined AD, which has
features of a living will and a health care power of attorney; 434 (91.2%) had
designated a health care agent; and most had granted the agent powers to consent
for procedures (340 [78.3%]), to access information (327 [75.3%]), and to
withhold and withdraw life-sustaining treatments (337 [77.6%]). Most patients
expressed a desire for pain control (308 [64.7%]). For the clinical situations of
dying or permanent unconsciousness, most patients explicitly expressed a
preference to avoid "general life support" (371 [77.9%]) but did not explicitly
address common life-sustaining treatments, including cardiopulmonary
resuscitation, mechanical ventilation, hemodialysis, blood transfusion, and
artificial nutrition and hydration (if they did, nearly all expressed a
preference to avoid the treatments). CONCLUSION: In ADs submitted to our
institution, most patients designated a health care agent and granted the agent
broad decision-making powers. Although most expressed a desire to avoid "general
life support" if dying or permanently unconscious, few expressed preferences
regarding specific life-sustaining treatments. Patients, clinicians, and others
who use ADs, and investigators contemplating research involving ADs, might find
these results informative.
PMID- 18053456
TI - Advance directives among health care professionals at a community-based cancer
center.
AB - OBJECTIVE: To determine the proportion of health care professionals at our
community-based cancer center who had completed advance directives (ADs) and to
identify barriers to their doing so. PARTICIPANTS AND METHODS: On October 11,
2005, we sent a Web-based survey via e-mail to 170 health care professionals who
have face-to-face contacts with patients at our institution. These health care
professionals included medical (physicians, n=15), associate (physician
assistants and nurse practitioners, n=7), and support (nurses, radiation
therapists, medical or nurse assistants, social workers, nutritionists,
geneticist, chaplain, family counselor, and patient liaisons; n=148) staff
members. The survey ended on October 31, 2005. For the purpose of this survey,
having a written AD was defined as having both a living will and a power of
attorney for health care. Key outcome measures of our study were existence of
ADs, placement of ADs in the medical record, communication of ADs with loved ones
and primary care physician, and factors that influenced the decision to have an
AD. Statistical tests included the chi2 and Fisher exact tests. RESULTS: Of the
170 health care professionals to whom the survey was sent, 134 (79%) responded.
Although 83% reported that they had discussed their wishes regarding future life
sustaining medical care with those closest to them, only 35% had a written AD
(58% of medical staff, 50% of associate staff, and 32% of support staff). Among
those with ADs, 66% had informed their primary care physician, and 71% were
certain that their ADs were in their medical records. Older age (P less than
.001), role as medical or associate staff (P=.05), and more years of work
experience with patients with cancer (P=.05) were associated with having an AD,
whereas sex, marital or partnership status, and number of children were not.
Perceived lack of time was the most common barrier cited (45%). Among those
without an AD, 75% reported having end-of-life discussions with family members or
loved ones. CONCLUSION: Despite work experience with patients who have a high
mortality rate, health care professionals at our cancer center do not adequately
communicate (via a written AD) end-of-life planning decisions to their own
primary care physicians.
PMID- 18053457
TI - Systematic review and meta-analysis of real-world adherence to drug therapy for
osteoporosis.
AB - OBJECTIVE: To quantify the adherence of patients to drug therapy for osteoporosis
in real-world settings via a systematic review and meta-analysis of observational
studies. METHODS: The PubMed and Cochrane databases were searched for English
language observational studies published from January 1, 1990, to February 15,
2006, that assessed patient adherence to drug therapy for osteoporosis using the
following medical subject headings and keywords: drug therapy, medication
adherence, medication persistence, medication possession ratio, patient
compliance, and osteoporosis. Studies were stratified into 3 groups: persistence
(how long a patient continues therapy), compliance (how correctly, in terms of
dose and frequency, a patient takes the medication), and adherence (a combination
of persistence and compliance). A random-effects model was used to pool results
from the selected studies. RESULTS: Twenty-four studies were included in the meta
analysis. The pooled database-derived persistence rate was 52% (95% confidence
interval [CI], 44%-59%) for treatment lasting 1 to 6 months, 50% (95% CI, 37%
63%) for treatment lasting 7 to 12 months, 42% (95% CI, 20%-68%) for treatment
lasting 13 to 24 months, returning to 52% (95% CI, 45%-58%) for treatment lasting
more than 24 months. Pooled adherence rates decreased from 53% (95% CI, 52%-54%)
for treatment lasting 1 to 6 months to 43% for treatment lasting 7 to 12 months
(95% CI, 38%-49%) or 13 to 24 months (43%; 95% CI, 32%-54%). The pooled refill
compliance estimate was 68% (95% CI, 63%-72%) for treatment lasting 7 to 12
months and 68% (95% CI, 67%-69%) for treatment lasting 13 to 24 months. The
pooled self-reported compliance rate was 62% (95% CI, 48%-75%) for treatment
lasting 1 to 6 months and 66% (95% CI, 45%-81%) for treatment lasting 7 to 12
months. CONCLUSION: One-third to half of patients do not take their medication as
directed. Nonadherence occurs shortly after treatment initiation. Terms and
definitions need to be standardized to permit comparability of technologies
designed to improve patient adherence. Prospective trials are needed to assess
the relationship between adherence and patient outcomes.
PMID- 18053458
TI - Safety of percutaneous dilatational tracheostomy with direct bronchoscopic
guidance for solid organ allograft recipients.
AB - OBJECTIVE: To determine the safety of percutaneous dilatational tracheostomy
(PDT) for solid organ allograft recipients, who have increased risks of bleeding
and infection. PARTICIPANTS AND METHODS: We reviewed the records of patients who
underwent solid organ transplant between January 1, 2001, and September 30, 2005,
followed by PDT (using the Ciaglia technique) with direct bronchoscopic guidance.
We recorded comorbid conditions, number of days from intubation and transplant,
positive end-expiratory pressures, ratios of PaO2 to fraction of inspired oxygen,
coagulation study findings, complications, and procedure-related mortality rates.
RESULTS: Of the 51 patients in our study, 17 had undergone lung transplant; 32,
liver transplant; and 2, kidney transplant. The median age was 55 years (range,
27-73), and 53% of patients were men. The median time from intubation to PDT was
10 days and from transplant to PDT, 22 days. The median ratio of PaO2 to fraction
of inspired oxygen was 293, and the median positive end-expiratory pressure was 5
cm H2O. Twenty-one patients were receiving dialysis, and 11 were recovering from
sepsis (of these, 8 were receiving vasopressors). Ten had coagulopathies (none of
which were associated with bleeding complications). Complications were infrequent
(7 periprocedural, 4 postprocedural) and included bleeding, bradycardia,
hypotension, tracheal ring fracture, and cannula malfunction. Of the bleeding
complications, only 2 were clinically remarkable and required removal of the
tracheostomy or surgical revision. No infectious complications or procedure
related deaths were noted. CONCLUSION: Percutaneous dilatational tracheostomy was
tolerated well in recipients of solid organ allografts and had a relatively low
risk of major complications and a low procedure-related mortality rate. This
method should be considered an acceptable alternative to surgical tracheostomy.
PMID- 18053459
TI - Remitting seronegative symmetrical synovitis with pitting edema syndrome in a
rural tertiary care practice: a retrospective analysis.
AB - OBJECTIVE: To review the clinical and laboratory features of remitting
seronegative symmetrical synovitis with pitting edema (RS3PE) in a rural tertiary
care rheumatology practice, describe treatments and outcomes, and compare our
results to previous reports in the literature. PATIENTS AND METHODS: We performed
a retrospective chart review of all patients diagnosed as having RS3PE who were
seen in the Department of Rheumatology at Geisinger Medical Center, Danville, PA,
from January 1, 1992, to December 31, 2005. RESULTS: We identified 12 men and 2
women, all of whom were white. Mean +/- SD age was 74.0 +/- 6.6 years; mean +/-
SD erythrocyte sedimentation rate was 35.9 +/- 21.1 mm/h at presentation. Onset
of illness was sudden in 9 patients and insidious in 5. All patients were
initially treated with prednisone (15-20 mg/d). Although the response in all was
excellent, 9 patients received disease-modifying antirheumatic drugs, either
because of ongoing disease activity or in an effort to decrease the use of
corticosteroids. Hydroxychloroquine was used alone in 7 patients. At the mean +/-
SD time of last follow-up (31.4 +/- 23.1 months), 5 patients continued to receive
therapy. Complications of treatment included worsening of preexisting
hypertension in 3 patients, gastritis in 2, and exacerbation of preexisting
diabetes mellitus in 1. Carpal tunnel syndrome occurred in 6 patients. Duration
of therapy ranged from 5 to 120 months (mean, 29 months). Three patients
developed malignancies, ie, non-Hodgkin lymphoma, transitional cell carcinoma of
the bladder, and prostate carcinoma. CONCLUSION: Our population of patients with
RS3PE is similar to those documented in previous reports: elderly, predominantly
male, and responsive to corticosteroids. However, our series is clinically
differentiated by a greater use of adjunctive disease-modifying antirheumatic
drugs (primarily hydroxychloroquine). Confirming previous reports, we also
observed a possible association between RS3PE and malignancy.
PMID- 18053460
TI - Septal myectomy after previous septal artery ablation in hypertrophic
cardiomyopathy.
AB - OBJECTIVE: To review our institution's experience with patients who failed to
benefit from septal artery ablation, which necessitated subsequent septal
myectomy, and to examine reasons for ablation failure and outcome of myectomy
after ablation. PARTICIPANTS AND METHODS: Of 550 patients who underwent septal
myectomy at Mayo Clinic Rochester between January 1, 1999, and December 31, 2006,
16 (3%) had had a total of 22 previous septal artery ablations. This subset of 16
patients was analyzed and compared with a reference group of 120 patients whose
septal artery ablations were performed at our institution during this period.
Angiograms obtained during septal ablation were available for 13 (81%) of 16
patients in this series and were reviewed by 2 interventional cardiologists
(R.A.N. and S.R.O.). These cardiologists also reviewed preoperative and
postoperative echocardiography data, hospital course, and follow-up data to
compile a list of characteristics that could have contributed to failed ablation.
RESULTS: The median age of the patients at operation was 65 years (interquartile
range [IQR], 52-72 years), and interval between ablation and myectomy was 409
days (IQR, 162-568 days). Angiograms revealed 2 failed procedures secondary to
technical error. One patient had a relatively large first septal perforator with
a large resting gradient. In 10 patients no septal perforators supplying the
proximal septum were identified. Postoperatively, mitral regurgitation decreased
from 3.00 to 1.00 (P less than .001), and left ventricular outflow tract gradient
decreased from 75 mm Hg to 0 mm Hg (IQR, 0-29 mm Hg; P less than .001). Two
patients died after surgery: 1 patient developed multiple-organ system failure on
postoperative day 7, and 1 patient developed arrhythmia on postoperative day 21.
Patients with previous septal artery ablation were older (P=.04), were more
likely to have preoperative permanent pacemakers or implantable cardioverter
defibrillators (P=.05), were more likely to require postoperative pacemaker
placement (P less than .001), and had higher operative mortality (P less than
.001) than control patients. Fourteen patients survived the early recovery phase;
9 were followed up at a median of 1.88 years (IQR, 306 days to 3.3 years). All
patients' symptoms improved. Median gradient of the left ventricular outflow
tract was 13 mm Hg (IQR, 0-15 mm Hg) at follow-up with mild to moderate (1.6)
mitral regurgitation. CONCLUSION: Septal myectomy performed after failed ablation
improves gradient and provides excellent relief of symptoms but is associated
with a higher incidence of morbidity and mortality.
PMID- 18053461
TI - Use of random skin biopsy for diagnosis of intravascular large B-cell lymphoma.
AB - Intravascular lymphoma (IVL) is a rare type of extranodal lymphoma with an
aggressive clinical course characterized by proliferation of large lymphoma cells
within the lumina of the small vessels. Because of its varied clinical symptoms
and the absence of lymphadenopathy, diagnosis of IVL is extremely difficult and
requires histological confirmation. We report here 6 consecutive patients with
IVL, admitted to Kameda General Hospital, Kamogawa-shi, Japan, from June 7, 2006,
to February 28, 2007, whose IVL was diagnosed by random skin biopsy of healthy
appearing skin. Three patients presented with progressive neurological
deterioration and 2 others with hypoxemia with interstitial infiltration on chest
radiography. One patient presented with confusion and severe hypoxia without
apparent interstitial infiltration. Two patients showed localized skin
involvement. Irrespective of the presence of skin lesions, almost all skin biopsy
specimens showed obliteration of small vessels of subcutaneous fat tissues by
lymphoma cells, allowing a prompt diagnosis of IVL. Early institution of
rituximab-based chemotherapy induced favorable responses in all patients treated.
Because diagnosis based on tissue other than skin is usually difficult in
patients with suspected IVL, random skin biopsy should be considered even in
patients with no evident skin lesions.
PMID- 18053462
TI - Understanding and engaging the hostile patient.
PMID- 18053463
TI - Seizures among public figures: lessons learned from the epilepsy of Pope Pius IX.
AB - Epilepsy is one of the most stigmatizing of neurological conditions.
Understanding how epilepsy affects the lives of important historical figures
illuminates the psychosocial burden of disease for modern-day patients. Pius IX,
one of the most consequential Popes in Catholic history, was reported to have
epilepsy. Thus, this study aimed to assess how epilepsy influenced Pope Pius IX's
life and his papacy. Librarians from Mayo Clinic, Library of Congress, and
Vatican Library were consulted to identify all sources pertaining to Pius IX's
health history. Twenty-one sources were consulted, and we conclude that Pius IX
had partial epilepsy, likely a temporal lobe focus with secondarily generalized
seizures. A near-drowning event in his youth coupled with a preexisting central
nervous system lesion (as evidenced by photos showing facial asymmetry) likely
contributed to his epilepsy. Epilepsy played an important role in Pius IX's life
because it led him to the Papacy. At least one doctrine (the immaculate
conception of the Virgin Mary) was influenced by his seizures, but there is no
direct evidence that epilepsy played any role in the creation of other Catholic
dogma ratified during his reign. Analysis of bias in references showed that
favorable views of the Pope tended to minimize any discussions of his epilepsy,
whereas negative references discussed his epilepsy at length. Pius IX's life
holds lessons for modern-day epilepsy advocacy.
PMID- 18053464
TI - Eosinophilic esophagitis: is it all allergies?
AB - Eosinophilic esophagitis (EE) is an increasingly recognized disorder in the adult
population, most often manifested by symptoms of dysphagia and food impaction.
Mechanisms involving eotaxin-3, interleukin 5, and signal transducer and
activator of transcription 6 have been studied and may represent future
therapeutic targets. Patients commonly have a personal and family history of
atopy, and both food allergies and aeroallergens have also been investigated as
triggers of EE. Traditional allergy-testing methods, including skin prick testing
and specific IgE testing, have been used to identify food and environmental
allergies. However, new studies suggest that patch testing could add to
diagnostic accuracy in EE because the disorder might not be a classic type I
allergic response. Although studies of treatment of adults with EE have thus far
focused on swallowed fluticasone proprionate, many trials in children have
assessed the efficacy of food elimination and elemental diets. These diets, which
have been extremely successful in reducing symptoms, have also been shown to
induce histological improvement and remission. No similar studies have been
conducted in adults; the tolerability of such an intervention may prove more
difficult in this population. This article reviews the underlying pathophysiology
of EE and describes evolving options for more accurately identifying food and
environmental allergies. We also discuss the pediatric trials using food
elimination and avoidance diets and suggest that this type of intervention may be
an important area of future research in the adult population.
PMID- 18053465
TI - Emergency management and commonly encountered outpatient scenarios in patients
with hyperkalemia.
AB - Hyperkalemia is a common electrolyte disorder with potentially lethal
consequences. Severe hyperkalemia can lead to life-threatening cardiac
dysrhythmias, making a clear understanding of emergency management crucial.
Recognition of patients at risk for cardiac arrhythmias should be followed by
effective strategies for reduction in serum potassium levels. In the outpatient
setting, diagnosis of hyperkalemia can be complicated by factitious elevations in
serum potassium levels. True elevations in serum potassium levels are commonly
due to medications used for cardiovascular disease in the setting of impaired
glomerular filtration rate. The prevalence of chronic kidney disease is steadily
increasing, likely leading to increases in risk of hyperkalemia. A systematic
approach will aid in timely diagnosis and management of hyperkalemia.
PMID- 18053466
TI - 66-year-old man with inarticulate speech.
PMID- 18053467
TI - Prevention, diagnosis, and treatment of cervical cancer.
AB - This article reviews the current data on human papillomavirus as the cause of
most cervical cancer cases, data on the recently approved human papillomavirus
vaccines, and updated information concerning the Bethesda System for
interpretation of Papanicolaou test results. Current recommendations for surgical
treatment, concurrent chemotherapy, and radiation therapy and recent advances in
systemic therapy for advanced or metastatic cervical cancer are reviewed.
PMID- 18053468
TI - Ethics consultations and patients with neurological diseases.
PMID- 18053469
TI - Clinician attitudes toward biostatistics.
PMID- 18053470
TI - [Importance of advanced age in infections: myth and reality].
PMID- 18053471
TI - [Bacteremia in very elderly patients: risk factors, clinical characteristics and
mortality].
AB - INTRODUCTION: There is little information on bacteremia in very elderly patients.
This study describes the characteristics of bacteremia in this population.
METHODS: This is a prospective study investigating bacteremia episodes in
patients over 80 years old in comparison with episodes in patients aged 18-64 and
65-79 years. RESULTS: A total of 146 bacteremia episodes were analyzed in
patients over 80 years old. Comorbidity was documented in 66.4% and
immunodeficiency in 6.8% of patients. Among the total, 82.2% had no underlying
disease or a disease considered non-fatal. Eighty episodes were community
acquired. The main infectious foci included primary (25.3%) and urinary tract
(20.5%) infection, and the most frequent isolates were Escherichia coli (28.2%),
coagulase-negative Staphylococcus (14.7%) and S. aureus (13.6%). Sepsis or septic
shock occurred in 55.5% of the cases, and 31 patients died due to a bacteremia
related cause. Immunodeficiency was less frequent in patients over 80 years old,
but they had a higher proportion of community-acquired infections and gram
negative infections. Bacteremia-related mortality was highest in the oldest group
of patients and was associated with a fatal or ultimately fatal underlying
disease, S. aureus infection, and inappropriate empirical antibiotic treatment. A
lower Pitt severity score was related to lower mortality risk. CONCLUSIONS: Very
elderly bacteremic patients showed a lower frequency of immunodeficiency, a
higher percentage of community-acquired and gram-negative infections. Bacteremia
related mortality was greater in the most elderly group and was associated with
fatal or ultimately fatal underlying disease, S. aureus infection and initiation
of inappropriate empirical antibiotic treatment.
PMID- 18053472
TI - [Gender analysis among articles published in Enfermedades Infecciosas y
Microbiologia Clinica (2001-2005)].
AB - BACKGROUND: There is growing concern for promoting equality between sexes and
full integration of women in research activities. The purpose of this study is to
identify the bibliometric characteristics of articles published during the 2001
2005 period in Enfermedades Infecciosas y Microbiologia Clinica (EIMC) from the
perspective of gender. METHOD: EIMC records for 2001-2005 were obtained from the
Science Citation Index database and differences according to sex were calculated
for the following indicators: year of publication, type of document, number and
order of author signatures, number of collaborators, and the signature/article
index at the institutional and geographical level. RESULTS: A total of 2,163
authors were identified, 1,220 (56.4%) men and 943 (43.6%) women. The greatest of
contribution from women was in original articles (42.32% of signatures).
Excluding the Centro Nacional de Microbiologia (Spanish National Center for
Microbiology), the participation of women was lower than men in the most
productive institutions. There were significant differences regarding the number
of papers published and the number of collaborators; with greater productivity
for men and a higher rate of collaboration for women. DISCUSSION: Studies on
scientific activity according to gender provide essential information to
establish the basis for a policy of equality in this regard. A yearly increase of
almost 1% was seen in the number of female authors contributing articles to the
EIMC, which, if the trend continues, will result in parity in coming years.
Nevertheless, the presence of women in positions of high productivity remains
low. The causes of this difference should be identified and corrected.
PMID- 18053473
TI - [Role of HLA-DR and HLA-DQ alleles in multibacillary leprosy and paucibacillary
leprosy in the province of Chaco (Argentina)].
AB - OBJECTIVES: Segregation analyses in several populations have suggested a
relationship between specific human leukocyte antigen (HLA) class II alleles and
the development of different types of leprosy. The aim of this study was to
determine the frequency of HLA class II DR and DQ alleles among leprosy patients
in Chaco province, northeast Argentina, in an effort to determine whether these
alleles might be involved in the development of the multibacillary (MB) and
paucibacillary (PB) forms of leprosy. PATIENTS AND METHODS: Samples from 89
leprosy patients (MB = 70, PB = 19) and 112 healthy control subjects were
analyzed. The HLA-DRB1 and HLA-DQB1 alleles were determined by PCR amplification
and reverse hybridization with sequence-specific oligonucleotide probes, and
analyzed with the INNO-LiPA typing system and LiPA software. DQB1*0201/0202/0203
in patients with MB leprosy and DRB1*04 in patients with PB leprosy were detected
at significantly lower frequencies as compared with the normal controls. RESULTS:
These data indicate that DQB1* 0201/0202/0203 may be a protective factor in MB
leprosy and DRB1*04 in PB leprosy. DISCUSSION: We attribute the differences
between our findings and those of other authors to the fact that the Caucasian
inhabitants of Chaco include a considerable mixture of South American natives
(Guaranies and Tobas).
PMID- 18053474
TI - [Microbiological diagnosis of HIV infection].
AB - Currently, there are around 150,000 HIV-infected patients in Spain. This number,
together with the fact that this disease is now a chronic condition since the
introduction of antiretroviral therapy, has generated an increasing demand on the
clinical microbiology laboratories in our hospitals. This increase has occurred
not only in the diagnosis and treatment of opportunistic diseases, but also in
tests related to the diagnosis and therapeutic management of HIV infection. To
meet this demand, the Sociedad de Enfermedades Infecciosas y Microbiologia
Clinica (Spanish Society of Infectious Diseases and Clinical Microbiology) has
updated its standard Procedure for the microbiological diagnosis of HIV
infection. The main advances related to serological diagnosis, plasma viral load,
and detection of resistance to antiretroviral drugs are reviewed in this version
of the Procedure.
PMID- 18053475
TI - [Infection in lung transplantation].
AB - Lung transplantation is now considered an established therapeutic option for
patients with severe respiratory failure. Nevertheless, complications are
frequent and can lead to intermediate- or long-term graft dysfunction and
decreased survival. According to the registry of the International Society for
Heart and Lung Transplantation, survival rates in these patients at one, two, and
five years are 74%, 65%, and 47%, respectively. The main obstacle to long-term
success of lung transplantation, however, is chronic rejection, which is
characterized histologically as bronchiolitis obliterans and occurs in up to two
thirds of patients. One of the most important risk factors for the development of
bronchiolitis obliterans, in addition to the number of previous acute rejection
episodes and the incidence of persistent rejection, is cytomegalovirus infection
and disease. Moreover, recent evidence has indicated a role for respiratory
viruses as risk factors for the development of chronic rejection in lung
transplant recipients. Infectious complications are a frequent cause of morbidity
and mortality in these patients and are the cause of death in nearly half of
them. Bacterial infection is the most frequent infectious complication in lung
transplant patients. Among the total of infections, 35%-66% are bacterial and 50%
85% of patients present at least one episode. CMV is the second most frequent
cause of infectious complications following lung transplantation. Despite the use
of various preventive strategies, the risk of developing CMV disease in lung
transplant recipients is over 5% during the first year. This is the only type of
solid organ transplant in which the etiology of fungal infection is
characteristically Aspergillus spp., in contrast to others in which infection by
Candida spp. is most common. The incidence of invasive aspergillosis is about 4%.
PMID- 18053476
TI - [A 70-year-old man with ulcerated chest wall lesions].
PMID- 18053477
TI - [Asymptomatic lesions on the feet of a Spanish traveler coming from Tanzania].
PMID- 18053478
TI - [About a patient with nalidixic-acid-resistant Salmonella enterica serotype typhi
infection. Therapeutic management].
PMID- 18053479
TI - [Probable case of imported human anaplasmosis].
PMID- 18053480
TI - [ELISA test for the detection of Entamoeba histolytica in stool specimens].
PMID- 18053482
TI - [Polymyositis-dermatomyositis: incidence in Spain (1997-2004)].
AB - BACKGROUND AND OBJECTIVE: Epidemiologic studies of polymyositis-dermatomyositis
incidence in Spain are lacking. The aim of the study was to determine the
incidence rates of dermatomyositis-polymyositis and their distribution in our
country. MATERIAL AND METHOD: Observational and descriptive study. Data was
obtained from hospital discharge code (CMBD) during the period 1997-2004. Sex,
region and age were analysed. Raw and standardized incidence rates for each
region studied were calculated as new cases/million population/year with 95%
confidence interval (CI). Trend odds ratio (OR) was calculated by means of a
logistic regression. RESULTS: Global incidence rate in polymyositis
dermatomyositis was 8.9 new cases/million population/year (CI 95%, 8.6-9.2); 3.9
(CI 95%, 3.7-4.1) for polymyositis and 4.9 (CI 95%, 4.7-5.2) for dermatomyositis.
Rate differences between both diseases reached statistical significance (p <
0.001). Annual incidence rate decreased significantly across the period studied
in both diseases with a trend OR of 0.95 (CI 95%, 0.93-0.97; p < 0.001) in
dermatomyositis and 0.96 (CI 95%, 0.93-0.97; p < 0.001) in polymyositis. Both
diseases were significantly more frequent in females (p < 0.001). The incidence
rates for each region varies between 2.2 and 10.6 cases/million population/year
in polymyositis and between 2.9 and 8.6 cases/million population/year in
dermatomyositis. CONCLUSIONS: Incidence of dermatomyositis-polymyositis in Spain
is similar to other countries. Decreased incidence observed across the period and
the higher incidence of dermatomyositis could be explained because of a better
diagnosis of these entities.
PMID- 18053481
TI - [Association between meningeal Burkitt lymphoma and Epstein-Barr virus in an HIV
infected patient].
PMID- 18053483
TI - [CT colonography vs. barium enema for the preoperative study of colorectal cancer
in patients with incomplete colonoscopy].
AB - BACKGROUND AND OBJECTIVE: The complete preoperative evaluation of the colon is
necessary in colorectal cancer owing to the frequency of synchronous tumors. The
objective of this paper was to evaluate the utility of the computed tomography
colonography (CTC) as opposed to barium enema (BEDC) when the colonoscopy was
incomplete or impossible to perform. PATIENTS AND METHOD: Group A: 50 patients
with colorectal cancer with subsequent incomplete colonoscopy and BEDC. Group B:
40 patients with colorectal cancer with subsequent incomplete colonoscopy and
CTC. As gold standard to match the tests, we carried out the pathological study
of the surgical piece and the colonoscopy 3 months after surgery. In addition, we
studied the degree of satisfaction, indirect effect and cost by test. RESULTS:
There were no synchronous tumors. For the detection of colonic polyps, we
obtained for the CTC the following values: Sensitivity = 85.7%, Specificity =
96.1%, PPV = 92.3%, NPV = 92.6%, CPP = 21,97 and CPN =0,15. And for BEDC, the
values were: Sensitivity = 23.5%, Specificity = 92.8%, PPV = 80%, NPV = 71.7%,
CPP = 3.26 and CPN = 0.82 (p < 0.001). The degree of satisfaction was greater
with CTC than with BEDC (p < 0.05). There were no differences as far as indirect
effect was concerned. The cost of CTC was 33.18 Euros and it was 42.42 Euros for
BEDC. CONCLUSION: CTC is better than BEDC both to confirm the presence of polyps
in colon and to rule out the absence of them in patients in whom a complete the
study of the colon by colonoscopy has not been possible.
PMID- 18053484
TI - [Decrease of serum cholinesterase in colorectal cancer].
AB - BACKGROUND AND OBJECTIVE: Cholinesterase is an enzyme with an unknown physiologic
function. Some situations are related to a decreased serum cholinesterase
activity but those situations are not well defined and the responsible mechanisms
are unknown. Malignancy is one of these situations. The aim of the study was to
compare the cholinesterase activity between patients with and without colorectal
cancer. PATIENTS AND METHOD: Case-control study of patients with colorectal
cancer who underwent surgery in our hospital from March 05 to January 07.
RESULTS: Patients from the cancer group had a significant decreased serum
cholinesterase activity. CONCLUSIONS: Investigation of cholinesterase function in
humans may result in new research lines in oncology treatment.
PMID- 18053485
TI - [Virtual colonoscopy in the preoperative study of colorectal cancer: a
fundamental tool].
PMID- 18053486
TI - [Should beta-blockers remain first choice in the treatment of primary
hypertension?].
PMID- 18053487
TI - [Therapeutic equivalence: concept and evidence levels].
PMID- 18053488
TI - [Treatment of type 2 diabetes: revision of current therapeutical options and
priorities].
AB - The paradigm of type 2 diabetes mellitus treatment is based in an adequate meal
plan and the regular practice of physical exercise. The benefits of these
measures are of evident as it is the perseverance in their compliance. In the
daily practice, this reality favours the early implementation of a
pharmacological therapy that, in the opinion of different experts, would be
simultaneous to the introduction of life style changes. In the last years, new
drugs have been developed. On the one hand, there are agents with beneficial
effects not only on blood glucose control but also on the components of metabolic
syndrome. Other drugs, according to different experts, have doubtful
therapeutical contribution. The appearance of new drugs to treat obesity,
associated with diabetes or not, extends other possibilities of choice to take
into account. The great variety of options makes the decision of the adequate
therapy more difficult. In the last decade, many therapeutic guidelines have been
developed, with convergences and divergences that may mislead the inexpert
practitioners. In this review we propose some practical advise in diet and
exercise, and an evaluation of the different drugs and rules of intervention
proposed in the different therapeutic guidelines. The ultimate goal is to
facilitate and direct type 2 diabetes management.
PMID- 18053489
TI - [Superinfection by Balantidium coli of a pulmonary hydatidic cyst].
PMID- 18053490
TI - [Dacrystic epilepsy secondary to meningioma].
PMID- 18053491
TI - [Assistential ethics committees: is necessary the presence of a person in charge
of the religious assistance?].
PMID- 18053492
TI - [Adrenal hemosiderosis].
PMID- 18053493
TI - [More is achieved when working together. Florence-2008-EfCCNa].
PMID- 18053494
TI - [Stressful environmental events in the Intensive Care Unit].
AB - The high standard of specialization of the Intensive Care Units (ICU) creates a
stressful setting that generates anxiety and stress for the patients. This has
been related to delirium or acute confusional syndrome. OBJECTIVES: To describe
the stressful environmental events as perceived by patients an, to establish the
differences between patients who suffered from delirium in ICU and those who did
not suffer from it. MATERIAL AND METHODS: Descriptive crosssectional study that
includes a qualitative part. A total of 91 patients were interviewed, 33 patients
diagnosed of delirium in the ICU and 58 patients without this diagnosis.
Ballard's Environmental stressful events scale with 43 items was used to
determine the most stressful events. In addition, an open interview was carried
out in which the patients could narrate their experiences in the ICU. Descriptive
statistic was used to show the characteristics of patients selected and to
determine the frequency distributions for each item inthe questionnaire. RESULTS:
The factors perceived as the most stressful were: thirst(62.6%), sleep
deprivation (42.9%), tubes in mouth or nose (35.2)and not knowing what time it
was (34.1). Patients who suffered from delirium perceive disorientation or
hallucinations as stressants CONCLUSIONS: As in previous investigations, ICU
patiens, who did not suffered from delirium, perceived phisycal needs as most
streesfull events.
PMID- 18053495
TI - [Work-related stress (demand-control-social support model) and health
alterations: a review of the existing evidence].
AB - OBJECTIVE: To summarize the results obtained from epidemiological studies on the
association of occupational stress and the development of illness in nurses or
female population. METHODS: A descriptive review has been performed on studies
published between 1979 and 2005 in which the Demand-Control-Social Support (DCS)
model was used. Key words were focused on the DCS model and Occupational Health.
The search was carried out using Medline (Pubmed), CINHAL, PsycINFO, and CUIDEN.
RESULTS: A total of 36 articles were found. In 18 (50%) the study populations
were nurses or workers in health areas. There is little evidence of the
relationship between work-related stress and its repercussion on health. This
could be justified because of the limited number of these longitudinal studies
and the differences in the analysis of the model dimensions. CONCLUSIONS: The
review of the literature does not offer consistent results. Longitudinal studies
with longer follow-up periods that would make it possible to study the maintained
effect of the exposure on health are needed.
PMID- 18053496
TI - [Reliability of post-transfusional hematocrit].
AB - Since the blood transfusion diseases, basically AIDS, have arisen in our society,
the decision to administer a transfusion to a patient not only requires an
informed consent but also must be fully justified and documented. This implies
that, except for emergencies, the hematocrit value must be measured previously.
However, if the patient has just received a transfusion and due to the phenomenon
of hemoconcentration, the reliability of this analysis during the first hours
following the transfusion period is questionable. In fact, and according to the
health care site in question, this time varies from a half hour to up to six
hours. Not in vain, up to now, we only have one study that approaches this
subject applying the scientific method, although it is limited to pediatrics. Our
research team has established the purposes of <>, beginning with the
hypothesis <> Based on the
quantitative approach, we designed an experimental study in which we considered
the hematocrit value as the dependent variable and time as the independent
variable. As a result, we obtain that the comparison between the immediate
analysis and the subsequent ones does not offer statistically significant
differences. We conclude that it is possible to make the analysis coinciding with
the end of the transfusion, with total reliability.
PMID- 18053497
TI - [Non-invasive ventilation in the Intensive Care Units. Part I: background and
interphase].
AB - INTRODUCTION: Non-invasive ventilation is a respiratory support method that has
gained ground in the management of patients with acute respiratory failure.
Currently, it has become a first line instrument in the treatment of respiratory
failure of the critical patient. Scientific evidence supports its use in patients
with acute lung edema, acute hypercapnic respiratory failure, immunosuppressed
patients and patients with atelectasis. The objectives of this review are 1,
introduce the reader to the non-invasive ventilation; 2, inform on the basics of
its use in critical patients; and 3, the management of the interphase in acute
situations. To do so, the bibliography available on it in databases (Medline and
Cochrane) and published textbooks were used. CONCLUSIONS: The characteristics of
the technique require careful dedication of the health care personnel, with
adequate knowledge about the technology involved in addition to the collaboration
of the patients to make it successful.
PMID- 18053498
TI - [Permissive hypotension in resuscitation of trauma patients].
PMID- 18053499
TI - [Repair of secondary aortoenteric fistulas. A systematic review].
AB - We performed a systematic review of the literature on the diagnosis and treatment
of secondary aortoenteric fistulas (AEF). A MEDLINE search was performed of
articles published in English or Spanish between January 1991 and August 2006.
Diagnostic methods, treatment modalities and the results of surgical treatment
were analyzed. The most frequent first aortic surgery associated with AEF was
repair of abdominal aortic aneurysm (54.31%). The most common form of
presentation was gastrointestinal bleeding. Repair through in situ prosthetic
replacement had the lowest early mortality rates (8-13.3%) compared with graft
excision and extraanatomic revascularization (18.2-44%). AEF is a serious entity
and diagnosis requires a high index of suspicion based on clinical findings and
indirect data from imaging techniques (computed tomography). The most appropriate
therapeutic option continues to be controversial.
PMID- 18053500
TI - [Surgery and blood transfusion in Jehovah's witnesses: a medical-legal review].
AB - Conflict between two ethical and legal conditions such as life and freedom is
frequent in medical practice. Jurisdiction has handed down contradictory
decisions and edicts when placing life (and therefore the lex artis of
physicians) above Jehovah's witnesses' right to refuse blood transfusions.
However, in principle, the right to life takes precedence over the patient's
autonomy because, based on professional ethics, physicians have a duty to attempt
a cure. Thus the patient's liberty is infringed, giving rise to interventions
that may give rise to complaints and lawsuits. The present article provides an
overview of each and every situation that could give rise to doubts, as well as
an analysis of jurisdiction and the legal responsibilities involved in surgical
decisions about Jehovah's witnesses.
PMID- 18053501
TI - [Results of a medication reconciliation project in routine medical treatment
after surgical discharge].
AB - OBJECTIVE: To reduce the number of medication-related problems by ensuring
reconciliation between ambulatory treatment and surgical prescription and to
increase patients' understanding of drug therapy and treatment adherence.
MATERIAL AND METHOD: Information on ambulatory medication was obtained through a
personal interview and a review of the patient's personal and pharmacotherapeutic
history. A dossier was created that included detailed information on each
medication and a chronopictogram with all doses. On the day of discharge, a
second interview with the patient was performed in which information was obtained
orally and in writing about all treatment. The process was evaluated through a
satisfaction questionnaire. Two weeks after discharge a telephone interview was
carried out to detect post-discharge medication-related problems. RESULTS: During
the study period (April-December 2006) 544 patients were included. There were 658
pharmaceutical interventions: 87% educational, 8% safety, 5% efficiency. A total
of 346 satisfaction questionnaires were properly completed (63% of those
distributed). Responses to questionnaires were evaluated from 0 (completely
dissatisfied) to 5 (highly satisfied). Interest in the information received was
scored 4.75, understanding of the information 4.67, and satisfaction with the
intervention 4.59. CONCLUSIONS: Including the pharmacist in the surgical team
ensures reconciliation between ambulatory treatment and surgical prescription and
reduces medication errors. Providing information to patients about their
medication at discharge increases their understanding of drug treatment and
adherence.
PMID- 18053502
TI - [Biliary reconstruction in liver transplantation: is a biliary tutor necessary?].
AB - OBJECTIVES: To assess the incidence and type of biliary complications in liver
transplantation after biliary reconstruction with or without a biliary tutor.
MATERIAL AND METHOD: A prospective, non-randomized study of 128 consecutive
patients undergoing elective liver transplantation was performed.
Retransplantations, emergency transplantations, hepaticojejunostomy and patients
who died within 3 months of causes other than biliary complications were
excluded. Group I (n = 64) underwent termino-terminal choledochocholedochostomy
with a Kehr tube and group II (n = 64) underwent choledochocholedochostomy
without Kehr tube. Complications, therapeutic procedures, reoperations and
survival free of biliary complications were analyzed. RESULTS: The overall rate
of biliary complications was 15% (17% in group I and 14% in group II). Types of
complication (overall and in groups I and II, respectively) consisted of fistulas
4% (6% vs. 3%), stenosis 8% (4% vs. 12%), and Kehr dysfunction 3%. The mean
number of therapeutic procedures, including endoscopic retrograde
cholangiopancreatography, percutaneous transhepatic cholangiography, trans-Kehr
cholangiography and drainage of collections, was 2.1 vs. 2 per complicated
patient. The overall reoperation rate was 5% (2% vs. 9%) (p < 0.05). One-year
survival free of biliary complications was 85% vs. 82% (Log Rank = 0.5).
CONCLUSIONS: No statistically significant differences were found in complications
after choledocho-choledocho anastomosis with or without a biliary tutor. However,
the patient group that did not receive a biliary tutor required more complex
procedures for treatment of complications, as well as a greater number of
reoperations.
PMID- 18053503
TI - [Gastric cancer in patients older than 75 years. Surgical treatment and long-term
survival].
AB - INTRODUCTION: The characteristics and results of radical gastrectomy for gastric
cancer in elderly patients are controversial. Aim. To analyze the
clinicopathologic characteristics and the results of gastrectomy for cancer in a
group of patients > or = 75 year old. MATERIAL AND METHOD: A series of 442
patients who underwent surgery for gastric cancer was divided into group A (< 75
years old) and group B (> or = 75 years old). The clinicopathologic
characteristics, results of gastrectomy, and long-term survival were compared.
RESULTS: The mean age was 62 +/- 13 years. There were 361 patients in group A and
81 patients in group B. Tumoral location in the upper third of the stomach was
more frequent in group A than in group B (36.8% vs 24.7%), while localization in
the lower third of the stomach was more frequent in group B than in group A
(50.6% vs 29.4%) (p < 0.05). Total gastrectomy was performed in 66% of patients
in group A and in 38% of those in group B (p < 0.05); 27.3 +/- 3.5 nodes were
resected in group A and 19.5 +/- 0.7 in group B (p < 0.05). No differences were
found in the frequency of complications (16%) or in overall operative mortality
between the two groups. However, mortality in total gastrectomy was higher in
group B (6.4%) than in group A (3.8%) (p < 0.05). No differences in 5-year
survival were found between the two groups (44.3% in group A and 44.4% in group
B). CONCLUSIONS: In patients aged more than 75 years old, tumors were more
frequently located in the distal third of the stomach. Age above 75 years does
not seem to be a prognostic factor and long-term results depend more on disease
stage.
PMID- 18053504
TI - [Peritoneal carcinomatosis. Ten years of applying the new combined triple
therapy. Personal experience].
AB - INTRODUCTION: Peritoneal carcinomatosis is the main problem in treating patients
with advanced cancer; in addition to the lack demonstrably effective treatment,
once diagnosed, this condition leads inexorably and rapidly to death. There is no
response to traditional systemic treatments and the mean survival is less than 12
months after diagnosis. The application of a new combined triple therapy
consisting of cytoreductive surgery together with perioperative intraperitoneal
chemotherapy and heated intraoperative intraperitoneal chemotherapy followed by
early post-operative intra-abdominal chemotherapy is providing good results, even
occasionally with curative intent, which suggests a new alternative treatment
strategy. MATERIAL AND METHOD: We present our experience of a series of 110
cytoreductions carried out by the author in 71 patients between February 14, 1997
and February 14, 2007. RESULTS: In our series with a mean follow-up of 42 months,
42% of the patients survived for more 18 months after treatment, 37% for over 2
years, 20 % for more than 3 years, and 12.6% for over 5 years. Long-term survival
was achieved among patients treated for persistent diffuse carcinomatosis
secondary to pseudomyxoma, ovarian carcinomatosis, primary malignant peritoneal
mesotheliomas, and colon carcinomatosis. CONCLUSIONS: The introduction and
implementation of this new triple treatment strategy in Spain constitutes the
best therapeutic alternative for these unfortunate patients. In our experience,
we were able to achieve an initial control of the disease in 83% of our patients,
medium-term survival (3 years) in 20% and long-term survival in 12.5% in four of
the disease entities included in our protocol for the treatment of
carcinomatosis.
PMID- 18053505
TI - [Our experience of the clinical application of sentinel node biopsy in breast
cancer].
AB - INTRODUCTION: Systematical lymphadenectomy has been replaced by selective
sentinel node biopsy in the initial staging of early breast cancer. The aim of
this study was to assess the accuracy of the technique in its application phase,
paying special attention to the follow-up of patients with negative sentinel node
who did not undergo axillary lymphadenectomy. PATIENTS AND METHOD: A total of 168
patients with 169 stage I and II breast cancer lesions underwent sentinel lymph
node biopsy in its application phase. The procedure was previously validated by
our group and included lymphoscintigraphy performed with periareolar or
intratumoral injection of 99mTc stannous colloid, and radioguided surgical
detection on the following day. RESULTS: Lymphoscintigraphic sentinel node
localization was successful in 95.3% of the lesions (161/169) and axillary
surgical detection in 90.5% (153/169), with 1.1 nodes excised per patient (range
1-4). Malignancy was found in 30.1% of the sentinel nodes removed (46/153), 11 of
which were micrometastases (23.9%). Subsequent axillary dissection revealed that
the sentinel node was the only node involved in 22/46 (47.8%). The sentinel node
was found to be negative in the remaining 107/153 lesions (69.9%), and surgical
treatment was considered to be complete. To date, the mean follow-up of the
patients has been 20.4 months (range 3-49), and no axillary recurrences have been
observed. Of the entire group, four patients developed distant metastases; one
had concomitant mammary recurrence and died. CONCLUSIONS: Application of sentinel
node biopsy is safe and has improved our RESULTS: This technique allows correct
staging and probably maintains local control of the disease.
PMID- 18053506
TI - [Multifocal basaloid squamous carcinoma of the esophagus].
AB - Basaloid-squamous carcinoma is a poorly-differentiated variety of squamous cell
carcinoma. The most common location is the upper aerodigestive tract and the
incidence of this entity in the esophagus is low. Despite advances in knowledge
of the biology of these tumors, their recent description and their low frequency
has hampered consensus on the therapeutic approach. We present a case of basaloid
squamous cell of the esophagus a 54 year-old man who underwent an esophagectomy
with lymphadenectomy and has been followed-up for 5 years with no evidence of
disease recurrence. We also provide a literature review of this entity.
PMID- 18053507
TI - [Esophageal duplication cyst as an unusual cause of adult dysphagia].
AB - Esophageal duplication cyst is a rare congenital alteration. Most of these cysts
are asymptomatic and are usually identified as an incidental finding. These
lesions can develop complications (bleeding, infection, etc.) and even malignant
degeneration. Consequently, the treatment of choice is surgical.
PMID- 18053508
TI - [Pneumoperitoneum in polytraumatized patients without perforated hollow viscera].
AB - Bowel and mesenteric injuries from blunt abdominal trauma are infrequent and
difficult to diagnose. A finding of pneumoperitoneum on computed tomography is
useful, although not specific. In associated blunt chest trauma gas can reach the
peritoneal cavity through congenital or post-traumatic diaphragmatic
interruptions. Two cases of pneumoperitoneum following associated blunt chest and
abdominal trauma are reported. In both patients laparotomy did not show bowel
perforation and conservative treatment could have been provided.
PMID- 18053509
TI - [Mesenteric root abscess due to perforated jejunal diverticulum].
PMID- 18053510
TI - [Fulminant pseudomembranous colitis].
PMID- 18053511
TI - [Lumbar drainage of a giant retroperitoneal abscess secondary to necrotizing
pancreatitis].
PMID- 18053512
TI - [Pulmonary dirofilariasis. A cause of solitary pulmonary nodule].
PMID- 18053513
TI - [Hemobilia: a rare cause of massive gastrointestinal bleeding].
PMID- 18053514
TI - [Ileal metastasis from melanoma of unknown origin].
PMID- 18053515
TI - [Clinical use of diagnostic laboratory tests in childhood sepsis].
PMID- 18053516
TI - [Usefulness of procalcitonin as an early diagnostic test of neonatal sepsis in
newborns with risk factors for infection].
AB - OBJECTIVE: To investigate the reliability of serum procalcitonin (PCT) as an
early diagnostic test (within the first 12 hours of life) of neonatal sepsis in
newborns with maternal or neonatal risk factors for infection. MATERIAL AND
METHODS: We performed a prospective study of 123 newborns consecutively admitted
to neonatal unit over a 2-year period with at least one risk factor for
infection. We constructed a 2x2 table between the validated test (serum PCT by
semi-quantitative assay, with several cut-off points: 0.5, 2 and 10 ng/ml) and
the reference assay (blood culture or clinical, laboratory and microbiological
confirmation of sepsis). The validity (sensitivity, specificity), safety
[positive predictive value (PPV) and negative predictive value (NPV)] and
likelihood ratios (LR+ and LR-) of the test were calculated. RESULTS: Serum PCT
was measured within the first 12 hours of life in 95% of the patients (mean and
median=6 hours). The best cut-off point for serum PCT was 2 ng/ml, and, taking
subsequent clinical-laboratory-microbiological confirmation of sepsis as the best
reference assay, showed a sensitivity of 100% (95% CI 65-100), specificity of 82%
(95% CI 74-88), PPV of 25% (95% CI 13-44), NPV of 100% (95% CI 96-100), LR+ of
5.5 (95% CI 3.7-8.1), and LR- of 0. CONCLUSIONS: Serum PCT levels<2 ng/ml within
the first 6-12 hours of life in newborns with risk factors for infection are
useful as a screening assay to rule out neonatal sepsis with a sensitivity of
100% (false negatives=0% and NPV=100%). However, for subsequent confirmation a
more specific assay (with a low false positive rate and high PPV) should be used,
such as C-reactive protein. The higher cost of the serum PCT test should be
weighed against shorter admissions as a result of its use.
PMID- 18053517
TI - [T lymphocyte immunophenotype as a diagnostic marker of late-onset neonatal
sepsis].
AB - BACKGROUND: Given the high risks associated with neonatal sepsis, there is a need
for a diagnostic marker that would predict the disease before the results of
blood or cerebrospinal fluid cultures are available. We evaluated changes in the
CD4+ T lymphocyte immunophenotype in neonates with late-onset sepsis to try to
improve the test combinations currently used (C reactive protein, immature:total
neutrophil ratio, leukocytosis). PATIENTS AND METHODS: We performed a prospective
cohort study in 24 neonates with late-onset sepsis and 48 non-infected controls
with a gestational age of 37 weeks or less. CD4+ T lymphocyte subpopulations in
peripheral blood samples were identified by labeling with monoclonal antibodies
and quantified by flow cytometry. Diagnostic performance curves were constructed
by logistic regression. RESULTS: As a marker of late-onset neonatal sepsis, a
percentage of CD4+/CD45RO+/CD45RA- T lymphocytes of >3.5% showed a sensitivity of
94.1%, specificity of 69.2%, positive predictive value of 80.0%, negative
predictive value of 90.0%, and odds ratio of 36.0 (p<0.001). When we combined
this marker with a C-reactive protein level of >10.0 mg/L, the specificity of
this combination of tests increased to 94.7% and the positive predictive value to
85.7%. CONCLUSIONS: A percentage of CD4+/CD45RO+/CD45RA- T lymphocytes of >3.5%
is an effective indicator of late-onset neonatal sepsis in preterm infants. If
this marker is combined with a C-reactive protein level of >10.0 mg/l, its
diagnostic performance is improved.
PMID- 18053518
TI - [Nosocomial Candida infections and thrombocytopenia in very low birth weight
newborns].
AB - OBJECTIVE: To analyze thrombocytopenia as an early marker of late-onset neonatal
Candida sepsis and to determine whether there are significant differences in
platelet count between neonates infected by different infectious agents. MATERIAL
AND METHODS: We retrospectively reviewed the medical charts of 42 neonates with
late-onset sepsis with positive blood culture in our neonatology service between
January 1, 2003 and December 31, 2004. Only the first episode of sepsis in any
single patient was included. The principal parameter examined was the incidence
of thrombocytopenia according to the microorganisms causing the sepsis (Gram
positive bacteria, Gram negative bacteria and fungi). The statistical analysis
was performed using SPSS version 11.5. The data are expressed as mean+/-standard
deviation. The chi-squared test was used to compare qualitative variables. For
quantitative variables, the Kolmogorov-Smirnov was used; ANOVA was used for
parametric variables and the Kruskal-Wallis test for non-parametric variables. A
value of p<0.5 was considered significant. RESULTS: Late-onset sepsis was
diagnosed in 42 (2.3%) of 1,757 newborn admissions. Gestational age at birth was
31+/-4.9 weeks (24-41.5 weeks) with a mean birth weight of 1,618+/-911 g (750
4,070 g). There were 34 boys (81%) and eight girls (19%). When patients infected
with the three groups of microorganisms were compared, no significant differences
were found except for birth weight, days of stay in the neonatal intensive care
unit, thoracotomy, days of mechanical ventilation, antibiotic therapy before
sepsis, and thrombocytopenia. Gram-positive sepsis was found in 47.6%, Gram
negative sepsis in 33 % and fungal sepsis in 19%. The only cause of fungal sepsis
was Candida, mainly C. glabrata (50%), followed by C. albicans (37.5%) and C.
parapsilosis (12.5%). The most reliable marker of Candida sepsis was
thrombocytopenia, which was found in 17.7% of the episodes of late-onset sepsis.
The incidence of thrombocytopenia was significantly higher in Candida sepsis than
in bacterial sepsis (100% vs 5.9%) (p<0.001). Only two patients with bacterial
sepsis had thrombocytopenia and both cases were caused by Gram-negative bacteria.
CONCLUSION: Thrombocytopenia is a highly specific marker of neonatal Candida
sepsis. Analysis of platelet counts is a simple laboratory test that helps to
guide diagnosis and the use of early empirical therapy.
PMID- 18053519
TI - [Determination of normal acylcarnitine levels in a healthy pediatric population
as a diagnostic tool in inherited errors of mitochondrial fatty acid beta
oxidation].
AB - INTRODUCTION: Acylcarnitine measurement in blood is a useful test for the
diagnosis of inherited errors of mitochondrial fatty acid beta-oxidation.
However, there are few data in the literature on the reference ranges of the
various acylcarnitines and on whether these reference ranges are age- or sex
dependent. OBJECTIVES: To draw attention to inherited errors of mitochondrial
fatty acid beta-oxidation and to establish reference acylcarnitine values in
children. PATIENTS AND METHODS: A total of 309 blood samples from healthy
children divided into four age groups (group A: <1 month; group B: 1-12 months;
group C: 1-7 years; group D: 7-18 years) were obtained and analyzed using tandem
mass spectrometry. RESULTS AND CONCLUSION: Reference acylcarnitine values in
children are provided. No significant differences were found in relation to age
or sex. Our results differ from those reported in the literature reviewed.
Importantly, hydroxyacylcarnitines and glutaryl carnitine are absent when normal
samples are processed. We review the literature on the main clinical and
laboratory findings in mitochondrial fatty acid beta-oxidation deficiencies.
PMID- 18053520
TI - [Severe thoracic trauma: review of 39 cases].
AB - OBJECTIVE: To study the incidence and types of severe thoracic trauma in a cohort
of pediatric polytrauma patients, compare cardiac contractility between patients
with elevated troponin T levels and those with abnormal electrocardiogram (EKG)
findings, and to analyze the value of thoracic computed tomography (CT) scan as a
first investigation in patients with suspected thoracic trauma. MATERIAL AND
METHODS: We performed a 5-year retrospective study in a cohort of trauma patients
with an injury severity score of >or=25. Thoracic trauma included pulmonary
contusion, hemopneumothorax, large-vessel injury, myocardial contusion, and rib
fracture. In all patients, EKG and determination of troponin T were performed at
admission. Echocardiogram was performed in patients with troponin T levels>0.035
U/L (except in two patients, because the technique was not available) and
abnormal EKG findings. A thoracic CT scan was performed in unconscious patients
or when thoracic trauma was clinically suspected, as well as a simple thorax X
ray in the following 24 hours. RESULTS: Among 209 multiple-trauma patients,
thoracic injuries where detected in 39 patients. The most frequent injury was
pulmonary contusion. Echocardiogram was performed in 10 patients with high
troponin levels, with abnormal findings in eight patients. The six patients with
EKG alterations also had high troponin levels and pathological findings on
echocardiogram. Thoracic CT scan was performed in 81 patients, with abnormal
findings in 36 patients. Thoracic lesions were observed in simple X-ray in three
patients who had not undergone CT scan. CONCLUSIONS: The most frequent thoracic
trauma was pulmonary contusion. The results of echocardiogram were abnormal in 80
% of the patients with high troponin levels who underwent this examination.
Thoracic CT scan was highly useful in suspected thoracic trauma.
PMID- 18053521
TI - [Lung function and exposure to tobacco smoke among adolescents].
AB - OBJECTIVE: To study lung function in adolescents in relation to smoking (active
and passive smokers) and to investigate the repercussion on spirometric
parameters. PATIENTS AND METHOD: We studied 301 healthy adolescents with no
history of bronchial asthma or colds in the month prior to the study. A
questionnaire on tobacco exposure was administered and spirometry (Datospir-92)
was performed. RESULTS: There were 165 boys (54.5%) and 136 girls (45.5%) aged
between 14 and 20 years old (16.40+/-1.32). A total of 27.2% were non-smokers and
were not exposed to tobacco smoke (NS), 31.3% were passive smokers, with
household exposure of 10 cigarettes per day during at least the previous year
(PS), and 41.5% were current smokers who had been smoking>or=10 cigarettes/day
for at least 1 year (CS). Significant differences were found between age and
smoking habits (p=0.0001) and between smoking habits and female sex (girls CS:
33.9% vs boys CS: 21.8 %) (p=0.007). A significant association was found between
smoking (NS, PS and CS) and spirometric parameters (ANOVA): forced vital capacity
(FVC) (p=0.001), forced expiratory volume in 1 second (FEV1) (p=0.0001), FEV1/FVC
(p=0.004), peak expiratory flow (PEF) (p=0.0001), midexpiratory flow at 25% of
forced vital capacity (MEF25%) (p=0.01), MEF50% (p=0.0001) and MEF25-75%
(p=0.0001); CS in relation to NS was as follows: FEV1, PEF, MEF50%, MEF25-50%
(p=0.0001) and FVC, FEV1/FVC, MEF25% (p=0.01); CS in relation to PS: FEV1/ FVC
(p=0.02), PEF (p=0.004), MEF50% and MEF25-75% (p=0.003), PS in relation to NS:
FVC and FEV1 (p=0.02). Significant differences in FVC, FEV1 and MEF25-75% were
found in female CS in comparison with boys. CONCLUSIONS: The CS group showed a
significant decrease in spirometric parameters in relation to the NS group. The
airway was affected sooner in the female group of smokers than in the male group,
even though the intensity and time of exposure were the same in both groups.
PMID- 18053522
TI - [Values of high-density lipoprotein cholesterol in the pediatric population and
risk of thrombosis].
AB - INTRODUCTION: There is evidence of the protective effect of high-density
lipoprotein (HDL)-cholesterol against atheroma plaque formation and of its role
in cholesterol efflux from cells, as well as its anti-oxidative and inflammatory
modulating response properties. Low HDL-cholesterol levels have been associated
with a prothrombotic state. OBJECTIVE: To determine the relationship between HDL
cholesterol and lipidic and hemostatic parameters. PATIENTS AND METHODS: We
studied 110 children (50 girls, 60 boys) aged between 6 and 7 years old. Lipid
profile, D-dimer, plasminogen activator inhibitor (PAI) and fibrinogen were
determined. RESULTS: The mean values of the studied parameters were as follows:
total cholesterol (192.92+/-26.01 mg/dl), HDL-cholesterol (72.87+/-15.69 mg/dl),
low-density lipoprotein-cholesterol (109.46+/-23.30 mg/dl), triglycerides
(56.24+/-20.35 mg/dl), apolipoprotein B (91.96+/-14.93 mg/dl), apolipoprotein A1
(168.4+/-24.55 mg/dl), lipoprotein(a) logarithm (1.76+/-1.36 mg/dl), plasminogen
activator inhibitor-1 logarithm (PAI-1) (3.77+/-3.93 U/ml), D-dimer logarithm
(5.53+/-0.49 ng/ml) and fibrinogen (268.61+/-48.59 mg/dl). When the sample was
divided into two groups according to HDL-cholesterol levels, children with lower
levels showed significantly higher values of total cholesterol/HDL-cholesterol,
fibrinogen and PAI. HDL-cholesterol levels were directly and significantly
associated with total cholesterol and apolipoprotein A1 and negatively and
significantly associated with the total cholesterol/HDL-cholesterol ratio,
fibrinogen and PAI. CONCLUSION: The children studied had high HDL-cholesterol
levels, which could be responsible for the high total cholesterol levels. High
values of HDL-cholesterol are significantly associated with a reduction in
thrombotic risk.
PMID- 18053523
TI - [Agreement between two devices for measuring exhaled nitric oxide].
AB - BACKGROUND: Measurement of fractional exhaled nitric oxide (FENO) is a non
invasive marker of eosinophilic airway inflammation that can be useful in asthma
diagnosis and control, as well as in treatment monitoring. OBJECTIVE: We studied
the correlation between two techniques for measuring FENO: the chemiluminescence
based analyzer (NIOX, Aerocrine, Sweden) and a new portable electrochemical
sensor-based analyzer (NIOX-MINO, Aerocrine). MATERIAL AND METHODS: FENO was
measured by the single breath on-line method. In all children, three consecutives
measurements were obtained with NIOX, with a maximum of six attempts, and the
arithmetic mean was calculated. Next, using NIOX-MINO, a single measurement was
made successively in each of the children. The variables analyzed were sex, age,
height, weight, diagnosis, treatment, NIOX-MINO value, mean of three values
obtained with NIOX and the NO elimination rate (nL/min). For the statistical
analysis, the Bland-Altman plot was used to compare the means and the differences
between measurements of FENO from NIOX and NIOX-MINO. The agreement between the
two analyzers was estimated by Cohen's Kappa statistic. RESULTS: Thirty children
were included, 14 (46.67%) boys and 16 (53.33%) girls. The mean age was 11.3+/
3.09 years. All of the children successfully performed the measurements with two
analyzers. The relationship between the means and the differences in the values
obtained with NIOX-MINO and NIOX were statistically significant (p<0.005). In
addition, Cohen's Kappa statistic (0.78) suggested a high degree of agreement
between the results obtained with the two devices. CONCLUSIONS: The two
analyzers, NIOX-MINO and NIOX, were not equivalent. There was good agreement
between the FENO values measured with the two devices. Measurement of FENO with
the portable electrochemical sensor-based analyzer (NIOX-MINO) is valid and
feasible in children older than 5 years.
PMID- 18053524
TI - [Pyomyositis in children: not only a tropical disease].
AB - INTRODUCTION: Pyomyositis is an acute bacterial infection of skeletal striated
muscle typical of tropical countries but infrequent in our environment. The
present review aims to describe the clinical characteristics, epidemiology,
etiology, diagnosis and outcome of patients with pyomyositis in our hospital.
MATERIAL AND METHODS: The medical records of patients diagnosed with pyomyositis
in our hospital from 2002 to 2005 were reviewed. Epidemiological, clinical, and
microbiological data as well as the diagnostic tests and treatment strategies
used were gathered and a descriptive analysis was performed. RESULTS: There were
seven patients (five boys and two girls). The mean age of the patients was 8.6
years. Five patients (70%) presented during the 6 warmer months. There were four
secondary cases (one HIV infection, three musculoskeletal injuries). The most
frequent sites were the psoas-iliac region and thigh. The main symptoms at
presentation were pain and fever. The most common diagnostic imaging modality was
ultrasound examination, although magnetic resonance imaging had the highest
diagnostic yield (sensitivity of 100% versus 33% for ultrasound). The most
prevalent microorganism in our series was Staphylococcus aureus (found in three
out of seven patients). Only two abscesses were surgically drained and the
remainder were treated with antibiotics alone, first intravenously (mean of 17
days) and then orally (mean of 26 days). None of our patients developed sequelae.
CONCLUSIONS: Pyomyositis should be included in the differential diagnosis in
children with fever, pain and/or functional impotence, even though this entity is
infrequent in our environment. The most sensitive diagnostic test is magnetic
resonance imaging. With early diagnosis and correct treatment, outcome is good.
PMID- 18053525
TI - [Pyroglutamic acidemia associated with acetaminophen].
AB - We report a case of pyroglutamic acidemia probably related to acetaminophen
administration. A 16-month boy recovering from hemolytic uremic syndrome abruptly
developed unexplained high anion gap metabolic acidosis requiring hemodialysis.
Septic shock, lactic acidosis and salicylate intoxication were ruled out.
Betahydroxybutyrate and acetoacetate levels were within the normal range. No
osmolarity gap or high amino acid levels were found. Urine and blood pyroglutamic
acid levels were 392 mmol/mol creatinine (reference range: 9-55) and 9.8 mmol/L
(reference range<0.16), respectively. The patient was receiving acetaminophen. We
conclude that pyroglutamic acidosis should be considered in patients receiving
acetaminophen who abruptly develop high anion gap metabolic acidosis not
attributable to more common causes.
PMID- 18053526
TI - [Should pulmonary computed tomography be performed in children with tuberculosis
infection without apparent disease?].
AB - BACKGROUND: During early childhood, in particular, there is a continuum between
tuberculosis infection and disease. When establishing the diagnosis in a child
with suspected tuberculosis, the distinction between infection and disease
frequently depends on the interpretation of the chest X-ray. Some studies have
shown hilar and mediastinal lymphadenopathies on computed tomography (CT) in
children with tuberculosis infection without apparent disease, i.e., asymptomatic
children with a positive tuberculin skin test and normal chest X-ray. These
observations raise the issue of whether pulmonary CT should be performed in
children with tuberculosis infection without apparent disease and whether
different types of therapy should be administered depending on the results.
METHODS: We reviewed the physiopathology of tuberculosis infection and disease,
diagnostic methods and treatment, and the literature on the use of pulmonary CT
scan in pediatric tuberculosis. RESULTS: Modern CT scanners indicate hilar and
mediastinal lymphadenopathies in many of the children with tuberculosis infection
with no apparent disease on chest X-rays. However, neither the size nor the
morphology of these adenopathies allows active tuberculosis to be diagnosed. The
natural history of childhood tuberculosis indicates that most children show hilar
lymphadenopathies after the primary infection, although progression to disease is
rare and is characterized by the presence of clinical symptoms. The exceptions
are children younger than 4 years old and those with immune alterations who more
frequently show progression of infection to disease and who require close follow
up. In addition, the experience accumulated over many years in the treatment of
tuberculosis infection with isoniazid has shown this drug to be effective in both
short- and long-term prevention of active disease. Official guidelines and expert
opinion do not recommend systematic pulmonary CT scan in these children or
modification of treatment according to the results. CONCLUSIONS: Hilar and
mediastinal lymph nodes are frequently found in the CT scans of children with
tuberculosis infection without apparent disease but there is no evidence that
these adenopathies indicate active disease or that these children require
different treatment. Consequently, until demonstrated otherwise, pulmonary CT
scanning and changes in chemoprophylaxis are not justified in children with
tuberculosis infection.
PMID- 18053527
TI - [Review of standards and recommendations for the design of a neonatology unit].
AB - Standards for the design of a neonatology unit are reviewed. The process should
begin with a planning team to outline the desired objectives to be achieved,
followed by the intervention of a team of architects. Medical considerations,
standards and recommendations, as well as architectural considerations (adequate
privacy and intimacy, need for social support and communication, flexibility and
accessibility) should be taken into account. From the architectural point of
view, the greatest problem is the space available; furthermore, if the aim is to
personalize the unit for the newborn and family, the need for space will be even
greater. The following aspects should be analyzed and integrated into the design
of the unit: standards and recommendations regarding space, the site of the unit,
area of direct neonatal care, electrical installation, equipment, lighting and
noise levels, nursing staff, communication systems, maintenance and
refurbishment.
PMID- 18053528
TI - [Neonatal sepsis due to Eikenella corrodens].
PMID- 18053529
TI - [Epidemiology and clinical findings in late-onset neonatal sepsis in the
pediatric intensive care unit].
PMID- 18053530
TI - [Resolution of intracardiac thrombi with local low dose urokinase in an extremely
low birth weight premature infant].
PMID- 18053531
TI - [Langer's type mesomelic dysplasia].
PMID- 18053532
TI - [Necrotizing gastritis].
PMID- 18053533
TI - [Scintigraphic pattern of dumping syndrome].
PMID- 18053534
TI - [Beau's lines and Kawasaki disease].
PMID- 18053535
TI - [Cavernomatous malformation of the portal vein].
PMID- 18053536
TI - [Domiciliary invasive mechanical ventilation: the parents' perspective].
PMID- 18053537
TI - [Simple abdominal X-ray as a diagnostic method in abdominal pain. Diagnosis:
mature teratoma in ovarian torsion].
PMID- 18053538
TI - [Hypertension and white coffee stains. Diagnosis: aorta media syndrome associated
with type 1 neurofibromatosis].
PMID- 18053539
TI - [Inhaled insulin and its effects on the lungs].
PMID- 18053540
TI - [Childhood asthma: do the answers coincide with the questions?].
PMID- 18053541
TI - [Agreement between oxygen desaturation index and apnea-hypopnea index in adults
with suspected obstructive sleep apnea at an altitude of 2240 m].
AB - OBJECTIVE: Altitude can affect the diagnostic accuracy of portable monitors used
to diagnose suspected obstructive sleep apnea syndrome on the basis of oxygen
desaturation measurements. The aim of this study was to determine agreement
between the desaturation index measured by oximetry and the apnea-hypopnea index
measured by polysomnography in Mexico City (2240 m above sea level). We also
wished to determine agreement between the desaturation index and the respiratory
disturbance index measured by monitoring airflow with a single-channel recording
device. PATIENTS AND METHODS: We used standard polysomnography and nocturnal
oximetry to simultaneously measure the apnea-hypopnea index and the desaturation
index, respectively, in a group of 38 patients aged over 18 years with suspected
obstructive sleep apnea syndrome. In a second group of 30 patients, we compared
the desaturation index to the respiratory disturbance index, which we measured
using a single-channel device monitoring nasal airflow. RESULTS: The mean (SD)
intraclass correlation coefficient between the apnea-hypopnea index and the
desaturation index was 0.89 (0.03) (95% confidence interval, 0.83-0.96), and the
mean of the differences was -0.9 (14.2). The mean intraclass correlation
coefficient for the desaturation index and the respiratory disturbance index was
0.93 (0.02) (95% confidence interval, 0.89-0.97), and the mean of the differences
was -6.6 (8.3). CONCLUSIONS: Agreement was high between the desaturation index
and both the apnea-hypopnea index and the respiratory disturbance index in adults
with suspected obstructive sleep apnea syndrome in Mexico City.
PMID- 18053542
TI - [Sleep apnea-hypopnea syndrome in a pediatric population: differences between
children with tonsillar hypertrophy and those with concomitant disease].
AB - OBJECTIVE: Our aim was to compare clinical and polysomnographic variables in
pediatric patients with sleep apnea-hypopnea syndrome (SAHS) secondary to
tonsillar hypertrophy with those in patients with concomitant disease. PATIENTS
AND METHODS: We studied 42 children with SAHS (mean [SD] age, 8 [4] years; body
mass index [BMI], 19.6 [5.2] kg/m2; neck circumference, 29 [4] cm; and BMI
percentile, 67 [36]), 26 of whom were otherwise healthy (group A) and 16 of whom
had concomitant disease (group B). RESULTS: A comparison of groups A and B showed
no significant differences in age (7.7 [3.9] years vs 8.4 [3.9] years; P=not
significant [NS]); sex, BMI (17.6 [4] kg/m2 vs 20.4 [6] kg/m2; P=NS), neck
circumference (29.3 [4.7] cm vs 30.7 [3.5] cm; P=NS), or BMI percentile (61 [37]
vs 76 [34]; P=NS). Tonsillar hypertrophy was more frequent in group A (P=.02) and
craniofacial abnormalities (P=.008), macroglossia (P=.04), and dolichocephalia
(P=.04) were more frequent in group B. No significant differences were observed
in neurophysiologic variables or in the respiratory disturbance index, although
group A presented higher oxygen saturation levels (97 [1.7] vs 95 [2]; P< .007),
lower oxygen desaturation index scores (7 [7] vs 15 [10]; P=.007), and a lower
cumulative percentage of time with oxygen saturation lower than 90% (2.2 [4] vs
16.4 [4]; P=.01). Twenty-three patients (88.5%) in group A underwent
tonsillectomies compared to 7 (44%) patients in group B (P=.003). Seven patients
(44%) in group B were treated with continuous positive airway pressure (CPAP) and
2 patients were treated with bi-level positive airway pressure (BiPAP), compared
to 1 patient (3.8%) treated with CPAP in group A (P=.003). Three children in
group B underwent maxillary surgery. The evolution of clinical and polygraphic
variables was more favorable in group A (P=.04). CONCLUSIONS: Children with SAHS
suffer from repeated infections, delayed weight gain, hyperactivity, and
neuropsychiatric manifestations. Obesity (associated with concomitant disease)
and sleepiness are uncommon. Although most patients require surgery, as many as a
third require treatment with CPAP or BiPAP. Furthermore, children with SAHS and
concomitant disease show no specific clinical characteristics, although they tend
to be more obese, have more craniofacial abnormalities, and greater nocturnal
hypoventilation.
PMID- 18053543
TI - [Discriminative properties and validity of a health status questionnaire in
obstructive airway disease patients: the Airway Questionnaire 20].
AB - OBJECTIVE: To evaluate the discriminative properties and validity of the Airways
Questionnaire 20 (AQ20) in a sample of patients with airway obstruction and to
compare its properties with those of the St George's Respiratory Questionnaire
(SGRQ) and the Short Form 36 (SF-36). PATIENTS AND METHODS: A convenience sample
of 47 subjects was recruited from among 61 consecutive patients referred to an
outpatient clinic specialized in obstructive airway diseases. All subjects
completed the AQ20, SGRQ, and SF-36. Other measures were the baseline dyspnea
index (BDI), 6-minute walk test (6MWT) distance, spirometry, results of arterial
blood gas analysis, and body mass index. RESULTS: The AQ20 showed very good
correlation with the SGRQ total score (rho=0.84, P< .001) and moderate
correlation with all SF-36 domains (physical capacity, rho=-0.53; physical
functioning, rho=-0.61; bodily pain, rho=-0.55; general health, rho=-0.59;
vitality, rho=-0.55; social functioning, rho=-0.57; emotional role functioning,
rho=-0.51; mental health, rho=-0.61; all P< .001). The BDI and the 6MWT distance
were the best predictors of AQ20 score (r2=0.31) in the regression model. An area
under the receiver operating characteristic curve of 0.91 (P< .001) indicated a
high level of accuracy for the AQ20, using the SGRQ as the gold standard.
CONCLUSION: This study shows that the AQ20 is an accurate health status
questionnaire in patients with moderate-to-severe airway obstruction. It could be
an alternative to longer, traditional questionnaires such as the SGRQ.
PMID- 18053544
TI - [Results of smoking cessation therapy in a specialist unit].
AB - OBJECTIVE: The aim of this study was to assess the results of smoking cessation
therapy in a specialist unit by calculating the probability of continued
abstinence at 6-month follow-up and analyzing differences according to the
characteristics of the individuals. PATIENTS AND METHODS: A prospective
longitudinal study was undertaken in smokers who received multicomponent smoking
cessation therapy over a period of 3 months. Continued abstinence was assessed on
the basis of self-report by participants and confirmed by measurement of exhaled
carbon monoxide levels. Kaplan-Meier survival analysis was performed to assess
the probability of continued abstinence. Log-rank tests were used to analyze
differences in continued abstinence according to different qualitative variables.
RESULTS: The 1120 patients who participated in the study (56% men and 44% women)
had a mean (SD) age of 44.1 (9.5) years. The mean score on the Fagerstrom test
was 6.3 (2.1). Nicotine replacement therapy was provided in 70.8% of patients
while 29.2% received bupropion. The probability of continued abstinence at 6
months was 62.2%. Individuals with a high dependence had a lower probability of
continued abstinence at 6 months, as did those in whom treatment adherence was
poor. No differences were observed in the probability of abstinence according to
sex or type of pharmacological treatment. CONCLUSIONS: Individuals with a high
nicotine dependence can benefit from intensive smoking-cessation treatment in a
specialist unit to achieve continued abstinence.
PMID- 18053545
TI - [Design of a lung simulator for teaching lung mechanics in mechanical
ventilation].
AB - Over the last 10 years, noninvasive ventilation has become a treatment option for
respiratory insufficiency in pulmonology services. The technique is currently
included in pulmonology teaching programs. Physicians and nurses should
understand the devices they use and the interaction between the patient and the
ventilator in terms of respiratory mechanics, adaptation, and synchronization. We
present a readily assembled lung simulator for teaching purposes that is
reproducible and interactive. Based on a bag-in-box system, this model allows the
concepts of respiratory mechanics in mechanical ventilation to be taught simply
and graphically in that it reproduces the patterns of restriction, obstruction,
and the presence of leaks. It is possible to demonstrate how each ventilation
parameter acts and the mechanical response elicited. It can also readily simulate
asynchrony and demonstrate how this problem can be corrected.
PMID- 18053546
TI - [Prognostic factors in chronic obstructive pulmonary disease].
AB - Efforts over the last few decades to extend the life expectancy of patients with
chronic obstructive pulmonary disease (COPD) have faced difficulties, but our
perception of the problems involved is now starting to change. Improvements in
our understanding of the pathogenic and etiological mechanisms of the disease,
analysis of the causes of death, and, in particular, identification of the
relevant prognostic factors have resulted in firm advances that allow us to face
the future with greater optimism. The aim of this review is to provide a detailed
analysis of the chief prognostic factors described in the literature and to
evaluate the therapeutic implications of these findings. The traditional view
maintained that the accelerated decline in forced expiratory volume in 1 second
over time was one of the best predictors of mortality, and this belief has for
decades determined the strategies used to treat COPD. However, we now know COPD
to be a multidimensional disease and are aware that some of its other
manifestations have important prognostic implications. Lung hyperinflation,
exercise tolerance, exacerbations, comorbidity, and systemic manifestations-in
particular those related to the cardiovascular system-have all been shown in
recent years to be strong predictors of mortality. The inflammatory substrate,
whether local or systemic, merits special consideration because it appears to be
the cause of many of these manifestations. These newly identified prognostic
factors are of great interest in that it may be possible to moderate their
influence, a circumstance that highlights the need to change the traditional
treatment approach and devise therapeutic interventions oriented towards
reversing the effects of these factors.
PMID- 18053547
TI - [Balloon dilatation of the trachea as treatment for idiopathic tracheal
stenosis].
AB - The treatment of choice for idiopathic tracheal stenosis is tracheal resection
and anastomosis, although some authors prefer more conservative management.
Between January 1, 1996 and January 1, 2005, 8 patients-all women-with idiopathic
tracheal stenosis were treated in the chest surgery department of the Hospital
Universitario La Fe in Valencia, Spain. One case was treated by means of surgery
and so was excluded from this study. The remaining 7 women were treated by
tracheal balloon dilatation; 4 required just 1 dilatation (and remained
asymptomatic), 2 required 2 dilatations, and 1 required 4 dilatations. The median
symptom-free interval was 25.5 months, and there was no associated mortality or
morbidity. We conclude that balloon dilatation, which was not associated with
mortality or morbidity, is a suitable treatment option for idiopathic tracheal
stenosis.
PMID- 18053548
TI - [Mediastinal panniculitis].
PMID- 18053549
TI - [No evidence of a link between household radon concentrations and lung cancer in
Cantabria, Spain].
PMID- 18053560
TI - HIV/AIDS in Europe: epidemiological situation in 2006 and a new framework for
surveillance.
PMID- 18053561
TI - Chikungunya in north-eastern Italy: a summing up of the outbreak.
PMID- 18053562
TI - An overview of Aedes aegypti and Aedes albopictus control in the British Overseas
Territory of the Cayman Islands.
PMID- 18053563
TI - 'The Disease Detectives' : a comic perspective on outbreak investigations.
PMID- 18053564
TI - Outbreak of norovirus gastroenteritis among staff at a hospital in Barcelona,
Spain, September 2007.
PMID- 18053565
TI - European Monitoring Centre for Drugs and Drug Addiction annual report 2007:
positive assessment of HIV in IDUs though hepatitis C still very high.
PMID- 18053566
TI - A cluster of measles cases in northern Italy: a preliminary report.
PMID- 18053567
TI - Contact tracing following exposure to measles at a wedding party in the United
Kingdom, October 2007.
PMID- 18053568
TI - A Dutch case of atypical pneumonia after culling of H5N1 positive ducks in
Bavaria was found infected with Chlamydophila psittaci.
PMID- 18053569
TI - Outbreak of Salmonella Weltevreden infections in Norway, Denmark and Finland
associated with alfalfa sprouts, July-October 2007.
PMID- 18053570
TI - HealthMap: the development of automated real-time internet surveillance for
epidemic intelligence.
AB - With the recent entry into force of the new International Health Regulations (IHR
2005), there is still significant concern as to whether broad compliance will be
feasible given the challenges associated with reporting mechanisms and
multilateral coordination.
PMID- 18053571
TI - Norovirus outbreak associated with canteen salad in Suffolk, United Kingdom.
PMID- 18053572
TI - The EADGENE Microarray Data Analysis Workshop (open access publication).
AB - Microarray analyses have become an important tool in animal genomics. While their
use is becoming widespread, there is still a lot of ongoing research regarding
the analysis of microarray data. In the context of a European Network of
Excellence, 31 researchers representing 14 research groups from 10 countries
performed and discussed the statistical analyses of real and simulated 2-colour
microarray data that were distributed among participants. The real data consisted
of 48 microarrays from a disease challenge experiment in dairy cattle, while the
simulated data consisted of 10 microarrays from a direct comparison of two
treatments (dye-balanced). While there was broader agreement with regards to
methods of microarray normalisation and significance testing, there were major
differences with regards to quality control. The quality control approaches
varied from none, through using statistical weights, to omitting a large number
of spots or omitting entire slides. Surprisingly, these very different approaches
gave quite similar results when applied to the simulated data, although not all
participating groups analysed both real and simulated data. The workshop was very
successful in facilitating interaction between scientists with a diverse
background but a common interest in microarray analyses.
PMID- 18053573
TI - Analysis of the real EADGENE data set: comparison of methods and guidelines for
data normalisation and selection of differentially expressed genes (open access
publication).
AB - A large variety of methods has been proposed in the literature for microarray
data analysis. The aim of this paper was to present techniques used by the
EADGENE (European Animal Disease Genomics Network of Excellence) WP1.4
participants for data quality control, normalisation and statistical methods for
the detection of differentially expressed genes in order to provide some more
general data analysis guidelines. All the workshop participants were given a real
data set obtained in an EADGENE funded microarray study looking at the gene
expression changes following artificial infection with two different mastitis
causing bacteria: Escherichia coli and Staphylococcus aureus. It was reassuring
to see that most of the teams found the same main biological results. In fact,
most of the differentially expressed genes were found for infection by E. coli
between uninfected and 24 h challenged udder quarters. Very little
transcriptional variation was observed for the bacteria S. aureus. Lists of
differentially expressed genes found by the different research teams were,
however, quite dependent on the method used, especially concerning the data
quality control step. These analyses also emphasised a biological problem of
cross-talk between infected and uninfected quarters which will have to be dealt
with for further microarray studies.
PMID- 18053574
TI - Analysis of the real EADGENE data set: multivariate approaches and post analysis
(open access publication).
AB - The aim of this paper was to describe, and when possible compare, the
multivariate methods used by the participants in the EADGENE WP1.4 workshop. The
first approach was for class discovery and class prediction using evidence from
the data at hand. Several teams used hierarchical clustering (HC) or principal
component analysis (PCA) to identify groups of differentially expressed genes
with a similar expression pattern over time points and infective agent (E. coli
or S. aureus). The main result from these analyses was that HC and PCA were able
to separate tissue samples taken at 24 h following E. coli infection from the
other samples. The second approach identified groups of differentially co
expressed genes, by identifying clusters of genes highly correlated when animals
were infected with E. coli but not correlated more than expected by chance when
the infective pathogen was S. aureus. The third approach looked at differential
expression of predefined gene sets. Gene sets were defined based on information
retrieved from biological databases such as Gene Ontology. Based on these
annotation sources the teams used either the GlobalTest or the Fisher exact test
to identify differentially expressed gene sets. The main result from these
analyses was that gene sets involved in immune defence responses were
differentially expressed.
PMID- 18053575
TI - Analysis of a simulated microarray dataset: comparison of methods for data
normalisation and detection of differential expression (open access publication).
AB - Microarrays allow researchers to measure the expression of thousands of genes in
a single experiment. Before statistical comparisons can be made, the data must be
assessed for quality and normalisation procedures must be applied, of which many
have been proposed. Methods of comparing the normalised data are also abundant,
and no clear consensus has yet been reached. The purpose of this paper was to
compare those methods used by the EADGENE network on a very noisy simulated data
set. With the a priori knowledge of which genes are differentially expressed, it
is possible to compare the success of each approach quantitatively. Use of an
intensity-dependent normalisation procedure was common, as was correction for
multiple testing. Most variety in performance resulted from differing approaches
to data quality and the use of different statistical tests. Very few of the
methods used any kind of background correction. A number of approaches achieved a
success rate of 95% or above, with relatively small numbers of false positives
and negatives. Applying stringent spot selection criteria and elimination of data
did not improve the false positive rate and greatly increased the false negative
rate. However, most approaches performed well, and it is encouraging that widely
available techniques can achieve such good results on a very noisy data set.
PMID- 18053576
TI - Interval mapping of quantitative trait loci with selective DNA pooling data.
AB - Selective DNA pooling is an efficient method to identify chromosomal regions that
harbor quantitative trait loci (QTL) by comparing marker allele frequencies in
pooled DNA from phenotypically extreme individuals. Currently used single marker
analysis methods can detect linkage of markers to a QTL but do not provide
separate estimates of QTL position and effect, nor do they utilize the joint
information from multiple markers. In this study, two interval mapping methods
for analysis of selective DNA pooling data were developed and evaluated. One was
based on least squares regression (LS-pool) and the other on approximate maximum
likelihood (ML-pool). Both methods simultaneously utilize information from
multiple markers and multiple families and can be applied to different family
structures (half-sib, F2 cross and backcross). The results from these two
interval mapping methods were compared with results from single marker analysis
by simulation. The results indicate that both LS-pool and ML-pool provided
greater power to detect the QTL than single marker analysis. They also provide
separate estimates of QTL location and effect. With large family sizes, both LS
pool and ML-pool provided similar power and estimates of QTL location and effect
as selective genotyping. With small family sizes, however, the LS-pool method
resulted in severely biased estimates of QTL location for distal QTL but this
bias was reduced with the ML-pool.
PMID- 18053577
TI - Predicting the consequences of selecting on PrP genotypes on PrP frequencies,
performance and inbreeding in commercial meat sheep populations.
AB - Selection programmes based on prion protein (PrP) genotypes are being implemented
for increasing resistance to scrapie. Commercial meat sheep populations
participating in sire-referencing schemes were simulated to investigate the
effect of selection on PrP genotypes on ARR and VRQ allele frequencies,
inbreeding and genetic gain in a performance trait under selection. PrP selection
strategies modelled included selection against the VRQ allele and in favour of
the ARR allele. Assuming realistic initial PrP frequencies, selection against the
VRQ allele had a minimal impact on performance and inbreeding. However, when
selection was also in favour of the ARR allele and the frequency of this allele
was relatively low, there was a loss of up to three to four years of genetic gain
over the 15 years of selection. Most loss in gain occurred during the first five
years. In general, the rate of inbreeding was reduced when applying PrP
selection. Since animals were first selected on their PrP genotype before being
selected on the performance trait, the intensity of selection on performance was
weaker under PrP selection (compared with no PrP selection). Eradication of the
VRQ allele or fixation of the ARR allele within 15 years of selection was
possible only with PrP selection targeting all breeding animals.
PMID- 18053578
TI - A new erythrose 4-phosphate dehydrogenase coupled assay for transketolase.
AB - The standard assay for transketolase (E.C 2.2.1.1) has depended upon the use of D
xylulose 5-phosphate as the ketose donor substrate since the production of D
glyceraldehyde 3-phosphate can be readily coupled to a reaction that consumes
NADH allowing the reaction to be followed spectrophotometrically. Unfortunately,
commercial supplies of D-xylulose 5-phosphate recently became unavailable. In
this article we describe the coupling of a transketolase reaction (using
Leishmania mexicana transketolase) that converts D-fructose 6-phosphate to D
erythrose 4-phosphate. D-Erythrose 4-phosphate can then be converted to 4
phosphate D-erythronate using erythrose-4-phosphate dehydrogenase (E.C 1.2.1.72),
a reaction that reduces NAD+ to NADH and can be easily followed
spectrophotometrically. D-Ribose 5-phosphate and D-glyceraldehyde 3-phosphate can
both be used as ketol acceptor substrates in the reaction although D-ribose 5
phosphate is also a substrate for the coupling enzyme.
PMID- 18053579
TI - Reliability of quantitative EMG analysis of the extensor carpi radialis muscle.
AB - This study investigated the within-subject, intra- and inter-operator reliability
of quantitative electromyographic (EMG) analysis using decomposition-based
quantitative electromyography (DQEMG). Needle and surface-detected EMG signals
were collected during low-level isometric contractions of the extensor carpi
radialis (ECR) muscle. DQEMG was used to extract needle-detected motor unit
potential (MUP) trains and surface-detected MUPs (SMUPs) associated with each
train. Two independent experienced operators re-decomposed and processed the MUP
data on two separate occasions. One-way analyses of variance (ANOVA) were
performed to identify within-subject differences (test-retest, n=6), and operator
and trial differences (intra- and inter-operator, n=20) for the MUP morphological
variables. The within-subject reliability, as well as the intra- and inter
operator reliability were estimated using intraclass correlation coefficients
(ICCs). The 95% limits of agreement were calculated to measure within-subject and
between operator agreements. MUP durations were found to be significantly
different between days (p<0.05). For intra- and inter-operator reliability, a
significant difference was found within and between the operators for MUP
duration, and between the operators for MUP number of turns (p<0.05). SMUP
morphological parameters yielded higher ICC values for both intra (0.96-0.99) and
inter (0.96-0.99) operator scores when compared to MUP morphological parameters
(intra-operator: 0.81-0.99; and inter-operator: 0.67-0.98). Mean motor unit (MU)
firing rate was found to be a highly reliable measure for both intra- and inter
operators (0.99-0.97, respectively). The between-operator agreement was above 95%
for all morphological parameters. These results concerning within-subject, intra-
and inter-operator reliability and levels of agreement for quantitative motor
unit analysis suggest that DQEMG provides sufficiently consistent results to
allow it to be effectively used for QEMG analysis. Needle-detected MUP morphology
although clinically useful in the diagnosis and monitoring of neuropathies, was
not as reliable as surface MUP QEMG measures.
PMID- 18053580
TI - Light room therapy effective in mild forms of seasonal affective disorder--a
randomised controlled study.
AB - BACKGROUND: The most common way to provide bright light therapy to Swedish
patients with Seasonal Affective Disorder (SAD), is treatment in a light therapy
room. Since few studies have evaluated treatment provided in this setting and few
have evaluated the effect of bright light in sub-clinical SAD (S-SAD), such a
study including a one-month follow-up was designed. METHODS: Fifty adults
recruited from a previous prevalence study and clinically assessed as having SAD
or S-SAD, were randomised to treatment in a light room or to a three-week waiting
list control group. The Hamilton Depression Rating Scale-Seasonal Affective
Disorders Self-rating 29-items Version (SIGH-SAD/SR) was used to measure
depressive mood at baseline, directly following treatment and at the one-month
follow-up. RESULTS: ANCOVA with adjustment for baseline depression score, showed
a significant main effect for the light room therapy group (p<0.001). Fifty-four
percent (n=13/24) improved > or = 50% while no such improvement was seen in the
control condition (n=0/24). After merging the two groups, repeated measures ANOVA
confirmed the experimental analysis (p<0.001). At the one-month follow-up, 83.0%
(n=39/47) had improved > or = 50% and 63.8% (n=30/47) had normal depression
scores, i.e. < or = 8. CONCLUSIONS: Light room therapy was effective in reducing
depressive symptoms in subjects with winter depressive mood. Results were
maintained over a period of one month.
PMID- 18053581
TI - Quantifying links between acute myocardial infarction and depression, anxiety and
schizophrenia using case register databases.
AB - AIMS: To quantify the association between depression and acute myocardial
infarction (AMI) in a large sample using case registers, and examine whether any
such link is specific to depression or might more reflect mental illness status
in general. METHODS AND RESULTS: Accessing the Danish Psychiatric Central
Research Register (PCR), patients with a diagnosis of depression were extracted
and followed for up to 24 years for episodes of AMI. We used mentally healthy age
and sex-matched controls, and as comparator diagnostic groups, we studied
patients with anxiety and schizophrenia. A positive association between
depression and AMI was found with an incidence rate ratio (IRR) of 1.16 (CI: 1.10
1.22). The association was not unique for the depressed individuals, but was also
found for anxiety patients, where it was even stronger (IRR=1.56, CI: 1.35-1.79)
than for the depressed patients. A negative association (IRR=0.77, CI: 0.65-0.91)
was quantified for schizophrenia, arguing against any link with AMI being
determined by psychiatric disorder status per se. LIMITATIONS: Being a register
study, not all potential confounding variables could be examined. CONCLUSION:
Findings quantify significant associations between depression and AMI as well as
between anxiety and AMI, and argue that these two psychiatric disorders should be
added to the list of risk factors to coronary artery disease.
PMID- 18053582
TI - Functional analysis of CD8+ T cell responses to the onconeural self protein cdr2
in patients with paraneoplastic cerebellar degeneration.
AB - Paraneoplastic cerebellar degeneration (PCD) is linked to an immune response
against cerebellar degeneration related antigen 2 (cdr2) co-expressed in tumor
and Purkinje neurons. Here, comprehensive immune-assessment assays were used to
analyze CD8(+) T cells from 7 PCD patients, but no evidence was found of CD8(+) T
cells specific for either of two previously described cdr2 epitopes (cdr2-1 and
cdr2-2). In contrast, viral-specific CD8(+) T cells from healthy volunteers and
PCD patients were measurable. These findings are inconsistent with an obligate
role for cdr2-1- or cdr2-2-specific CD8(+) T cells in the pathogenesis of PCD.
PMID- 18053583
TI - Hearing loss in pediatric patients with isolated nonsyndromic sagittal
synostosis.
AB - OBJECTIVE: Recent studies have shown increased rates of speech, language,
cognitive and behavioral abnormalities in patients with isolated nonsyndromic
sagittal synostosis. Little is known regarding the prevalence and type of hearing
abnormalities in children with craniosynotosis. This study characterizes hearing
loss in pediatric patients with isolated nonsyndromic sagittal synostosis.
METHODS: Retrospective chart review of isolated nonsyndromic sagittal synostosis
patients in a pediatric craniofacial clinic to determine the prevalence,
severity, and type of hearing loss. RESULTS: Fifteen of 57 patients with isolated
nonsyndromic sagittal synostosis had hearing loss (26% with a 95% confidence
interval of 14.9 to 37.7%). Twelve patients demonstrated a conductive loss and
three an unspecified type of loss (no bone conduction thresholds were recorded
for these patients). The prevalence of conductive hearing loss in our population
was 21% with a 95% confidence interval of 10.4 to 31.6%. All cases of hearing
loss were mild or moderate in severity. CONCLUSIONS: The most common hearing
impairment in patients with isolated nonsyndromic sagittal synostosis is
conductive hearing loss likely secondary to middle ear effusion. These patients
do not appear to have a higher frequency of middle ear effusion and conductive
hearing loss than the normal population of comparable age. We conclude that there
is no evidence of increased risk of hearing loss in our study population. We
infer that hearing loss does not play a causative role in the elevation of risk
for speech, language, behavioral and cognitive impairments which these patients
experience. Health care professionals are obligated to ensure that conductive
loss from middle ear effusion does not exacerbate these impairments. This
obligation can safely be performed by managing hearing loss in the INSS
population similar to hearing loss in comparably aged normal children.
PMID- 18053584
TI - Auditory brainstem responses as a clinical evaluation tool in children after
perinatal encephalopathy.
AB - Auditory brainstem responses (ABR) reveals the neurophysiological status of the
neural axis. In this study we compared the ABR of healthy children, under 1-year
old, with children who suffered from perinatal encephalopathy (PE). OBJECTIVE:
The purpose of this study was to characterize the ABR differences between
children with PE and healthy children in order to identify groups with specific
neurophysiological profiles, associated with their neurological condition.
METHODS: Thirty-six children with perinatal encephalopathy (PE) and 36 healthy
children, ages 1-12 months, were studied. The variables considered were:
latencies of waves I, II, N1, III, V, and N2; interpeak latency interval (IPL) of
waves I-III, III-V, and I-V; as well as amplitudes of waves I, III, and V. The
results were analyzed using ANOVA, as well as Ji(2), and Ward's cluster analysis.
RESULTS: The absolute latencies of the ABR showed an inverse correlation with the
children's age. Latencies of waves I, II, N1, V, and N2, IPL III-V, and amplitude
of waves III and V show significant differences (p<0.05) between healthy and PE
children. Children with PE showed greater absolute latencies and larger wave
amplitudes than the control group. Ward's cluster analysis, used to define the
groups with similar functional characteristics, revealed three groups: fast,
intermediate, and slow-responders, depending on their wave latencies and IPL wave
amplitudes. These groups were gender- (p<0.03), age- (p<0.0001), and neurological
damage- (p<0.01) related. CONCLUSIONS: Our data clearly show that the ABR
obtained from PE children differ from ABR obtained from healthy children. PE
infants showed larger wave latencies, intervals amplitudes than the control
group. Three functional profiles resulted from the groups established using the
Ward's method, and these indicate their neurological functional condition.
PMID- 18053585
TI - Automated extraction and quantitation of oncogenic HPV genotypes from cervical
samples by a real-time PCR-based system.
AB - Accurate laboratory assays for the diagnosis of persistent oncogenic HPV
infection are being recognized increasingly as essential for clinical management
of women with cervical precancerous lesions. HPV viral load has been suggested to
be a surrogate marker of persistent infection. Four independent real-time
quantitative TaqMan PCR assays were developed for: HPV-16, -31, -18 and/or -45
and -33 and/or -52, -58, -67. The assays had a wide dynamic range of detection
and a high degree of accuracy, repeatability and reproducibility. In order to
minimize material and hands-on time, automated nucleic acid extraction was
performed using a 96-well plate format integrated into a robotic liquid handler
workstation. The performance of the TaqMan assays for HPV identification was
assessed by comparing results with those obtained by means of PCR using consensus
primers (GP5+/GP6+) and sequencing (296 samples) and INNO-LiPA analysis (31
samples). Good agreement was found generally between results obtained by real
time PCR assays and GP(+)-PCR system (kappa statistic=0.91). In conclusion, this
study describes four newly developed real-time PCR assays that provide a reliable
and high-throughput method for detection of not only HPV DNA but also HPV
activity of the most common oncogenic HPV types in cervical specimens.
PMID- 18053586
TI - Towards a better resolution of hepatitis C virus variants: CLIP sequencing of an
HCV core fragment and automated assignment of genotypes and subtypes.
AB - Commercially available assays for typing of hepatitis C virus (HCV) isolates
satisfy the current clinical needs. They are, however, limited in their ability
to identify the multitude of existing HCV subtypes correctly. Therefore, these
kits should only be used cautiously in epidemiological studies and will also not
meet future clinical demands which might arise, e.g., from the application of HCV
subtype-specific antiviral compounds. In an attempt to overcome the drawbacks of
commercial typing procedures based on the analysis of the 5' untranslated region
(5' UTR), an approach was developed which relies on CLIP sequencing of an HCV
core fragment with automated assignments of types and subtypes via an originally
created "core-specific" sequence database. The performance characteristics of the
new technique were evaluated in comparison to the Trugene 5' NC Genotyping Kit.
The core-based sequencing method could regularly detect HCV isolates of genotypes
1-6 with an analytical sensitivity of 5000 IU/ml. The accuracy of typing results
obtained by the Trugene test was 97% (genotypes) and 81% (subtypes). The core
linked approach classified all HCV strains correctly on the level of genotypes
and led to an adequate subtype assignment in 96% of all cases. This analytical
performance characteristics recorded for the newly devised typing technique was
superior to those reported for all commercially available assays, including a
most recently released new generation of the line probe assay. Consequently, CLIP
sequencing of an HCV core fragment with subsequent automated assignment of types
and subtypes can be confidently used in clinical laboratory practice to answer
current and also future questions in the context of HCV typing.
PMID- 18053587
TI - Progressive encephalomyelitis with rigidity and myoclonus in an 81-year-old
patient.
AB - We present the case of an 81-year-old female with severe rigidity, stiffness and
superimposed muscle spasms that represents the oldest reported patient with
progressive encephalomyelitis with rigidity and myoclonus. Two associated
autoimmune disorders (diabetes mellitus and Hashimoto's thyoiditis) were recently
diagnosed. A paraneoplastic origin was excluded. The spectrum of differential
diagnoses including classic Stiff-Person syndrome and paraneoplastic Stiff-Person
syndrome is discussed.
PMID- 18053588
TI - Anti-tumor necrotic factor antibody for treatment of neuro-Behcet's disease, a
case report.
PMID- 18053589
TI - Does antiviral therapy have a role in the control of Japanese encephalitis?
AB - Approximately 2 billion people live in countries where Japanese encephalitis (JE)
presents a significant risk to humans and animals, particularly in China and
India, with at least 700 million potentially susceptible children. The combined
effects of climate change, altered bird migratory patterns, increasing movement
of humans, animals and goods, increasing deforestation and development of
irrigation projects will inevitably lead to further geographic dispersal of the
virus and an enhanced threat. Although most human infections are mild or
asymptomatic, some 50% of patients who develop encephalitis suffer permanent
neurologic defects, and 25% die. Vaccines have reduced the incidence of JE in
some countries. No specific antiviral therapy is currently available. Interferon
alpha-2a was tested in a double-blind placebo-controlled trial on children with
Japanese encephalitis, but with negative results. There is thus a real need for
antivirals that can reduce the toll of death and neurological sequelae resulting
from infection with JE virus. Here we briefly review the epidemiological problems
presented by this virus, the present state of drug development and the
contributory role that antiviral therapy might play in developing future control
strategies for JE.
PMID- 18053590
TI - Antisense RNA and RNAi in protozoan parasites: working hard or hardly working?
AB - The complex life cycles of many protozoan parasites require the ability to
respond to environmental and developmental cues through regulated gene
expression. Traditionally, parasitologists have investigated these mechanisms by
identifying and characterizing proteins that are necessary for the regulated
expression of the genetic material. Although often successful, it is clear that
protein-mediated gene regulation is only part of a complex story in which RNA
itself is endowed with regulatory functions. Herein, we review both the known and
potential regulatory roles of two types of RNA pathways within protozoan
parasites: the RNA interference pathway and natural antisense transcripts. A
better understanding of the native role of these pathways will not only enhance
our understanding of the biology of these organisms but also aid in the
development of more robust tools for reverse genetic analysis in this post
genomic era.
PMID- 18053591
TI - Referencing for reviews: How to distinguish unwillingness from mission
impossible.
AB - Selecting the most relevant literature for a state-of-the-art review article may
result in the unintentional exclusion of valuable contributions, despite the most
genuine intention of comprehensiveness. Some of these omissions, however, can be
sensibly justified by a number of factors, such as language barriers, lack of
abstract and/or online full-text article, type of manuscript and date of
publication, whose concurrence makes simply unfeasible the gathering of the most
basic information, indispensable for the citation. In this case, even the oceanic
collection of scientific contributions contained in the database Medline may
become underpowered.
PMID- 18053592
TI - Effects of carvedilol on right ventricular ejection fraction and cytokines levels
in patients with systolic heart failure.
AB - BACKGROUND: Right ventricular (RV) dysfunction frequently complicates advanced
left ventricular (LV) heart failure and contributes to an unfavorable prognosis.
It is known that carvedilol increases left ventricular ejection fraction (LVEF)
significantly, and carvedilol reduces mortality by associating with improvement
in LV function. However, the effect of carvedilol on RV function in heart failure
has not adequately been studied, so far. The objective is to establish whether
the addition of carvedilol has an additive beneficial effect on RVEF and
cytokines levels in patients with heart failure who are already receiving
treatment with angiotensin-converting enzyme (ACE) inhibitors, digoxin and
diuretics. METHODS: In this single-centre, prospective, randomized study, 74
patients with heart failure with an LVEF less than 40% and already receiving
digoxin, ACE inhibitors and diuretics for 6 months as the standard therapy were
randomly assigned to receive either carvedilol (n=44) or placebo (n=30). Patients
received an initial dosage of 6.25 mg carvedilol or placebo twice daily for 2
weeks, which was then increased at 2-week intervals (if tolerated), first to 12.5
mg and, finally, to a target dosage of 25 mg twice daily. Clinical examinations,
radionuclide studies, and determinations of plasma levels of tumour necrosis
factor-alpha (TNF-alpha), interleukin (IL)-2 and IL-6 were performed at baseline
and repeated 4 months after random assignment. Primary end points were New York
Heart Association functional class, RVEF and plasma cytokines levels. RESULTS:
Patients treated with carvedilol had a significant improvement in functional
class compared with the baseline values (P=0.001), with a decrease in the levels
of cytokines (IL-6 [P=0.02] and TNF-alpha [P=0.02]). LVEF increased from 21.4+/
8.8% to 27.8+/-10.8% and RVEF increased from 28.8+/-4.2% to 36.3+/-2.6% in the
carvedilol group (P=0.003). CONCLUSIONS: Carvedilol treatment for 4 months
resulted in a significant improvement of RVEF, which paralleled the improvement
of LVEF and the decreasing of TNF-alpha and IL-6 levels in patients with systolic
HF.
PMID- 18053593
TI - Exceptional survival: acute coronary syndrome in a 56-year-old patient with
Takayasu's arteritis.
PMID- 18053594
TI - Candesartan improves myocardial damage in obese mice with viral myocarditis and
induces cardiac adiponectin.
AB - PURPOSE: To clarify the mechanism of the effects of angiotensin II receptor type
1 antagonist, candesartan, upon cardiac adiponectin in the combination of
myocarditis with obesity, we examined obese KKAy mice with acute viral
myocarditis treated by candesartan and investigated cardiac adiponectin
regulation. METHODS: Mice were divided into candesartan early treatment group
(Can-early) receiving orally candesartan at daily dose of 10 mg/kg 7 days
starting before viral inoculation and then 7 days; candesartan late treatment
group (Can-late) or vehicle (Vehicle) receiving candesartan starting
simultaneously with viral inoculation and then 7 days. Encephalomyocarditis virus
was used to induce the acute viral myocarditis. Differences in myocardial
damages, serum adiponectin and myocardial expression of adiponectin, tumor
necrosis factor-alpha (TNF-alpha), CCAAT/enhancer binding proteinalpha
(C/EBPalpha) and peroxisome proliferator-activated receptor gamma (PPAR-gamma)
and nuclear factor-kappaB (NF-kappaB) mRNA among three groups were determined on
days 0, 4 and 7 after viral inoculation. RESULTS: Mice in Can-early and Can-late
groups showed reduced myocardial necrosis and cellular infiltration as compared
with those in the Vehicle. On day 4 the circulating adiponectin levels were
significantly higher in Can-early than those in Vehicle. Mice in Vehicle had
significantly reduced in myocardial adiponectin mRNA after viral myocarditis.
Cardiac adiponectin mRNA was significantly higher in Can-early and in Can-late
than in Vehicle on days 4 and 7. Cardiac C/EBPalpha in Can-early and Can-early
groups was significantly increased on day 4. Myocardial NF-kappaB and TNF-alpha
mRNA in Can-early and Can-late groups were significantly reduced on day 7.
CONCLUSION: Candesartan treatment improved myocardial injury in obese mice with
acute viral myocarditis and induced expression of cardiac adiponectin with the
induction of C/EBPalpha as well as the reduction of cardiac NF-kappaB and TNF
alpha.
PMID- 18053595
TI - Cardiac remodeling and predictors for cardiac death in long-term follow-up of
subjects with chronic Chagas' heart disease: a mathematical model for progression
of myocardial damage.
AB - In a prospective longitudinal study the occurrence of cardiac death was
investigated and ongoing cardiac remodeling retrospectively analyzed in regard to
adverse outcome. A cohort of 50 subjects with chronic Chagas' disease stratified
according to Los Andes groups 1, 2 and 3 were followed-up for (mean+/-SD) 84.2+/
39.0 months. Follow-up was abbreviated by ventricular tachycardia ([VT]
incidence: 3.0+/-7.0% year(-1)), first atrial fibrillation episode lasting >24 h
([AF] incidence: 3.3+/-1.0% year(-1)), nonfatal embolic stroke (incidence: 1.3+/
1.0% year(-1)), and cardiac death (mortality rate: 2.3+/-0.8% year(-1)). The
relative risk of Los Andes group 3, VT, AF and stroke for cardiac death was,
respectively, 25.3 (95%CI [3.5-182.6]), 3.0 (95%CI [1.2-7.3]), 3.6 (95%CI [1.2
10.9]) and 1.1 (95%CI [0.2-7.2]). In a multivariate Cox proportional-hazard
model, Los Andes group 3 (hazard ratio=24.5; 95%CI [3.2-189.2]; p<0.01) was
independent predictor for cardiac death. LAD and LV mass and not LVEF variation
rates differed among group 1 (respectively, 0.03+/-0.1 cm year(-1); 2.4+/-5.7 g
year(-1) and -0.1+/-2.4% year(-1)), group 2 (0.04+/-0.1 cm year(-1); 3.7+/-8.8 g
year(-1) and -0.8+/-1.4% year(-1)) and group 3 (0.13+/-0.1 cm year(-1), p<0.001;
21.7+/-10.1 g year(-1), p<0.001 and -1.4+/-2.5% year(-1), p=0.26). Variables on
admission were linearly related to respectively variation rates (r=0.71; p=0.02)
composing a first order linear process with 0.07 months(-1) time constant. In
chronic Chagas' disease, initial clinical status is an independent predictor for
cardiac death and determines the progression rate of myocardial damage.
PMID- 18053597
TI - Multimodality cardiac imaging for the noninvasive characterization of
intracardiac neoplasms.
PMID- 18053598
TI - CT angiography images of an anomalous right coronary artery.
AB - We describe a 33-year-old male with angina pectoris who was found to have
abnormal origin and course of right coronary artery from left aortic cusp. The
abnormal origin was identified by conventional coronary angiography but the
abnormal course of the vessel between ascending aorta and the main pulmonary
artery was precisely delineated by high resolution CT angiography. Patient
underwent successful surgical transposition of the anomalous vessel with complete
resolution of symptoms.
PMID- 18053599
TI - Hypertrophic cardiomyopathy is a global disease including China.
PMID- 18053600
TI - Retrograde percutaneous recanalization of coronary chronic total occlusions:
outcomes from 17 patients.
AB - Given their suboptimal success rates, coronary chronic total occlusions (CTO)
represent one of the last challenges of percutaneous coronary intervention (PCI).
Among several novel techniques, the retrograde approach is one of the most
promising, but it is still incompletely described. We report our 5-year
experience in retrograde PCI for CTOs. We abstracted patient and procedural data
on all cases with attempted retrograde approach. End-points were technical and
procedural success, plus long-term major adverse cardiovascular events (MACE).
The retrograde approach was used in 17 patients, with procedural success in 12
(71%), including 9 CTOs of right coronary, 2 of left circumflex, and 2 of left
main arteries. Conversely, 4 cases of technical failure and 1 post-procedural
myocardial infarction in an otherwise angiographically successful procedure were
found. Causes of retrograde failure were: inability to deliver the balloon
through the chosen collateral in 2 cases, inability to retrogradely cross the
distal stump with the wire in 1 case, and interruption of the procedure due to
rupture of a minor septal collateral branch during attempts at advancing the non
inflated balloon in 1 case. In all patients with retrograde failure, subsequent
antegrade attempts could be carried out, with ultimate success in everybody.
Follow-up at 24+/-21 months showed an overall MACE rate of 23%. In conclusion,
the retrograde approach appears feasible and safe, and its application can be
envisioned as a promising alternative strategy in selected patients in whom
antegrade approaches fail or are deemed unsafe.
PMID- 18053601
TI - Selective isolation of bacterial DNA from human clinical specimens.
AB - We evaluated two DNA preparation strategies (MolYsis, Molzym GmbH & Co. KG,
Bremen, Germany) and Pureprove, SIRS-Lab GmbH, Jena, Germany) to selectively
extract bacterial DNA from human clinical samples. By testing 16 oral samples we
found that human DNA could be largely eliminated while detectable levels of
bacterial DNA were obtained with all samples. Both approaches hold great
potential for microbial diagnostic systems.
PMID- 18053602
TI - Pulmonary injury and tumor response after stereotactic body radiotherapy (SBRT):
results of a serial follow-up CT study.
AB - PURPOSE: To evaluate the CT morphological pattern of tumor response and pulmonary
injury after stereotactic body radiotherapy (SBRT) for early stage non-small lung
cancer (NSCLC) and pulmonary metastases. MATERIALS AND METHODS: Seventy patients
(lesions n=86) with pulmonary metastases (n=48) or primary early stage NSCLC
(n=38) were analyzed. Patients were treated with hypofractionated SBRT (three to
eight fractions with a single dose between 6 and 12.5 Gy; n=56) or with
radiosurgery (26 Gy; n=30). The pattern and sequence of pulmonary injury and of
tumor response was evaluated in 346 follow-up CT studies, 4.9 on average.
RESULTS: Symptomatic pneumonitis was observed in 10% after a median interval of 5
months. No pulmonary reaction was observed in most patients 6 weeks after
treatment; spotted-streaky condensations were characteristic between 3 months and
6 months. Dense consolidation and retraction started after 9 months and the
fibrotic remodelling process continued for years. Ten targets relapsed after a
median of 7 months. At 12 months complete response was seen in 43% and the
differentiation of residual tumor from pulmonary reaction was not possible in
33%. CONCLUSIONS: A typical sequence of pulmonary reactions was observed without
differences between hypofractionated treatment and radiosurgery. Onset of
pneumonitis was later compared to conventionally fractionated radiotherapy.
PMID- 18053603
TI - Test-retest reliability of P50, N100 and P200 auditory sensory gating in healthy
subjects.
AB - RATIONALE: Suppression of middle latency auditory evoked responses is considered
an index for the multistage sensory gating process. This has been observed in
sequentially occurring P50, N100 and P200 components in a dual-click procedure.
Since P50 sensory gating deficits have been observed in schizophrenic patients
and first degree relatives, this parameter was suggested as an intermediate
phenotype of the disease. However, most studies only show a low reliability for
P50 sensory gating and neither N100 nor P200 sensory gating have been
sufficiently tested. METHODS: Reliability of P50, N100 and P200 sensory gating
was measured in 41 healthy subjects in two sessions, four weeks apart, using
intra-class correlation. Sensory gating was calculated as ratio-gating (second
response magnitude/first response magnitude x100) as well as difference-gating
(first response magnitude minus second response magnitude). RESULTS: The
difference-gating showed good to excellent reliabilities independently of the
amplitude-measurement method applied (P50 peak-to-peak 0.75 and baseline-to-peak
0.74, N100 peak-to-peak 0.63 and baseline-to-peak 0.70, P200 peak-to-peak 0.82
and baseline-to-peak 0.79). Regarding ratio-gating, best temporal stability was
observed for the P200 (peak-to-peak 0.58 and baseline-to-peak 0.62). Reliability
of P50 ratio-gating strongly depends on the amplitude-measurement method (peak-to
peak 0.0 and baseline-to-peak 0.46). CONCLUSION: Regarding long-term reliability
in healthy subjects the difference-gating of all three evoked responses and the
ratio-gating of the P200 component may be useful tools for clinical or
intermediate phenotype studies measuring different stages of the auditory sensory
gating process. In contrast, the reliability of the P50 and N100 ratio-gating
component seems to be insufficient for this purpose. However, long-term
reliability remains to be confirmed in clinical samples.
PMID- 18053604
TI - Possible involvement of neurons in locus coeruleus in inhibitory effect on
glossopharyngeal expiratory activity in a neonatal rat brainstem-spinal cord
preparation in vitro.
AB - In this study, we found that a certain motor branch of glossopharyngeal (IX)
motor nerves stably exhibits not only inspiratory activity but also expiratory
activity with pons removal in neonatal rat brainstem-spinal cord preparations in
vitro. Because this finding indicates that IX expiratory activity is masked by an
inhibitory mechanism operating in the pons, we sought to determine the candidate
neurons that exert an inhibitory effect on IX expiratory activity. IX expiratory
activity was observed when only the pons was perfused with noradrenaline (NA) or
clonidine (alpha2 adrenergic receptor agonist), but not when NA and yohimbine
(alpha2 adrenergic receptor antagonist) were perfused together. IX expiratory
activity was also observed following the removal of the dorsal pons but not the
ventral pons. The local administration of clonidine into the bilateral locus
coeruleus (LC) evoked burst discharges during the expiratory phase in the IX
motor rootlet. These results suggest that neurons in the LC that possess an
alpha2 adrenergic receptor on the membrane surface exert a tonic inhibitory
effect on IX expiratory activity in neonatal rat brainstem-spinal cord
preparations.
PMID- 18053605
TI - Acute non-cytopathic bovine viral diarrhea virus infection induces pronounced
type I interferon response in pregnant cows and fetuses.
AB - Bovine viral diarrhea virus (BVDV) infection occurs in the cattle population
worldwide. Non-cytopathic (ncp) BVDV strains cause transient infection (TI) or
persistent infection (PI) depending on the host's immune status. Immunocompetent
adult animals and fetuses in late gestation resolve the infection. Fetal
infection in early gestation results in PI with chronic viremia and life-long
viral shedding, ensuring virus perpetuation in the population. Eighteen pregnant
heifers, divided into three groups, were intranasally inoculated with ncp BVDV2
virus early (day 75) and late (day 175) in gestation, or kept BVDV-naive. Fetuses
were retrieved on day 190. Antiviral activity in blood of dams and fetuses,
maternal expression of interferon (IFN) stimulated gene 15kDa (ISG15),
virological and serological status of heifers and fetuses, and fetal growth were
studied. A pronounced antiviral activity in blood of heifers and TI fetuses
during acute BVDV infection was accompanied by drastic up-regulation of ISG15
mRNA in maternal blood. Only one PI fetus expressed low IFN response 115 days
post inoculation despite high BVDV antigen and RNA levels. PI fetuses presented
with growth retardation. Infection of pregnant heifers with ncp BVDV2 early in
gestation adversely affects fetal development and antiviral responses, despite
protective immune responses in the dam.
PMID- 18053606
TI - Characterization of the intracellular dynamics of a non-degradative pathway
accessed by polymer nanoparticles.
AB - Recently, 24 nm polymer nanoparticles were found to access a privileged non
degradative intracellular pathway that leads to perinuclear accumulation. Here,
we report the intracellular dynamics of vesicles containing polymer nanoparticles
within this non-degradative pathway, characterized by clathrin- and caveolae
independent endocytosis, as compared to endosomes originating from classical
clathrin-mediated endocytosis. Similar to transport of acidic endosomes and
lysosomes, the dynamic movements of non-degradative vesicles exhibit substantial
heterogeneity, including caged diffusion and pearls-on-a-string trajectories, a
reflection of microtubule-dependent active transport that leads to rapid
accumulation near the cell nucleus. However, the ensemble-averaged intracellular
transport rate of vesicles in the non-degradative pathway is 4-fold slower than
that of the acidic vesicles of late endosomes and lysosomes, highlighted by a 3
fold smaller fraction of actively transported vesicles. The distinct
intracellular dynamics further confirms that small nanoparticles are capable of
entering cells via a distinct privileged pathway that does not lead to lysosomal
processing. This non-degradative pathway may prove beneficial for the delivery of
therapeutics and nucleic acids to the nucleus or nearby organelles.
PMID- 18053607
TI - Nanoimprint lithography based fabrication of shape-specific, enzymatically
triggered smart nanoparticles.
AB - Our ability to precisely manipulate size, shape and composition of nanoscale
carriers is essential for controlling their in-vivo transport, bio-distribution
and drug release mechanism. Shape-specific, "smart" nanoparticles that deliver
drugs or imaging agents to target tissues primarily in response to disease
specific or physiological signals could significantly improve therapeutic care of
complex diseases. Current methods in nanoparticle synthesis do not allow such
simultaneous control over particle size, shape and environmentally-triggered drug
release, especially at the sub 100 nm range. We report here a high-throughput
nanofabrication technique using synthetic and biological macromers (peptides) to
produce highly monodisperse, enzymatically-triggered nanoparticles of precise
sizes and shapes. Particles as small as 50 nm were fabricated on silicon wafers
and harvested directly into aqueous buffers using a biocompatible, one-step
release technique. We further demonstrate successful encapsulation and precisely
controlled enzyme-triggered release of antibodies and nucleic acids from these
nanoparticles, thus providing a potential means for disease-controlled delivery
of biomolecules.
PMID- 18053608
TI - Relationship among VEGF, VEGF receptor, AGEs, and macrophages in proliferative
diabetic retinopathy.
AB - PURPOSE: We studied the roles of vascular endothelial growth factor (VEGF), its
receptor (flt-1), advanced glycation end products (AGEs), and macrophages in the
development of proliferative diabetic retinopathy. METHODS: Ocular fluid and
small specimens of iris and neovascular membrane were obtained from 30 patients
who underwent vitreous surgery (19 eyes with proliferative diabetic retinopathy
[PDR], 11 eyes with non-diabetic ocular diseases). VEGF and AGE levels in ocular
fluid were assayed by ELISA. Immunohistochemical studies of VEGF, flt-1, AGEs,
and macrophage were performed on the ocular tissues. RESULTS: The mean VEGF and
AGE levels in the vitreous (695.7pg/ml and 2.4mg/ml, respectively) were
significantly higher in diabetic than in non-diabetic eyes (25.9pg/ml, p=0.0007
and 1.3mg/ml, p=0.005, respectively). Likewise, in the aqueous humor, VEGF and
AGE levels were significantly higher in diabetic than in non-diabetic eyes. VEGF
levels in the vitreous and aqueous humor were correlated significantly (r=0.6;
p=0.02), but AGEs were not. The VEGF levels were not correlated with AGE levels
in the aqueous or vitreous. In the iris, VEGF, AGEs, and macrophages were stained
more prominently in the specimens from patients with diabetes than from patients
without diabetes, while flt-1 staining did not differ. The Neovascular membranes
were stained much more prominently for all (VEGF, flt-1, AGEs and macrophages)
even when compared with the iris from patients with diabetes. CONCLUSIONS: By
analyzing aqueous and vitreous humor, proliferative membranes, and iris from the
same patients, the current clinical study strongly supports previous reports that
showed the role of VEGF, macrophages, and AGEs in the development of diabetic
proliferative retinopathy. From the results of the current study, we showed that
flt-1 plays an important role in the development of retinal neovascular membranes
but the role is uncertain in the iris and retina.
PMID- 18053609
TI - Health systems' responses to 25 years of HIV in Europe: inequities persist and
challenges remain.
AB - Europe is currently experiencing the fastest rate of growth of HIV of any region
of the world. An analysis of policy and health system responses to the HIV
epidemic in Europe and central Asia (hereafter referred to as Europe) over the
last 25 years reveals considerable heterogeneity. In general, while noting
hazards of broad generalisations and the differences that exist across countries
in a particular grouping, effective policies to control HIV have been implemented
more widely in western than in central and eastern Europe. However, the evidence
suggests persistence of inequalities in access to preventive and treatment
services, with those at highest risk, such as commercial sex workers, prisoners,
intravenous drug users, and migrants often particularly disadvantaged, despite
many targeted programmes. Responses in individual countries, especially in the
early stages of the epidemic, were influenced by specific cultural and political
factors. Strong leadership and active involvement by civil society organisations
emerge as important factors for success but also a limiting factor to the
response observed in eastern Europe, where civil society or NGO culture is weak
as compared to western Europe. Scaling up of effective responses in many
countries in eastern Europe will be challenging-where increased financial
resources will have to be accompanied by broader changes to health system
organization with greater involvement of the civil society in planning and
delivery of client-focused services.
PMID- 18053610
TI - Improving brain tumor characterization on MRI by probabilistic neural networks
and non-linear transformation of textural features.
AB - The aim of the present study was to design, implement and evaluate a software
system for discriminating between metastatic and primary brain tumors (gliomas
and meningiomas) on MRI, employing textural features from routinely taken T1 post
contrast images. The proposed classifier is a modified probabilistic neural
network (PNN), incorporating a non-linear least squares features transformation
(LSFT) into the PNN classifier. Thirty-six textural features were extracted from
each one of 67 T1-weighted post-contrast MR images (21 metastases, 19 meningiomas
and 27 gliomas). LSFT enhanced the performance of the PNN, achieving
classification accuracies of 95.24% for discriminating between metastatic and
primary tumors and 93.48% for distinguishing gliomas from meningiomas. To improve
the generalization of the proposed classification system, the external cross
validation method was also used, resulting in 71.43% and 81.25% accuracies in
distinguishing metastatic from primary tumors and gliomas from meningiomas,
respectively. LSFT improved PNN performance, increased class separability and
resulted in dimensionality reduction.
PMID- 18053611
TI - Enhancing drug absorption using lipids: a case study presenting the development
and pharmacological evaluation of a novel lipid-based oral amphotericin B
formulation for the treatment of systemic fungal infections.
AB - The development of a safe and efficacious drug involves a balance between
bioavailability, toxicity and disposition within the body. If the drug is
hydrophobic or acid labile, oral administration may lead to poor systemic
exposure, necessitating a parenteral treatment regime. Amphotericin B (AmpB) is
one example of a well established, highly efficacious drug that has a 50 year
history of intravenous therapy. AmpB formulated as a micellar dispersion
(Fungizone; FZ) for IV use, remains one of the most effective agents in the
treatment of systemic fungal infections, yet no oral formulations are currently
commercially available. Recently, our laboratory has developed new oral lipid
based AmpB formulations with enhanced gastrointestinal (GI) tract absorption and
antifungal activity with minimum renal toxicity. This review article will discuss
these findings and present data to support two potential mechanisms for the
enhanced GI tract absorption of AmpB when formulated in this oral lipid-based
delivery system, namely an increase in lymphatic drug transport and a decrease in
pre-systemic transporter-mediated drug efflux.
PMID- 18053612
TI - Tat peptide-mediated intracellular delivery of pharmaceutical nanocarriers.
AB - Cell-penetrating peptides (CPPs) including TAT peptide (TATp) have been
successfully used for intracellular delivery of a broad variety of cargoes
including various nanoparticulate pharmaceutical carriers (liposomes, micelles,
nanoparticles). Here, we will consider the main results in this area, with a
special emphasis on TATp-mediated delivery of liposomes and DNA. We will also
address the development of "smart" stimuli-sensitive nanocarriers, where cell
penetrating function can be activated by the decreased pH only inside the
biological target minimizing thus the interaction of drug-loaded nanocarriers
with non-target cells.
PMID- 18053613
TI - Induction of immune tolerance to facilitate beta cell regeneration in type 1
diabetes.
AB - A definitive cure for type 1 diabetes is currently being pursued with enormous
effort by the scientific community. Different strategies are followed to restore
physiologic production of insulin in diabetic patients. Restoration of self
tolerance remains the milestone that must be reached in order to move a step
further and recover a cell source capable of independent and functional insulin
production. Multiple strategies aimed at modulation of both central and
peripheral immunity must be considered. Promising results now show that the
immune system can be modulated in a way that acquisition of a "diabetes
suppressive" phenotype is possible. Once self-tolerance is achieved, reversal of
the disease may be obtained by simply allowing physiologic rescue and/or
regeneration of the beta cells to take place. Given that these outcomes have
already been confirmed in humans, refinement of existing protocols along with
novel methods adapted to T1DM reversal will allow translation into clinical
trials.
PMID- 18053614
TI - Protein and peptide transduction, twenty years later a happy birthday.
AB - This commentary underscores the following aspects of Cell Permeable
Peptides/Transduction Peptides (CPP/PTD) research. First the discovery of CPP/PTD
takes its origin in the observation that some full-length transcription factors
navigate between cells. The latter physiological origin is of interest as the
significance of this new mode of signal transduction is not yet fully understood.
A second point is that most breakthroughs in the domain have been made possible
by long lasting collaborations between biologists, chemists and physicists. It is
beyond doubt that the understanding of the mechanisms of secretion and
internalization, in parallel with the development of new transduction compounds,
not only peptides, will require that such collaborative efforts be amplified.
Finally, although the domain is flourishing and our minds full of hope, it must
be said that many points need to be resolved before getting close to bedside.
Among these points are bio-disponibility, toxicity and specific addressing to
body regions, cell types and intracellular compartments. In brief, beyond this
happy birthday, there is still plenty of home work!
PMID- 18053616
TI - Olanzapine blocks the sympathetic and hyperthermic reactions due to cerebral
injection of orexin A.
AB - Since experiments regarding a possible relation between olanzapine and orexin A
has been scarcely reported in international literature, this experiment tested
the effect of olanzapine on the sympathetic and thermogenic effects induced by
orexin A. The firing rates of the sympathetic nerves to interscapular brown
adipose tissue (IBAT), along with IBAT, colonic temperatures and heart rate were
monitored in urethane-anesthetized male Sprague-Dawley rats before an injection
of orexin A (1.5 nmol) into the lateral cerebral ventricle and over a period of
150 min after the injection. The same variables were monitored in rats with an
intraperitoneal administration of olanzapine (10mg/kg bw), injected 30 min before
the orexin administration. The results show that orexin A increases the
sympathetic firing rate, IBAT, colonic temperatures and heart rate. This increase
is blocked by the injection of olanzapine. These findings indicate that
olanzapine affects the complex reactions related to activation of orexinergic
system.
PMID- 18053617
TI - Neurodegeneration and peroxidases.
AB - Alzheimer's disease (AD), Parkinson's disease (PD) and amyotrophic lateral
sclerosis (ALS) are neurodegenerative diseases that affect different parts of the
central nervous system. However, a review of the literature indicates that
certain biochemical reactions involved in neurodegeneration in these three
diseases are quite similar and could be partly identical. This article critically
examines the similarities and, based on data from our own and other laboratories,
proposes a novel explanation for neurodegeneration in these three diseases. We
identified about 20 commonalities that exist in the neurodegenerative process of
each disease. We hypothesize that there are two enzyme-catalyzed pathways that
operate in affected neurons: an oxidative pathway leading to destruction of
various neuronal proteins and lipids, and an apoptotic pathway which the body
normally uses to remove unwanted and dysfunctional cells. Data from many
laboratories indicate that oxidative reactions are primarily responsible for
neurodegeneration, whereas apoptosis may well be a secondary response to the
presence of neurons that have already been severely damaged by oxidative
reactions. Attempts to inhibit apoptosis for the purpose of attenuating
progression of these diseases may therefore be only of marginal benefit. Specific
oxidative reactions within affected neurons led us to propose that one or more
heme peroxidases may be the catalyst(s) involved in oxidation of proteins and
lipids. Support for this proposal is provided by the recent finding that amyloi
beta peptide may act as a peroxidase in AD. Possible participation of the
peroxidase activity of cytochrome c, herein designated as cytochrome c(px) to
distinguish it from yeast cytochrome c peroxidase, is discussed. Of special
interest is our recent finding that many compounds that cause attenuation of
neurodegeneration are inhibitors of the peroxidase activity of cytochrome c.
Several inhibitors were subsequently identified as suicide substrates. Such
inhibitors could be ideally suited for targeted clinical approaches aimed at
arresting progression of neurodegeneration. Finally, it is possible that
immobilized yet still active peroxidase(s) may be present in protein aggregates
in AD, PD, and ALS. This activity could be the catalyst for the slow, self
perpetuating and irreversible degeneration of affected neurons that occurs over
long periods of time in these neurodegenerative diseases.
PMID- 18053615
TI - Central leptin insufficiency syndrome: an interactive etiology for obesity,
metabolic and neural diseases and for designing new therapeutic interventions.
AB - This review critically reappraises recent scientific evidence concerning central
leptin insufficiency versus leptin resistance formulations to explain metabolic
and neural disorders resulting from subnormal or defective leptin signaling in
various sites in the brain. Research at various fronts to unravel the
complexities of the neurobiology of leptin is surveyed to provide a comprehensive
account of the neural and metabolic effects of environmentally imposed
fluctuations in leptin availability at brain sites and the outcome of newer
technology to restore leptin signaling in a site-specific manner. The cumulative
new knowledge favors a unified central leptin insufficiency syndrome over the, in
vogue, central resistance hypothesis to explain the global adverse impact of
deficient leptin signaling in the brain. Furthermore, the leptin insufficiency
syndrome delineates a novel role of leptin in the hypothalamus in restraining
rhythmic pancreatic insulin secretion while concomitantly enhancing glucose
metabolism and non-shivering thermogenic energy expenditure, sequelae that would
otherwise promote fat accrual to store excess energy resulting from consumption
of energy-enriched diets. A concerted effort should now focus on development of
newer technologies for delivery of leptin or leptin mimetics to specifically
target neural pathways for remediation of diverse ailments encompassing the
central leptin insufficiency syndrome.
PMID- 18053618
TI - Brain structure and function related to cognitive reserve variables in normal
aging, mild cognitive impairment and Alzheimer's disease.
AB - Cognitive reserve (CR) is the brain's capacity to cope with cerebral damage to
minimize clinical manifestations. The 'passive model' considers head or brain
measures as anatomical substrates of CR, whereas the 'active model' emphasizes
the use of brain networks effectively. Sixteen healthy subjects, 12 amnestic mild
cognitive impairment (MCI) and 16 cases with mild Alzheimer's disease (AD) were
included to investigate the relationships between proxies of CR and cerebral
measures considered in the 'passive' and 'active' models. CR proxies were
inferred premorbid IQ (WAIS Vocabulary test), 'education-occupation', a
questionnaire of intellectual and social activities and a composite CR measure.
MRI-derived whole-brain volumes and brain activity by functional MRI during a
visual encoding task were obtained. Among healthy elders, higher CR was related
to larger brains and reduced activity during cognitive processing, suggesting
more effective use of cerebral networks. In contrast, higher CR was associated
with reduced brain volumes in MCI and AD and increased brain function in the
latter, indicating more advanced neuropathology but that active compensatory
mechanisms are still at work in higher CR patients. The right superior temporal
gyrus (BA 22) and the left superior parietal lobe (BA 7) showed greatest
significant differences in direction of slope with CR and activation between
controls and AD cases. Finally, a regression analysis revealed that fMRI patterns
were more closely related to CR proxies than brain volumes. Overall, inverse
relationships for healthy and pathological aging groups emerged between brain
structure and function and CR variables.
PMID- 18053619
TI - A transgenic rat model of Alzheimer's disease with extracellular Abeta
deposition.
AB - Many transgenic mouse models of Alzheimer's disease (AD) that deposit amyloid
(Abeta) have been produced, but development of an Abeta-depositing rat model has
not been successful. Here, we describe a rat model with extracellular fibrillar
Abeta deposition. Two lines of Sprague Dawley rats with transgenes expressing
human amyloid precursor protein (APP) with the familial AD (FAD) mutations
K670N/M671L and K670N/M671L/V717I were crossed. Abeta production in the double
homozygous rats was sufficient for deposition by 17-18 months of age. The age of
onset of Abeta deposition was reduced by crossing in a third rat line carrying a
human presenilin-1 (PS-1) transgene with the FAD M146V mutation. The triple
homozygous line had an onset of Abeta deposition by 7 months of age. Deposits
appeared similar to those observed in the mouse models and displayed surrounding
glial and phosphorylated tau reactivity. Abeta levels measured by ELISA were
comparable to those reported in mouse models, suggesting that substantially
greater amounts of soluble Abeta are not required in the rat to generate Abeta
deposition.
PMID- 18053620
TI - Antibody response to 7-valent conjugated pneumococcal vaccine in patients with
chronic lymphocytic leukaemia.
AB - Chronic lymphocytic leukaemia (CLL) is a common adulthood mature B-cell neoplasm.
Infections are the most important cause of mortality in this condition, and
Streptococcus pneumoniae has been considered the most important single pathogen.
We investigated the immunogenicity of 7-valent pneumococcal conjugate vaccine in
patients with CLL. The study material comprised 52 patients with CLL and 25 age-
and sex-matched controls. The subjects were vaccinated with Prevenar pneumococcal
conjugate vaccine. Serum samples were taken for antibody determinations before
and four weeks after vaccination. Antibody response rates to vaccine antigens
were lower in patients with CLL compared to controls. However, if the vaccine had
been administered at an early stage of the disease, i.e. before commencement of
chemotherapy and the development of hypogammaglobulinaemia, a significant
vaccination response to at least six antigens was obtained in almost 40% of the
CLL patients. Our results indicate that early administration of conjugate vaccine
may be beneficial in CLL.
PMID- 18053621
TI - Generation of a recombinant Oka varicella vaccine expressing mumps virus
hemagglutinin-neuraminidase protein as a polyvalent live vaccine.
AB - We constructed a recombinant varicella-zoster virus (VZV) Oka vaccine strain
(vOka) that contained the mumps virus (MuV) hemagglutinin-neuraminidase (HN)
gene, inserted into the site of the ORF 13 gene by using the bacterial artificial
chromosome (BAC) system in Escherichia coli. Insertion of the HN gene into the
VZV genome was confirmed by PCR and Southern blot. The infectious virus
reconstituted from the vOka-HN genome (rvOka-HN) had a growth curve similar to
the original recombinant vOka without the HN gene. The mumps virus HN protein
expressed in rvOka-HN infected cells was expressed diffusely in the cytoplasm,
and modification of the protein was similar to that seen in MuV-infected cells.
Electron microscopic examination of infected cells revealed that HN was expressed
on the plasma membrane of the cells but not in the viral envelope, suggesting
that the tropism of rvOka-HN would be unchanged from that of the original vOka
strain. Immunization of guinea pigs with rvOka-HN-induced VZV- and HN-specific
antibodies. Interestingly, the induced antibodies had a strong neutralizing
activity against virus-cell infections of both MuV and VZV. Therefore, the novel
varicella vaccine expressing MuV HN protein is suitable as a polyvalent live
attenuated vaccine against VZV and MuV infections.
PMID- 18053622
TI - Three years of operational experience from Schauinsland CTBT monitoring station.
AB - Data from three years of operation of a low-level aerosol sampler and analyzer
(RASA) at Schauinsland monitoring station are reported. The system is part of the
International Monitoring System (IMS) for verification of the Comprehensive
Nuclear-Test-Ban Treaty (CTBT). The fully automatic system is capable to measure
aerosol borne gamma emitters with high sensitivity and routinely quantifies 7Be
and 212Pb. The system had a high level of data availability of 90% within the
reporting period. A daily screening process rendered 66 tentative identifications
of verification relevant radionuclides since the system entered IMS operation in
February 2004. Two of these were real events and associated to a plausible
source. The remaining 64 cases can consistently be explained by detector
background and statistical phenomena. Inter-comparison with data from a weekly
sampler operated at the same station shows instabilities of the calibration
during the test phase and a good agreement since certification of the system.
PMID- 18053623
TI - Factors influencing health care-seeking behaviours among Mayan women in
Guatemala.
AB - OBJECTIVE: to identify and better understand factors that influence care-seeking
behaviour for women's health among indigenous Mayan populations in the highlands
of Guatemala. DESIGN: adaptation of qualitative anthropological methods involving
observations, key informant interviews and focus group discussions (FGDs).
SETTING: Project Concern International's Casa Materna, Huehuetenango, Guatemala.
PARTICIPANTS: Interviews and FGDs were conducted among 21 clients (current or
past) of the Casa Materna and traditional birth attendants; 17 female
advocates/promoters of the Casa Materna and related services; and 12 male
advocates, including spouses, non-government organisation staff and community
health workers. FINDINGS: the following findings emerged from focus group data:
Women's support groups (WSGs) provided an enabling environment in which women
could form friendships, bond, discuss concerns about their reproductive health,
and identify concrete ways of addressing them; Supportive friends, family members
and advocates influenced women's decisions to seek health care at the Casa
Materna; Women's decisions to seek care were often associated with their sense of
self-worth and self-esteem, and women's self-esteem was enhanced by their
participation in the WSGs; Women's decisions to seek care were influenced by the
perception that women would be able to access culturally appropriate, safe and
secure health care services at the Casa Materna; The learned behaviour of
negotiation with key decision-makers and/or opinion leaders was an effective tool
for convincing such individuals of the value of accessing facility-based care;
The proven track record, high quality of services and cultural competence offered
at the Casa Materna increased the confidence and level of trust of clients and
their family members about the care that would be received; Couple-based
education and health promotion were effective techniques for achieving behaviour
and attitude change among both men and women. KEY CONCLUSIONS: the Casa Materna
and its community outreach strategy serve an essential purpose in the provision
of maternity care for the isolated and vulnerable families of the Guatemalan
highlands, by bridging traditional and Western approaches to health care
services. The Casa Materna service model plays a critical role in improving
women's self-efficacy and ultimately strengthening the social fabric that
characterises this high risk and vulnerable population. IMPLICATIONS FOR
PRACTICE: the Casa Materna model provides a foundation upon which to bridge and
strengthen the relationship between community advocates, traditional community
based health care providers, and the government-funded system of health care. The
findings of this study should be incorporated into future research to determine
the potential for bringing the model to scale.
PMID- 18053624
TI - The influence of metatarsal support height and longitudinal axis position on
plantar foot loading.
AB - BACKGROUND: Metatarsal supports are effective at decreasing plantar foot
pressures at the metatarsal heads, however, little is known about the dependence
of this decrease upon height and position. METHODS: Barefoot static stance
pressure measurements were recorded during standing in single limb support
(n=22). Two metatarsal support heights (5mm, 10mm) were evaluated in six
positions at 5mm increments (0, 5, 10, 15, 20, 25 mm) proximal to the metatarsal
heads along the longitudinal axis of the foot. The barefoot condition with no
metatarsal support served as the control. Mean force was measured for each test
condition. The findings of this study are limited to the barefoot (unshod)
condition. FINDINGS: Mean plantar force decreased significantly under the second
metatarsal head with both 5 and 10mm metatarsal supports compared to the control,
and 10mm metatarsal support compared with 5mm metatarsal support (P<0.05) while
no statistically significant differences were noted relative to longitudinal axis
position. INTERPRETATION: The results of this study suggest that the thickness of
a metatarsal support is a determinant factor in regulating plantar loading.
Surprisingly, the longitudinal axis location of a metatarsal support does not
appear to be as important as clinically presumed since the data showed that the
force decrease was similar for all positions from 5 to 25 mm. Thus, the orthotic
induced effect of a metatarsal support seems to have a sizable interaction range
that has not previously been reported. We speculate that the metatarsal support's
fulcrum and lift effect can be sustained at a more proximal position due to the
foot's rigidity as a lever and the manner in which a metatarsal support interacts
with the plantar aponeurosis.
PMID- 18053625
TI - Susceptibility to acidic precipitation contributes to the decline of the
terricolous lichens Cetraria aculeata and Cetraria islandica in central Europe.
AB - The effective quantum yield of photochemical energy conversion in photosystem II
(Phi2) was shown to be reduced in the terricolous lichens Cetraria aculeata and
Cetraria islandica by short-term exposure to aqueous SO2 at pH values occurring
in the precipitation of areas with high SO2 pollution. Significant reduction of
Phi2 was found at pH10.
Following baseline evaluation (T1), all subjects were instructed to take placebo
for 7 days. After this single-blind placebo phase and second evaluation (T2),
patients were randomly allocated to placebo or modafinil treatment for 21 days in
a double-blind protocol. Patients underwent a final evaluation (T3) on the last
day of drug intake. The evaluations at T1, T2 and T3 consisted of: medical and
laboratory examinations, nocturnal polysomnography, ESS, maintenance of
wakefulness test (MWT) and complex reaction time (CRT-NY). In addition, in T2 and
T3 the change of illness severity scale (CGI-C) and the evaluation of quality of
life (SF-36) were applied. RESULTS: The comparison between the two groups during
the three periods studied, showed the following results: in the modafinil group,
ESS score did not change during the initial placebo period, but there was a
significant reduction during the modafinil treatment period (p=0.0006); in the
placebo group a significant reduction occurred during the initial placebo period
(p=0.05), and no further change was observed in the treatment (placebo) period. A
significant difference was found between the two groups after the placebo period
(T2) (p=0.02). Three patients (33%) of the modafinil group and 9 patients (81%)
of the placebo group were classified as placebo-responsive (X2: p=0.039). In the
treatment period, reaction time was significantly reduced in the modafinil group
compared to the placebo group (p<0.02). There was a trend toward improvement in
overall clinical condition and also in some domains of SF-36 in the modafinil
group. CONCLUSION: In summary, our study confirms that modafinil used
adjunctively with CPAP therapy improves subjective daytime sleepiness in patients
with OSAS who were regular users of CPAP therapy but still experienced
sleepiness. Moreover, it could help in the improvement of objective measures of
behavioral alertness and reduce functional impairments. The usefulness of a
blinded placebo period for systematic investigation of placebo role in studies
based on subjective response is a point that should be considered in this type of
drug trial.
PMID- 18053629
TI - Effects of anti-depressants on olfactory sensitivity in mice.
AB - RATIONALE AND OBJECTIVES: Some studies have underlined a decrease in olfactory
sensitivity in patients suffering from depression. The present study aims to
evaluate the effects of current anti-depressant drugs on the olfactory
sensitivity in mice. METHODS MICE: (N degrees =22) were tested in a Y-maze with a
choice between an odorant (butanol) or distilled water before and during 3 weeks
of daily intra-peritoneal injection of either citalopram or clomipramine. Their
performance was compared with those of a control group (N degrees =11) injected
with a saline solution. RESULTS: The results showed a significant decrease in
olfactory sensitivity with both anti-depressants during the three weeks of
treatment. CONCLUSION: The antidepressant induced alteration in serotonin and/or
noradrenaline transmission in the olfactory bulb may account for the altered
olfactory sensitivity observed in this study.
PMID- 18053630
TI - Formation of hydrogen fluoride by gamma and beta sterilisation in medical devices
containing perfluoroheptane.
AB - Infusion of hexadecafluoroheptane, a liquid perfluorocarbon released from
repaired Althane dialysers was found to be the most probable reason for the
deaths of 53 dialysis patients reported in the year 2001. This study focuses on
toxic decomposition products generated due to gamma and beta sterilisation of
hexadecafluoroheptane. The responsible dialysers were sterilised with a maximum
dose of 45 kGy gamma irradiation. We investigated the influence of both 20-500
kGy gamma and beta irradiation on perfluoroheptane. Analysis of the irradiated
samples verified the decomposition of perfluoroheptane in dependence on the dose
of irradiation. Beta irradiation resulted in a higher degree of decomposition
than the same dose of gamma irradiation. As decomposition products, hydrogen
fluoride, CO2, and one saturated fluorinated hydrocarbon which could not be
analysed exactly were identified. Even at 20 kGy gamma irradiation hydrogen
fluoride was detectable. Our results provide evidence that hydrogen fluoride is
generated as a highly toxic decomposition product when perfluoroheptane is
sterilised with gamma irradiation as it was applied on the affected dialysers.
There is no evidence of other toxic degradation products especially
perfluoroisobutylene. Therefore, hydrogen fluoride or the dissociated fluoride
ions might act as a toxic agent when medical devices containing liquid
perfluorocarbons are sterilised by irradiation.
PMID- 18053632
TI - Naltrexone effect on physiological and subjective response to a cold pressor
task.
AB - In this double-blind, cross-over study physiological (i.e. blood pressure, heart
rate, plasma catecholamine concentrations, plasma cortisol concentrations) and
subjective (i.e. McGill Pain Questionnaire, positive affect, distress) response
to a cold pressor task was assessed in 19 subjects 1 h after the administration
of 50 mg naltrexone and after placebo. Significant differences in plasma
catecholamine concentrations were found. Plasma epinephrine concentrations
increased during the 1 h period after naltrexone administration but remained
largely unchanged after placebo administration. A significant treatment x period
effect was also found for plasma norepinephrine concentrations. No significant
differences were found for other measures assessed. Further research is necessary
to determine the subpopulations in which these effects are of greatest magnitude
and the long term safety implications of these effects.
PMID- 18053633
TI - Site localization of membrane-bound proteins on whole cell level using atomic
force microscopy.
AB - This study presents molecular recognition method, which is based on specific
force measurements between modified AFM (atomic force microscopy) tip and
mammalian cell. The presented method allows recognition of specific cell surface
proteins and receptor sites by nanometer accuracy level. Here we demonstrate
specific recognition of membrane-bound Osteopontin (OPN) sites on preosteogenic
cell membrane. By merging specific force detection map of the proteins and
topography image of the cell, we create a new image (recognition image), which
demonstrates the exact locations of the proteins relative to the cell membrane.
The recognition results indicate the strong affinity between the modified tip and
the target molecules, therefore, it enables the use of an AFM as a remarkable
nanoscale tracking tool on the whole cell level.
PMID- 18053634
TI - The characterization of epithelial and stromal subsets of candidate
stem/progenitor cells in the human adult prostate.
AB - OBJECTIVES: Questions regarding the cell source and mechanisms in the initiation
and progression of prostate cancer are today still open for debate. Indeed, our
knowledge regarding prostate cell regulation, self-renewal, and
cytodifferentiation is presently rather limited. In this study, we investigated
these processes in the normal adult human prostate. METHODS: Dynamic expression
patterns in prostate stem/progenitor cells, intermediate/transit-amplifying
cells, and cell lineages were immunohistochemically identified in an in situ
explant renewal model of the human normal/benign adult prostate (n=6). RESULTS:
Cells with a basal phenotype proliferated significantly in explant cultures,
whereas luminal cells went into apoptosis. Results further show down-regulation
in tissue cultures of the basal and hypothetical stem cell marker Bcl-2 in the
majority of cells, except in rare putative epithelial stem cells. Investigation
of established (AC133) and novel candidate prostate stem/progenitor markers,
including the cell surface receptor tyrosine kinase KIT and its ligand stem cell
factor (SCF), showed that these rare epithelial cells are AC133(+)/CD133(low)/Bcl
2(high)/cytokeratin(+)/vimentin(-)/KIT(low)/SCF(low). In addition, we report on a
stromal population that expresses the mesenchymal marker vimentin and that is
AC133(-)/CD133(high)/Bcl-2(-)/cytokeratin(-)/KIT(high)/SCF(high). CONCLUSIONS: We
provide evidence for epithelial renewal in response to tissue culture and for
basal and epithelial stem/progenitor cell recruitment leading to an expansion of
an intermediate luminal precursor phenotype. Data further suggest that SCF
regulates prostate epithelial stem/progenitor cells in an autocrine manner and
that all or a subset of the identified novel stromal phenotype represents
prostate stromal progenitor cells or interstitial pacemaker cells or both.
PMID- 18053635
TI - Editorial comment on: The characterization of epithelial and stromal subsets of
candidate stem/progenitor cells in the human adult prostate.
PMID- 18053636
TI - Neoadjuvant sutent induction therapy may effectively down-stage renal cell
carcinoma atrial thrombi.
AB - A 75-yr-old previously healthy woman presented with gross hematuria, European
Cooperative Oncology Group 0, and an 11-cm renal mass with right atrial thrombus.
The patient refused the sternotomy. She was offered two cycles of sunitinib
maleate (Sutent) induction therapy to down-stage the thrombus and to reduce the
extent of the surgery.
PMID- 18053631
TI - Vasopressin: behavioral roles of an "original" neuropeptide.
AB - Vasopressin (Avp) is mainly synthesized in the magnocellular cells of the
hypothalamic supraoptic (SON) and paraventricular nuclei (PVN) whose axons
project to the posterior pituitary. Avp is then released into the blood stream
upon appropriate stimulation (e.g., hemorrhage or dehydration) to act at the
kidneys and blood vessels. The brain also contains several populations of
smaller, parvocellular neurons whose projections remain within the brain. These
populations are located within the PVN, bed nucleus of the stria terminalis
(BNST), medial amygdala (MeA) and suprachiasmatic nucleus (SCN). Since the 1950s,
research examining the roles of Avp in the brain and periphery has intensified.
The development of specific agonists and antagonists for Avp receptors has
allowed for a better elucidation of its contributions to physiology and behavior.
Anatomical, pharmacological and transgenic, including "knockout," animal studies
have implicated Avp in the regulation of various social behaviors across species.
Avp plays a prominent role in the regulation of aggression, generally of
facilitating or promoting it. Affiliation and certain aspects of pair-bonding are
also influenced by Avp. Memory, one of the first brain functions of Avp that was
investigated, has been implicated especially strongly in social recognition. The
roles of Avp in stress, anxiety, and depressive states are areas of active
exploration. In this review, we concentrate on the scientific progress that has
been made in understanding the role of Avp in regulating these and other
behaviors across species. We also discuss the implications for human behavior.
PMID- 18053637
TI - Robustness analysis of EGFR signaling network with a multi-objective evolutionary
algorithm.
AB - Robustness, the ability to maintain performance in the face of perturbations and
uncertainty, is believed to be a necessary property of biological systems. In
this paper, we address the issue of robustness in an important signal
transduction network--epidermal growth factor receptor (EGFR) network. First, we
analyze the robustness in the EGFR signaling network using all rate constants
against the Gauss variation which was described as "the reference parameter set"
in the previous study [Kholodenko, B.N., Demin, O.V., Moehren, G., Hoek, J.B.,
1999. Quantification of short term signaling by the epidermal growth factor
receptor. J. Biol. Chem. 274, 30169-30181]. The simulation results show that
signal time, signal duration and signal amplitude of the EGRR signaling network
are relatively not robust against the simultaneous variation of the reference
parameter set. Second, robustness is quantified using some statistical
quantities. Finally, a multi-objective evolutionary algorithm (MOEA) is presented
to search reaction rate constants which optimize the robustness of network and
compared with the NSGA-II, which is a representation of a class of modern multi
objective evolutionary algorithms. Our simulation results demonstrate that signal
time, signal duration and signal amplitude of the four key components--the most
downstream variable in each of the pathways: R-Sh-G-S, R-PLP, R-G-S and the
phosphorylated receptor RP in EGRR signaling network for the optimized parameter
sets have better robustness than those for the reference parameter set and the
NSGA-II. These results can provide valuable insight into experimental designs and
the dynamics of the signal-response relationship between the dimerized and
activated EGFR and the activation of downstream proteins.
PMID- 18053638
TI - Cytochrome P450s in the development of target-based anticancer drugs.
AB - Enzymes of the cytochrome P450 (CYP) superfamily are the major determinants of
half-life and execute pharmacological effects of many therapeutic drugs. In new
drug discovery research, recombinant (human) CYPs are also used for identifying
active or inactive metabolites that could lead to increased potency or toxicity
of a molecule. In addition, CYP inhibition by anticancer drugs might lead to
adverse drug reactions, multiple-drug resistance, and drug-drug interactions.
During the discovery and pre-clinical evaluation of a New Chemical Entity (NCE),
large amounts of purified recombinant CYPs are required for studying metabolism
and pharmacokinetic parameters. Therefore, present research efforts are focused
to over-express these human CYPs in bacteria, yeast, insect and mammalian cells,
followed by their purification on an industrial scale to facilitate
identification of novel anticancer drugs. This review summarizes the merits and
limitations of these expression systems for an optimized production of individual
CYP isoforms, and their usefulness in the discovery and development of target
based, safe and efficacious NCEs for the treatment of cancer.
PMID- 18053639
TI - Low hMLH1 expression prior to definitive chemoradiotherapy predicts poor
prognosis in esophageal squamous cell carcinoma.
AB - The present study evaluated the pretreatment expression patterns of hMLH1, MDM2,
p53, and pRb protein to determine whether these could predict the outcome of
definitive concurrent chemoradiotherapy (CCRT) in 51 patients with stage I-IVa
esophageal squamous cell carcinoma. High immunoreactivies of hMLH1, MDM2, p53,
and pRb were detected in 90.2%, 19.6%, 27.5%, and 66.7% of entire patients,
respectively. High hMLH1 expression was found to favor earlier stage, less
locoregional failure, and longer cause-specific survival, and all were with
significance. However, the expressions of MDM2, p53, and pRb were not found to be
clinically significant. Thirty-three patients with high hMLH1 and pRb expression
tended to survive longer than four patients with low hMLH1 and pRb expression. We
suggest that the expression of hMLH1 is a potential marker of tumor response and
survival. Determinations of this protein expression might be useful for selecting
esophageal squamous cell carcinoma patients for definitive CCRT.
PMID- 18053640
TI - The testing of several biological and chemical coupled treatments for Cibacron
Red FN-R azo dye removal.
AB - Several biological and chemical coupled treatments for Cibacron Red FN-R reactive
azo dye degradation have been evaluated. Initially, a two-stage anaerobic-aerobic
biotreatment has been assessed for different dye concentrations (250, 1250 and
3135 mg l(-1)). 92-97% decolourisation was attained during the anaerobic
digestion operating in batch mode. However, no dissolved organic carbon (DOC)
removal neither biogas production was observed during the process, indicating
that no methanogenesis occurred. Additionally, according to Biotox and Zahn
Wellens assays, the anaerobically generated colourless solutions (presumably
containing the resulting aromatic amines from azo bond cleavage) were found to be
more toxic than the initial dye as well as aerobically non-biodegradable, thus
impeding the anaerobic-aerobic biological treatment. In a second part, the use of
an advanced oxidation process (AOP) like photo-Fenton or ozonation as a chemical
post-treatments of the anaerobic process has been considered for the complete dye
by-products mineralisation. The best results were obtained by means of ozonation
at pH 10.5, achieving a global 83% mineralisation and giving place to a final
harmless effluent. On the contrary, the tested photo-Fenton conditions were not
efficient enough to complete oxidation.
PMID- 18053641
TI - Sorption and desorption studies of chromium(VI) from nonviable cyanobacterium
Nostoc muscorum biomass.
AB - This communication presents results pertaining to the sorptive and desorptive
studies carried out on chromium(VI) removal onto nonviable freshwater
cyanobacterium (Nostoc muscorum) biomass. Influence of varying the conditions for
removal of chromium(VI), such as the pH of aqueous solution, the dosage of
biosorbent, the contact time with the biosorbent, the temperature for the removal
of chromium, the effect of light metal ions and the adsorption-desorption studies
were investigated. Sorption interaction of chromium on to cyanobacterial species
obeyed both the first and the second-order rate equation and the experimental
data showed good fit with both the Langmuir and freundlich adsorption isotherm
models. The maximum adsorption capacity was 22.92 mg/g at 25 degrees C and pH
3.0. The adsorption process was endothermic and the values of thermodynamic
parameters of the process were calculated. Various properties of the
cyanobacterium, as adsorbent, explored in the characterization part were chemical
composition of the adsorbent, surface area calculation by BET method and surface
functionality by FTIR. Sorption-desorption of chromium into inorganic solutions
and distilled water were observed and this indicated the biosorbent could be
regenerated using 0.1 M HNO3 and EDTA with upto 80% recovery. The biosorbents
were reused in five biosorption-desorption cycles without a significant loss in
biosorption capacity. Thus, this study demonstrated that the cyanobacterial
biomass N. muscorum could be used as an efficient biosorbent for the treatment of
chromium(VI) bearing wastewater.
PMID- 18053642
TI - Removal of Pb(II) from aqueous solution by oxidized multiwalled carbon nanotubes.
AB - Oxidized multiwalled carbon nanotubes (MWCNTs) were employed as sorbent to study
the sorption characteristic of Pb(II) from aqueous solution as a function of
contact time, pH, ionic strength, foreign ions, and oxidized MWCNTs' contents
under ambient conditions using batch technique. The results indicate that
sorption of Pb(II) on oxidized MWCNTs is strongly dependent on pH values, and
independent of ionic strength and the type of foreign ions. The removal of Pb(II)
to oxidized MWCNTs is rather quickly and the kinetic sorption can be described by
a pseudo-second-order model very well. Sorption of Pb(II) is mainly dominated by
surface complexation rather than ion exchange. The efficient removal of Pb(II)
from aqueous solution is limited at pH 7-10. X-ray photoelectron spectroscopy
(XPS) is performed to study the sorption mechanism at a molecular level and
thereby to identify the species of the sorption processes. The 3-D relationship
of pH, Ceq and q indicates that all the data of Ceq-q lie in a straight line with
slope -V/m and intercept C0V/m for the same initial concentration of Pb(II) and
same content of oxidized MWCNTs of each experimental data.
PMID- 18053643
TI - Off-site toxic consequence assessment: a simplified modeling procedure and case
study.
AB - An assessment of off-site exposure from spills/releases of toxic chemicals can be
conducted by compiling site-specific operational, geographic, demographic, and
meteorological data and by using screening-level public-domain modeling tools
(e.g., RMP Comp, ALOHA and DEGADIS). In general, the analysis is confined to the
following: event-based simulations (allow for the use of known, constant,
atmospheric conditions), known receptor distances (on the order of miles or
less), short time scale for the distances considered (order of 10's of minutes or
less), gently sloping rough terrain, dense and neutrally buoyant gas dispersion,
known chemical inventory and infrastructure (used to define source-term), and
known toxic endpoint (defines significance). While screening-level models are
relatively simple to use, care must be taken to ensure that the results are
meaningful. This approach allows one to assess risk from catastrophic release
(e.g., via terrorism), or plausible release scenarios (related to standard
operating procedures and industry standards). In addition, given receptor
distance and toxic endpoint, the model can be used to predict the critical spill
volume to realize significant off-site risk. This information can then be used to
assess site storage and operation parameters and to determine the most economical
and effective risk reduction measures to be applied.
PMID- 18053644
TI - Adsorption of organic pollutants from coking and papermaking wastewaters by
bottom ash.
AB - Bottom ash, a power plant waste, was used to remove the organic pollutants in
coking wastewater and papermaking wastewater. Particular attention was paid on
the effect of bottom ash particle size and dosage on the removal of chemical
oxygen demand (COD). UV-vis spectra, fluorescence excitation-emission matrix
(FEEM) spectra, Fourier transform infrared (FTIR) spectra, and scanning electron
microscopic (SEM) photographs were investigated to characterize the wastewaters
and bottom ash. The results show that the COD removal efficiencies increase with
decreasing particle sizes of bottom ash, and the COD removal efficiency for
coking wastewater is much higher than that for papermaking wastewater due to its
high percentage of particle organic carbon (POC). Different trends of COD removal
efficiency with bottom ash dosage are also observed for coking and papermaking
wastewaters because of their various POC concentrations. Significant variations
are observed in the FEEM spectra of wastewaters after treatment by bottom ash.
New excitation-emission peaks are found in FEEM spectra, and the fluorescence
intensities of the peaks decrease. A new transmittance band in the region of 1400
1420 cm(-1) is observed in FTIR spectra of bottom ash after adsorption. The SEM
photographs reveal that the surface of bottom ash particles varies evidently
after adsorption.
PMID- 18053645
TI - Epinephrine inhibits analgesic tolerance to intrathecal administrated morphine
and increases the expression of calcium-calmodulin-dependent protein kinase
IIalpha.
AB - Activation of hypothalamic-pituitary-adrenal (HPA) axis inhibits development of
morphine tolerance. Also, the expression of CaMKIIalpha is increased following
chronic administration of morphine. In the current study, we tried to examine the
effect of epinephrine, on the development of morphine tolerance; and also
evaluate the expression of CaMKIIalpha as a molecular index for tolerance
development. Analgesic tolerance was induced by intrathecal (i.t.) injection of
morphine 15 microg/rat, twice a day for 5 days. To study the effect of
epinephrine on development or reversal of morphine tolerance, epinephrine was
administrated 20 min before morphine injections. Analgesia was assessed using
tail flick test. Gene expression assays were done using RT-PCR. Following 5 days
of combined administration of morphine and epinephrine (2, 5 or 10 microg/rat),
in day 6, morphine produced potent analgesia. Administration of saline and
morphine during days 1-5, caused reduced analgesic effect of morphine on day 6.
After tolerance induction during 5 days, co-administration of epinephrine and
morphine for another 5 days, significantly reversed the tolerance. Both morphine
and epinephrine increased the expression of CaMKIIalpha. The expression of
CaMKIIalpha was highly increased following combined administration of epinephrine
and morphine. Our results showed the inhibition and reversal of analgesic
tolerance to local administrated morphine by epinephrine. We observed the
increased expression of CaMKIIalpha without development of morphine tolerance in
animals treated with combined epinephrine and morphine.
PMID- 18053646
TI - Intravenous administration of bone marrow stromal cells increases survivin and
Bcl-2 protein expression and improves sensorimotor function following ischemia in
rats.
AB - Intravenous administration of bone marrow stromal cells (MSCs) in animal models
with focal cerebral ischemia has been found to be effective in attenuating
neuronal damage. We examined whether intravenously transplanted MSCs alters
expression of apoptosis-related proteins. Fisher-344 rats were subjected to 90
min middle cerebral artery occlusion (MCAO). The experimental groups were: (I)
vehicle group, with intravenous injection of phosphate-buffered saline (PBS) 3h
after MCAO; and (II) transplant group, with intravenous injection of MSCs
(3x10(6)cells) 3h after MCAO. Neurological function of rats was evaluated using
modified neurological severity score (mNSS) and Rotor-rod Motor Test (RMT). Rats
were sacrificed on 1st, 3rd and 7th days of MCAO, and coronal brain sections were
stained immunohistochemically to identify the apoptosis-related proteins, namely
survivin and Bcl-2. We also examined Terminal Deoxynucleotidyl Transferase
Mediated dUTP-biotin Nick End Labeling (TUNEL)-positive cells on 3rd day of MCAO.
Functional recovery according to mNSS and RMT was significantly better in the
transplant group as compared with the vehicle group (P<0.05). Immunohistochemical
analysis revealed significant expression of survivin on 3rd day and Bcl-2 on 1st
and 3rd days in the transplant group. The vehicle group displayed significantly
more TUNEL-positive cells than the transplant group on 3rd day (P<0.05). These
results suggest that intravenous transplantation of MSCs prevents down-regulation
of survivin and Bcl-2 preventing apoptosis and cell death in the ischemic brain
leading to motor and sensory function recovery.
PMID- 18053647
TI - Neuropeptide co-release with GABA may explain functional non-monotonic
uncertainty responses in dopamine neurons.
AB - Co-release of the inhibitory neurotransmitter GABA and the neuropeptide substance
P (SP) from single axons is a conspicuous feature of the basal ganglia, yet its
computational role, if any, has not been resolved. In a new learning model, co
release of GABA and SP from axons of striatal projection neurons emerges as a
highly efficient way to compute the uncertainty responses that are exhibited by
dopamine (DA) neurons when animals adapt to probabilistic contingencies between
rewards and the stimuli that predict their delivery. Such uncertainty-related
dopamine release appears to be an adaptive phenotype, because it promotes
behavioral switching at opportune times. Understanding the computational linkages
between SP and DA in the basal ganglia is important, because Huntington's disease
is characterized by massive SP depletion, whereas Parkinson's disease is
characterized by massive DA depletion.
PMID- 18053648
TI - PLA(2) signaling is involved in calpain-mediated degradation of synaptic
dihydropyrimidinase-like 3 protein in response to NMDA excitotoxicity.
AB - Dihydropyrimidinase-like 3 (DPYSL3) is believed to play a role in neuronal
differentiation, axonal outgrowth and neuronal regeneration, as well as
cytoskeleton organization. Recently we have shown that glutamate excitotoxicity
and oxidative stress result in calpain-dependent cleavage of DPYSL3, and that NOS
plays a role in this process [R. Kowara, Q. Chen, M. Milliken, B. Chakravarthy,
Calpain-mediated truncation of dihydropyrimidinase-like 3 protein (DPYSL3) in
response to NMDA and H2O2 toxicity, J. Neurochem. 95 (2005) 466-474; R. Kowara,
K.L. Moraleja, B. Chakravarthy, Involvement of nitric oxide synthase and ROS
mediated activation of L-type voltage-gated Ca(2+) channels in NMDA-induced
DPYSL3 degradation, Brain Res. 1119 (2006) 40-49]. The present study investigates
the involvement of PLA(2) signaling in NMDA-induced DPYSL3 degradation. Exposure
of rat primary cortical neurons (PCN) to PLA(2) and COX-2 inhibitors
significantly prevented NMDA-induced DPYSL3 degradation. Since the metabolic
product of PLA(2) signaling, PGE(2), which augments toxic effect of NMDA, is
known to stimulate cAMP, the effect of adenyl cyclase activator (forskolin plus
IBMX) and inhibitor (MDL12,300) on NMDA-induced DPYSL3 degradation was tested.
Our data indicate that the activation of adenyl cyclase contributes to NMDA
induced DPYSL3 degradation. Furthermore, cAMP-dependent protein kinase (PKA)
inhibitor PKI (14-22) provided additional evidence of PKA involvement in NMDA
induced DPYSL3 degradation. In summary, the obtained data show the contribution
of PLA(2) signaling to NMDA-induced calpain activation and subsequent degradation
of synaptic protein DPYSL3.
PMID- 18053649
TI - Performance limits of electron holography.
AB - Transmission electron microscopy is wave optics. The object exit wave contains
the full object information. However, in the usual intensity images, recorded
either in real space or in Fourier space, the phases are missing. In many
applications at medium and at high resolution, electron holography has shown its
unique ability of solving the "missing phase problem" and utilizing the recovered
phase for complete interpretation of the object structure. The question is "What
are the performance limits?" with respect to field of view, lateral resolution
and signal resolution. In this article, the performance limits are derived and
discussed.
PMID- 18053650
TI - High-resolution scanning near-field EBIC microscopy: application to the
characterisation of a shallow ion implanted p+-n silicon junction.
AB - High-resolution electron beam induced current (EBIC) analyses were carried out on
a shallow ion implanted p+-n silicon junction in a scanning electron microscope
(SEM) and a scanning probe microscope (SPM) hybrid system. With this scanning
near-field EBIC microscope, a sample can be conventionally imaged by SEM, its
local topography investigated by SPM and high-resolution EBIC image
simultaneously obtained. It is shown that the EBIC imaging capabilities of this
combined instrument allows the study of p-n junctions with a resolution of about
20 nm.
PMID- 18053651
TI - Interleukin-1beta enhances the action of bradykinin in rat myenteric neurons
through up-regulation of glial B1 receptor expression.
AB - Interleukin (IL)-1beta and tumor necrosis factor alpha (TNFalpha) are released
under pathological conditions in the gastrointestinal tract such as inflammatory
bowel diseases (IBD). We examined the effects of IL-1beta and TNFalpha on
bradykinin (BK) -induced increases in the intracellular Ca(2+) concentration
([Ca(2+)]i) and prostaglandin (PG) E(2) release in neonatal rat myenteric plexus
cells. BK evoked a [Ca(2+)]i increase in myenteric neurons and glial cells, both
of which were potentiated by treatment with IL-1beta but not TNFalpha. In both
cell types, the [Ca(2+)]i responses to BK were abolished by D-Arg(0)[Hyp(3),
Thi(5), D-Tic(7), Oic(8)]-BK (HOE140), a B2R antagonist, but not affected by des
Arg(9)-HOE140, a B1R antagonist. After culture with IL-1beta, however, the B1R
antagonist suppressed the BK-induced [Ca(2+)]i increase. Only in glial cells did
the B1R agonists des-Arg(9)-BK and BK fragment 1-8 evoke a [Ca(2+)]i rise in a
dose-dependent manner. Real time RT-PCR and immunocytochemical analyses showed
that IL-1beta treatment increased expression of B1R mRNA in myenteric plexus
cells and B1R protein in glial cells, respectively. Either indomethacin or an EP1
receptor antagonist suppressed the increased [Ca(2+)]i response to BK invoked by
treatment with IL-1beta. IL-1beta treatment increased BK-induced PGE(2) release
from cultured myenteric plexus cells. These results suggest that IL-1beta
promotes up-regulation of B1R expression in glial cells, resulting in the
potentiation of neural responses to BK through the elevation of PGE(2) released
from glial cells. The alteration of phenotypes of glial cells may be the cause of
the changes in neural function in the enteric nervous system in IBD.
PMID- 18053652
TI - Relationship between prolactin secretion, and plasma risperidone and 9
hydroxyrisperidone concentrations in adolescents with schizophreniform disorder.
AB - BACKGROUND: Treatment with the atypical antipsychotic risperidone can result in
elevated prolactin levels. To date, the relationships between plasma
concentrations of prolactin, risperidone and its active 9-hydroxy-metabolite have
been little investigated in adolescents with psychosis. METHODS: Prolactin levels
were determined at baseline in 16 hospitalized drug-naive adolescents meeting DSM
IV criteria for schizophreniform disorder. Prolactin, risperidone, 9
hydroxyrisperidone levels were subsequently determined after 3 weeks of oral
risperidone treatment. RESULTS: Compared with pretreatment values, prolactin
levels at endpoint were significantly increased (p<0.00001) and correlated with
risperidone doses (r=0.58, N=16, p<0.02), and plasma levels of risperidone
(r=0.60, N=16, p<0.02) and 9-hydroxyrisperidone (r=0.54, N=16, p=0.03).
CONCLUSIONS: These data suggest that risperidone's effect on prolactin release is
dose-dependent in adolescents and is linked to both plasma risperidone and 9
hydroxyrisperidone concentrations.
PMID- 18053653
TI - Use of other tobacco products among U.S. adult cigarette smokers: prevalence,
trends and correlates.
AB - This paper examines the trends in concurrent use of cigarettes and other tobacco
and sociodemographic variables associated with concurrent use among adult
cigarette smokers in the United States. Data from the 1995/96, 1998, 2000, and
2001/02 Tobacco Use Supplements to the Current Population Survey were used to
estimate concurrent use of tobacco among cigarette smokers among adults ages 18
years and older (n for all 4 survey groups=552,804). Concurrent use of tobacco
fluctuated over the survey periods for current smokers and ranged from 3.7% in
1995/96 to 7.9% in 1998. Results from the multivariate logistic regression
indicate that male current, daily, and intermittent smokers had substantially
higher odds of concurrent use (OR=12.9, 11.7, 17.2, respectively) than their
female counterparts. Age, race/ethnicity, geographic region, income, and survey
years were significantly associated with concurrent use among current and daily
smokers; for intermittent smokers, these variables and occupation were
significantly associated with concurrent use. The strongest correlates for
multiple tobacco use among cigarettes smokers were being male and Non-Hispanic
White. These factors should be considered when planning tobacco prevention and
control efforts. In addition, surveillance efforts should continue to monitor
changes in concurrent use and further investigate the increased risk of cancer
among smokers who also use other forms of tobacco.
PMID- 18053654
TI - May head-injured patients with thinner skull bones have a better prognosis?
PMID- 18053655
TI - An evolutionary model of premenstrual syndrome.
AB - PMS has existed at least since the beginning of medical writing, and is estimated
to affect large proportions of women worldwide. But the etiology remains unknown,
diagnostic definition and methods vary tremendously, and treatment is wholly
symptom-oriented. This poor state of understanding has been attributed to a lack
of theoretical perspective. The current work provides such a theoretical
perspective from an evolutionary paradigm. PMS is not evolutionarily adaptive in
and of itself. Rather, it is part of a cyclic pattern that results from the
cessation of heightened, positive physical and sociobehavioral states that are
evolutionarily favored during the fertile phase of the menstrual cycle for some
women. When the advantage of these positive states diminishes, they cease,
causing relatively lower states that are subjectively experienced as symptoms. In
its clinical extreme, this is PMS. However, eons of evolution would result in
modern women who are choosy about the conditions under which they reproduce.
Thus, women whose conditions suggest a high probability of successful immediate
reproduction are expected to experience the heightened, positive states during
the fertile phase of the menstrual cycle to attract mates and fertilizations;
these women will experience symptoms premenstrually. But women whose conditions
suggest a low probability of successful immediate reproduction are expected to
experience the heightened, positive states during the premenstruum to retain
mates, accrue or maintain resources, and/or otherwise improve their conditions;
these women will experience symptoms during the fertile phase of the menstrual
cycle ("pseudo-PMS"). In addition, the heightened states are expected to shift in
response to changes in conditions throughout women's lives, being expressed at
whatever point is most evolutionarily beneficial given current conditions. This
suggests that our conceptualization of PMS should be reframed within a
facultative (condition-sensitive), evolutionary model. It also generates
predictions both within- and between women that should elucidate the syndrome.
PMID- 18053656
TI - Lavender bath oil reduces stress and crying and enhances sleep in very young
infants.
AB - Very young infants were given a bath with or without lavender-scented bath oil.
The mothers in the lavender bath oil group were more relaxed, smiled and touched
their infants more during the bath. Their infants looked at them a greater
percentage of the bath time and cried less and spent more time in deep sleep
after bath. The cortisol levels of this group of mothers and infants
significantly decreased, confirming the behavioral data showing increased
relaxation of the mothers and their infants. These findings support a body of
research showing the relaxing and sleep-inducing properties of lavender aroma.
PMID- 18053657
TI - Fenvalerate inhibits progesterone production through cAMP-dependent signal
pathway.
AB - Fenvalerate is a widely used synthetic pyrethroid insecticide and is known to
impede the male reproductive function. However, the mechanisms remain to be
elucidated. In this study, mouse Leydig tumor cells (MLTC-1) were used to
investigate the effects of fenvalerate on progesterone production. Fenvalerate
treatment inhibited progesterone secretion induced by human chorionic
gonadotropin (hCG), cholera toxin (CT) or forskolin and decreased cAMP levels
induced by hCG, but not by CT or forskolin, which suggested a repaired site on
the upstream components of G protein or G protein per se by fenvalerate in the
cAMP-mediated signal pathway. Furthermore, the addition of cAMP analog, 8-Br
cAMP, could not reverse fenvalerate-suppressed progesterone synthesis, indicating
that fenvalerate interfered with the downstream molecules of cAMP. In addition,
fenvalerate decreased steroidogenic acute regulatory protein (StAR) mRNA and
protein levels, and also profoundly inhibited the activity of P450 side chain
cleavage enzyme (P450scc) which was consistent with the decreased expression of
P450scc mRNA and protein in MLTC-1 cells. These results suggested that
fenvalerate might inhibit progesterone production by attenuating cAMP generation
and inhibiting StAR expression and P450scc activity.
PMID- 18053658
TI - Modelling drug dissolution from controlled release products using genetic
programming.
AB - This study has investigated and compared genetic programming (GP) - a method of
automatically generating equations that describe the cause-and-effect
relationships in a system - and statistical methods for modelling two controlled
release formulations--a matrix tablet and microspheres. With the improved GP
models exhibiting comparable predictive power, as well as simpler equations in
some cases, the results obtained indicate that GP can be considered as an
effective and efficient method for modelling controlled release formulations.
PMID- 18053659
TI - Voriconazole into PLGA nanoparticles: improving agglomeration and antifungal
efficacy.
AB - This study is concerned with preparing PLGA nanoparticles loaded with
voriconazole (PNLV), investigating the burst release and agglomeration of PNLV,
and also evaluating antifungal efficacy of PNLV compared with voriconazole (VRC).
The emulsion-solvent evaporation technique for nanoparticles and tests against
fungi were completed. The amount of VRC in PNLV with sodium hexametaphosphate was
2.01+/-0.27%, and burst release of PNLV was reduced by about 33% using 20%
ethanol solution (n=3). The mean D(50) of PNLV with or without this salt was
132.8 nm and 6.3 microm, respectively (n=5). In vitro; the fungal numbers treated
with PNLV (3.5 mg/ml, equal amount calculated by VRC) and VRC (70 microg/ml) in
tubes at the day 7 were 5.74 log(10) and 6.72 log(10), respectively (P<0.05). In
vivo; the fungal burden treated with PNLV and VRC in tissue from mice kidneys at
day 7 after administration was 0.64 log(10) and 2.61 log(10), respectively (5
mg/kg, P<0.001). The hematoxylin-eosin stain in mice kidney showed that the
pathological lesions treated with PNLV were relieved in contrast with those with
VRC. These results suggest that the emulsion-solvent evaporation process is
feasible in preparing PNLV. Moreover, ethanol solution decreased burst release
and Na-HMP inhibited agglomeration. PNLV could improve the VRC antifungal
efficacy.
PMID- 18053660
TI - Distribution of nobiletin chitosan-based microemulsions in brain following i.v.
injection in mice.
AB - The purpose of this study was to characterize the in vitro properties of a number
of chitosan-based microemulsions containing nobiletin and determine its
distribution in mice brain following i.v. administration. The phase behavior and
properties of chitosan-based microemulsions were investigated in a pseudo-ternary
system composed of polyoxyethylene 35 castor oil/benzyl alcohol/medium-chain
triglyceride/tea oil/water with the chitosan. The droplet sizes were found to be
smaller than 25 nm by photo correlation spectrometer. The nobiletin-loaded
hyaluronic acid chitosan-based microemulsion (HAC-ME) carried negative charge and
nobiletin-loaded hydrochlorate chitosan-based microemulsion (HCC-ME) carried
positive charge. The concentrations of nobiletin in tissues were determined by
HPLC after i.v. administration of HAC-ME, nobiletin-loaded microemulsion (ME),
HCC-ME and nobiletin solution. Based on AUC(0-t), MRT and C(max), HAC-ME
delivered more nobiletin to the brain compared to nobiletin solution, ME and HCC
ME. The long-circulation effect might contribute to the higher AUC(0-t) for HAC
ME in brain. On the other hand, the AUC(0-t) in plasma and brain after i.v.
administration of HCC-ME were not significantly increased relative to ME. These
results indicate that HAC-ME may be presented as potential candidates for
delivering more drugs into the brain.
PMID- 18053662
TI - Effect of bicellar systems on skin properties.
AB - Bicelles are discoidal aggregates formed by a flat dimyristoyl-glycero
phosphocholine (DMPC) bilayer, stabilized by a rim of dihexanoyl-glycero
phosphocholine (DHPC) in water. Given the structure, composition and the
dimensions of these aggregates around 10-50 nm diameter, their use for topical
applications is a promising strategy. This work evaluates the effect of DMPC/DHPC
bicelles with molar ratio (2/1) on intact skin. Biophysical properties of the
skin, such as transepidermal water loss (TEWL), elasticity, skin capacitance and
irritation were measured in healthy skin in vivo. To study the effect of the
bicellar systems on the microstructure of the stratum corneum (SC) in vitro,
pieces of native tissue were treated with the aforementioned bicellar system and
evaluated by freeze substitution applied to transmission electron microscopy
(FSTEM). Our results show that bicelles increase the TEWL, the skin elastic
parameters and, decrease skin hydration without promoting local signs of
irritation and without affecting the SC lipid microstructure. Thus, a
permeabilizing effect of bicelles on the skin takes place possibly due to the
changes in the phase behaviour of the SC lipids by effect of phospholipids from
bicelles.
PMID- 18053661
TI - Effect of O-acylmenthol on transdermal delivery of drugs with different
lipophilicity.
AB - To develop more effective compounds as enhancers, O-acylmenthol derivatives which
were expected to be enzymatically hydrolyzed into nontoxic metabolites by
esterases in the living epidermis were synthesized from l-menthol and
pharmaceutical excipient acids (lactic acid, cinnamic acid, salicylic acid and
oleic acid) in this study. Their promoting activity on the percutaneous
absorption of five model drugs, 5-fluorouracil (5-FU), isosorbide dinitrate
(ISDN), lidocaine (LD), ketoprofen (KP), and indomethacin (IM), which were
selected based on their lipophilicity represented by log K(O/W), were tested in
vitro across full thickness rat skin with each of the evaluated drugs in
saturated donor solution. 2-Isopropyl-5-methylcyclohexyl 2-hydroxypanoate (M-LA)
provided the highest increase of accumulation of 5-FU (3.74-fold) and LD (4.19
fold) in the receptor phase while 2-isopropyl-5-methylcyclohexyl cinnamate (M-CA)
was ineffective for most of the drugs; Both 2-isopropyl-5-methylcyclohexyl 2
hydroxybenzoate (M-SA) and (E)-2-isopropyl-5-methylcyclohexyl octadec-9-enoate (M
OA) had better promoting effects on the drugs with low water-solubility. The four
O-acylmenthol enhancers produced parabolic relationship between the lipophilicity
(log K(O/W)) of the model drugs (5-FU, ISDN, KP, IM) and their enhancement ratio
of the permeation coefficient (ER(P)), indicating that the lipophilicity of the
penetrants has significant effect on the permeation results, r = 0.989 (P=0.144)
for M-LA, r = 0.965 (P = 0.216) for M-CA, r = 0.786 (P = 0.630) for M-SA, and r =
0.996 (P = 0.088) for M-OA.
PMID- 18053663
TI - Polysaccharides with complement fixing and macrophage stimulation activity from
Opilia celtidifolia, isolation and partial characterisation.
AB - AIM OF THE STUDY: The present study is aimed to determine the bioactivity and
structure of polysaccharides present in the leaves from the Malian medicinal
plant Opilia celtidifolia [Guill. & Perr. Endl. ex Walp (Opiliaceae)]. MATERIALS
AND METHODS: The polysaccharides from the leaves of Opilia celtidifolia were
isolated from water extracts of the leaves using gelfiltration and anion exchange
chromatography giving the fractions Oc50A1 and Oc50A2. Monosaccharide composition
was determined by gas chromatography of the derived TMS-derivatives of the methyl
glycosides. Linkages were determined of the partly methylated, partly acetylated
alditol acetates obtained after a process including reduction, methylation,
hydrolysis, reduction and acetylation followed by GC-MS. Effects on the
complement system and the macrophages were determined using specific methods
aimed for studying those activities. RESULTS: The polysaccharide fractions
isolated from the leaves of Opilia celtidifolia has high complement fixing
activity and induce nitrite oxide release from macrophages in a dose dependent
manner. The fractions had an ICH50 of 0.5 and 0.9 microg/ml respectively in the
complement fixing assay. They induced the release of 7.2 and 7.3 microM of
nitrite oxide from macrophages respectively at a dose of 100 microg/ml. The
monosaccharide composition in Oc50A1 and Oc50A2, analysed, showed the presence of
arabinose (26.7 and 13.2%), galactose (31.5 and 28%) and galacturonic acid (5.3
and 7.8%) respectively. The Yariv test confirmed the presence of arabinogalactan
type II in both fractions. Structural analyses did also show the presence of
terminal and 1-4 linked galacturonic acid and terminal and 1-2 linked rhamnose.
Endo-polygalacturonanase treatment was performed to isolate the heavily
substituted parts of the polysaccharides. These parts contained the same
monosaccharides in similar proportion, and showed stronger dose dependent
complement fixing activity and also stimulated macrophages to release nitrite
oxide. CONCLUSIONS: The leaves of Opilia celtidifola contains polysaccharides of
pectic type that have both complement fixing and macrophage stimulating activity.
PMID- 18053664
TI - Ethnobotanical survey of Akwa Ibom State of Nigeria.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The medicinal plants employed in the
ethnomedicine of Akwa Ibom State of Nigeria are studied. AIM OF THE STUDY: The
survey aims at identifying and documenting the plants used amongst the indigenes
of Akwa Ibom State. MATERIALS AND METHODS: Using ethnobotanical survey list,
information is gathered through personal interviews with traditional medical
practitioners, community elders and patients. RESULTS: A total of 114 medicinal
plant species representing 102 genera and 54 families employed in the traditional
medical practice of the people of Akwa Ibom State, Nigeria are recorded from 930
homes. Ailments such as skin diseases, malaria, gonorrhoea and haemorrhoids are
mostly treated with the medicinal plants. Details pertaining to the preparation
and administration of plant drugs are provided. CONCLUSIONS: The survey provides
a veritable source of information for traditional medical practitioners and
medicinal plant researchers. These medicinal plants may be incorporated into the
healthcare delivery system of the country.
PMID- 18053665
TI - Differential inhibition of rat and human hepatic cytochrome P450 by Andrographis
paniculata extract and andrographolide.
AB - The inhibitory effect of Andrographis paniculata extract (APE) and
andrographolide (AND), the most medicinally active phytochemical in the extract,
on hepatic cytochrome P450s (CYPs) activities was examined using rat and human
liver microsomes. For this purpose, CYP1A2-dependent ethoxyresorufin-O
deethylation, CYP2B1-dependent benzyloxyresorufin-O-dealkylation, CYP2B6
dependent bupropion hydroxylation, CYP2C-dependent tolbutamide hydroxylation,
CYP2E1-dependent p-nitrophenol hydroxylation and CYP3A-dependent testosterone 6
beta-hydroxylation activities, were determined in the presence and absence of APE
or AND (0-200 microM). APE inhibited ethoxyresorufin-O-deethylation activity in
rat and human liver microsomes, with apparent Ki values of 8.85 and 24.46 microM,
respectively. In each case, the mode of inhibition was noncompetitive. APE also
inhibited tolbutamide hydroxylation both in rat and human microsomes with
apparent Ki values of 8.21 and 7.51 microM, respectively and the mode of
inhibition was mixed type. In addition, APE showed a competitive inhibition only
on CYP3A4 in human microsomes with Ki of 25.43 microM. AND was found to be a weak
inhibitor of rat CYP2E1 with a Ki of 61.1 microM but did not affect human CYP2E1.
In conclusion, it cannot be excluded from the present study that APE could cause
drug-drug interactions in humans through CYP3A and 2C9 inhibition.
PMID- 18053666
TI - Intranasal complications in women with osteoporosis under treatment with nasal
calcitonin spray: case reports and review of the literature.
AB - OBJECTIVE: To describe the nasal side effects of postmenopausal women suffering
from osteoporosis and being treated with intranasal calcitonine (InC). METHOD:
Two women who presented with nasal complaints, were diagnosed as having nasal
septum perforation and septum-concha inferior synechiae respectively. Both were
receiving treatment with InC for postmenopausal osteoporosis. A medline search
for similar side effects of InC revealed a range of nasal symptoms and several
factors that could induce these symptoms. RESULTS: Exclusion of other causative
conditions leads us to attribute the nasal findings to the use of InC. The
literature mentions mild and usually reversible side effects from use of InC.
Substances included in the calcitonine spray (preservatives, accelerators of
absorption), the development of antibodies against the hormone and possible
implication of coexisting diseases or medications to the provocation of nasal
side effects, are discussed. CONCLUSIONS: Intranasal administration of
calcitonine is an established therapy for postmenopausal osteoporosis and other
skeletal diseases. The side effects from its use are commonly local, mild and
transient, but there is not sufficient information on how this process begins. An
ENT examination before and during therapy with InC would be beneficial to
patients for the recognition and prevention of serious side effects.
PMID- 18053667
TI - New findings in gene knockout, mutant and transgenic mice.
AB - During the past year, some novel genetic modifications were shown to alter the
lifespan of mice, thus expanding the list of genes and physiological processes
that influence mammalian aging. Considerable progress was also made in
identifying putative mechanisms of extended longevity in previously described
gene knockouts, mutants and transgenics. In addition, new leads concerning
mechanisms of aging were derived from studies of gene knockout mice in which
aging is accelerated. Among the important findings from the period July 2006 to
July 2007: Core body temperature was shown to influence longevity in homeothermic
animals; a Surf1 gene knockout extended lifespan in mice; separate studies using
Little and Snell dwarf mice found stress resistance enhancements correlated with
longevity gains; and mice heterozygous for deletion of insulin receptor substrate
2 (IRS-2) lived longer than normal animals, while animals with homozygous or
heterozygous deletion of IRS-2 selectively in the brain exhibited comparable
extension of lifespan and various symptoms of delayed aging.
PMID- 18053668
TI - Interactions between injury, stress resistance, reproduction, and aging in
Drosophila melanogaster.
AB - An important aspect of the aging process in Drosophila melanogaster is the
natural loss of antennae, legs, bristles, and parts of wings with age. These
injuries lead to a loss of hemolymph, which contains water and nutrients. Stress
resistant lines of D. melanogaster are sometimes longer-lived than the
populations from which they are derived. One hypothesis tested here is that
increased stress-resistance fosters longevity because it allows fruit flies to
cope with the loss of hemolymph due to injury to the aging fly. We tested the
effects of surgically induced injury on the aging and reproduction of five
replicate populations. We then tested the effects of injury on populations that
had been selected for different levels of stress resistance and on control
populations. Injury affected aging more in males than in females, in part because
of a counter-balancing reduction in female reproduction brought about by injury.
More specifically, injury reduced female fecundity and male virility. Injury
significantly reduced the starvation resistance in some groups of flies, but not
in others. These findings undermine any simple interpretation of the interactions
between injury, reproduction, and aging based on stress resistance. But they do
indicate the existence of significant interactions between these biological
processes, interactions that should be resolved in greater mechanistic detail
than has been managed here.
PMID- 18053669
TI - Downregulation of plasma insulin levels and hepatic PPARgamma expression during
the first week of caloric restriction in mice.
AB - Calorie restriction extends lifespan by decreasing the rate of tumor formation,
an effect occurring within 8 weeks of initiating a restricted diet. Our goal was
to define how the first weeks of a calorie restricted diet (60% of ad libitum
calories) affects putative mediators of the calorie restriction phenotype,
focusing on regulators of fatty acid biosynthesis. In C57Bl/6 mice, insulin
decreased over 50% (p<0.05) during the first week of calorie restriction whereas
IGF-1 was unaffected. In the liver, PPARgamma mRNA fell to 13% of baseline after
1 week of calorie restriction (p<0.05), whereas hepatic SREBP-1c and SIRT1 mRNA
levels were unaffected. No changes in abdominal or subcutaneous adipose tissue
were observed until after 4 weeks of caloric restriction. We conclude that
calorie restriction-induced decreases in insulin and hepatic PPARgamma are rapid
enough to support a role for these molecules in triggering the initial phase of
the calorie restriction phenotype.
PMID- 18053670
TI - Distribution and metabolism of gastrodin in rat brain.
AB - Gastrodin is the major and bioactive component in Tianma (Gastrodia elata Bl.)
and has sedative, anticonvulsive and neuroprotective effects. Since little is
known about its neuropharmacokinetics and brain metabolism, this study was
undertaken to investigate the kinetic inter-relationship of gastrodin in rat
plasma, cerebrospinal fluid (CSF) and brain microdialysate (frontal cortex,
hippocampus, thalamus and cerebellum). Gastrodin was administered via the femoral
vein at a dose of 200mg/kg, and blood, CSF and brain microdialysate were
collected at timed intervals for the measurement of gastrodin concentrations by
high-performance liquid chromatography. The samples were analyzed on a Diamonsil
C18 column (5 microm, 250 mm x 4.6mm i.d.) with a mobile phase consisting of
acetonitrile-water (5% acetonitrile for brain microdialysate, 2.5% acetonitrile
for plasma and CSF), and detected with a UV detector at 221 nm. The distribution
of gastrodin in rat showed that levels of gastrodin declined rapidly after drug
administration, and the entry of gastrodin into the brain was rapid. However, the
ratios of AUC(brain)/AUC(plasma) were not high. The individual ratios of the AUC
in the CSF, frontal cortex, hippocampus, thalamus and cerebellum to the AUC in
the plasma were 4.8+/-2.4%, 3.3+/-1.2%, 3.0+/-0.7%, 3.3+/-1.3% and 6.1+/-1.9%,
respectively. The AUC in the cerebellum was significantly higher than that in
other brain regions (P<0.05). The concentrations of p-hydroxybenzyl alcohol, the
main metabolite of gastrodin, were very low both in the CSF and plasma.
PMID- 18053671
TI - Density mapping and chemical component calibration development of four-component
compacts via terahertz pulsed imaging.
AB - The purpose of this research was to investigate suitable procedures for
generating multivariate prediction vectors for quantitative composition and
density analysis of intact solid oral dosage forms using terahertz pulsed imaging
(TPI) spectroscopy. Both frequency- (absorbance and refractive index) and time
domain data are presented. A set of calibration and prediction samples were
created according to a quaternary mixture design with five levels of compaction
at each concentration design point. Calibration models were generated by partial
least-squares, type II (PLS-2) regression of the TPI spectra against nominal
composition and relative density reference measurements. Quantitative frequency
domain composition calibration models were created for all crystalline components
(R(2)>0.90), but the calibration models for individual amorphous components
(R(2)<0.76) did not perform as well in testing. Combining both amorphous
components into a single component variable for regression resulted in lower
error statistics and equally good predictions of crystalline components. A non
linear attenuation of time-domain spectra was observed as a function of
compaction force, which corresponded to compact density predictions (R(2)=0.948).
While refractive index spectra were sensitive to density (R(2)=0.937), the
absorbance spectra were not. Surface density maps were prepared based on
refractive index calibrations.
PMID- 18053672
TI - Control and analysis of alkyl esters of alkyl and aryl sulfonic acids in novel
active pharmaceutical ingredients (APIs).
AB - This article reviews current regulatory guidelines and relevant scientific
literature pertaining to the control and analysis of potential genotoxic
impurities (PGIs) in new active pharmaceutical ingredients (APIs) with specific
reference to a certain sub-class of PGIs, namely alkyl esters of alkyl and aryl
sulfonic acids. Sulfonic acids are very important in pharmaceutical R&D employed
both as counter-ions in the formation of acid-addition salts and also as reagents
and catalysts in the synthesis of new drug substances. The article reviews the
evolution of analytical methodology from early studies in the mid 1970s through
development of direct injection GC and HPLC methods to liquid-liquid/solid phase
extraction and headspace based techniques coupled to HPLC and GC methodologies
employing UV and MS detection to new derivatisation-based techniques. The paper
also reflects on the significant challenges in developing robust analytical
methodology capable of the trace determination of sulfonate esters, the
challenges in transferring methodology from R&D to QC labs and on the cost of
inappropriate limits for genotox impurities. In so doing, the authors seek to
inform the debate that the control of genotoxic impurities should be driven
primarily by safety and risk/benefit considerations rather than by state-of-the
art analytical and process chemistry capabilities that drive controls to levels
'as low as practicable' regardless of the risk/safety requirements.
PMID- 18053673
TI - Multifocal pelvic abscesses and osteomyelitis from community-acquired methicillin
resistant Staphylococcus aureus in a 17-year-old basketball player.
AB - A 17-year-old female basketball player suffered from cutaneous abscesses, which
complicated into a systemic progression to osteomyelitis and simultaneous
iliopsoas and piriformis abscesses, adjacent to the sacroiliac joint. The
causative agent was community-acquired methicillin-resistant Staphylococcus
aureus with multilocus sequence type 30, spa19, and SCCmecIVc. The clinical
importance of this genotype is discussed.
PMID- 18053674
TI - Frequency of 1st- and 2nd-step topoisomerase mutations in Streptococcus
pneumoniae following levofloxacin and moxifloxacin exposure.
AB - Seven Streptococcus pneumoniae isolates were exposed to inhibitory concentrations
of levofloxacin and moxifloxacin in antibiotic-containing agar dilution plates.
Colony counts were used to calculate the frequency of mutation. DNA was sequenced
to detect mutations in the quinolone resistance-determining regions of the gyrA,
gyrB, parC, and parE genes. The wild-type S. pneumoniae isolate developed a parC
mutation after exposure to levofloxacin more frequently than it developed a gyrA
mutation after exposure to moxifloxacin. The 1st-step gyrA mutant developed a 2nd
step gyrA-parC mutation more frequently after exposure to levofloxacin.
Conversely, the transformation from a 1st-step parC mutant to a 2nd-step parC
gyrA mutant occurred more frequently following exposure to moxifloxacin. Our data
suggest that the occurrence of a 2nd mutation will be contingent on the location
of the 1st mutation and the preferential binding site of the fluoroquinolone that
drives the transformation from 1st- to 2nd-step mutant.
PMID- 18053675
TI - Purulent pericarditis caused by nontypeable Haemophilus influenzae in a pediatric
patient.
AB - We report the 1st case of purulent pericarditis due to nontypeable Haemophilus
influenzae in an immunocompetent 2-year-old male, which was successfully treated
with surgical drainage, debridement, and antimicrobial therapy. The shifting
microbial epidemiology of this disease, associated with changes in community
health care practices, and the increasing virulence of this organism are
discussed.
PMID- 18053676
TI - Computer-based decision making in medicine: A model for surgery of colorectal
liver metastases.
AB - AIMS: Seeking the best available treatment for patients with colorectal liver
metastases may be complex due to the interpretation of many variables. In this
study conjoint analysis is used to develop a decision model to help clinicians
selecting patients eligible for surgery of liver metastases. METHODS: Patient and
tumor characteristics decisive for surgery of colorectal liver metastases were
selected from literature. A factorial design was used to construct virtual
patient cases by balanced combinations of these characteristics. Surgeons
experienced in liver surgery (n=25) were asked to give their advised treatment
(resection and/or local ablation, or chemotherapy). Different tumor and patient
variables were weighted in the analysis for their contribution to treatment
choices. RESULTS: Patient's age, the involvement of lobes and location of
metastases in relation to large vessels were most important for treatment
decisions. The number of metastases, size of the lesions, presence of resectable
extrahepatic disease and time interval from primary tumor to metastases proved of
less importance. Based on the analysis a computer-based decision model was
designed. CONCLUSION: Conjoint analysis can be a valuable tool in clinical
decision making. The computer-based decision model can assist clinicians in
defining which patient should be referred for liver surgery.
PMID- 18053677
TI - [Prevention of human papillomavirus (HPV)-induced infections: access of
adolescent girls to health care. A population-based, cross-sectional
observational study].
AB - INTRODUCTION: The human papillomavirus (HPV) is the most common cause of sexually
transmitted infections worldwide, and its prevalence is highest among young women
aged 17-25 years. It is the principal risk factor for cervical cancer. Systematic
vaccination of adolescent girls should significantly reduce the incidence of this
disease and its related mortality. AIM: One of the objectives of the Enjeux
study, a population-based survey, was to identify the place of young girls within
the French health care system to help assess the optimal conditions for
implementing anti-HPV vaccination. METHODS: Data came from 5354 interviews of
women aged 18-70 years, 320 of whom provided information about daughters aged 11
14 years and 406 about daughters aged 15-17 years. Among the latter, 318 were
also interviewed and 294 completed a self-administered questionnaire. RESULTS:
The results showed that sexual activity was reported by approximately one third
of the girls aged 15-17 years. At-risk behaviors in these girls included
insufficient contraception (only 51% use condoms). Data on the medical management
of the population of 11-17 year-old girls indicate that they have access to - and
are integrated into - the health care system, with frequent medical visits. They
see the general practitioner (GP) most frequently, followed by the school doctor.
The frequency of general practice visits is similar in both age groups; more than
50% of the girls visit their GP more than twice a year. Vaccination is a frequent
reason for these visits; gynecologic reasons are less frequent. CONCLUSION: The
results of this survey underline the central place of the GP in the health
management of young girls aged 11 to 17 years.
PMID- 18053678
TI - [The August 2003 heat wave in France: effects on psychiatric disorders and
suicidal behavior].
AB - INTRODUCTION: The psychological consequences of a disaster are usually analyzed
in terms of their immediate impact, but since September 11, 2001, several studies
have examined its effects on suicidal behavior. The aim of our survey was to
analyze the associations between psychiatric disorders in a population and the
heat wave that hit France in August 2003, during which 14,000 more people died
than in comparable periods. METHODS: We studied a population of patients seeking
emergency psychiatric care at a general hospital in Paris. We compared the number
of patients and their reasons for consultation between June and October in 2002
and in 2003. We focused on suicidal behavior, depressive disorders, anxiety, and
stress disorder, analyzing 1632 cases for these two periods. RESULTS: Suicidal
behavior was significantly less prevalent during the heat wave than in 2002: only
12.3% of the patients were diagnosed with suicidal behavior in 2003 compared with
25% in 2002. At the same time, the percentage of patients admitted to the
psychiatric department was substantially higher in 2003 than in 2002: 32.1% in
2003 compared with 15.4% in 2002. DISCUSSION: These results show that the social
cohesion induced by disasters can produce social support that can be important in
suicidal behavior. Reinforcing it may be crucial to reinforce for suicide
prevention.
PMID- 18053679
TI - Role of the hemin-binding protein 35 (HBP35) of Porphyromonas gingivalis in
coaggregation.
AB - Hemin-binding protein 35 (HBP35) in Porphyromonas gingivalis is one of the outer
membrane proteins and has been reported to be a non-fimbrial coaggregation
factor. In this study, a P. gingivalis HBP35-deficient mutant (MD774) was
constructed from wild-type strain FDC381 by insertion mutagenesis in order to
provide a better understanding of this protein's role in coaggregation. The
intact cells and vesicles in FDC381 were found to have strong aggregation
activities with Gram-positive bacteria. But neither the vesicles nor the intact
cells showed aggregation activity in MD774. In addition, MD774 reduced
autoaggregation activity. Immunoblot analysis of MD774 showed the presence of a
non-maturated 45-kDa fimbrillin protein. Electron microscopy showed that the
MD774 had no long fimbriae on the cell surface. Arg- and Lys-gingipain activity
in MD774 was significantly decreased, compared with FDC381. Real-time RT-PCR
demonstrated a significant reduction in the expression of gingipain-associated
genes rgpA, rgpB, and kgp. In conclusion, we suggest that the reduction in
coaggregation was caused by the combined reduction of a variety of molecules,
including HBP35, gingipains, and fimbriae. Our results suggest that the HBP35
protein directly influences not only coaggregation as an adhesion molecule but
also indirectly influences the expression of other coaggregation factors.
PMID- 18053680
TI - Important aspects of end-of-life care among veterans: implications for
measurement and quality improvement.
AB - To identify aspects of end-of-life care in the U.S. Department of Veterans
Affairs (VA) health care system that are not assessed by existing survey
instruments and to identify issues that may be unique to veterans, telephone
interviews using open-ended questions were conducted with family members of
veterans who had received care from a VA facility in the last month of life.
Responses were compared to validated end-of-life care assessment instruments in
common use. The study took place in four VA medical centers and one family member
per patient was invited to participate, selected from medical records using
predefined eligibility criteria. These family members were asked to describe
positive and negative aspects of the care the veteran received in the last month
of life. Interview questions elicited perceptions of care both at VA sites and at
non-VA sites. Family reports were coded and compared with items in five existing
prospective and retrospective instruments that assess the quality of care that
patients receive near the end of life. Interviews were completed with 66 family
members and revealed 384 codes describing both positive and negative aspects of
care during the last month of life. Almost half of these codes were not
represented in any of the five reference instruments (n=174; 45%). These codes,
some of which are unique to the veteran population, were grouped into eight
categories: information about VA benefits (n=36; 55%), inpatient care (n=36;
55%), access to care (n=33; 50%), transitions in care (n=32; 48%), care that the
veteran received at the time of death (n=31; 47%), home care (n=26; 40%), health
care facilities (n=12; 18%), and mistakes and complications (n=18; 27%). Although
most of the reference instruments assessed some aspect of these categories, they
did not fully capture the experiences described by our respondents. These data
suggest that many aspects of veterans' end-of-life care that are important to
their families are not assessed by existing survey instruments. VA efforts to
evaluate end-of-life care for veterans should not only measure common aspects of
care (e.g., pain management), but also examine performance in areas that are more
specific to the veteran population.
PMID- 18053681
TI - Expression profile of ErbB receptor's family in human alveolar type 2-like cell
line A549 exposed to hexavalent chromium.
AB - Occupational exposure to hexavalent chromium (Cr (VI)) compounds is associated
with increased risk of pulmonary disease. In the present study we have
investigated temporal expression of ErbB's receptors family in A549 cells after
exposure to Cr (VI). Treatment with 10 microM or 300 microM of Na2CrO4 induced
apoptotic cell death within 24h. Based on data obtained by ELISA cell death
detection method and fluorescence microscopy, the concentration of 10 microM was
chosen to study the expression of ErbB receptors family. Such concentration
reflects a condition of acute toxicity in which cells survived up to 24h. Real
time quantitative PCR has been performed to analyze the expression profiles of
ErbB family genes following chromium toxicity. The expression of EGFR and ErbB2
receptors was significantly reduced after 1h and 4h of treatment while ErbB2
receptor was significantly increased and EGFR receptor returned to basal value
after 24h. Instead, ErbB3 receptor was overexpressed after 1h, returned to basal
level after 4h and increased its level after 24h. Exposure to chromium did not
change expression level of ErbB4 receptor in A549 cell line. The present data
suggests that expression changes in ErbB receptors might have a role in the
carcinogenic effects induced by this pneumotoxic agent.
PMID- 18053682
TI - Relationships between information processing, depression, fatigue and cognition
in multiple sclerosis.
AB - The neurobehavioral sequelae of multiple sclerosis (MS) consistently include
fatigue, depression and cognitive dysfunction with slower processing figuring
prominently. However, processing speed is often confounded with accuracy and the
relative contributions of depressed mood and fatigue in influencing speed of
processing are difficult to quantify. Therefore, there were three objectives in
this study. First, compare processing speed in MS and healthy controls under
conditions in which accuracy is not confounded with speed; second, determine the
relationships between information processing speed and cognition; third,
determine the contributions of clinical depression and fatigue in mediating these
relationships. Forty-eight participants with confirmed MS participated. The
findings suggested that slower processing was correlated with higher levels of
depressed mood, fatigue, lower verbal fluency, fewer words and digits recalled
and poorer recall of visual-spatial information. Depression and physical fatigue
had the greatest influence on the association between processing speed and more
effortful tasks (e.g., immediate word recall and word list learning). Current
findings extend previous work by using a more sensitive measure of processing
speed and by quantifying the relative contributions of depression and fatigue in
mediating relationships between processing speed and cognition.
PMID- 18053683
TI - Autism spectrum traits in children and adolescents with obsessive-compulsive
disorder (OCD).
AB - OBJECTIVE: Assess the prevalence of autistic traits (AST) in pediatric obsessive
compulsive disorder (OCD) and relate them to OCD co-morbidity and compare them
with published normative data. METHODS: Pediatric patients with obsessive
compulsive disorder (n=109) according to the DSM-IV were studied using parent
ratings of the Autistic Symptom/Syndrome Questionnaire to assess AST symptoms as
a continuous rather than categorical trait. The KSADS, a semi-structured
psychiatric interview, was used for the psychiatric diagnostic evaluation. Also,
the Children's Yale-Brown Obsessive-Compulsive Scale was used to assess OCD
severity and other clinical features. RESULTS: AST was common among our patients.
Symptom scores were highest in cases with co-morbid Autistic Spectrum Disorders,
but cases with other co-morbidities as tics/Tourette and attention/behavioral
disorders also scored higher. All sub-groups, including OCD without these co
morbidities scored higher than the Swedish normative group. Using ANOVA, co
morbid ASD and tics/Tourette (plus a term for gender by tic interaction
indicating that girls with tics scored high, otherwise low) and pathological
doubt contributed (R2=.41) to the AST-traits, while OCD severity and co-morbid
anxiety- and depressive disorders did not. CONCLUSION: AST traits are prevalent
in OCD and seem to be intricately associated with the co-morbidities as well as
the OCD syndrome itself. The findings might have implication for our nosological
understanding of OCD which currently is discussed.
PMID- 18053684
TI - Sex differences in the recognition of and innate antiviral responses to Seoul
virus in Norway rats.
AB - Among rodents that carry hantaviruses, more males are infected than females. Male
rats also have elevated copies of Seoul virus RNA and reduced transcription of
immune-related genes in the lungs than females. To further characterize sex
differences in antiviral defenses and whether these differences are mediated by
gonadal hormones, we examined viral RNA in the lungs, virus shedding in saliva,
and antiviral defenses among male and female rats that were intact,
gonadectomized neonatally, or gonadectomized in adulthood. Following inoculation
with Seoul virus, high amounts viral RNA persisted longer in lungs from intact
males than intact females. Removal of the gonads in males reduced the amount of
viral RNA to levels comparable with intact females at 40 days post-inoculation
(p.i.). Intact males shed more virus in saliva than intact females 15 days p.i.;
removal of the gonads during either the neonatal period or in adulthood increased
virus shedding in females and decreased virus shedding in males. Induction of
pattern recognition receptors (PRRs; Tlr7 and Rig-I), expression of antiviral
genes (Myd88, Visa, Jun, Irf7, Ifnbeta, Ifnar1, Jak2, Stat3, and Mx2), and
production of Mx protein was elevated in the lungs of intact females compared
with intact males. Gonadectomy had more robust effects on the induction of PRRs
than on downstream IFNbeta or Mx2 expression. Putative androgen and estrogen
response elements are present in the promoters of several of these antiviral
genes, suggesting the propensity for sex steroids to directly affect dimorphic
antiviral responses against Seoul virus infection.
PMID- 18053685
TI - Retinol, carotenoids, and tocopherols in the milk of lactating adolescents and
relationships with plasma concentrations.
AB - OBJECTIVE: We determined the concentrations of retinol, carotenoids, and
tocopherols in breast milk of adolescents and evaluated their associations with
plasma levels and with maternal characteristics (period of lactation, body mass
index, age of menarche, and years postmenarche). METHODS: This was a single cross
sectional survey of retinol, carotenoid, and tocopherol composition of milk and
plasma of lactating adolescent mothers (n = 72; 30-120 d postpartum) attending
public daycare clinics in Rio de Janeiro, Brazil. Milk and plasma components were
analyzed by high-performance liquid chromatography. RESULTS: Nutrient
concentrations (micromoles per liter, mean +/- SE) in plasma and milk were,
respectively, retinol 2.1 +/- 0.5 and 0.62 +/- 0.44, beta-carotene 0.18 +/- 0.19
and 0.016 +/- 0.017, alpha-carotene 0.05 +/- 0.04 and 0.0035 +/- 0.002, lutein
plus zeaxanthin 0.15 +/- 0.11 and 0.025 +/- 0.024, lycopene 0.1 +/- 0.11 and
0.016 +/- 0.025, alpha-tocopherol 10.8 +/- 5.3 and 2.7 +/- 1.8, gamma-tocopherol
2.6 +/- 2.3 and 0.37 +/- 0.15. The milk/plasma molar ratios of retinol and
tocopherols were two times higher than those of carotenoids. Significant
correlations (P < 0.001) between milk and plasma nutrient levels were observed
for beta-carotene (r = 0.41), alpha-carotene (r = 0.60), and lutein plus
zeaxanthin (r = 0.57), but not for lycopene, retinol, and tocopherols. Nutrient
concentrations in plasma and in milk were not associated with the maternal
characteristics investigated. CONCLUSION: Concentrations of the nutrients
studied, especially retinol and alpha-tocopherol, in mature milk of lactating
adolescents were, in general, lower than in milk of adult lactating women. Milk
concentrations were associated with plasma concentrations only for beta-carotene,
alpha-carotene, and lutein plus zeaxanthin.
PMID- 18053686
TI - Neural bases for impaired social cognition in schizophrenia and autism spectrum
disorders.
AB - Schizophrenia and autism both feature significant impairments in social cognition
and social functioning, but the specificity and mechanisms of these deficits
remain unknown. Recent research suggests that social cognitive deficits in both
disorders may arise from dysfunctions in the neural systems that underlie social
cognition. We explored the neural activation of discrete brain regions implicated
in social cognitive and face processing in schizophrenia subgroups and autism
spectrum disorders during complex social judgments of faces. Twelve individuals
with autism spectrum disorders (ASD), 12 paranoid individuals with schizophrenia
(P-SCZ), 12 non-paranoid individuals with schizophrenia (NP-SCZ), and 12 non
clinical healthy controls participated in this cross sectional study. Neural
activation, as indexed by blood oxygenation level dependent (BOLD) contrast, was
measured in a priori regions of interest while individuals rated faces for
trustworthiness. All groups showed significant activation of a social cognitive
network including the amygdala, fusiform face area (FFA), superior temporal
sulcus (STS), and ventrolateral prefrontal cortex (VLPFC) while completing a task
of complex social cognition (i.e. trustworthiness judgments). ASD and P-SCZ
individuals showed significantly reduced neural activation in the right amygdala,
FFA, and left VLPFC as compared to controls and in the left VLPFC as compared to
NP-SCZ individuals during this task. These findings lend support to models
hypothesizing well-defined neural substrates of social cognition and suggest a
specific neural mechanism that may underlie social cognitive impairments in both
autism and paranoid schizophrenia.
PMID- 18053688
TI - General and social cognition in first episode schizophrenia: identification of
separable factors and prediction of functional outcome using the IntegNeuro test
battery.
AB - OBJECTIVE: It is increasingly recognized that cognitive assessments, unlike
symptom ratings, provide a reliable predictor of functional outcome in
schizophrenia. This study evaluated the utility of the 'IntegNeuro' computerized
test battery for assessing cognition in first episode schizophrenia. We
determined the presence of separable factors of general and social cognition,
their equivalence to the consensus domains identified by the NIMH MATRICS
project, and their effectiveness in predicting real world functional outcomes.
METHOD: Fifty six first episode schizophrenia (FES) patients and 112 matched
healthy controls were assessed on the touchscreen-based 'IntegNeuro' cognitive
test battery and FES patients for social functioning (SOFAS) and quality of life
(WHOQOL-BREF). RESULTS: Principal components analysis identified i) six factors
corresponding to MATRICS domains of general cognition ('Information Processing
Speed', 'Verbal Recall', 'Working Memory Capacity', 'Sustained
Attention/Vigilance', 'Verbal Processing', 'Executive Function'), ii) an
'Emotional Intelligence' factor corresponding to the MATRICS social cognition
domain, and iii) an additional 'Sensori-Motor Function' factor of general
cognition and 'Negativity' factor of social cognition. Patients showed
impairments relative to controls across all factors, but especially for Working
Memory Capacity, followed by Verbal Memory, Sustained Attention/Vigilance and
Negativity. These factors strongly predicted poorer social functioning in FES,
along with poorer quality of life in psychological, social, and health
satisfaction facets. CONCLUSION: The IntegNeuro battery has utility for assessing
separable domains of general and social cognition in FES, which are predictive of
real world outcomes. Thus, it may be appropriate for clinical application,
including in multi-center trials targeting new treatments for cognition in
schizophrenia.
PMID- 18053687
TI - Age-associated differences in cognitive performance in older community dwelling
schizophrenia patients: differential sensitivity of clinical neuropsychological
and experimental information processing tests.
AB - Cognitive dysfunction is a common feature of schizophrenia and deficits are
present before the onset of psychosis, and are moderate to severe by the time of
the first episode. Controversy exists over the course of cognitive dysfunction
after the first episode. This study examined age-associated differences in
performance on clinical neuropsychological (NP) and information processing tasks
in a sample of geriatric community living schizophrenia patients (n=172).
Compared to healthy control subjects (n=70), people with schizophrenia did not
differ on NP tests across age groups but showed evidence for age-associated
cognitive worsening on the more complex components of an information-processing
test. Age-related changes in cognitive function in schizophrenia may be a
function of both the course of illness and the processing demands of the
cognitive measure of interest. Tests with fixed difficulty, such as clinical NP
tests, may differ in their sensitivity from tests for which parametric difficulty
manipulations can be performed.
PMID- 18053689
TI - Weight gain induced by haloperidol, risperidone and olanzapine after 1 year:
findings of a randomized clinical trial in a drug-naive population.
AB - BACKGROUND: There is little information about weight gain induced by
antipsychotics at long-term. OBJECTIVE: To quantify the weight gain induced by
first (haloperidol) and second generation antipsychotics (olanzapine and
risperidone) in a cohort of drug-naive subjects after 1 year of treatment.
METHODS: This is a prospective, randomized clinical trial, including a
representative sample of first episode psychotic incident cases from a population
area of 555.000 people. The main outcome measures were changes in body weight and
body mass index at 3 months and at 12 months. Both a per protocol analysis and an
intention to treat analysis were conducted. RESULTS: A total of 164 drug-naive
patients were included. At 12 months 144 patients were evaluated. Of them, 66%
completed the protocol and 34% needed treatment switch. We found statistically
significant differences in weight gain at 3 months: 3.8 kg (+/-4.1) for
haloperidol, 5.9 kg (+/-5.1) for risperidone and 8.4 kg (+/-5.0) for olanzapine
(F=7.045; p=0.002). After 1 year the difference in weight gain had disappeared:
9.7 kg (+/-5.7) for haloperidol, 8.9 kg (+/-8.8) for risperidone and 10.9 kg (+/
7.2) for olanzapine (F=0.817; p=0.445). CONCLUSIONS: Drug-naive patients
experience an extraordinary weight gain after 1 year of treatment with
haloperidol, olanzapine or risperidone. The main difference among these
treatments is the pattern of weight gain but not the final amount of weight gain.
PMID- 18053690
TI - C-terminal peptide of IGFBP-3, KGRKR, prolongs the proliferative potential of
basal keratinocytes.
PMID- 18053691
TI - Urosepsis: from the intensive care viewpoint.
AB - A recent survey conducted by the Competence Network Sepsis (SepNet) revealed that
severe sepsis and/or septic shock occurs in 75000 inhabitants (110 per 100,000)
and sepsis occurs in 79000 inhabitants (116 per 100,000) in Germany annually. The
prevalence of urosepsis in this survey was 7%. Early diagnosis of sepsis prior to
the onset of clinical deterioration is of particular interest because this would
increase the possibility of early and specific treatment, which in turn is the
major determining factor of mortality in septic patients. Treatment of urosepsis
consists of source control, early antimicrobial therapy as well as supportive and
adjunctive therapy. For supportive therapy, adequate volume loading is the most
important step in the treatment of patients with urosepsis in order to restore
and maintain oxygen transport and tissue oxygenation. Therefore, supportive
treatment should focus on adequate volume resuscitation and appropriate use of
inotropes/vasopressors. The PROWESS study is the first investigation
demonstrating the decrease in mortality in patients with sepsis following
administration of activated protein C (APC). Thus, administration of APC to
patients with two-organ failure or an APACHE II score > or =25 within the first
24 h after the first sepsis-induced organ failure is a part of adjunctive
therapy. Additionally, current data support low-dose hydrocortisone therapy in
patients with vasopressor-dependent severe septic shock. Time to initiation of
therapy is crucial for surviving sepsis. Implementing new medical evidence in
this context into daily clinical intensive care remains a major hurdle.
PMID- 18053692
TI - The ligand activity of AGE-proteins to scavenger receptors is dependent on their
rate of modification by AGEs.
AB - The cellular interaction of proteins modified with advanced glycation end
products (AGEs) is believed to induce several different biological responses,
which are involved in the development of diabetic vascular complications. We
report here that the ratio of protein glycation is implicated in its ligand
activity to scavenger receptors. Although highly-modified AGE-bovine serum
albumin (high-AGE-BSA) was significantly recognized by human monocyte-derived
macrophages and Chinese hamster ovary cells which overexpress such scavenger
receptors as CD36, SR-BI (scavenger receptor class B type-I), and LOX-1 (Lectin
like Ox-LDL receptor-1), the mildly-modified-AGE-BSA (mild-AGE-BSA) did not show
any ligand activity to these cells. Furthermore, when (111)In-labeled high- or
mild-AGE-BSA were injected into the tail vein of mice, the high-AGE-BSA was
rapidly cleared from the circulation whereas the clearance rate of the mild-AGE
BSA was very slow, similar to the native BSA. These results demonstrate the first
evidence that the ligand activity of the AGE-proteins to the scavenger receptors
and its pharmacokinetic properties depend on their rate of modification by AGEs,
and we should carefully prepare the AGE-proteins in vitro to clarify the
physiological significance of the interaction between the AGE-receptors and AGE
proteins.
PMID- 18053693
TI - Microtubule anchoring by cortical actin bundles prevents streaming of the oocyte
cytoplasm.
AB - The localisation of the determinants of the body axis during Drosophila oogenesis
is dependent on the microtubule (MT) cytoskeleton. Mutations in the actin binding
proteins Profilin, Cappuccino (Capu) and Spire result in premature streaming of
the cytoplasm and a reorganisation of the oocyte MT network. As a consequence,
the localisation of axis determinants is abolished in these mutants. It is
unclear how actin regulates the organisation of the MTs, or what the spatial
relationship between these two cytoskeletal elements is. Here, we report a
careful analysis of the oocyte cytoskeleton. We identify thick actin bundles at
the oocyte cortex, in which the minus ends of the MTs are embedded. Disruption of
these bundles results in cortical release of the MT minus ends, and premature
onset of cytoplasmic streaming. Thus, our data indicate that the actin bundles
anchor the MTs minus ends at the oocyte cortex, and thereby prevent streaming of
the cytoplasm. We further show that actin bundle formation requires Profilin but
not Capu and Spire. Thus, our results support a model in which Profilin acts in
actin bundle nucleation, while Capu and Spire link the bundles to MTs. Finally,
our data indicate how cytoplasmic streaming contributes to the reorganisation of
the MT cytoskeleton. We show that the release of the MT minus ends from the
cortex occurs independently of streaming, while the formation of MT bundles is
streaming dependent.
PMID- 18053694
TI - Lola regulates cell fate by antagonizing Notch induction in the Drosophila eye.
AB - Lola is a transcription repressor that regulates axon guidance in the developing
embryonic nervous system of Drosophila. Here, we show that Lola regulates two
binary cell fate decisions guided by Notch inductive signaling in the developing
eye: the R3-R4 and the R7-cone cell fate choices. Lola is required cell
autonomously in R3 for its specification, and Lola transforms R4 into R3 if
overexpressed. Lola also promotes R7 fate at the expense of cone cell fate. Lola
antagonizes Notch-dependent gene expression and Notch-dependent fate
transformation. Expression analysis shows that Lola is constitutively present in
all photoreceptors and cone cells. We propose that when a precursor cell receives
a weak Notch inductive signal, it is not sufficiently strong to overcome the
constitutive repression of target gene transcription by Lola. A precursor that
receives a strong Notch inductive signal expresses target genes despite a
constitutive repression by Lola. The predicted consequence of this mechanism is
to sharpen a cell's responsiveness to Notch signaling by creating a threshold.
PMID- 18053695
TI - Long-term regular exercise promotes memory and learning in young but not in older
rats.
AB - BACKGROUND AND OBJECTIVE: By aging, some functions in nervous system like spatial
memory are reduced. It has been shown that short-time physical activity can
improve memory but there is much less data on the long-term exercising. In the
present study, the aim was to clarify the effect of regular long-term physical
activity on spatial memory and learning of young and middle aged and older male
Wistar rats. MATERIALS AND METHODS: Sixty 3 months old rats were randomly divided
in six equal groups. Experimental groups were treadmill exercised at speed
22m/min for 1h 6 days per week, and the program lasted 3, 6 and 9 months,
respectively. At the end of training period, spatial memory of rats was tested
using Morris Water Maze. RESULTS: Results indicated that regular physical
activity significantly increased spatial memory (p<0.05) in young rats (6 months
old) as compared to controls, but not in the older ones (9 and 12 months old).
Nonetheless, spatial memory of these rats was significantly better than in
younger ones in both groups (p<0.05). CONCLUSION: Spatial memory and learning
increased due regular exercise in young rats. With progression of age up to 9 and
12 months the memory improved, but the programmed exercise had no positive effect
on learning.
PMID- 18053696
TI - A de-identifier for medical discharge summaries.
AB - OBJECTIVE: Clinical records contain significant medical information that can be
useful to researchers in various disciplines. However, these records also contain
personal health information (PHI) whose presence limits the use of the records
outside of hospitals. The goal of de-identification is to remove all PHI from
clinical records. This is a challenging task because many records contain foreign
and misspelled PHI; they also contain PHI that are ambiguous with non-PHI. These
complications are compounded by the linguistic characteristics of clinical
records. For example, medical discharge summaries, which are studied in this
paper, are characterized by fragmented, incomplete utterances and domain-specific
language; they cannot be fully processed by tools designed for lay language.
METHODS AND RESULTS: In this paper, we show that we can de-identify medical
discharge summaries using a de-identifier, Stat De-id, based on support vector
machines and local context (F-measure=97% on PHI). Our representation of local
context aids de-identification even when PHI include out-of-vocabulary words and
even when PHI are ambiguous with non-PHI within the same corpus. Comparison of
Stat De-id with a rule-based approach shows that local context contributes more
to de-identification than dictionaries combined with hand-tailored heuristics (F
measure=85%). Comparison with two well-known named entity recognition (NER)
systems, SNoW (F-measure=94%) and IdentiFinder (F-measure=36%), on five
representative corpora show that when the language of documents is fragmented, a
system with a relatively thorough representation of local context can be a more
effective de-identifier than systems that combine (relatively simpler) local
context with global context. Comparison with a Conditional Random Field De
identifier (CRFD), which utilizes global context in addition to the local context
of Stat De-id, confirms this finding (F-measure=88%) and establishes that
strengthening the representation of local context may be more beneficial for de
identification than complementing local with global context.
PMID- 18053697
TI - Subcoating with Kollidon VA 64 as water barrier in a new combined native
dextran/HPMC-cetyl alcohol controlled release tablet.
AB - A novel oral controlled delivery system for propranolol hydrochloride (PPL) was
developed and optimized using wet granulation process. We are studying the
ability of subcoating with Kollidon VA 64 as a barrier to water penetration in
matrix cores combined hydrophilic (native dextran-HPMC)/hydrophobic (cetyl
alcohol) prior to film coating with Opradry II-YS-30-18056. The copovidone (i.e.,
Kollidon VA 64) not only increases the mechanical properties of tablets (less
friability) but also reduces the amount of absorbed water from the air in
tropical stability condition (25 degrees C and 75% relative humidity). The in
vitro dissolution profiles of coated sustained-release matrix tablets of racemic
PPL were determined and compared with uncoated tablet cores according to the
United States Pharmacopeia (USP) Tolerance Specifications for Propranolol
Hydrochloride Extended-Release Capsules. A comparative kinetic study of the
present matrix tablets (coated and uncoated cores) and commercial SUMIAL RETARD
capsules (reference formulation (R) (Spain) was established). The values for the
similarity factor (f2=61.756, f2=72.326 and f2=88.509 for initial time, one year
and two years, respectively (uncoated cores vs. capsule) and f2=63.904, f2=69.502
and f2=76.348 (coated tablets vs. capsule) for initial time, one year and 2 two
years, respectively) suggested that the dissolution profiles of the present three
sustained-release oral dosage forms are similar and stable during two years under
stability condition.
PMID- 18053700
TI - Crop residues as soil amendments and feedstock for bioethanol production.
AB - Traditional solid fuels account for more than 90% of the energy supply for 3
billion people in developing countries. However, liquid biofuels (e.g., ethanol)
are perceived as an important alternative to fossil fuel. Global crop residue
production is estimated at about 4 billion Mg for all crops and 3 billion Mg per
annum for lignocellulosic residues of cereals. One Mg of corn stover can produce
280L of ethanol, compared with 400L from 1Mg of corn grains; 1Mg of biomass is
also equivalent to 18.5GJ of energy. Thus, 3 billion Mg of residues are
equivalent to 840 billion L of ethanol or 56x10(9)GJ of energy. However, removal
of crop residues exacerbates soil degradation, increases net emission of CO2, and
aggravates food insecurity. Increasing the SOC pool by 1 Mg C ha(-1)yr(-1)
through residue retention on soil can increase world food grain production by 24
40 million Mg yr(-1), and root/tuber production by 6-11 million Mg yr(-1). Thus,
identifying alternate sources of biofuel feedstock (e.g., biofuel plantations,
animal waste, municipal sold waste) is a high priority. Establishing biofuel
plantations on agriculturally marginal or degraded lands can off-set 3.5-4 Pg
Cyr(-1).
PMID- 18053699
TI - Mechanisms to control rereplication and implications for cancer.
AB - Recent advances in the replication field have highlighted how the replication
initiator proteins are negatively regulated by inhibitor proteins and ubiquitin
mediated degradation in mammalian cells to prevent rereplication. When these
regulatory pathways go awry, uncontrolled rereplication ensues and a G2/M
checkpoint is evoked to prevent cellular death. Many components of the
checkpoints activated by rereplicaton are important for cancer prevention by
facilitating DNA damage repair processes. The pathways that prevent rereplication
themselves have also recently been implicated in preventing tumorigenesis.
Studies from patient tumors, genetically altered mice, and mammalian cell culture
suggest that deregulation of replication licensing proteins results in an
increase in aneuploidy, chromosomal fusions, and DNA breaks. These studies
provide a framework to address how regulators of replication function to maintain
genomic stability.
PMID- 18053701
TI - Carbon--making the right choice for waste management in developing countries.
AB - Due to initiatives such as the clean development mechanism (CDM), reducing
greenhouse gas emissions for a developing country can offer an important route to
attracting investment in a variety of qualifying project areas, including waste
management. To date CDM projects have been largely confined to schemes that
control emission from landfill, but projects that avoid landfilling are beginning
to be submitted. In considering the waste options which might be suitable for
developing countries certain ones, such as energy from waste, have been
discounted for a range of reasons related primarily to the lack of technical and
other support services required for these more sophisticated process trains. The
paper focuses on six options: the base case of open dumping; three options for
landfill (passive venting, gas capture with flaring, and gas capture with energy
production), composting and anaerobic digestion with electricity production and
composting of the digestate. A range of assumptions were necessary for making the
comparisons based on the effective carbon emissions, and these assumptions will
change from project to project. The highest impact in terms of carbon emissions
was from using a sanitary landfill without either gas flaring or electricity
production; this was worse than the baseline case using open dumpsites. Landfills
with either flaring or energy production from the collected gas both produced
similar positive carbon emissions, but these were substantially lower than both
open dumping and sanitary landfill without flaring or energy production.
Composting or anaerobic digestion with energy production and composting of the
digestate were the two best options with composting being neutral in terms of
carbon emissions and anaerobic digestion being carbon negative. These generic
conclusions were tested for sensitivity by modifying the input waste composition
and were found to be robust, suggesting that subject to local study to confirm
assumptions made, the opportunity for developing CDM projects to attract
investment to improved waste management infrastructure is significant. Kyoto
credits in excess of 1 tCO2e/t of waste could be realised.
PMID- 18053702
TI - Soils, climate change and the OECD.
AB - Some concepts of sustainability applied to soils are given in relation to the
Organisation for Economic Co-operation and Development (OECD) Co-operative
Research Programme 'Biological Resource Management for Sustainable Agricultural
Systems'. The application of these concepts to climate change will be discussed
in relation to seven high-profile papers published over the past 12 months. It is
argued that multi-disciplinary (including social science) approaches are needed
to address the issues. There is also a brief discussion on biomass energy in
terms of soil sustainability and climate change.
PMID- 18053703
TI - Control of GHG emission at the microbial community level.
AB - All organic material eventually is decomposed by microorganisms, and considerable
amounts of C and N end up as gaseous metabolites. The emissions of greenhouse
relevant gases like carbon dioxide, methane and nitrous oxides largely depend on
physico-chemical conditions like substrate quality or the redox potential of the
habitat. Manipulating these conditions has a great potential for reducing
greenhouse gas emissions. Such options are known from farm and waste management,
as well as from wastewater treatment. In this paper examples are given how
greenhouse gas production might be reduced by regulating microbial processes.
Biogas production from manure, organic wastes, and landfills are given as
examples how methanisation may be used to save fossil fuel. Methane oxidation, on
the other hand, might alleviate the problem of methane already produced, or the
conversion of aerobic wastewater treatment to anaerobic nitrogen elimination
through the anaerobic ammonium oxidation process might reduce N2O release to the
atmosphere. Changing the diet of ruminants, altering soil water potentials or a
change of waste collection systems are other measures that affect microbial
activities and that might contribute to a reduction of carbon dioxide equivalents
being emitted to the atmosphere.
PMID- 18053704
TI - Microarray-based expression profiling and informatics.
AB - Microarray-based expression profiling is a powerful technology for studying
biological mechanisms and for developing clinically valuable predictive
classifiers. The high-dimensional read-out for each sample assayed makes it
possible to do new kinds of studies but also increases the risks of misleading
conclusions. We review here the current state-of-the-art for design and analysis
of microarray-based investigations.
PMID- 18053705
TI - Tissue microarrays: emerging standard for biomarker validation.
AB - With the widespread use of DNA microarrays, hundreds of biomarkers are in need of
validation in cohorts of well-annotated clinical samples. Tissue microarrays are
emerging as the tool par excellence to rapidly perform DNA, RNA, and especially
protein expression analyses on large numbers of clinical samples. Although still
somewhat limited by the subjectivity of scoring methods and tissue sample
representativeness, TMAs represent an increasingly validated means of
understanding the clinical impact of diagnostic-related, prognostic-related, and
therapy-related markers. Automated methods are being developed for TMA analysis
and cell microarrays and frozen tissue TMAs have been better optimized. More and
more biomarker studies are availing themselves of the high-throughput nature of
TMAs, recognizing that they are becoming indispensable for rapid translation of
laboratory data to the clinic.
PMID- 18053707
TI - A Greenwood formula for standard error of the age-standardised relative survival
ratio.
AB - The age-standardised relative survival ratio is used to compare population-based
cancer survival patterns when the population age structures differ.
Traditionally, the direct standardisation method based on age-specific relative
survival ratios has been used. In a new method [Brenner H, Arndt V, Gefeller O,
Hakulinen T. An alternative approach to age adjustment of cancer survival rates.
Eur J Cancer 2004;40:2317-22], weighted observations depending on the age
structures of the study and standard populations are used to substitute the
patients leading to a use of weighted counts. The relative survival ratio is then
calculated in the conventional way. However, no standard error of the age
standardised relative survival estimate has been reported. In this paper, we
introduce a generalisation of the well-known Greenwood formula for that purpose.
This method is also applicable for the observed survival and particularly when
the observed survival probabilities of the patient population differ by age
stratum. The traditional Greenwood formula is a special case of the method when
no specific weights are used and the observed survival probability is the same in
each stratum. Data from the Finnish Cancer Registry are used for illustration.
PMID- 18053708
TI - An approach for prediction of optimum reaction conditions for laccase-catalyzed
bio-transformation of 1-naphthol by response surface methodology (RSM).
AB - Response surface methodology (RSM) was successfully applied to enzymatic bio
transformation of 1-naphthol. The experiments were conducted in a closed system
containing acetone and sodium acetate buffer, with laccase enzyme. Laccase enzyme
used as catalyst was derived from Trametes versicolor (ATCC 200801). The
enzymatic bio-transformation rate of 1-naphthol, based on measurements of initial
dissolved oxygen (DO) consumption rate in the closed system, was optimized by the
application of RSM. The independent variables, which had been found as the most
effective variables on the initial DO consumption rate by screening experiments,
were determined as medium temperature, pH and acetone content. A quadratic model
was developed through RSM in terms of related independent variables to describe
the DO consumption rate as the response. Based on contour plots and variance
analysis, optimum operational conditions for maximizing initial DO consumption
rate, while keeping acetone content at its minimum value, were 301 K of
temperature, pH 6 and acetone content of 7% to obtain 9.17 x 10(-3) mM DO/min for
initial oxidation rate.
PMID- 18053706
TI - Xeroderma pigmentosum complementation group C genotypes/diplotypes play no
independent or interaction role with polycyclic aromatic hydrocarbons-DNA adducts
for breast cancer risk.
AB - Xeroderma pigmentosum complementation group C (XPC) is an important DNA nuclear
excision repair (NER) gene that recognises the damage caused by a variety of
bulky DNA adducts. We evaluated the association of two common non-synonymous
polymorphisms in XPC (Ala499Val and Lys939Gln) with breast cancer risk in the
Long Island Breast Cancer Study Project (LIBCSP), a population-based case-control
study. Genotyping of 1067 cases and 1110 controls was performed by a high
throughput assay with fluorescence polarisation. There were no overall
associations between XPC polymorphisms and breast cancer risk. A diplotype CC-CC
was significantly associated with increased breast cancer risk compared with
diplotype CA-CA (OR=1.4, 95%CI: 1.0-1.9), but was not significant when compared
with all other diplotypes combined (OR=1.22, 95%CI: 0.97-1.53). No modification
effects were observed for XPC genotypes by cigarette smoking status, smoking pack
years or polycyclic aromatic hydrocarbons (PAH)-DNA adducts. The increase in
breast cancer risk was slightly more pronounced among women with detectable PAH
DNA adducts and carrying the diplotype CC-CC (OR=1.6, 95%CI: 1.1-2.2) compared to
women with non-detectable PAH-DNA adducts carrying other diplotypes combined, but
no statistically significant interaction was observed (P(interaction)=0.69).
These data suggest that XPCs have neither independent effects nor interactions
with cigarette smoking and PAH-DNA adducts for breast cancer risk. Further
studies with multiple genetic polymorphisms in NER pathway are warranted.
PMID- 18053709
TI - Polyhydroxyalkanoate production from whey by Pseudomonas hydrogenovora.
AB - Whey permeate from dairy industry was hydrolyzed enzymatically to cleave its main
carbon source, lactose, to glucose and galactose. The hydrolysis products were
chosen as carbon sources for the production of poly-3-hydroxybutyric acid (PHB)
by Pseudomonas hydrogenovora. In shaking flask experiments, the utilization of
whey permeate as a cheap substrate was compared to the utilization of pure
glucose and galactose for bacterial growth under balanced conditions as well as
for the production of PHB under nitrogen limitation. After determination of the
inhibition constant Ki for sodium valerate on biomass production (Ki=1.84 g/l),
the biosynthesis of PHA co-polyesters containing 3-hydroxybutyrate (3HB) and 3
hydroxyvalerate (3HV) units from hydrolyzed whey permeate and valerate was
investigated. The application of hydrolyzed whey permeate turned out to be
advantageous compared with the utilization of pure sugars. Therefore,
fermentation under controlled conditions in a bioreactor was performed with
hydrolyzed whey permeate to obtain detailed kinetic data (maximum specific growth
rate, mu max=0.291/h, maximum polymer concentration, 1.27 g/l PHB), values for
molecular mass distribution (weight average molecular weight Mw=353.5 kDa,
polydispersity index PDI=3.8) and thermo analytical data. The fermentation was
repeated with co-feeding of valerate (maximum specific growth rate,
mu(max)=0.201/h, maximum polymer concentration, 1.44 g/l poly-(3HB-co-21%-3HV),
weight average molecular weight M(w)=299.2 kDa, polydispersity index PDI=4.3).
PMID- 18053710
TI - Conceptual schematic for capture of biomethane released from hydroelectric power
facilities.
AB - Though dam-related biomethane was identified in the 1960s, its capture has not
been sufficiently discussed. Captured biomethane could be burned to produce
energy, and the burning of biomethane turns the carbon in it into CO(2) that is
far less potent as a greenhouse gas; this paper therefore aims to technically
discuss the capture/use of dam-related biomethane. A great amount of bubbles
would be formed by the rapid drop in water pressure (i.e. cavitation) after
turbine passage, so it is proposed to capture methane-bearing bubbles by means of
a flow tube for adjusting residence time and hydrophilic screens for trapping
these bubbles. The results from the performed calculation show that biomethane
can be trapped in a yield of 60%.
PMID- 18053711
TI - Biosorption of copper by marine algae Gelidium and algal composite material in a
packed bed column.
AB - Marine algae Gelidium and algal composite material were investigated for the
continuous removal of Cu(II) from aqueous solution in a packed bed column. The
biosorption behaviour was studied during one sorption-desorption cycle of Cu(II)
in the flow through column fed with 50 and 25 mg l(-1) of Cu(II) in aqueous
solution, at pH 5.3, leading to a maximum uptake capacity of approximately 13 and
3 mg g(-1), respectively, for algae Gelidium and composite material. The
breakthrough time decreases as the inlet copper concentration increases, for the
same flow rate. The pH of the effluent decreases over the breakthrough time of
copper ions, which indicates that ion exchange is one of the mechanisms involved
in the biosorption process. Temperature has little influence on the metal uptake
capacity and the increase of the ionic strength reduces the sorption capacity,
decreasing the breakthrough time. Desorption using 0.1M HNO(3) solution was 100%
effective. After two consecutive sorption-desorption cycles no changes in the
uptake capacity of the composite material were observed. A mass transfer model
including film and intraparticle resistances, and the equilibrium relationship,
for adsorption and desorption, was successfully applied for the simulation of the
biosorption column performance.
PMID- 18053712
TI - An efficient, simple and expedition synthesis of 1-amidoalkyl-2-naphthols as
'drug like' molecules for biological screening.
AB - An efficient and direct protocol for the preparation of amidoalkyl naphthols
employing a multi-component, one-pot condensation reaction of beta-naphthol,
aromatic aldehydes and acetamide in the presence of ferric hydrogensulfate under
solvent, solvent-free and microwave conditions is described. The thermal solvent
free and microwave green procedures offer advantages such as shorter reaction
times, simple work-up, excellent yield, recovery and reusability of catalyst. It
is noteworthy that 1-amidomethyl-2-naphthols can be converted into important
biological 'drug like' active 1-aminomethyl-2-naphthols derivatives by amide
hydrolysis.
PMID- 18053713
TI - Discovery of 3-aryl-3-methyl-1H-quinoline-2,4-diones as a new class of selective
5-HT6 receptor antagonists.
AB - A 5,7-dichloro-3-phenyl-3-methyl-quinoline-2,4-dione (11a) has been identified in
a random screen as a lead for 5-HT(6) antagonist. During the lead optimization
process, several analogs were synthesized and their biological activities were
investigated. Within this series, several compounds display high binding affinity
and selectivity for the 5-HT(6) receptor. In particular, 3-(4-hydroxyphenyl)-3
methyl-quinoline-2,4-dione (12f) exhibits high affinity (K(i)=12.3 nM) for 5
HT(6) receptor with good selectivity over other serotonin and dopamine (D(1)
D(4)) receptor subtypes. In a functional adenylyl cyclase stimulation assay, this
compound exhibited considerable antagonistic activity (IC(50)=0.61 microM).
PMID- 18053714
TI - Structure and property based design of factor Xa inhibitors: biaryl pyrrolidin-2
ones incorporating basic heterocyclic motifs.
AB - Structure and property based drug design was exploited in the synthesis of
sulfonamidopyrrolidin-2-one-based factor Xa (fXa) inhibitors, incorporating basic
biaryl P4 groups, producing highly potent inhibitors with significant
anticoagulant activities and encouraging oral pharmacokinetic profiles.
PMID- 18053715
TI - Antimicrobial activities of the bromophenols from the red alga Odonthalia
corymbifera and some synthetic derivatives.
AB - A series of bromophenols was obtained by isolation from red alga Odonthalia
corymbifera and by reactions of bis(hydroxyphenyl)methanes with bromine. New
bromophenols including 3,3',5,5'-tetrabromo-2,2',4,4'-tetrahydroxydiphenylmethane
(10), a regioisomer of the potent antimicrobial natural product, together with
known derivatives were synthesized in high yield. All of the isolated and
synthesized compounds were tested for antimicrobial activity against gram
negative, gram-positive bacteria and fungi. The preliminary structure-activity
relationship, to elucidate the essential structure requirements for antimicrobial
activity, has been described. Among the isolated natural products 2,2',3,3'
tetrabromo-4,4',5,5'-tetrahydroxydiphenylmethane (4) was found to be the most
active derivative against Candida albicans, Aspergillus fumigatus, Trichophyton
rubrum, and Trichophyton mentagrophytes. The synthetic bromophenols 3,3'-dibromo
6,6'-dihydroxydiphenylmethane (13) and 3,3',5,5'-tetrabromo-6,6'
dihydroxydiphenylmethane (14) showed potent antibacterial effect against
Staphylococcus aureus, Bacillus subtilis, Micrococcus luteus, Proteus vulgaris,
and Salmonella typhimurium.
PMID- 18053716
TI - Ohioensins F and G: protein tyrosine phosphatase 1B inhibitory
benzonaphthoxanthenones from the Antarctic moss Polytrichastrum alpinum.
AB - Ohioensins F and G (1 and 2), two new benzonaphthoxanthenones, have been isolated
from the MeOH extract of Antarctic moss Polytrichastrum alpinum by various
chromatographic methods. The structures of these compounds were determined mainly
by analysis of NMR spectroscopic data. The known compounds ohioensins A and C (3
and 4) were also obtained. Compounds 1-4 showed potent inhibitory activity
against therapeutically targeted protein tyrosine phosphatase 1B (PTP1B). Kinetic
analysis of PTP1B inhibition by ohioensin F (1) suggested that
benzonaphthoxanthenones inhibited PTP1B activity in a non-competitive manner.
PMID- 18053717
TI - 2-Substituted 4-, 5-, and 6-[(1E)-3-oxo-3-phenylprop-1-en-1-yl]pyridazin-3(2H)
ones and 2-substituted 4,5-bis[(1E)-3-oxo-3-phenylprop-1-en-1-yl]pyridazin-3(2H)
ones as potent platelet aggregation inhibitors: design, synthesis, and SAR
studies.
AB - A set of regioisomeric 2-substituted pyridazin-3(2H)-ones containing a 3-oxo-3
phenylprop-1-en-1-yl fragment at either position 4, 5 or 6 and 2-substituted
pyridazin-3(2H)-ones containing the same fragment both at positions 4 and 5 have
been synthesized and evaluated as antiplatelet agents. The study allows the
identification of a new highly potent platelet aggregation inhibitor (4c).
PMID- 18053718
TI - LAMA2 mRNA processing alterations generate a complete deficiency of laminin
alpha2 protein and a severe congenital muscular dystrophy.
AB - An increasing number of genomic variations are no more regarded as harmless
changes in protein coding sequences or as genetic polymorphisms. Studying the
impact of these variations on mRNA metabolism became a central issue to better
understand the biological significance of disease. We describe here a severe
congenital muscular dystrophy (CMD) with lumbar scoliosis and respiratory
complications in a patient, who died at the age of 10. Despite a poor linkage to
any form of CMD, total deficiency of laminin-alpha2 rather suggested the
occurrence of an MDC1A form. Extensive analysis of LAMA2 gene revealed two novel
mutations: a (8007delT) frameshift deletion in exon 57, and a de novo 7nt
deletion in intron 17. Using an ex vivo approach, we provided strong evidence
that the intron mutation is responsible for complete exon 17 skipping. The
mutations are in trans and they each generate a nonsense mRNA potentially
elicited to degradation by NMD. We further discuss the impact of mRNA alterations
on the subtle phenotypic discrepancies.
PMID- 18053719
TI - Dropped head syndrome as prominent clinical feature in MuSK-positive Myasthenia
Gravis with thymus hyperplasia.
AB - MuSK-positive Myasthenia Gravis is in most cases clinically characterized by a
progressive course with severe oculobulbar involvement or prominent neck,
shoulder and respiratory muscle weakness. It is also distinguished from other
forms of myastehnia through its lack of germinal centers or lymphocytic
infiltrates in the thymic tissue. We present the case of a MuSK-positive female
myasthenic patient with over four years slowly progressive weakness of the neck
extensor muscles in the presence of thymus hyperplasia and discuss its uncommon
and markedly focal clinical and electrophysiological features, as well as the
excellent course under medication with pyridostigmine and prednisone, especially
after thymectomy.
PMID- 18053720
TI - Preimplantation genetic diagnosis for myotonic dystrophy type 1 in the UK.
AB - Myotonic dystrophy type 1 (DM1) is a dominant multisystemic disorder caused by
expansion of a trinucleotide repeat in a non-coding region of DMPK. Prenatal
diagnosis (PND) is available; however, the decision to terminate affected
pregnancies is difficult as the extent of disability is hard to predict from the
size of the expansion. In preimplantation genetic diagnosis (PGD) genetic
analysis is carried out before the establishment of pregnancy. This paper reviews
the largest number of cycles of PGD for DM1 in the UK indicating that PGD is a
practical option for affected couples.
PMID- 18053722
TI - Prolonged standing as a precursor for the development of low back discomfort: an
investigation of possible mechanisms.
AB - Low back discomfort (LBD) has been associated with prolonged periods of standing,
yet research has shown that the magnitude of spinal loading during standing is
relatively minimal. Therefore, the mechanism of this discomfort is not fully
understood. Research has monitored numerous variables during prolonged periods of
standing; however the focus of this work has been primarily on the comparison of
the effect of different floor surfaces on these variables. No study to date has
made an attempt to relate these changes to the development of LBD. The purpose of
this study was therefore to determine possible mechanisms for the development of
LBD during standing by monitoring biological variables. It was hypothesized that
during a prolonged standing period, LBD would develop and the measured variables
would change over time. Sixteen individuals stood for 2h while activation of
torso and hip muscles, lumbar spine posture, back extensor muscle oxygenation,
torso skin temperature, and centre of pressure changes under the feet were
monitored over time. Thirteen out of sixteen individuals developed LBD as a
result of the prolonged standing period, which significantly increased over the 2
h period (p<0.0001). Only three of the 37 variables measured were significantly
altered over time. However, a generated regression model incorporating 15 of the
16 individuals (which incorporated how each individual stood in the first 15 min)
explained 78% of the variance in LBD at the end of the 2-h standing period.
Prolonged standing resulted in LBD, yet few significant changes in the measured
variables were observed over time. It is possible that LBD is not linked with
alterations in standing over time, but rather associated with how an individual
initially stands.
PMID- 18053721
TI - Is breast cancer incidence increasing among young women? An analysis of the trend
in France for the period 1983-2002.
AB - The purpose of the study was to describe the trend in the incidence of breast
cancer in women under 40 in France for the period 1983-2002 and compare it with
the trend observed in other age groups. Data from seven cancer registries were
analysed. Annual percentage changes were estimated in different age groups using
Poisson regression. During the period 1983-2002, breast cancer incidence in women
under 40 increased regularly, with an estimated annual percentage change of 0.65
(95% CI: 0.03-1.26). In women aged over 40, the increase is more significant,
particularly among women aged 50-74 (2.93% per year). The increase in breast
cancer incidence in women under 40 was low and steady over the 20-year period
considered. Even though young women did not experience such a major change in
diagnostic practices as the development of screening among women aged 50-74, it
is difficult to distinguish the effects of possible changes in risk factors and
in diagnostic practices in the slight increase observed.
PMID- 18053723
TI - Lateral epicondylar femoral avulsion fracture combined with tibial fracture: a
counterpart to the arcuate sign.
AB - We present a case of femoral avulsion of the lateral collateral ligament (LCL)
with complete tear of the posterior cruciate ligament (PCL) and popliteus tendon
accompanied by demonstrable posterolateral rotary instability (PLRI) of the knee.
A 55-year-old man was involved in a road traffic accident. Radiographs revealed
an avulsion fracture of the lateral epicondyle of the femur and a fracture of the
tibial shaft. An MRI scan showed the lateral epicondyle was avulsed by the LCL
and the popliteus tendon. The PCL signal was absent. The tibial shaft fracture
was fixed with an intra medullary nail. Sagging of the tibia, with loss of
prominence of tibial tuberosity and a positive posterior drawer test,
demonstrated a complete tear of the PCL. The avulsion fracture of the lateral
epicondyle was treated by an open reduction and internal fixation with two
staples. A ligament can be avulsed at either end, and to our knowledge, this
pattern of injury as a counterpart to arcuate sign has never been documented in
the literature. It is important not to dismiss a small avulsion fracture around
the knee joint as insignificant, as it could indicate the presence of a major
ligamentous injury.
PMID- 18053724
TI - Bilateral functional popliteal artery entrapment in a young athlete.
AB - Popliteal artery entrapment syndrome is a frequent cause of intermittent
claudication in young patients. We present a case of a bilateral functional
entrapment, where static imaging did not demonstrate the occlusion until the
patient's feet were placed in forced plantar flexion. A high index of clinical
suspicion and dynamic tests with provocative manoeuvres are needed to diagnose
this condition.
PMID- 18053725
TI - Recurrence of varus/valgus deformity after TKR at 3 years' follow-up.
AB - We assessed 30 patients with a cemented TKR implant (Interax ISA, Stryker
Orthopaedics) at 1 and 3 years follow-up. We wanted to ascertain whether knee
alignment was maintained during the critical period of follow-up in meniscal
bearing PCL-retaining TKR patients and test if correlations exist between
alignment variations in the coronal plane and preoperative varus/valgus deformity
or tibial component position and migration with respect to the tibia. Lower limb
alignment was evaluated by measuring the angle between the tibia and femur
anatomical axis directly from the antero-posterior radiographs and the tibial
component position with respect to the tibial anatomical axis. The tibial
component varus/valgus migration in the tibia was measured by roentgen
stereophotogrammetric analysis. The results showed that at 3 years follow-up 40%
of patients presented an alignment variation of over 3 degrees . The recurrent
deformity was not correlated with the preoperative deformity, nor with the tibial
component position with respect to the tibial shaft nor with its migration. After
total knee arthroplasty coronal axial variation is a common finding and it
depends on the overall limb muscles and ligaments adaptation to prosthetic
constraints.
PMID- 18053726
TI - Inhibitors of proteases and amide hydrolases that employ an alpha-ketoheterocycle
as a key enabling functionality.
AB - This article reviews the scientific literature on the application of alpha
ketoheterocycles to the discovery of potent enzyme inhibitors. The alpha
ketoheterocycle functionality provides a moderately electrophilic ketone carbonyl
with 'tunable' reactivity, as well as a structural template for introducing new
interactions in the enzyme active-site cleft. This type of moiety has served an
important role in the design of active-site-directed inhibitors of diverse serine
and cysteine proteases, and of fatty acid amide hydrolase (FAAH). Potent
inhibitors have been identified for, inter alia, elastase, thrombin, factor Xa,
tryptase, chymase, cathepsin K, cathepsin S, and FAAH. For example, 6e is an
orally active inhibitor of human neutrophil elastase that entered human clinical
studies, 52h is an orally bioavailable inhibitor of human chymase, and 82m is a
FAAH inhibitor with in vivo endocannabinoid-enhancing activity.
PMID- 18053727
TI - Novel anti-HIV cyclotriazadisulfonamide derivatives as modeled by ligand- and
receptor-based approaches.
AB - Computer-aided prediction of new anti-HIV compounds, derived from substructures
of 2-amino-6-arylsulfonylbenzonitriles and cyclotriazadisulfonamide analogues,
has been reported. A ligand-based approach, namely MIA-QSAR, and a docking
evaluation were used to model the title compounds, macrocycles containing a
trisubstituted benzene moiety. According to the MIA-QSAR method, predicted
potencies for proposed compounds were up to seven times higher than that of the
experimentally most active compound of training set. Moreover, we have used
docking approaches to study the binding orientations and predict binding
affinities of these compounds in CD4 receptor.
PMID- 18053728
TI - Trypanocidal activity of a new pterocarpan and other secondary metabolites of
plants from Northeastern Brazil flora.
AB - Two hundred fifteen compounds isolated from plants of Northeastern Brazil flora
have been assayed against epimastigote forms of Trypanosoma cruzi, using the
tetrazolium salt MTT as an alternative method. Eight compounds belonging to four
different species: Harpalyce brasiliana (Fabaceae), Acnistus arborescens and
Physalis angulata (Solanaceae), and Cordia globosa (Boraginaceae) showed
significant activity. Among them, a novel and a known pterocarpan, a chalcone,
four withasteroids, and a meroterpene benzoquinone were the represented chemical
classes.
PMID- 18053729
TI - Synthesis of (nor)tropeine (di)esters and allosteric modulation of glycine
receptor binding.
AB - (Hetero)aromatic mono- and diesters of tropine and nortropine were prepared.
Modulation of [3H]strychnine binding to glycine receptors of rat spinal cord was
examined with a ternary allosteric model. The esters displaced [3H]strychnine
binding with nano- or micromolar potencies and strong negative cooperativity.
Coplanarity and distance of the ester moieties of diesters affected the binding
affinity being nanomolar for isophthaloyl-bistropane and nortropeines.
Nortropisetron had the highest affinity (K(A) approximately 10 nM). Two esters
displayed negative cooperativity with glycine in displacement, while three esters
of low-affinity and nortropisetron exerted positive cooperativity with glycine.
PMID- 18053730
TI - Sulfonamide-1,2,4-triazole derivatives as antifungal and antibacterial agents:
synthesis, biological evaluation, lipophilicity, and conformational studies.
AB - A series of 10 new 5-[2-(substituted sulfamoyl)-4,5-dimethoxy-benzyl]-4aryl-s
triazole-3-thiones were synthesized and evaluated for in vitro antifungal and
antibacterial activity. All compounds tested showed significant antifungal
activity against all the micromycetes, compared to the commercial fungicide
bifonazole. Differences in their activity depend on the substitution of different
reactive groups. More specifically, best antifungal activity among synthetic
analogues was shown with N-dimethylsulfamoyl group. All the compounds tested
against bacteria showed the same activity as the commercial agent streptomycin,
except for Enterobacter cloacce and Salmonella species. Chloramphenicol showed
lower bactericidal effect than the synthetic compounds. Furthermore, it is
apparent that different compounds reacted in different ways against bacteria.
Gram (-) bacteria seem to be more sensitive to these compounds than Gram (+)
species. An effort was made to correlate the above-mentioned differences in
activity with lipophilicity studies. Furthermore, molecular modeling was used to
obtain the main conformational features of this class of molecules for future
structure-activity relationship studies.
PMID- 18053731
TI - Oxazaborolidine derivatives inducing autoinducer-2 signal transduction in Vibrio
harveyi.
AB - The bioluminescence of the marine bacterium Vibrio harveyi is controlled by
quorum sensing. This effect is mediated by production, accumulation, and auto
detection of the species-specific autoinducer 1 (AI-1), autoinducer 2 (AI-2), and
the V. cholerae autoinducer 1 (CAI-1). The V. harveyi AI-2 was recently
identified as furanosyl borate diester. We synthesized several oxazaborolidine
derivatives that chemically resemble the structure of AI-2. Five oxazaborolidine
derivatives (BNO-1 to BNO-5) were tested, however only BNO-1 (3,4-dimethyl-2,5
diphenyl-1,3,2-oxazaborolidine), and BNO-5 (2-butyl-3,4-dimethyl-5-phenyl-1,3,2
oxazaborolidine) strongly induced V. harveyi bioluminescence in V. harveyi mutant
(BB170) lacking sensor 1. A dose-dependent relationship between those
oxazaborolidine derivatives and bioluminescence induction was observed with this
V. harveyi strain (BB170). BNO-1 and BNO-5 did not affect V. harveyi BB886
lacking sensor 2. Using a mutant strain which produces neither AI-1 nor AI-2 (V.
harveyi MM77) we showed that the presence of spent medium containing AI-2 is
essential for BNO-1 and BNO-5 activity. This effect was similar when introducing
the spent medium and the BNOs together or at a 3-h interval. A comparable
induction of bioluminescence was observed when using synthetic DPD (pre-AI-2) in
the presence of BNO-1 or BNO-5. The mode of action of BNO-1 and BNO-5 on
bioluminescence of V. harveyi is of a co-agonist category. BNO-1 and BNO-5
enhanced AI-2 signal transduction only in the presence of AI-2 and only via
sensor 2 cascade. BNO-1 and BNO-5 are the first oxazaborolidines reported to
affect AI-2 activity. Those derivatives represent a new class of borates which
may become prototypes of novel agonists of quorum sensing mediated by AI-2 in V.
harveyi.
PMID- 18053732
TI - The synthesis of bivalent 2beta-carbomethoxy-3beta-(3,4-dichlorophenyl)-8
heterobicyclo[3.2.1]octanes as probes for proximal binding sites on the dopamine
and serotonin transporters.
AB - 3-Aryltropanes have been widely explored for potential medications for
remediation of cocaine abuse. Research has focused predominantly on 8-azatropanes
and it is now well recognized that these compounds can be designed to manifest
varied selectivity and potency for inhibition of the dopamine, serotonin, and
norepinephrine uptake systems. We had reported that the 8-nitrogen atom present
in the 3-aryltropanes is not essential for tropanes to bind to monoamine uptake
systems. We demonstrated that compounds in which the amine had been exchanged for
an ether or a thioether retained binding potency and selectivity. We have now
designed bivalent compounds in which two tropane moieties are linked by an
intervening chain. These 8-homo- and 8-heterotropane bivalent compounds allowed a
search for adjacent tropane binding sites on the DAT as well as a further
exploration of whether the binding sites for 8-azatropanes are the same as those
for other 8-heterotropanes. A comparison of these compounds with their progenitor
tropanes cast into doubt the existence of proximal binding sites on the DAT, and
offered support for the existence of different binding sites for the 8
azatropanes compared with 8-oxa- and 8-thiatropanes. Indeed, 8-aza bivalent
tropanes inhibited DAT with potency about 10-fold lower (DAT: IC50 = 31 nM) than
their monovalent counterparts. Furthermore, bivalent ligands in which one or both
of the tropanes was devoid of an amine suffered a further loss of inhibitory
potency. We conclude that it is unlikely that there exist two tropane binding
sites in close proximity to one another on either the DAT or SERT.
PMID- 18053733
TI - Synthesis of 2-(1,1-dicyanopropen-2-yl)-6-(2-[18F]-fluoroethyl)-methylamino
naphthalene ([18F]FDDNP).
AB - 2-(1,1-dicyanopropen-2-yl)-6-(2-[18F]-fluoroethyl)-methylamino-naphthalene
([18F]FDDNP) was synthesized in a single step labeling procedure. The precursor,
2-(1,1-dicyanopropen-2-yl)-6-(2-tosyloxyoethyl)-methylamino-naphthalene, was
fluorinated with 18F in acetonitrile. After 15 min the reaction mixture was
subjected to preparative HPLC purification. The product was isolated from the
HPLC eluent with solid-phase extraction, and formulated in an ascorbic acid
solution to prevent formation of side products during formulation. Quantitative
sticking to tubing and filters was overcome by the addition of polysorbatum-80.
This formulation yielded an isotonic, pyrogen-free and sterile solution of
[18F]FDDNP. The overall decay-corrected radiochemical yield was 41+/-11% (n=22).
Radiochemical purity was >98% and the specific activity was 102+/-56 GBq/micromol
at the end of synthesis.
PMID- 18053734
TI - Expression and functional analysis of aspartate transcarbamoylase and role of de
novo pyrimidine synthesis in regulation of growth and development in Arabidopsis.
AB - Aspartate transcarbamoylase (ATCase, EC 2.1.3.2) catalyzes the committed step in
the de novo synthesis of uridine-5'-monophosphate (UMP), from which all other
pyrimidine nucleotides are made. In Arabidopsis, ATCase is encoded by a single
PYRB gene, whose expression was regulated by tissue pyrimidine availability. RT
PCR and PYRB:GUS expression profiles showed markedly increased expression of PYRB
in root tissues during the first 5days after germination, as seed pyrimidine
reserves were exhausted and de novo synthesis was required to support new growth.
Growth of seedlings in the presence of the ATCase inhibitor N-(phosphonacetyl)-l
aspartate (PALA) resulted in complete developmental arrest at the day 5 stage,
which was reversible upon addition of exogenous uracil. Arabidopsis RNAi lines
exhibiting 70-95% reductions in PYRB transcript and ATCase protein levels had
delayed growth and development, produced smaller plants with reduced root to
shoot biomass ratios, few flowers, and siliques that produced smaller seeds with
greatly reduced viability, compared with wild type plants. The severity of the
phenotype was correlated with the extent of PYRB silencing and was reversible by
pyrimidine addition. These results suggest that de novo synthesis is required,
although minimal activities, supplemented by efficient salvaging pathway
activities, are able to meet metabolic demands for pyrimdines during growth and
development. Coordinate changes in expression of salvage and catabolic pathway
genes in RNAi plants indicate that pyrimidine metabolism responds dynamically to
changes in tissue pyrimidine availability.
PMID- 18053735
TI - Response of the photosynthetic apparatus of cotton (Gossypium hirsutum) to the
onset of drought stress under field conditions studied by gas-exchange analysis
and chlorophyll fluorescence imaging.
AB - The functioning of the photosynthetic apparatus of cotton (Gossypium hirsutum)
grown during the onset of water limitation was studied by gas-exchange and
chlorophyll fluorescence to better understand the adaptation mechanisms of the
photosynthetic apparatus to drought conditions. For this, cotton was grown in the
field in Central Asia under well-irrigated and moderately drought-stressed
conditions. The light and CO(2) responses of photosynthesis (A(G)), stomatal
conductance (g(s)) and various chlorophyll fluorescence parameters were
determined simultaneously. Furthermore, chlorophyll fluorescence images were
taken from leaves to study the spatial pattern of photosystem II (PSII)
efficiency and non-photochemical quenching parameters. Under low and moderate
light intensity, the onset of drought stress caused an increase in the operating
quantum efficiency of PSII photochemistry (varphi(PSII)) which indicated
increased photorespiration since photosynthesis was hardly affected by water
limitation. The increase in varphi(PSII) was caused by an increase of the
efficiency of open PSII reaction centers (F(v)'/F(m)') and by a decrease of the
basal non-photochemical quenching (varphi(NO)). Using a chlorophyll fluorescence
imaging system a low spatial heterogeneity of varphi(PSII) was revealed under
both irrigation treatments. The increased rate of photorespiration in plants
during the onset of drought stress can be seen as an acclimation process to avoid
an over-excitation of PSII under more severe drought conditions.
PMID- 18053736
TI - Plants, MEN and SIN.
AB - In fission yeast, the onset of septation is signalled through the septum
initiation network (SIN) signaling pathway. Similarly, in budding yeast the onset
of budding is signalled through the mitotic exit network (MEN) pathway. We
previously characterized in Arabidopsis signaling elements (GTPases, kinases)
closely related to the core elements (spg1p/TEM1p, cdc7p/CDC15p) of the SIN and
MEN pathways. Our first results suggested that a plant signaling pathway must be
used to coordinate mitotic exit with cytokinesis. This review questioned the
value of such an hypothesis in a multicellular organism. The core elements (G
protein, kinase) of the SIN and MEN pathways were only detected in fungi, plants
and Mycetozoa. We also noticed that AtSGP GTPase and AtMAP3Kepsilon kinase
revealed two paralogues in Arabidopsis. Although Arabidopsis genes complement
fission yeast mutants, and Arabidopsis proteins interact with fission yeast
proteins, plants do not use these core elements to coordinate the termination of
cell division with cytokinesis. Transcriptional regulation and expression data
suggest a function for the plant SIN-like elements in the control of cell type
specification. Exploring the evolutionary conservation of an ancient signaling
pathway provides evidence that evolution has recycled regulatory elements for
elaborating a new signaling avenue.
PMID- 18053737
TI - The role of video assisted thoracoscopic pleurectomy/decortication in the
therapeutic management of malignant pleural mesothelioma.
AB - OBJECTIVE: Extrapleural pneumonectomy (EPP) has high mortality and morbidity;
radical pleurectomy decortication (P/D) carries less mortality but still
significant morbidity. This surgery is not suitable for many patients with
malignant pleural mesothelioma (MPM) for whom video assisted thoracic surgery
(VATS) offers a minimally invasive alternative. We aimed to assess the role of
VATS decortication for MPM. METHODS: Over a 9-year period 208 patients underwent
therapeutic surgery for MPM in our unit. One hundred and twelve of the patients
underwent EPP, 29 had a P/D and 67 had VATS decortication. Sixty-three of the 208
patients (EPP n=13, P/D n=8 and VATS decortication n=42) were 65 years of age or
older at the time of the operation (57 males and 6 females, age 70 (65-80)
years). In this group we analyzed perioperative morbidity and mortality and long
term survival data using the Kaplan-Meier method. RESULTS: Postoperative stay and
30-day mortality was significantly lower for VATS P/D than for EPP (14.3 days vs
36.6 days, p<0.05 and mortality 7.1% vs 23%, respectively). There was no
significant difference in the overall mean survival between the two groups (11.5
months for EPP and 14 months for VATS P/D, p=0.6). CONCLUSION: VATS decortication
should be considered in the therapeutic strategy for MPM.
PMID- 18053738
TI - Endogenous IL-10 leads to impaired bacterial clearance and reduced survival in a
murine model of chronic peritonitis.
AB - We previously observed insufficient neutrophil accumulation and a lack of TNF
alpha response at the site of infection until bacteria numbers >10(5) colony
forming units in our model of chronic murine peritonitis, suggesting a defective
host response after bacterial challenge with Klebsiella pneumoniae (Klebsiella).
The aim of this study was to determine a potentially immunosuppressive effect of
IL-10 in this model of chronic peritonitis. Balb/c animals were injected with
10(3) colony forming units Klebsiella intraperitoneally. Gentamicin (5 mg/kg/day
BID) was given subcutaneously (s.c.) for two days and then withdrawn. Animals
were treated with anti-IL-10 antibody or IgG isotype control (s.c.) before or
after Klebsiella administration. Survival was determined over 14 days. Similarly
treated animals were harvested after 48 h to obtain liver tissue, peritoneal
fluid and blood. Bacteria and neutrophil counts were determined. TNF-alpha and IL
10 were measured by ELISA. Anti-IL-10 antibody significantly increased survival
and bacterial clearance in the observed compartments. Anti-IL-10 administration
did not lead to an increase in TNF-alpha concentrations or neutrophil
accumulation at the site of infection at lower levels of Klebsiella. We conclude
that endogenous IL-10 is detrimental for survival and bacterial clearance in this
model of chronic peritonitis.
PMID- 18053740
TI - Production and comprehensive quality control of recombinant human Interleukin
1beta: a case study for a process development strategy.
AB - We describe an efficient strategy to produce high-quality proteins by using a
single large IMAC chromatography column and enzymatic His-tag removal via the
TAGZyme system in pilot scale. Numerous quality assays demonstrated a high purity
of the final product, the human cytokine Interleukin-1beta (IL-1beta). The
protein preparation was apparently free of host cell proteins, endotoxins,
protease, and aggregates. The N-terminal amino acid sequence of IL-1beta was in
full agreement with the natural mature form of IL-1beta. The homogeneity of the
product was further shown by X-ray structure determination which confirmed the
previously solved structure of the protein. We propose the applied workflow as a
strategy for industrial production of protein-based biopharmaceuticals.
PMID- 18053739
TI - Transcriptional regulation of Th17 cell differentiation.
AB - The paradigm of effector T helper cell differentiation into either Th1 or Th2
lineages has been profoundly shaken by the discovery of T cells that secrete IL
17 and other inflammatory cytokines. This subset, referred to as Th17, is
centrally involved in autoimmune disease and is important in host defense at
mucosal surfaces. In mouse, a series of cytokines, including IL-6, IL-21, IL-23,
and TGF-beta, function sequentially or synergistically to induce the Th17
lineage. Other cytokines, including IL-2, IL-4, IFNgamma, and IL-27, inhibit
differentiation of this lineage. Here we review how the nuclear orphan receptor
RORgammat functions to coordinate the diverse cytokine-induced signals and thus
controls Th17 cell differentiation.
PMID- 18053741
TI - Role of the virulence plasmid pR99 and the metalloprotease Vvp in resistance of
Vibrio vulnificus serovar E to eel innate immunity.
AB - Vibrio vulnificus biotype 2 serovar E (VSE) is a bacterial pathogen that produces
a haemorrhagic septicaemia called vibriosis in eels. Its ability to grow in blood
is conferred by a recently described virulence plasmid [Lee CT, Amaro C, Wu KM,
Valiente E, Chang YF, Tsai SF, et al. A common virulence plasmid in biotype 2
Vibrio vulnificus and its dissemination aided by a conjugal plasmid. Journal of
Bacteriology, submitted for publication.]. In this study, we analyzed the role of
this plasmid together with the role played by the metalloprotease (Vvp) in the
interaction between bacteria and eel innate immunity. To this end, we compared
and statistically analyzed the differences in resistance to serum and mucus
factors (complement, selected antimicrobial peptides, transferrin and lysozyme)
and also to phagocytosis/opsonophagocytosis between one VSE strain and its
derivatives: a plasmid-cured strain and a vvp-deficient mutant. The wild-type and
the metalloprotease-deficient strains were resistant to both the bactericidal
action of fresh serum and the phagocytosis and opsonophagocytosis by eel
phagocytes, confirming that Vvp is not involved in resistance to eel innate
immunity. In contrast, the cured strain was sensitive to both the bactericidal
action of eel serum activated by the alternative pathway and
phagocytosis/opsonophagocytosis. Since no plasmid-encoded ORF, with homology to
known genes, is related to the resistance to innate immunity [Lee CT, Amaro C, Wu
KM, Valiente E, Chang YF, Tsai SF, et al. A common virulence plasmid in biotype 2
Vibrio vulnificus and its dissemination aided by a conjugal plasmid. Journal of
Bacteriology, submitted for publication.], this function could be codified by one
or more new genes. Further studies are underway to characterize the plasmid
encoded system responsible for V. vulnificus resistance to the innate immune
system of eels.
PMID- 18053742
TI - Tibialis posterior EMG activity during barefoot walking in people with neutral
foot posture.
AB - The aim of this study was to characterize the electromyographic (EMG) profile of
tibialis posterior during barefoot walking in order to establish a reference
database for neutral foot posture. Fifteen participants had their foot posture
screened using the six-item Foot Posture Index. Bipolar intramuscular electrodes
were inserted into tibialis posterior and peroneus longus utilizing ultrasound
guidance. Surface electrodes were placed over medial gastrocnemius, peroneus
brevis and tibialis anterior. EMG and footswitch gait characteristics were
recorded whilst participants completed 10 barefoot walking trials. Individual and
grand ensemble averages were used to characterize the intensity profiles for each
muscle. Results indicated that for most of the participants, tibialis posterior
displayed two bursts of EMG activity, with the first burst during the initial
contact phase and the second burst during midstance. However, there was
significant variability between participants. The grand ensemble average for
tibialis posterior was comparable to peroneus longus which displayed similar
temporal and intensity characteristics. It is suggested that this may reflect a
synergistic relationship between these muscles during stance phase, although this
was not consistent for all participants. Further research is required to
determine if this relationship is altered in abnormal foot posture and whether it
is clinically important. In conclusion, the EMG profile of tibialis posterior
during the gait cycle appeared to be highly variable among participants. However,
the authors believe that EMG findings from the participants with neutral foot
posture in this study may be used for comparison to EMG patterns in people with
abnormal foot posture and individuals affected by musculoskeletal disease.
PMID- 18053743
TI - Older adults employ alternative strategies to operate within their maximum
capabilities when ascending stairs.
AB - Older people may operate much closer to their maximum capabilities than young
adults when ascending stairs due to their lower maximum musculoskeletal
capabilities. The purpose of this study was to establish the joint moment and
range of motion demands of stair ascent relative to maximum capabilities in
elderly and young adults. Fifteen elderly (mean age 75 years) and 17 young adult
(mean age 25 years) participants ascended a purpose-built 4-step staircase with
force platforms embedded into the steps and kinematic data was acquired using
motion capture. Maximum musculoskeletal capabilities were assessed using a
dynamometer. This study showed for the first time that stair ascent approaches
the joint moment limits at the ankle in both young and older participants (
approximately 90%). One of the most important and novel findings of this study
was that elderly people were only capable of meeting the high demands by adopting
a number of alternative strategies not observed in young adults: (i) applying the
joint moments differently than young adults across the knee and ankle, (ii)
translocating energy from the knee to the ankle, thereby enhancing the ankle
joint moment upon maximum demand and (iii) by enabling the plantarflexors to act
over a more favourable portion of the moment-angle relation upon maximum ankle
joint moment demand. The elderly displayed a more cautious strategy to optimize
positional stability during stair ascent, by maintaining a smaller separation
between the centre of mass and centre of pressure in the frontal plane. It seems
that elderly people may meet the demands of unaided stair ascent by adopting a
number of alternative strategies to compensate for their reduced musculoskeletal
capabilities.
PMID- 18053744
TI - Language experience shapes early electrophysiological responses to visual
stimuli: the effects of writing system, stimulus length, and presentation
duration.
AB - How language experience affects visual word recognition has been a topic of
intense interest. Using event-related potentials (ERPs), the present study
compared the early electrophysiological responses (i.e., N1) to familiar and
unfamiliar writings under different conditions. Thirteen native Chinese speakers
(with English as their second language) were recruited to passively view four
types of scripts: Chinese (familiar logographic writings), English (familiar
alphabetic writings), Korean Hangul (unfamiliar logographic writings), and
Tibetan (unfamiliar alphabetic writings). Stimuli also differed in lexicality
(words vs. non-words, for familiar writings only), length (characters/letters vs.
words), and presentation duration (100 ms vs. 750 ms). We found no significant
differences between words and non-words, and the effect of language experience
(familiar vs. unfamiliar) was significantly modulated by stimulus length and
writing system, and to a less degree, by presentation duration. That is, the
language experience effect (i.e., a stronger N1 response to familiar writings
than to unfamiliar writings) was significant only for alphabetic letters, but not
for alphabetic and logographic words. The difference between Chinese characters
and unfamiliar logographic characters was significant under the condition of
short presentation duration, but not under the condition of long presentation
duration. Long stimuli elicited a stronger N1 response than did short stimuli,
but this effect was significantly attenuated for familiar writings. These results
suggest that N1 response might not reliably differentiate familiar and unfamiliar
writings. More importantly, our results suggest that N1 is modulated by visual,
linguistic, and task factors, which has important implications for the visual
expertise hypothesis.
PMID- 18053745
TI - Hemispheric asymmetries in eye-hand coordination.
AB - Manual asymmetries in limb kinematics and eye-hand coordination have usually been
attributed to differences in online processing capabilities between the left and
the right cerebral hemisphere. In the present fMRI experiment, we examined in
right handers the brain areas involved in eye-hand coordination with either the
left or the right hand. Although temporal and spatial accuracy was equal for left
and right-hand movements, manual asymmetries were found in behavioral and
neurophysiologic data, suggesting an asymmetric mode of control for left vs.
right eye-hand coordination. For left eye-hand coordination, peak velocity and
saccade completion occurred earlier than for the contralateral movements,
suggesting that there was more time needed for homing-in on the target. When
using the right hand, there was more activation in occipital areas. This might
indicate a more intense visual processing or visualization of the target
locations. When using the left hand, there was more activation in sensorimotor
areas, frontal areas and cerebellum. This might point toward more processing
effort. Left-hand movements may be considered as more difficult than right-hand
movements by right-handed participants. Alternatively and more likely, these
findings might reflect a difference in attention or resources attributed to
different aspects of the tasks because of the different functional
specializations of both hand/hemisphere systems.
PMID- 18053746
TI - Model-free characterization of brain functional networks for motor sequence
learning using fMRI.
AB - Neuroimaging experiments have identified several brain regions that appear to
play roles in motor learning. Here we apply a novel multivariate analytical
approach to explore the dynamic interactions of brain activation regions as
spatio-temporally coherent functional networks. We acquired BOLD fMRI signal
during explicit motor sequence learning task to characterize the adaptive
functional changes in the early phase of motor learning. Subjects practiced a 10
digit, visually cued, fixed motor sequence during 15 consecutive 30 s practice
blocks interleaved with similarly cued random sequence blocks. Tensor Independent
Component Analysis (TICA) decomposed the data into statistically independent
spatio-temporal processes. Two components were identified that represented task
related activations. The first component showed decreasing activity of a fronto
parieto-cerebellar network during task conditions. The other exclusively related
to sequence learning blocks showed activation in a network including the
posterior parietal and premotor cortices. Variation in expression of this
component across individual subjects correlated with differences in behavior.
Relative deactivations also were found in patterns similar to those described
previously as "resting state" networks. Some of these deactivation components
also showed task- and time-related modulations and were related to the behavioral
improvement. The spatio-temporal coherence within these networks suggests that
their elements are functionally integrated. Their anatomical plausibility and
correlation with behavioral measures also suggest that this approach allows
characterization of the interactions of functional networks relevant to the task.
Particular value for multi-variant, model-free methods such as TICA lies in the
potential for generating hypotheses regarding functional anatomical networks
underlying specific behaviors.
PMID- 18053748
TI - Proposing a manuscript peer-review checklist.
AB - BACKGROUND: In the Internet-able era, reviewers are faced with an increased
number of manuscripts and decreased time to review. In order to maintain the
same, if not higher level of quality in the peer-review process, a net gain in
productivity is required. Our goal is to present a manuscript peer-review
checklist to help reviewers achieve this secondary yet critical task in a more
systematic fashion. METHODS: To this end, we have compiled, structured and
processed information from six reference standards and guidelines as well as
directives from 21 peer-reviewed journals and conferences, resulting in a 71
criteria checklist. We ensured that criteria were assessable based on the
verification, validation and evaluation paradigm. RESULTS: The checklist is
presented in the manuscript, along with a description of a review workflow.
FINDINGS: It is hoped that the checklist will be widely disseminated, and we are
looking for feedback on validation and improvements in order to perform a
quantitative study on productivity gains using this tool.
PMID- 18053747
TI - Spatial patterns of brain atrophy in MCI patients, identified via high
dimensional pattern classification, predict subsequent cognitive decline.
AB - Spatial patterns of brain atrophy in mild cognitive impairment (MCI) and
Alzheimer's disease (AD) were measured via methods of computational neuroanatomy.
These patterns were spatially complex and involved many brain regions. In
addition to the hippocampus and the medial temporal lobe gray matter, a number of
other regions displayed significant atrophy, including orbitofrontal and medial
prefrontal grey matter, cingulate (mainly posterior), insula, uncus, and temporal
lobe white matter. Approximately 2/3 of the MCI group presented patterns of
atrophy that overlapped with AD, whereas the remaining 1/3 overlapped with
cognitively normal individuals, thereby indicating that some, but not all, MCI
patients have significant and extensive brain atrophy in this cohort of MCI
patients. Importantly, the group with AD-like patterns presented much higher rate
of MMSE decline in follow-up visits; conversely, pattern classification provided
relatively high classification accuracy (87%) of the individuals that presented
relatively higher MMSE decline within a year from baseline. High-dimensional
pattern classification, a nonlinear multivariate analysis, provided measures of
structural abnormality that can potentially be useful for individual patient
classification, as well as for predicting progression and examining multivariate
relationships in group analyses.
PMID- 18053749
TI - Molecular systematics of South American dolphins Sotalia: sister taxa
determination and phylogenetic relationships, with insights into a multi-locus
phylogeny of the Delphinidae.
AB - The evolutionary relationships among members of the cetacean family Delphinidae,
the dolphins, pilot whales and killer whales, are still not well understood. The
genus Sotalia (coastal and riverine South American dolphins) is currently
considered a member of the Stenoninae subfamily, along with the genera Steno
(rough toothed dolphin) and Sousa (humpbacked dolphin). In recent years, a
revision of this classification was proposed based on phylogenetic analysis of
the mitochondrial gene cytochrome b, wherein Sousa was included in the
Delphininae subfamily, keeping only Steno and Sotalia as members of the
Stenoninae subfamily. Here we investigate the phylogenetic placement of Sotalia
using two mitochondrial genes, six autosomal introns and four Y chromosome
introns, providing a total of 5,196 base pairs (bp) for each taxon in the
combined dataset. Sequences from these genomic regions were obtained for 17
delphinid species, including at least one species from each of five or six
currently recognized subfamilies plus five odontocete outgroup species. Maximum
Parsimony, Maximum Likelihood and Bayesian phylogenetic analysis of independent
(each fragment) and combined datasets (mtDNA, nuDNA or mtDNA+nuDNA) showed that
Sotalia and Sousa fall within a clade containing other members of Delphininae,
exclusive of Steno. Sousa was resolved as the sister taxon to Sotalia according
to analysis of the nuDNA dataset but not analysis of the mtDNA or combined
mtDNA+nuDNA datasets. Based on the results from our multi-locus analysis, we
offer several novel changes to the classification of Delphinidae, some of which
are supported by previous morphological and molecular studies.
PMID- 18053750
TI - Multigenic phylogeographic divergence in the paleoendemic southern Appalachian
opilionid Fumontana deprehendor Shear (Opiliones, Laniatores, Triaenonychidae).
AB - The paleoendemic opilionid Fumontana deprehendor is restricted to a small area of
mid-elevation forested habitats in the southern Blue Ridge province of the
Appalachian Mountains. In a recent study we reported on the discovery of 22 new
montane populations of this monotypic genus, specimens from which exhibit
remarkably little morphological divergence despite their separation by
intervening lowlands and large riverine barriers. Here, we further explore
spatial and temporal patterns of divergence in this taxon using DNA sequence data
from a portion of the mitochondrial cytochrome c oxidase subunit I gene (
approximately 1000 bp) and full-length sequences of both nuclear ribosomal
internal transcribed spacer regions, including the intervening 5.8S rRNA region (
approximately 700 bp total). Bayesian phylogenetic analyses of these independent
data sets reveal congruent genealogical patterns, with all data partitioning and
combination strategies consistently recovering five allopatric, geographically
cohesive genetic clades. These clades show an almost complete lack of internal
genetic divergence, with most individuals sharing a clade-specific, regionally
widespread haplotype. The geographic distribution of these clades corresponds to
patterns seen in other upland taxa of the region, possibly indicating coincident
vicariance. Because of a lack of quantifiable morphological divergence and
relatively modest levels of genetic divergence, we conservatively refer to the
geographically cohesive genetic clades as "phylogeographic units", although these
may actually represent cryptic species. Conservation implications and the
prospect for future comparative arachnid phylogeography in the southern
Appalachians are discussed in light of the results presented here.
PMID- 18053751
TI - Phylogenetic position of the enigmatic genus Psilorhynchus (Ostariophysi:
Cypriniformes): evidence from the mitochondrial genome.
PMID- 18053753
TI - Characterisation of Aft1 a Fot1/Pogo type transposon of Aspergillus fumigatus.
AB - In recent years the filamentous fungus Aspergillus fumigatus has become a
significant cause of infection in man and as such has become the focus of much
study. It is thought to be the leading mould pathogen in leukaemia and transplant
patients and is responsible for mortality in a large number of individuals with
immunological disorders. In an attempt to develop molecular mutagenesis tools for
assessment of this organism, the genome of A. fumigatus was analysed to identify
possible functional transposable elements. An apparently intact Fot1/Pogo type
transposon with 65% identity to the active Tan1 element of Aspergillus niger was
identified and designated Aft1. Aft1 is a 1.9kb element present in multiple (>20)
highly conserved copies. It encodes a 332 amino acid transposase which contains
all the functional motifs required for transposition. In addition, the
transposase was expressed in cultures grown at 37 degrees C in all three strains
assessed and excision analysis suggests Aft1 may be active and of use in
transposon tagging experiments. Southern hybridisation patterns indicate that
Aft1 is widely distributed amongst clinical isolates of A. fumigatus with
considerable variation in genomic localisation. A comprehensive analysis of the
genomic localisation of Aft1 in the sequenced strain AF293 show that one
insertion is 30 bases upstream of a predicted gene encoding a G-protein coupled
receptor. Expression analysis indicates that this gene has been inactivated by
the insertion.
PMID- 18053755
TI - [Preimplantation genetic diagnosis (PGD): between fantasy and imprecation!].
PMID- 18053756
TI - Sonochemically assisted synthesis of zinc-doped maghemite.
AB - Nanoparticles of zinc-doped maghemite were prepared using ultrasonic radiation.
As a precursor, a suspension of maghemite in an alkaline aqueous solution of zinc
nitrate at pH 9 was sonicated. The zinc-doped maghemite nanoparticles were
investigated by X-ray diffraction, Mossbauer spectroscopy, high-resolution
electron microscopy (HREM) and SQUID magnetometry. The Mossbauer measurements,
which cover the temperature range 4.2 K to room temperature, were acquired in
zero field and an applied field of 5 T. The results show that by using ultrasound
radiation, zinc Zn2+ can substitute for Fe3+ up to a composition close to zinc
ferrite (ZnFe2O4), which has a random distribution of Fe3+ ions over both A and B
sublattices in the spinel structure with an inversity parameter of delta=0.322.
This leads to a maximum saturation magnetization (Ms) of 64.1 emu/g at 300 K and
73.5 emu/g at 2 K.
PMID- 18053757
TI - Dawning of a new era: photomorphogenesis as an integrated molecular network.
AB - Plant growth is shaped by the dynamic integration of environmental,
developmental, and metabolic cues. Information from many of these input pathways
feeds into the highly connected network of small molecule phytohormones. Signal
transduction components for most plant hormones are known and mapping of hormone
interactions within the network is well underway. Recent investigations of
seedling photomorphogenesis, using well-established physiological and genetic
tools in combination with sophisticated application of newer genomic
technologies, provide a systems-level view of early seedling development.
Factors, such as light, the circadian clock, and organ-specific developmental
programs, profoundly influence the hormone network. The integrative approaches
described here clarify the mechanisms of signal integration while revealing the
flexibility of such relationships.
PMID- 18053758
TI - Genotoxicity testing of fluconazole in vivo and in vitro.
AB - The genotoxic effects of the antifungal drug fluconazole (trade name triflucan)
were assessed in the chromosome aberration (CA) test in mouse bone-marrow cells
in vivo and in the chromosome aberration, sister chromatid exchange (SCE) and
micronucleus (MN) tests in human lymphocytes. Fluconazole was used at
concentrations of 12.5, 25.0 and 50.0 mg/kg for the in vivo assay and 12.5, 25.0
and 50.0 microg/ml were used for the in vitro assay. In both test systems, a
negative and a positive control (MMC) were also included. Six types of structural
aberration were observed: chromatid and chromosome breaks, sister chromatid
union, chromatid exchange, fragments and dicentric chromosomes. Polyploidy was
observed in both the in vivo and in vitro systems. In the in vivo test,
fluconazole did not significantly increase the frequency of CA. In the in vitro
assays, CA, SCE and MN frequencies were significantly increased in a dose
dependent manner compared with the negative control. The mitotic, replication and
cytokinesis-block proliferation indices (CBPI) were not affected by treatments
with fluconazole. According to these results, fluconazole is clastogenic and
aneugenic in human lymphocytes, but these effects could not be observed in mice.
Further studies should be conducted in other test systems to evaluate the full
genotoxic potential of fluconazole.
PMID- 18053759
TI - Temporal variation in the genotoxic potential of urban air particulate matter.
AB - The main aim of this study was to compare the genotoxic potential of organic
extracts from urban air particles collected in three different sampling periods
in the center of Prague (Czech Republic). For this purpose, we analyzed the DNA
adduct forming activity of extractable organic matter (EOM) from urban air
particles <10 microm (PM10) in the human hepatoma cell line HepG2. DNA adducts
were analyzed by (32)P-postlabelling with nuclease P1 enrichment. PM10
concentrations were 36.9 microg/m(3), 62.6mug/m(3) and 39.0 microg/m(3), in
summer 2000, winter 2001 and winter 2005, respectively. The corresponding EOM
contents were 5.0 microg/m(3) (13.9% of PM10), 14.9 microg/m(3) (23.8%) and 6.7
microg/m(3) (17.2%). The total DNA adduct levels induced by 10 microg EOM/ml were
4.7, 19.5 and 37.2 adducts/10(8) nucleotides in summer 2000, winter 2001 and
winter 2005, respectively. However, when the EOM quantities per cubic meter of
air were taken into consideration, the summer sample exhibited a 10-fold lower
genotoxicity than did those of winter, while the difference between the winter
samples was not significant: 23.4 in summer 2000, 291 in winter 2001 and 249 in
winter 2005 (in relative units). Although the PM10 concentration in air and the
EOM content in particles in winter 2005 were significantly lower than in winter
2001, the genotoxic potential of the ambient air in these samples was almost
equal. There were significant positive correlations between the B[a]P and c-PAH
content in EOM from various sampling periods and the total DNA adduct levels
detected in the EOM-treated samples. These findings support the hypothesis that
the B[a]P and c-PAH content in EOM is the most important factor that determines
its genotoxic potential. Thus, estimating the genotoxic potential of the ambient
air and predicting health risk should be based mainly on the c-PAH concentration
and the biological activity of the extracts, while the mass of particles and the
EOM content do not seem to be crucial determinants of ambient air genotoxicity.
PMID- 18053752
TI - The role of protein synthesis in memory consolidation: progress amid decades of
debate.
AB - A major component of consolidation theory holds that protein synthesis is
required to produce the synaptic modification needed for long-term memory
storage. Protein synthesis inhibitors have played a pivotal role in the
development of this theory. However, these commonly used drugs have unintended
effects that have prompted some to reevaluate the role of protein synthesis in
memory consolidation. Here we review the role of protein synthesis in memory
formation as proposed by consolidation theory calling special attention to the
controversy involving the non-specific effects of a group of protein synthesis
inhibitors commonly used to study memory formation in vivo. We argue that
molecular and genetic approaches that were subsequently applied to the problem of
memory formation confirm the results of less selective pharmacological studies.
Thus, to a certain extent, the debate over the role of protein synthesis in
memory based on interpretational difficulties inherent to the use of protein
synthesis inhibitors may be somewhat moot. We conclude by presenting avenues of
research we believe will best provide answers to both long-standing and more
recent questions facing field of learning and memory.
PMID- 18053760
TI - Spectroscopic investigations into degradation of polymer membranes for fuel cells
applications.
AB - The research was focused on synthesis of proton conductive, easily degradable
polymer membranes, which can be used as a model system to verify the efficiency
of transition metal ions (TMI) in prevention of polymer degradation. Two polymers
composed of 2-hydroxyethyl methacrylate (HEMA), 2-acrylamido-2-methyl-1-propane
sulfonic acid (AMPS), and styrenesulfonic acid (SS) were synthesized. The
copolymers were characterized by gel permeation chromatography (GPC), elementary
analysis, and FTIR and fluorescence spectroscopies. The results allowed
determination of weight-average molecular weight and the copolymer composition.
The protons of sulfonic groups were substituted by paramagnetic transition metal
ions of various spin states (Cr(3+), S=3/2 and Mn(2+), S=5/2) with the loading
varying from 0.5 up to 10 mol%. The effectiveness of spin catalysis was checked
by EPR. The results obtained indicate enhancement of polymer stability in the
presence of Mn(2+).
PMID- 18053761
TI - Ring inversion, structural stability and vibrational assignments of sulfolane c
C4H8SO2 and 3-sulfolene c-C4H6SO2.
AB - The structural stability of sulfolane (tetrahydrothiophene1,1-dioxide) and 3
sulfolene (dihydrothiophene1,1-dioxide) was investigated by DFT-B3LYP and ab
initio MP2 calculations with 6-311+G**) basis set. The calculated symmetric ring
puckering potential of 3-sulfolene at the B3LYP level is consistent with a flat
minimum that corresponds to a planar ring but at the MP2 level with a double
minimum with a low barrier of about 193 cal mol(-1) to ring planarity in
reasonable agreement with experimental results. From the calculations at the two
levels of theory sulfolane was predicted to exist predominantly in the twist
conformation. The vibrational wavenumbers were calculated at the MP2/6-31G**
level of theory and the potential energy distributions PED among the symmetry
coordinates of the normal modes were computed for the low-energy structure of the
molecules. Complete vibrational assignments were provided on the basis of the
calculated PED values. The experimental infrared and Raman spectra of the two
molecules were compared to the calculated ones.
PMID- 18053762
TI - Predicting computerized physician order entry system adoption in US hospitals:
can the federal mandate be met?
AB - OBJECTIVES: The purpose of this study is four-fold. First, the hospitals' current
level of computerized physician order entry (CPOE) adoption is reported; second,
internal and external influence factors' roles in determining CPOE adoption rates
are described; third, the future diffusion rate of CPOE systems in US hospitals
is empirically predicted; finally, the current technology's state-of-the-art is
assessed. DATA SOURCE: Secondary data from 3 years of the Leapfrog Group's annual
survey (2002-2004) of US tertiary-care hospitals. STUDY DESIGN: This study
estimates CPOE market penetration rates applying technology diffusion theory and
Bass modeling techniques for three future CPOE adoption scenarios-'Optimistic,'
'Best estimate', and 'Conservative' are empirically derived. PRINCIPAL FINDINGS:
Two of the CPOE adoption scenarios have diffusion S-curve that indicates a
technology will achieve significant market penetration. Under current conditions,
CPOE adoption in urban hospitals will not reach 80% penetration until 2029.
CONCLUSIONS: The promise of improved quality of care through medication error
reductions and significant cost controls prompted the Institute of Medicine to
call for universal CPOE adoption by 1999. However, the CPOE products available as
of 2006 represent only a 'second generation technology', characterized by many
limitations. Without increased external and internal pressures, such CPOE systems
are unlikely to achieve full diffusion in US hospitals in a timely manner.
Alternatively, developing a new generation of CPOE technology that is more 'user
friendly' and easily integrated into hospitals' legacy systems may be a more
expedient approach to achieving widespread adoption.
PMID- 18053763
TI - Cortical excitability in Duchenne muscular dystrophy.
AB - OBJECTIVE: To investigate the probable cortical excitability changes in DMD by
electrophysiological means. METHODS: Sixteen cases with DMD, 10 age-matched
control children (CC) and 10 healthy adult volunteers (AC) were studied with a
transcranial magnetic stimulation (TMS) test battery composed of central
conduction time, cortical silent period and paired TMS paradigm. RESULTS: There
were no significant differences between DMD and CC groups except for lower
amplitude motor responses in DMD cases. These two groups showed a similar pattern
of excitability with less short interval intracortical inhibitions and shorter
silent period durations as compared to the AC subjects. CONCLUSIONS: The
electrophysiological tests performed in our DMD patients did not reveal
abnormalities caused particularly by the disorder. SIGNIFICANCE: TMS excitability
studies performed in DMD boys may not provide findings other than those related
to the developmental age.
PMID- 18053764
TI - Intraoperative neurophysiological monitoring of the spinal cord during spinal
cord and spine surgery: a review focus on the corticospinal tracts.
AB - Recent advances in technology and the refinement of neurophysiological
methodologies are significantly changing intraoperative neurophysiological
monitoring (IOM) of the spinal cord. This review will summarize the latest
achievements in the monitoring of the spinal cord during spine and spinal cord
surgeries. This overview is based on an extensive review of the literature and
the authors' personal experience. Landmark articles and neurophysiological
techniques have been briefly reported to contextualize the development of new
techniques. This background is extended to describe the methodological approach
to intraoperatively elicit and record spinal D wave and muscle motor evoked
potentials (muscle MEPs). The clinical application of spinal D wave and muscle
MEP recordings is critically reviewed (especially in the field of Neurosurgery)
and new developments such as mapping of the dorsal columns and the corticospinal
tracts are presented. In the past decade, motor evoked potential recording
following transcranial electrical stimulation has emerged as a reliable technique
to intraoperatively assess the functional integrity of the motor pathways.
Criteria based on the absence/presence of potentials, their morphology and
threshold-related parameters have been proposed for muscle MEPs. While the debate
remains open, it appears that different criteria may be applied for different
procedures according to the expected surgery-related morbidity and the ultimate
goal of the surgeon (e.g. total tumor removal versus complete absence of
transitory or permanent neurological deficits). On the other hand, D wave changes
-when recordable--have proven to be the strongest predictors of maintained
corticospinal tract integrity (and therefore, of motor function/recovery).
Combining the use of muscle MEPs with D wave recordings provides the most
comprehensive approach for assessing the functional integrity of the spinal cord
motor tracts during surgery for intramedullary spinal cord tumors. However,
muscle MEPs may suffice to assess motor pathways during other spinal procedures
and in cases where the pathophysiology of spinal cord injury is purely ischemic.
Finally, while MEPs are now considered the gold standard for monitoring the motor
pathways, SEPs continue to retain value as they provide specificity for assessing
the integrity of the dorsal column. However, we believe SEPs should not be used
exclusively--or as an alternative to motor evoked potentials--during spine
surgery, but rather as a complementary method in combination with MEPs. For
intramedullary spinal tumor resection, SEPs should not be used exclusively
without MEPs.
PMID- 18053765
TI - Assessing patients' satisfaction and intensity of pain as outcomes in the
management of cancer-related pain.
AB - This study evaluated clinical outcomes in the management of cancer pain in terms
of pain severity, pain relief and satisfaction with pain management. It was
conducted in a large oncology hospital in Athens, Greece and utilized the
American Pain Society's Patient Outcome Questionnaire. Information was also
collected about the quality of interpersonal relationships with nurses through
qualitative comments. A convenience sample of 70 hospitalized adult patients
constituted the study population. Despite the high levels of pain observed
indicating ineffective treatment, the subjects reported to be "satisfied" or
"very satisfied" with the management of their pain and the general care given.
Several significant differences and relationships were found between the
variables examined. Nurses had developed therapeutic relationships and effective
communication with the patients. Further, qualitative comments made by 17
patients provided rich data of rational and humanistic aspects of nursing.
Medical and nursing curricula and clinical training in Greece should put more
emphasis on pain management. Also, efforts should be channeled so that the
management of pain receives a more organised and formal attention in clinical
settings. The establishment of pain clinics in Hellenic oncology facilities is a
clinical priority.
PMID- 18053766
TI - Therapeutic strategies in the management of patients with chronic hepatitis B
virus infection.
AB - Currently available options for the treatment of chronic hepatitis B virus (HBV)
infection include standard and pegylated interferon alfa and four oral antiviral
agents (lamivudine, adefovir, entecavir, and telbivudine). These treatment
strategies are either therapies of finite duration that aim to achieve sustained
off-therapy responses, or long-term treatments that aim to maintain on-therapy
remission. Pegylated interferon alfa may offer higher sustained off-therapy
responses after 1 year, but most patients do not respond. Oral antivirals are the
only candidates for long-term treatment of patients with chronic HBV infection.
Viral suppression has favourable effects on patients' outcome and modifies the
natural history of the disease. Viral resistance is the main drawback of long
term antiviral therapy. Lamivudine monotherapy is associated with higher
resistance (year 1, 10-27%; year 2, 37-48%; year 4, 60-65%) than adefovir (year
1, 0%; year 2, 3%; year 5, 29%) or telbivudine (year 1, 3-4%; year 2, 9-22%).
Entecavir resistance is rare in naive individuals (year 4, <1%), but increases
over time in lamivudine-resistant patients (year 4, 43%). The best strategy for
long-term therapy in chronic HBV infection has yet to be established.
PMID- 18053767
TI - Lack of effects of vagus nerve stimulation on drug-resistant epilepsy in eight
pediatric patients with autism spectrum disorders: a prospective 2-year follow-up
study.
AB - Vagus nerve stimulation (VNS) therapy has been reported to reduce seizure
frequency in some children with drug-resistant epilepsy who are not suitable
candidates for epilepsy surgery. It has been suggested that there may be positive
cognitive and/or behavioral effects independent of seizure control. We describe
the effects of VNS with respect to seizure frequency, cognition, and autistic
symptoms and behavior in eight children and adolescents with medically
intractable epilepsy and autism. In comparison to baseline, seizure frequency had
not decreased in anyone in our series at the 2-year follow-up. In three cases,
minor improvements in general functioning were noted, but there were no positive
cognitive effects. This open prospective pilot study highlights the need for more
prospective studies to prevent false expectations of improvement in this severely
disabled group.
PMID- 18053768
TI - Supraventricular tachycardia after left atrial ablation of persistent atrial
fibrillation: what is the mechanism?
PMID- 18053769
TI - Lethal atrioesophageal fistula after pulmonary vein isolation using high
intensity focused ultrasound (HIFU).
PMID- 18053770
TI - Acute defibrillation performance of a novel, non-transvenous shock pathway in
adult ICD indicated patients.
AB - OBJECTIVES: The purpose of this study was to evaluate the efficacy of a totally
subcutaneous, anteroposterior defibrillation shock pathway using a long time
constant shock waveform that emulates a proposed device having approximately
twice the capacitance and thus twice the available energy of traditional
transvenous devices. BACKGROUND: A non-transvenous defibrillation system
potentially offers advantages over a transvenous system including simplification
of the implant procedure and reduction of the impact of device complications by
eliminating the need to place a lead within the heart. Previous non-transvenous
defibrillation efficacy studies have been reported using anterolateral and
anterior-anterior shock vectors. An external anteroposterior shock vector has
demonstrated superior efficacy compared to anterolateral shock vectors but a
prospective study on an anteroposterior shock vector with implanted electrodes
has not been previously reported. METHODS: The non-transvenous shock vector
consisted of an anterior low pectorally-placed active can emulator electrode and
a posterior subcutaneous coil electrode. The shock waveform was a biphasic with
50% tilt per phase and a time constant of decay of 12 ms. Defibrillation efficacy
was characterized using a step-down defibrillation threshold protocol (35 J, 25
J, 15 J). RESULTS: A total of 33 patients with standard ICD indications were
enrolled in the study with 32 fully completing the protocol. The patient
population was 69% male, with a mean age of 59 +/- 12 years. Mean ejection
fraction was 27 +/- 12%. Of the 32 patients tested, 26 patients (81%) were
successfully defibrillated at 35 J or less, 18 patients were defibrillated at 25
J or less and 9 patients were successfully defibrillated at 15 J. CONCLUSIONS:
Defibrillation using a long time-constant waveform delivered through an
anteroposterior non-transvenous pathway including a pectoral active can emulator
electrode and a posterior subcutaneous coil electrode is feasible with over 80%
of patients defibrillated successfully using 35 J or less.
PMID- 18053771
TI - Percutaneous epicardial ablation of atrial fibrillation.
PMID- 18053772
TI - Sprint Fidelis 6949 high-voltage lead appears to be prone to early failure.
PMID- 18053773
TI - Entering the contract research industry in India.
AB - India is getting to be known as a hot destination for executing clinical trials.
It is witnessing the frenzied entry of pharma sponsor companies and contract
research organizations and the movement of Indian non-healthcare groups into
clinical research. In this mad melee, what are the determinants of success? How
real is the promise of clinical research in India and what will make or break a
new entrant in this business? This article attempts to describe these challenges
and focuses on the resilient success criteria that the contract clinical research
industry in India has tested every newcomer against.
PMID- 18053774
TI - A two stage conditional power adaptive design adjusting for treatment by
covariate interaction.
AB - During the design and planning phase of clinical trials, researchers often assume
that no covariate by treatment interaction exists. This assumption has led to
many trials being underpowered to detect such interactions and perhaps inaccurate
interpretation of treatment effects. We propose a two-stage adaptive design that
incorporates the likely existence of a treatment by covariate interaction into
the design and implementation of the clinical trial. The information in stage 1
is used to test for the presence of the covariate by treatment interaction. A
statistically significant interaction influences how the second stage of the
trial will be implemented, thereby aiding in the full understanding and
consequently, an accurate interpretation of the treatment effect. We examine the
statistical properties of the proposed design using a binary outcome under
different types of covariate by treatment interactions and treatment allocation
schemes. A conditional power approach is used to prevent inflation of the overall
trial type I error rate while maintaining adequate statistical power conditional
on the statistically significant interaction.
PMID- 18053775
TI - Spatial and temporal expression of Zimp7 and Zimp10 PIAS-like proteins in the
developing mouse embryo.
AB - ZIMP7 and ZIMP10 are two novel human PIAS-like proteins that share a similarity
beyond the SP-RING Zn-finger domain that characterizes the PIAS family. This
extended similarity is conserved in proteins of several other species and define
an independent subfamily. ZIMP10 has been shown to increase the sumoylation of
the androgen receptor (AR) leading to a stimulation of AR-mediated transcription.
The Drosophila tonalli (tna) is the ortholog gene of ZIMP7 and ZIMP10 and
presents genetic interactions with the SWI-SNF complex. Mutations in the tna gene
produce flies with homeotic phenotypes. In this study, we determined the spatial
temporal expression pattern of Zimp7 and Zimp10 in mouse embryos from embryonic
day 7.5 (E7.5), to mid-gestation. We found that these two genes are extensively
expressed during these embryonic days and present partially overlapping patterns
with a predomination of the transcripts in the neural tissues at early stages and
a drop of expression at E12.5. Unlike other PIAS proteins, the tonalli-related
Zimp genes might be essential for development. Comparison of conserved motifs in
Zimp7 and Zimp10 protein sequences identified characteristic family domains that
might be related to their specific biological roles, besides their common role
previously identified in the sumoylation pathway.
PMID- 18053777
TI - Editorial for CF pregnancy guidelines.
PMID- 18053776
TI - Nucleotide excision repair and the degradation of RNA pol II by the
Caenorhabditis elegans XPA and Rsp5 orthologues, RAD-3 and WWP-1.
AB - The Caenorhabditis elegans rad-3 gene was identified in a genetic screen for
radiation sensitive (rad) mutants. Here, we report that the UV sensitivity of rad
3 mutants is caused by a nonsense mutation in the C. elegans orthologue of the
human nucleotide excision repair gene XPA. We have used the xpa-1/rad-3 mutant to
examine how a defect in nucleotide excision repair (NER) perturbs development. We
find that C. elegans carrying a mutation in xpa-1/rad-3 are hypersensitive and
hypermutable in response to UV irradiation, but do not display hypersensitivity
to oxidative stress or show obvious developmental abnormalities in the absence of
UV exposure. Consistent with these observations, non-irradiated xpa-1 mutants
have a similar lifespan as wild type. We further show that UV irradiated xpa-1
mutants undergo a stage-dependent decline in growth and survival, which is
associated with a loss in transcriptional competence. Surprisingly,
transcriptionally quiescent dauer stage larvae are able to survive a dose of UV
irradiation, which is otherwise lethal to early stage larvae. We show that the
loss of transcriptional competence in UV irradiated xpa-1 mutants is associated
with the degradation of the large RNA polymerase II (RNA pol II) subunit, AMA-1,
and have identified WWP-1 as the putative E3 ubiquitin ligase mediating this
process. The absence of wwp-1 by itself does not cause sensitivity to UV
irradiation, but it acts synergistically with a mutation in xpa-1 to enhance UV
hypersensitivity.
PMID- 18053778
TI - Acute intestinal obstruction as a presentation of cystic fibrosis in infancy.
AB - Intestinal obstruction and dysmotility occur throughout life in cystic fibrosis
but rarely present as an acute obstruction beyond the neonatal period. We
describe the previously unreported occurrence of acute obstruction of the sigmoid
colon as a presenting feature of cystic fibrosis (CF) in a 6-month infant.
PMID- 18053779
TI - Fast and direct quantification of adrenal steroids by tandem mass spectrometry in
serum and dried blood spots.
AB - We present a fast and reproducible method for steroid analysis (corticosterone,
deoxycorticosterone, progesterone, 17alpha-hydroxyprogesterone, 11-deoxycortisol,
21-deoxycortisol, androstenedione, testosterone, dihydrotestosterone and
cortisol) in small volumes of serum and in dried blood spot samples by LC-MS/MS.
No derivatisation was needed. LC separation was achieved by using an Atlantis C18
column and water-methanol-formic acid gradient as a mobile phase and a flow rate
of 250 microL/min over a run time of 6 min. Steroids were measured in MRM mode
with electrospray interface (positive ion mode). Validation showed excellent
precision, sensitivity, recovery and linearity with coefficients of determination
r2>0.992.
PMID- 18053780
TI - Mechanism and kinetics of apatite formation on nanocrystalline TiO2 coatings: a
quartz crystal microbalance study.
AB - Apatite (Ca5(PO4)3OH) has long been considered as an excellent biomaterial to
promote bone repairs and implant. Apatite formation induced by negatively charged
nanocrystalline TiO2 coatings soaked in simulated body fluid (SBF) was
investigated using in situ quartz crystal microbalance (QCM), scanning electron
microscopy (SEM), Fourier-transformed infrared spectroscopy (FTIR), X-ray
diffraction (XRD) and energy-dispersive X-ray spectroscopy (EDX) techniques, and
factors affecting its formation such as pH, size of TiO2 particles and thickness
of TiO2 coatings, were discussed in detail. Two different stages were clearly
observed in the process of apatite precipitation, indicating two different
kinetic processes. At the first stage, the calcium ions in SBF were initially
attracted to the negatively charged TiO2 surface, and then the calcium titanate
formed at the interface combined with phosphate ions, consequently forming
apatite nuclei. After the nucleation, the calcium ions, phosphate ions and other
minor ions (i.e. CO3(2-) and Mg2+) in supersaturated SBF deposited spontaneously
on the original apatite coatings to form apatite precipitates. In terms of the in
situ frequency shifts, the growth-rate constants of apatite (K1 and K2) were
estimated, respectively, at two different stages, and the results were (1.96+/
0.14)x10(-3)s(-1) and (1.28+/-0.10)x10(-4)s(-1), respectively, in 1.5 SBF
solution. It was found that the reaction rate at the first stage is obviously
higher than that at the second stage.
PMID- 18053781
TI - A randomised study of ilio-inguinal nerve blocks following inguinal hernia
repair: a stopped randomised controlled trial.
AB - BACKGROUND: Local anaesthetic use for post-operative pain control is widely used
following open inguinal hernia repair but this is not without risk. The aim of
this study was to compare ilio-inguinal nerve block and wound irrigation in
patients undergoing open inguinal hernia repair under general anaesthetic in a
randomised, double blind, placebo controlled trial. METHODS: Adult patients
admitted for unilateral primary open mesh repair of an inguinal hernia were
recruited. The patients received a standard general anaesthetic. Prior to skin
incision, an ilio-inguinal injection was performed by the anaesthetist with
either ropivicaine or normal saline. Prior to closure of the wound, the wound was
irrigated with either ropivicaine or normal saline. Post-operatively, all
patients received fentynal patient controlled analgesia and regular oral
analgesia. Pain scores and visual analogue scores were recorded until discharge.
Patients were then contacted by telephone at 24h, 48h, 2weeks and 4weeks post
operatively and asked a standard series of questions, mainly related to post
operative pain. RESULTS: After 12 patients had been recruited the trial was
stopped as 5 of the 8 patients who received an ilio-inguinal nerve block suffered
a neurological complication. CONCLUSION: Ilio-inguinal nerve block with
ropivicaine should be avoided.
PMID- 18053782
TI - Solitary fibrous tumor of the liver: report of a rare case and review of the
literature.
AB - Solitary fibrous tumor of the liver is extremely rare, with only 38 cases
reported in the literature. We present one case of a SFT originating from the
caudate lobe of the liver, treated by surgical resection and review the previous
reported cases.
PMID- 18053783
TI - Abnormalities of the fetal bladder.
AB - This review aims to outline the management of a fetus in whom a distended bladder
or an absent bladder has been identified during prenatal fetal screening. The
causes, pre-delivery and immediate post-delivery treatment options are discussed,
as well as prognosis.
PMID- 18053784
TI - Experimental study on axial pedicled composite flap prefabrication with high
density porous polyethylene implants: medporocutaneous flap.
AB - Composite flaps including soft tissues with bone or cartilage are widely used in
reconstruction of three-dimensional defects, but have some disadvantages. Flap
prefabrication with alloplastic implants is an alternative procedure. Axial
pattern vascularised high density porous polyethylene (HDPP) implants are capable
of sustaining skin grafts. The purpose of this study was to examine the
vascularisation pattern of the skin island in a composite flap prefabrication
model prepared with vascularised HDPP implants. Forty male Wistar rats divided
into four groups were used. A 9.5 x 6 x 2 mm HDPP block was centered on the
dissected saphenous pedicle and anchored under the abdominal skin in the
experimental group I (n=10). In experimental group II (n=10) saphenous artery and
vein were put between the skin and the implant. Thus, the structures were laid as
skin, HDPP block, pedicle in experimental group I and skin, pedicle, HDPP block
in experimental group II. HDPP block-implanted and pedicle-implanted only groups
served as control groups I and II, respectively. Eight weeks after
prefabrication, skin islands 1.5 x 5 cm in size incorporated with implants were
elevated based on saphenous vessels in the experimental groups and skin islands
only based on the pedicle in control group II. Skin islands of the same
dimensions were raised as grafts in control group I. Nylon sheets were put under
the flaps and grafts to prevent vascularisation from the recipient bed. Flap
viability was assessed by measuring the surface area on the 7th day. Total
necrosis developed in composite grafts of control group I. Flap survival was
higher in experimental group II and control group II (45% and 46.8%) than in
group I (29.28%). Histologic studies demonstrated fibrovascular ingrowth into the
HDPP implants, except in control group I, with significant inflammatory response
and necrosis. Vascularisation of skin and implants from the pedicle was seen also
microangiographically. In conclusion, a composite flap prefabrication model
including vascularised HDPP implant, skin and vascular carrier was developed.
This new flap was termed a 'medporocutaneous flap'.
PMID- 18053785
TI - Precision and symmetry in aesthetic plastic surgery "What Immortal hand or eye
could frame thy fearful symmetry" (William Blake).
AB - Attaining symmetry is indispensable for a successful aesthetic outcome of plastic
surgical procedures. In this paper we propose a simple technique for accurate
placement of markings during surgery. This technique is simple, quick and does
not require any special instruments. The method is easily reproducible and can be
used intraoperatively to position the symmetrical points accurately.
PMID- 18053786
TI - A 6.9 Mb 1qter deletion/4.4 Mb 18pter duplication in a boy with extreme
microcephaly with simplified gyral pattern, vermis hypoplasia and corpus callosum
agenesis.
AB - We here report a boy presenting with developmental delay, growth retardation,
facial dysmorphisms, vermis hypoplasia, micropolygyria and corpus callosum
agenesis. Conventional and high resolution cytogenetic analyses were normal but
high resolution oligonucleotide array-CGH, performed at the age of 4 years,
allowed the characterisation of a de novo 6.9 Mb 1qter deletion/4.4 Mb 18pter
duplication. Numerous 1qter deletions have already been described associated with
brain malformations. Among 1q44 deleted genes, AKT3 is the strongest candidate
gene for vermis hypoplasia and corpus callosum agenesis.
PMID- 18053787
TI - [A case-control study of health benefits in patients with moderate renal
failure].
AB - In order to evaluate medical management in patients with renal failure before
dialysis, we conducted a case-control study to analyze the health benefits in 914
moderate renal failure patients with Cockcroft clearance between 30 and 60
ml/min. Health benefits reimbursed by the Social Security in this population were
compared with those in 1828 controls randomly chosen in the Social Security files
but matched by age and gender. Mean age of the participants was 73+/-11 year-old,
67% were women, Cockcroft clearance was 48+/-8 ml/min. Number of hospitalizations
and hospitalization durations were not different between the two populations.
Conversely, cases had more specialized outpatients' clinics in cardiology but not
in nephrology or urology. Cases had more biological tests and radiological exams
and had taken more medicines. For biology, cases had more often renal function
tests and markers of renal dysfunction tests than controls. Cases had taken more
medicines than controls for erythropoietin, diuretics, renin-angiotensin
blockers, hypoglycemic drugs, and anticoagulants. Patients with mild renal
failure had higher health benefits than controls for outpatients' clinics in
cardiology, for biological tests, for radiological exams, and for some medicines.
PMID- 18053788
TI - Multi-modality study of the compositional and mechanical implications of
hypomineralization in a rabbit model of osteomalacia.
AB - Osteomalacia is characterized by hypomineralization of the bone associated with
increased water content. In this work we evaluate the hypotheses that 1) 3D solid
state magnetic resonance imaging (MRI) of (31)P (SSI-PH) and (1)H (SSI-WATER) of
cortical bone can quantify the key characteristics of osteomalacia induced by low
phosphate diet; and 2) return to normophosphatemic diet (NO) results in recovery
of these indices to normal levels. Twenty female five-week old rabbits were
divided into four groups. Five animals were fed a normal diet for 8 weeks (NOI);
five a hypophosphatemic diet (0.09%) for the same period to induce osteomalacia
(HYI). To examine the effect of recovery from hypophosphatemia an additional five
animals received a hypophosphatemic diet for 8 weeks, after which they were
returned to a normal diet for 6 weeks (HYII). Finally, five animals received a
normal diet for the entire 14 weeks (NOII). The NOI and HYI animals were
sacrificed after 8 weeks, the NOII and HYII groups after 14 weeks. Cortical bone
was extracted from the left and right tibiae of all the animals. Water content
was measured by SSI-WATER and by a previously reported spectroscopic proton
deuteron nuclear magnetic resonance (NMR) exchange technique (NMR-WATER),
phosphorus content by SSI-PH. All MRI and NMR experiments were performed on a 9.4
T spectroscopy/micro-imaging system. Degree of mineralization of bone (DMB) was
measured by micro-CT and elastic modulus and ultimate strength by 3-point
bending. The following parameters were lower in the hypophosphatemic group:
phosphorus content measured by SSI-PH (9.5+/-0.4 versus 11.1+/-0.3 wt.%,
p<0.0001), ash content (63.9+/-1.7 versus 65.4+/-1.1 wt.%, p=0.05), ultimate
strength, (96.3+/-16.0 versus 130.7+/-6.4 N/mm(2), p=0.001), and DMB (1115+/-28
versus 1176+/-24 mg/cm(3), p=0.003); SSI-WATER: 16.1+/-1.5 versus 14.4+/-1.1
wt.%, p=0.04; NMR-WATER: 19.0+/-0.6 versus 17.4+/-1.2 wt.%, p=0.01. Return to a
normophosphatemic diet reduced or eliminated these differences (SSI-PH: 9.5+/-0.9
versus 10.6+/-0.8 wt.%, p=0.04; DMB: 1124+/-31 versus 1137+/-10 mg/cm(3), p=0.2;
US: 95.6+/-18.6 versus 103.9+/-7.5 N/mm(2), p=0.2; SSI-WATER: 12.4+/-0.6 versus
12.2+/-0.3 wt.%, p=0.3) indicating recovery of the mineral density close to
normal levels. Phosphorus content measured by SSI-PH was significantly correlated
with DMB measured by micro-CT (r(2)=0.47, p=0.001) as well as with ultimate
strength (r(2)=0.54, p=0.0004). The results show that the methods presented have
potential for in situ assessment of mineralization and water, both critical to
the bone's mechanical behavior.
PMID- 18053789
TI - Cost effectiveness of hormone therapy in women at high risks of fracture in
Sweden, the US and the UK--results based on the Women's Health Initiative
randomised controlled trial.
AB - OBJECTIVE: The purpose of the study was to assess the cost effectiveness of
hormone therapy (HT) for postmenopausal women without menopausal symptoms at an
increased risk of fracture in Sweden, the UK and the US. METHODS: Using a state
transition model, the cost effectiveness of 50 year old women was assessed based
on a societal perspective and the medical evidence found in the Women Health
Initiative (WHI) trials. The model had a lifetime horizon divided into cycle
lengths of 1 year and comprised the following disease states: hip fracture,
vertebral fracture, wrist fracture, breast cancer, colorectal cancer, coronary
heart disease, stroke and venous thromboembolic events. An intervention was
modelled by its impact on the disease risks during and after the cessation of
treatment. The model required data on clinical effects, risks, mortality rates,
quality of life weights and costs valid for Sweden, the UK and the US. The main
outcome of the model was cost per QALY gained of HT compared to no treatment.
RESULTS: The results indicated that HT compared to no treatment was cost
effective for most sub-groups of hysterectomised women, whereas for women with an
intact uterus without a previous fracture, HT was commonly dominated by no
treatment. Fracture risks were the single most important determinant of the cost
effectiveness results. CONCLUSIONS: HT is cost-effective in women with a
hysterectomy irrespective of prior fracture status. In women with an intact
uterus, opposed HT was cost-effective in those with a prior vertebral fracture,
but cost-ineffective in women without a prior vertebral fracture. Even though HT
is found cost-effective for a selection of osteoporotic women, it is unlikely to
be considered for first-line therapy for osteoporosis because bisphosphonates
have shown a similar reduction in fracture risks but without an increased risk of
adverse events.
PMID- 18053790
TI - Stimulation of the DNA unwinding activity of human DNA helicase II/Ku by
phosphorylation.
AB - The Ku autoantigen is a heterodimeric protein of 70- and 83-kDa subunits, endowed
with duplex DNA end-binding capacity and DNA helicase activity (Human DNA
Helicase II, HDH II). HDH II/Ku is well established as the DNA binding component,
the regulatory subunit as well as a substrate for the DNA-dependent protein
kinase DNA-PK, a complex involved in the repair of DNA double-strand breaks and
in V(D)J recombination in eukaryotes. The effects of phosphorylation by this
kinase on the helicase activity of Escherichia coli-produced HDH II/Ku were
studied. The rate of DNA unwinding by recombinant HDH II/Ku heterodimer is
stimulated at least fivefold upon phosphorylation by DNA-PK(cs). This stimulation
is due to the effective transfer of phosphate residues to the helicase rather
than the mere presence of the complex. In vitro dephosphorylation of HeLa
cellular HDH II/Ku caused a significant decrease in the DNA helicase activity of
this enzyme.
PMID- 18053791
TI - A comparative molecular dynamics analysis of the amyloid beta-peptide in a lipid
bilayer.
AB - Because the amyloid beta-peptide (Abeta) functions as approximately half of the
transmembrane domain of the amyloid precursor protein and interaction of Abeta
with membranes is proposed to result in neurotoxicity, the association of Abeta
with membranes likely is important in the etiology of Alzheimer's disease. Atomic
details of the interaction of Abeta with membranes are not accessible with most
experimental techniques, but computational methods can provide this information.
Here, we present the results of ten 100-ns molecular dynamics (MD) simulations of
the 40-residue amyloid beta-peptide (Abeta40) embedded in a
dipalmitoylphosphatidylcholine (DPPC) bilayer. The present study examines the
effects of insertion depth, protonation state of key residues, and ionic strength
on Abeta40 in a DPPC bilayer. In all cases, a portion of the peptide remained
embedded in the bilayer. In the case of deeper insertion depth, Abeta40 adopted a
near-transmembrane orientation, drawing water molecules into the bilayer to
associate with its charged amino acids. In the case of shallower insertion, the
most widely-accepted construct, the peptide associated strongly with the membrane
water interface and the phosphatidylcholine headgroups of the bilayer. In most
cases, significant disordering of the extracellular segment of the peptide was
observed, and the brief appearance of a beta-strand was noted in one case. Our
results compare well with a variety of experimental and computational findings.
From this study, we conclude that Abeta associated with membranes is dynamic and
capable of adopting a number of conformations, each of which may have
significance in understanding the progression of Alzheimer's disease.
PMID- 18053792
TI - Differential regulation of psbA and psbD gene expression, and the role of the
different D1 protein copies in the cyanobacterium Thermosynechococcus elongatus
BP-1.
AB - In Thermosynechococcus elongatus BP-1, which is the preferred organism in recent
structural studies of PSII, three psbA and two psbD genes code for three D1 and
one D2 protein isoforms, respectively. The regulation and function of these genes
and protein products is largely unknown. Therefore, we used quantitative RT-PCR
to follow changes in the mRNA level of the respective genes, in combination with
biophysical measurements to detect changes in the electron transport activity of
Photosystem II under exposure to different visible and UV light, and temperature
conditions. In cells which are acclimated to 40 micromol m(-2)s(-1) growth light
conditions at 40 degrees C the main populations of the psbA and psbD transcripts
arise from the psbA1 and psbD1 genes, respectively. When the temperature is
raised to 60 degrees C psbA1 becomes the single dominating psbA mRNA species.
Upon exposure of the cells to 500 micromol m(-2)s(-1) intensity visible light
psbA3 replaces psbA1 as the dominating psbA mRNA species, and psbD2 increases at
the expense of psbD1. UV-B radiation also increases the abundance of psbA3, and
psbD2 at the expense of psbA1 and psbD1, respectively. From the different extent
of total D1 protein loss in the absence and presence of lincomycin it was
estimated that the PsbA3 protein isoform replaces PsbA1 in about 65% of PSII
centers after 2 h of high light acclimation. Under the conditions of different
psbA transcript distributions chlorophyll fluorescence and thermoluminescence
measurements were applied to monitor charge recombination characteristics of the
S2Q(A)(-) and S2Q(B)(-) states. We obtained faster decay of flash-induced
chlorophyll fluorescence in the presence of DCMU, as well as lower peak
temperature of the Q and B thermoluminescence bands when PsbA3 replaced PsbA1 as
the main D1 protein isoform. The relevance of dynamic changes in the abundance of
psbA and psbD transcript levels, as well as D1 protein isoforms in the
acclimation of T. elongatus to changing environmental conditions is discussed.
PMID- 18053793
TI - Identification of new functions of Ca2+ release from intracellular stores in
central nervous system.
AB - Ca(2+) release from intracellular stores regulates muscle contraction and a vast
array of cell functions, but its role in the central nervous system (CNS) has not
been completely elucidated. A new method of blocking IP(3) signaling by
artificially expressing IP(3) 5-phosphatase has been used to clarify the
functions of intracellular Ca(2+) mobilization in CNS. Here I review two of such
functions: the activity-dependent synaptic maintenance mechanism and the
regulation of neuronal growth by spontaneous Ca(2+) oscillations in astrocytes.
These findings add new bases for better understanding CNS functions and suggest
the presence of as yet unidentified neuronal and glial functions that are
regulated by Ca(2+) store-dependent Ca(2+) signaling.
PMID- 18053794
TI - Specificity protein 1 (Sp1) plays role in regulating LIM homeodomain
transcription factor Lhx4 gene expression.
AB - Both Sp-family factor Specificity protein 1 (Sp1) and LIM homeodomain
transcription factor Lhx4 are involved in regulating the development of pituitary
gland and nervous system in mammals. Sp1 gene mutation results in death of mouse
embryo around day 11 of gestation, and mouse anterior pituitary development is
severely hypoplastic after Lhx4 mutation. While Sp1 interacts with the related
Lhx3 gene it is unclear whether Sp1 and Lhx4 also interact to regulate their
physiological functions. The present study demonstrates that Lhx4 promoter is
TATA-less and GC-rich and these sequences are conserved in different species. We
have shown using site-directed mutagenesis and the Dual-Glo Luciferase Assay
System that within the -515 to +36bp basic activity regions of hLhx4 promoter the
GC boxes were important for Sp1 regulation of the hLhx4 promoter. The
electrophoretic mobility shift assay (EMSA) and chromatin immunoprecipitation
(ChIP) experiments confirmed that Sp1 interacted with Lhx4 by directly binding to
GC boxes located in Lhx4 promoter. We conclude Sp1 directly regulates Lhx4 gene
expression.
PMID- 18053795
TI - Interaction sites among phospholamban, sarcolipin, and the sarco(endo)plasmic
reticulum Ca(2+)-ATPase.
AB - A robust cross-link between Gln(23) in phospholamban (PLN) and Lys(328) in the
sarco(endo)plasmic reticulum Ca(2+) ATPase (SERCA1a) is formed in the presence or
absence of oxidant and is susceptible to both PLN phosphorylation and SERCA1a
Ca(2+) binding. This cross-link provides precisely the evidence needed to support
our earlier proposal that collision of the PLN transmembrane helix at Asn(27)
with the cytosolic extension of M4 at Leu(321) leads to unwinding of the helix.
In a study of site-specific interactions among PLN, sarcolipin (SLN), and
SERCA1a, we determined that mutations of some specific amino acids in PLN or SLN
diminish either the super-inhibition imposed on SERCA1a function by the PLN-SLN
binary complex or the physical interactions between PLN and SLN or both. These
results have led to a revision of our earlier model for the PLN-SLN-SERCA1a
complex.
PMID- 18053796
TI - Cardiac proteasome dysfunction during cold ischemic storage and reperfusion in a
murine heart transplantation model.
AB - Recent observations suggest that the ubiquitin-proteasome system (UPS)
contributes to the pathophysiology of myocardial ischemia-reperfusion injury.
Since its regulation during cold ischemia-reperfusion is unknown, we evaluated
the cardiac UPS in a model of heart transplantation in mice. Cardiac
ubiquitylation rates and ubiquitin-protein conjugates increased after 3h of cold
ischemia (CI) and normalized post-transplant. 20S proteasome content and
proteasome peptidase activities were unchanged after CI. 4h/24h post-transplant
20S proteasome concentrations decreased and chymotryptic-like but not tryptic
like proteasome peptidase activity was inactivated. Epoxomicin sensitivity of the
proteasome increased 5.7-fold during CI and normalized 4h/24h post-transplant.
This was accompanied by the disappearance of a 13.5 kDa-ubiquitin-conjugate
during CI that could be attenuated by addition of epoxomicin to the preservation
fluid. We conclude that substrate specificity of the proteasome changes during
cold ischemia and that proteasome inhibition preserves the physiological
ubiquitin-protein conjugate pool during organ preservation. Reduced proteasome
activity during reperfusion is caused by a decrease in proteasome content and
enzyme inhibition.
PMID- 18053797
TI - Apple aminopropyl transferase, MdACL5 interacts with putative elongation factor 1
alpha and S-adenosylmethionine synthase [corrected].
AB - Several lines of evidence suggest different allocations of the physiological
roles of aminopropyl transferase genes, SPMS and ACL5 in plants. To get deeper
insights into the physiological role of apple ACL5 (MdACL5), we performed yeast
two-hybrid (Y2H) assay to identify proteins which interact with MdACL5. After
intense screening processes, including the swapping of the bait and prey vectors
and in vitro coimmunoprecipitation, we identified three MdACL5-interacting
proteins: putative translation elongation factor 1A (eEF-1A), putative S-adenosyl
l-methionine synthetase (SAMS) and an unknown protein. Results from Y2H and RNA
gel blot analysis suggested the involvement of MdACL5 and eEF-1A or SAMS
complexes in the plant growth and development of the organized tissues and/or
organs.
PMID- 18053798
TI - Rosiglitazone transiently disturbs calcium homeostasis in monocytic cells.
AB - The PPARgamma agonist Rosiglitazone exerts anti-hyperglycaemic effects by
regulating the long-term expression of genes involved in metabolism,
differentiation and inflammation. In the present study, Rosiglitazone treatment
rapidly inhibited (5-30 min) the ER Ca(2+) ATPase SERCA2b in monocytic cells
(IC(50)=1.88 microM; p<0.05), thereby disrupting short-term Ca(2+) homeostasis
(resting [Ca(2+)](cyto)=121.2+/-2.9% basal within 1h; p<0.05). However, extended
Rosiglitazone treatment (72 h) induced dose-dependent SERCA2b up-regulation, and
restored calcium homeostasis, in monocytic cells (SERCA2b mRNA: 138.7+/-5.7%
basal (1 microM)/215.0+/-30.9% basal (10 microM); resting [Ca(2+)](cyto)=97.3+/
8.3% basal (10 microM)). As unfavourable cardiovascular outcomes, possibly
related to disrupted cellular Ca(2+) homeostasis, have been linked to
Rosiglitazone, this effect may be of clinical interest. In contrast, in PPRE
luciferase reporter-gene assays, Rosiglitazone induced non-dose-dependent
PPARgamma-dependent effects (1 microM: 152.5+/-4.9% basal; 10 microM: 136.1+/
5.1% basal (p<0.05 for 1 microM vs. 10 microM)). Thus, we conclude that
Rosiglitazone can exert PPARgamma-independent non-genomic effects, such as the
SERCA2b inhibition seen here, but that long-term Rosiglitazone treatment did not
perturb resting [Ca](cyto) in this study.
PMID- 18053799
TI - Leukotriene C4 synthase promoter driven expression of GFP reveals cell
specificity.
AB - Leukotriene C(4) synthase is a key enzyme in leukotriene biosynthesis. Its gene
has been cloned and mapped to mouse chromosome 11. Expression occurs in cells of
myeloid origin and also in the choroid plexus, the hypothalamus and the medial
eminence of mouse brain. In this study a vector that expresses enhanced green
fluorescent protein (eGFP) under the control of the mouse leukotriene C(4)
synthase promoter was constructed and used to study promoter activity in
different cell lines. Specific eGFP expression was observed in human monocytic
leukemia (THP-1) and rat basophilic leukemia (RBL-1) myeloid cells which both
express leukotriene C(4) synthase, but not in human embryonic kidney (HEK293/T)
epithelial cells which do not express this enzyme. In the myeloid cells, but not
in the epithelial cells, we observed that the leukotriene C(4) synthase promoter
activity was stimulated by 12-O-tetradecanoylphorbol-13-acetate and all-trans
retinoic acid. In contrast dimethyl sulfoxide did not affect promoter activity.
PMID- 18053800
TI - Role of non-kinase activity of myosin light-chain kinase in regulating smooth
muscle contraction, a review dedicated to Dr. Setsuro Ebashi.
AB - Myosin light-chain kinase (MLCK) of smooth muscle consists of an actin-binding
domain at the N-terminal, the catalytic domain in the central portion, and the
myosin-binding domain at the C-terminal. The kinase activity is mediated by the
catalytic domain that phosphorylates the myosin light-chain of 20kDa (MLC20),
activating smooth muscle myosin to interact with actin. Although the regulatory
role of the kinase activity is well established, the role of non-kinase activity
derived from actin-binding and myosin-binding domains remains unknown. This
review is dedicated to Dr. Setsuro Ebashi, who devoted himself to elucidating the
non-kinase activity of MLCK after establishing calcium regulation through
troponin in skeletal and cardiac muscles. He proposed that the actin-myosin
interaction of smooth muscle could be activated by the non-kinase activity of
MLCK, a mechanism that is quite independent of MLC20 phosphorylation. The authors
will extend his proposal for the role of non-kinase activity. In this review, we
express MLCK and its fragments as recombinant proteins to examine their effects
on the actin-myosin interaction in vitro. We also down-regulate MLCK in the
cultured smooth muscle cells, and propose that MLC20 phosphorylation is not
obligatory for the smooth muscle to contract.
PMID- 18053801
TI - Inhibition of tumor-stromal interaction through HGF/Met signaling by valproic
acid.
AB - Hepatocyte growth factor (HGF), which is produced by surrounding stromal cells,
including fibroblasts and endothelial cells, has been shown to be a significant
factor responsible for cancer cell invasion mediated by tumor-stromal
interactions. We found in this study that the anti-tumor agent valproic acid
(VPA), a histone deacetylase (HDAC) inhibitor, strongly inhibited tumor-stromal
interaction. VPA inhibited HGF production in fibroblasts induced by epidermal
growth factor (EGF), platelet-derived growth factor, basic fibroblast growth
factor, phorbol 12-myristate 13-acetate (PMA) and prostaglandin E(2) without any
appreciable cytotoxic effect. Other HDAC inhibitors, including butyric acid and
trichostatin A (TSA), showed similar inhibitory effects on HGF production
stimulated by various inducers. Up-regulations of HGF gene expression induced by
PMA and EGF were also suppressed by VPA and TSA. Furthermore, VPA significantly
inhibited HGF-induced invasion of HepG2 hepatocellular carcinoma cells. VPA,
however, did not affect the increases in phosphorylation of MAPK and Akt in HGF
treated HepG2 cells. These results demonstrated that VPA inhibited two critical
processes of tumor-stromal interaction, induction of fibroblastic HGF production
and HGF-induced invasion of HepG2 cells, and suggest that those activities serve
for other anti-tumor mechanisms of VPA besides causing proliferation arrest,
differentiation, and/or apoptosis of tumor cells.
PMID- 18053802
TI - Redox-dependent structural ambivalence of the cytoplasmic domain in the inner ear
specific cadherin 23 isoform.
AB - Cadherin 23 (Cdh23), an essential factor in inner ear mechano-electric
transduction, exists in two alternatively spliced forms, Cdh23(+68) and Cdh23(
68), depending on the presence and absence of exon 68. Cdh23(+68) is inner ear
specific. The exon 68-corresponding region confers an alpha-helical configuration
upon the cytoplasmic domain (Cy) and includes a cysteine residue, Cys(3240). We
demonstrate here that Cy(+68) as well as the transmembrane (TM) plus Cy(+68)
region is present in two different forms in transfected cells, reduced and non
reduced, the latter existing in more compact configuration than the former. The
observed characteristic of Cy(+68) was completely abolished by Cys(3240)Ala
substitution. Treatment of TMCy(+68)-transfected cells with diethyl maleate, a
glutathione depleting reagent, resulted in conversion of the non-reduced to the
reduced form of TMCy(+68), suggesting glutathione to be a Cys(3240)-binding
partner. Multiple alignment of mammalian Cdh23Cy sequences indicated the
occurrence of conformation-inducible Cys in Cdh23Cy of mammals, but not lower
vertebrates. The implications of Cys-dependent structural ambivalence of Cdh23 in
inner ear mechanosensation are discussed.
PMID- 18053803
TI - Anaerobic alkane-degrading strain AK-01 contains two alkylsuccinate synthase
genes.
AB - The sulfate-reducing strain AK-01 activates alkanes via addition of the
subterminal carbon to the double bond of fumarate. This reaction is similar to
the action of the glycyl radical enzyme benzylsuccinate synthase (Bss). It was
hypothesized that strain AK-01 possesses a similar enzyme. Degenerate bssA
primers and inverse PCR were used to amplify two unlinked genes (assA1 and
assA2), which encode catalytic subunits of glycyl radical type enzymes.
Subsequent genome sequencing of AK-01 revealed two ass operons. SDS-PAGE analysis
of AK-01 grown on n-hexadecane revealed a 95-kDa protein which is absent in
hexadecanoate-grown cells. LC-MS/MS data obtained from a tryptic digest of this
protein match the deduced amino acid sequence encoded by assA1, thus confirming
AssA1's involvement in alkane metabolism. This report is the first description of
a gene involved in anaerobic n-alkane metabolism in a sulfate-reducer and
provides evidence for a novel glycyl radical enzyme.
PMID- 18053804
TI - Comparative effects of sodium pyrithione evoked intracellular calcium elevation
in rodent and primate ventral horn motor neurons.
AB - Oral administration of sodium pyrithione (NaP) causes hindlimb weakness in
rodents, but not in primates. Previous work using Aplysia neurons has
demonstrated that NaP produces a persistent influx of Ca(2+) ions across the
plasma membrane. To determine whether this also occurs in mammalian neurons and
whether this could underlie the inter-species difference between rodents and
primates, we have tested the effects of NaP on intracellular Ca(2+) levels
([Ca(2+)](i)) in rat and monkey motor neurons in vitro. Motor neurons present in
spinal cord slices from rhesus monkey embryos (E37 and 56) and from rat E16 were
dissected and cultured on glass coverslips. Following 2 weeks (rhesus) or 2-3
days (rat) in culture, neurons were loaded with fura-PE3/AM, and examined for
[Ca(2+)](i) changes in response to NaP. Rhesus motor neurons were identified by
immunostaining for Islet-1 (MN specific antigen) and neuron specific enolase
(NSE). Motor neurons from both species exhibited dose-dependent NaP-evoked
increases in [Ca(2+)](i) However, the dose-response curve for the Rhesus motor
neurons was significantly shifted to the right of the rat dose-response curve,
whereas the overall amplitude of the Ca(2+) rise was similar in both species. As
shown previously for the Aplysia neurons, the action of NaP is attenuated by SKF
96365, an inhibitor of store-operated calcium entry. In contrast the action of
NaP is unaffected by nifedipine and tetrodotoxin, blockers of voltage-dependent
Ca(2+) and Na(+) channels, respectively, or by ouabain, an inhibitor of the
plasma membrane Na(+)/K(+) ATPase. Our results indicate that the NaP-induced
increase in [Ca(2+)](i) is conserved across species and suggest that the
toxicological sensitivity of rodent over primate to pyrithione could be due to
the enhanced sensitivity of rodent motor neurons to NaP-evoked intracellular
Ca(2+) elevation.
PMID- 18053805
TI - Secretory signal sequence non-optimal codons are required for expression and
export of beta-lactamase.
AB - In this study we altered the codon usage in the signal sequence of the bla gene,
encoding beta-lactamase in Escherichia coli. Changing all of the thirteen non
optimal codons to optimal lowered expression 4-fold as measured by minimum
inhibitory concentration (MIC) to the beta-lactam antibiotic ampicillin. The
difference in ampicillin resistance was reduced at 28 degrees C compared to
expression at 37 degrees C, suggesting that the optimised bla allele is misfolded
and degraded by heat-shock regulated proteases. A screen was carried out,
designed specifically to identify revertants with changes in codon usage
resulting in higher MIC to ampicillin. The nine revertants revealed by this
method all had optimal to non-optimal codon changes in the signal sequence. These
results, and those of our previous study with maltose binding protein model
system, confirm that non-optimal codons are important for expression and export
of secretory proteins via both the SecB-dependent and -independent pathways.
PMID- 18053806
TI - A citrus polymethoxyflavonoid, nobiletin, is a novel MEK inhibitor that exhibits
antitumor metastasis in human fibrosarcoma HT-1080 cells.
AB - The activation of mitogen-activated protein/extracellular signal-regulated kinase
(MEK) is well known to be associated with tumor invasion and metastasis. We
previously reported that a polymethoxyflavonoid, nobiletin (5,6,7,8,3',4'
hexamethoxyflavone), derived from Citrus depressa (Hayata), inhibits the
phosphorylation of MEK and thereby suppresses matrix metalloproteinase (MMP)
expression in a tumor-metastasis stimulator, 12-O-tetradecanoyl phorbol 13
acetate (TPA)-stimulated human fibrosarcoma HT-1080 cells [Mol. Cancer Ther. 3
(2004) 839-847]. In the present study, we investigated whether or not nobiletin
might directly influence MEK activity to exhibit the antitumor metastatic
activity in vitro. MEK kinase assay using myelin basic protein (MBP) revealed
that TPA-augmented MEK activity in HT-1080 cells and that the augmented MEK
activity was diminished by nobiletin treatment. In addition, the decrease in MEK
activity caused by nobiletin was found to inhibit the phosphorylation of
extracellular regulated kinases (ERK), a downstream signaling factor for MEK.
Furthermore, when an immunoprecipitated active MEK was incubated with nobiletin
under cell-free conditions, nobiletin was found to inhibit the MEK-mediated MBP
phosphorylation. In contrast, other citrus polymethoxyflavonoids such as 3
hydroxy-5,6,7,8,3',4'-hexamethoxyflavone (natsudaidain) and 3,5,6,7,8,3',4'
heptamethoxyflavone, did not directly inhibit MEK activity. Moreover,
natsudaidain and 3,5,6,7,8,3',4'-heptamethoxyflavone exhibited no or less
inhibitory effect than nobiletin on the proMMP-9/progelatinase B production in HT
1080 cells. Therefore, these results provide novel evidence that nobiletin
directly inhibits MEK activity and decreases the sequential phosphorylation of
ERK, exhibiting the antitumor metastatic activity by suppressing MMP expression
in HT-1080 cells.
PMID- 18053807
TI - Laboratory differentiation of Cushing's syndrome.
AB - Cushing's syndrome (CS) is a complex of signs and symptoms due to chronic
glucocorticoid excess from a variety of causes. Although CS is considered a rare
disease, recent studies have suggested that it may be more frequent than
previously expected in various clinical settings (i.e. subjects suffering from
diabetes, osteoporosis or metabolic syndrome). If confirmed in large population
based studies, more widespread screening for CS may be warranted. Missed
diagnosis of CS may have detrimental consequences because hypercortisolism, even
if not clinically apparent, increases the probability of future cardiovascular
events through induction/amplification of several risk factors (hypertension,
central adiposity, thrombophilic state, etc.). Identifying CS has represented one
of the most challenging problems for the clinical endocrinologist since no test
is 100% sensitive and specific. This review article will be focus on diagnostic
laboratory procedures that support a rationale approach in the screening
evaluation and in the differential diagnosis of the endogenous CS.
Notwithstanding the difficulties derived from laboratory reliability and the
adoption of a hormonal cut-off close to the sensitivity of many commercially
available assays, an increasing amount of data have provided novel information
aimed to meet the demand of inexpensive, convenient and reliable laboratory
procedures.
PMID- 18053808
TI - Distinct association of SLC19A1 polymorphism -43T>C with red cell folate levels
and of MTHFR polymorphism 677C>T with plasma folate levels.
AB - OBJECTIVES: The role of SLC19A1 -43T>C, MTHFR 677C>T and MS 2756A>G polymorphisms
on red cell and plasma folate levels. DESIGN AND METHODS: Genotype analysis of
the three polymorphisms. Red cell and plasma folate measurements in 64 patients
with coronary artery disease. RESULTS: The non-wild type allele of SLC19A1
polymorphism -43T>C was associated with low red cell folate levels and the non
wild type allele of MTHFR polymorphism 677C>T with low plasma folate levels.
CONCLUSION: SLC19A1 and MTHFR genes are differently associated with red cell and
plasma folate levels.
PMID- 18053809
TI - Poly(dG)-poly(dC) DNA appears shorter than poly(dA)-poly(dT) and possibly adopts
an A-related conformation on a mica surface under ambient conditions.
AB - Three types of DNA: approximately 2700 bp polydeoxyguanylic olydeoxycytidylic
acid [poly(dG)-poly(dC)], approximately 2700 bp polydeoxyadenylic
polydeoxythymidylic acid [poly(dA)-poly(dT)] and 2686 bp linear plasmid pUC19
were deposited on a mica surface and imaged by atomic force microscopy. Contour
length measurements show that the average length of poly(dG)-poly(dC) is
approximately 30% shorter than that of poly(dA)-poly(dT) and the plasmid. This
led us to suggest that individual poly(dG)-poly(dC) molecules are immobilized on
mica under ambient conditions in a form which is likely related to the A-form of
DNA in contrast to poly(dA)-poly(dT) and random sequence DNA which are
immobilized in a form that is related to the DNA B-form.
PMID- 18053810
TI - Characterization of a late gene, ORF67 from Bombyx mori nucleopolyhedrovirus.
AB - Open reading frame 67 of Bombyx mori nucleopolyhedrovirus (BmORF67) is a
homologue of Autographa californica multiple NPV ORF81. The gene is conserved
among all baculoviruses and is thus considered a baculovirus core gene. The
transcript of BmORF67 was detected at 18-72 h post-infection (p.i.). Polyclonal
antiserum raised to a His-BmORF67 fusion protein recognized BmORF67 in infected
cell lysates from 24 to 72 h p.i., suggesting that BmORF67 is a late gene.
BmORF67 was not detected either in budded viruses or occlusion-derived virus.
Immunofluoresence analysis showed that the protein located in the cytoplasm and
interacted with host protein actin A3. In conclusion, BmORF67 is a late protein
localized in the cytoplasm of infected cells that interacts with host protein.
PMID- 18053811
TI - Dissection of the functional interaction between p53 and the embryonic proto
oncoprotein PAX3.
AB - Studies from murine embryogenesis and cancer cells derived from human melanomas
have identified a critical role for the transcription factor PAX3 in the
suppression of p53 protein accumulation and p53-dependent apoptosis. Here we
show, using a well-defined over-expression system, that PAX3 suppresses p53
dependent transcription from promoters of p53-responsive genes, notably BAX and
HDM2-P2, and reduces p53 protein abundance by promoting its degradation. We
define the functional domains of PAX3 required for this activity, and furthermore
present evidence that PAX3-dependent inhibition of p53 is independent of binding
of the N-terminal domain of p53 to HDM2, the primary negative regulator of
cellular p53 activity.
PMID- 18053813
TI - Cytostatic drugs differentially affect phenotypic features of porcine coronary
artery smooth muscle cell populations.
AB - We studied the effects of cytostatic drugs on porcine coronary artery spindle
shaped (S) and rhomboid (R) smooth muscle cell (SMC) biological activities
related to intimal thickening (IT) formation. Imatinib, and to a lesser extent
curcumin, decreased proliferation of S- and R-SMCs and migratory and urokinase
activities of R-SMCs more efficiently compared with cyclosporine plus rapamycin.
Imatinib increased the expression of alpha-smooth muscle actin in both SMC
populations and that of smoothelin in S-SMCs. It decreased S100A4 expression in R
SMCs. By promoting SMC quiescence and differentiation imatinib and curcumin may
represent valid candidates for restenosis preventive and therapeutic strategies.
PMID- 18053812
TI - Inflammation and insulin resistance.
AB - Obesity-induced chronic inflammation is a key component in the pathogenesis of
insulin resistance and the Metabolic syndrome. In this review, we focus on the
interconnection between obesity, inflammation and insulin resistance. Pro
inflammatory cytokines can cause insulin resistance in adipose tissue, skeletal
muscle and liver by inhibiting insulin signal transduction. The sources of
cytokines in insulin resistant states are the insulin target tissue themselves,
primarily fat and liver, but to a larger extent the activated tissue resident
macrophages. While the initiating factors of this inflammatory response remain to
be fully determined, chronic inflammation in these tissues could cause localized
insulin resistance via autocrine/paracrine cytokine signaling and systemic
insulin resistance via endocrine cytokine signaling all of which contribute to
the abnormal metabolic state.
PMID- 18053814
TI - The amino acids involved in the distinct carbohydrate specificities between
macrophage galactose-type C-type lectins 1 and 2 (CD301a and b) of mice.
AB - Binding specificities of mouse macrophage galactose-type C-type lectin 1
(MGL1/CD301a) and 2 (MGL2/CD301b) toward various oligosaccharides were compared
by frontal affinity chromatography. MGL1 preferentially bound oligosaccharides
containing Lewis(X) (Le(X)) trisaccharides among 111 oligosaccharides tested,
whereas MGL2 preferentially bound globoside Gb4. The important amino acids for
the preferential bindings were investigated by pair-wise site-directed
mutagenesis at positions 61, 89, 97, 100, 110-113, 115, 124, and 125 in the
soluble recombinant carbohydrate recognition domains (CRD) prepared in
Escherichia coli and purified with galactose-Sepharose. Mutations of Val, Ala,
Thr, and Phe at positions 61, 89, 111 and 125 on MGL1 CRD caused reductions in
Le(X) binding. Mutations of MGL2 CRD at Leu, Arg, Arg, and Tyr at positions 61,
89, 115 and 125 were implicated in the preference for beta-GalNAc. Le(X) binding
was observed with MGL2 mutants of Arg89Ala and Arg89Ala/Ser111Thr. MGL1 mutants
of Ala89Arg and Ala89Arg/Pro115Arg showed beta-GalNAc bindings. Molecular
modeling illustrated potential direct molecular interactions of Leu61, Arg89, and
His109 in MGL2 CRD with GalNAc.
PMID- 18053815
TI - Mitochondrial dysfunction is responsible for the intestinal calcium absorption
inhibition induced by menadione.
AB - Menadione (MEN) inhibits intestinal calcium absorption by a mechanism not
completely understood. The aim of this work was to find out the role of
mitochondria in this inhibitory mechanism. Hence, normal chicks treated with one
i.p. dose of MEN were studied in comparison with controls. Intestinal calcium
absorption was measured by the in situ ligated intestinal segment technique. GSH,
oxidoreductase activities from the Krebs cycle and enzymes of the antioxidant
system were measured in isolated mitochondria. Mitochondrial membrane potential
was measured by a flow cytometer technique. DNA fragmentation and cytochrome c
localization were determined by immunocytochemistry. Data indicate that in 30
min, MEN decreases intestinal Ca(2+) absorption, which returns to the control
values after 10 h. GSH was only decreased for half an hour, while the activity of
malate dehydrogenase and alpha-ketoglutarate dehydrogenase was diminished for 48
h. Mn(2+)-superoxide dismutase activity was increased in 30 min, whereas the
activity of catalase and glutathione peroxidase remained unaltered. DNA
fragmentation and cytochrome c release were maximal in 30 min, but were recovered
after 15 h. In conclusion, MEN inhibits intestinal Ca(2+) absorption by
mitochondrial dysfunction as revealed by GSH depletion and alteration of the
permeability triggering the release of cytochrome c and DNA fragmentation.
PMID- 18053816
TI - Different patterns of in vivo pro-oxidant states in a set of cancer- or aging
related genetic diseases.
AB - A comparative evaluation is reported of pro-oxidant states in 82 patients with
ataxia telangectasia (AT), Bloom syndrome (BS), Down syndrome (DS), Fanconi
anemia (FA), Werner syndrome (WS), and xeroderma pigmentosum (XP) vs 98 control
donors. These disorders display cancer proneness, and/or early aging, and/or
other clinical features. The measured analytes were: (a) leukocyte and urinary 8
hydroxy-2'-deoxyguanosine (8-OHdG), (b) blood glutathione (GSSG and GSH), (c)
plasma glyoxal (Glx) and methylglyoxal (MGlx), and (d) some plasma antioxidants
[uric acid (UA) and ascorbic acid (AA)]. Leukocyte 8-OHdG levels ranked as
follows: WS>BS approximately FA approximately XP>DS approximately AT
approximately controls. Urinary 8-OHdG levels were significantly increased in a
total of 22 patients with BS, FA, or XP vs 47 controls. The GSSG:GSH ratio was
significantly increased in patients with WS and in young (< or =15 years)
patients with DS or with FA and decreased in older patients with DS or FA and in
AT, BS, and XP patients. The plasma levels of Glx and/or MGlx were significantly
increased in patients with WS, FA, and DS. The UA and AA levels were
significantly increased in WS and DS patients, but not in AT, FA, BS, nor XP
patients. Rationale for chemoprevention trials is discussed.
PMID- 18053817
TI - Muscle wasting in diabetic and in tumor-bearing rats: role of oxidative stress.
AB - Cachexia is a debilitating syndrome characterized by body weight loss, muscle
wasting, and anemia. Muscle wasting results from an altered balance between
protein synthesis and degradation rates. Reactive oxygen species are indicated as
crucial players in the onset of muscle protein hypercatabolism by upregulating
elements of the ubiquitin-proteasome pathway. The present study has been aimed at
evaluating comparatively the involvement of oxidative stress in the pathogenesis
of skeletal muscle wasting in two different experimental models: rats rendered
hyperglycemic by treatment with streptozotocin and rats bearing the Yoshida AH
130 ascites hepatoma. For this purpose, both tumor bearers and diabetic animals
have been treated with dehydroepiandrosterone (DHEA), a multifunctional steroid
endowed with multitargeted antioxidant properties. We show that diabetic rats and
AH-130 rats share several features, hypoinsulinemia, occurrence of oxidative
stress, and positive response to DHEA administration, although the extent of the
effects of DHEA largely differs between diabetic animals and tumor-bearing rats.
The hypercatabolism, evaluated in terms of proteasome activity and expression of
atrogin-1 and MuRF1, is activated in AH-130 rats, whereas it is lacking in
streptozotocin-treated rats. Moreover, we demonstrate that the role of oxidative
stress can interfere with muscle wasting through different mechanisms, not
necessarily involving NF-kappaB activation. In conclusion, the present results
show that, although skeletal muscle wasting occurs in both diabetic rats and
tumor-host rats, the underlying mechanisms are different. Moreover, despite
oxidative stress being detectable in both experimental models, its contribution
to muscle wasting is not comparable.
PMID- 18053818
TI - Redox-cycling compounds can cause the permeabilization of mitochondrial membranes
by mechanisms other than ROS production.
AB - The participation of reactive oxygen species (ROS) in the regulation of
mitochondrial permeability transition pore (mPTP) opening by the redox-cycling
compounds menadione and lucigenin was explored. The level of ROS was modulated by
antioxidants, anoxia, and switching the sites of the reduction of redox cyclers,
the dehydrogenases of the inner and outer mitochondrial membranes. We found that
the reduction of both lucigenin and menadione in the outer mitochondrial membrane
caused a strong production of ROS. However, mPTP opening was accelerated only in
the presence of the cationic acceptor lucigenin. The antioxidants and scavengers
of ROS that considerably decreased the level of ROS in mitochondria did not
prevent or delay the mPTP opening. If the transmembrane potential under anoxia
was supported by exogenous ATP or ferricyanide, the permeabilization of
mitochondrial membranes by menadione or lucigenin was the same as under normoxia
or even more pronounced. Under anoxia, the lucigenin-dependent permeabilization
of membranes was less sensitive to mPTP antagonists than under normoxia. We
conclude that the opening of the mPTP by redox cyclers may be independent of ROS
and is due to the direct oxidation of mitochondrial pyridine nucleotides by
menadione and the modification of critical thiols of the mPTP by the cation
radical of lucigenin.
PMID- 18053819
TI - Structural basis for the remarkable stability of Bacillus subtilis lipase (Lip A)
at low pH.
AB - Understanding the structural basis of altered properties of proteins due to
changes in temperature or pH provides useful insights in designing proteins with
improved stability. Here we report the basis for the pH-dependent thermostability
of the Bacillus subtilis lipase (Lip A) using spectroscopic and X-ray
crystallographic studies. At pH values above 7, lipase denatures and aggregates
when heated at temperatures above 45 degrees C. However, at pH below 6 lipase
denatures upon heating but the activity and its native structure is completely
recovered upon cooling. In order to obtain the structural basis of this unusual
stability of lipase, we determined high-resolution crystal structures of the
lipase in two different crystal forms at pH 4.5 and 5. These structures show
linear oligomerization of lipase using only two types of dimeric associations and
these inter-molecular interactions are completely absent in several crystal forms
of wild-type and mutant proteins obtained at basic pH. In accordance with the
crystallographic studies, spectroscopic investigations reveal an invariant
secondary structure in the pH range of 4-10. Quaternary organization of lipase at
low pH resulted in changes in the tryptophan environment and binding of 1-anilino
8-naphthalene sulfate (ANS) at low pH. Low pH stability of the lipase is not
observed in the presence of sodium chloride (>0.2 M) indicating the importance of
ionic interactions at low pH. Inter- and intra-molecular ionic interactions that
occur at pH below 6.0 are proposed to trap the molecule in a conformation that
allows its complete refolding upon cooling.
PMID- 18053820
TI - Letter from the editor: children are not little adults.
PMID- 18053821
TI - Letter from the guest editor.
PMID- 18053822
TI - Case of the season: primary epiploic appendagitis: radiological diagnosis can
avoid surgery.
PMID- 18053823
TI - Malrotation.
PMID- 18053824
TI - Intussusception in children: imaging choices.
PMID- 18053825
TI - Imaging of appendicitis in children and adolescents: useful or useless? A
comparison of imaging techniques and a critical review of the current literature.
PMID- 18053826
TI - Inflammatory bowel disease: imaging of the pediatric patient.
PMID- 18053827
TI - Imaging hepatobiliary disease in children.
PMID- 18053828
TI - Imaging pediatric abdominal tumors.
PMID- 18053829
TI - Pediatric abdominal magnetic resonance angiography.
PMID- 18053830
TI - Imaging in pediatric blunt abdominal trauma.
PMID- 18053833
TI - Pathophysiology of chronic pancreatitis.
AB - Although the most common causes of chronic pancreatitis have not changed, it has
become clear that a host of modifying biochemical, inflammatory, neural, and
genetic deviations allows the disease to progress. Alterations in biochemical
composition allow calcific stone formation, whereas various toxins, cytokines,
and neuropeptides contribute to the progression of fibrosis and pain production.
The basic cellular structure contributing to fibrosis of the pancreas has been
elucidated and factors responsible for its activation delineated. Of most
importance is the recent recognition of a set of genetic mutations that results
in several aberrations of normal pancreatic physiology, which, in conjunction
with other inciting insults or by themselves, allow the disease to begin and
progress.
PMID- 18053834
TI - The inflammatory cascade in acute pancreatitis: relevance to clinical disease.
AB - Acute pancreatitis is an inflammatory condition that is initiated by the intra
pancreatic activation of proteases. Pancreatic enzyme activation triggers a local
and systemic inflammatory response that is associated with recruitment of
inflammatory cells into the pancreas and a widespread up-regulation of
inflammatory markers in distant tissues.
PMID- 18053835
TI - Radiologic assessment of acute and chronic pancreatitis.
AB - The capabilities of various imaging modalities, including CT, MRI, and
ultrasound, have markedly increased over recent years. This has translated into
improved detection and improved characterization of various pathologic processes.
This article discusses the current role of imaging in the evaluation of acute and
chronic pancreatitis. CT remains a major focal point in issues related to acute
pancreatitis, whereas MRI (and magnetic resonance cholangiopancreatography) plays
a larger role in chronic pancreatitis.
PMID- 18053836
TI - Benign pancreatic tumors.
AB - The goal of this article is to describe the different types of benign pancreatic
neoplasms, methods to distinguish between them, and treatment options. Pancreatic
adenocarcinoma is associated with specific neoplastic lesions that are similar in
radiographic appearance to some benign lesions. The correct differentiation of
these malignant and premalignant lesions from their benign counterpart is
paramount to their proper management.
PMID- 18053837
TI - Endoscopic management of acute and chronic pancreatitis.
AB - Endoscopic therapy has been increasingly recognized as the effective therapy in
selected patients with acute pancreatitis and chronic pancreatitis (CP). Utility
of endotherapy in various conditions occurring in acute pancreatitis and CP is
discussed. Its efficacy, limitations, and alternatives are addressed. For the
best management of these complex entities, a multidisciplinary approach involving
expertise in all pancreatic specialties is essential to achieve the goal.
PMID- 18053838
TI - Nutrition support in pancreatitis.
AB - Nutrition support is especially important in patients who have pancreatitis, as
these patients have high metabolic needs and are usually unable to ingest
sufficient calories from an oral diet because of pain or intestinal dysfunction.
Clinicians must assess severity of the disease carefully, as initiation and
timing of nutrition support are crucial. Depending on the severity, early
nutrition support may be unnecessary, while late support ultimately may lead to
worse outcomes. Route of nutrition support also plays an important role in
treatment. The clinician has many alternatives from which to choose, including
enteral nutrition given nasogastrically or nasojejunally, or parenteral nutrition
given through a central line. This article explores the role of nutrition support
in the outcome of pancreatitis and provides guidelines to aid the clinician in
caring for patients who have acute and chronic pancreatitis.
PMID- 18053839
TI - Diagnosis and management of sphincter of Oddi dysfunction and pancreas divisum.
AB - Sphincter of Oddi dysfunction and pancreas divisum are very distinct anatomic
abnormalities, yet are diagnosed in similar clinical situations. While both
entities are uncommon, they are most often discovered during the evaluation of
postcholecystectomy syndrome, recurrent idiopathic pancreatitis, and biliary or
pancreatic pain when first line studies are normal. Treatment consists of
surgical sphincteroplasty or endoscopic sphincterotomy for both diagnoses, which
result in reliable relief of symptoms for most sphincter of Oddi dysfunction
patients but less predictable response in pancreas divisum.
PMID- 18053840
TI - Necrotizing pancreatitis: diagnosis and management.
AB - Necrotizing pancreatitis is a severe disease characterized by gland necrosis and
a destructive systemic inflammatory response. Early management involves
aggressive resuscitative and supportive measures. Outcomes are primarily
determined by the presence of late secondary bacterial infection of the necrotic
gland. Early empiric antibiotics and late surgical necrosectomy in the
appropriate setting are the keys to managing these sick patients. With
appropriate management, mortality can be minimized and long-term quality of life
may be restored.
PMID- 18053841
TI - Operative and nonoperative management of pancreatic pseudocysts.
AB - The management of pancreatic pseudocysts has changed greatly over the last
decade. As laparoscopic and endoscopic techniques continue to evolve, their use
in the treatment of pseudocysts has gained acceptance, whereas the role of
percutaneous drainage has become more limited. The literature on laparoscopic,
endoscopic, and percutaneous management of pancreatic pseudocyst is reviewed here
and, based on these data, a treatment algorithm is suggested.
PMID- 18053842
TI - Resectional therapy for chronic pancreatitis.
AB - The main indication for operation in the management of chronic pancreatitis is
medically intractable pain. Other indications include biliary or pancreatic
ductal obstruction (with or without pseudocyst formation), mass effect impinging
on other organs or adversely affecting their function, or diagnostic insecurity
regarding the possibility of periampullary or pancreatic neoplasms. This article
describes the current state of affairs for resectional therapy for chronic
inflammatory conditions of the pancreas.
PMID- 18053843
TI - The role of total pancreatectomy and islet autotransplantation for chronic
pancreatitis.
AB - Total pancreatectomy and islet autotransplantation are done for chronic
pancreatitis with intractable pain when other treatment measures have failed,
allowing insulin secretory capacity to be preserved, minimizing or preventing
diabetes, while at the same time removing the root cause of the pain. Since the
first case in 1977, several series have been published. Pain relief is obtained
in most patients, and insulin independence preserved long term in about a third,
with another third having sufficient beta cell function so that the surgical
diabetes is mild. Islet autotransplantation has been done with partial or total
pancreatectomy for benign and premalignant conditions. Islet autotransplantation
should be used more widely to preserve beta cell mass in major pancreatic
resections.
PMID- 18053844
TI - Management of internal and external pancreatic fistulas.
AB - A pancreatic fistula is an uncommon and challenging problem for the general
surgeon. Protean in presentation, the underlying pathophysiology of a pancreatic
duct disruption is consistent. Several basic principles, when followed, simplify
management. These tenets include medical stabilization and nutritional
optimization, definition of the underlying duct disorder, and, finally,
definitive management with or without surgery. With appropriate prompt care,
patients can achieve good outcomes.
PMID- 18053845
TI - The management of pancreatic trauma in the modern era.
AB - Pancreatic trauma presents challenging diagnostic and therapeutic dilemmas to
trauma surgeons. Injuries to the pancreas have been associated with reported
morbidity rates approaching 45%. If treatment is delayed, these rates may
increase to 60%. The integrity of the main pancreatic duct is the most important
determinant of outcome after injury to the pancreas. Undiagnosed ductal
disruptions produce secondary infections, fistulas, fluid collections, and
prolonged stays in the intensive care unit and hospital. This article analyzes
the epidemiology, diagnostic approaches, options for nonoperative and operative
management, and outcome after blunt and penetrating pancreatic trauma.
PMID- 18053846
TI - Testing limits on matte surface color perception in three-dimensional scenes with
complex light fields.
AB - We investigated limits on the human visual system's ability to discount
directional variation in complex lights field when estimating Lambertian surface
color. Directional variation in the light field was represented in the frequency
domain using spherical harmonics. The bidirectional reflectance distribution
function of a Lambertian surface acts as a low-pass filter on directional
variation in the light field. Consequently, the visual system needs to discount
only the low-pass component of the incident light corresponding to the first nine
terms of a spherical harmonics expansion [Basri, R., Jacobs, D. (2001).
Lambertian reflectance and linear subspaces. In: International Conference on
Computer Vision II, pp. 383-390; Ramamoorthi, R., Hanrahan, P., (2001). An
efficient representation for irradiance environment maps. SIGGRAPH 01. New York:
ACM Press, pp. 497-500] to accurately estimate surface color. We test
experimentally whether the visual system discounts directional variation in the
light field up to this physical limit. Our results are consistent with the claim
that the visual system can compensate for all of the complexity in the light
field that affects the appearance of Lambertian surfaces.
PMID- 18053847
TI - A spatio-temporal interaction on the apparent motion trace.
AB - During the perception of apparent motion, activity along the apparent motion
trace has been found in the primary visual cortex. It has been hypothesized that
this activity interferes with stimuli presented on the apparent motion trace
("motion masking"). We investigated whether this perceptual interference varies
with regard to the trajectory of a moving object token in a detection task. We
found a general decrease of detectability of targets presented on the trace.
Surprisingly, targets presented in time with the trajectory were detected
significantly more often than targets which appeared out of time. We relate this
finding to a spatio-temporally specific prediction of visual events along the
apparent motion trace.
PMID- 18053849
TI - Page mode reading with simulated scotomas: a modest effect of interline spacing
on reading speed.
AB - Crowding is thought to be one potent limiting factor of reading in peripheral
vision. While several studies investigated how crowding between horizontally
adjacent letters or words can influence eccentric reading, little attention has
been paid to the influence of vertically adjacent lines of text. The goal of this
study was to examine the dependence of page mode reading performance (speed and
accuracy) on interline spacing. A gaze-contingent visual display was used to
simulate a visual central scotoma while normally sighted observers read
meaningful French sentences following MNREAD principles. The sensitivity of this
new material to low-level factors was confirmed by showing strong effects of
perceptual learning, print size and scotoma size on reading performance. In
contrast, reading speed was only slightly modulated by interline spacing even for
the largest range tested: a 26% gain for a 178% increase in spacing. This modest
effect sharply contrasts with the dramatic influence of vertical word spacing
found in a recent RSVP study. This discrepancy suggests either that vertical
crowding is minimized when reading meaningful sentences, or that the interaction
between crowding and other factors such as attention and/or visuo-motor control
is dependent on the paradigm used to assess reading speed (page vs. RSVP mode).
PMID- 18053848
TI - Visually-guided behavior of homonymous hemianopes in a naturalistic task.
AB - The gaze behavior of homonymous hemianopes differs from that of visually intact
observers when performing simple laboratory tasks. To test whether such
compensatory behavior is also evident during naturalistic tasks, we analyzed the
gaze patterns of three long-standing hemianopes and four visually intact controls
while they assembled wooden models. No significant differences in task
performance, saccade dynamics or spatial distribution of gaze were observed.
Hemianopes made more look-ahead fixations than controls and their gaze sequences
were less predictable. Thus hemianopes displayed none of the compensatory gaze
strategies seen in laboratory tasks. Instead, their gaze patterns suggest greater
updating of, and greater reliance on a spatial representation.
PMID- 18053850
TI - Classification images reveal spatiotemporal contour interpolation.
AB - Contour interpolation is the process whereby spatially separated object fragments
(inducers) are connected on the basis of their contour relations. An important
characteristic of interpolation between simultaneously presented inducers is that
observers rely on interpolation regions to perform a discrimination task.
However, it is unclear if the same property holds when inducers are separated in
both space and time. To address this question of spatiotemporal interpolation, we
had participants discriminate spatiotemporally presented "fat" and "thin" noise
corrupted figures, when the figures were stationary (Experiment 1) or moving
(Experiment 2), and when the connections across vertical gaps were either real,
interpolated (illusory), or absent. Classification images from both experiments
showed that noise regions near interpolated boundaries affect performance
comparably to when real contours appear, but very little in the absence of
interpolation. The classification images also revealed information about the time
course of interpolation and suggested that contour interpolation between
simultaneously visible inducers may be a special case of a more general
spatiotemporal contour interpolation process.
PMID- 18053853
TI - Snapshot of the world--9/2007.
PMID- 18053854
TI - Surgical treatment of dumbbell-shaped neurinomas: report of an experience with 57
cases in a single hospital.
AB - BACKGROUND: Dumbbell-shaped trigeminal neurinomas are rare benign tumors with a
controversy regarding their treatment and surgical approaches. We conducted an
investigation on elucidating a strategy for the treatment of DSTNs. METHODS: One
hundred thirty-seven patients with trigeminal neurinomas, accounting for 0.6% of
all intracranial tumors and 3.8% of intracranial neurinomas, were screened out
from the data bank on brain tumors in Hua Shan Hospital (Shanghai, China) from
1978 to 2003. Among them, 57 patients with DSTNs were found without
Recklinghausen disease and analyzed retrospectively. Patients were divided into 2
groups. In the early group (1978-1984), a series of conventional intradural
approaches were used, and in the latter group (1985-2003), an
extradurotransdurotranstrigeminal pore approach with orbitozygomatic craniotomy
was applied. After the tumor in the middle cranial fossa was resected, the tumor
in the posterior fossa was resected via a suboccipital approach in the early
group and through enlarged porus trigeminus without resection of the petrous apex
in the latter group. RESULTS: There were 12 patients in the early group and 45
patients (including 6 patients with recurrent tumors) in the latter group. The
mean age was 37 years (range, 14-56 years) and 39 years (range, 12-72 years),
respectively, in the early and latter groups. There was no predominance in sex in
the 2 groups. The mean duration of clinical manifestations before admission were
2.2 and 2 years, respectively, in the early and latter groups. Tumor size
measured on MRI and/or CT were 30 to 40 mm in 4 (33%) cases from the early group
and 14 (31%) cases from the latter group, 41 to 50 mm in 8 (67%) cases from the
early group and 25 (56%) cases from the latter group, and more than 50 mm in 6
(13%) cases from the latter group. There were 3 patients in the latter group with
a tumor extending into the infratemporal fossa and pterygopalatine fossa. Total
tumor resection was achieved in 42% (5/12) of the early group and 87% (39/45) of
the latter group (chi(2) = 10.897, P < .001); incomplete tumor removal was done
in 58% (7/12) of the early group and 13% (6/45) of the latter group. One patient
in the early group died of brainstem injury postoperatively. There was no
surgical mortality in the latter group. Cranial nerve morbidities were seen in
80% of the early group and 41% of the latter group (chi(2) = 12.052, P < .01),
when patients were discharged. Six months after operation, however, cranial nerve
morbidities were seen in 55% of the early group and 18.6% of the latter group
(chi(2) = 8.585, P < .01). A long-term follow-up study was available in 41 (75%)
patients, with a period of 2 to 20 years (mean, 10 years). Karnofsky prognosis
scale scores were at least 90 in 60% of the early group and 77% of the latter
group and 70 to 80 in 40% of the early group and 23% of the latter group.
Recurrent tumors occurred in 3 patients from the early group and were reoperated
on. One patient with a recurrent tumor from the latter group underwent
radiosurgery 5 years after the operation. CONCLUSION: The best treatment for
large or giant DSTNs is microsurgery with extradurotransdurotranstrigeminal pore
approach via single-stage skull-base craniotomy. It is not necessary to resect
the petrous apex for removal of the tumor in the posterior fossa. Radiosurgery
can be used for the residual or recurrent tumors.
PMID- 18053857
TI - Targeted percutaneous transforaminal endoscopic diskectomy in 295 patients:
comparison with results of microscopic diskectomy.
AB - BACKGROUND: The aim of this study is to compare the clinical outcomes and
complications after targeted PTED and conventional microscopic diskectomy for
removing 1-level unilateral LDH and to evaluate the efficacy of PTED for the
treatment of LDH. METHODS: The authors retrospectively examined 915 consecutive
patients who underwent PTED (group A, 301 patients) and microscopic diskectomy
(group B, 614 patients) for 1-level unilateral LDH. Patients who were treated
with a diskectomy in the period from July 2003 to December 2004 were evaluated by
telephone interview and institute visit. This assessment was performed at least
18 months (range, 18-36 months) after their operation. The follow-up rate in
groups A and B was 97.5% (295 patients) and 96.5% (607 patients), respectively.
RESULTS: Good or excellent results were obtained in 84.7% and 85.0% of groups A
and B (P = .92). The rates of recurrence were 6.44% and 6.75% in groups A and B
(P > .05). Twenty-eight patients (14 cases of recurrence, 5 cases of incomplete
removal, 5 cases of stenosis, 2 cases of diskogenic back pain, and 2 cases of
diskitis) in group A and 38 patients (26 cases of recurrence, 6 cases of
incomplete removal, 2 cases of stenosis, 2 cases of diskogenic back pain, 1 case
of hematoma, and 1 case of diskitis) in group B underwent reoperation.
CONCLUSIONS: Based on our results, the PTED can be a reasonable alternative to a
conventional microscopic diskectomy for the treatment of patients with LDH,
except for those in downward far-migrating cases beneath the pedicle of the lower
vertebra or in cases involving L5-S1 with a high pelvis.
PMID- 18053855
TI - Citicoline improves functional recovery, promotes nerve regeneration, and reduces
postoperative scarring after peripheral nerve surgery in rats.
AB - BACKGROUND: Citicoline has been shown to have beneficial effects in a variety of
CNS injury models. The aim of this study was to test the effects of citicoline on
nerve regeneration and scarring in a rat model of peripheral nerve surgery.
METHODS: Seventy adult Sprague-Dawley rats underwent a surgical procedure
involving right sciatic nerve section and epineural suturing. Rats were assigned
to the control or experiment groups to receive a topical application of 0.4 mL of
saline or 0.4 mL (100 micromol/L) of citicoline, respectively. Macroscopic,
histological, functional, and electromyographic assessments of nerves were
performed 4 to 12 weeks after surgery. RESULTS: In the control versus citicoline
treated rats, SFI was -90 +/- 1 versus -84 +/- 1 (P < .001), -76 +/- 4 versus -61
+/- 3 (P < .001), and -66 +/- 2 versus -46 +/- 3 (P < .001) at 4, 8, and 12 weeks
after surgery, respectively. At 12 weeks after surgery, axon count and diameter
were 16400 +/- 600 number/mm(2) and 5.47 +/- 0.25 microm versus 22250 +/- 660
number/mm(2) (P < .001) and 6.65 +/- 0.28 microm (P < .01) in the control and
citicoline-treated groups, respectively. In citicoline-treated rats,
histomorphological axonal organization score at the repair site was (3.4 +/- 0.1)
significantly better than that in controls (2.6 +/- 0.3) (P < .001). Peripheral
nerve regeneration evaluated by EMG at 12 weeks after surgery showed
significantly better results in the citicoline group (P < .05). Nerves treated
with citicoline demonstrated reduced scarring at the repair site (P < .001).
CONCLUSION: Our results demonstrate that citicoline promotes regeneration of
peripheral nerves subjected to immediate section suturing type surgery and
reduces postoperative scarring.
PMID- 18053858
TI - Opioids.
PMID- 18053859
TI - Airhead: intraparenchymal pneumocephalus after commercial air travel.
AB - BACKGROUND: Commercial air travel either in the postoperative setting or after
open skull fracture has the potential to place patients at unnecessary risk.
Although the timing is not clearly known, it should be established that there is
no persistent fistulous tract that could introduce additional intraparenchymal
air. CASE DESCRIPTION: We present a case of a gentleman with dramatic
pneumocephalus after commercial air travel. CONCLUSION: Because of the potential
for serious and permanent injury that can result from a dramatic and sustained
increase in intracranial pressure, air travel should be delayed until there is no
evidence of a fistulous tract. We discuss some basic in-flight options and
precautions should change in timing of travel not be possible.
PMID- 18053860
TI - A case report of a family with 7 patients of the Von Hippel-Lindau disease.
AB - BACKGROUND: This study presents a family with hereditary cerebellar
hemangioblastomas, as manifestations of the VHLD. METHODS: In a 20-year period, 7
members of a family, in 3 generations, developed symptoms of the VHLD with very
high frequency and gene penetration. Six patients were operated for cerebellar
hemangioblastomas. Two had previously undergone ventriculoperitoneal shunting.
There were 2 patients who developed renal and pancreatic cysts, 3 patients
retinal hemangioblastoma, and 2 others epididymic cysts. A spinal cord
arteriovenous malformation appeared in 1 patient who also had medulla
hemangioblastoma. RESULTS: All the patients had a satisfactory recovery after the
operation. One patient now has diabetes mellitus and renal insufficiency. Another
patient lost vision in the left eye due to a retinal hemangioblastoma.
CONCLUSIONS: Although rare, VHLD must always be part of the differential
diagnosis of a patient with cerebellar hemangioblastoma. Timely diagnosis of the
syndrome is essential for manifestations such as renal carcinoma or retinal
hemangioblastoma. Organs of first-degree relatives that may be a target of the
disease must thoroughly be investigated, and these relatives should be frequently
followed up for the rest of their lives. Surgical resection is the gold standard,
with excellent results, for hemangioblastomas of the cerebellum.
PMID- 18053862
TI - Histologic analysis of a human trigeminal nerve after failed stereotactic
radiosurgery: case report.
AB - BACKGROUND: Stereotactic radiosurgery is an accepted surgery to treat patients
with medically intractable trigeminal neuralgia. However, little is known about
the mechanism of pain relief after trigeminal neuralgia radiosurgery. We report
the histologic findings of a human trigeminal nerve after failed radiosurgery for
trigeminal neuralgia. CASE DESCRIPTION: A 74-year-old patient presented with a 10
year history of refractory trigeminal neuralgia. Prior surgeries included an MVD
and PRGR. Gamma knife radiosurgery was performed targeting the proximal
trigeminal nerve using one 4-mm isocenter (maximum dose, 85 Gy). She experienced
good pain relief (no pain, reduced medications) for 16 months. She had no new
trigeminal deficits after this procedure. The pain recurred, and she underwent
repeat gamma knife radiosurgery targeting the distal trigeminal nerve using one 4
mm isocenter (maximum dose, 70 Gy). The pain continued to escalate until she
required hospitalization for pain control and hydration. Three weeks after her
second radiosurgical procedure, she underwent a repeat posterior fossa
exploration. During that surgery, her trigeminal nerve was partially sectioned,
and a small segment of the proximal to midcisternal nerve was sent for
histological examination. There was no histologic evidence of nerve damage.
CONCLUSION: This case supports the contention that trigeminal nerve injury is
required to provide long lasting pain relief after trigeminal neuralgia
radiosurgery.
PMID- 18053865
TI - Jugular bulb venous thrombosis caused by mild head injury: a case report.
AB - BACKGROUND: We present here the first report of a jugular bulb venous thrombosis
after mild head injury, which lacked either a skull fracture or abnormal findings
on CT scan. CASE DESCRIPTION: An 8-year-old boy was hit on the back of the head
and experienced headache and vomiting beginning the next morning. A CT scan and
cranial x-ray examination failed to reveal any abnormal findings. The patient was
treated conservatively; however, his headache and vomiting persisted. At 13 days
after the injury, he began to show double vision due to left VIth nerve palsy and
bilateral papilloedemas, suggesting an increased ICP. Although repeated CT scan
failed to detect abnormal findings in both the supra- and infra-tentorial
regions, MRI clearly visualized a thrombus which was situated within the right
jugular bulb. Furthermore, MRV demonstrated disruption of venous flow at the
jugular bulb. The patient was administered heparin continuously. His symptoms
improved and the CSF pressure on lumbar puncture returned to a normal level at 20
days after admission. Magnetic resonance imaging showed resolution of the clot,
and MRV appeared to demonstrate partial recanalization simultaneously. The
patient was discharged without any neurologic deficits. The clot in the jugular
bulb disappeared completely after 4 months, and he could be followed up for 1
year. CONCLUSION: This case underscores the fact that MRI may represent the
exclusive screening examination in cases of sinus thrombosis when it occurs
within the jugular bulb, as CT scan fails to reveal any findings suggestive of
venous thrombosis.
PMID- 18053867
TI - Secondary cervical dystonia following stereotactic radiosurgery in a patient with
thalamic glioma.
AB - BACKGROUND: Cervical dystonia associated with structural lesion is uncommon. We
report the first patient with secondary CD after stereotactic radiosurgery for
thalamic glioma. Possible network abnormalities relevant to manifestation of CD
were discussed. CASE DESCRIPTION: A 27-year-old woman complaining of headache and
left motor weakness was found to have a thalamic tumor on the right side. The
lesion was totally removed using transventricular approach. Histopathologically,
tumor samples manifested features of anaplastic astrocytoma. She underwent
stereotactic radiosurgery in addition to the conventional radiation and
chemotherapy. Afterward, she returned to her usual life without any neurological
deficits. Sixteen months postoperatively, the patient developed forced head
tilting to the left side combined with chin lift. On the TWSTRS, she registered
15 for torticollis severity. The abnormal head posturing was alleviated by the
sensory trick of touching her face with her right hand. Irregular-shaped lesion
involving the thalamus, lenticular nuclei, midbrain, pons, and cerebellum was
presented on magnetic resonance images. Steroid therapy effectively diminished
the lesion size, and her abnormal head posturing was gradually ameliorated
(TWSTRS severity scale = 3). CONCLUSION: The clinical-neuroradiological course of
the present case strongly suggested that the lesion detected long after the
surgery was due to radiation necrosis. The present study may provide a critical
information in understanding pathophysiological mechanisms of CD that may involve
substantial interactions between olivocerebellar and basal ganglia
thalamocortical circuits.
PMID- 18053868
TI - Traumatic pseudoaneurysm of the middle meningeal artery: possible indicators for
early diagnosis in the computed tomography era.
AB - OBJECTIVE AND IMPORTANCE: Traumatic pseudoaneurysms of the middle meningeal
artery, which are associated with high mortality, are difficult to detect early
by CT. We provide serial CT scans to show the steps of their formation and
suggest characteristics that could be useful in the detection. CLINICAL
PRESENTATION: A 25-year-old man was initially in deep coma had an anisocoric
pupil after a traffic accident. Brain CT showed basal skull fracture and
traumatic subarachnoid hemorrhage with severe brain swelling. Emergent
decompressive craniectomy was performed, and 2 days later, an EDH appeared at the
left temporal fossa. Careful examination of the image revealed a hypodense nodule
inside the acute hematoma. He underwent craniotomy to remove the hematoma. Serial
CT of the residual hematoma showed the gradual development of an organized
hematoma around the hypodense nodule. The nodule had low density, which was
strongly enhanced on CT after injection of contrast medium. The nodule was highly
suspected to be a vascular lesion. A middle meningeal artery pseudoaneurysm was
discovered through a 3-dimensional computed tomographic angiography. He underwent
another craniotomy to remove the pseudoaneurysm. INTERVENTION: The diagnostic
approach was CT, 3-dimensional CT, and craniotomies. CONCLUSION: Four CT findings
may be useful for early diagnosis: (1) basal skull fracture in the temporal
region; (2) hypodense nodule within an acute hematoma; (3) hypodense nodule
within an organized and encapsulated hematoma; and (4) strong and homogenous
enhancement of the hypodense nodule within an organized and encapsulated
hematoma. Three-dimensional computed tomographic angiography is an effective and
noninvasive tool to confirm this diagnosis.
PMID- 18053871
TI - To retire or not to retire, that is the question!
PMID- 18053873
TI - Fallopian tube cancer: stepchild or mother?
PMID- 18053874
TI - Neural compensation after early lesions: a clinical view of animal experiments.
AB - The widely held view, known as Kennard's principle, that lesions in the human
central nervous system in the perinatal period are followed by better
compensation than equivalent lesions sustained at a later age is under challenge
from results of animal experiments. Experiment in rats have demonstrated,
contrastingly, that lesions at early stages generally lead to more behavioral
impairments than lesions of a similar size and location at adult stages. It also
has been demonstrated that early lesions often lead to extensive neural
reorganizations. Certain experiments even indicated that the extent of these
reorganizations (e.g., after lesions in the motor cortex or the cerebellum) is
inversely related to the degree of behavioral compensation. The question arises,
why there is a discrepancy between the results in the human, suggesting enhanced
functional recovery after lesions at perinatal ages, and those from animal
experiments? One explanation is that lesions in animal experiments often are much
larger than those occurring in the human. Secondly, the timing of the insult
relative to the brain development seems to be critical. For each neural system a
specific relation seems to exist between the timing of the insult, the degree of
the neuronal reorganization and the behavioral consequences. Results from
experimental research have suggested that the recruitment of stem cells in the
brain after early lesions in certain regions might play a role in the repair of
lesioned areas and also, that stem cells might play a role in the rescue of
compromised cells. Ultimately such therapies might help to alleviate the
consequences of perinatal brain lesions in the human.
PMID- 18053875
TI - Corticospinal tract development and its plasticity after perinatal injury.
AB - The final pattern of the origin and termination of the corticospinal tract is
shaped during development by the balance between projection and withdrawal of
axons. In animals, unilateral inhibition of the sensorimotor cortex during
development results in a sparse contralateral projection from this cortex and
retention of a greater number of ipsilateral projections from the more active
cortex. Similarly in subjects with hemiplegic cerebral palsy if transcranial
magnetic stimulation (TMS) of the damaged motor cortex fails to evoke responses
in the paretic upper limb, TMS of the undamaged ipsilateral motor cortex evokes
abnormally large and short-onset responses. Rather than representing a
"reparative plasticity in response to injury", this review presents evidence that
increased ipsilateral projections from the non-infarcted motor cortex arise from
perturbation of ongoing developmental processes, whereby reduced activity in the
damaged hemisphere, leads to increased withdrawal of its surviving contralateral
corticospinal projections because their terminals have been displaced by the more
active ipsilateral projections of the undamaged hemisphere and thereby adding to
the degree of long-term motor impairment.
PMID- 18053876
TI - Near-complete migraine prophylaxis with amlodipine: a case report.
PMID- 18053877
TI - Refractive surgery in children.
PMID- 18053879
TI - Clinical effects of primary posterior continuous curvilinear capsulorhexis.
PMID- 18053880
TI - Advantage and disadvantage of posterior continuous curvilinear capsulorhexis.
PMID- 18053882
TI - Nomenclature to denote conventional phacoemulsification.
PMID- 18053883
TI - Reducing the risk of endophthalmitis after cataract surgery.
PMID- 18053886
TI - Combating endophthalmitis with microphakonit and no-anesthesia technique.
PMID- 18053888
TI - Topical anesthesia and postoperative endophthalmitis.
PMID- 18053890
TI - Cataract surgical problem.
PMID- 18053897
TI - Chandelier retroillumination-assisted torsional oscillation for cataract surgery
in patients with severe corneal opacity.
AB - We describe a technique that uses 25-gauge transconjunctival chandelier
endoillumination in combination with a torsional oscillation system for cataract
surgery in cases with severe bullous keratopathy. Because of the hands-free and
self-retaining nature of the chandelier fiber, continuous curvilinear
capsulorhexis and subsequent bimanual intraocular manipulation can be performed
easily. Torsional oscillation efficiently emulsifies lens particles along with
the fluidics, preventing posterior capsule rupture and endothelial cell damage.
After the chandelier fiber is removed at the end of surgery, the 25-gauge
incision self-seals. This technique is safe and provides excellent visualization
during cataract surgery in patients with severe corneal opacities.
PMID- 18053898
TI - Intraocular lens roll-up technique: foldable IOL implantation using forceps
through incisions smaller than 3.2 mm.
AB - We describe the intraocular lens (IOL) roll-up technique, which uses lens forceps
to insert 3 types of foldable polyacrylic IOLs with optic diameters of 6.0 mm
through small sclerocorneal incisions comparable to those used by injector
systems.
PMID- 18053899
TI - Laser-assisted subepithelial keratectomy for anisometropic amblyopia in children:
outcomes at 1 year.
AB - PURPOSE: To assess the refractive, visual acuity, and binocular results of laser
assisted subepithelial keratectomy (LASEK) for anisomyopia, anisohyperopia, and
anisoastigmatia in children with various levels of amblyopia secondary to the
anisometropic causes. SETTING: Nonhospital surgical facility with follow-up in a
hospital clinic setting. METHODS: This retrospective review was of 53 children
with anisometropia who had LASEK to correct the refractive difference between
eyes. All LASEK procedures were performed using general anesthesia. Patients were
divided into 3 groups according to their anisometropia as follows: myopic
difference greater than 3.00 diopters (D), astigmatic difference greater than
1.50 D, and hyperopic difference greater than 3.50 D. The children were followed
for at least 1 year, and their refractive status, visual acuity, and binocular
vision were assessed and recorded at 2 and 6 months as well as 1 year. RESULTS:
The mean age at treatment was 8.4 years (range 10 months to 16 years). The mean
preoperative anisometropic difference was 6.98 D in the entire group, 9.48 D in
the anisomyopic group, 3.13 D in the anisoastigmatic group, and 5.50 D in the
anisohyperopic group. One year after LASEK, the mean anisometropic difference
decreased to 1.81 D, 2.43 D, 0.74 D, and 2.33 D, respectively, and 54% of all
eyes were within +/-1.00 D of the fellow eye, 68% were within +/-2.00 D, and 80%
were within +/-3.00 D. Preoperative visual acuity and binocular vision could be
measured in 33 children. Postoperatively, 63.6% of children had an improvement in
best corrected visual acuity (BCVA) and the remainder had no noted change. No
patient had a reduction in BCVA or a loss in fusional ability after LASEK. Of the
33 children, 39.4% had positive stereopsis preoperatively and 87.9% had positive
stereopsis 1 year after LASEK. CONCLUSION: Laser-assisted subepithelial
keratectomy is an effective surgical alternative to improve visual acuity in
anisometropic children unable to tolerate conventional methods of treatment or in
whom these methods fail.
PMID- 18053900
TI - Corneal collagen crosslinking with riboflavin and ultraviolet A to treat induced
keratectasia after laser in situ keratomileusis.
AB - PURPOSE: To determine whether riboflavin and ultraviolet-A (UVA) corneal
crosslinking can be used as an alternative therapy to prevent the progression of
keratectasia. SETTING: Institute for Refractive and Ophthalmic Surgery, Zurich,
Switzerland, and a private clinic, Athens, Greece. METHODS: Corneal crosslinking
was performed in 10 patients with formerly undiagnosed forme fruste keratoconus
or pellucid marginal corneal degeneration who had laser in situ keratomileusis
(LASIK) for myopic astigmatism and subsequently developed iatrogenic
keratectasia. Surgery was performed in 1 eye per patient. RESULTS: Crosslinking
induced by riboflavin and UVA arrested and/or partially reversed keratectasia
over a postoperative follow-up of up to 25 months as demonstrated by preoperative
and postoperative corneal topography and a reduction in maximum keratometric
readings. CONCLUSION: Riboflavin-UVA corneal crosslinking increased the
biomechanical stability of the cornea and may thus be a therapeutic means to
arrest and partially reverse the progression of LASIK-induced iatrogenic
keratectasia.
PMID- 18053901
TI - Laser in situ keratomileusis versus surface ablation: visual outcomes and
complications.
AB - PURPOSE: To compare the visual outcomes and complications of laser in situ
keratomileusis (LASIK) with those of surface treatment by laser-assisted
subepithelial keratectomy (LASEK), photorefractive keratectomy with mechanical
epithelial removal (M-PRK), and transepithelial photorefractive keratectomy (T
PRK). SETTING: Tertiary care eye center. METHODS: This retrospective review
comprised all cases of LASIK, LASEK, M-PRK, and T-PRK performed at King Khaled
Eye Specialist Hospital between July 1, 2004, and June 30, 2005. Separate
statistical analyses were performed for eyes with low to moderate myopia
(spherical equivalent [SE] less than -6.00 diopters [D]) and high myopia (SE
6.00 to -11.25 D). RESULTS: Of 696 eyes that met the inclusion criteria, 464 had
LASIK, 104 had LASEK, 69 had M-PRK, and 59 had T-PRK. Eyes with low to moderate
myopia had a statistically significantly smaller mean difference between logMAR
final postoperative uncorrected visual acuity (UCVA) and preoperative best
spectacle-corrected visual acuity (BSCVA) after T-PRK and M-PRK than after LASIK
or LASEK. A higher percentage of eyes with high myopia had a final UCVA within +/
2 lines of the preoperative BSCVA with T-PRK than with LASIK, LASEK, or M-PRK.
There were more major non-flap-related complications after LASEK than after
LASIK, M-PRK, or T-PRK. CONCLUSIONS: In eyes with low to moderate myopia, T-PRK
and M-PRK provided slightly better visual outcomes than LASIK or LASEK. In eyes
with high myopia, T-PRK provided better visual outcomes than LASIK, LASEK, and M
PRK. Laser in situ keratomileusis was associated with the most major
postoperative complications.
PMID- 18053902
TI - Comparison of corneal flap thickness between primary eyes and fellow eyes using
the Zyoptix XP microkeratome.
AB - PURPOSE: To evaluate corneal flap thickness created in myopic laser in situ
keratomileusis (LASIK) using the Zyoptix XP 120 microkeratome (Bausch & Lomb).
SETTING: University-based eye clinic. METHODS: Corneal thickness was measured
preoperatively and intraoperatively after flap creation in 62 consecutive
patients (124 eyes) who had LASIK for the correction of myopia. Corneal flap
thickness was calculated by subtracting stromal bed thickness from total corneal
thickness. In each patient, both corneas (right followed by left) were cut by 1
the same Zyoptix XP 120 microkeratome blade at the same session. RESULTS: The
mean actual flap thickness was 115.34 microm +/- 16.34 (SD) in right eyes and
104.55 +/- 14.34 mum in left eyes. The mean actual flap thickness in right eyes
was not statistically significantly different from the 120 microm proposed by the
manufacturer (P = .142); however, the mean actual flap thickness in left eyes was
statistically significantly different from the 120 microm (P<.001). The mean flap
thickness in the second eye was also statistically significantly thinner than in
the first eye (P<.001). CONCLUSIONS: The corneal flaps were thinner than expected
when a Zyoptix XP 120 microkeratome was used in LASIK. Although the first cuts
produced thinner flaps, this difference was not statistically significant.
However, the second cuts produced significantly thinner flaps.
PMID- 18053903
TI - Change in the accommodative convergence per unit of accommodation ratio after
bilateral laser in situ keratomileusis for myopia in orthotropic patients:
prospective evaluation.
AB - PURPOSE: To analyze the effect of bilateral laser in situ keratomileusis (LASIK)
on the accommodative convergence per unit of accommodation (AC/A) ratio in
otherwise normal orthotropic myopic patients. SETTING: Cornea and refractive
services of a tertiary-care ophthalmic center. METHODS: This prospective clinical
trial consisted of 61 myopic patients who had bilateral LASIK. Those with
manifest tropia, previous squint surgery, amblyopia, or absent or impaired
binocularity or those in whom monovision was planned were excluded. The
preoperative examination included visual acuity, cycloplegic refraction,
assessment of binocularity, a prism cover test, and evaluation of the stimulus
AC/A ratio by the gradient method. All patients had LASIK using the Zyoptix
platform (Bausch & Lomb). Postoperative evaluation included uncorrected and best
corrected visual acuities, residual refraction, and the AC/A ratio. RESULTS: All
patients had a follow-up of 9 months. There was significant decrease in the mean
AC/A ratio at the 1-week and 1-month follow-ups. The AC/A progressively recovered
to near preoperative values between 3 months and 9 months after surgery (analysis
of variance test). There was a significant reduction in the number of symptomatic
patients from the first month onward (chi square = 89.23; P<.001). CONCLUSIONS:
The AC/A ratio varied after LASIK, stabilizing between 3 months and 9 months
after surgery. This suggests that the maximum variation in the accommodation
convergence relationship after LASIK occurs in the first 3 months.
PMID- 18053904
TI - Functional vision with bilateral ReZoom and ReSTOR intraocular lenses 6 months
after cataract surgery.
AB - PURPOSE: To compare the visual outcomes in patients with bilateral implantation
of AcrySof ReSTOR multifocal intraocular lenses (IOLs) (Alcon Laboratories) or
ReZoom multifocal IOLs (Advanced Medical Optics) 6 months after cataract surgery.
SETTING: Orsett and Southend Hospital, Essex, United Kingdom. METHODS: This study
comprised patients who had uneventful bilateral cataract extraction with
implantation of ReZoom (n = 50) or ReSTOR (n = 50) multifocal IOLs. Parameters
analyzed included binocular uncorrected distance, intermediate, and near
acuities; spectacle independence; subjective visual symptoms; and patient
satisfaction. All parameters were evaluated 6 months after second-eye surgery.
RESULTS: All patients had binocular uncorrected distance visual acuity of 20/32
or better; there was no statistically significant difference between the 2
groups. The mean binocular uncorrected near acuity was 20/26 (J1.22) in the
ReSTOR group and 20/34 (J2.34) in the ReZoom group (P<.0001). The mean binocular
uncorrected intermediate visual acuity was 20/42 and 20/34, respectively (P =
.003). Patients in the ReZoom group reported greater satisfaction with
intermediate vision (P = .04). No statistically significant difference was found
in satisfaction with near vision. Eighty-six percent of ReSTOR patients and 70%
of ReZoom patients did not wear glasses for daily activities; the overall
satisfaction was not statistically significantly different between groups. There
was no significant difference between groups in photic phenomena. CONCLUSIONS:
The ReSTOR IOL provided better near vision and the ReZoom IOL better intermediate
vision. Both multifocal IOLs gave excellent distance vision. Photic phenomena
were comparable and clinically acceptable. ReSTOR patients had greater spectacle
independence for near vision and ReZoom patients for intermediate vision. Overall
spectacle independence was not statistically significantly different.
PMID- 18053905
TI - Influence of the signal-to-noise ratio on the accuracy of IOLMaster measurements.
AB - PURPOSE: To evaluate the relationship between the sound-to-noise ratio (SNR) and
the reliability of axial length (AL) measurements obtained with the IOLMaster
(Carl Zeiss Meditec AG). SETTING: Department of Ophthalmology, Saiseikai
Kurihashi Hospital, Saitama, Japan. METHODS: In a clinical prospective study, 216
consecutive eyes of 144 patients scheduled for cataract surgery were evaluated
for the type and severity of cataract using the Lens Opacities Classification
System III. Preoperative and postoperative AL measurements were performed with
the IOLMaster. The preoperative SNR values were used to divide the eyes into 5
groups. The following were compared between the groups: preoperative logMAR best
spectacle-corrected visual acuity (BSCVA), difference in AL between
preoperatively and postoperatively, error in the postoperative predicted
refraction, and type and severity of cataract. RESULTS: The preoperative logMAR
BSCVA was significantly worse in eyes with an SNR <2 (P<.05). The AL was longer
preoperatively than postoperatively in all groups, and there was a significant
difference in the <2 SNR group and the 2 to <5 group (P<.05). There were no
significant differences in the error in postoperative predicted refraction. The
percentage of patients with P4 or worse posterior subcapsular cataract (PSC)
increased significantly with a decrease in the SNR (P<.01). However, there was no
correlation between nuclear color grade and the SNR. CONCLUSION: The SNR value
was useful in confirming the good quality of AL readings taken with the
IOLMaster, even though the SNR value correlated significantly with the
preoperative logMAR BSCVA and PSC severity.
PMID- 18053906
TI - Primary piggyback implantation using the Tecnis ZM900 multifocal intraocular
lens: case series.
AB - PURPOSE: To assess the postoperative outcomes of primary piggyback implantation
using the Tecnis ZM900 multifocal intraocular lens (IOL) (Advanced Medical
Optics). SETTING: Hospital Oftalmologico de Brasilia, Brasilia, Brazil. METHODS:
Patients who had phacoemulsification with primary piggyback IOL implantation were
analyzed prospectively. In all cases, a Tecnis ZM900 multifocal IOL was implanted
in the capsular bag and a second silicone IOL was implanted in the ciliary
sulcus. Information collected included near and distance uncorrected visual
acuity (UCVA), best corrected visual acuity (BCVA), and the spherical equivalent
(SE) before and after the surgery. RESULTS: Twenty eyes of 12 patients were
included in the study. They were followed for 6 months. The mean preoperative
distance UCVA was 20/400. At the last follow-up (6 months), the mean distance
UCVA was 20/29, significantly better than before referral (P<.001). The mean
preoperative SE was +6.35 diopters (D) +/- 2.25 (SD). Six months after surgery,
the mean SE was -0.25 +/- 0.40 D (range -1.00 to +0.50 D), significantly better
than before referral (P<.001). No patient lost lines of BCVA after surgery. At
the last follow-up, 90% of the eyes achieved a near UCVA of J1 and 83.3% of
patients were spectacle independent for near and distance vision. A second
refractive procedure was performed in 2 eyes. CONCLUSIONS: Primary piggyback
implantation using the Tecnis ZM900 multifocal IOL was a simple, safe, and
accurate option for patients with high hyperopia who wanted to reduce their
dependency on spectacles.
PMID- 18053907
TI - Meridional analysis for calculating the expected spherocylindrical refraction in
eyes with toric intraocular lenses.
AB - PURPOSE: To present a method of calculating the expected spherocylindrical
refraction after toric intraocular lens (IOL) implantation and to compare it with
the actual outcomes in a small group of patients. SETTING: Eye Institute, Tan
Tock Seng Hospital, Singapore. METHODS: The expected refractions of the 2
principal meridians of the cornea (maximum keratometry and minimum keratometry)
were calculated separately using the Holladay 1 formula with a modification to
the data used for the effective lens position. The expected refraction was
compared with the actual outcomes in 7 eyes that had phacoemulsification with
toric IOL implantation. RESULTS: The mean preoperative keratometric cylinder was
2.07 diopters (D) +/- 0.97 (SD) (range 1.25 to 3.75 D), and the mean
postoperative cylinder by subjective refraction was 0.68 +/- 0.40 D (range 0.25
to 1.50 D). The mean expected cylindrical refraction was 0.62 +/- 0.46 D (range
0.30 to 1.60 D). Five eyes (71.4%) were within +/-0.25 D of the expected
refraction, and all eyes were within +/-0.50 D. There was a significant reduction
in cylinder after toric IOL implantation (P<.001, paired t test). There was no
statistically significant difference between the magnitude of the expected
cylindrical refraction and the actual postoperative cylinder by subjective
refraction (P = .604). CONCLUSION: Calculation of the expected spherocylindrical
refraction with toric IOLs was achieved using meridional analysis.
PMID- 18053908
TI - Functional evaluation of corneal endothelium by combined measurement of corneal
volume alteration and cell density after phacoemulsification.
AB - PURPOSE: To assess a new method of functional evaluation of corneal endothelium
using combined measurement of corneal volume alteration and cell density (CD)
after phacoemulsification. SETTING: Nippon Medical School Hospital, Tokyo, Japan.
METHODS: Phacoemulsification was performed in 28 cases. The corneal volume within
3.0 mm and 10.0 mm circles of the cornea was measured using Scheimpflug imaging
(Pentacam, Oculus, Inc.) and the cell density, using specular microscopy (Noncon
Robo, Konan Medical). The volume stress index (VSI), a parameter for measuring
functional evaluation, was calculated by dividing the volume increase within the
3.0 mm circle (preoperative corneal volume - corneal volume at time of
measurement) by the central cell density value. The resulting value is indicative
of the amount of postoperative increase in corneal volume with which each corneal
endothelial cell should be able to cope. RESULTS: Three months after surgery, the
3.0 mm corneal volume returned to preoperative values, while the 10.0 mm corneal
volume remained significantly higher than preoperatively. The cell density values
did not significantly change throughout the study. The VSI showed a significant
decrease by 7 days after surgery followed by a gradual decrease until the end of
the study. At 3 months, the VSI value was significantly smaller than at 1 day.
CONCLUSION: The corneal volume increase after surgery may be indicative of the
degree of the endothelial damage in the area; thus, the VSI may be useful in the
functional assessment of the corneal endothelium.
PMID- 18053909
TI - Distribution and visual impact of postoperative refractive error after cataract
surgery in rural China: study of cataract outcomes and up-take of services report
4.
AB - PURPOSE: To model the possible impact of using average-power intraocular lenses
(IOLs) and evaluate the postoperative refractive error in patients having
cataract surgery in rural China. SETTING: Rural Guangdong, China. METHODS:
Patients having cataract surgery by local surgeons were examined and visual
function was assessed 10 to 14 months after surgery. Subjective refraction at
near and distance was performed bilaterally by an ophthalmologist. Patients had a
target refraction of -0.50 diopter (D) based on ocular biometry. RESULTS: Of the
313 eligible patients, 242 (77%) could be contacted and 176 (74% of contacted
patients, 56% overall) were examined. Examined patients had a mean age of 69.4 +/
10.5 years. Of the 211 operated eyes, 73.2% were within +/-1.0 D of the target
refraction after surgery. The best presenting distance vision was in patients
within +/-1.0 D of plano and the best presenting near vision, in those with mild
myopia (<-1.0 D to > or =2.0 D) (P= .005). However, patients with hyperopia
(>+1.0 D) reported significantly better adjusted visual function than those with
emmetropia or myopia (<-1.0 D). When the predicted use of an average-power IOL
(median +21.5 D) was modeled, predicted visual acuity was significantly reduced
(P= .001); however, predicted visual function was not significantly altered
(P>.3). CONCLUSIONS: Accurate selection of postoperative refractive error was
achieved by local surgeons in this rural area. Based on visual function results,
aiming for mild postoperative myopia may not be suitable in this setting.
Implanting average-power IOLs significantly reduced postoperative presenting
vision, but not visual function.
PMID- 18053910
TI - Accuracy of surrogate decision making in elective surgery.
AB - PURPOSE: To assess the accuracy of surrogate decision making for elective
cataract surgery. SETTING: Comprehensive Ophthalmology Department of Tertiary
Care Hospital. METHODS: Decisions regarding elective cataract surgery of
currently competent, elderly patients were compared with the predictions of
patient-identified surrogate decision makers in scenarios of current state of
mental health and progressive dementia. Patients were identified (age >50 years,
Mini-Mental Status Score >20, absence of significant noncataract pathology)
consecutively at a scheduled clinic visit to a single provider. Preferences for
cataract surgery in the current state of health and hypothetical progressive
dementia were assessed on a Likert scale. The same interviewer contacted patient
identified surrogate decision makers within 48 hours. Independently, a survey of
community ophthalmologists was performed. The concordance of surrogate
predictions with patient preferences (32 pairs) was assessed using percentage
agreement, the kappa coefficient with dichotomous Likert scale data, and chi
square analyses (concordance beyond chance). RESULTS: In their current state of
health, most patients said they would prefer to have surgery if they were deemed
to have a visually significant cataract, which was accurately predicted by
surrogates (72% agreement, kappa = 0.65, chi square = 16.5). In a hypothetical
dementia scenario, contrary to perceptions of patients and their surrogates,
proxies were unable to accurately represent a patient's wishes for elective
cataract surgery (34% agreement, kappa = 0.23, chi square = 14.4). Physicians
tended to withhold intervention in the dementia scenario. CONCLUSIONS: The
findings suggest that current methods of decision making in elective surgery for
patients unable to make autonomous decisions may be seriously flawed. This
extends previous findings of inaccuracy with the substituted judgment approach to
end-of-life issues to reflect elective surgical scenarios.
PMID- 18053911
TI - Primary intravitreal bevacizumab for the management of pseudophakic cystoid
macular edema: pilot study of the Pan-American Collaborative Retina Study Group.
AB - PURPOSE: To determine the feasibility, safety, and clinical effect of primary
intravitreal bevacizumab (Avastin) in patients with cystoid macular edema (CME)
after cataract surgery. SETTING: Five institutions in Venezuela, Costa Rica,
Puerto Rico, Peru, and Brazil. METHODS: Twenty-eight eyes of 25 patients treated
with at least 1 intravitreal injection of 1.25 mg or 2.50 mg of Avastin
participated in this interventional retrospective multicenter study at 5
institutions from 5 countries. Baseline and follow-up visits included Early
Treatment Diabetic Retinopathy Study (ETDRS) visual acuity testing, optical
coherence tomography (OCT) imaging, and ophthalmoscopic examination. RESULTS: The
mean follow-up was 32 weeks (range 24 to 52 weeks). Twenty eyes (71.4%) had
improved best corrected visual acuity (BCVA) (> or =2 ETDRS lines), and no eye
had worse visual acuity (> or =2 ETDRS lines). The BCVA remained stable in 8 eyes
(28.6%). The mean baseline BCVA was 20/160 (logMAR = 0.92) and the mean final
BCVA, 20/63 (logMAR = 0.50); the difference was statistically significant
(P<.0001). The mean central macular thickness at baseline (466.3 microm; range
208 to 784 microm) decreased significantly (264.5 microm; range 176 to 513
microm) by the end of follow-up (P<.0001). Eight eyes (28.6%) required a second
injection and 4 (14.3%), a third injection. The mean interval between injections
was 13 weeks (range 5 to 26 weeks). No ocular or systemic adverse events were
observed. CONCLUSIONS: Short-term results suggest that primary intravitreal
Avastin is well tolerated in patients with pseudophakic CME. Treated eyes had a
significant improvement in BCVA and decrease in macular thickness by OCT.
PMID- 18053912
TI - Clinical features and outcomes of pars plana vitrectomy in patients with retained
lens fragments after phacoemulsification.
AB - PURPOSE: To review the clinical features and outcomes of pars plana vitrectomy
(PPV) in patients with retained lens fragments after phacoemulsification and
compare the results with those in previous studies. SETTING: Department of
Ophthalmology, Queen's Medical Centre, Nottingham, United Kingdom. METHODS: This
retrospective chart review comprised 82 consecutive eyes of 82 patients who had
vitrectomy for retained lens material after phacoemulsification between January
2000 and June 2006. Data on demographics, preexisting eye conditions, cataract
surgery details, findings at presentation, interval between phacoemulsification
and vitrectomy, details of vitrectomy, details of follow-up visits, and
postoperative complications were collected. RESULTS: The incidence of raised
intraocular pressure (IOP) was 46.3%. Anterior vitrectomy was associated with a
lower incidence of increased IOP (P = .006). There was no association between
late vitrectomy and a worse visual outcome. There was a much lower rate of
complications than in other studies; the incidence of retinal detachment and of
cystoid macular edema was 4.9%, and there were no cases of endophthalmitis.
CONCLUSION: The incidence of raised IOP in patients who had anterior vitrectomy
at the time of cataract surgery was low. The visual outcomes were good, and the
incidence of complications was low irrespective of the timing of the PPV.
PMID- 18053913
TI - Image quality with multifocal intraocular lenses and the effect of pupil size:
comparison of refractive and hybrid refractive-diffractive designs.
AB - PURPOSE: To analyze the image quality with a refractive and 2 hybrid refractive
diffractive multifocal intraocular lenses (IOLs) in vitro to determine the IOL
modulation transfer function (MTF) following the EN-ISO international standard
for distance and near vision and to study the IOLs' behavior with different pupil
sizes. SETTING: Fundacion Oftalmologica del Mediterraneo, Valencia, Spain.
METHODS: This study evaluated 4 IOLs: the hybrid refractive-diffractive AcrySof
ReSTOR SN60D3 (Alcon) and Tecnis ZM900 (AMO), the refractive ReZoom NXG (AMO),
and the monofocal AcrySof SN60WF (Alcon). The MTF was calculated from the cross
line spread function recorded with the OPAL Vector System (Image Science Ltd.)
using fast Fourier transform techniques. The artificial eye model simulated in
vivo conditions of the anterior chamber and included an artificial cornea and
physiological solution, in which the IOLs were positioned. The pupil sizes varied
between 2.0 mm and 5.0 mm in steps of 0.5 mm. RESULTS: For distance vision and
small pupils (2.0 to 3.5 mm), the refractive IOL provided better image quality
than the 2 hybrid IOLs. When the pupil was larger, the distance vision was
similar with all IOLs. The 2 hybrid IOLs gave better image quality than the
refractive IOL for near vision with any pupil size. With the refractive IOL and
pupils smaller than 3.5 mm, near focusing did not occur, resulting in poor image
quality. Of the hybrid IOLs, the AcrySof ReSTOR SN60D3 provided better distance
image quality and the Tecnis ZM900 provided better near image quality.
CONCLUSIONS: The refractive IOL gave better image quality than the hybrid IOLs at
distance and with small pupils. With pupils larger than 3.5 mm, the quality was
similar. Hybrid IOLs gave significantly better image quality for near vision with
all pupil sizes. AcrySof ReSTOR SN60D3 IOLs give better distance vision than
Tecnis ZM900 IOLs; the latter gave better near vision. The reference monofocal
IOL provided better distance images than any multifocal IOL with all pupil sizes.
PMID- 18053914
TI - Epi-LASIK using the Amadeus II microkeratome: evaluation of cut quality using
light and electron microscopy.
AB - PURPOSE: To investigate the cut quality and surface characteristics of the
epithelial flap and underlying Bowman's membrane created by the Amadeus II (AMO)
microkeratome on human corneas using light and electron microscopy. SETTING:
Center for Refractive Therapy, Department of Ophthalmology, Ludwig-Maximilians
University, Munich, Germany. METHODS: Using a 9.0 mm type II suction ring and
settings, as recommended by the manufacturer, epithelial laser in situ
keratomileusis (epi-LASIK) was performed in 2 fresh human eyes of 1 donor. Ocular
pathology and previous ocular surgery were ruled out. Tissues for light
microscopy were examined using hematoxylin-eosin and periodic acid-Schiff
reaction staining. Further tissue samples were examined using scanning electron
microscopy and transmission electron microscopy. RESULTS: Light microscopy showed
a thoroughly separated epithelial sheet with no evident anatomical abnormalities.
Stratification of the separated epithelium layer and cell shape was conserved.
The cleavage plane was located at Bowman's membrane. Scanning electron microscopy
showed a consistent transition from adherent epithelium to the denuded area.
Bowman's layer showed a very smooth surface without remains of basal lamina.
Transmission electron microscopy examination showed interruptions of the basement
membrane at high magnification. CONCLUSIONS: This in vitro study found a high cut
quality using the epi-LASIK separator of the Amadeus II microkeratome. The
resulting cleavage plane at Bowman's membrane was well suited for the subsequent
laser ablation.
PMID- 18053915
TI - Safety and efficacy of intracapsular tranilast microspheres in experimental
posterior capsule opacification.
AB - PURPOSE: To evaluate the safety and efficacy of a sustained-release agent
designed to reduce posterior capsule opacification (PCO). SETTING: Department of
Ophthalmology, EENT Hospital, Fudan University, Shanghai, Peoples Republic of
China. METHODS: Free tranilast (TFree) was incorporated into polylactic acid
microspheres and then tested using a rabbit model of PCO. Twenty-nine rabbits
were randomized into 5 groups treated with balanced saline solution (BSS
control); TFree; or 0.5, 1.0, or 2.0 mg tranilast microspheres (TMicro). Standard
phacoemulsification cataract surgery, including manual aspiration of all visible
soft lens matter, was performed in all groups. The selected test agent was then
injected into the lens capsule. Postoperative clinical examinations were
performed at 1, 3, 7, 14, 30, 60, and 90 days. Posterior capsule opacification
was quantified using high-resolution computer image analysis at 1, 2, and 3
months. Histological examination was performed at 3 months. RESULTS: Eyes treated
with TMicro had significantly less PCO than the eyes in the BSS and TFree groups.
While the BSS control eyes had increased PCO over 3 months, eyes in the TMicro
group had reduced PCO over time in a dose-dependent fashion. Histological
examination showed reduced lens epithelial cell proliferation in the TMicro
groups, with no manifest damage to the cornea, iris, or retina compared with the
BSS controls. There was a transient increase in postoperative inflammation in all
tranilast-treated groups compared with the BSS controls. CONCLUSION: Sustained
release intracapsular tranilast reduced PCO in an experimental model of PCO,
suggesting further investigation of its therapeutic potential is justified.
PMID- 18053916
TI - Ingress of bacterial inoculum into the anterior chamber after bimanual and
microcoaxial phacoemulsification in rabbits.
AB - PURPOSE: To compare the amount of bacterial ingress from the ocular surface into
the anterior chamber at the end of bimanual and microcoaxial phacoemulsification
in rabbits. SETTING: Iladevi Cataract & IOL Research Centre, Raghudeep Eye
Clinic, Memnagar, Ahmedabad, India. METHODS: This randomized study comprised 40
eyes of 20 rabbits. Rabbits had microcoaxial phacoemulsification through a 2.2 mm
single-plane clear corneal incision or bimanual phacoemulsification through a
clear corneal 1.2 mm incision for phaco tip access accompanied by an additional
1.4 mm incision for irrigating chopper access. At the end of lens removal, 0.5 mL
of culture suspension of Staphylococcus epidermidis (105 colony-forming units
[CFU]/mL) was instilled on the ocular surface and kept for 2 minutes. Next, 0.1
mL of aqueous fluid was collected from the anterior chamber and subjected to a
microbial viable count. RESULTS: The microcoaxial group had statistically
significantly lower ingress of bacteria than the bimanual group (250.0 CFU/mL and
1538.1 CFU/mL, respectively) (P<.002) irrespective of the presence or absence of
wound distortion. CONCLUSION: Bacterial ingress occurred in both groups, although
it was statistically significantly higher in the bimanual group.
PMID- 18053917
TI - Diffuse lamellar keratitis after corneal crosslinking in a patient with post
laser in situ keratomileusis corneal ectasia.
AB - A 27-year-old man presented with corneal ectasia in his left eye 4 years after
myopic laser in situ keratomileusis (LASIK) and was treated with riboflavin
ultraviolet-A (crosslinking). During the first post-treatment days, diffuse
lamellar keratitis (DLK) (stage III) developed. The microbiology culture was
negative. After intensive treatment with topical corticosteroids, the DLK
resolved during the following 2 weeks. Crosslinking for post-LASIK corneal
ectasia may induce DLK. Early diagnosis and appropriate treatment with intensive
topical corticosteroids is essential to successfully manage this post
crosslinking complication.
PMID- 18053918
TI - Intacs to stabilize diurnal variation in refraction after radial keratotomy.
AB - We present a patient who was dissatisfied with the refractive results of the
radial keratotomy procedure he had 8 years previously, specifically the
instability of the visual acuity and refractive error throughout the day. To
reverse the biomechanical disturbance caused by the incisions, an intracorneal
ring segment (Intacs, Addition Technology, Inc.) was inserted in the inferior
cornea. The variation in refraction decreased from 1.50 and 2.25 diopters (D) to
0.25 and 0.50 D, resulting in a very satisfied patient.
PMID- 18053919
TI - Intraoperative floppy-iris syndrome and finasteride intake.
AB - We report 2 male patients who had bilateral cataract surgery. Both patients had
features of the intraoperative floppy-iris syndrome (IFIS) in both eyes, and both
were taking oral finasteride for the management of benign prostatic hyperplasia
(BPH). We conclude that finasteride can be associated with IFIS, so it is
important to take detailed medical histories of patients having cataract surgery,
paying attention to a history of BPH and its treatment.
PMID- 18053920
TI - Possible protection of the posterior segment by a phakic intraocular lens.
AB - A 39-year-old man was referred with complaints of pain, redness, and reduced
vision in the left eye after projectile trauma during hammering. Two years
previously, anterior chamber phakic intraocular lenses (pIOLs) had been implanted
to correct the patient's high myopia. On presentation, the best corrected visual
acuity was 20/30 in the right eye and 20/50 in the left eye. Slitlamp examination
disclosed a central self-sealed corneal laceration in the left eye, and
gonioscopy and a high-resolution computed tomography scan showed a metallic
intraocular foreign body (IOFB) lodged in the anterior chamber angle. After the
foreign body was removed, the patient regained his previous visual acuity. In
this case, the pIOL may have prevented further penetration of the IOFB and
protected the crystalline lens and posterior segment.
PMID- 18053921
TI - Cataract surgery and anterior megalophthalmos: custom intraocular lens and
special considerations.
AB - We report a patient who presented with anterior megalophthalmos: corneal diameter
of nearly 17.0 mm, anterior chamber depth of 7.0 mm, mild lens subluxation, and
nuclear sclerotic cataract. Surgical management consisted of a scleral tunnel
incision, capsule staining, a predetermined capsulorhexis size, microcoaxial
phacoemulsification with torsional ultrasound, and implantation of a custom IOL
to ensure endocapsular fixation. Special consideration must be given to the
patient with a very large anterior segment.
PMID- 18053922
TI - Traumatic fracture of posterior chamber intraocular lens.
AB - An 18-year-old man developed a fracture in a single-piece polymethylmethacrylate
posterior chamber (PC) intraocular lens (IOL) at the optic-haptic junction with
dislocation of the IOL into the anterior chamber after a fist injury to the eye.
The dislocated IOL was explanted and replaced with another PC IOL.
PMID- 18053923
TI - Bilateral asymmetrical isolated lens coloboma with persistent pupillary membrane.
PMID- 18053924
TI - Tisseel and Tutoplast cover.
PMID- 18053925
TI - Bromphenol blue staining in eyes with mature cataract.
PMID- 18053926
TI - Combo-chop nuclear disassembly.
PMID- 18053927
TI - Science, medicine and nationalism in the Habsburg Empire from the 1840s to 1918.
PMID- 18053928
TI - 'A civilizing mission'? Austrian medicine and the reform of medical structures in
the Ottoman Empire, 1838-1850.
AB - During the 1840s, physicians from the Habsburg Empire played a decisive role in
the reform of medical structures in the Ottoman Empire. This paper discusses
different aspects of this scientific and cultural encounter. It emphasizes the
importance of Austrian health care structures as a model for the work of these
physicians in the Ottoman Empire and studies the role of the medical school ran
by the Austrians as a means of representing, on the one hand, the reformatory
efforts of the Ottoman Empire and, on the other hand, the motivations of the
Habsburg monarchy for an involvement in Ottoman health care affairs, strongly
bound up with its own quarantine politics towards the Ottoman Empire.
PMID- 18053929
TI - The Magyar moustache: the faces of Hungarian state formation, 1867-1918.
AB - This paper outlines the history of Hungarian ethnography and anthropology and
their role in the construction of the nation and Hungarian liberalism in the
Dualist period (1867-1918). Affected by the specific socio-political conditions
of this ethnically most diverse country of contemporary Europe, the disciplinary
trajectories of Hungarian ethnography and anthropology diverge considerably from
the models offered by the historiography in the British, French and German
contexts. The paper argues that the pluralistic, cultural and strongly
integrative ethnographic tradition that prevailed in Hungary in the last decades
of the nineteenth century did not notably wane and shift towards a biological,
hierarchical and racialist thinking by the end of the First World War.
Furthermore, Hungarian liberalism did not simply provide the milieu for these
disciplines to flourish, but was itself partly the result of these disciplines'
attempts to formulate the very concepts of ethnicity and race.
PMID- 18053930
TI - Psychiatric institutions, their architecture, and the politics of regional
autonomy in the Austro-Hungarian monarchy.
AB - This paper examines the planning process and architecture of two public
psychiatric institutions built around 1900 in Trieste and Lower Austria. From
1864, the building of new asylums was the responsibility of Crown land
governments, which by the end of the nineteenth century had emerged as sites of
power and self-presentation by minority groups and new political parties. At the
same time, the area of asylum planning was establishing itself as a branch of
asylum psychiatry and promoting the idea of the asylum as model settlement,
contributing to social reform. I analyse the interaction of psychiatrists,
architects and government officials involved in planning the two institutions in
the context of Crown land governments' self-positioning within the empire and
internationally (especially in relation to Italy and Germany). The Trieste asylum
planners were working for a government controlled by Italian nationalists, but
their desire for a 'modern' asylum turned them towards German models. The
Christian Social government in Lower Austria sought to supplant Germany as the
leader in this branch of science. The spatial arrangement and visual articulation
of the two asylums is interpreted in the context of the interaction of psychiatry
and the politics of regional autonomy.
PMID- 18053931
TI - Surgery and national identity in late nineteenth-century Vienna.
AB - For historians of medicine, the professor Theodor Billroth of the University of
Vienna was the leading European surgeon of the late nineteenth century and the
personification of intervention by organ or body part removal. For social and
political historians, he was a German nationalist whose book on medical education
heralded the rise of anti-Semitism in the Austrian public sphere. This article
brings together and critically reassesses these two hitherto separate accounts to
show how, in a period of dramatic social and political change, Viennese surgery
split into two camps. One, headed by Billroth, was characterized by an alliance
with the German educational model, German nationalism leading to racial anti
Semitism and an experimental approach to the construction of surgical procedure,
which heavily relied on the methods of pathological physiology. The other, which
followed a long Austrian tradition, stood for a clinically oriented and strictly
organized medical education that catered to an ethnically and socially diverse
population and, simultaneously, for an anatomically oriented surgery, largely of
the locomotor apparatus. This study shows how, in a major centre of medical
education and capital of a multiethnic empire, surgical and national identities
were forged together.
PMID- 18053932
TI - Towards a philosophy of microbiology.
PMID- 18053933
TI - The structure of microbial evolutionary theory.
AB - The study of microbial phylogeny and evolution has emerged as an
interdisciplinary synthesis, divergent in both methods and concepts from the
classical evolutionary biology. The deployment of macromolecular sequencing in
microbial classification has provided a deep evolutionary taxonomy hitherto
deemed impossible. Microbial phylogenetics has greatly transformed the landscape
of evolutionary biology, not only in revitalizing the field in the pursuit of
life's history over billions of years, but also in transcending the structure of
thought that has shaped evolutionary theory since the time of Darwin. A trio of
primary phylogenetic lineages, along with the recognition of symbiosis and
lateral gene transfer as fundamental processes of evolutionary innovation, are
core principles of microbial evolutionary biology today. Their scope and
significance remain contentious among evolutionists.
PMID- 18053934
TI - Hybrids, pure cultures, and pure lines: from nineteenth-century biology to
twentieth-century genetics.
AB - Prompted by recent recognitions of the omnipresence of horizontal gene transfer
among microbial species and the associated emphasis on exchange, rather than
isolation, as the driving force of evolution, this essay will reflect on
hybridization as one of the central concerns of nineteenth-century biology. I
will argue that an emphasis on horizontal exchange was already endorsed by
'biology' when it came into being around 1800 and was brought to full fruition
with the emergence of genetics in 1900. The true revolution in nineteenth-century
life sciences, I maintain, consisted in a fundamental shift in ontology, which
eroded the boundaries between individual and species, and allowed biologists to
move up and down the scale of organic complexity. Life became a property
extending both 'downwards', to the parts that organisms were composed of, as well
as 'upwards', to the collective entities constituted by the relations of exchange
and interaction that organisms engage in to reproduce. This mode of thinking was
crystallized by Gregor Mendel and consolidated in the late nineteenth-century
conjunction of biochemistry, microbiology and breeding in agro-industrial
settings. This conjunction and its implications are especially exemplified by
Wilhelm Johannsen's and Martinus Beijerinck's work on pure lines and cultures. An
understanding of the subsequent constraints imposed by the evolutionary synthesis
of the twentieth century on models of genetic systems may require us to rethink
the history of biology and displace Darwin's theory of natural selection from
that history's centre.
PMID- 18053935
TI - Bacteria are small but not stupid: cognition, natural genetic engineering and
socio-bacteriology.
AB - Forty years' experience as a bacterial geneticist has taught me that bacteria
possess many cognitive, computational and evolutionary capabilities unimaginable
in the first six decades of the twentieth century. Analysis of cellular processes
such as metabolism, regulation of protein synthesis, and DNA repair established
that bacteria continually monitor their external and internal environments and
compute functional outputs based on information provided by their sensory
apparatus. Studies of genetic recombination, lysogeny, antibiotic resistance and
my own work on transposable elements revealed multiple widespread bacterial
systems for mobilizing and engineering DNA molecules. Examination of colony
development and organization led me to appreciate how extensive multicellular
collaboration is among the majority of bacterial species. Contemporary research
in many laboratories on cell-cell signaling, symbiosis and pathogenesis show that
bacteria utilise sophisticated mechanisms for intercellular communication and
even have the ability to commandeer the basic cell biology of 'higher' plants and
animals to meet their own needs. This remarkable series of observations requires
us to revise basic ideas about biological information processing and recognise
that even the smallest cells are sentient beings.
PMID- 18053936
TI - From quorum to cooperation: lessons from bacterial sociality for evolutionary
theory.
AB - The study of cooperation and altruism, almost since its inception, has been
carried out without reference to the most numerous, diverse and very possibly
most cooperative domain of life on the planet: bacteria. This is starting to
change, for good reason. Far from being clonal loners, bacteria are highly social
creatures capable of astonishingly complex collective behaviour that is mediated,
as it is in colonial insects, by chemical communication. The article discusses
recent experiments that explore different facets of current theories of the
evolution and maintenance of cooperation using bacterial models. Not only do
bacteria hold great promise as experimentally tractable, rapidly evolving systems
for testing hypotheses, bacterial experiments have already raised interesting
questions about the assumptions on which our current understanding of cooperation
and altruism rests.
PMID- 18053937
TI - Metagenomics and biological ontology.
AB - Metagenomics is an emerging microbial systems science that is based on the large
scale analysis of the DNA of microbial communities in their natural environments.
Studies of metagenomes are revealing the vast scope of biodiversity in a wide
range of environments, as well as new functional capacities of individual cells
and communities, and the complex evolutionary relationships between them. Our
examination of this science focuses on the ontological implications of these
studies of metagenomes and metaorganisms, and what they mean for common sense and
philosophical understandings of multicellularity, individuality and organism. We
show how metagenomics requires us to think in different ways about what human
beings are and what their relation to the microbial world is. Metagenomics could
also transform the way in which evolutionary processes are understood, with the
most basic relationship between cells from both similar and different organisms
being far more cooperative and less antagonistic than is widely assumed. In
addition to raising fundamental questions about biological ontology, metagenomics
generates possibilities for powerful technologies addressed to issues of climate,
health and conservation. We conclude with reflections about process-oriented
versus entity-oriented analysis in light of current trends towards systems
approaches.
PMID- 18053938
TI - Epistemological issues in the study of microbial life: alternative terran
biospheres?
AB - The assumption that all life on Earth today shares the same basic molecular
architecture and biochemistry is part of the paradigm of modern biology. This
paper argues that there is little theoretical or empirical support for this
widely held assumption. Scientists know that life could have been at least
modestly different at the molecular level and it is clear that alternative
molecular building blocks for life were available on the early Earth. If the
emergence of life is, like other natural phenomena, highly probable given the
right chemical and physical conditions then it seems likely that the early Earth
hosted multiple origins of life, some of which produced chemical variations on
life as we know it. While these points are often conceded, it is nevertheless
maintained that any primitive alternatives to familiar life would have been
eliminated long ago, either amalgamated into a single form of life through
lateral gene transfer (LGT) or alternatively out-competed by our putatively more
evolutionarily robust form of life. Besides, the argument continues, if such life
forms still existed, we surely would have encountered telling signs of them by
now. These arguments do not hold up well under close scrutiny. They reflect a
host of assumptions that are grounded in our experience with large multicellular
organisms and, most importantly, do not apply to microbial forms of life, which
cannot be easily studied without the aid of sophisticated technologies.
Significantly, the most powerful molecular biology techniques available
polymerase chain reaction (PCR) amplification of rRNA genes augmented by
metagenomic analysis-could not detect such microbes if they existed. Given the
profound philosophical and scientific importance that such a discovery would
represent, a dedicated search for 'shadow microbes' (heretofore unrecognized
'alien' forms of terran microbial life) seems in order. The best place to start
such a search is with puzzling (anomalous) phenomena, such as desert varnish,
that resist classification as 'biological' or 'nonbiological'.
PMID- 18053940
TI - Infant feeding: initiation, problems, approaches.
PMID- 18053943
TI - First human experience with local delivery of novel antisense AVI-4126 with
Infiltrator catheter in de novo native and restenotic coronary arteries: 6-month
clinical and angiographic follow-up from AVAIL study.
AB - BACKGROUND: A novel antisense phosphorodiamidate morpholino oligomer, AVI-4126,
was shown to be effective in reducing neointimal formation in different animal
models following delivery by pluronic gels, porous balloon catheters, and coated
stents. The purpose of the AVAIL study was to investigate both the safety and the
efficacy of AVI-4126 delivered locally via Infiltrator catheter after
percutaneous coronary intervention in humans. METHODS: The AVAIL trial is a
prospective, evaluator-blinded, randomized study including clinical follow-up at
30 days and 6 months after intervention and 6-month angiographic and
intravascular ultrasound (IVUS) follow-up. An Infiltrator catheter was advanced
to target lesion and either drug was delivered (Groups A and B) or catheter was
advanced (Group C) after stent implantation in de novo lesions or percutaneous
transluminal coronary angioplasty in restenotic lesions. Primary end points
include major adverse cardiovascular events (MACE), target vessel
revascularization (TVR), angiographic restenosis, and IVUS at 6 months. RESULTS:
Forty-four patients with either de novo lesions or restenosis were randomized
into three groups: (A) low dose, 3 mg (19 patients); (B) high dose, 10 mg (15
patients), and (C) control (10 patients). Baseline angiographic characteristics
did not differ between the groups (reference vessel diameter, 2.5-4 mm; lesion
length, <16 mm). Procedural success was 81. 82% (unable to advance Infiltrator
catheter to target lesion in 8 patients, 5 from Group B and 3 from Group C).
There was no in-hospital or 30-day MACE recorded in any group. Clinical follow-up
was available in 25 patients. At 6 months, four patients (50%) from the control
group (Group C, n=8) and 7 (100%) patients from the low-dose group (Group A, n=7)
required TVR. In contrast, in the high-dose group (Group B, n=10) only 1 patient
(10%) needed TVR. Angiographic follow-up in 25 patients (Group A, 8 patients;
Group B, 7 patients; and Group C, 10 patients) demonstrated late loss of 1.4+ to
0.54, 0.8+ to 0.55, and 1.5+ to 0.65, respectively (P=.025). Binary restenosis
was 38% in Group C (control), 29% in Group A (low dose), and 0% in Group B (high
dose). CONCLUSION: Local delivery of antisense is feasible. These preliminary
findings from the small cohort of patients require confirmation in a larger trial
utilizing more sophisticated drug-eluting technologies.
PMID- 18053944
TI - Angiographic and clinical characteristics associated with the removable plaque
components by means of thrombectomy catheters in patients with myocardial
infarction.
AB - BACKGROUND: Previous studies have demonstrated that atheroembolism during
percutaneous coronary intervention is associated with myocardial damage. The
purpose of this study is to investigate the clinical and angiographic
characteristics related to removable plaque elements in patients undergoing
thrombectomy for myocardial infarction. METHODS: Eighty consecutive lesions in 80
patients (M/F=58/22, age 65.5+/-11.6 years) with myocardial infarction who
underwent thrombectomy (TVAC system, Nipro, Osaka, Japan) prior to mechanical
dilatation (balloon angioplasty and/or stent implantation) were investigated.
Visible debris was collected and plaque elements (cholesterol clefts and/or foamy
cells) were investigated pathologically. Baseline angiographic characteristics
[baseline thrombolysis in myocardial infarction (TIMI) grade, culprit lesion,
haziness, lesion length, ostium, bifurcation, calcification, eccentricity,
thrombus, and multivessel] were analyzed, and predictive angiographic and
clinical factors for plaque elements were investigated. RESULTS: There were no
complications related to thrombectomy. Final TIMI grade 3 and blush grade 2 or 3
were achieved in 75 (94%) and 66 (83%) patients, respectively. Visible debris
specimens were obtained in 49 (61%) patients. Histological plaque elements
(cholesterol clefts and/or foamy cells) were observed in 27 out of 49 patients
with debris specimens. There was no significant difference in the clinical
characteristics between the groups of patients with (group P) and without (group
NP) plaque elements. Aspirated plaque elements were more frequently observed in
discrete and eccentric lesions (group P vs. group NP: discreteness, 52% vs. 28%,
P<.05; eccentricity, 67% vs. 36%, P<.05). CONCLUSIONS: This study demonstrated
the clinical characteristics associated with removable plaque components in
patients with myocardial infarction undergoing thrombectomy by means of the TVAC
system. Discreteness and eccentricity were more frequently observed in lesions
with removable plaque elements.
PMID- 18053945
TI - Stent thrombosis following drug-eluting stent implantation. A single-center
experience.
AB - BACKGROUND: The risk of stent thrombosis (ST) following drug-eluting stent (DES)
implantation may extend beyond the initial period after successful implantation.
METHODS: We evaluated the incidence, timing, and clinical outcomes of patients
who presented with DES-related early (30 days)
angiographic ST. Between 1/2004 and 9/2006, a total of 1339 patients underwent
DES implantation (90% using Cypher stents) at our institution. Dual antiplatelet
therapy was recommended for 3 to 12 months. Clinical follow-up was obtained and
adjudicated at 1 and 6 months following any ST event. RESULTS: We identified
eight patients (0.6% of the total patients treated with DES) with definite ST.
Their mean age was 67+/-13 years. Six patients (75%) were male and 37.5% (3/8)
had diabetes. Acute myocardial infarction (AMI) was the clinical presentation in
87.5% of patients. Time to ST was 4 days in two (25%) of eight patients. The
other six patients (75%) had late ST (>30 days). The median time to late ST was
480 days (range: 90-1080 days). Two patients had recurrent events of late ST. All
cases of late ST, except one, occurred after clopidogrel treatment was
discontinued. Median time from clopidogrel withdrawal to late ST was 18 months
(range: 0.5-35 months). At 6 months' follow-up from the time of ST, the
subsequent major adverse cardiac event (MACE) rate (including death, re
infarction, recurrent ST or need for emergent CABG) was 62.5% and overall and/or
cardiac mortality rate was 12.5%. CONCLUSION: We found that ST occurred
infrequently (0.6%) and the majority (75%) of patients developed ST late (>30
days) and beyond the period recommended for dual anti-platelet pharmacotherapy.
Major adverse cardiac events following ST are substantial at 6 months and thus
deserve careful clinical attention.
PMID- 18053946
TI - Incidence of extracerebral paradoxical embolisms in patients with intracardiac
shunts.
AB - BACKGROUND: Although extracerebral embolism accounts for 5-10% of all paradoxical
embolisms, it still remains a ghostlike entity in cardiovascular pathophysiology.
The aim of this brief report was to analyze the profile of patients with
paradoxical extracerebral embolism and intracardiac shunts, and the role of shunt
closure on the recurrence of extracerebral paradoxical embolism (EPE) in a
population of patients evaluated for patent foramen ovale (PFO)/atrial septal
defect (ASD) transcatheter closure. METHODS: From July 2003 to December 2006, 150
patients (mean age 51.4+/-15.1 years, range13-78 years, M/F=49/101) were planned
for transcatheter closure of PFO/ASD at our institutional program of Adult
Congenital Heart Disease Management. Clinical history and medical records of all
patients were reviewed searching for association of PFO/ASD, stroke, and
presumptive EPE. RESULTS: Association of PFO with presumptive EPE was found in
nine patients (6%, mean age 40.1+/-14 years, M/F=3/6). Five patients had ST
elevation myocardial infarction (mean value of troponin was 15.3+/-2.1 ng/ml),
while four patients had inferior limb acute ischemia. In patients with coronary
embolism, coronary angiography was performed immediately after chest pain onset
revealing normal coronary artery and only a mild hypokinesia. In patients with
peripheral acute ischemia, early (>4 h from symptoms onset) angiography
demonstrated normal main peripheral vessel and an embolic closure of popliteal
artery (one patient), distal tibial artery (two patients), or peroneal artery
(one patient) that normalized with heparin therapy in a few hours except in one
patient. Migraine with aura was present in seven of nine patients. Cerebral MRI
revealed previous ischemic areas in four of nine patients. Coagulation disorders
were detected in six of nine patients. Echocardiography demonstrated a large to
medium PFO in seven patients and a cribrosus ASD in two patients. CONCLUSION:
Although a large study is required to assess optimal diagnosis and clinical
implications of EPE, the clinical profile emerging from our study may help to
identify some easy criteria of diagnosis in order to improve diagnosis and
decrease the recurrence of such probably underestimated manifestations of
PFO/ASD.
PMID- 18053947
TI - Early vascular response to overlapped paclitaxel-eluting stents in swine coronary
arteries.
AB - BACKGROUND: The early response to the TAXUS Express2 paclitaxel-eluting stent
(PES) system was compared to the response to the Express2 bare metal stent (BMS)
system in porcine arteries. METHODS: Swine coronary arteries were implanted with
overlapping PES or BMS and examined at 1, 2, 4, 10, and 20 days postimplantation
using scanning electron microscopy or light microscopy. RESULTS: Vascular healing
in terms of strut coverage, reendothelialization, degree of inflammation, and
absence of thrombus was equivalent in both groups from 1 to 20 days. Interstrut
member spaces were unaffected by stent deployment and remained covered with
endothelium from Day 1. In both groups at 2 days, small patches of endothelial
cells covered approximately 5-10% of the stent surface. At 4 days, endothelial
cell coverage progressed to nearly 50% in both groups. After 10 days, endothelial
cell strut coverage was nearly complete (>90%), with regions of incomplete
coverage located primarily in strut overlap regions in both groups. BMS exhibited
a fibrocellular neointima and no parastrut fibrin, whereas PES exhibited a
developing but immature fibrocellular neointima and prominent parastrut fibrin.
By Day 20, an endothelialized neointima was present in both groups, with
comparable coverage of proximal and distal stented regions. The neointima of PES
was more fibrocellular and parastrut fibrin was still comparable to that at 10
days. CONCLUSION: Early vascular response was comparable for both PES and BMS,
with similar rates of reendothelialization, limited inflammatory response, and
absence of thrombus, but differed parastrut fibrin clearance and neointimal
maturation rate.
PMID- 18053948
TI - Efficacy and safety of pimecrolimus-eluting stents in porcine coronary arteries.
AB - OBJECTIVE: We aimed to evaluate an effective dosage and safety profile of
pimecrolimus as an anti-inflammatory drug for drug-eluting stents. METHODS: In
the dose finding study, coronary arteries of 20 domestic swine were randomly
implanted with bare metal stents (ProKinetic and Guidant Vision), the ProKinetic
stent with polylactic acid (PLLA), and pimecrolimus-eluting stents (32, 75, and
120 microg) over a period of 4 weeks. In addition, pimecrolimus (75 microg) and
ProKinetic stents were randomly implanted into six swine over 3 months. In the
safety study, the ProKinetic stent, the ProKinetic stent with PLLA, mid- (45
microg) and high-dose pimecrolimus (120 microg), and overlapping mid-dose stents
were implanted over a period of 4 weeks. Mid-dose, ProKinetic stent, and
ProKinetic stent with PLLA were implanted over a period of 3 months. RESULTS: The
dose finding study revealed excellent luminal patency with low percent occlusion
(approximately 29% vs. approximately 41%), injury (0.53-0.59 vs. 1.25), and
inflammation (0.78-0.97 vs. 1.08) for the pimecrolimus group compared with the
vision group. The safety study arm showed similar angiographic results for all
tested groups, with a significantly larger minimal lumen diameter for
pimecrolimus stents compared to PLLA stents. Except for the high-dose group and
overlapping area of the overlapping group, promising morphometric results were
found for pimecrolimus compared to bare metal stents. CONCLUSIONS: Present data
suggest that pimecrolimus-eluting stents are safe and have a similar healing
profile to bare metal stents. They may suppress inflammation, leading to a
reduced intimal response and a milder inflammatory reaction in a porcine model.
PMID- 18053949
TI - Right ventricular involvement in a patient with isolated noncompaction of the
ventricular myocardium.
AB - Isolated noncompaction of the ventricular myocardium (INVM) is an unclassified
cardiomyopathy and is thought to be due to arrest of myocardial morphogenesis.
Although right ventricular involvement is not uncommon, the correct diagnosis is
often difficult by echocardiography. In this report, we describe a patient with
INVM in whom magnetic resonance imaging was useful to detect right ventricular
morphological and functional abnormalities.
PMID- 18053950
TI - Left main coronary artery aneurysm: report of three cases.
AB - Left main coronary artery (LMCA) aneurysm is a rare coronary abnormality defined
as localized coronary artery dilatations>1.5 to 2 times the diameter of the
adjacent segments. The incidence of coronary artery aneurysm varies between 0.15%
and 4.9%. It can be recognized by coronary angiography or multidetector computed
tomography. Here, we present three cases of LMCA aneuryms, of one which firstly
diagnosed by multidetected computed tomography.
PMID- 18053951
TI - Glycoprotein IIb/IIIa inhibitors: questioning indications and treatment
algorithms.
AB - Glycoprotein inhibitors (GPI) are viewed as beneficial adjunctive pharmacotherapy
agents for percutaneous coronary interventions (PCIs). The major benefit of GPI
is derived from the reduction of ischemic events (mostly non-Q-wave myocardial
infarctions) during PCI. There is no single randomized clinical trial
demonstrating that any of these agents significantly reduces mortality in any
clinical subset of patients. Studies of sustained oral GPI resulted in excessive
death and myocardial infarctions. Reduction of ischemic end points was
counteracted by excessive bleeding, vascular complications, and thrombocytopenia.
These complications bear considerable medical and economic impact. The Acute
Catheterization and Early Intervention Triage Strategy trial demonstrated that
GPI, when added to heparin, enoxaparine, or bivalirudin, do not reduce mortality
or ischemic events but significantly increase bleeding complications. Major
bleeding resulted in threefold mortality at 1 year. In view of available data,
the use of GPI should be limited to moderate-risk to high-risk PCI patients with
low bleeding propensity. Protocols of abbreviated GPI administration and careful
bleeding surveillance, in conjunction with lower doses of unfractionated heparin
or new and possibly safer antithrombins, can potentially improve patient safety.
PMID- 18053952
TI - Review: transient left ventricular apical ballooning, broken heart syndrome,
ampulla cardiomyopathy, atypical apical ballooning, or Tako-Tsubo cardiomyopathy.
AB - BACKGROUND: Transient left ventricular apical ballooning syndrome, also called
"Tako-Tsubo cardiomyopathy," is increasingly being recognized as a cause of
cardiomyopathy occurring during stress, with characteristic apical ballooning
appearance of the left ventricle and the finding of normal coronary arteries on
angiogram. In this manuscript, we review the available literature about the
pathogenesis and characteristics of this syndrome.
PMID- 18053953
TI - Optical coherence tomographic imaging of a bioabsorbable magnesium stent lost in
a porcine coronary artery.
PMID- 18053954
TI - Volunteer search and rescue and the American Red Cross: a Katrina response
experience.
AB - Major disasters like Hurricane Katrina cause severe damage. Often the bulk of the
attention and aid is given to larger urban areas. Rural areas with its mix of
poor and wealthy are often given far less attention or help is slower to arrive.
These rural areas-where less is present before the disaster-often have nothing
left after the event. This article chronicles the experience of a volunteer
search and rescue organization working through the American Red Cross in its
attempt to provide much-needed aid to one rural area in southwestern Mississippi.
PMID- 18053955
TI - Nicaragua: an example of commitments and strengths despite problems of poverty.
AB - Nicaragua is located in the middle of the Central American isthmus between the
countries of Honduras and Costa Rica. It is the largest Central American country
and is equivalent in size to the state of Georgia. Nicaragua is cited by Pan
American Health Organization as one of the poorest third-world countries. One
factor that continues to contribute to Nicaragua's chronic poverty state is the
demographics of the country. Nearly half of all Nicaraguans are under 15 years of
age, and more than a quarter are between the ages of 15 and 29 years. Only a
quarter of the population is over 30 years of age. Beyond the hardship and
poverty, there is a country rich in beauty. Nicaragua has a beautiful countryside
with lush green mountains, black sand beaches of the Pacific Ocean, and the
natural wonder of active volcanoes. It is easy to become engulfed by the
tranquility of these surroundings and to steer away from the harsh conditions of
the country. It is, however, a temporary escape from reality, for it was the
hardships and unfavorable circumstances of this country that are never forgotten
and which persist until today. This article focuses on a variety of interventions
used to assist Nicaragua with their health care and state of well-being.
PMID- 18053956
TI - Nursing recruitment: the importance of highlighting the diverse career paths for
nurses.
PMID- 18053957
TI - House and street: narratives of professional identity among nurses.
AB - This study describes narratives of professional identity among nurses in Rio de
Janeiro, Brazil. Semistructured interviews were carried out with 27 nursing
professionals working in public hospitals in the city of Rio de Janeiro; in
addition, the male:female ratio of graduate students of a nurse faculty in Rio de
Janeiro was evaluated. Although the meaning of nursing is changing in Brazil, it
is still strongly influenced by traditional values. We are observing in the last
decades a shift in the meaning of nursing all over the world: from a female,
domestic, and unvalued vocation to a more technical, masculine, and higher status
profession. In Brazil, a coexistence of these two meanings, with a preponderance
of the old traditional one, was observed. Change comes slowly. When the old model
is challenged, it is often observed that the number of nurses per service
decreases. The nurse often becomes the manager or leader of the unit. In these
situations, patient care is displaced to less well trained individuals like
technicians and nurse assistants who are not as well paid and, therefore, cost
less to the system, while the responsibility of the results remains in the
nurse's domain.
PMID- 18053958
TI - One year later: reflections and work activities of accelerated second-degree
bachelor of science in nursing graduates.
AB - PURPOSE: This article shares the reflections and work activities of a cohort of
graduates from an accelerated bachelor of science in nursing program. This survey
was conducted following the 1-year anniversary of the students' graduation.
SOURCE OF INFORMATION: Information for this study came from a mailed survey
completed by program graduates. CONCLUSIONS: These graduates perceived that they
had been effectively prepared through their program of study. Graduates'
perception that they had a knowledge base for management of patient care is
further evidence that accelerated programs can be successful in conveying an
understanding of discipline-specific concepts and professional judgment. An
important finding for the nursing workforce is that retention of these graduates
is better than the norm. In addition, if the finding of the percentage of
students planning to remain at the bedside is a consistent finding in future
studies, this population of nurses may provide stability of the nurses practicing
in the acute care setting.
PMID- 18053959
TI - Web-based instruction: getting faculty onboard.
AB - Although many colleges and universities have embraced distance education, a
significant number still have not. Approximately 40% of faculty from these
institutions have not accepted the value and legitimacy of online education
[Allen, I. A., & Seaman, J. (2003). Sizing the opportunity: The quality and
extent of online education in the United States, 2002, 2003. Needham, Mass: The
Sloan Consortium]. One reason for this may be that faculty are not sufficiently
informed about online learning and the role they might play in teaching in this
environment. A number of salient issues are addressed: who our students are; what
drives colleges and universities to offer distance education; which educational
theory underpins distance education; how distance education fares in terms of
quality as compared with face-to-face instruction; what the advantages and
disadvantages of teaching online are; how teaching style is affected; and what
types of support faculty need in providing instruction in this medium. Some
recommendations are included for faculty who are considering teaching online. In
this article, distance education refers to an asynchronous, web-based, and online
format.
PMID- 18053960
TI - Creating effective advisory boards for schools of nursing.
AB - Increasingly, a significant priority for the dean and faculty in schools of
nursing is fundraising. Raising financial resources is highly competitive and
requires sophisticated approaches to building relationships with individual
donors, government agencies, private foundations, and corporations. Fundraising
efforts need to be designed to cultivate alumni, parents, and friends as key
leaders educated in the work of the school, its vision for the future, and the
nursing profession. Advisory boards, with an emphasis on development, can
effectively nurture such leaders who are fully versed in the strategic vision of
the school and who are willing to provide financial support and access to a broad
community of interest. An integrated approach that capitalizes on the expertise
and knowledge of the dean, the faculty, advancement officers, and a carefully
selected board chair forms the foundation of a successful model for development
focused advisory boards. Advisory board implementation is discussed from the
perspective of a clearly articulated board charge, selection and recruitment,
board retreat, assessment of interest and inclination through an annual board
planning process, engagement in priority project planning with the faculty, and
careful cultivation toward deepened relationships and funding.
PMID- 18053961
TI - Recognizing and avoiding intercultural miscommunication in distance education a
study of the experiences of Canadian faculty and aboriginal nursing students.
AB - Language differences and diverse cultural norms influence the transmission and
receipt of information. The online environment provides yet another potential
source of miscommunication. Although distance learning has the potential to reach
students in cultural groups that have been disenfranchised from traditional
higher education settings in the past, intercultural miscommunication is also
much more likely to occur through it. There is limited research examining
intercultural miscommunication within distance education environments. This
article presents the results of a qualitative study that explored the
communication experiences of Canadian faculty and Aboriginal students while
participating in an online baccalaureate nursing degree program that used various
delivery modalities. The microlevel data analysis revealed participants' beliefs
and interactions that fostered intercultural miscommunication as well as their
recommendations for ensuring respectful and ethically supportive discourses in
online courses. The unique and collective influences of intercultural
miscommunication on the experiences of faculty and students within the courses
are also identified. Instances of ethnocentrism and othering are illustrated,
noting the effects that occurred from holding dualistic perspectives of us and
them. Lastly, strategies for preventing intercultural miscommunication in online
courses are described.
PMID- 18053962
TI - Building bridges in academic nursing and health care practice settings.
AB - The purpose of this article is to describe the development and implementation of
a shared position with a focus on evidence-based practice (EBP) between the
Visiting Nurse Service of New York and the Lienhard School of Nursing, Pace
University. A preexisting relationship between the two institutions in providing
student experiences in a community setting paved the way for the evolution a new
collaborative effort. The shared position was initially conceived by two of the
authors as an outcome of research they were conducting in the home care setting,
which tested a model of mentoring frontline nurses in the EBP process on health
care professional and patient outcomes. An initial conception of the new role
provided a working document for discussions between the two institutions and the
creation of a workable contract. The implementation of several initiatives has
already provided support for the position, and each partner has benefited from
building this bridge between nursing education and service. Benefits include
advancing knowledge of and implementing EBP in both settings and promoting
collaborative, clinically related scholarship.
PMID- 18053963
TI - Clinical competence among senior nursing students after their preceptorship
experiences.
AB - The senior nursing preceptorship is the culminating clinical experience in the
baccalaureate nursing program and assists students in the application of their
nursing knowledge and skills. Students' success in getting their first job may
also depend on this clinical preceptorship. How well they learn to practice their
nursing skills before graduating may determine the success of their transition
from being a student nurse to becoming a staff nurse. This descriptive study
explored the perceptions of senior baccalaureate nursing students about their
clinical preceptorship program. Perceptions were examined in relation to (a) the
degree of students' interaction with the preceptor and (b) the degree of their
perceptions of competence in using the nursing process. Data were collected from
102 senior nursing students with the use of a 52-item survey questionnaire that
included opinion and competence statements. The results suggest that the clinical
preceptorship program increased the overall perceived competence of 91 of the 102
nursing students. With a greater amount of preceptor interaction, there was a
greater degree of perceived competence in nursing skills among the students.
Ninety-six percent of the students rated their relationship with their preceptor
as important to very important. The results also identified strong and weak areas
of perceived clinical skills in the students. These results will help in
facilitating future senior nursing preceptorship placements.
PMID- 18053964
TI - Wash resistance of PermaNets in comparison to hand-treated nets.
AB - The wash resistance of factory produced PermaNets (with deltamethrin bonded to
the netting with a resin) was studied by bioassays with Anopheles stephensi.
Commercial detergent powders were used to wash the nets. For comparison,
conventionally treated nets were washed and bio-assayed. Nets were washed under
laboratory conditions using a Rotary shaker for 10min. Mosquito bioassays used
standard WHO plastic cones with an exposure time of 3min. The PermaNet caused
almost a 100% mortality of An. stephensi after up to 18 washes and >80% mortality
up to 26 washes but after 30 washes mortality declined. The differences between
the mortality of An. stephensi on treated nets washed with detergent or soap
(uncoloured, non-perfumed) were not significant. When conventional nets dipped in
deltamethrin (25mg/m(2)) or lambdacyhalothrin (10mg/m(2)) were washed under
similar laboratory conditions, the wash resistance was markedly less than that of
the PermaNet. In the case of deltamethrin, mosquito mortality remained >80% up to
12 washes and with lambdacyhalothrin mortality remained above 80% up to 11
washes. The relationship of 80% mortality from a 3min bioassay to effectiveness
against free flying mosquitoes remains to be determined.
PMID- 18053965
TI - Effects of memantine on mitochondrial function.
AB - Because NMDA complex and mitochondrial function are related, we hypothesized
memantine would influence mitochondrial function. We addressed this in vitro by
studying the effects of chronic and acute memantine exposures on mitochondrial
function. For acute exposure experiments, mitochondria were isolated from NT2
cells and assayed for electron transport chain (ETC) enzyme function and peroxide
production in buffers containing up to 60uM memantine. For chronic exposure
experiments, NT2 cells were maintained for at least two weeks in medium
containing up to 60uM memantine, following which we assayed cells or their
mitochondria for ETC enzyme activities, cytochrome oxidase protein levels,
oxidative stress, calcium levels, and mitochondrial DNA levels. The ability of
the NMDA receptor antagonist aminophosphonovaleric acid (APV) to modify
memantine's mitochondrial effects was evaluated. Acute and chronic memantine
similarly affected complex I (increased at high concentrations) and IV (decreased
at high concentrations) V(max) activities. APV did not alter the effects of
chronic memantine exposure on citrate synthase and complex IV. We detected a
lower mitochondrial peroxide production rate with acute exposure, and an
increased mitochondrial peroxide production rate with chronic exposure.
Micromolar memantine concentrations affect mitochondria, some of these effects
are directly mediated, and acute and chronic effects may differ.
PMID- 18053966
TI - Rats selectively-bred for behavior related to affective disorders: proclivity for
intake of alcohol and drugs of abuse, and measures of brain monoamines.
AB - Several lines of rats potentially useful for studying affective disorders have
been developed in our laboratory though selective breeding for behavioral
characteristics. The propensity of these lines to consume alcohol and other drugs
of abuse (amphetamine and cocaine) was examined. Also, measurement of the
concentration of brain monoamines - norepinephrine, dopamine, and serotonin - as
well as estimation of their metabolism by measurement of the major extracellular
metabolites of these monoamines was carried out to examine possible relationships
of brain chemistry to the behavioral characteristics shown by these lines, as
well as to their propensity for drug usage. The lines of rats are: Swim Low
active (SwLo) and Swim High-active (SwHi), which show either very low (SwLo) or
very high (SwHi) amounts of motor activity in a swim test; Swim-test Susceptible
(Susceptible or SUS) and Swim-test Resistant (Resistant or RES), which are highly
susceptible (SUS) or highly resistant (RES) to having their swim-test activity
depressed by being exposed to a stressful condition prior to the swim test; and
Hyperactive (HYPER), which show spontaneous nocturnal hyperactivity compared to
non-selectively bred (i.e., normal) rats as well as both extreme hyperactivity
and behavioral depression after being exposed to a stressful condition. Regarding
alcohol and drug usage, SUS rats readily consume alcohol while all other lines
including non-selected, normal rats do not, and SwLo rats show a strong tendency
to consume amphetamine and cocaine. Marked differences in brain monoamines were
found between the various lines and normal rats, with salient differences seen in
norepinephrine, particularly in the hippocampus, and in dopamine in forebrain
regions (striatum and nucleus accumbens).
PMID- 18053967
TI - Compensatory effects of the human nucleoside transporters on the response to
nucleoside-derived drugs in breast cancer MCF7 cells.
AB - Nucleoside transporters (NTs) are involved in the cytotoxicity and transcriptomic
response induced by nucleoside analogues. A relationship between the expression
of nucleoside transporters and response to therapy has been demonstrated in solid
tumours, although the pattern of such expression is highly variable. Thus, a
question is whether the transporter expression pattern rather than specific NT
proteins might better explain the ability of tumour cells to respond to
nucleoside-derived drug therapy. In this study we used the breast cancer cell
lines MCF7 and MCF7-hCNT1 (stably transfected with hCNT1) to determine whether
hCNT1 expression can complement hENT1 functional loss in the cytotoxicity and
transcriptomic response triggered by nucleoside analogues. Expression of hCNT1
slightly increased cell sensitivity to 5'-deoxy-5-fluorouridine (5'-DFUR).
Inhibition of the endogenous equilibrative activity blocked 5'-DFUR cytotoxicity
in MCF7 cells, but not in MCF7-hCNT1 cells. Moreover, under equilibrative
transport inhibition conditions, induction of some transcriptional targets of 5'
DFUR was blocked in MCF7 cells, whereas ENT-inhibition had no effect on the
transcriptional response to 5'-DFUR in MCF7-hCNT1 cells. To confirm the role of
hCNT1 in 5'-DFUR treatment, a panel of nucleoside derivatives suitable for hCNT1
inhibition was obtained. The molecule T-Ala inhibited hCNT1-mediated transport.
Furthermore, the cytotoxic action of 5'-DFUR and the transcriptional changes
produced by this nucleoside analogue were partially inhibited by T-Ala in MCF7
hCNT1 cells. These results show a link between NT function and the
pharmacogenomic response to nucleoside analogues and further support the
hypothesis that the expression pattern rather than specific transporters
determines the cytotoxic effect of nucleoside derivatives.
PMID- 18053968
TI - Induction of brain aquaporin 9 (AQP9) in catecholaminergic neurons in diabetic
rats.
AB - Aquaporin 9 facilitates the diffusion of water but also glycerol and
monocarboxylates, known as brain energy substrates. AQP9 was recently observed in
catecholaminergic neurons that are implicated in energy homeostasis and also
possibly in neuroendocrine effects of diabetes. Recently it has been observed
that the level of AQP9 expression in hepatocytes is sensitive to the blood
concentration of insulin. Furthermore, insulin injection in the brain is known to
be related to the energy homeostasis. Based on these observations, we
investigated if the concentration of insulin affects the level of brain AQP9
expression and if so, in which cell types. This study has been carried out, in a
model of the diabetic rat generated by streptozotocin injection and on brainstem
slices. In diabetic rats showing a decrease in systemic insulin concentration,
AQP9 is only increased in brain areas containing catecholaminergic neurons. In
contrast, no significant change is detected in the cerebral cortex and the
cerebellum. Using immunocytochemistry, we are able to show that the increase in
AQP9 expression is specifically present in catecholaminergic neurons. In
brainstem slice cultures, 2 microM insulin induces a significant decrease in AQP9
protein levels 6 h after application, suggesting that brain AQP9 is also
regulated by the insulin. These results show that the level of expression of
brain AQP9 is affected by variations of the concentration of insulin in a
diabetic model and in vitro.
PMID- 18053969
TI - Gamma oscillations in gerbil auditory cortex during a target-discrimination task
reflect matches with short-term memory.
AB - Tightly stimulus-locked "evoked" and not tightly stimulus-locked "induced" gamma
band oscillations have been implicated in a variety of cognitive functions as
well as more basic stimulus-related aspects of neuronal activity. The present
study aimed at dissociating both aspects using a preparation in rodents trained
to perform in a target-discrimination task while recording the intracerebral
electrocorticogram in parallel from left and right auditory cortex. While
stimulus-related aspects of gamma-band activity were already evident in the naive
subjects, aspects related to successful target-discrimination only emerged with
learning. Frequency-modulated tones were employed as stimuli and were varied with
respect to two stimulus dimensions, viz. "spectral content" and "modulation
direction". A target stimulus had to be discriminated in a GO/(NO-GO) paradigm
from three non-target stimuli matching the target in only one or none of these
dimensions. Analysis of the event-related potentials indicated that the physical
stimulus parameters explained most of the variance in amplitude of most event
related potential components. For the evoked gamma-band activity no learning
related modulations were found. The induced gamma-band activity showed a
relationship with the similarity of a stimulus with the target that was most
prominent in the right hemisphere. The correspondence between task-specific
behavior and the amplitude of induced gamma-band activity, both developing with
learning, supports a functional relevance of this form of oscillation beyond
merely processing physical stimulus attributes.
PMID- 18053971
TI - Subject's own name as a novel in a MMN design: a combined ERP and PET study.
AB - With a view to elaborating a clinical tool to assess cognitive functions in brain
damaged patients, we had previously displayed characteristic patterns of ERPs (32
electrodes) in awake healthy persons in response to their own name (SON)
presented as a novel in a passive oddball paradigm. In the present combined ERP
and PET study, in an attempt to identify brain correlates of duration MMN and
response to SON uttered by a familiar (FV) or an unknown voice (NFV), we used a
block design protocol as close as possible to the aforementioned SON protocol.
ERP data showed robust duration MMN and novelty P3 in response to SON similar to
our previous results. The PET technique did not allow true MMN generators to be
disclosed, but blocks with duration deviants elicited an increase of activation
in the right temporal pole as compared with the control condition with no
deviants, supporting the hypothesis of right hemispheric dominance in early sound
discrimination. For SON contrasts, robust cerebral blood flow activation present
over temporal, frontal and parietal cortices, in the hippocampus and in the
precuneus could be associated with speech, novelty and self-recognition
processing. Familiar and unfamiliar voices activated the prefrontal cortex
differently, suggesting different retrieval processes, although corresponding ERP
responses could not be differentiated.
PMID- 18053972
TI - Prenatal exposure to nicotine with associated in utero hypoxia decreased fetal
brain muscarinic mRNA in the rat.
AB - Prenatal exposure to nicotine can be associated with fetal abnormal development
and brain damage. This study determined the effect of administration of nicotine
with associated in utero hypoxia in maternal rats from early, middle, and late
gestation on fetal blood hemoglobin, and expression of cholinergic receptor
subtypes in the fetal brain. Our results demonstrated that maternal subcutaneous
nicotine from the early gestation increased fetal hemoglobin and hematocrit,
associated with reduction of PO(2). Although exposure to nicotine during late
gestation had no effects on fetal brain weight, nicotine administration from the
early gestation significantly decreased fetal brain muscarinic receptor (M1, M2,
M3, and M4) mRNA expression, associated with restricted brain growth. Nicotine
altered muscarinic receptor subtype expression in the fetal forebrain and
hindbrain showed regional differences. In addition, there were gestational
differences for fetal brain muscarinic suppression by prenatal nicotine.
Together, the results demonstrate that nicotine-induced in utero hypoxia is
associated with poor development of muscarinic receptors in the fetal brain and
restricted brain growth, and that either prolonged prenatal exposure to nicotine
or critical "window" period for the brain development during pregnancy may play a
role in prenatal nicotine-induced fetal muscarinic-receptor deficiency in the
fetal brain.
PMID- 18053970
TI - Mouse strain differences in the effects of corticotropin releasing hormone (CRH)
on sleep and wakefulness.
AB - Corticotropin releasing hormone (CRH) plays a major role in central nervous
system responses to stressors and has been implicated in stress-induced
alterations in sleep. In the absence of stressors, CRH contributes to the
regulation of spontaneous waking. We examined the effects of CRH and astressin
(AST), a non-specific CRH antagonist, on wakefulness and sleep in two mouse
strains with differential responsiveness to stress to determine whether CRH might
also differentially affect undisturbed sleep and activity. Less reactive C57BL/6J
(n=7) and high reactive BALB/cJ (n=7) male mice were implanted with a transmitter
for determining sleep via telemetry and with a guide cannula aimed into a lateral
ventricle. After recovery from surgery and habituation to handling, ICV
microinjections of CRH (0.04, 0.2, and 0.4 microg), AST (0.1, 0.4, and 1.0
microg) or vehicle alone (pyrogen-free saline, 0.2 microl) were administered
during the fourth hour after lights on and sleep was recorded for the subsequent
8 h. Comparisons of wakefulness and sleep were conducted across conditions and
across strains. In C57BL/6J mice, REM was significantly decreased after
microinjections of CRH (0.2 microg) and CRH (0.4 microg), and NREM and total
sleep were decreased after microinjections of CRH (0.4 microg). CRH (0.04 microg)
and AST did not significantly change wakefulness or sleep. In BALB/cJ mice, CRH
(0.4 microg) increased wakefulness and decreased NREM, REM and total sleep. AST
decreased active wakefulness and significantly increased REM at the low and high
dosages. These findings demonstrate that CRH produces changes in arousal when
given to otherwise undisturbed mice. Strain differences in the effects of CRH and
AST may be linked to the relative responsiveness of C57BL/6J and BALB/cJ mice to
stressors and to underlying differences in the CRH system.
PMID- 18053973
TI - Oxidative-induced apoptosis to an immortalized ganglion cell line is caspase
independent but involves the activation of poly(ADP-ribose)polymerase and
apoptosis-inducing factor.
AB - The aim of the present work was to characterize the molecular basis of oxidative
induced death, a process that has been implicated in eye diseases like glaucoma,
in RGC-5 cells, an immortalized retinal ganglion cell (RGC) line. Oxidative
stress was induced by treatment of RGC-5 cells with hydrogen peroxide and
compared to a known effect of a light insult (1000 lx, 400-760 nm). Hydrogen
peroxide causes a loss of viability of RGC-5 cells in a dose-dependent manner.
Loss of cell viability was by apoptosis characterized by breakdown of DNA (TUNEL
method), presence of membrane phosphatidylserine (APOPercentage method),
activation of PARP-1 and AIF. Oxidative stress caused a stimulation of ROS which
reached maximum levels before optimum apoptosis. Hydrogen-peroxide-induced
apoptosis did not result in an activation of caspase-3 and was unaffected by the
caspase inhibitor Z-VAD-fmk. However, the PARP-1 inhibitor NU-1025 counteracted
the effects of hydrogen peroxide and light. Evidence is provided to show that
both forms of oxidative stress caused AIF to be cleaved with the product located
to the cytosolic compartment. Light-induced apoptosis was attenuated by the
presence of the mitochondrial uncoupler M3778 but potentiated by the presence of
cobalt. In contrast, hydrogen-peroxide-induced apoptosis was unaffected by M3778
but attenuated by cobalt. The results show that oxidative stress caused by light
is dependent on functional mitochondria and that the molecular mechanisms of
apoptosis caused by hydrogen peroxide or light are similar but not identical.
PMID- 18053974
TI - ERP--correlates of response selection in a response conflict paradigm.
AB - Neuroimaging and electrophysiological studies suggest that the anterior cingulate
cortex (ACC) is involved in the cognitive control of response related action. A
frontocentral negative ERP-component, the N2, which probably originates from the
ACC, is usually enhanced in conflict-trials that demand an unexpected response.
We here used stepped adjustment of response expectation in a response-cueing
task, and measured how the N2 varied with global and local cue validity. Results
showed that, irrespective of the current cue validity, response times, error
rates, and the frontocentral components P2, N2 and P3 increased in unexpected
trials. Nevertheless, a N2 was also seen in expected trials, and its latency
correlated positively with reaction times, indicating that this potential does
not express response conflict only. In line with roles suggested for the ACC, we
here propose that the N2 is related to the process of response selection which
influences subsequent processing stages reflected in the P3. Unexpected revisions
of response programs enhance and delay the N2.
PMID- 18053975
TI - Profile of glutamate uptake and cellular viability in hippocampal slices exposed
to oxygen and glucose deprivation: developmental aspects and protection by
guanosine.
AB - Stroke syndromes are a major cause of disability in middle and later life
resulting in severe neuronal degeneration and loss of brain functions. In
situations with energy failure, glutamate transport is impaired and high levels
of this amino acid accumulate on the synaptic cleft. Our group has showed that
guanosine exerts neuroprotection against neurotoxicity situations. The aim of
this work is draw a post-ischemic profile of glutamate uptake and cell damage
using an oxygen and glucose deprivation model (OGD) in hippocampal slices from
young (P10) and adult (P60) rats, analyzing guanosine effect. OGD decreases
glutamate uptake in both ages and recovery times, although decrease in cell
viability was only observed 1 and 3 h after OGD in young and adult animals,
respectively. Guanosine partially protected cell damage from 1 h in P10 and at 3
h in P60 rats and avoided glutamate uptake decrease from P10 rats at 3 h. The
impairment of glutamate transporters since immediately after the insult observed
here is probably due to an energetic failure; loss of cell viability was only
observed from 1 h after OGD. The mechanism by which guanosine acts in the
'ischemic' model used here is still unknown, but evidence leads to its
antiapoptotic effect.
PMID- 18053976
TI - Modulation of P450 enzymes by Cuban natural products rich in polyphenolic
compounds in rat hepatocytes.
AB - This paper reports cytotoxic effects and changes in the P450 system after
exposing rat hepatocytes to four polyphenol-rich products widely used in Cuban
traditional medicine (Mangifera indica L. (MSBE), Thalassia testudinum (Tt),
Erythroxylum minutifolium and confusum extracts). Effects of mangiferin, the main
polyphenol in MSBE, were also evaluated. Cytotoxicity was assayed by the MTT test
after exposure of cells to the products (50-1000 microg/mL) for 24 or 72 h. The
results showed that 500 microg/mL MSBE was moderately cytotoxic after 72 h, while
mangiferin was not. Marked reductions in cell viability were produced by
Erythroxylum extracts at concentrations > or = 200 microg/mL, whereas only
moderate effects were induced by 1000 microg/mL Tt. Seven specific P450
activities were evaluated after 48 h exposure of cells to the products. MSBE
reduced phenacetin O-deethylation (POD; CYP1A2) activity in a concentration
dependent manner (IC(50)=190 microg/mL). No decreases were observed in other
activities. In contrast, mangiferin produced reductions in five P450 activities:
IC(50) values of 132, 194, >200, 151 and 137 microg/ml for POD (CYP1A2),
midazolam 1'-hydroxylation (M1OH; CYP3A1), diclofenac 4'-hydroxylation (D4OH;
CYP2C6), S-mephenytoin 4'-hydroxylation (SM4OH), and chlorzoxazone 6
hydroxyaltion (C6OH; CYP2E1), respectively. E. minutifolium, E. confusum and Tt
extracts produced small reductions in SM4OH and C6OH activities, but no
significant changes were noted in the other P450 activities. On the other hand,
all the products increased the benzyloxyresorufin O-debenzylation (BROD; CYP2B1)
activity, with MSBE, mangiferin or E. minutifolium showing the highest effects
(about 2-fold over control). Our results showed in vitro effects of these natural
products on P450 systems, possibly leading to potential metabolic-based
interactions.
PMID- 18053977
TI - Assessment of hypolipidaemic activity of three thiazolidin-4-ones in mice given
high-fat diet and fructose.
AB - Three 4-thiazolidinones, two with nicotinamide (NAT1 and NAT2) and one with 4
chlorophenoxyacetamide (PAT1) side chains were evaluated for their
hypolipidaemic, hypoglycaemic activity in Swiss albino mice fed a high-fat diet
along with fructose administered in drinking water. NAT1 and PAT caused reduction
of elevated triglycerides, cholesterol and glucose; NAT2 was effective only
against triglycerides. Nicotinamide side chain might have contributed to the
lipid lowering effect of both NAT1 and NAT2, but the bulky group of the latter
could have affected proper binding to the receptor sites, making it ineffective
against elevated cholesterol. On the other hand, the 4-chlorophenoxyacetamide
side chain of PAT might have exerted powerful hypolipidaemic activity, despite
the bulky substitution at C2. As antioxidants, NAT2 and PAT1 showed superior
activity, compared to NAT1. The thiazolidinone ring might be responsible for the
lipid lowering effect, which is however, modified by the type of substitutions at
C2 and N of the ring. Detailed study is warranted to explain the mechanism of
action of these compounds as also to make more potent ones.
PMID- 18053978
TI - Brefeldin A disrupts asymmetric spindle positioning in mouse oocytes.
AB - Polar body formation in oocytes is an extreme form of asymmetric cell division,
but what regulates the asymmetric spindle positioning and cytokinesis is poorly
understood. During mouse oocyte maturation, the metaphase I spindle forms at the
center but then moves to the cortex prior to anaphase I and first polar body
emission. We show here that treating denuded mouse oocytes with brefeldin A, an
inhibitor of Golgi-based membrane fusion, abolished the asymmetric positioning of
the metaphase I spindle and resulted in the formation of two half-size metaphase
II eggs, instead of a full-sized egg and a polar body. The normal metaphase II
spindle is similarly asymmetrically positioned in the mature egg, where the
spindle lies with its axis parallel to the cortex but becomes perpendicular
before anaphase II and emission of the second polar body. When ovulated eggs were
activated with strontium in the presence of brefeldin A, the metaphase II spindle
failed to assume perpendicular position, and the chromosomes separated without
the extrusion of the second polar body. Remarkably, symmetric cytokinesis began
following a 3 h delay, forming two half-size eggs each containing a pronucleus.
BFA-sensitive intracellular vesicular transport is therefore required for spindle
positioning in both MI and MII.
PMID- 18053979
TI - Plasticity of proximal-distal cell fate in the mammalian limb bud.
AB - Normal morphogenesis depends on location-specific behaviours of cells. There are
circumstances in the embryo where it would be advantageous for cells to be
flexible in establishing their fate, such as in the limb bud where some cells
cross between Homeobox (Hox) expression domains. It is not known how flexible
cell fate determination is in the embryonic limb bud, nor is the sequence and
timing of this process clear. By transposing small groups of dye and genetically
labelled limb bud cells in cultured mouse embryos, we show that mesenchymal cells
are capable of altering their expression of Hox genes to match that of their
local environment in response to instructive mesenchymal cues. Plasticity of Hox
expression wanes during embryogenesis, and is lost earlier in the proximal limb
bud than distally. These findings are consistent with a model of progressive fate
determination in a zone that encompasses the entirety of the limb bud mesenchyme,
and suggest a mechanism for the maintenance of sharp Hox boundaries despite cell
transit across them.
PMID- 18053980
TI - Association of urinary cadmium and myocardial infarction.
AB - We conducted a cross-sectional analysis of individuals 45-79 years old in the
National Health and Nutrition Examination Survey III (1988-1994) (NHANES III).
Myocardial infarction was determined by electrocardiogram (ECG). Our sample
included 4912 participants, which when weighted represented 52,234,055 Americans.
We performed adjusted logistic regressions with the Framingham risk score, pack
years of smoking, race-ethnicity, and family history of heart attack, and
diabetes as covariates. Urinary cadmium >or= 0.88 microg/g creatinine had an odds
ratio of 1.86 (95% CI 1.26-2.75) compared to urinary cadmium <0.43 microg/g
creatinine. This result supports the hypothesis that cadmium is associated with
coronary heart disease. When logistic regressions were done by gender, women, but
not men, showed a significant association of urinary cadmium with myocardial
infarction. Women with urinary cadmium >or= 0.88 microg/g creatinine had an odds
ratio of 1.80 (95% CI 1.06-3.04) compared to urinary cadmium <0.43 microg/g
creatinine. When the analysis was restricted to never smokers (N=2187) urinary
cadmium >or= 0.88 microg/g creatinine had an odds ratio of 1.85 (95% CI 1.10
3.14) compared to urinary cadmium <0.43 microg/g creatinine.
PMID- 18053981
TI - Age-related differential sensitivity to MK-801-induced locomotion and stereotypy
in C57BL/6 mice.
AB - Psychomotor effects elicited by systemic administration of the noncompetitive
NMDA (N-methyl-D-aspartate) receptor antagonist MK-801 (dizocilpine maleate)
represent perturbation of glutamatergic pathways, providing an animal model for
psychotic symptoms of schizophrenia. Hyperlocomotion and stereotypy are the two
main psychomotor behaviors induced by MK-801. This study compared MK-801-induced
hyperlocomotion and stereotypy in young (1-month old) and aged mice (12-month
old), in order to determine how the aging process may influence these behaviors.
The tested MK-801 doses ranged from 0.015 to 1 mg/kg. The data indicated that MK
801 impacted the aged mice more pronouncedly than the young mice, as both
hyperlocomotion and stereotypy were increased significantly more in the aged mice
relative to the young mice. These results suggest an age-related increase in MK
801 sensitivity in mice.
PMID- 18053982
TI - Exogenous melatonin ameliorates hepatic injury in a rat cardiopulmonary bypass
model.
AB - Hepatic injury after cardiac surgery was considered to be a consequence of
cardiopulmonary bypass (CPB). This study tested the hypothesis that melatonin
could attenuate the hepatic injury in a rat CPB model. Male Sprague-Dawley rats
were randomly divided into four groups: sham-operation group, control group
(given an equal volume of vehicle), low dose melatonin (10 mg/kg) treated group
and high dose melatonin (20 mg/kg) treated group. Blood samples were collected at
the beginning, at the cessation of CPB, and at 30 min, 1, 2, 3 and 24 h post
operation. Liver samples were harvested at 24 h after operation. The serum
indices of the liver enzymes and systemic inflammation, as well as oxidative
stress indices and the Ca++-ATPase activity of liver tissues were determined. In
the control animals, the indices of liver enzymes, tumor necrosis factor-alpha
(TNF-alpha) increased after operation, and liver inducible nitric oxide synthase
(iNOS), malondialdehyde (MDA), myeloperoxidase (MPO) increased as well. However,
the activities of liver antioxidative enzymes and the concentration of
glutathione (GSH) decreased remarkably. Results in melatonin group showed that
melatonin reversed all the biochemical changes, but there was no significant
difference between the melatonin-treated groups. In addition, histological
findings further supported these results. All results indicated that application
of exogenous melatonin during operation preserves liver function by reducing
oxidative stress and the systemic inflammatory response.
PMID- 18053983
TI - Endothelial dysfunction and improvement of the angiotensin II-reactivity in
hypercholesterolemic rabbits: role of cyclooxygenase metabolites.
AB - The aim of this paper was to study the effect of high cholesterol diet on
endothelial function and vascular reactivity to angiotensin II and to test the
role of vasoconstrictor cyclooxygenase metabolites in this experimental
condition. Rabbits were fed with either normal chow or a diet containing 1%
cholesterol for 6-7-week. Isometric contractions were measured in rubbed or
unrubbed aortic rings. Arteries were contracted with noradrenaline and then
exposed to one cumulative dose-response curve to acetylcholine in absence
(control) or in presence of indomethacin, (N-[2-cyvlohexyloxy)-4-nitrophenyl]
methanesulfonamide) (NS 398) or 4-hydroxy-2,2,6,6-tetraethylpiperidine-N-oxyl
(tempol). After washing the arteries, one cumulative dose-response curve to
angiotensin II was constructed in absence or presence of indomethacin, NS 398,
[1S-[1 alpha,2 beta (5Z),3 beta,4 alpha]-7-[3-[[2-[(phenylamino)
carbonyl]hydrazino]methyl]-7-oxabicyclo[2.2.1] hept-2-yl]-5-heptenoic acid
(SQ29548) or 17-octadecynoic acid (17-ODYA). In other group, resting potential
was recorded in basal and angiotensin II-stimulated conditions. Indomethacin, NS
398 or 17-ODYA were added to the bath before angiotensin II-stimulation. Rabbits
fed on a diet enriched with cholesterol showed higher plasma levels of total
cholesterol and LDL. Hypercholesterolemic diet impaired acetylcholine relaxation.
Indomethacin normalized endothelium-dependent relaxation whereas NS 398 and
tempol had no effect on this phenomenon. Angiotensin II-reactivity was increased
in endothelium intact hypercholesterolemic aortic rings and indomethacin, SQ29548
or 17-ODYA blocked this effect. The resting potential of unrubbed
hypercholesterolemic arteries was significantly less negative to control after
angiotensin II-stimulation. 17-ODYA but not indomethacin prevented angiotensin II
depolarization. High cholesterol diet caused endothelial dysfunction and
increased the angiotensin II-reactivity. Both effects were cyclooxygenase1
dependent. Deficit in the NO-production might improve 20-hydroxyeicosatrienoic
acid availability, which induces depolarization and angiotensin II-sensitization.
In addition, 20-hydroxyeicosatrienoic acid would be metabolized by
cyclooxygenase1 to 20-endoperoxides which act through thromboxane
A(2)/prostaglandin H(2) receptors contributing to angiotensin II-reactivity
increase.
PMID- 18053984
TI - The dynamics of pre-mRNAs and poly(A)+ RNA at speckles in living cells revealed
by iFRAP studies.
AB - Speckles are subnuclear domains where pre-mRNA splicing factors accumulate in the
interchromatin space. To investigate the dynamics of mRNAs at speckles,
fluorescently labeled Drosophila Fushitarazu (ftz) pre-mRNAs were microinjected
into the nuclei of Cos7 cells and the dissociation kinetics of pre-mRNAs from
speckles was analyzed using photobleaching techniques. The microinjected ftz pre
mRNAs accumulated in speckles in an intron-dependent manner and were spliced and
exported to the cytoplasm with a half-time of about 10 min. Dissociation of the
accumulated pre-mRNAs in speckles exhibited rapid diffusion and slow-dissociation
of about 100 s. The slow-dissociation required metabolic energy of ATP. Two types
of splice-defective mutated mRNAs dissociated from the speckle with a time
constant similar to that of wild-type mRNA, indicating that slow-dissociation was
not coupled to the splicing reaction. Furthermore, some pre-mRNAs shuttled
between speckles and nucleoplasm, suggesting that pre-mRNAs repeatedly associated
with and dissociated from speckles until introns were removed. Next, endogenous
poly(A)+ RNA was visualized by injecting Cy3-labeled 2'O-methyl oligo(U)22
probes. Some poly(A)+ RNA distributed diffusely within the nucleus, but some of
them accumulated in speckles and dissociated at time constant of about 100 s.
PMID- 18053985
TI - Interactions of myeloma cells with osteoclasts promote tumour expansion and bone
degradation through activation of a complex signalling network and upregulation
of cathepsin K, matrix metalloproteinases (MMPs) and urokinase plasminogen
activator (uPA).
AB - Bone destruction is one of the most debilitating manifestations of multiple
myeloma (MM) and results from the interaction of myeloma cells with the bone
marrow microenvironment. Within the bone marrow, the disturbed balance between
osteoclasts and osteoblasts is important for the development of lytic lesions.
However, the mechanisms behind myeloma-mediated bone destruction are not
completely understood. In order to address the importance of myeloma cell
osteoclast interactions in MM pathogenesis, we have developed a functional
coculture system. We found that myeloma-osteoclast interactions resulted in
stimulation of myeloma cell growth and osteoclastic activity through activation
of major signalling pathways and upregulation of proteases. Signals from
osteoclasts activated the p44/p42 MAPK, STAT3 and PI3K/Akt pathways in myeloma
cells. In turn, myeloma cells triggered p38 MAPK and NF-kappaB signalling in
osteoclasts. Myeloma-osteoclast interactions stimulated the production of TRAP,
cathepsin K, matrix metalloproteinase (MMP)-1, -9, and urokinase plasminogen
activator (uPA). Consistent data with myeloma cell lines and primary myeloma
cells underlined the biological relevance of these findings. In conclusion, we
demonstrated the critical role of myeloma cell-osteoclast interactions in the
existing interdependence between tumour expansion and bone disease. The
identified molecular events might provide the rationale for novel treatment
strategies.
PMID- 18053987
TI - Characterization of the striatal 6-OHDA model of Parkinson's disease in wild type
and alpha-synuclein-deleted mice.
AB - Genetically modified mice models are increasingly used to study the
pathophysiology of Parkinson's disease (PD), particularly in conditions where
they are subjected to toxins specific for dopaminergic neurons. The most widely
used toxin in these paradigms is 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
(MPTP), although it presents a number of drawbacks regarding (i) the kinetics of
neurodegeneration, (ii) strain-specificity and (iii) partial lesion recovery. 6
hydroxydopamine (6-OHDA) may be an alternative tool since it leads to a partial
damage of DA terminals and to a delayed and progressive loss of nigral DA
neurons. It is frequently used in rats and well characterized in this species. In
mice, however, this model has not been described in detail to date. The aim of
the present study was to characterize the time course of intra-striatal 6-OHDA
lesions in mice with regard to i) dopaminergic cell loss, ii) dopamine
concentrations in the substantia nigra and the striatum, iii) hydroxylation
products in substantia nigra and striatum and iv) behavioural impairment.
Furthermore, we used alpha-synuclein-deleted mice, which have been studied
extensively in MPTP paradigms, and examined their reactivity to intra-striatal 6
OHDA injections. Intra-striatally injected 6-OHDA leads to a long-lasting
dopamine depletion of the nigro-striatal pathway, whereas behavioural parameters
partially recovered over a two month period. Its toxicity seems to be influenced
by alpha-synuclein, since alpha-synuclein-deleted mice are more resistant against
6-OHDA than their wild type littermates. In summary, we propose that the striatal
6-OHDA model may be a valuable addition and/or alternative in genetically
modified mice models used in the study of PD pathophysiology.
PMID- 18053986
TI - Enhancing trabecular outflow by disrupting the actin cytoskeleton, increasing
uveoscleral outflow with prostaglandins, and understanding the pathophysiology of
presbyopia interrogating Mother Nature: asking why, asking how, recognizing the
signs, following the trail.
AB - Several major areas of work by the author and his international collaborators are
reviewed. (1) The ciliary muscle in the non-human primate eye was disinserted at
the scleral spur. Pilocarpine was then ineffective in increasing outflow
facility, indicating that ciliary muscle contraction mediated the IOP-lowering
effect of muscarinic cholinergics. (2) Compounds such as cytochalasins, H-7 and
latrunculin A/B, which alter the actin cytoskeleton, cellular contractility and
cellular adhesions in cultured trabecular meshwork cells, relaxed trabecular
pathway cells and consequently the meshwork itself so as to decrease IOP and
enhance trabecular outflow facility in non-human primates. Gene transfer
approaches utilizing C3 and caldesmon over-expression by viral vectors to target
specific steps in the cellular contractility/cytoskeleton/cell adhesion cascades
characteristically altered trabecular meshwork cell morphology and increased
outflow facility in organ-cultured anterior segments. (3) Prostaglandin F(2alpha)
analogues enhanced matrix metalloproteinase production by ciliary muscle cells
and scleral fibroblasts, leading to remodeling of the extracellular matrix of the
ciliary muscle and sclera and consequently to increased uveoscleral outflow and
decreased IOP in primates. (4) The rhesus monkey was an excellent model for human
presbyopia, losing the accommodative response to cholinergic stimulation in the
same timeframe relative to lifespan. No changes were found in ciliary muscle
enzymes involved in acetylcholine biosynthesis or degradation or in muscarinic
receptor numbers or affinity. Contractility of isolated ciliary muscle did not
diminish with age, but posterior ciliary muscle attachments stiffened, suggesting
a possible role in restricting muscle and consequently lens movement during
accommodation. A model to reproducibly stimulate accommodation through central
stimulation of the Edinger-Westphal nucleus was developed. Goniovideography and
ultrasound biomicroscopic techniques allowed real-time recording and analysis of
the accommodation-relevant structures. Surgical ablation of the intraocular
structures involved in the accommodation response has led to further
understanding of their roles and changes with age related to presbyopia. (5)
Global collaborations such as those involved in these studies will be essential
in the future, as science becomes "bigger".
PMID- 18053988
TI - Abeta(1-42) injection causes memory impairment, lowered cortical and serum BDNF
levels, and decreased hippocampal 5-HT(2A) levels.
AB - Aggregation of the beta-amyloid protein (Abeta) is a hallmark of Alzheimer's
disease (AD) and is believed to be causally involved in a neurodegenerative
cascade. In patients with AD, reduced levels of serum Brain Derived Neurotrophic
Factor (BDNF) and cortical 5-HT(2A) receptor binding has recently been reported
but it is unknown how these changes are related to beta-amyloid accumulation. In
this study we examined in rats the effect of intrahippocampal injections of
aggregated Abeta(1-42) (1 microg/microl) on serum and brain BDNF or 5-HT(2A)
receptor levels. A social recognition test paradigm was used to monitor Abeta(1
42) induced memory impairment. Memory impairment was seen 22 days after injection
of Abeta(1-42) in the experimental group and until termination of the
experiments. In the Abeta(1-42) injected animals we saw an abolished increase in
serum BDNF levels that was accompanied by significant lower BDNF levels in
frontal cortex and by an 8.5% reduction in hippocampal 5-HT(2A) receptor levels.
A tendency towards lowered cortical 5-HT(2A) was also observed. These results
indicate that the Abeta(1-42) associated memory deficit is associated with an
impaired BDNF regulation, which is reflected in lower cortical BDNF levels, and
changes in hippocampal 5-HT(2A) receptor levels. This suggests that the BDNF and
5-HT2A changes observed in AD are related to the presence of Abeta(1-42)
deposits.
PMID- 18053989
TI - Expression and localization of Kv1 potassium channels in rat dorsal and ventral
spinal roots.
AB - We investigated the expression and localization of Kv1 channels in dorsal spinal
roots (DRs) and ventral spinal roots (VRs) in rats. Among Kv1.1-1.6 tested by RT
PCR, mRNAs of Kv1.1, 1.2, and 1.5 were moderately expressed, those of Kv1.3 and
Kv1.6 were weakly expressed, and that of Kv1.4 was hardly expressed at all in
both DRs and VRs, whereas all six mRNAs were detected in spinal cord. Western
blotting revealed that the major immunoreactive proteins were Kv1.1 and Kv1.2 in
both DRs and VRs. Quantitative analysis indicated that levels of Kv1.1 and Kv1.2
protein were significantly higher in DRs than VRs. Immunohistochemical
examination showed that Kv1.1 and Kv1.2 were colocalized in juxtaparanodal
regions of axons in both DRs and VRs. Finally, immunoprecipitation experiments
revealed that Kv1.1 and Kv1.2 were coassembled. These findings indicate that Kv1
subtypes in DRs and VRs are somewhat different from those in spinal cord, and
that the numbers of Kv1.1 and Kv1.2 channels are higher in DRs than VRs.
PMID- 18053990
TI - Amyloid beta peptides and glutamatergic synaptic dysregulation.
AB - Alzheimer's disease (AD) is a major neurodegenerative disorder in which
overproduction and accumulation of amyloid beta (Abeta) peptides result in
synaptic dysfunction. Recent reports strongly suggest that in the initial stages
of AD glutamate receptors are dysregulated by Abeta accumulation resulting in
disruption of glutamatergic synaptic transmission which parallels early cognitive
deficits. In the presence of Abeta, 2-amino-3-(3-hydoxy-5-methylisoxazol-4-yl)
propionic acid (AMPA) glutamate receptor function is disrupted and the surface
expression is reduced. Abeta has also been shown to modulate N-methyl-d-aspartate
receptors (NMDARs) and metabotropic glutamate receptors. The Abeta mediated
glutamate receptor modifications can lead to synaptic dysfunction resulting in
excitotoxic neurodegeneration during the progression of AD. This review discusses
the recent findings that glutamatergic signaling could be compromised by Abeta
induced modulation of synaptic glutamate receptors in specific brain regions.
PMID- 18053991
TI - Effects of Fasciola gigantica experimental infection on some inorganic elements
in the snail host Lymnaea natalensis.
AB - Flame atomic absorption spectrometry was performed to determine the alteration in
the concentrations of metallic ion Pb, Zn, K, Na, Fe, Cu and Co in the soft parts
of the Lymnaea natalensis snails shedding Fasciola gigantica cercariae and to
determine the alteration in the concentration of Ca in the soft parts and shells
of the same snails. The Co was found to be present at concentration level below
the detection limits of the analytical method used. Regarding detected elements,
three elements Zn, K and Cu were found to be present at significantly higher
concentrations in cercariae-shedding snails compared with uninfected snails. Two
elements, Pb and Na, showed significant decrease in cercariae-shedding snails
compared to uninfected ones. The concentration of Fe showed non-significant
increase. The results showed significant lowering in the calcium content of the
shells and soft parts of cercariae-shedding snails relative to the calcium
content in the uninfected ones. The obtained results and the hypothesis of
hypercalcification in shells of infected snails were discussed.
PMID- 18053992
TI - Plasmodium falciparum: sequence analysis of the gene encoding the C-terminus
region of the merozoite surface protein-1, a potential malaria vaccine antigen,
in Iranian clinical isolates.
AB - C-terminal region of merozoite surface protein-1 of Plasmodium falciparum (PfMSP
1) isolated from different parts of the world revealed sequence variability,
however no data exist on sequence heterogeneity of this region from Iran. To
address this question, DNA encoding the carboxyl (C)-terminal region of PfMSP-1
was amplified in 144 Iranian P. falciparum clinical isolates, using allele type
specific primers. In this study both MAD20 (88.2%) and K1 (7.6%) types were
detected. Sequence analysis of 33 and 92 fragments corresponding to pfmsp-1(42)
and pfmsp-1(19) revealed eight (15MAD1-15MAD7 and 15KCH) and five [A1 (E/TSR/L),
A2 (Q/KNG/F), A3 (E/KNG/F), A4 (E/TSG/L), and A5 (Q/KNG/L)] distinct haplotypes,
respectively. E/TSG/L variant type was the predominant haplotype, and reported
only from Thailand and India, but E/KNG/L is widespread in Africa, Asia, and
Latin America; but not found among Iranian isolates. In summary, result of this
study indicates limited antigenic diversity, and thus support the potential
utility of the C-terminal region of PfMSP-1 in designing polyvalent vaccine
constructs.
PMID- 18053993
TI - Expression of cyclooxygenase-2 and vascular endothelial growth factor in ovarian
endometriotic cysts and their relationship with angiogenesis.
AB - OBJECTIVE: To investigate the expression of cyclooxygenase-2 (Cox-2) and vascular
endothelial growth factor (VEGF) in ovarian endometriotic cysts and assess their
relation with angiogenesis. DESIGN: Experimental clinical study. SETTING:
University hospital. PATIENT(S): Fifty patients with ovarian endometriotic cysts.
INTERVENTION(S): Surgical excision of 50 ovarian endometriotic cysts. MAIN
OUTCOME MEASURE(S): Microvessel density and the expression of Cox-2 and VEGF were
analyzed immunohistochemically. RESULT(S): Cyclooxygenase-2 immunoreactivity was
mainly cytoplasmic in glandular epithelial cells in all of the ovarian
endometriotic cysts, with low expression in 12 cases (24%), moderate expression
in 21 (42%), and high expression in 17 (34%). Vascular endothelial growth factor
immunoreactivity was mainly cytoplasmic in stromal cells in 46 (92%) of the
ovarian endometriotic cysts, with low expression in 12 cases (24%), moderate
expression in 18 (36%), and high expression in 16 (32%). No immunoreactivity was
present in 4 cases (8%). Both Cox-2 (r = 0.728) and VEGF (r = 0.670) were
closely, statistically significantly related with microvessel density in ovarian
endometriotic cysts. Cyclooxygenase-2 and VEGF also were highly statistically
significantly correlated (r = 0.777) with each other. CONCLUSION(S): We observed
Cox-2 expression mostly in glandular epithelial cells of ovarian endometriotic
cysts, whereas VEGF expression was observed mainly in stromal cells.
Cyclooxygenase-2 and VEGF were closely correlated with each other, and both of
them appear to play a role in the angiogenesis of ovarian endometriosis.
PMID- 18053994
TI - Attitudes of couples with stored frozen embryos toward conditional embryo
donation.
AB - OBJECTIVE: To survey clients with stored frozen embryos regarding intention to
donate embryos to other couples and attitudes toward conditional donation.
DESIGN: Anonymous postal questionnaire. SETTING: A private IVF clinic in Sydney,
Australia. PATIENT(S): Clients with embryos stored for 3 or more years.
INTERVENTION(S): Patients completed a survey regarding attitudes to embryo
donation and conditional embryo donation. Spontaneous written comments were also
analyzed. MAIN OUTCOME MEASURE(S): Intentions regarding embryos, attitudes toward
conditional donation, extent to which conditional donation would influence
decision-making. RESULT(S): Of the clients surveyed, 99 women (35%) and 66 men
(23%) responded. Only 4% indicated it was likely they would donate to other
couples; 48% thought donors should be able to specify characteristics of
recipients; and 41% indicated they would be more likely to donate if donation was
conditional. A sense of ownership and responsibility for the well-being of the
offspring underpinned reluctance to donate. Perceived control over the caretaking
environment was seen as an advantage of conditional donation. Concerns were also
raised about the need for donors to relinquish control and the potential for
discrimination. CONCLUSION(S): An empirical understanding of the views of
infertility patients regarding stored embryos is critical to informing public
discourse, policy debates, and clinical practice as well as counseling, policy,
and protocols on embryo donation.
PMID- 18053995
TI - A comparison of the efficacy, tolerability, and convenience of two formulations
of follitropin-alpha in Iranian woman undergoing intracytoplasmic sperm injection
cycles.
AB - OBJECTIVE: To compare the efficacy, tolerability, and convenience of two
formulations of the follitropin-alpha (Gonal-f) pen device versus the
conventional form in Iranian women undergoing ovarian stimulation for
intracytoplasmic sperm injection. DESIGN: Randomized, single-center trial,
parallel-group, single blind. SETTING: Tertiary referral center, University
Hospital. PATIENT(S): A total of 100 patients undergoing intracytoplasmic sperm
injection. INTERVENTION(S): After down-regulation with busereline acetate,
patients were randomized to receive the pen device or the conventional syringe of
follitropin-alpha. A computer-generated randomization list was used to allocate
the patients to one of these two groups. MAIN OUTCOME MEASURE(S): The primary
outcomes were patients' satisfaction, convenience, occurrence of local tolerance
symptoms, and pain. Total dose of follitropin-alpha, duration of follitropin
alpha treatment, number of oocyte retrieved, number of viable embryos, and
clinical pregnancies were secondary outcome measures. Data collection was
performed by means of a questionnaire designed for the purpose of this study. The
pain scored according to the Visual Analogue Scale. RESULT(S): Self
administration and patients' satisfaction were significantly higher in the pen
device group than the conventional syringe group. Local reactions at injection
sites and pain were significantly higher in the conventional syringe group than
in the pen device group. There were no statistically differences in secondary
outcome measures and convenience between two groups. CONCLUSION(S): Among the
Iranian patients that we studied, the pen device of Gonal-f is safe, convenient,
and less painful, with more patients' satisfaction than the conventional syringe
form, but both forms have equal efficacy in intracytoplasmic sperm injection
cycles.
PMID- 18053996
TI - Bladder hematoma after vaginal paracentesis.
AB - Puncture of the bladder wall with a 17-gauge needle is usually safe; however, in
the patient on anticoagulation, inadvertent puncture of the bladder could result
in bladder hematoma formation.
PMID- 18053997
TI - Dietary trans fatty acid intake is associated with increased fetal loss.
AB - OBJECTIVE: To examine whether dietary trans fatty acids (TFAs) were associated
with fetal loss (no. of pregnancies - no. of live births). The basis of our
inquiry derives from the facts that the PPAR-gamma receptor plays a pivotal role
in placental function and that TFAs down-regulate PPAR-gamma gene mRNA
expression. DESIGN: Retrospective study comparing dietary data on TFAs and total
calories from Block 98 quantitative food frequency questionnaire on 104 women
with insulin data and reporting one or more pregnancies. SETTING: Twenty-five- to
30-year follow-up as young adults (age 39.5 +/- 4.5 years) of schoolgirls in the
Princeton School cardiovascular risk study. PATIENT(S): Former participants in
school-based research program at ages 6-18 years (1973-78), screened as part of
follow-up study (1998-2003). MAIN OUTCOME MEASURE(S): Fetal loss. RESULT(S): By
stepwise logistic regression, with fetal loss (>or=1 vs. 0) as the dependent
variable and total calories, percent calories from TFAs (linear and squared
terms), diabetes (yes/no), serum insulin, age, race, body mass index, leisure and
work physical activity, and education as explanatory variables, percent calories
from TFAs was positively, curvilinearly, independently associated with fetal
loss. For each 1-unit increase in the squared term of percent calories from TFAs,
the odds of having fetal loss versus no fetal loss increased 1.106 times (odds
ratio = 1.106; 95% confidence interval 1.026-1.192). CONCLUSION(S): Since PPAR
gamma plays a pivotal role in placental biology and is down-regulated by TFAs,
TFAs may be a reversible risk factor for fetal loss.
PMID- 18053998
TI - Randomized, multicenter, double-blind, placebo-controlled trial to evaluate the
efficacy and safety of synthetic conjugated estrogens B for the treatment of
vulvovaginal atrophy in healthy postmenopausal women.
AB - OBJECTIVE: To evaluate the safety and efficacy of synthetic conjugated estrogens
B (SCE-B; 0.3 mg/d) for 12 weeks in the treatment of vulvovaginal atrophy in
symptomatic, postmenopausal women. DESIGN: Prospective, randomized, multicenter,
double-blind, placebo-controlled trial. SETTING: Forty-two participating sites in
the United States. PATIENT(S): Postmenopausal women with at least one moderate to
severe symptom of vaginal atrophy. INTERVENTION(S): Daily oral administration, in
a randomized, placebo-controlled setting, of SCE-B (0.3 mg) or of placebo for 12
weeks. MAIN OUTCOME MEASURE(S): Mean changes in vaginal maturation index,
percentage of parabasal and superficial cells, vaginal pH, and severity of the
most bothersome symptom (MBS) between baseline and predetermined time points were
assessed. Safety and tolerability were evaluated. RESULT(S): A total of 310 women
(mean age, 58.6 y) were enrolled. Synthetic conjugated estrogens B yielded
statistically significantly greater differences in vaginal maturation index and
vaginal pH from baseline to the end of treatment. Vaginal dryness (44.4%) and
pain during intercourse (30.2%) were the symptoms most commonly identified as the
MBS. A statistically significant mean reduction in the severity of the MBS was
noted for SCE-B. There were no clinically significant differences observed
between the two groups for findings related to safety. CONCLUSION(S): Synthetic
conjugated estrogens B (0.3 mg/d) was effective in treating vulvovaginal atrophy
in symptomatic postmenopausal women. Significant improvement was seen in vaginal
maturation index, vaginal pH, and severity of MBS from baseline to the end of
treatment.
PMID- 18053999
TI - Effects of androgen on embryo implantation in the mouse delayed-implantation
model.
AB - OBJECTIVE: To examine the effects of androgen on implantation and decidualization
in the mouse delayed-implantation model. DESIGN: Experimental animal study.
SETTING: University research laboratory. ANIMAL(S): Sexually mature female mice
(Kunming White strain). INTERVENTION(S): Delayed and activated implantation;
pseudopregnancy; embryo transfer (ET); E(2) assay; inhibitor. MAIN OUTCOME
MEASURE(S): Effects of androgen on embryo implantation were determined by
treating the mice under delayed implantation with different doses of testosterone
propionate (TP); the effects of androgen on the expression of implantation
related genes were examined by in situ hybridization. RESULT(S): Delayed
implantation could be initiated by TP. Dihydrotestosterone was also able to
initiate implantation in the delayed-implantation model. The implantation window
could be maintained for at least 48 hours by 5 mg TP per mouse. Prostaglandin
endoperoxide synthase 2 (Ptgs2) and microsomal prostaglandin E synthase (mPtges)
were aberrantly expressed in mouse uterus at implantation sites after delayed
implantation was activated by high doses of TP. CONCLUSION(S): A low dose of TP
led to a delay in embryo implantation, but a high dose caused aberrant expression
of both Ptgs2 and mPtges at the implantation site. It is possible that high doses
of TP may disturb peri-implantation development or may be involved in early
pregnancy loss by disturbing the uterine prostaglandin system.
PMID- 18054000
TI - A prospective randomized controlled trial comparing two embryo transfer catheters
in an ART program.
AB - OBJECTIVE: To compare the performance of the Cook Sydney IVF (SIVF) embryo
transfer (ET) catheter and the Edwards-Wallace (EW) ET catheter. DESIGN:
Prospective randomized controlled clinical trial with an intention-to-treat
analysis. SETTING: Two-center study. PATIENT(S): Four hundred consecutive women
<40 years undergoing ET with two fresh embryos. INTERVENTION(S): Women were
randomly allocated to undergo ET with either the EW or the SIVF catheter, with
possible catheter change in case of insertion difficulties. MAIN OUTCOME
MEASURE(S): Live birth and clinical pregnancy rates. RESULT(S): Two hundred two
women were allocated to the SIVF catheter and 198 to the EW catheter. No
significant differences in the clinical pregnancy rates (odds ratio [OR] 0.99,
95% confidence interval [CI] 0.66-1.47) and live-birth rates (OR 1.09, 95% CI
0.72-1.65) were found. The EW catheter had to be changed more often than the SIVF
catheter (OR 9.5, 95% CI 3.3-27.5) because of catheter insertion problems.
CONCLUSION(S): The pregnancy and live birth rates were not significantly
different with the two catheters, but catheter insertion failure was
significantly more common with the EW catheter than with the SIVF catheter.
PMID- 18054001
TI - Relationship between time of reperfusion and E-selectin expression, neutrophil
recruitment, and germ cell apoptosis after testicular ischemia in a rat model.
AB - OBJECTIVE: To examine the relationship between the time of reperfusion and
neutrophil recruitment, E-selectin expression, and germ cell apoptosis in the
ischemic and contralateral testis after testicular ischemia-reperfusion (IR)
injury in a rat. DESIGN: Laboratory study. SETTING: Research laboratory in a
faculty of medicine at Technion-institute of technology in Israel. ANIMAL(S):
Sixty adult Sprague-Dawley rats weighing 250-280 g. INTERVENTION(S): Testicular
IR. MAIN OUTCOME MEASURE(S): Testicular germ cell apoptosis was assessed by
terminal deoxynucleotide transferase-mediated 2'-deoxyuridine 5'-triphosphate
nick-end labeling immunohistochemical assay, using an in situ cell death
detection kit. The recruitment of polymorphonuclear (PMN) cells was calculated
per 100 venules. Expression of E-selectin was determined by using
immunohistochemical analysis. RESULT(S): E-selectin expression and
polymorphonuclear-cell recruitment in the contralateral testis increased
significantly after 1 hour of reperfusion and then remained unchanged during the
first 24-48 hours, followed by a gradual decrease. Germ cell apoptosis in the
contralateral testis increased after 6 hours of reperfusion, achieved statistical
significance after 24 hours, and decreased after 72 hours of reperfusion.
CONCLUSION(S): Germ cell apoptosis in the contralateral testis increases most
significantly within the first 24-48 hours, followed by a gradual decrease, after
IR injury. E-selectin expression and neutrophil recruitment increases within the
first 6 hours and apparently may initiate the increase in germ cell apoptosis.
PMID- 18054002
TI - Is painful rectovaginal endometriosis an intermediate stage of rectal
endometriosis?
AB - OBJECTIVE: To compare the history of pain complaints of women presenting
rectovaginal and rectal endometriosis to show that rectovaginal locations may
progress to a rectal involvement of the disease. DESIGN: Retrospective
comparative study. SETTING: Department of Gynecology and Obstetrics, University
Hospital Rouen, France. PATIENT(S): Thirty-two patients with rectovaginal
endometriosis and 16 patients with rectal involvement. INTERVENTION(S):
Standardized questionnaires recording the clinical history of painful deep
endometriosis up to diagnosis. MAIN OUTCOME MEASURE(S): Length of time from onset
of pain to diagnosis, types of pain, disability related to the pain, and number
of physicians consulted before the diagnosis was made. RESULT(S): Women with
rectal endometriosis had an earlier onset of dysmenorrhoea. The age of
dysmenorrhoea and the length of time between the onset of the first pain to the
first time that the endometriosis was suspected were significantly increased in
women with rectal endometriosis. Pain during defecation was more frequent in
patients with rectal endometriosis. Women consulted an average of three
physicians before the endometriosis diagnosis was suggested. A nongynecologist
physician made the diagnosis of rectovaginal and rectal endometriosis in
respectively 26% and 31% of cases. CONCLUSION(S): Rectal endometriosis is
associated with an earlier onset and a longer history of painful symptoms until
the diagnosis was made when compared with rectovaginal endometriosis locations.
These observations support the hypothesis that rectovaginal location may be an
intermediate stage of rectal endometriosis.
PMID- 18054003
TI - Oral contraceptive pill pretreatment in ovarian stimulation with GnRH antagonists
for IVF: a systematic review and meta-analysis.
AB - OBJECTIVE: To assess the impact of oral contraceptive pill (OCP) pretreatment in
ovarian stimulation for in vitro fertilization (IVF) using gonadotropin releasing
hormone (GnRH) antagonists. DESIGN: Systematic review and meta-analysis of
randomized controlled trials (RTC). SETTING: University IVF center. PATIENT(S):
Infertile patients (n = 847), treated in four trials. INTERVENTION(S): Systematic
literature search (MEDLINE, EMBASE, CENTRAL COCHRANE, conference proceedings,
reference lists) for randomized trials on OCP treatment before stimulation with
gonadotropins and GnRH antagonist for IVF. Meta-analysis of data yielding pooled
odds ratios (OR) or weighted differences of the means (WMD) and 95% confidence
intervals (CI). MAIN OUTCOME MEASURE(S): Ongoing pregnancy rate per randomized
woman. RESULT(S): Ongoing pregnancy rate per randomized woman was not found to be
significantly different between patients with and those without OCP pretreatment
(OR: 0.74, 95% CI: 0.53 to 1.03). Duration of gonadotropin stimulation (WMD:
+1.41 days, 95% CI: +1.13 to +1.68) and gonadotropin consumption (WMD: +542 IU,
95% CI: +127 to +956) were significantly increased after OCP pretreatment. No
significant differences were observed regarding the number of cumulus-oocyte
complexes (COCs) and the fertilization rates. CONCLUSION(S): A significant
difference in ongoing pregnancy rates between patients who received OCP
pretreatment and those who did not is currently not present, although further
studies are necessary for more solid conclusions on pregnancy likelihood to be
drawn.
PMID- 18054004
TI - Infertility induced by cancer treatment: inappropriate or no information provided
to majority of French survivors of cancer.
AB - OBJECTIVE: To describe patients who reported treatment-induced infertility 2
years after cancer diagnosis and to highlight what factors are related to the
patients' lack of information on this topic before starting therapy. DESIGN:
Cross-sectional study by telephone interview. SETTING: Representative French
national sample of survivors 2 years after cancer diagnosis. PATIENT(S): 282
women (<45 years) and 1137 men (<71 years). INTERVENTION(S): None. MAIN OUTCOME
MEASURE(S): Self-reported treatment-induced infertility, and what information was
provided on this issue before starting treatment, including information on
systematic sperm cryopreservation. RESULT(S): Among the participants, 104 women
(37%) and 346 men (30%) reported having treatment-induced infertility. Among
them, 31 women (30%) and 45 men (13%) reported that they had not been informed
about the risk of infertility before they started treatment. Logistic regressions
showed that a lack of information was associated with older age and treatment
without hormone therapy among women and older age, cancers other than prostate,
and a lack of participation in treatment decision-making among men.
CONCLUSION(S): Information about infertility risks and preservation methods
should be provided more systematically to all treated patients, irrespective of
their age.
PMID- 18054005
TI - Successful pregnancy outcome after in vitro fertilization in a pancreas-kidney
recipient.
AB - OBJECTIVE: To describe the first case of IVF pregnancy in a pancreas-kidney
recipient. DESIGN: Case report. SETTING: Reproductive Medicine Department,
Hopital Edouard Herriot, Lyon, France. INTERVENTION(S): In vitro fertilization in
a pancreas-kidney recipient. PATIENT(S): A 39 year-old nulliparous woman, with
primary infertility and a history of pancreas-kidney transplant at 29 years of
age. MAIN OUTCOME MEASURE(S): Multidisciplinary agreement for the couple to be
managed by IVF. Follow-up of pregnancy and delivery. RESULT(S): Singleton IVF
pregnancy in a pancreas-kidney recipient, maintained up to 34 weeks. Cesarian
delivery ahead of labor. No severe maternal or fetal complications. Live birth.
Normal postpartum renal function and glycemia. CONCLUSION(S): An IVF pregnancy is
feasible in a pancreas-kidney recipient. Such treatment should follow agreement
by all the medical teams following the patient. Pregnancy in a pancreas-kidney
recipient is at-risk, requiring close monitoring.
PMID- 18054006
TI - Kruppel-like factor 5 mediates cellular transformation during oncogenic KRAS
induced intestinal tumorigenesis.
AB - BACKGROUND & AIMS: Kruppel-like factor 5 (KLF5) is a zinc finger-transcription
factor that regulates cell proliferation. Oncogenic KRAS mutations are commonly
found in colorectal cancers. We aimed to determine whether KLF5 mediates KRAS
functions during intestinal tumorigenesis. METHODS: The effects of KLF5 on
proliferation and transformation were examined in IEC-6 intestinal epithelial
cells stably transfected with inducible KRAS(V12G). KLF5 expression was examined
in intestinal tumors derived from transgenic mice expressing KRAS(V12G) under
villin promoter and in human colorectal cancers with mutated KRAS. RESULTS:
Induction of KRAS(V12G) in IEC-6 cells resulted in increased expression of KLF5,
accompanied by increased rates of proliferation and anchorage-independent growth.
Inhibition of KLF5 expression by mitogen-activated protein kinase/extracellular
signal-regulated kinase (MEK) inhibitors or KLF5-specific small interfering RNA
reduced proliferation and anchorage-independent growth despite KRAS(V12G)
induction. Human colorectal cancer cell lines with mutated KRAS contained high
levels of KLF5 and reduction of KLF5 by MEK inhibitors or KLF5 small interfering
RNA also led to reduced proliferation and transformation. In vivo, both
intestinal tumors derived from mice transgenic for villin-KRAS(V12G) and human
primary colorectal cancers with mutated KRAS contained high levels of KLF5 and
increased staining of the proliferative marker Ki67. CONCLUSIONS: Elevated levels
of KLF5 protein are strongly correlated with activating KRAS mutations in
intestinal tumors in vitro and in vivo. Inhibition of KLF5 expression in tumor
cells resulted in significantly reduced rates of proliferation and transforming
activities. We conclude that KLF5 is an important mediator of oncogenic KRAS
transforming functions during intestinal tumorigenesis.
PMID- 18054007
TI - Roles of calcitonin gene-related peptide in maintenance of gastric mucosal
integrity and in enhancement of ulcer healing and angiogenesis.
AB - BACKGROUND & AIMS: The gastrointestinal tract is known to be rich in neural
systems, among which afferent neurons are reported to exhibit protective actions.
We tested whether an endogenous neuropeptide, calcitonin gene-related peptide
(CGRP), can prevent gastric mucosal injury elicited by ethanol and enhance
healing of acetic acid-induced ulcer using CGRP knockout mice (CGRP(-/-)).
METHODS: The stomach was perfused with 1.6 mmol/L capsaicin or 1 mol/L NaCl, and
gastric mucosal injury elicited by 50% ethanol was estimated. Levels of CGRP in
the perfusate were determined by enzyme immunoassay. Gastric ulcers were induced
by serosal application of absolute acetic acid. RESULTS: Capsaicin inhibited
injured area dose-dependently. Fifty percent ethanol containing capsaicin
immediately increased intragastric levels of CGRP in wild-type (WT) mice,
although 50% ethanol alone did not. The protective action of capsaicin against
ethanol was completely abolished in CGRP(-/-). Preperfusion with 1 mol/L NaCl
increased CGRP release and reduced mucosal damage during ethanol perfusion.
However, 1 mol/L NaCl was not effective in CGRP(-/-). Healing of ulcer elicited
by acetic acid in CGRP(-/-) mice was markedly delayed, compared with that in WT.
In WT, granulation tissues were formed at the base of ulcers, and substantial
neovascularization was induced, whereas those were poor in CGRP(-/-). Expression
of vascular endothelial growth factor was more markedly reduced in CGRP(-/-) than
in WT. CONCLUSIONS: CGRP has a preventive action on gastric mucosal injury and a
proangiogenic activity to enhance ulcer healing. These results indicate that the
CGRP-dependent pathway is a good target for regulating gastric mucosal protection
and maintaining gastric mucosal integrity.
PMID- 18054008
TI - Disconnected pancreatic tail syndrome: potential for endoscopic therapy and
results of long-term follow-up.
AB - BACKGROUND: Limited published data exist that address the incidence and outcomes
of patients with complete pancreatic-duct disruption. OBJECTIVE: Report on a
single-center experience with this entity that emphasizes the feasibility of
endoscopic therapy and long-term outcomes. DESIGN: Retrospective analysis.
SETTING: Tertiary-care medical center (Portland, Maine). PATIENTS: A total of 189
patients with pancreatic-fluid collections and/or pancreatic fistulas were
retrospectively evaluated for the presence of a disconnected pancreatic tail.
Patients meeting the definition of disconnected pancreatic tail syndrome (DPTS)
with a minimum of 6 months' follow-up were analyzed. RESULTS: Thirty of 189
patients (16%) met criteria for DPTS. Thirty-six drainage procedures were
performed on 29 patients (mean 1.2 procedures per patient). In 22 of 29 patients
(76%), the initial drainage procedure was successful. However, recurrent fluid
collection(s) developed in 11 of 22 patients (50%) and was seen in those treated
surgically and endoscopically. Disruption in the tail (n = 3) was uncommon but
invariably required no surgical intervention. The median follow-up was 38 months
(range 3-94 months). Diabetes mellitus developed in 16 of 30 patients (53%); 15
of 30 patients (50%) had left-sided portal hypertension; 16 of 30 patients (53%)
continue in active medical or surgical follow-up for recurrent symptoms
attributable to the disconnected pancreatic tail. CONCLUSIONS: Of patients with a
pancreatic-fluid collection and/or fistula, 16% will also have a disconnected
pancreatic tail. Endoscopic and surgical drainage techniques are typically
initially successful, but both suffer from a high rate of recurrence in the
setting of DPTS. The majority of patients will require long-term follow-up
because of complications and/or ongoing symptoms.
PMID- 18054009
TI - Self-expandable metal stents in acute malignant colonic obstruction: shall you
cross this bridge?
PMID- 18054010
TI - Endoscopic submucosal dissection with internal traction for early gastric cancer
(with video).
AB - BACKGROUND: EMR techniques have high success rates for treating small lesions of
the upper-GI tract; however, tumors larger than 15 mm are frequently removed by
piecemeal resection, which is associated with an increased rate of disease
recurrence and difficulty in histologically evaluating the specimen. OBJECTIVE:
To describe a simple technique of using internal traction to facilitate
endoscopic submucosal dissection (ESD) procedures in the excision of large, early
gastric cancers. DESIGN: Case series. SETTING: A tertiary medical center in
Taiwan. PATIENTS AND METHODS: Eight patients with early gastric cancers larger
than 20 mm underwent ESD. INTERVENTIONS: A standard hemoclip modified with
surgical suture was used to provide traction to improve visualization of the
dissection plane during ESD. MAIN OUTCOME MEASUREMENTS: Proportion with complete
en bloc resection. RESULTS: En bloc resection of the lesion was achieved in 8
patients. One patient underwent additional surgery because an adequate safe
margin was not obtained by ESD. LIMITATIONS: One endoscopist performed all
procedures, and only 8 patients were studied in an uncontrolled manner.
CONCLUSIONS: The internal traction method appears to facilitate en bloc ESD of
early gastric cancers larger than 20 mm.
PMID- 18054012
TI - Anterior versus posterior pin placement of pelvic C-clamp in relationship to
anatomical structures: a cadaver study.
AB - OBJECTIVES: The objective of this study was to compare anterior and posterior pin
placement of the pelvic C-clamp with specific reference to the proximity of the
sciatic nerve, sciatic notch, hip joint capsule, and superior gluteal
neurovascular bundle. METHODS: The pelvic C-clamp (Synthes, Paoli, PA) was
applied to eight extracted pelvic specimens and five full cadavers (26 hips in
total). Anterior and posterior pin placements were measured in relationship to
the described anatomical structures. RESULTS: In 100% of the hips the distance
from the posterior pin to the hip joint capsule was in 21 (80.8%), 23 (88.5%),
and 20 (76.9%) of the hips, the anterior pin distances were greater than the
posterior pin distances to the sciatic nerve, sciatic notch, and superior gluteal
neurovascular bundle, respectively. CONCLUSIONS: Anterior pin placement is
further from all anatomical structures studies with the exception of the hip
joint capsule. The posterior pin was closer to the sciatic nerve, sciatic notch,
and superior gluteal neurovascular bundle in all cases. Clinical decision-making
for C-clamp placement should be individualised on a case-by-case basis.
PMID- 18054011
TI - Alpha-melanocyte-stimulating hormone mediates melanin-concentrating hormone
induced anorexigenic action in goldfish.
AB - In goldfish, intracerebroventricular (ICV) administration of melanin
concentrating hormone (MCH) inhibits feeding behavior, and fasting decreases
hypothalamic MCH-like immunoreactivity. However, while MCH acts as an
anorexigenic factor in goldfish, in rodents MCH has an orexigenic effect.
Therefore, we examined the involvement of two anorexigenic neuropeptides, alpha
melanocyte-stimulating hormone (alpha-MSH) and corticotropin-releasing hormone
(CRH), in the anorexigenic action of MCH in goldfish, using an alpha-MSH receptor
antagonist, HS024, and a CRH receptor antagonist, alpha-helical CRH((9-41)). ICV
injection of HS024, but not alpha-helical CRH((9-41)), suppressed MCH-induced
anorexigenic action for a 60-min observation period. We then examined, using a
real-time PCR method, whether MCH affects the levels of mRNAs encoding various
orexigenic neuropeptides, including neuropeptide Y (NPY), orexin, ghrelin and
Agouti-related peptide (AgRP), in the goldfish diencephalon. ICV administration
of MCH at a dose sufficient to inhibit food consumption decreased the expression
of mRNAs for NPY and ghrelin, but not for orexin and AgRP. These results indicate
that the anorexigenic action of MCH in the goldfish brain is mediated by the
alpha-MSH signaling pathway and is accompanied by inhibition of NPY and ghrelin
synthesis.
PMID- 18054013
TI - Transarterial embolisation for intractable post-traumatic oronasal haemorrhage
following traumatic brain injury: evaluation of prognostic factors.
AB - AIM: To analyse the outcomes of transarterial embolisation (TAE) for post
traumatic oronasal haemorrhage following traumatic brain injury (TBI), for
clinical application and prognosis. METHOD: Retrospective review of records of 17
patients treated in the neurosurgical intensive care unit for TBI complicated
with intractable post-traumatic oronasal haemorrhage requiring TAE. The Mann
Whitney U-test, Wilcoxon signed rank test and Fisher's exact test were used in
statistical analysis. RESULTS: TAE successfully stopped the post-traumatic
oronasal haemorrhage in 13 of 17 cases. The internal maxillary artery was the
most common haemorrhaging vessel requiring embolisation. Successful haemostasis
contributed significantly to survival. CONCLUSION: Transarterial embolisation may
stop intractable post-traumatic oronasal haemorrhage when conventional packing
fails. Shock index (calculated as heart rate/systolic blood pressure) before and
after TAE <1.2 and <0.8, respectively, and higher Glasgow Coma Scale (>8) before
than after TAE, were positively correlated with survival.
PMID- 18054014
TI - Profile of injuries arising from the 2005 Kashmir earthquake: the first 72 h.
AB - BACKGROUND: The Kashmir Earthquake of October 8, 2005 had widespread destructive
effects with in excess of 86,000 people killed and over 80,000 severely injured.
Most hospitals were destroyed and limited facilities were available for medical
service in the immediate aftermath. A small military hospital in Forward Kahuta,
Pakistan, remained functional and was inundated with severely injured patients
over 72h. METHODS: A retrospective review of medical records to document the
injury patterns, subsequent treatment, infections and logistical requirements
that occurred following this earthquake. RESULTS: One thousand five hundred and
two patients were triaged over 72h. Four hundred and sixty eight (31.1%) patients
required admission. Three hundred and nineteen (68.2%) patients were managed non
operatively and 149 (31.8%) required a procedure under general anaesthesia. The
most common type of injuries were: superficial lacerations (64.9%); fractures
(22.2%); and soft tissue contusions/sprains (5.9%). There were 266 major injuries
to the extremities (40.1% upper limb; 59.9% lower limb). Six patients had
significant abdominal injuries, 66.6% of these required urgent laparotomy. 14.8%
had clinically relevant infections at follow-up requiring surgical debridement or
antibiotic therapy. CONCLUSIONS: Disaster response in the early phase of
earthquake relief is complex, with local facilities often overwhelmed and
damaged. Limb injuries are most likely; however facilities should have clear
plans to deal with severe trauma including head injuries and penetrating
abdominal trauma. Coordinated effort is required for success, with lessons learnt
to improve future disaster management.
PMID- 18054015
TI - Perineal hygiene in patients with pelvic fractures.
AB - At the tertiary referral Orthopaedic Unit of St. Georges Hospital, it was noted
that there was an unacceptably high number of soiled perinea in patients
transferred from Base Hospitals. This not only exposed the patients to increased
infection [Jepsen O. The effectiveness of preoperative skin preparations: an
integrated review of the literature. AORN J 1993;58:477-82; and Nix D, Ermer
Seltun J. A review of perineal skin care protocols and skin barrier product use.
Ostomy Wound Manage 2004;50:59-67] but was also undignified and unacceptable for
them. We decided to audit the problem with a view to finding out why this was
happening and to improve the situation. A 2-year study was carried out over three
distinct phases (phase 1: February-June 2004, phase 2: July-November 2004, phase
3: February-November 2005). Observations of soiling were recorded in a
questionnaire by the surgeon prior to surgery. Key system and clinical guidelines
were implemented during the second phase, and the audit process was repeated. The
percentage of clean perinea in phase 1 was 32%, phase 2 68% and phase 3 99.5%
indicating a clear improvement in the overall system.
PMID- 18054016
TI - Pancreatico-enterostomy for isolated main pancreatic duct disruption.
AB - BACKGROUND: We present our experiences with isolated main pancreatic duct
injuries due to blunt trauma, managed by pancreatico-enterostomies. METHODS: This
is a retrospective study of seven patients, one female and six males who
presented between 1997 and 2005, whose ages ranged from 10 to 54 years. Three
were due to motor vehicle accidents, two due to blunt assault, one pedestrian
vehicle accident and one go-cart accident. Four presented acutely and were
managed surgically within 24h; two were delayed by 3 days and one by 14 days. Six
had pre-operative CT scans; one had an ERCP confirming ductal transection by
contrast extravasation. RESULTS: Five pancreatico-gastrostomies and two
pancreatico-jejenostomies were performed. Three patients complicated; one by
biliary cutaneous fistula after a left hepatic segmentectomy, one with an amylase
rich low output fistula and one with haematemesis, for which no cause could be
identified. All complications were managed conservatively. Post-operative follow
up ranged between 4 and 20 weeks. No deaths occurred. CONCLUSION: In a stable
patient, pancreatico-enterostomy for an isolated main pancreatic duct injury
appears to be a viable option and simpler to perform than distal pancreatectomy
with splenic preservation. Furthermore, it has the advantage of pancreatic tissue
and spleen preservation and a low fistula rate. The authors believe pancreatico
gastrostomy to be the easier to perform.
PMID- 18054017
TI - An update on the management of high-energy pilon fractures.
AB - High energy pilon fractures present a unique challenge to the patient and
orthopaedic surgeon. Care for the soft tissue envelope is as important as
management of this articular fracture. This article reviews the fundamental
principles for treatment of the patient with severe pilon fracture. Staged
operative care is emphasised to prevent wound and infectious complications which
have historically plagued pilon fracture surgery. New innovations directed at
improving results are discussed including biological planting and wound care
using the vacuum assisted closure device. Lastly, validated outcomes are
presented which highlight the severity of these injuries despite optimal care.
PMID- 18054018
TI - Should the galvanic combination of titanium and stainless steel surgical implants
be avoided?
AB - It is recommended that one should not combine different metals in orthopaedic
devices. The least noble metal in such a galvanic coupling is more likely to
corrode. However, some studies have failed to show increased corrosion when
titanium and stainless steel are combined. The aim of this study was to determine
the fretting corrosion of the contact areas between screws and plates made of
these dissimilar metals used for internal fixation of bone fractures. The plates
were fixed to a bone-simulating material and subjected to tensile and compressive
forces in both human serum and Hank's solution. The outcome variables included in
the analyses were weight loss, and release of Ti, Cr, Ni and Mo to the different
media. Results from the multiple combinations were subjected to multivariate
statistics. Principal component analysis visualised our findings and allowed
classification of similar samples and separation of discrepant groups of samples.
We found a significant effect of the test medium, but no dramatic effect due to
mixing of metals. The titanium screws and plates corroded more in serum than in
saline, while the opposite was true for stainless steel. Combination of
dissimilar screws and plates did not cause higher weight loss or metal release
than the single-material constructions, indicating comparable clinical safety.
PMID- 18054019
TI - Perioperative hemodilutional autologous blood transfusion in burn surgery.
AB - It is important to avoid or minimise allogeneic blood transfusion, because of
possible alloimmunisation or disease transmission. In burn cases these risks are
high, and predonated autologous transfusion is not practical. Perioperative
haemodilutional autologous blood transfusion is considered applicable in burn
surgery. This study evaluates the effectiveness of the technique in the treatment
of burns.
PMID- 18054020
TI - Road traffic collisions in Bursa, Turkey, during 2003, 2004 and 2005.
AB - AIM: To highlight the demographic pattern of road traffic injuries in Bursa and
to improve emergency care and health facility-based treatment. METHODS: Records
of all registered road traffic collisions maintained by the Uludag University
Emergency Department in Bursa for the period 2003 to 2005 and trauma files of
1307 road traffic collision victims were examined in this study. A form was
designed to document patients' age, gender, type of trauma, time of trauma (hour,
day, month and year), type and time to hospital of transport, presence of safety
devices, presence of alcohol and blood alcohol concentration, localisations of
wounds, trauma scores and outcomes. RESULTS: Of the 1307 cases, 418 (32%) were
female and 889 (68%) were male. Ages ranged from 3 months to 87 years; mean age
(+/-S.D.) was 34.8 (+/-17.3) years. The mean (+/-S.D.) ages of women and men were
35+/-17.6 and 34.7+/-17.2 years, respectively. Trauma was most commonly sustained
within the motor vehicle (72.2%), followed by pedestrian injuries (21.7%),
motorcycle injuries (5.5%) and bicycle injuries (0.6%). Collisions were most
frequent in summer (34.7%) and on Fridays and weekends (48.5%). Seat belts were
used in only 1.8% of incidents. In 90 cases (6.9%) alcohol was found in the
blood; mean blood alcohol concentration was 139.2+/-88.3mg/dl. CONCLUSIONS: The
identification of RTC characteristics may contribute to the development of injury
prevention measures. Road travel requires extra attentiveness at peak accident
times. Seat-belt use should increase, as well as use of other safety equipment
such as collision helmets. Shorter transportation time of casualties to hospital
would improve outcome.
PMID- 18054021
TI - Quantification and characterisation of endothelial injury after trauma.
AB - The microenvironment theory has become very popular for providing mechanisms
which explain the development of often lethal posttraumatic complications such as
systemic inflammatory response syndrome (SIRS), adult respiratory distress
syndrome (ARDS) and multiple organ dysfunction syndrome (MODS). With the recent
advances in molecular biology and the ever-expanding understanding of trauma
pathophysiology, immunomonitoring in trauma patients attempts to characterise and
quantify novel molecules in order to predict patients at risk. This review
article assesses the existing evidence on the biomarkers of endothelial injury
and their potential utility as quantification parameters of endothelial
dysfunction in trauma patients.
PMID- 18054022
TI - Chitotriosidase and YKL-40 in normal and pre-eclamptic pregnancies.
AB - OBJECTIVE: To compare macrophage activation in normal and pre-eclamptic
pregnancies by determining YKL-40 concentration and chitotriosidase activity in
maternal and cord serum. METHODS: In this prospective case-control study samples
of maternal peripheral blood and umbilical venous blood were collected from 28
pre-eclamptic and 24 normotensive pregnant women and their newborns. YKL-40
concentration and chitotriosidase activity were determined by enzyme-linked
immunoassay and fluorometry, respectively. RESULTS: Chitotriosidase activity in
maternal and cord serum and YKL-40 concentration in cord serum were significantly
higher in pre-eclamptic pregnancies (P<0.001), but there was no significant
difference in maternal serum levels of YKL-40 between the case and control groups
(P>0.05). There was a significant positive correlation between diastolic blood
pressure and (1) chitotriosidase activity in both maternal and cord serum and (2)
cord serum concentration of YKL-40 (r=0.61, r=0.84, and r=0.58, respectively).
CONCLUSION: This study may be the first to demonstrate maternal and fetal
macrophage activation in pre-eclampsia.
PMID- 18054023
TI - An international matched cohort study of the contribution of metabolic
impairments to subclinical atherosclerosis in United Kingdom and Jamaican African
Caribbeans.
AB - BACKGROUND: A gradient of increased vascular risk exists across the African
diaspora. We hypothesised that increased insulin resistance with environmental
transition contributes to this risk. METHODS: The study was undertaken in 73
healthy African-Caribbeans in the UK and 151 age and sex matched African
Caribbeans in Jamaica. Body mass index (BMI), fasting insulin, insulin
resistance, carotid intima media thickness (CIMT) and endothelium dependent
vasodilatation (EDV) were compared. CIMT was measured ultrasonographically in the
distal 1cm of both common carotid arteries. EDV was measured the absolute change
from baseline in the Reflection index (RI) of the digital volume pulse during
intravenous infusion of albuterol (DeltaRI(ALB)). RESULTS: UK African-Caribbeans
had greater CIMT (mean difference 0.124 [95% C.I. 0.075-0.173] mm, p<0.0001) and
decreased EDV (mean difference in DeltaRI(ALB) 5.1 [95% C.I. 2.5-7.6] percentage
points, p<0.0001). This was associated with higher insulin concentrations (mean
difference 1.6 [95% C.I. 1.3-4.1] microU/mL, p=0.038) and greater HOMA score (2.8
versus 2.0; p=0.035) despite no significant differences in BMI (28.8 versus 27.6;
p=0.168) or the waist to hip ratio (0.86 versus 0.85; p=0.188). HOMA scores
correlated positively with CIMT (r=0.35, p=0.01) and negatively with DeltaRI(ALB)
(r=-0.17; p=0.02) in UK, but not in Jamaican, African-Caribbeans. A significant
interaction was seen between HOMA and UK domicile for CIMT (p<0.0001) and between
fasting insulin and UK domicile for DeltaRI(ALB) (p<0.0001). CONCLUSIONS:
Increased insulin resistance, associated with living in a nutritionally enriched
environment, may contribute to early subclinical atherosclerosis in UK African
Caribbeans.
PMID- 18054024
TI - Strains and stresses in sub-dermal tissues of the buttocks are greater in
paraplegics than in healthy during sitting.
AB - A pressure-related deep tissue injury (DTI) is a severe pressure ulcer, which
initiates in muscle tissue overlying a bony prominence (e.g. the ischial
tuberosities, IT) and progresses outwards through fat and skin, unnoticed by the
paralyzed patient. We recently showed that internal strains and stresses in
muscle and fat of individuals at anatomical sites susceptible to DTI can be
evaluated by integrating Open-MRI scans with subject-specific finite element (FE)
analyzes (Linder-Ganz et al., Journal of Biomechanics, 2007); however, sub-dermal
soft tissue strains/stresses from paraplegics are still missing in literature. We
hypothesize that the pathoanatomy of the buttocks in paraplegia increases the
internal soft tissue loads under the IT, making these patients inherently
susceptible to DTI. We hence compared the strain and stress peaks in the gluteus
muscle and fat tissues under the IT of six healthy and six paraplegic patients,
using the coupled MRI-FE method. Peak principal compression, principal tension,
von Mises and shear strains in the gluteus were 1.2-, 3.1-, 1.4- and 1.4-fold
higher in paraplegics than in healthy, respectively (p<0.02). Likewise, peak
principal compression, principal tension, von Mises and shear stresses in the
gluteus were 1.9-, 2.5-, 2.1- and 1.7-fold higher for the paraplegics (p<0.05).
Peak gluteal compression and shear stresses decreased by as much as 70% when the
paraplegic patients moved from a sitting to a lying posture, indicating on the
effectiveness of recommending such patients to lie down after prolonged periods
of sitting. This is the first attempt to compare internal soft tissue loads
between paraplegic and healthy subjects, using an objective standardized
bioengineering method of analysis. The findings support our hypothesis that
internal tissue loads are significantly higher in paraplegics, and that postural
changes significantly affect these loads. The method of analysis is useful for
quantifying the effectiveness of various interventions to alleviate sub-dermal
tissue loads at sites susceptible to pressure ulcers and DTI, including cushions,
mattresses, recommendations for posture and postural changes, etc.
PMID- 18054025
TI - Temporal characteristics of plantar shear distribution: relevance to diabetic
patients.
AB - Diabetic foot ulcers are known to have a biomechanical etiology. Among the
mechanical factors that cause foot lesions, shear stresses have been either
neglected or underestimated. The purpose of this study was to determine various
plantar pressure and shear variables in the diabetic and control groups and
compare them. Fifteen diabetic patients with neuropathy and 20 non-diabetic
subjects without foot symptoms were recruited. Subjects walked on a custom-built
platform capable of measuring local normal and tangential forces simultaneously.
Pressure-time integral quantities were increased by 54% (p=0.013) in the diabetic
group. Peak AP and resultant shear magnitudes were found to be about 32% larger
(p<0.05), even though diabetic subjects walked at a slower velocity. Lower AP and
ML stress range (peak-to-peak) values were observed in the control subjects
(p<0.05). Shear-time integral values were increased in the diabetic group by 61%
and 132% for AP and resultant shear cases, respectively (p<0.05). Plantar shear
is known to be a factor in callus formation and has previously been associated
with higher ulcer incidence. During gait, shear stresses are induced with twice
the frequency of pressure characteristically. Therefore, plantar shear should be
investigated further from a broader perspective including the temporal
specifications and fatigue failure characteristics of the affected plantar
tissue.
PMID- 18054026
TI - Contributions of capillary electrophoresis to neuroscience.
AB - Capillary electrophoresis (CE) is a small-volume separation approach amenable to
the analysis of complex samples for their small molecule, peptide and protein
content. A number of the features of CE make it a method of choice for addressing
questions related to neurochemistry. The figures of merit inherent to CE that
make it well suited for studying cell-to-cell and intracellular signaling include
small sample volumes, high separation efficiency, the ability for online analyte
concentration, and compatibility with sensitive and high-information content
detection methods. A variety of instrumental aspects are detailed, including
detection methods and sampling techniques that are particularly useful for the
analysis of signaling molecules. Studies that have used these techniques to
increase our understanding of neurobiology are emphasized throughout. One notable
application is single neuron chemical analysis, a research area that has been
greatly advanced by CE.
PMID- 18054027
TI - Preparation of novel beta-cyclodextrin chiral stationary phase based on click
chemistry.
AB - A facile strategy based on click chemistry for preparation of the structurally
well-defined native beta-cyclodextrin (beta-CD) based chiral stationary phase
(CSP) was proposed. The beta-CD CSP was evaluated by enatioseparation of benzoin,
trans-stilbene oxide, Troger's base, bendroflumethiazide, ketoprofen,
chlorthalidone, three flavanone compounds and two beta-adrenergic blocking agents
under reversed phase high performance liquid chromatography. The chromatographic
results demonstrate the chiral separation ability of click beta-CD CSP and
illustrate the usefulness of click chemistry in the preparation of beta-CD based
CSP.
PMID- 18054028
TI - Comprehensive two-dimensional liquid chromatography applying two parallel columns
in the second dimension.
AB - The design of a new interface for comprehensive two-dimensional liquid
chromatography (LC x LC) is described. To the conventionally used LC x LC system
with the loop-type interface consisting of a two-position/ten-port switching
valve equipped with two loops, an extra two-position/ten-port switching valve, a
detector, a pump and a second column placed in parallel with the column in the
second dimension, are added. The features of the interface are that the
separation space in the second dimension is significantly enlarged and that the
number of fractions transferred from the first to the second dimension can be
increased, reducing the risk to lose resolution of the primary dimension. The
potential of the system in NPLC x 2RPLC is illustrated with the analysis of a
standard mixture and a lemon oil extract. For the lemon oil analysis, the
effective peak capacity was increased from 437 using a conventional interface to
1095 with the new interface. RPLC x 2RPLC in combination with reduced modulation
times was applied to the analysis of steroids and to the detection of impurities
at the 0.05% relative concentration level in a sulfonamide drug sample.
PMID- 18054029
TI - Development of new-type rapid analysis technology of polychlorinated biphenyls by
using liquid chromatographic clean-up material (polyvinyl alcohol gel).
AB - We developed a new-type rapid polychlorinated biphenyl (PCB) analysis technology
on the basis of a liquid chromatographic clean-up system combined with a large
volume injection GC-LRMS. Among 18 kinds of materials such as polymer gels,
normal-phase silica gels, reversed-phase silica gels, carbon material and ion
exchange material, polyvinyl alcohol (PVA) gel and poly (hydroxylmethacrylate)
gel were found to give rather good separation performance for insulating oil.
Especially, PVA gel was confirmed to be the most suitable for rapid PCB analysis
because of its least required quantity of fraction liquid as well as the highest
resolution. Then, we confirmed elution characteristics of all PCB isomers and
removal efficiency of insulating oil on PVA gel under an optimized condition, and
established high-performance clean-up system using a combination of octadecyl
silica gel (ODS), porous graphite carbon (PGC) and PVA gel. In this system, we
applied newly valve-switching method that could remove other impurities. In
addition, it was demonstrated that the proposed clean-up system could become
highly sensitive and rapid PCB analysis technology with 2-h analysis time, lower
measurement limit of less than 0.05 mg/kg, and a variation coefficient of less
than 5%, by coupling with a large-volume injection type GC-LRMS. Thus, we can
conclude that this rapid PCB analysis technology has not only good correlativity
(R2>0.999) with standard analysis method but also high durability and can be
fully applied to actual PCB-treatment plants.
PMID- 18054030
TI - Separation of 7-xylosyl-10-deacetyl paclitaxel and 10-deacetylbaccatin III from
the remainder extracts free of paclitaxel using macroporous resins.
AB - The separation and enrichment of 10-deacetylbaccatin III (10-DAB III) and 7
xylosyl-10-deacetyl paclitaxel were studied on seven macroporous resins with
special structures. The performance of 7-xylosyl-10-deacetyl paclitaxel and 10
DAB III on macroporous resins including AB-8, ADS-17, ADS-21, ADS-31, ADS-8,
H1020 and NKA-II was compared according to their adsorption and desorption
properties. AB-8 provided a much higher adsorption capacity for 7-xylosyl-10
deacetyl paclitaxel and 10-DAB III than other resins, and its adsorption data
fitted well to the Langmuir and Freundlich isotherm. According to the adsorption
and desorption capacities and the adsorption isotherms, AB-8 demonstrated a
remarkable capability for the preparative separation of 7-xylosyl-10-deacetyl
paclitaxel and 10-DAB III from the remainder extracts free of paclitaxel. In
order to optimize parameters of separation, dynamic adsorption and desorption
experiments were carried out on the columns packed with AB-8 resin. The optimal
conditions were: the processing volume 15 BV; concentrations of 7-xylosyl-10
deacetyl paclitaxel and 10-DAB III in feed solution 0.0657 mg/mL and 0.1494
mg/mL; flow rate 1 mL/min; temperature 35 degrees C. The gradient elution program
was as follows: 30% ethanol for 3 BV, then 80% of ethanol for 6 BV, flow rate 1
mL/min. After the AB-8 resin treatment, the contents of 7-xylosyl-10-deacetyl
paclitaxel and 10-DAB III in the product had increased from 0.053% and 0.2% to
3.34% and 1.69%, which were 62.43-fold and 8.54-fold of those in the untreated
extracts, respectively, and the recoveries of 7-xylosyl-10-deacetyl paclitaxel
and 10-DAB III were 85.85% and 52.78%. The performance achieved good separation
and higher recovery of 7-xylosyl-10-deacetyl paclitaxel and 10-DAB III from
remainder extracts free of paclitaxel by using AB-8 resin. It is a fast and
effective method for the separation and enrichment of 7-xylosyl-10-deacetyl
paclitaxel and 10-DAB III.
PMID- 18054031
TI - Influence of n-alkyl acids on the percolative phenomena in AOT-based
microemulsions.
AB - A study was carried out on the influence of the n-alkyl acid addition on the
electric percolation of AOT/iso-octane/water microemulsions ([AOT] = 0.5 M and W=
[H(2)O]/[AOT] = 22.2). The observed influence has been explained taking into
account the organic nature of these molecules and, hence, their capacity of
disturbing the structure of the AOT-film. For these reasons, relationships with
their molecular structure (chain length) were analysed.
PMID- 18054032
TI - Modulation of the adsorption properties at air-water interfaces of complexes of
egg white ovalbumin with pectin by the dielectric constant.
AB - The possibility of modulating the mesoscopic properties of food colloidal systems
by the dielectric constant is studied by determining the impact of small amounts
of ethanol (10%) on the adsorption of egg white ovalbumin onto the air-water
interface in the absence and presence of pectin. The adsorption kinetics was
monitored using tensiometry. The addition of ethanol resulted in considerably
slower adsorption of the protein onto the interface, and this effect was enhanced
when the protein was in complex with the pectin. Time-resolved fluorescence
measurements demonstrated that in the case of noncomplexed ovalbumin the addition
of ethanol resulted in a more condensed protein surface layer where ovalbumin
adopted a preferred orientation at the interface. In contrast, the effect of
ethanol on the ovalbumin-pectin complex suggested a pronounced multipoint
electrostatic interaction between protein and polyelectrolyte and the formation
of a more rigid spatial arrangement within the complex, thereby leading to
suppressed protein-protein interactions. From this work it is concluded that by
the enhanced binding affinity between ovalbumin and pectin a strong effect on the
adsorption properties of the protein can be accomplished. This work does
therefore illustrate how solvent quality can be exploited effectively to enhance
or suppress protein functional behavior in complex applications containing air
water interfaces.
PMID- 18054033
TI - Viscous solvent colloidal system for direct visualization of suspension
structure, dynamics and rheology.
AB - We introduce a model colloid system comprised of particles dispersed in a viscous
solvent that can be applied to 3D direct visualization studies of suspension
structure, dynamics and rheology. The colloids are poly(methyl methacrylate)
(PMMA) spheres sterically stabilized by a copolymer of poly(diphenyl-dimethyl)
(DPDM) siloxane that matches the refractive index of PMMA. The monodisperse
particles, synthesized with mean diameter varying from 0.7 to 1.1 microm, are
stably dispersed in a DPDM siloxane solvent, with viscosity varying from 2.2 to
4.3 Pa s at 20 degrees C. As opposed to other classes of PMMA colloids dispersed
in organic solvents, this system displays minimal charge interactions. At room
temperature, pair potential interactions (measured by extrapolation of pair
correlation functions to infinite dilution) are well modeled by a generalized
Lennard-Jones alpha-2alpha potential (alpha=10) with dimensionless interaction
energy, epsilon/k(B)T=0.6. We use the DPDM-PMMA colloidal system in conjunction
with confocal microscopy studies to measure: (i) the radial distribution function
in 3D at dilute concentrations and (ii) the colloid self-diffusivity in 3D at
dilute concentrations. Both measurements, neither previously reported in
uncharged systems, are facilitated by the slow, viscous dynamics of the system.
We also show that the viscosity and particle size of the system are such that the
high-volume fraction shear thickening transition can be accessed at shear rates
amenable to direct visualization.
PMID- 18054034
TI - Bounds for local and average microwave absorption in heterogeneous systems.
AB - A simple expression for the local energy loss rate in binary mixtures is derived
that can be used to analyze measured dielectric spectra, to check their validity
or to control whether the specified material composition is consistent. Together
with well-known permittivity bounds it is applied to analyze recently published
data on microwave absorption of emulsions containing aqueous micro- and nano
droplets. It follows that these data are inconsistent and violate energy
conservation.
PMID- 18054035
TI - Tuning aggregation of microemulsion droplets and silica nanoparticles using
solvent mixtures.
AB - The effect of solvent on stability of water-in-oil microemulsions has been
studied with AOT (sodium bis(2-ethylhexyl)sulfosuccinate) and different solvent
mixtures of n-heptane, toluene and dodecane. Dynamic light scattering DLS was
used to monitor the apparent diffusion coefficient D(A) and effective
microemulsion droplet diameter on changing composition of the solvent.
Interdroplet attractive interactions, as indicated by variations in D(A), can be
tuned by formulation of appropriate solvent mixtures using heptane, toluene, and
dodecane. In extreme cases, solvent mixtures can be used to induce phase
transitions in the microemulsions. Aggregation and stability of model AOT
stabilized silica nanoparticles in different solvents were also investigated to
explore further these solvent effects. For both systems the state of aggregation
can be correlated with the effective molecular volume of the solvent V(mol)(eff)
mixture.
PMID- 18054036
TI - The emergence of motor imagery in children.
AB - A total of 80 children (40 5-year-olds and 40 7-year-olds) took part in an
experiment to evaluate their capacity to mentally evoke a motor image of their
own displacement. Using a chronometry paradigm, movement duration was compared in
a task where children were asked to move in order to take a puppet back to its
home (actual) and to think about themselves executing the same action (virtual).
Movement durations for actual and virtual displacements were obtained in two
conditions, where either no information was provided about the weight of the
puppet to be displaced (standard situation) or the puppet was described as being
heavy (informed situation). A significant correlation between actual and virtual
walking durations was observed for 7-year-olds in the informed condition. This
result provides evidence for a motor imagery process emerging in 7-year-olds when
children are required to think about themselves in action.
PMID- 18054037
TI - In vitro study of the antimicrobial activity of Brazilian propolis against
Paenibacillus larvae.
AB - The honey bee disease American foulbrood (AFB) is a serious problem since its
causative agent (Paenibacillus larvae) has become increasingly resistant to
conventional antibiotics. The objective of this study was to investigate the in
vitro activity of propolis collected from various states of Brazil against P.
larvae. Propolis is derived from plant resins collected by honey bees (Apis
mellifera) and is globally known for its antimicrobial properties and
particularly valued in tropical regions. Tests on the activity of propolis
against P. larvae were conducted both in Brazil and Minnesota, USA using two
resistance assay methods that measured zones of growth inhibition due to
treatment exposure. The propolis extracts from the various states of Brazil
showed significant inhibition of P. larvae. Clear dose responses were found for
individual propolis extracts, particularly between the concentrations of 1.7 and
0.12 mg propolis/treatment disk, but the source of the propolis, rather than the
concentration, may be more influential in determining overall activity. Two of
the three tested antibiotics (tylosin and terramycin) exhibited a greater level
of inhibition compared to most of the Brazilian samples, which could be due to
the low concentrations of active compounds present in the propolis extracts.
Additionally, the majority of the Brazilian propolis samples were more effective
than the few collected in MN, USA. Due to the evolution of resistance of P.
larvae to conventional antibiotic treatments, this research is an important first
step in identifying possible new active compounds to treat AFB in honey bee
colonies.
PMID- 18054038
TI - Regulation of Akt/PKB activity by P21-activated kinase in cardiomyocytes.
AB - Akt/PKB is a critical regulator of cardiac function and morphology, and its
activity is governed by dual phosphorylation at active loop (Thr308) by
phosphoinositide-dependent protein kinase-1 (PDK1) and at carboxyl-terminal
hydrophobic motif (Ser473) by a putative PDK2. P21-activated kinase-1 (Pak1) is a
serine/threonine protein kinase implicated in the regulation of cardiac
hypertrophy and contractility and was shown previously to activate Akt through an
undefined mechanism. Here we report Pak1 as a potential PDK2 that is essential
for Akt activity in cardiomyocytes. Both Pak1 and Akt can be activated by
multiple hypertrophic stimuli or growth factors in a phosphatidylinositol-3
kinase (PI3K)-dependent manner. Pak1 overexpression induces Akt phosphorylation
at both Ser473 and Thr308 in cardiomyocytes. Conversely, silencing or
inactivating Pak1 gene diminishes Akt phosphorylation in vitro and in vivo.
Purified Pak1 can directly phosphorylate Akt only at Ser473, suggesting that Pak1
may be a relevant PDK2 responsible for AKT Ser473 phosphorylation in
cardiomyocytes. In addition, Pak1 protects cardiomyocytes from cell death, which
is blocked by Akt inhibition. Our results connect two important regulators of
cellular physiological functions and provide a potential mechanism for Pak1
signaling in cardiomyocytes.
PMID- 18054039
TI - The role of the unfolded protein response in the heart.
AB - The misfolding of nascent proteins, or the unfolding of proteins after synthesis
is complete, can occur in response to numerous environmental stresses, or as a
result of mutations that de-stabilize protein structure. Cells have developed
elaborate protein quality control systems that recognize improperly folded
proteins and either refold them or facilitate their degradation. One such quality
control system is the unfolded protein response, or the UPR. The UPR is a highly
conserved signal transduction system that is activated when cells are subjected
to conditions that alter the endoplasmic reticulum (ER) in ways that impair the
folding of nascent proteins in this organelle. Recent observations indicate that
in the heart, the UPR is activated during acute stresses, including
ischemia/reperfusion, as well as upon longer term stresses that lead to cardiac
hypertrophy and heart failure. Moreover, certain aspects of the UPR are activated
during, and are required for proper heart development. This review summarizes
recent studies of the UPR in the heart, focusing on the possible roles of the UPR
in contributing to, or protecting from ischemia/reperfusion damage.
PMID- 18054040
TI - Globular adiponectin but not full-length adiponectin induces increased
procoagulability in human endothelial cells.
AB - Adiponectin (APN), a recently discovered adipocytokine, is present in human serum
in a full length (fAPN) and a globular form (gAPN). gAPN is a proteolytic
cleavage product of fAPN and seems to show independent biological activities
compared to the properties of fAPN. The influence of gAPN and fAPN on
procoagulability of cells is still unknown. This study examined the effect of
gAPN and fAPN on the expression of tissue factor (TF), the initiator of the
extrinsic coagulation system, in human umbilical vein endothelial cells (HUVECs).
TF activity was measured by a chromogenic assay, TF mRNA by real-time PCR and TF
protein by western blot. We found TF activity to be increased after activation by
gAPN (3 microg/mL) compared to a non-stimulated control (169.0+/-19.23 U versus
501.9+/-38.95 U, p<0.001). Furthermore, TF mRNA and TF protein was increased dose
dependently after gAPN stimulation. The gAPN-induced rise of TF activity and TF
mRNA was significantly reduced by inhibition of the MAP kinases ERK1/2, p38 and
JNK. Contrary to gAPN, stimulation with fAPN did not lead to these procoagulant
effects. In conclusion, gAPN increased TF transcription, expression and activity
in HUVECs. Therefore, our data support the theory that gAPN but not fAPN supports
the cellular procoagulability via TF upregulation.
PMID- 18054041
TI - Expression profiling of cardiac genes in Tako-Tsubo cardiomyopathy: insight into
a new cardiac entity.
AB - Tako-Tsubo cardiomyopathy (TTC) is characterized by a transient contractile
dysfunction, but its specific pathomechanism remains unknown. Thus, we performed
a systematic expression profiling of genes by microarray analysis in the acute
phase and after functional recovery. We studied 3 female patients presenting with
TTC. Complementary RNA was isolated from left ventricular biopsies taken in the
acute phase (group A) and after functional recovery (group B). It was profiled
for gene expression using cDNA microarrays. Functionally related genes were
determined with the Gene Set Enrichment Analysis (GSEA) bioinformatic tool.
Validation of selected genes was performed by means of real-time PCR and
immunohistochemistry. In group A, different functional gene sets, such as Nrf2
induced genes, triggered by oxidative stress, and protein biosynthesis were
significantly overrepresented among the upregulated targets. Increased
transcription of GPX1, CAT, RPS6, and eIF4E was confirmed by RT-PCR. The targets
of the Akt/PKB signaling showed significant upregulation in both groups.
Immunohistochemistry showed that the downstream targets NF-kappaB and BcL-X(L)
are upregulated and activated. Gene sets involved in energy metabolism (oxidative
phosphorylation, mitochondrial genes) showed no differences in group A but were
overexpressed in group B. This study demonstrated a significant contribution of
oxidative stress to the pathomechanism of TTC; it is possibly triggered by excess
catecholamine. Increased protein biosynthesis and an activated cell survival
cascade can be interpreted as potential compensatory mechanisms. After functional
recovery, processes involved in energy metabolism play a pivotal role, thereby
potentially contributing to the normalization of contractile function.
PMID- 18054042
TI - Two structures of a lambda Cro variant highlight dimer flexibility but disfavor
major dimer distortions upon specific binding of cognate DNA.
AB - Previously reported crystal structures of free and DNA-bound dimers of lambda Cro
differ strongly (about 4 A backbone rmsd), suggesting both flexibility of the
dimer interface and induced-fit protein structure changes caused by sequence
specific DNA binding. Here, we present two crystal structures, in space groups
P3(2)21 and C2 at 1.35 and 1.40 A resolution, respectively, of a variant of
lambda Cro with three mutations in its recognition helix (Q27P/A29S/K32Q, or PSQ
for short). One dimer structure (P3(2)21; PSQ form 1) resembles the DNA-bound
wild-type Cro dimer (1.0 A backbone rmsd), while the other (C2; PSQ form 2)
resembles neither unbound (3.6 A) nor bound (2.4 A) wild-type Cro. Both PSQ form
2 and unbound wild-type dimer crystals have a similar interdimer beta-sheet
interaction between the beta1 strands at the edges of the dimer. In the former,
an infinite, open beta-structure along one crystal axis results, while in the
latter, a closed tetrameric barrel is formed. Neither the DNA-bound wild-type
structure nor PSQ form 1 contains these interdimer interactions. We propose that
beta-sheet superstructures resulting from crystal contact interactions distort
Cro dimers from their preferred solution conformation, which actually resembles
the DNA-bound structure. These results highlight the remarkable flexibility of
lambda Cro but also suggest that sequence-specific DNA binding may not induce
large changes in the protein structure.
PMID- 18054043
TI - Partially folded bovine pancreatic trypsin inhibitor analogues attain fully
native structures when co-crystallized with S195A rat trypsin.
AB - Crystal structures, at 1.7 A resolution, were solved for complexes between each
of two chemically synthesized partially folded analogues of bovine pancreatic
trypsin inhibitor (BPTI) with the proteolytically inactive rat trypsin mutant
S195A. The BPTI analogue termed [14-38](Abu) retains only the disulfide bond
between Cys14 and Cys38, while Cys5, Cys30, Cys51, and Cys55 are replaced by
isosteric alpha-amino-n-butyric acid residues. The analogue K26P,A27D[14-38](Abu)
contains two further replacements, by statistically favored residues, in the type
I beta-turn that has been suggested to be a main site for initiation of BPTI
folding. As a control, the structure of the complex between S195A trypsin and
wild-type BPTI was also solved. Despite significant differences in the degree of
structure detected among these three BPTIs in solution by several biophysical
techniques, their tertiary folds once bound to S195A trypsin in a crystalline
lattice are essentially superimposable.
PMID- 18054045
TI - A theoretical interpretation of the transient sialic acid toxicity of a nanR
mutant of Escherichia coli.
AB - This article reports on experimental evidence that an Escherichia coli nanR
mutant shows inhibited growth in N-acetylneuraminic acid. This effect is
prevented when inocula are grown in an excess of glucose, but not in an excess of
glycerol. The nanATEK operon is controlled by catabolite repression, suggesting
that diminished expression of the nanATEK operon in the presence of glucose
explains the inocula effects. Neither double nanR-nagC nor nanR dam mutants show
growth inhibition in the presence of N-acetylneuraminic acid. A theoretical model
of N-acetylneuraminic acid metabolism (i.e., in particular of the nanATEK and
nagBACD operons) is presented; the model suggests an interpretation of this
effect as being due to transient high accumulations of GlcNAc-6P in the cell.
This accumulation would lead to suppression of central metabolic functions of the
cell, thus causing inhibited growth. Based on the theoretical model and
experimental data, it is hypothesised that the nanATEK operon is induced in a two
step mechanism. The first step is likely to be repressor displacement by N
acetylneuraminic acid. The second stage is hypothesised to involve Dam
methylation to achieve full induction.
PMID- 18054044
TI - PPR336 is associated with polysomes in plant mitochondria.
AB - The function of pentatricopeptide repeat (PPR) proteins has been associated with
various post-transcriptional steps of organelle gene expression. Among them,
translation and its regulation are essential processes. However, in plant
mitochondria, they are also the steps of gene expression that are the least
understood. In this study, PPR336 was identified as part of a high-molecular
weight complex in Arabidopsis mitochondria. PPR336 is an unusual representative
of the large PPR family because it is relatively short and is characterised by a
high expression level compared with other PPR proteins. PPR336 defines a small
subgroup of eight class P PPR proteins that are similar in terms of motif
organization. Among them, PPR336-like is the closest homolog of PPR336.
Biochemical analysis has indicated that PPR336 is a strictly mitochondrial
protein, extrinsically attached to the inner mitochondrial membrane and part of
an RNase-sensitive complex. Sucrose gradients and polysome destabilisation
experiments show that PPR336 is associated with ribosomes in plant mitochondria.
Moreover, in Ppr336/336-like mutants, mitochondrial polysomes of lower molecular
weight accumulate compared with wild-type plants. Polysome association and these
unusual features suggest that PPR336 could be involved in a distinctive process,
possibly translation in plant mitochondria.
PMID- 18054046
TI - A facile reporter system for the experimental identification of twin-arginine
translocation (Tat) signal peptides from all kingdoms of life.
AB - We have developed a reporter protein system for the experimental verification of
twin-arginine signal peptides. This reporter system is based on the Streptomyces
coelicolor agarase protein, which is secreted into the growth medium by the twin
arginine translocation (Tat) pathway and whose extracellular activity can be
assayed colorimetrically in a semiquantitative manner. Replacement of the native
agarase signal peptide with previously characterized twin-arginine signal
peptides from other Gram-positive and Gram-negative bacteria resulted in
efficient Tat-dependent export of agarase. Candidate twin-arginine signal
peptides from archaeal proteins as well as plant thylakoid-targeting sequences
were also demonstrated to mediate agarase translocation. A naturally occurring
variant signal peptide with an arginine-glutamine motif instead of the consensus
di-arginine was additionally recognized as a Tat-targeting sequence by
Streptomyces. Application of the agarase assay to previously uncharacterized
candidate Tat signal peptides from Bacillus subtilis identified two further
probable Tat substrates in this organism. This is the first versatile reporter
system for Tat signal peptide identification.
PMID- 18054047
TI - Possible mechanisms and controversies of protective effects of risk factors
against stroke severity.
PMID- 18054048
TI - The application of genetic algorithms in behavioural ecology, illustrated with a
model of anti-predator vigilance.
AB - We develop a genetic algorithm (GA) approach to a well-known model of vigilance
behaviour in a group of animals. We first demonstrate that the GA approach can
provide a good match to analytic solutions to the original model. We demonstrate
that a GA can be used to find the evolutionarily stable strategies in a model
relevant to behavioural ecology where the fitness of each strategy is determined
by the frequencies of different strategies in the population. We argue that the
GA implementation demonstrates the combination of assumptions used to generate
analytic solution to the original model can only be simultaneously satisfied
under relatively restrictive conditions on the ecology of the species involved;
specifically that group membership is very fluid but group size is conserved over
timescales of individual foraging bouts. We further explore the sensitivity of
model predictions to alternative choices in the implementation of the GA, and
present advice for implementation and presentation of similar models. In
particular, we emphasise the need for care in measuring the predictions of such
models, so as to capture the intrinsic behaviour of the system and not the
remnant of often arbitrarily chosen initial conditions. We also emphasise the
potential for GA models to be more transparent about model assumptions regarding
underlying biology than analytic models.
PMID- 18054049
TI - The markup is the model: reasoning about systems biology models in the Semantic
Web era.
AB - Metabolic control analysis, co-invented by Reinhart Heinrich, is a formalism for
the analysis of biochemical networks, and is a highly important intellectual
forerunner of modern systems biology. Exchanging ideas and exchanging models are
part of the international activities of science and scientists, and the Systems
Biology Markup Language (SBML) allows one to perform the latter with great
facility. Encoding such models in SBML allows their distributed analysis using
loosely coupled workflows, and with the advent of the Internet the various
software modules that one might use to analyze biochemical models can reside on
entirely different computers and even on different continents. Optimization is at
the core of many scientific and biotechnological activities, and Reinhart made
many major contributions in this area, stimulating our own activities in the use
of the methods of evolutionary computing for optimization.
PMID- 18054050
TI - Prediction of N-linked glycan branching patterns using artificial neural
networks.
AB - A model was developed for novel prediction of N-linked glycan branching pattern
classification for CHO-derived N-linked glycoproteins. The model consists of 30
independent recurrent neural networks and uses predicted quantities of secondary
structure elements and residue solvent accessibility as an input vector. The
model was designed to predict the major component of a heterogeneous mixture of
CHO-derived glycoforms of a recombinant protein under normal growth conditions.
Resulting glycosylation prediction is classified as either complex-type or high
mannose. The incorporation of predicted quantities in the input vector allowed
for theoretical mutant N-linked glycan branching predictions without initial
experimental analysis of protein structures. Primary amino acid sequence data
were effectively eliminated from the input vector space based on neural network
prediction analyses. This provided further evidence that localized protein
secondary structure elements and conformational structure may play more important
roles in determining glycan branching patterns than does the primary sequence of
a polypeptide. A confidence interval parameter was incorporated into the model to
enable identification of false predictions. The model was further tested using
published experimental results for mutants of the tissue-type plasminogen
activator protein [J. Wilhelm, S.G. Lee, N.K. Kalyan, S.M. Cheng, F. Wiener, W.
Pierzchala, P.P. Hung, Alterations in the domain structure of tissue-type
plasminogen activator change the nature of asparagine glycosylation.
Biotechnology (N.Y.) 8 (1990) 321-325].
PMID- 18054051
TI - Gallic acid, a metabolite of the antioxidant propyl gallate, inhibits gap
junctional intercellular communication via phosphorylation of connexin 43 and
extracellular-signal-regulated kinase1/2 in rat liver epithelial cells.
AB - Propyl gallate and its metabolite, gallic acid, are widely used as antioxidants
in the food industry, but they have been shown to exhibit liver toxicity and
enhance carcinogenesis. In the present study, we investigated the possible
undesirable effects of propyl gallate and gallic acid on gap junctional
intercellular communication (GJIC), inhibition of which is closely linked to
carcinogenesis. Gallic acid and propyl gallate exhibited dose-dependent free
radical-scavenging activities as determined by 1,1-diphenyl-2-picrylhydrazyl- or
2,2'-azino-bis(3-ethylbenzothiazoline-6-sulfonic acid)-radical-scavenging assays,
and the free-radical-scavenging activity of gallic acid was stronger than that of
propyl gallate. However, using WB-F344 rat liver epithelial cells, gallic acid
inhibited GJIC in a dose-dependent manner, while propyl gallate had no
significant effect compared with untreated controls. The gallic-acid-induced
inhibition of GJIC was reversible, with a recovery of nearly 65% after 120 min.
Gallic acid induced the phosphorylation of connexin 43 (Cx43) and phosphorylation
of extracellular-signal-regulated kinase1/2 (ERK1/2). The gallic-acid-induced
inhibition of GJIC was attenuated by treatment with mitogen-activated protein
kinase kinase inhibitors (U0126 and PD098059). U0126 blocked the gallic-acid
induced phosphorylation of Cx43 and ERK1/2, indicating that the gallic-acid
induced inhibition of GJIC is mediated by phosphorylation of Cx43 via activation
of ERK1/2. In addition, gallic-acid-induced inhibition of GJIC was protected by
ascorbic acid and quercetin, which might represent a simple example of the
different effects of natural antioxidants in carcinogenesis.
PMID- 18054052
TI - The cannabinoid antagonist AM251 attenuates nicotine self-administration and
nicotine-seeking behaviour in rats.
AB - The cannabinoid receptor subtype (CB1) antagonist rimonabant (SR141716) has been
shown to decrease nicotine self-administration and attenuate nicotine-evoked
dopamine release in the nucleus accumbens; effects that support recent findings
on its clinical efficacy as a smoking cessation aid. The present experiments aim
to advance our understanding on the role of CB1 receptors in rodent models of
nicotine dependence. AM251, a selective antagonist at CB1 receptors dose
dependently (1, 3 and 10mg/kg IP) suppressed intravenous nicotine (0.03mg/kg per
infusion) self-administration in rats during three successive days of pre
treatment. This reduction was short lasting since behaviour was reinstated by
suspending AM251 pre-treatment. This was relatively specific to nicotine self
administration since the profile of these reductions produced by AM251 was
significantly different from the responses maintained by food pellets. In a model
of nicotine-seeking behaviour, rats that had been extinguished by removal of
nicotine and associated cues, and presented with a priming dose of nicotine
(0.2mg/kg SC) with the cues, showed robustly reinstated responses to nicotine
seeking behaviour. Acute pre-treatment with AM251 (1-10mg/kg IP) dose-dependently
attenuated the reinstatement effects produced by nicotine and the contingently
presented cues. These preclinical findings support the use of rimonabant as a
smoking cessation aid and highlight the CB1 receptor as a viable target to
control intake of nicotine and prevent relapse.
PMID- 18054053
TI - Effects of aripiprazole/OPC-14597 on motor activity, pharmacological models of
psychosis, and brain activity in rats.
AB - Aripiprazole (OPC-14597) is an antipsychotic with a unique pharmacology as a
dopamine D2 receptor partial agonist, which has been demonstrated to reduce
symptoms of schizophrenia. To further profile this compound in preclinical
models, we examined aripiprazole-induced activity changes as measured by
pharmacological magnetic resonance imaging (MRI) and characterized the drug in
several rodent models of motor behaviors and of psychosis. Continuous arterial
spin labeling MRI measuring blood perfusion (as an indirect measure of activity)
reveals that aripiprazole dose-dependently decreased brain activity in the
entorhinal piriform cortex, perirhinal cortex, nucleus accumbens shell, and
basolateral amygdala. While no deficits were observed in the rotarod test for
motor coordination in the simpler (8 RPM) version, in the more challenging
condition (16 RPM) doses of 10 and 30mg/kg i.p. produced deficits. Catalepsy was
seen only at the highest dose tested (30mg/kg i.p.) and only at the 3 and 6h time
points, not at the 1h time point. In pharmacological models of psychosis, 1
30mg/kg aripiprazole i.p. effectively reduced locomotor activity induced by
dopamine agonists (amphetamine and apomorphine), NMDA antagonists (MK-801 and
phencyclidine (PCP)), and a serotonin agonist (2,5-dimethoxy-4-iodoamphetamine
(DOI)). However, aripiprazole reversed prepulse inhibition deficits induced by
amphetamine, but not by any of the other agents tested. Aripiprazole alters brain
activity in regions relevant to schizophrenia, and furthermore, has a
pharmacological profile that differs for the two psychosis models tested and does
not match the typical or atypical psychotics. Thus, D2 partial agonists may
constitute a new group of antipsychotics.
PMID- 18054055
TI - Measurement of the digit lengths and the anogenital distance in mice.
AB - In humans, research has demonstrated the ratio of the 2nd to 4th digit lengths
(2D:4D) are: (i) sexually dimorphic with males having a lower ratio than females,
the latter having near equidistant lengths [J. Manning, D. Scutt, J. Wilson, & D.
Lewis-Jones, (1998). The ratio of 2nd to 4th digit length: a predictor of sperm
numbers and concentrations of testosterone, luteinizing hormone and oestrogen.
Hum Reprod. 13(11):3000-3004], and are theorized to be (ii) determined in utero
during gestational development [J. Manning, & P. Bundred, (2000). The ratio of
2nd to 4th digit length: a new predictor of disease predisposition? Med
Hypotheses. 54(5):855-857], and (iii) correlated with prenatal androgen levels
[J. Manning, & P. Bundred, (2000). The ratio of 2nd to 4th digit length: a new
predictor of disease predisposition? Med Hypotheses. 54(5):855-857; J. Manning,
R. Trivers, D. Singh, & R. Thornhill, (1999). The mystery of female beauty.
Nature. 399:214-215; T. Williams, M. Pepitone, S. Christensen, B. Cooke, A.
Huberman, N. Breedlove, et al., (2000). Finger-length ratios and sexual
orientation: measuring people's finger patterns may reveal some surprising
information. Nature. 404:455-456]. These phenotypes correspond to the hormonal
effects of testosterone (i.e. androgens) in utero in both sexes. In mice,
testosterone in utero is associated in males and females with the anogenital
distance (AGD), a phenotype where AGD is greater in males and females contiguous
with two males (2M) have a masculinized AGD phenotype whereas those juxtaposed to
fewer males, one or zero (1M or 0M), have a more feminine phenotype, i.e. shorter
AGD [F. vom Saal, & F. Bronson, (1980). Sexual characteristics of adult female
mice are correlated with their blood testosterone levels during prenatal
development. Science. 208:597-599; R. Gandelman, F. vom Saal, & J. Reinisch,
(1977). Contiguity to male foetuses affects morphology and behaviour of female
mice. Nature. 266:722-724; A. Hotchkiss, & J. Vandenbergh, (2005). The anogenital
distance index of mice (Mus musculus domesticus): an analysis. Contem Top Lab
Anim Sci. 44(4):46-48; C. Kinsley, J. Miele, C. Wagner, L. Ghiraldi, J. Broida,
B. Svare, (1986). Prior intrauterine position influences body weight in male and
female mice. Horm Behav. 20:201-211; S. Graham, R. Gandelman, (1986). The
expression of ano-genital distance data in the mouse. Physiol Behav. 36(1):103
104; B. Ryan, & J. Vandenbergh, (2002). Intrauterine position effects. Neurosci
Biobehav Rev. 26(6):665-678; J. Vandenbergh, & C. Huggett, (1995). The anogenital
distance index, a predictor of the intrauterine position effects on reproduction
in female house mice. Lab Anim Sci. 45(5):567-573]. Furthermore, in humans AGD is
sexually dimorphic with neonate males having a greater distance than females [E.
Salazar-Martinez, P. Romano-Riquer, E. Yanez-Marquez, M. Longnecker, M. Hernandez
Avila, (2004). Anogenital distance in human male and female newborns: a
descriptive, cross-sectional study. Environmental Health: A Global Access Science
Source. 2004:3:(8) (doi:10.1186/1476-069X-3-8) [12]]. Recently, research has
concluded that the digit lengths of mice have the same phenotypic pattern seen in
humans, with the 2D:4D ratio being sexually dimorphic, i.e. lower in males and
greater in females [W. Brown, C. Finn, & S. Breedlove, (2002). Sexual dimorphism
in digit-length ratios of laboratory mice. Anat Rec. 267(3):231-234; J. Manning,
M. Callow, & P. Bundred, (2003). Finger and toe ratios in humans and mice:
implications for the aetiology of diseases influenced by HOX genes. Med
Hypotheses. 60(3):340-343; W. Brown, C. Finn, & S. Breedlove, (2001). A sex
difference in the digit length ratio in mice. Horm Behav. 39:325]. The following
study was conducted to determine whether the digit length ratios in mice are
correlated with a known in utero testosterone-dependent effect, the distance from
the anus to the genital papilla, the AGD. The results of the experiment
demonstrate that there is no correlation between AGD and the 2D:4D ratio in mice
of either sex. Furthermore, no sexual dimorphism in the digit lengths was found
as opposed to previous studies [W. Brown, C. Finn, & S. Breedlove, (2002). Sexual
dimorphism in digit-length ratios of laboratory mice. Anat Rec. 267(3):231-234;
J. Manning, M. Callow, & P. Bundred, (2003). Finger and toe ratios in humans and
mice: implications for the aetiology of diseases influenced by HOX genes. Med
Hypotheses. 60(3):340-343; W. Brown, C. Finn, & S. Breedlove, (2001). A sex
difference in the digit length ratio in mice. Horm Behav. 39:325]. Although
testosterone has been implicated in the digit length ratios, the present study
would suggest that androgens do not have a causal relationship with testosterone
dependent phenotypes [J. Manning, D. Scutt, J. Wilson, & D. Lewis-Jones, (1998).
The ratio of 2nd to 4th digit length: a predictor of sperm numbers and
concentrations of testosterone, luteinizing hormone and oestrogen. Hum Reprod.
13(11):3000-3004; J. Manning, & P. Bundred, (2000). The ratio of 2nd to 4th digit
length: A new predictor of disease predisposition?. Med Hypotheses. 54(5):855
857; J. Manning, R. Trivers, D. Singh, & R. Thornhill, (1999). The mystery of
female beauty. Nature. 399:214-215]. The attribution of nature (i.e. genetic) and
nurture (i.e. environment) in the development of life (i.e. resultant phenotype)
is exceedingly complex and the correlation of one trait to another physical
characteristic does not preclude there is a causal relationship.
PMID- 18054054
TI - Effects of sex hormones on associative learning in spontaneously hypertensive
rats.
AB - Pavlovian conditioning of a visual stimulus paired with food was examined in
spontaneously hypertensive rats (SHR), which are a commonly used model for
Attention-Deficit/Hyperactivity Disorder (ADHD), and in Wistar rats (normoactive
control). In gonadally intact rats of both strains, males spent more time in the
food cup following onset of the light than did females, indicating a stronger
association of the conditioned stimulus (CS) with reward. Gonadectomy carried out
in adulthood affected conditioning differently in the two strains. In Wistar
rats, gonadectomy had no effect on conditioned responding in females, but reduced
conditioned responding in males, effectively eliminating the sex difference in
behavior. This result suggests that circulating androgens in male Wistar rats
normally aid conditioning in this task. In contrast, gonadectomy enhanced
conditioning in both sexes in the SHR rats, indicating that androgens and/or
estrogens impair conditioned associations in this strain. These data indicate
that gonadal steroids can influence conditioning in rats and that the valence of
steroid action on this behavior is strain-dependent. To the extent that SHR
serves as a model of ADHD in humans, the influence of steroids on associative
learning may play a role in the expression of ADHD-like behaviors.
PMID- 18054056
TI - Insecticide susceptibility and vector status of natural populations of Anopheles
arabiensis from Sudan.
AB - Species composition, blood meal source, sporozoite infection rate, insecticide
resistance and the kdr mutations were investigated in the Anopheles gambiae
complex from 13 sentinel sites in central Sudan. Species identification revealed
that 89.5% of 960 specimens were A. arabiensis. Of 310 indoor resting females,
88.1% were found to have fed on humans, while 10.6% had fed on bovines. The
overall sporozoite infection rate from the five localities tested was 2.3%,
ranging from 0 to 5.5%. Insecticide susceptibility bioassay results showed 100%
mortality on bendiocarb, 54.6-94.2% on permethrin, 55.4-99.1% on DDT and 76.8
100% on malathion. The kdr analysis by PCR and sequencing revealed the presence
of the Leu-Phe mutation in both permethrin and DDT bioassays. There was no
significant difference in the frequency of kdr (P>0.05) between dead and
surviving specimens. These findings have serious implications for the malaria
control programmes in Gezira and Sennar states.
PMID- 18054057
TI - Control of neurocysticercosis by routine medical and veterinary services.
AB - Taenia solium neurocysticercosis and ocular cysticercosis are two of the most
devastating parasitic infections, which need to be controlled for medical and
economic reasons. This paper discusses why control measures are not implemented
adequately in endemic areas and proposes simple operational interventions, based
on focus-oriented chemotherapy of T. solium taeniasis using existing health care
infrastructure and improved collaboration between medical and veterinary
services. These interventions can be quickly and easily implemented (irrespective
of other applicable control measures), with medical and veterinary staff being
adequately trained, and safe, effective and cheap taenicides made available.
PMID- 18054058
TI - Gastrointestinal pathology in the University Teaching Hospital, Lusaka, Zambia:
review of endoscopic and pathology records.
AB - There is a shortage of information on the epidemiology of digestive disease in
developing countries. In the belief that such information will inform public
health priorities and epidemiological comparisons between different geographical
regions, we analysed 2132 diagnostic upper gastrointestinal endoscopy records
from 1999 to 2005 in the University Teaching Hospital, Lusaka, Zambia. In order
to clarify unexpected impressions about the age distribution of cancers, a
retrospective analysis of pathology records was also undertaken. No abnormality
was found in 31% of procedures, and in 42% of procedures in children. In patients
with gastrointestinal haemorrhage, the common findings were oesophageal varices
(26%), duodenal ulcer (17%) and gastric ulcer (12%). Gastrointestinal malignancy
was found in 8.8% of all diagnostic procedures, in descending order of frequency:
gastric adenocarcinoma, oesophageal squamous carcinoma, Kaposi's sarcoma,
oesophageal adenocarcinoma. Data from endoscopy records and pathology records
strongly suggest that the incidence in adults under the age of 45 years is higher
than in the USA or UK, and pathology records suggest that this effect is
particularly marked for colorectal carcinoma.
PMID- 18054059
TI - Neutralization of two North American coral snake venoms with United States and
Mexican antivenoms.
AB - Elapid snakes throughout the world are considered very lethal, containing
neurotoxic venoms that affect the nervous system. When humans are envenomated it
is considered a serious medical emergency, and antivenom is the main form of
treatment considered, in spite of the fact that some patients may only survive
under intensive therapy treatment such as respiratory support. Coral snakes are
part of the family Elapidae and envenomations by these snakes are very low (<2%
of total snakebites) in most countries from southeastern United States to
Argentina. In the United States, there are only two species of coral snakes of
medical importance that belong to the Micrurus genera: Micrurus fulvius fulvius
(Eastern coral snake) and Micrurus tener tener (Texas coral snake). In 2006,
Wyeth pharmaceutical notified customers that the production of the North American
coral snake antivenin (NACSA) in the US was discontinued and adequate supplies
were available to meet historical needs through the end of October 2008; and
therefore, it is of utmost important to consider other antivenoms as alternatives
for the treatment of coral snake envenoming. One logical alternative is the coral
snake antivenom, Coralmyn, produced by the Mexican company, Bioclon. In order to
compare neutralization between NACSA and Coralmyn antivenoms with the North
American coral snake venoms, the venom lethal doses (LD(50)) and antivenom
effective doses (ED(50)) were determined in 18-20 g, female, BALB/c mice.
Additionally, venom comparisons were determined through a non-reduced SDS-PAGE
for M.f.fulvius, M.t.tener and the Mexican coral snake venom, Micrurus
nigrocinctus nigrocinctus. Coralmyn antivenom was able to effectively neutralize
three LD(50) doses of all venom from both M.t.tener and M.f.fulvius, while Wyeth
antivenom only neutralized M.f.fulvius venom and was not effective in
neutralizing three LD(50) doses of M.t.tener venom. Coralmyn is effective in the
neutralization of both clinically important coral snake venoms in the US.
PMID- 18054060
TI - Synthesis and characterization of huwentoxin-IV, a neurotoxin inhibiting central
neuronal sodium channels.
AB - Our previous work demonstrated that huwentoxin-IV was an inhibitor cystine knot
peptide from Chinese tarantula Ornithoctonus huwena venom that blocked
tetrodotoxin-sensitive voltage-gated sodium channels from mammalian sensory
neurons [Peng, K., Shu, Q., Liu, Z., Liang, S., 2002. Function and solution
structure of huwentoxin-IV, a potent neuronal tetrodotoxin (TTX)-sensitive sodium
channel antagonist from Chinese bird spider Selenocosmia huwena. J. Biol. Chem.
277(49), 47564-47571]. However, the actions of the neurotoxin on central neuronal
sodium channels remain unknown. In this study, we chemically synthesized native
huwentoxin-IV and found that sodium channel isoforms from rat hippocampus neurons
were also sensitive to native and synthetic toxins, but the toxin-binding
affinity (IC(50) approximately 0.4 microM) was 12-fold lower than to peripheral
isoforms. The blockade by huwentoxin-IV could be reversed by strong
depolarization due to the dissociation of toxin-channel complex as observed for
receptor site 3 toxins. Moreover, small unilamellar vesicle-binding assays showed
that in contrast to ProTx-II from the tarantula Thrixopelma pruriens, huwentoxin
IV almost lacked the ability to partition into the negatively charged and neutral
phospholipid bilayer of artificial membranes. These findings indicated that
huwentoxin-IV was a sodium channel antagonist preferentially targeting peripheral
isoforms via a mechanism quite different from ProTx-II.
PMID- 18054061
TI - Identification from diverse mammalian poxviruses of host-range regulatory genes
functioning equivalently to vaccinia virus C7L.
AB - Vaccinia virus (VACV) C7L is a host-range gene that regulates cellular tropism of
VACV. Distantly related C7L homologues are encoded by nearly all mammalian
poxviruses, but whether they are host-range genes functioning similar to VACV C7L
has not been determined. Here, we used VACV as a model system to analyze five
different C7L homologues from diverse mammalian poxviruses for their abilities to
regulate poxvirus cellular tropism. Three C7L homologues (myxoma virus M63R, M64R
and cowpox virus 020), when expressed with an epitope tag and from a VACV mutant
lacking the host-range genes K1L and C7L (vK1L-C7L-), failed to support
productive viral replication in human and murine cells. In nonpermissive cells,
these viruses did not synthesize viral late proteins, expressed a reduced level
of the early protein E3L, and were defective at suppressing cellular PKR
activation. In contrast, two other C7L homologues, myxoma virus (MYXV) M62R and
yaba-like disease virus (YLDV) 67R, when expressed with an epitope tag and from
vK1L(-)C7L(-), supported normal viral replication in human and murine cells and
restored the ability of the virus to suppress PKR activation. Furthermore, M62R
rescued the defect of vK1L(-)C7L(-) at replicating and disseminating in mice
following intranasal inoculation. These results show that MYXV M62R and YLDV 67R
function equivalently to C7L at supporting VACV replication in mammalian hosts
and suggest that a C7L-like host-range gene is essential for the replication of
many mammalian poxviruses in mammalian hosts.
PMID- 18054062
TI - Persistent transcription of a nonintegrating mutant of simian immunodeficiency
virus in rhesus macrophages.
AB - A nonintegrating mutant, SIVsmD116N, was derived from the infectious pathogenic
SIVsmE543-3 clone by introducing an Asp (D) to Asn (N) mutation into the
catalytic domain of integrase. Although SIVsmD116N generated all viral proteins
following transfection, cell-free virus did not productively infect CEMx174
cells, macaque peripheral blood mononuclear cells (PBMCs) or monocyte-derived
macrophages (MDM). Viral DNA and transcripts were observed transiently in
SIVsmD116N-infected CEMx174 cells and macaque PBMC but persisted in MDM for as
long as 20 days. Circular forms of viral DNA were detected but there was no
evidence of integration detected by Alu PCR. We found that SIV D116N mutant
remained transcriptionally active and expressed low levels of viral proteins
persistently in MDM. These data are consistent with a role for macrophages as a
persistent latent reservoir for AIDS viruses. The capacity of nonintegrating SIV
to persistently generate viral products in macrophages suggests that
nonintegrating lentiviral vectors could be engineered to efficiently and safely
express proteins for vaccine purposes.
PMID- 18054064
TI - Mutagenesis studies on TenA: a thiamin salvage enzyme from Bacillus subtilis.
AB - TenA catalyzes the hydrolysis of 4-amino-5-aminomethyl-2-methylpyrimidine and
participates in the salvage of base-degraded thiamin. Here, we describe
mutagenesis of the active site of TenA guided by structures of the enzyme
complexed to a substrate analog and to the product. Catalytic roles for each of
the active site residues are identified and a mechanism for the reaction is
described.
PMID- 18054065
TI - Formation of chlorinated phenols, dibenzo-p-dioxins, dibenzofurans, benzenes,
benzoquinnones and perchloroethylenes from phenols in oxidative and copper (II)
chloride-catalyzed thermal process.
AB - Formation of polychlorinated dibenzo-p-dioxins (PCDDs), polychlorinated
dibenzofurans (PCDFs), and chlorinated phenols on CuCl(2) from unsubstituted
phenol and three monochlorophenols was studied in a flow reactor over a
temperature range of 100-425 degrees C. Heated nitrogen gas streams containing
8.0% oxygen were used as carrier gas. The 0.00024mol of unsubstituted phenol and
0.00039mol of each monochlorophenol were passed through a 1g and 1cm SiO(2)
particle containing 0.5% (Cu by mass) CuCl(2). Chlorination preferentially
occurred on ortho-(2, 6) and para-(4) positions. Chlorination increased up to 200
degrees C, and thereafter decreased as temperature increased. Chlorination of
phenols plays an important role in the formation of the more chlorinated PCDD/Fs.
Chlorinated benzenes are formed possibly from both chlorination of benzene and
chlorodehydroxylation of phenols. Chlorinated phenols with ortho chlorine formed
PCDD products, and major PCDD products were produced via loss of one chlorine.
For PCDF formation, at least one unchlorinated ortho carbon was required.
PMID- 18054063
TI - Specific in vivo expression in type II pneumocytes of the Jaagsiekte sheep
retrovirus long terminal repeat in transgenic mice.
AB - Jaagsiekte sheep retrovirus (JSRV) is the causative agent of ovine pulmonary
adenocarcinoma, a transmissible lung cancer in sheep. Previous experiments in
differentiated murine tissue culture cell lines suggested that the disease
specificity of JSRV for secretory lung epithelial cells (type II pneumocytes an
Clara cells) reflects transcriptional specificity of the viral long terminal
repeat (LTR) for these cells. To test this in vivo, transgenic mice carrying the
bacterial beta-galactosidase (beta-Gal) gene driven by the JSRV LTR were
generated. Two transgenic lines showed beta-Gal expression in the lungs but not
other tissues of F1 animals, although transgene silencing in subsequent
generations was a major problem. The cells expressing the transgene were
identified by two- and three-color immunofluorescence for marker proteins of type
II pneumocytes (surfactant protein C [SPC]) and Clara cells (CC10) as well as for
a T7 gene 10 epitope present in the beta-Gal reporter. F1 animals from both lines
showed transgene expression in type II pneumocytes, but somewhat surprisingly not
in Clara cells. Expression was not detected in bronchiolo-alveolar stem cells
(BASCs) either. These results indicate that the JSRV LTR is specifically active
in type II pneumocytes in the mouse lung, which is consistent with the fact that
JSRV-induced OPA tumors in sheep largely have phenotypic markers of type II
pneumocytes.
PMID- 18054066
TI - Variation and modalities of growth and development of the temporal bone
pneumatization in Neandertals.
AB - The temporal bone is used frequently to determine taxonomic affinities as it
contains several features that differentiate Neandertals from anatomically modern
Homo sapiens. However, only little information is available about temporal bone
pneumatization in Neandertals. This study provides descriptions and comparisons
of the disposition and the extensiveness of the pneumatization of the temporal
bone in large samples of Neandertal specimens of different geological and
developmental ages (25 individuals and 33 temporal bones from the sites of Engis,
Krapina, La Chapelle aux Saints, La Ferrassie, La Quina, Pech de l'Aze, and Spy).
Although temporal bone pneumatization shows some individual variability, a
similar pattern of distribution is found in all adult Neandertal individuals from
Krapina and Western Europe. Pneumatization is restricted mainly to most parts of
the petromastoid areas. We also retrace for the first time the modalities of
growth and development of this pneumatization in Neandertals. Finally, this study
provides new information about possible correlations between the extension and
position of temporal bone pneumatization and some of the morphological features
used to characterize the temporal bone of the Neandertals. These latter features
include the relatively low and short temporal squama, the robust zygomatic
process with a relatively marked lateral projection, the strong supramastoid
crest, the significant thickness of the tympanic part of the temporal bone, and
the relatively small mastoid process and large juxtamastoid eminence. Our results
suggest that the development of pneumatization in Neandertals is related to
available space and to temporal bone morphology. Moreover, it appears that the
development of pneumatization does not play an active role in determining the
morphology of the apomorphic features of the temporal bone in Neandertals.
PMID- 18054067
TI - Ammonia volatilization from a paddy field following applications of urea: rice
plants are both an absorber and an emitter for atmospheric ammonia.
AB - Ammonia (NH(3)) volatilization from a paddy field following applications of urea
was measured. Two lysimeters of Gray Lowland soil with a pH (H(2)O) of 5.7 were
used for the experiment. Urea was applied at a rate of 50 kg N ha(-1) by
incorporation as the basal fertilization (BF) and at rates of 30 and 10 kg N ha(
1) by top-dressing as the first (SF1) and second (SF2) supplemental
fertilizations, respectively. Two wind tunnels per lysimeter were installed just
after BF; one was transplanted with rice plants (PR plot), and the other was
without rice plants (NR plot). Weak volatilization was observed at the PR plots
after BF. By contrast, strong volatilization was observed at the PR plots after
SF1 with a maximum flux of 150 g N ha(-1) h(-1); however, almost no
volatilization was observed after SF2. The NH(3) volatilization loss accounted
for 2.1%, 20.9%, 0.5%, and 8.2% of the applied urea at each application, BF, SF1,
SF2, and the total application, respectively, for which only the net fluxes as
volatilization were accumulated. The NH(3) volatilization fluxes from the paddy
water surface (F(vol)) at the NR plots were estimated using a film model for its
verification. After confirmation of good correlation, the film model was applied
to estimate F(vol) at the PR plots. The NH(3) exchange fluxes by rice plants
(F(ric)) were obtained by subtracting F(vol) from the observed net NH(3) flux.
The derived F(ric) showed that the rice plants emitted NH(3) remarkably just
after SF1 when a relatively high rate of urea was applied, although they absorbed
atmospheric NH(3) in the other periods. In conclusion, rice plants are
essentially an absorber of atmospheric NH(3); however, they turn into an emitter
of NH(3) under excess nutrition of ammoniacal nitrogen.
PMID- 18054068
TI - Is soluble CD40 ligand a mediator of angiogenesis in patients with coronary
artery disease?
AB - BACKGROUND: In cardiovascular disease, soluble CD40 ligand (sCD40L) has been
associated with an adverse prognosis. Angiogenesis has been implicated in the
progression of coronary artery disease (CAD) and sCD40L has pro-angiogenic
effects in vitro. Angiogenesis itself is regulated by many mediators, such as
vascular endothelial growth factor (VEGF) and the angiopoietins (Ang). Ang-1
promotes vascular maturation whilst Ang-2 destabilises the blood vessel and
permits vascular growth with VEGF. Hence, selective elevation of VEGF and Ang-2
suggest a state of vascular plasticity and increased angiogenesis. We
hypothesised raised plasma levels of VEGF and Ang-2, but not Ang-1, and
correlations with raised sCD40L levels and CAD severity/collateralisation in
patients with CAD. METHODS: We recruited 153 patients attending diagnostic
angiography for CAD and 47 healthy controls. Patients with previous
revascularisation or unequivocally normal angiograms were excluded. The coronary
atheroma score (CAS) and coronary stenosis score (CSS), and the presence of
collaterals, were assessed by 2 blinded observers. Plasma sCD40L, VEGF, Ang-1 and
-2 levels were measured by ELISA. RESULTS: Plasma levels of sCD40L, VEGF and Ang
2, but not Ang-1, were higher in CAD patients compared to controls. Both plasma
VEGF (r=0.526, p<0.001) and Ang-2 (r=0.429, p<0.001) were correlated with sCD40L,
but not with CAS, CSS or collateralisation. On stepwise multivariate regression
analysis, plasma sCD40L was an independent predictor of plasma VEGF (p=0.002),
and Ang-2 (p<0.001) levels. CONCLUSION: These data suggest abnormal indices of
angiogenesis in CAD, which may be associated with increased CD40-CD40L
interactions in patients with CAD. Plasma sCD40L, VEGF and Ang-2 levels were not
correlated to angiographic CAD/collateralisation.
PMID- 18054069
TI - Relevance of hemostasis on restenosis in clinically stable patients undergoing
elective PTCA.
AB - BACKGROUND: Secondary coronary thrombus formation is considered to be co-factor
in the pathogenesis of restenosis after percutaneous transluminal coronary
angioplasty (PTCA). Therefore systemic factors indicating a hypercoagulable
disease state may be relevant for the process of coronary renarrowing. Even
though experimental data suggest that in particular thrombin may be of major
relevance for restenosis induced by mechanical injury, only little clinical data
has been presented so far. METHODS AND RESULTS: In 60 consecutive patients, who
had been clinical stable for at least 2 months, and who underwent elective and
primarily successful PTCA, follow-up films were evaluated by means of
quantitative coronary angiography in respect to a categorical and a continuous
definition of restenosis, luminal narrowing >50% and late luminal loss
respectively. Of the chosen laboratory variables prothrombin fragment 1+2 (1.3+/
0.5 vs. 0.9+/-0.4 mmol/l, p<0.001) red blood cell aggregation at low shear stress
(13.5+/-2.9 vs. 11.6+/-2.8 units, p<0.05), and plasminogen-activator inhibitor
(3.7+/-1.8 vs. 5.3+/-3.2 U/ml p<0.05) differentiated between patients with (n=18)
and without restenosis (n=42). Late luminal loss correlated positively with
prothrombin fragment 1+2 (r=0.41, p<0.001), plasminogen-activator inhibitor (r=
0.28, p<0.05) and plasmin-alpha2-antiplasmin complex (r=0.39, p<0.01).
CONCLUSIONS: A hypercoagulable disease state and in particular thrombin
generation characterize a high-risk group prone for restenosis in clinically
stable coronary artery disease.
PMID- 18054070
TI - The effects of non-genomic glucocorticoid mechanisms on bodily functions and the
central neural system. A critical evaluation of findings.
AB - Mounting evidence suggests that--beyond the well-known genomic effects-
glucocorticoids affect cell function via non-genomic mechanisms. Such mechanisms
operate in many major systems and organs including the cardiovascular, immune,
endocrine and nervous systems, smooth and skeletal muscles, liver, and fat cells.
Non-genomic effects are exerted by direct actions on membrane lipids (affecting
membrane fluidity), membrane proteins (e.g. ion channels and neurotransmitter
receptors), and cytoplasmic proteins (e.g. MAPKs, phospholipases, protein
kinases, etc.). These actions are mediated by the glucocorticoids per se or by
the proteins dissociated from the liganded glucocorticoid receptor complex. The
MR and GR also activate non-genomic mechanisms in certain cases. Some effects of
glucocorticoids are shared by a variety of steroids, whereas others are more
selective. Moreover, "ultra-selective" effects-mediated by certain
glucocorticoids only-were also shown. Disparate findings suggest that non-genomic
mechanisms also show "demand-specificity", i.e. require the coincidence of two or
more processes. Some of the non-genomic mechanisms activated by glucocorticoids
are therapeutically relevant; moreover, the "non-genomic specificity" of certain
glucocorticoids raises the possibility of therapeutic applications. Despite the
large body of evidence, however, the non-genomic mechanisms of glucocorticoids
are still poorly understood. Criteria for differentiating genomic and non-genomic
mechanisms are often loosely applied; interactions between various mechanisms are
unknown, and non-genomic mechanism-specific pharmacological (potentially
therapeutic) agents are lacking. Nevertheless, the discovery of non-genomic
mechanisms is a major breakthrough in stress research, and further insights into
these mechanisms may open novel approaches for the therapy of various diseases.
PMID- 18054071
TI - Hormonal changes in spontaneous and aglepristone-induced parturition in dogs.
AB - To increase our understanding of the endocrine changes associated with
parturition in dogs, plasma concentrations of progesterone (P4), 15
ketodihydroprostaglandin F(2alpha) (PGFM), estradiol-17-beta (E2beta), cortisol,
ACTH, prolactin (PRL), LH, and FSH were measured in six spontaneously whelping
bitches and in six bitches in which parturition was induced with the progesterone
receptor blocker aglepristone on day 58 of pregnancy. Expulsion of pups in the
induced group took place in the presence of P4 concentrations that were still
elevated. PGFM concentrations increased before parturition in both groups, but
levels were lower in the induced bitches. PGFM levels reached a maximum in both
groups during parturition and quickly decreased in the spontaneously whelping
group after parturition, but remained elevated in the induced group. In both
groups, cortisol concentrations reached similar maximum levels during the last 30
h before the onset of expulsion. During the 3 days postpartum, cortisol
concentrations were higher in the induced group. The highly variable ACTH
concentrations did not differ significantly throughout the study within or
between groups. In both groups, E2beta concentrations decreased and PRL
concentrations increased between the late gestational period and the 30-h period
before parturition. Concentrations of both LH (spontaneously whelping group) and
FSH (both groups) decreased between late gestation and the postpartum period. The
results of this study illustrate the hormonal changes around parturition in the
bitch, and reveal that aglepristone-induced parturition is associated with still
incomplete luteolysis, an altered PGFM profile, and elevated postpartum cortisol
concentrations as compared with spontaneously whelping dogs.
PMID- 18054072
TI - Linking pollution induced community tolerance (PICT) and microbial community
structure in chronically metal polluted estuarine sediments.
AB - We tested the ability of pollution induced community tolerance (PICT) to detect
the effects of chronic metal pollution on estuarine sediment microbial
communities, along a gradient spanning two orders of magnitude in metal
concentrations. In tandem, we investigated the associated microbial community
structure using terminal restriction fragment length polymorphism (T-RFLP).
Tolerance of microbes to Cu, measured as IC50 (inhibitory concentration 50%), was
strongly correlated with pore water Cu concentration (r(2)=0.842). No strong
correlation existed for other metals tested, highlighting the ability of PICT to
identify the pollutant causing a toxic effect. There was no correlation between
microbial community structure and community tolerance to metals tested, but
analysis of community structure did provide some information on reasons for
observed PICT response. PICT methodology used here provided a greater strength
and consistency of association with pollutant concentration compared to microbial
community structure and can be recommended as a sensitive indicator of metal
pollution on estuarine sediment microbial communities.
PMID- 18054073
TI - Hemagglutinating activity and conformation of a lactose-binding lectin from
mushroom Agrocybe cylindracea.
AB - A lactose-binding lectin (Agrocybe cylindracea Lectin, ACL) purified from
fruiting bodies of the mushroom A. cylindracea was investigated to determine the
hemagglutinating activity and conformation changes after chemical modification,
removal of metal ion and treatment at different temperatures and pH. ACL
agglutinated both rabbit and human erythrocytes and its hemagglutinating activity
could be inhibited by lactose. This lectin was stable in the pH range of 6-9 and
temperature up to 60 degrees C. Fluorescence quenching and modification of
tryptophan residues indicated that there were about two tryptophan residues in
ACL molecule and one of them might be located on the surface, while the other was
buried in the hydrophobic shallow groove near the surface. Chemical modification
of serine/threonine and histidine showed that the partial necessity of these
residues for the hemagglutinating activity of ACL. However, modifications of
arginine, tyrosine and cysteine residues had no effect on its agglutinating
activity.
PMID- 18054074
TI - Influence of electrospun collagen on wound contraction of engineered skin
substitutes.
AB - The treatment of massive full-thickness burns with engineered skin substitutes
has shown promise in clinical trials. The majority of skin substitutes are
comprised of fibroblasts and/or keratinocytes on collagen scaffolds, commonly
generated by freeze drying which can generate significant structural
heterogeneity. Electrospinning may generate collagen scaffolds with greater
homogeneity. Skin substitutes were fabricated using either freeze-dried (FD) or
electrospun (ES) collagen scaffolds. Cell distribution, proliferation,
organization, and maturation were assessed on each scaffold type in vitro, and
engraftment and healing of full thickness wounds in athymic mice were tested. In
vitro evaluation of freeze-dried collagen skin substitutes (FCSS) and electrospun
collagen skin substitutes (ECSS) revealed no significant differences in cell
proliferation, surface hydration, or cellular organization between the ECSS and
FCSS groups. Both groups exhibited excellent stratification with a continuous
layer of basal keratinocytes present at the dermal-epidermal junction. After
grafting to full thickness wounds in athymic mice, both skin substitutes had high
rates of engraftment: 87.5% in the FCSS group and 100% in the ECSS group.
Histological evaluation of wounds revealed that bovine collagen persisted in the
wound at week 8 in the FCSS group while no bovine collagen was seen in the ECSS
group. At 8 weeks post-grafting, the ECSS grafts were 61.3+/-7.9% original graft
area whereas the FCSS grafts were 39.2+/-8.8% original area (p<0.01). These
results indicate that ES scaffolds can be used to fabricate skin substitutes with
optimal cellular organization and can potentially reduce wound contraction
compared to FD scaffolds. These advantages may lead to reduced morbidity in
patients treated with skin substitutes fabricated from ES collagen.
PMID- 18054075
TI - Impaired placentation in fetal alcohol syndrome.
AB - Intrauterine growth restriction (IUGR) is one of the key features of fetal
alcohol syndrome (FAS), and IUGR can be mediated by impaired placentation.
Insulin-like growth factors (IGF) regulate placentation due to stimulatory
effects on extravillous trophoblasts, which are highly motile and invasive.
Previous studies demonstrated that extravillous trophoblasts express high levels
of aspartyl-(asparaginyl) beta-hydroxylase (AAH), a gene that is regulated by IGF
and has a critical role in cell motility and invasion. The present study examines
the hypothesis that ethanol impaired placentation is associated with inhibition
of AAH expression in trophoblasts. Pregnant Long Evans rats were fed isocaloric
liquid diets containing 0% or 37% ethanol by caloric content. Placentas harvested
on gestation day 16 were used for histopathological, mRNA, and protein studies to
examine AAH expression in relation to the integrity of placentation and ethanol
exposure. Chronic ethanol feeding prevented or impaired the physiological
conversion of uterine vessels required for expansion of maternal circulation into
placenta, a crucial process for adequate placentation. Real-time quantitative RT
PCR analysis demonstrated significant reductions in IRS-1, IRS-2, and significant
increases in IGF-II and IGF-II receptor mRNA levels in ethanol-exposed placentas.
These abnormalities were associated with significantly reduced levels of AAH
expression in trophoblastic cells, particularly within the mesometrial triangle
(deep placental bed) as demonstrated by real time quantitative RT-PCR, Western
blot analysis, ELISA, and immunohistochemical staining. Ethanol-impaired
placentation is associated with inhibition of AAH expression in trophoblasts.
This effect of chronic gestational exposure to ethanol may contribute to IUGR in
FAS.
PMID- 18054076
TI - Modeling trophoblast differentiation using equine chorionic girdle vesicles.
AB - The chorionic girdle of the equine conceptus is comprised of specialized
trophoblast cells which, at day 36-38 of equine pregnancy, gain an invasive
phenotype and invade the endometrium to form endometrial cups. Studies of equine
endometrial cups remain difficult to perform because of the invasive techniques
required to obtain cup tissue and because sampling requires termination of the
pregnancy. In this study we developed a system to model trophoblast
differentiation and trophoblast-immune interactions in vitro and in vivo. We
utilized a method of culturing chorionic girdle pieces in serum-free medium to
promote spontaneous formation of vesicle structures enriched for terminally
differentiated binucleate cells that secreted equine chorionic gonadotrophin
(eCG). Immunohistochemical staining and scanning electron microscopy showed that
the cells of the vesicles closely resembled the outer layers of chorionic girdle
immediately prior to invasion. Chorionic girdle vesicles were harvested after 72h
in culture and ectopically transplanted via injection into the vulvar mucosa of
recipient mares. At 7, 14, 21 and 28days after transplantation, biopsies of the
injection sites were obtained. Immunohistochemical labeling of cryostat sections
of the biopsies with a panel of monoclonal antibodies to horse trophoblast
molecules demonstrated survival, differentiation, and presence of trophoblast
cells for at least 21days. Serial sections of the biopsies labeled with
antibodies to the equine lymphocyte surface markers CD4 and CD8, together with
lymphocyte microcytotoxicity assays, revealed that the recipients mounted both
cellular and humoral antibody immune responses to the transplanted trophoblast
cells. This new method for culturing equine chorionic girdle trophoblast cells,
and for transplanting trophoblast vesicles to ectopic sites, should allow
identification of key aspects of trophoblast differentiation and the interactions
that occur between invasive trophoblast and the maternal immune system.
PMID- 18054077
TI - Store-operated Ca2+ entry in astrocytes: different spatial arrangement of
endoplasmic reticulum explains functional diversity in vitro and in situ.
AB - Ca(2+) signaling is the astrocyte form of excitability and the endoplasmic
reticulum (ER) plays an important role as an intracellular Ca(2+) store. Since
the subcellular distribution of the ER influences Ca(2+) signaling, we compared
the arrangement of ER in astrocytes of hippocampus tissue and astrocytes in cell
culture by electron microscopy. While the ER was usually located in close
apposition to the plasma membrane in astrocytes in situ, the ER in cultured
astrocytes was close to the nuclear membrane. Activation of metabotropic
receptors linked to release of Ca(2+) from ER stores triggered distinct responses
in cultured and in situ astrocytes. In culture, Ca(2+) signals were commonly
first recorded close to the nucleus and with a delay at peripheral regions of the
cells. Store-operated Ca(2+) entry (SOC) as a route to refill the Ca(2+) stores
could be easily identified in cultured astrocytes as the Zn(2+)-sensitive
component of the Ca(2+) signal. In contrast, such a Zn(2+)-sensitive component
was not recorded in astrocytes from hippocampal slices despite of evidence for
SOC. Our data indicate that both, astrocytes in situ and in vitro express SOC
necessary to refill stores, but that a SOC-related signal is not recorded in the
cytoplasm of astrocytes in situ since the stores are close to the plasma membrane
and the refill does not affect cytoplasmic Ca(2+) levels.
PMID- 18054078
TI - Toxicity reference values for mink exposed to 2,3,7,8-tetrachlodibenzo-p-dioxin
(TCDD) equivalents (TEQs).
AB - Dietary and tissue residue-based toxicity reference values (TRVs) were derived
for mink from the published results of studies in which mink were exposed to
polychlorinated dibenzo-p-dioxins (PCDDs), dibenzofurans (PCDFs), biphenyls
(PCBs), or related compounds. Because the primary mechanism of toxic action at
the least concentration for these compounds is related to activation of the aryl
hydrocarbon receptor (AhR), TRVs were described on the basis of 2,3,7,8
tetrachlorodibenzo-p-dioxin (TCDD) equivalents (TEQ). Each published study was
critically reviewed for its usefulness in deriving a TRV based on the following
criteria: (1) close relatedness of the test species to the wildlife receptor of
concern (only mink studies were reviewed in this paper); (2) chronic duration of
exposure which included sensitive life stages to evaluate potential developmental
and reproductive effects; (3) measurement of ecologically relevant endpoints; (4)
availability of congener-specific data to calculate TEQ concentrations; and (5)
minimal impact of co-contaminants. Dietary TRVs for mink exposed to TEQ ranged
from 12.1 to 56.6 ng TEQ/kg feed (wet weight) for the no observable adverse
effect level (NOAEL) and from 50.4 to 242 ng TEQ/kg feed (wet weight) for the
lowest observable adverse effect level (LOAEL). TRVs based on tissue residue
concentrations ranged from 50.2 to 77.8 ng TEQ/kg liver (wet weight) for the no
observable adverse effect concentration (NOAEC) and the value was 189 ng TEQ/kg
liver (wet weight) for the lowest observable adverse effect concentration
(LOAEC). Selection of a TRV should be based on studies of compounds that are most
similar to those at a site of interest. In particular, it was determined that the
effects of PCDFs could not be accurately predicted from the use of TEQ-based TRVs
developed from studies of PCDDs or PCBs. Risk assessors should be aware that
exceedance of these TRVs would not necessarily be expected to lead to
ecologically relevant adverse effects because of the inherently conservative
assumptions made in the TRV derivation process.
PMID- 18054079
TI - Monitoring concentrations of persistent organic pollutants in the general
population: the international experience.
AB - Assessing the adverse effects on human health of persistent organic pollutants
(POPs) and the impact of policies aiming to reduce human exposure to POPs
warrants monitoring body concentrations of POPs in representative samples of
subjects. While numerous ad hoc studies are being conducted to understand POPs
effects, only a few countries are conducting nationwide surveillance programs of
human concentrations of POPs, and even less countries do so in representative
samples of the general population. We tried to identify all studies worldwide
that analyzed the distribution of concentrations of POPs in a representative
sample of the general population, and we synthesized the studies' main
characteristics, as design, population, and chemicals analyzed. The most
comprehensive studies are the National Reports on Human Exposure to Environmental
Chemicals (USA), the German Environmental Survey, and the Arctic Monitoring and
Assessment Programme. Population-wide studies exist as well in New Zealand,
Australia, Japan, Flanders (Belgium) and the Canary Islands (Spain). Most such
studies are linked with health surveys, which is a highly-relevant additional
strength. Only the German and Flemish studies analyzed POPs by educational level,
while studies in the USA offer results by ethnic group. The full distribution of
POPs concentrations is unknown in many countries. Knowledge gaps include also the
interplay of age, gender, period and cohort effects on the prevalence of
exposures observed by cross-sectional surveys. Local and global efforts to
minimize POPs contamination, like the Stockholm convention, warrant nationwide
monitoring of concentrations of POPs in representative samples of the general
population. Results of this review show how such studies may be developed and
used.
PMID- 18054080
TI - WITHDRAWN: Arsenic contamination of vegetables grown in arsenic contaminated
areas of Bangladesh and estimation of arsenic intake from vegetables by the local
population.
AB - This article has been withdrawn consistent with Elsevier Policy on Article
Withdrawal (http://www.elsevier.com/locate/withdrawalpolicy). The publisher
apologizes for any inconvenience this may cause.
PMID- 18054081
TI - Cobalt and secondary poisoning in the terrestrial food chain: data review and
research gaps to support risk assessment.
AB - Cobalt is a naturally occurring element found in rocks, soil, water, plants, and
animals and has diverse industrial importance. It is cycled in surface
environments through many natural processes (e.g. volcanic eruptions, weathering)
and can be introduced through numerous anthropogenic activities (e.g. burning of
coal or oil, or the production of cobalt alloys). The environmental behaviour of
cobalt in terrestrial environment is relatively poorly studied and in particular
where Co is used in industrial processes, the baseline information to support
wider and long-term environmental impacts is widely dispersed. To support the
adoption of new EU regulations on the risk assessment of chemicals, we review
here the various aspects of the environmental chemistry, fate and transport of Co
across environmental interfaces and discuss the toxicology and potential for bio
magnification and food chain accumulation. The soil-to-plant transfer of Co
appears to be viable route to expose lower trophic levels to biologically
significant concentrations and Co is potentially accumulated in biomass and top
soil. Evidence for further accumulation through soil-invertebrate transfer and to
higher trophic levels is suggested by some studies but this is obscured by the
relatively high variability of published transfer data. This variation is not due
to one particular aspect of the transfer of Co in terrestrial environments.
Influences are from the variability of geological sources within soil systems;
the sensitivity of Co mobility to environmental factors (e.g. pH) and the variety
of life strategies for metal elimination/use within biological species. Toxic
effects of Co have been suggested for some soil-plant animal studies however,
uncertainty in the extrapolation from laboratory to field is a major limitation.
PMID- 18054082
TI - An efficient algorithm for continuous time cross correlogram of spike trains.
AB - We propose an efficient algorithm to compute the smoothed correlogram for the
detection of temporal relationship between two spike trains. Unlike the
conventional histogram-based correlogram estimations, the proposed algorithm
operates on continuous time and does not bin either the spike train nor the
correlogram. Hence it can be more precise in detecting the effective delay
between two recording sites. Moreover, it can take advantage of the higher
temporal resolution of the spike times provided by the current recording methods.
The Laplacian kernel for smoothing enables efficient computation of the
algorithm. We also provide the basic statistics of the estimator and a guideline
for choosing the kernel size. This new technique is demonstrated by estimating
the effective delays in a neuronal network from synthetic data and recordings of
dissociated cortical tissue.
PMID- 18054083
TI - Development of a canine nociceptive thermal escape model.
AB - Acute nociceptive models which have been validated for large animal species are
limited, yet nociceptive assessment in non-rodent species is important in
analgesic drug development where larger animals may be necessary because of the
technical requirements of the study. Here we report development and validation of
a canine hind paw thermal escape model and the effect of analgesics on withdrawal
latencies. Individual focused projection bulbs were used as left and right
voltage-adjusted thermal stimuli placed below a glass plate in a specifically
designed canine holding apparatus. After acclimation, dogs were lightly
restrained in a fabric sling while standing on the glass plate. The anterior
center of the metatarsal pad of the left and right hind paw was positioned on the
glass over each light, and duration of stimulation tolerance timed. For every
trial, the escape latency from lamp actuation to paw withdrawal was recorded
twice for each hind paw. The mean population baseline withdrawal latency of 9.3+/
1.7s (mean+/-S.D., n=12 dogs) was shown to be repeatable between paws, within and
between individual animals, and between test days. This latency corresponded to a
glass surface temperature of 49.5 degrees C. A cut-off time of 20s (corresponding
to a glass surface temperature of 56.5 degrees C) was set to prevent tissue
damage. Intravenous administration (mg/kg) of morphine (1.0), hydromorphone
(0.2), butorphanol (0.4), fentanyl (0.01), and dexmedetomidine (0.01)
significantly (p<0.05) increased withdrawal latency from baseline within 15-30
min of administration while buprenorphine (0.03) produced a delayed, modest but
significant latency increase. Rank order of opioid analgesic duration was
morphine=hydromorphone>butorphanol>bupenorphine>fentanyl=saline. A dose-effect
curve for hydromorphone was generated and corresponded to previously described
dose-effect relationships in other species. The non-analgesic tranquilizer
acepromazine (0.1mg/kg) produced mild sedation, but no significant increase in
latency from that of saline. The model yielded a clear distinction between
analgesia and sedation for all agents tested. These studies provide validation of
a canine thermal escape model and have demonstrated the efficacy of clinically
relevant doses of analgesics in elevating escape latencies. This model will
facilitate quantification of the effects of parenterally and neuraxially
administered analgesics in dogs.
PMID- 18054084
TI - Bootstrap significance of low SNR evoked response.
AB - In order to obtain adequate signal to noise ratio (SNR), stimulus-evoked brain
signals are averaged over a large number of trials. However, in certain
applications, e.g. fetal magnetoencephalography (MEG), this approach fails due to
underlying conditions (inherently small signals, non-stationary/poorly
characterized signals, or limited number of trials). The resulting low SNR makes
it difficult to reliably identify a response by visual examination of the
averaged time course, even after pre-processing to attenuate interference. The
purpose of this work was to devise an intuitive statistical significance test for
low SNR situations, based on non-parametric bootstrap resampling. We compared a
two-parameter measure of p-value and statistical power with a bootstrap equal
means test and a traditional rank test using fetal MEG data collected with a
light flash stimulus. We found that the two-parameter measure generally agreed
with established measures, while p-value alone was overly optimistic. In an
extension of our approach, we compared methods to estimate the background noise.
A method based on surrogate averages resulted in the most robust estimate. In
summary we have developed a flexible and intuitively satisfying bootstrap-based
significance measure incorporating appropriate noise estimation.
PMID- 18054085
TI - Molecular cloning and expression of a larval immunogenic protein from the cattle
tick Boophilus annulatus.
AB - A full-length cDNA of an immunogenic protein was cloned from a cDNA library of
the local Egyptian cattle tick Boophilus annulatus. Antibodies raised against B.
annulatus larval proteins were used to screen a cDNA expression library. A 936bp
cloned fragment was sequenced and showed an open reading frame of 516bp encoding
a protein of 171 amino acids. Comparison of the deduced amino acid sequence with
protein data bank revealed that the sequence is related to a sequence isolated
from the hard tick Haemaphysalis qinghaiensis (Hq05). Southern blot analysis of
B. annulatus genomic DNA showed that the cloned cDNA hybridized to double bands
per restriction digest, suggesting that the cloned cDNA is a double copy gene.
Amino acid analysis of the cloned gene revealed the presence of two casein kinase
II phosphorylation sites in the N-terminal domain suggesting that this molecule
may be involved in the signal transduction or gene expression pathways. RT-PCR
and northern blotting revealed the presence of two isoforms of the Ba05 gene in
salivary glands and in the 3-day-old eggs. The cloned gene without the signal
peptide, was expressed in Escherichia coli under T7 promotor of pET-30b vector,
and purified under denaturation conditions. The purified protein appeared as a
single band on 12% SDS-PAGE with a molecular weight around 22.8kDa including the
histidine tag of the vector. Antibodies raised against the purified molecule were
used to detect the B. annulatus homologue to the Hq05 gene in whole tick, larvae
and gut protein extracts. Immunoblotting revealed the presence of this molecule
Ba05 only in whole tick and larval protein extracts and not in the gut protein
extract. Using the same antibodies, homologues to the Ba05 gene were detected in
other tick species as Hyalomma dromedarii and Rhipicephalus sp. but not in
Ornithodoros moubata.
PMID- 18054087
TI - Intracellular mediators of CXCR4-dependent signaling in T cells.
AB - The signaling pathways induced in T lymphocytes by CXCR4-CXCL12 interaction,
which lead to the cytoskeletal macro-rearrangements observable in migrating
cells, are as yet largely uncharacterized. The aim of this review is to briefly
summarize the current knowledge of the signaling machinery which controls the
process of chemotaxis in CXCL12-stimulated T lymphocytes.
PMID- 18054088
TI - Published studies reporting the efficacy of soluble CD83 in vitro as well as in
vivo.
PMID- 18054089
TI - Heteroduplex mobility assay and single-stranded conformation polymorphism
analysis as methodologies for detecting variants of human erythroviruses.
AB - Variant samples from the three genotypes of erythroviruses have already been
detected using sequencing as methodology for analysis. This study aimed to
investigate the efficacy of single-stranded conformation polymorphism (SSCP)
analysis and heteroduplex mobility assay (HMA) as methodologies to detect human
erythrovirus variants, using their VP1 unique region sequences. Clinical samples
and plasmids of PVBAUA, A6, LaLi, V9Gh3051, and D91.1 erythrovirus variants as
prototypes of the three genotypes were used. SSCP analysis was able to
distinguish all divergences among the plasmids, including the two mutation points
between LaLi and A6 plasmids that led to distinct electrophoresis mobility
patterns. Although HMA analysis was unabled to detect two mutation points between
LaLi and A6, it enabled the differentiation among all other plasmids that
revealed specific electrophoresis patterns, with high-enough sensibility to
detect 1.5% nucleotide substitutions. When 57 clinical samples were analyzed, 33
of them presented an identical pattern to PVBAUA by HMA and SSCP analyses, two of
them were sequenced and presented an identical sequence in relation to PVBAUA.
Another pattern was found for 21 samples. Among these, two samples were
sequenced, revealing one mutation point in relation to PVBAUA, while each one of
the three remaining samples presented a distinct pattern, showing two or three
mutations in relation to PVBAUA by sequencing. HMA and SSCP analyses were
suggested as methodologies suited for detecting genetic mutations of human
erythroviruses in developing countries because of their practicability and minor
costs for reagents and equipment.
PMID- 18054090
TI - Construction, properties, and potential application of infectious plasmids
containing Semliki Forest virus full-length cDNA with an inserted intron.
AB - Semliki Forest virus (SFV, genus Alphavirus) has a broad host range, high
efficiency of viral protein expression, and the ability to stimulate an immune
response. These properties have made SFV an attractive tool for development of
expression vectors, and plasmid clones containing cDNA of the SFV genome often
are used. However, instability of these plasmids resulting from cryptic
expression of SFV envelope proteins in Escherichia coli represents a problem both
for the development of SFV-based vectors and for SFV research. In this study, an
infectious plasmid of SFV, pCMV-SFV4, was constructed; its toxic effect was
eliminated by intron insertion in the capsid protein encoding region. When
transfected into mammalian cells, the plasmid clone was highly infectious and
produced virus with properties identical to those of wild-type SFV. The inserted
intron was efficiently and properly removed from the RNA genome of SFV.
Therefore, this novel and stabilized infectious SFV plasmid represents a superior
tool for basic studies of SFV as well as for biotechnological applications.
PMID- 18054091
TI - Development of a rapid immunochromatographic test for noroviruses genogroups I
and II.
AB - Norovirus (NoV) is known to cause acute gastroenteritis in children worldwide.
Although reverse transcription-PCR (RT-PCR) method is considered to be the "gold
standard" for diagnosis of this viral infection, it requires skillful personnel
and well-equipped laboratory. In this study, a rapid and easily performable
diagnostic kit was developed using immunochromatographic method with rabbit
polyclonal antibodies raised against recombinant virus-like particles (rVLPs) of
most prevalent genotypes, genogroup II genotypes 3 and 4. This kit was evaluated
for reactivity to rVLPs and detection of natural viruses in stool samples
collected from children with diarrhea in comparison to the results obtained by RT
PCR. In the prospective assessment, the kit showed agreement rate of 84.1%,
sensitivity of 69.8% and specificity of 93.7%. Genotyping of the RT-PCR positive
samples by sequence analysis revealed that some heterogeneous genotypes were also
detected while some in homogeneous genotypes occasionally showed false negative
records resulting in lower sensitivity. No cross-reactivity with other common
viral pathogens was observed. Taken together with the result of the detection
limit of viral load as small as approximately 10(6-7)copies/g of stool, the
current immunochromatography test is justified for screening for NoV infection
with simple laboratory support.
PMID- 18054086
TI - Gene expression profiling of porcine peripheral blood leukocytes after infection
with Actinobacillus pleuropneumoniae.
AB - The gene expression profile of peripheral blood leukocytes (PBL) from extreme
performing pigs after infection with Actinobacillus pleuropneumoniae was analysed
using a custom complementary DNA (cDNA) microarray and quantitative reverse
transcription-PCR (qRT-PCR). Four high performing animals with low disease-score
(HP), three low performing animals with high disease-score (LP) and one medium
performing animal with medium disease-score (MP) were selected for microarray
profiling. PBL RNA from these eight pigs collected before and at 24h after APP
infection, was examined. The study identified 92 genes that were up-regulated and
four genes that were down-regulated in PBL RNA from HP pigs compared to LP pigs.
The majority of differentially expressed (DE) genes were identified by virtue of
their elevated expression in the HP animals at 24h post-infection. A large number
of annotated DE genes are involved in innate immune response pathways. The gene
expression profile of 10 DE candidate genes was further explored across the
entire pig population in the same infection trial using qRT-PCR. Considerable
animal-to-animal variation in PBL gene expression was observed, especially in the
LP group. The qRT-PCR analysis suggested that only one true LP pig might be
present in this study, which contributes significantly to the differential
expression profile of the selected genes in HP animals following APP infection.
This study has therefore identified a set of genes which could serve as molecular
indicators for an effective immune response to APP in pigs and which could also
serve as source for gene marker development in molecular genetics studies of
heritable immune traits.
PMID- 18054092
TI - International research networks in viral structural proteomics: again, lessons
from SARS.
AB - Emerging and re-emerging pathogens and bioterror threats require an organized and
coherent response from the worldwide research community to maximize available
resources and competencies with the primary goals to understand the pathogen and
enable intervention. In 2001, the Structural Proteomics In Europe (SPINE) project
prototyped the pan-viral structural genomic approach, and the Severe Acute
Respiratory Syndrome (SARS) outbreak in 2003 accelerated the concept of
structural characterization of all proteins from a viral proteome and the
interaction with their host partners. Following that approach, in 2004 the center
for Functional and Structural Proteomics for SARS-CoV related proteins was
initiated as part of the US NIH NIAID proteomics resource centers. Across
worldwide efforts in Asia, Europe and America, the international research teams
working on SARS-CoV have now determined experimental structural information for
45% of the SARS-CoV proteins and 53% of all its soluble proteins. This data is
fully available to the scientific community and is providing an unprecedented
level of insight to this class of RNA viruses. The efforts and results by the
international scientific community to the SARS outbreak are serving as an example
and roadmap of a rapid response using modern research methods.
PMID- 18054093
TI - Plasmodium falciparum signal peptidase is regulated by phosphorylation and
required for intra-erythrocytic growth.
AB - The human malaria parasite Plasmodium falciparum exports a variety of its
proteins through its endoplasmic reticulum (ER) based secretory pathway in order
to survive in the host erythrocyte. Signal peptidases are membrane-bound
endopeptidases and have an important role in the transport and maturation of
these parasite proteins. Prokaryotic signal peptidases are indispensable enzymes
required for the removal of N-terminal signal peptide from the secretory
proteins. Eukaryotic signal peptidases exist as multimeric protein complex in the
ER and the catalytic subunit of this complex catalyzes removal of the N-terminal
signal peptide from preproteins. All the signal peptidases contain five regions
of high-sequence similarity referred to as boxes A-E. Here we report
characterization of the catalytic subunit of signal peptidase complex (SPC) from
P. falciparum. This protein designated as PfSP21 shows homology with the similar
subunit from other sources and contains all the conserved boxes A-E. PfSP21 is
able to cleave the peptide substrate containing the signal peptidase cleavage
site. PfSP21 is phosphorylated by protein kinase C and its enzyme activity was
upregulated after this phosphorylation. Immunofluorescence assay studies revealed
that PfSP21 is localized in the ER of P. falciparum. PfSP21 dsRNA specifically
inhibits the growth of P. falciparum in culture and this inhibition is most
likely due to the decrease in the amount of endogenous PfSP21 protein. These
studies demonstrate the characterization of a functional subunit of SPC from P.
falciparum and should make an important contribution in our better understanding
of the complex process of protein translocation in the parasite.
PMID- 18054094
TI - Poly(ADP-ribose) activation in circulating cells in human myocardial infarction.
PMID- 18054095
TI - Use of a high thoracic epidural analgesia for treatment of end-stage congestive
heart failure secondary to coronary artery disease: effect of HTEA on CHF.
AB - We present the case of a patient with end-stage congestive heart failure (NYHA
class IV) secondary to ischemic cardiomyopathy despite maximally medical therapy,
who needed to have a coronary artery bypass graft (CABG) surgery but whose risk
was considered too high due to his deteriorating cardiac function. Cardiac
sympathetic blockade by high thoracic epidural analgesia (HTEA) was added to
stabilize the patient's critical condition before surgery. HTEA was performed at
the T1 through T5 levels with a catheter placed percutaneously and then lidocaine
(0.5%, 3-5 ml) was given as intermittent injections through the epidural
catheter. The combination of HTEA treatment resulted in a rapid hemodynamic and
clinical improvement and no serious catheter-related complications occurred. This
case suggests that HTEA seems to be an effective and safe adjuvant therapeutics
and can be used as a short-term bridge to CABG or cardiac transplantation in
patients with end-stage congestive heart failure.
PMID- 18054096
TI - CE-SSCP and CE-FLA, simple and high-throughput alternatives for fungal diversity
studies.
AB - Fungal communities are key components of soil, but the study of their ecological
significance is limited by a lack of appropriated methods. For instance, the
assessment of fungi occurrence and spatio-temporal variation in soil requires the
analysis of a large number of samples. The molecular signature methods provide a
useful tool to monitor these microbial communities and can be easily adapted to
capillary electrophoresis (CE) allowing high-throughput studies. Here we assess
the suitability of CE-FLA (Fragment Length Polymorphism, denaturing conditions)
and CE-SSCP (Single-Stranded Conformation Polymorphism, native conditions)
applied to environmental studies since they require a short molecular marker and
no post-PCR treatments. We amplified the ITS1 region from 22 fungal strains
isolated from an alpine ecosystem and from total genomic DNA of alpine and
infiltration basin soils. The CE-FLA and CE-SSCP separated 17 and 15 peaks
respectively from a mixture of 19 strains. For the alpine soil-metagenomic DNA,
the FLA displayed more peaks than the SSCP and the converse result was found for
infiltration basin sediments. We concluded that CE-FLA and CE-SSCP of ITS1 region
provided complementary information. In order to improve CE-SSCP sensitivity, we
tested its resolution according to migration temperature and found 32 degrees C
to be optimal. Because of their simplicity, quickness and reproducibility, we
found that these two methods were promising for high-throughput studies of soil
fungal communities.
PMID- 18054097
TI - An enhanced miniaturized assay for antimicrobial prospecting.
AB - Bioprospecting for novel antimicrobials increasingly relies on extremely small
samples unsuitable for conventional bulk extraction and assay. We developed a
microtitre plate assay for minimal amounts of test materials which is rapid,
extremely sensitive, allows time-course analysis and reduces false negatives.
Developed for the analyses of antimicrobial sensitivity and resistance, the
technique is appropriate for assays where source materials are scarce.
PMID- 18054098
TI - Rapid detection of ESBL-producing Klebsiella pneumoniae in blood cultures by
fluorescent in-situ hybridization.
AB - Multi-resistant Enterobacteriaceae pose a serious threat of hospital acquired
infections and their rapid identification is important for better clinical
outcome. This study describes the rapid identification of extended-spectrum beta
lactamase-producing Klebsiella pneumoniae of the sulphydryl variable-type by
fluorescent in-situ hybridization. The method which rapidly identifies the target
genes within 1 h could be a potentially rapid bacterial diagnostic tool.
PMID- 18054099
TI - Enumeration of airborne bacteria and fungi using solid phase cytometry.
AB - Conventional methods for the enumeration of airborne micro-organisms are
inaccurate and time-consuming, hence the interest in novel approaches is
increasing. In the present study, the use of solid phase cytometry (SPC) was
evaluated for the enumeration of airborne micro-organisms. A 4 h SPC procedure
based on viability staining was applied to samples from 50 locations and compared
with an optimised culture-based method. Plate counts after air sampling were
repeatable but strongly dependent on sampling volume. Samples with low or high
microbial load were difficult to analyse using the culture-based method, unlike
with SPC. Results show that SPC can be considered superior to the culture-based
method because of its much higher dynamic range, its speed and its ability to
enumerate not only culturable but all viable micro-organisms.
PMID- 18054100
TI - Use of activated carbon coated with bentonite for increasing the sensitivity of
pcr detection of Escherichia coli O157:H7 in Canadian oyster (Crassostrea gigas)
tissue.
AB - A novel method for directly increasing the recovery of Escherichia coli O157:H7
and efficiently eliminating PCR inhibitors in oyster tissue without preenrichment
was developed with the use of activated carbon coated with bentonite. The
recovery of E. coli O157:H7 was significantly affected by the amount of bentonite
used to coat the activated charcoal and the pH value of sample preparations. When
4.2 g of activated carbon were coated with 0.4 g of bentonite and seeded oyster
samples were adjusted to a pH of 5.0, a high recovery of E. coli O157:H7 (91.6+/
4.4%) was obtained. Activated carbon, coated with bentonite, allowed the PCR
detection of 1.5 x 10(2) CFU/g of oyster tissue which was equivalent to 30
genomic targets per PCR reaction. Without the use of activated carbon coated with
bentonite, the minimum level of detection was 1.5 x 10(5) CFU/g of oyster tissue,
which is equivalent to 3.0 x 10(4) genomic targets per PCR reaction. Three
commercial DNA purification systems were used for comparison. The limit of
detection with the Wizard DNA Clean-Up System and the Chelex(R)100 Resin was 1.5
x 10(3) CFU/g of oyster tissue which was equivalent to 3.0 x 10(2) CFU/PCR
reaction. The QIAamp DNA Mini Kit resulted in a detection limit of 5 x 10(2)
CFU/g of oyster tissue which was equivalent to 5 x 10(2) genomic targets per PCR
reaction. The use of activated carbon coated with bentonite is an inexpensive
method for removal of PCR inhibitors from tissue samples prior to the release of
DNA from target cells resulting in relatively low numbers of target cells
detected without enrichment.
PMID- 18054101
TI - HDR prostate monotherapy: dosimetric effects of implant deformation due to
posture change between TRUS- and CT-imaging.
AB - HDR monotherapy for prostate cancer consists of four fractions. The first
fraction is delivered with online TRUS-based treatment planning. For the last
three fractions the treatment plan is based on a CT-scan acquired in between
fractions 1 and 2. The patient position (high lithotomy, rectal US probe) during
TRUS-guided catheter implantation and first fraction differs from the patient
position in the CT-scan and the remaining three fractions (lowered legs, no TRUS
probe). This study describes the effect of posture changes on dose distributions
when a plan designed for the TRUS anatomy is applied to the CT-scan anatomy. The
aim is to quantify dosimetrical errors that would result from skipping the use of
a planning CT-scan, and rely for all fractions on the TRUS plan. Such a procedure
would substantially reduce the involved workload, and would increase patient
comfort. For three prostate cancer patients, images were acquired during TRUS
guided catheter implantation. Furthermore, a CT-scan (no US probe in rectum,
different position of legs) was acquired and matched with the TRUS set. On both
TRUS and CT, prostate, urethra and rectum were delineated and all catheters were
traced. For each patient, an optimized treatment plan was designed using TRUS
images and contours. Catheters with obtained dwell positions of the TRUS plan
were transferred individually to the catheter positions in the CT. Changes in
dose distribution due to relocation of catheters were evaluated using DVHs. For
all patients the dose distributions changed significantly due to rearrangement of
the catheters, having most impact on the urethra (maximum observed change: 32%
volume receiving > or = 120% of the prescribed dose) and a reduction of PTV
coverage (6-28%). Implant deformation when changing from TRUS patient set-up to
CT set-up affected negatively the quality of optimized treatment plans. Inclusion
of more patients in this study was planned, but because of the observed strong
negative effects it is already concluded that the TRUS plan cannot be used for
the last three fractions with a deviating patient set-up.
PMID- 18054102
TI - The next step in patient-specific QA: 3D dose verification of conformal and
intensity-modulated RT based on EPID dosimetry and Monte Carlo dose calculations.
AB - BACKGROUND AND PURPOSE: A method was evaluated to reconstruct the 3D dose
distribution in patients using their planning CT-scan in combination with a Monte
Carlo calculation, and the energy fluence of the actual treatment beams measured
pre-treatment with an EPID without the patient or a phantom in the beam.
MATERIALS AND METHODS: Nine plans of lung cancer patients treated with a 3D
conformal technique, calculated using a simple convolution algorithm (CA), as
well as five IMRT treatments of head-and-neck cancer patients, calculated with a
more advanced superposition algorithm (SA), were verified. Differences between
planned and reconstructed dose distributions were quantified in terms of DVH
parameters. RESULTS: For the lung cancer group, differences between the
reconstructed mean PTV dose and the values calculated with the TPS were 5.0+/
4.2% (1SD) and -1.4+/-1.5% for the CA and SA algorithm, respectively. No large
differences in the lung and spinal cord DVH parameters were found. For the IMRT
treatments, the average dose differences in the PTV were generally below 3%. The
reconstructed mean parotid gland dose was 3.2+/-1.2% lower, while the maximum
spinal cord dose was on average 3.1+/-1.9% higher. CONCLUSIONS: EPID dosimetry
combined with 3D dose reconstruction is a useful procedure for patient-specific
QA of complex treatments. DVH parameters can be used to interpret the dose
distribution delivered to the patient in the same way as during standard
treatment plan evaluation.
PMID- 18054103
TI - Evaluation of margining algorithms in commercial treatment planning systems.
AB - INTRODUCTION: During commissioning of the Pinnacle (Philips) treatment planning
system (TPS) the margining algorithm was investigated and was found to produce
larger PTVs than Plato (Nucletron) for identical GTVs. Subsequent comparison of
PTV volumes resulting from the QA outlining exercise for the CHHIP (Conventional
or Hypofractionated High Dose IMRT for Prostate Ca.) trial confirmed that there
were differences in TPS's margining algorithms. Margining and the clinical impact
of the different PTVs in seven different planning and virtual simulation systems
(Pinnacle, Plato, Prosoma (MedCom), Eclipse (7.3 and 7.5) (Varian), MasterPlan
(Nucletron), Xio (CMS) and Advantage Windows (AW) (GE)) is investigated, and a
simple test for 3D margining consistency is proposed. METHODS: Using each TPS,
two different sets of prostate GTVs on 2.5mm and 5mm slices were margined
according to the CHHIP protocol to produce PTV3 (prostate+5 mm/0 mm post), PTV2
(PTV3+5 mm) and PTV1 (prostate and seminal vesicles+10 mm). GTVs and PTVs were
imported into Pinnacle for volume calculation. DVHs for 5mm slice plans, created
using the smallest PTVs, were recalculated on the largest PTV dataset and vice
versa. Since adding a margin of 50 mm to a structure should give the same result
as adding five margins of 10 mm, this was tested for each TPS (consistency test)
using an octahedron as the GTV and CT datasets with 2.5 mm and 5 mm slices.
RESULTS: The CHHIP PTV3 and PTV1 volumes had a standard deviation, across the
seven systems, of 5% and PTV2 (margined twice) 9%, on the 5 mm slices. For 2.5 mm
slices the standard deviations were 4% and 6%. The ratio of the Pinnacle and the
Eclipse 7.3 PTV2 volumes was 1.25. Rectal doses were significantly increased when
encompassing Pinnacle PTVs (V(50)=42.8%), compared to Eclipse 7.3 PTVs
(V(50)=36.4%). Conversely, fields that adequately treated an Eclipse 7.3 PTV2
were inadequate for a Pinnacle PTV2. AW and Plato PTV volumes were the most
consistent (0.3%) and (-0.4%). However, the 1x50mm margin in Pinnacle produced a
15.9% larger volume than 5 x 10 mm margins, while for Eclipse 7.3 the single
margined volume was 14.3% smaller. These inconsistencies were reduced to
approximately 5% by adjusting the superior/inferior margins. CONCLUSIONS:
Accurate margin algorithms are necessary to ensure that volume expansion does not
add extra uncertainty to the radiotherapy planning process. We have found
significant differences in the 3D margining algorithms of TPSs, devised a simple
test to predict inconsistency and suggested corrective action to minimise the
variation.
PMID- 18054104
TI - Neuroprotective effects of genistein on dopaminergic neurons in the mice model of
Parkinson's disease.
AB - Emerging evidence suggests beneficial effects of estrogen and estrogen-like
chemicals on neurodegenerative diseases, especially Parkinson's disease (PD).
Genistein, an isoflavone naturally found in soy products, displays estrogenic
properties. The present study aims to investigate the neuroprotective effects of
genistein on dopaminergic neurons in ovariectomized (OVX), 1-methyl-4-phenyl
1,2,3,6-tetrahydropyridine (MPTP)-induced PD model mice. MPTP significantly
decreased the levels of dopamine (DA) and its metabolites dihydroxyphenylacetic
acid (DOPAC) and homovanillic acid (HVA) in the striatum, which could be restored
by genistein or estrogen pretreatment. MPTP-challenge with genistein or estrogen
pretreatment demonstrated reduced neurotoxicity, with tyrosine hydroxylase
immunoreactive (TH-IR) neurons in the substantia nigra pars compacta (SNpc)
affected to a significantly lesser extent as compared to the MPTP treated
control. The reverse transcription-PCR results also confirmed that the MPTP
induced downregulation of TH, dopamine transporter (DAT) and Bcl-2 mRNA
expression in the midbrain could be restored by genistein or estrogen
pretreatment. These findings provide the first evidence that genistein has
neuroprotective effects on dopaminergic neurons in the MPTP-induced PD mice and
this effect may be attributed to enhancing Bcl-2 gene expression.
PMID- 18054105
TI - Genotype analysis of enterotoxin H-positive Staphylococcus aureus strains
isolated from food samples in the Czech Republic.
AB - Twenty-eight enterotoxin H-positive Staphylococcus aureus strains isolated from
food samples collected in eleven districts of the Czech Republic between 2000 and
2005 were genotypically characterized by pulsed-field gel electrophoresis (PFGE)
profiling, spa gene polymorphism analysis, enterobacterial repetitive intergenic
consensus sequence-based PCR (ERIC-PCR) fingerprinting and prophage carriage
detection. These strains accounted for about 21% of the food-derived,
staphylococcal enterotoxin (SE)-positive isolates. One strain, detected in feta
cheese, was implicated in a case of enterotoxinosis. Sixteen of the twenty-eight
isolates carried the seh gene alone. The remaining twelve strains harbored the
seh gene in combination with other enterotoxin genes, most often the seg and sei
genes, followed by the sea, seb, sec and sed genes. Comparison of various genomic
profiles resulted in the determination of twenty genotypes designated G-1 to G
20. Two new, to date not defined, spa types (t2000 and t2002) were identified in
one strain isolated from raw meat and two strains obtained from prepacked pizza.
Evidence has been given that the seh-positive S. aureus isolates from foodstuffs
did not originate from a single source or a common ancestor.
PMID- 18054106
TI - Characterization of tannase activity in cell-free extracts of Lactobacillus
plantarum CECT 748T.
AB - In foods, tannins are considered nutritionally undesirable. Spectrophotometric
methods have been used to detect tannin degradation by L. plantarum strains
isolated from food substrates. Enzymatic degradation of tannic acid by L.
plantarum CECT 748T was examined in liquid cultures and in cell-free extracts by
HPLC. Significative reduction of tannic acid was not observed during incubation
in the presence of L. plantarum cells after 7 days incubation. However, tannic
acid was effectively degraded by cell-free extracts of L. plantarum during 16 h
incubation. We have partially characterized L. plantarum tannase activity by
measuring its esterase activity on methyl gallate. Tannase activity was optimal
at pH 5.0 and 30 degrees C, and showed nearly 75% of the maximal activity at 50
degrees C. The biochemical characteristics showed by L. plantarum tannase are
considered favourable for tannin biodegradation in the food-processing industry.
PMID- 18054107
TI - Supercritical antisolvent production of biodegradable micro- and nanoparticles
for controlled delivery of paclitaxel.
AB - Paclitaxel and poly (L-Lactic acid) (PLA) were co-precipitated to form micro and
submicron particles in a manner similar to that used in the supercritical
antisolvent with enhanced mass transfer (SAS-EM) process. As compared with
conventional processes, a major advantage of supercritical CO(2) as an
antisolvent in the SAS-EM process is the effective removal of residual organic
solvents. In this work, the organic phase was sprayed into supercritical CO(2)
(for CO(2), Tc=31.1 degrees C, Pc=73.8 bar) from a 500 microm ID capillary
nozzle. Ultrasonic vibration with an amplitude of 0 to 120 microm (from a 3/8''
tip diameter titanium probe) was employed in the high pressure vessel during the
antisolvent process to provide enhanced mixing between the solvent and
antisolvent phases. The role and effects of ultrasonication on the properties of
the resulting particles were studied. When no ultrasonication was applied,
micrometer-sized particles were obtained. When ultrasonication was applied, more
uniform particles in the submicron size range were obtained. The size of the
particles was found to vary with the ultrasonic vibration amplitude.
Encapsulation efficiencies up to 83.5% and controlled release of paclitaxel for
more than 30 days were achieved with the particles fabricated in this study.
PMID- 18054108
TI - Leu54Phe and Val762Ala polymorphisms in the poly(ADP-ribose)polymerase-1 gene are
associated with diabetic polyneuropathy in Russian type 1 diabetic patients.
AB - Poly(ADP-ribose) polymerase-1 (PARP-1) is an ubiquitous DNA-binding protein
involved in the cellular response to various genotoxic agents. Excessive PARP-1
activation is known to lead to the depletion of intracellular NAD+ and ATP pools
and hence to threat cell survival. Therefore, PARP-1 could be involved in
neuronal death and contribute to the development of diabetic polyneuropathy
(DPN). This study addressed the association of Leu54Phe and Val762Ala
polymorphisms of PARP-1 with DPN in Russian type 1 diabetic (T1D) patients.
Eighty-six T1D patients with severe DPN and 93 T1D patients with no clinical
signs of DPN have been studied by a polymerase chain reaction restriction
fragment length polymorphism approach. Using Fisher's exact test revealed the
association of the Phe54 and Val762 variants of PARP-1 (odds ratio (OR), 1.66 and
2.88, respectively) with increased risk of DPN in T1D. These results suggest that
the PARP1 gene is involved in the pathogenesis of diabetic neuropathy in a
Russian population. Additionally, a logistic regression analysis revealed a
significant association between the neurological variances such as vibration
detection threshold (OR, 2.08), vibration and temperature perception thresholds
(OR, 1.32 and 1.67, respectively), and sensory and motor nerve conduction
velocities (OR, 2.34 and 2.58, respectively), with DPN.
PMID- 18054109
TI - Economic evidence of influenza vaccination in children.
AB - OBJECTIVE: We review published economic evaluations of influenza vaccination for
children, including direct individual benefits and indirect societal benefits, to
determine whether more studies are needed to fully understand the expected
benefits of such strategies. METHODS: We searched MEDLINE and EMBASE databases to
May 2006 and in-press articles to October 2006 for studies including economic
analyses of influenza vaccination in children. Abstracts of all potentially
relevant articles were screened. RESULTS: Fifteen relevant articles from 1983
were retained. Most were based on modelling, using previously published data and
considered the societal perspective. Three were a part of prospective clinical
trials. Various paediatric vaccination scenarios and parameters were considered.
Vaccinating children against influenza was cost saving or cost effective in 10/15
studies, cost saving or effective only under certain conditions in three studies,
and not cost saving or effective in two studies whatever the outcome or
perspective considered. CONCLUSIONS: Most published evidence points to an
economic interest for society of vaccinating children against influenza. However,
differences in study design hinder the comparison of the various vaccination
strategies considered. Comparable and complete data on the burden and cost of
disease, and the cost of vaccination are needed, especially outside of North
America.
PMID- 18054110
TI - Opinion on tobacco tax increase: factors associated with individuals' support in
Germany.
AB - OBJECTIVES: To assess the opinion on tobacco tax increases in Germany. DESIGN:
Ten wave cross-sectional study with assessments before and after the tax
increases. SETTING: General population of Germany. PARTICIPANTS: Ten
representative samples from general population with a total number of 27,608
persons aged 14 and above were interviewed. MAIN OUTCOME MEASURES: Opinion
("support", "disapprove", and "undetermined") before and after tobacco tax
increases. RESULTS: Thirty-nine percent of the sample supported the tobacco tax
increases. Support was higher among non-smokers and subjects with higher levels
of education. Data indicated an increase of support over time from 35% in 2002 to
42% in 2005 which was not affected by the amount of price increase. CONCLUSIONS:
The preliminary findings, that the amount of price increase did not affect the
support of the general population in a negative way, and that support grew during
a succession of tax increases, might be useful for legislators.
PMID- 18054111
TI - Room for manoeuvre? Explaining local autonomy in the English National Health
Service.
AB - Decentralisation has returned as a key theme in English health policy in recent
years in policies such as Patient Choice and Foundation Trusts, among many
others. The goal of these policies appears to be to stimulate self-sustaining
incentives to continuous organisational reform and performance improvement
through creating a pluralist model of local provision. However, the ability of
local organisations to exercise autonomy and to deliver such performance is
highly contingent upon their local context, not least in terms of existing
patterns of dependencies. Explaining variation in local outcomes of national
policies demands an understanding and explanation of local autonomy and its
effect on performance which takes into account the role of the local 'health
economy'--the local context within which organizations are embedded. It is this
combination of vertical and horizontal autonomy which effectively determines the
local room for manoeuvre in decision-making. The aim of the paper is to examine
the local dimension of decentralisation policies. It draws from different strands
of literature to discuss the room for manoeuvre of local organisations within
local health economies in England with specific reference to Primary Care Trusts.
It draws conclusions about the nature of decentralisation itself and the impact
of such policies.
PMID- 18054112
TI - Analysis of satisfaction about new cooperative medical scheme and its influencing
factors in Weihai, China.
AB - From 2003, under the principle of raising fund in multiple ways and voluntarily
jointing in by rural people, trial areas of new cooperative medical scheme (NCMS)
are increasing year-by-year in China. Meanwhile, more and more farmers
participate in NCMS with great enthusiasm. In this study, we examined the
satisfaction about new cooperative medical scheme (NCMS) as well as the factors
that had an influence on the attitudes towards NCMS. The sample, 4303 countryside
residents aged > or =15-year, was randomly chosen by using stratified-cluster
sampling method. Data were evaluated by using percentages, nonparametric tests
and ordinal regression. As showed in this study, 73.2% of the subjects were
satisfied with NCMS. According to the results of single factor analysis, the
attitudes towards NCMS were affected by gender, age, self-rated health status,
whether having received health check-ups, and hospital stay during 2006, the
difference proving to be statistically significant (P<0.05). In the ordinal
regression analysis, it was found that the following factors, age, self-rated
health status, whether having received health check-ups, and hospital stay during
2006, had an influence on satisfaction (P<0.05).
PMID- 18054113
TI - Gaining and losing the thermophilic adaptation in prokaryotes.
AB - We studied the evolution of thermophily in prokaryotes using the phylogenetic
relationships between 279 bacteria and archaea and their thermophilic amino acid
composition signature. Our findings suggest several examples in which the
capacity of thermophilic adaptation has been gained or lost over relatively short
evolutionary periods throughout the evolution of prokaryotes.
PMID- 18054114
TI - Cryptic complexity captured: the Nematostella genome reveals its secrets.
AB - The full genomic sequence of the sea anemone Nematostella vectensis, which is the
first full genomic sequence for a representative of the Phylum Cnidaria, has
recently been published, providing some surprising findings and a unique
perspective on the evolution of animal genomes. Major conclusions are that, in
gene number, composition and intron/exon structure, the anemone is more similar
to vertebrates than are flies and nematodes and that this shared complexity must
therefore be very ancient.
PMID- 18054115
TI - Insights into the connection between cancer and alternative splicing.
AB - Computational and experimental evidence has revealed that cancerous cells express
transcript variants that are abnormally spliced, suggesting that mRNAs are more
frequently alternatively spliced in cancerous tissues than in normal ones. We
show that cancerous tissues exhibit lower levels of alternative splicing than do
normal tissues. Moreover, we found that the distribution of types of alternative
splicing differs between cancerous and normal tissues. We further show evidence
suggesting that the lower levels of alternative splicing in cancerous tissues
might be a result of disruption of splicing regulatory proteins.
PMID- 18054116
TI - The haplo-spliceo-transcriptome: common variations in alternative splicing in the
human population.
AB - Numerous inherited human genetic disorders are caused by defects in pre-mRNA
splicing. Two recent studies have added a new twist to the link between genetic
variation and pre-mRNA splicing by identifying SNPs that correlate with heritable
changes in alternative splicing but do not cause disease. This suggests that
allele-specific alternative splicing is a mechanism that accounts for individual
variation in the human population.
PMID- 18054117
TI - Cereal breeding takes a walk on the wild side.
AB - Elite cultivated crop gene pools of the Triticeae tribe (wheat, barley and rye)
exhibit limited genetic diversity, raising concerns about our ability to increase
or simply sustain crop yield and quality in the face of dynamic environmental and
biotic threats. Although exploiting their wild relatives as a source of novel
alleles is challenging, it has provided notable successes in cereal improvement
for >100 years. Increasingly facile gene discovery, improved enabling
technologies for genetics and breeding and a better understanding of the factors
limiting practical exploitation of exotic germplasm promise to transform
existing, and accelerate the development of new, strategies for efficient and
directed germplasm utilization.
PMID- 18054118
TI - A software package for interactive motor unit potential classification using
fuzzy k-NN classifier.
AB - We present an interactive software package for implementing the supervised
classification task during electromyographic (EMG) signal decomposition process
using a fuzzy k-NN classifier and utilizing the MATLAB high-level programming
language and its interactive environment. The method employs an assertion-based
classification that takes into account a combination of motor unit potential
(MUP) shapes and two modes of use of motor unit firing pattern information: the
passive and the active modes. The developed package consists of several graphical
user interfaces used to detect individual MUP waveforms from a raw EMG signal,
extract relevant features, and classify the MUPs into motor unit potential trains
(MUPTs) using assertion-based classifiers.
PMID- 18054120
TI - [Granulomatosis: a challenge for the internist?].
PMID- 18054119
TI - A derivative of the melanocortin receptor antagonist SHU9119 (PG932) increases
food intake when administered peripherally.
AB - Melanocortin receptors are considered promising candidates for the treatment of
behavioral and metabolic disorders ranging from obesity to anorexia and cachexia.
These experiments examined the response of mice to peripheral injections of two
compounds. PG932 is a derivative of SHU9119 which is non-selective antagonist of
melanocortin-3 and melanocortin-4 receptors (Mc3r and Mc4r). PG946 is a
derivative of a hybrid of alpha- and beta-MSH, and is a moderately selective Mc3r
antagonist. SHU9119 increases food intake when administered
intracerebroventricularly but is without effect when injected into the periphery.
In contrast, PG932 was found to be highly effective at stimulating food intake
when administered peripherally by intraperitoneal injection. The orexigenic
effect of PG932 required functional Mc4r, suggesting that inhibition of this
receptor is involved in the stimulation of food intake. PG946 did not
significantly affect on feeding behavior. PG932 is thus a useful new compound for
studies examining the regulation of appetite and energy balance, and may also
prove useful for the treatment of cachectic conditions.
PMID- 18054121
TI - [Toxic or drug-induced granulomatous reactions].
AB - PURPOSE: To review the current concepts in toxic and drug-induced granulomatous
reactions. CURRENT KNOWLEDGE AND KEY POINTS: Granulomatous reactions are induced
by various chemical agents, treatments or foreign bodies. According to the
breaking way into the organism, the lungs, the liver, the kidneys or the skin are
mainly concerned, but systemic granulomatosis mimicking sarcoidosis is possible.
Therefore systematic analysis of environmental, occupational and leisure
exposures and quest for medical or illicit drugs is mandatory to identify the
responsible agent. Over the recent period, chronic beryllium disease, interferon
alpha therapy, BCG immunotherapy and allopurinol have been more frequently
involved. FUTURE PROSPECTS AND PROJECTS: Literature review uncovers a variety of
potential toxic exposures and highlights the necessity of a clear sighted
research to identify them.
PMID- 18054122
TI - [Systemic granulomatosis of infectious origin].
AB - PURPOSE: Granulomatous diseases are defined by specific histological features,
following the local recruitment of macrophages and lymphocytes. Many infections
can lead to the development of granuloma. CURRENT KNOWLEDGE AND KEY POINTS:
Microorganisms responsible for granuloma include mainly mycobacteria, many viral
and fungal species, as well as schistosoma in endemic areas. Nevertheless, almost
all microorganisms can lead to granuloma, especially if their clearance needs
macrophages pathway. New immunosuppressive drugs such as tumor necrosis factor
antagonists are associated with a high risk of infectious granulomatous
complications. All patients with granuloma must be carefully screened to find a
potential underlying infection, since an immunosuppressive therapy could be
otherwise considered. We here review the general diagnostic process with a
specific glance to the main organs. FUTURE PROSPECTS AND PROJECTS: Without
clinical or epidemiological clue, diagnosis can be very tedious. New molecular
tools now assist classical microbiological and histological techniques. Their
specificity and sensitivity have recently been better characterized, and their
use will probably increase in the near future for the diagnosis of infectious
granuloma. They may also lead to discover new infectious aetiologies of
granulomatous diseases formerly considered as idiopathic. We describe here the
main microorganisms that can be responsible for granuloma, with a specific focus
on the use of new diagnostic tools.
PMID- 18054123
TI - [Granulomatous disease in common variable immunodeficiency].
AB - PURPOSE: Common variable immunodeficiency (CVID), defined by defective production
of immunoglobulins, is the most common primary immunodeficiency in adulthood
requiring a medical follow-up. Repeated bacterial infections and/or autoimmune
manifestations and/or benign lymphoproliferation (including follicular
hyperplasia and/or granulomatous disease) are the hallmark of the disease. This
review aims at describing recent advances in the understanding and treatment of
granulomatous disease in CVID. CURRENT KNOWLEDGE AND KEY POINTS: Clinical
features of granulomatous disease in CVID can mimic sarcoidosis, remarkable by
the low levels of circulating immunoglobulins. Granulomas may be found in several
organs in a single patient, and the main features are pulmonary, lymphoid,
cutaneous, hepatic or splenic. The features of CVID is remarkable by the high
frequency of autoimmune diseases complicating the immunodeficiency. Some
immunological abnormalities have been described in such patients, including
lymphopenia, decreased T-cells proliferations to mitogens and antigens. Rare
polymorphisms in the gene encoding TNFalpha (Tumor Necrosis Factor) have been
identified in CVID patients with granulomatous disease. FUTURE PROSPECTS AND
PROJECTS: The evolution of the disease is severe, particularly when the lung is
involved. Treatment consists in immunoglobulins substitution, immunosuppressive
agents (corticosteroids, cyclophosphamide) and anti-TNFalpha antibodies. These
treatments are difficult to manage in such immunocompromised patients.
PMID- 18054124
TI - [Sarcoidosis and sarcoid reactions in cancer].
AB - PURPOSE: Relationships between granulomatosis and cancers have been suspected for
a long time. Nevertheless, few evidence has been reported until recently. Here,
we present a literature review about the association of granulomatosis and
neoplasia. CURRENT KNOWLEDGE AND KEY POINTS: Aside from granulomatosis due to
infectious disease, granulomas can be observed in cancer patients, mainly in two
situations. Patients may rarely present with typical sarcoidosis occurring
before, during or after the diagnosis of cancer. Recent studies have documented
such a relationship particularly with lymphomas, testicular and lung cancers,
melanomas and hepatocarcinomas. Secondly granulomas may be found as a sarcoid
reaction in the vicinity of the tumour itself or more frequently in regional
lymph nodes. Sarcoid reaction, reported in Hodgkin's disease and gastric
adenocarcinomas, may be associated with a better prognosis. Granulomatous
reaction could play an important role in the host's defences against metastatic
extension. Immunotherapy such as interferon has been reported to induce systemic
sarcoidosis probably by reproducing some physiopathological mechanisms involved
in sarcoidosis. FUTURE PROSPECTS AND PROJECTS: Clinicians need novel non invasive
diagnostic methods to differentiate neoplasia from benign sarcoid reactions. The
18-fluorodeoxyglucose (18-FDG) PET-scan has failed in this indication but the
adjunction of a [3-(18)F]-alpha-methyltyrosine ((18)F-FMT) PET-scan could be
useful. Biopsies is still necessary in most of cases.
PMID- 18054125
TI - [Atypical sarcoidosis].
AB - PURPOSE: Sarcoidosis is a granulomatous disease of unknown etiology. Lung and
lymphatic system are the principal localisations. Clinical presentations are
various depending on involved organs. Some presentations, which are easily
diagnosed, are typical and frequent. Atypical forms have unusual presentations
and/or are rare. Beside, in a multisystemic sarcoidosis, the affection of only
one organ can be unusual. Rigorous diagnosis procedure could avoid errors.
CURRENT KNOWLEDGE AND KEY POINTS: Twenty percent of sarcoidosis have atypical
presentation. However, each of them are infrequent. Atypical features are wide
and can concern pulmonary or extrapulmonary manifestations, general
manifestations, blood testing or pathological pattern. FUTURE PROSPECTS AND
PROJECTS: Describing atypical forms are necessary for their diagnosis. The
diagnosis of atypical sarcoidosis is found on the knowledge of atypical forms
previously described, presence of granulomas on specimen biopsy and excluding
other granulomatous disease.
PMID- 18054126
TI - Expression and purification of a Plasmodium vivax antigen - PvTARAg55 tryptophan-
and alanine-rich antigen and its immunological responses in human subjects.
AB - Despite the immense global efforts, the malaria vaccine is not yet available and
requires the identification of newer target molecules. Since tryptophan-rich
proteins of P. yoelii have been proposed as vaccine candidates, we describe here
the expression, purification and immunological characterization of a 55kDa
Plasmodium vivax tryptophan- and alanine-rich antigen (PvTARAg55). This protein
consists of 480 aa residues with a calculated molecular mass of 55.0kDa. It shows
42% aa sequence identity (64% homology) with PyPAg1 of P. yoelii and shares
positional conservation of tryptophan residues. Sequence analysis of PvTARAg55
from different P. vivax isolates revealed that typtophan-rich domain which
contains most of the B-cell epitopes was highly conserved in the parasite
population while the alanine-rich domain showed polymorphism. Exon-2 covering
major part (420 aa) of the protein including both the domains was PCR amplified,
cloned, expressed in Escherichia coli, and the recombinant protein purified to
its homogeneity. Majority of P. vivax-infected individuals (82.5%, n=40) produced
antibodies against this antigen. Proliferative responses to the recombinant
PvTARAg55 were observed in 60% (n=20) of individuals who had recently been
exposed to the P. vivax infection. Measurement of Th1- (IFN-gamma, TNF-alpha, and
IL-12) and Th2-type (IL-4 and IL-10) cytokine production in response to this
recombinant antigen revealed a mixed type T-cell response with a Th2 response
being more pronounced. These results demonstrate that PvTARAg55 elicits high
humoral and cellular immune responses thus establishes its immunogenecity in
humans.
PMID- 18054127
TI - The impact of a new universal infant and school-based adolescent hepatitis B
vaccination program in Australia.
AB - We compared the results of two national serosurveys in Australia to evaluate the
impact of universal infant vaccination and school-based programs for adolescents.
Immunity improved significantly overall, especially in 1-year-olds (40.0% versus
86%; p<0.0001); in adolescents it was significantly higher in regions with
established school-based programs (56.6% versus 38.8%; p=0.0008). 6.1% of 1-59
year-olds were positive for HBcAb and 0.7% for HBsAg. We have demonstrated
successful implementation of universal infant hepatitis B vaccination in
Australia and that school-based programs for adolescents are effective. This
experience should be applicable to low prevalence countries in northern Europe
which have not implemented universal hepatitis B immunisation.
PMID- 18054128
TI - Radiation-induced adaptive response in fish cell lines.
AB - There is considerable interest at present in low-dose radiation effects in non
human species. In this study gamma radiation-induced adaptive response, a low
dose radiation effect, was examined in three fish cell lines, (CHSE-214 (Chinook
salmon), RTG-2 (rainbow trout) and ZEB-2J (zebrafish)). Cell survival after
exposure to direct radiation with or without a 0.1 Gy priming dose, was
determined using the colony forming assay for each cell line. Additionally, the
occurrence of a bystander effect was examined by measuring the effect of
irradiated cell culture medium from the fish cell lines on unexposed reporter
cells. A non-linear dose response was observed for all cell lines. ZEB-2J cells
were very sensitive to low doses and a hyper-radiosensitive (HRS) response was
observed for doses <0.5 Gy. A typical protective adaptive response was not
detected in any of the three fish cell lines tested. Rather, it was found that
pre-exposure of these cells to 0.1 Gy radiation sensitized the cells to
subsequent high doses. In CHSE-214 cells, increased sensitivity to subsequent
high doses of radiation was observed when the priming and challenge doses were
separated by 4 h; however, this sensitizing effect was no longer present when the
interval between doses was greater than 8 h. Additionally, a "protective"
bystander response was observed in these cell lines; exposure to irradiated
medium from fish cells caused increased cloning efficiency in unirradiated
reporter cells. The data confirm previous conclusions for mammalian cells that
the adaptive response and bystander effect are inversely correlated and contrary
to expectations probably have different underlying mechanisms.
PMID- 18054129
TI - 127I and 129I/127I isotopic ratio in marine alga Fucus virsoides from the North
Adriatic Sea.
AB - The only stable iodine isotope is 127I and the natural 129I/127I ratio in the
biosphere has increased from 10(-15)-10(-14) to 10(-10)-10(-9), mainly due to
emissions from nuclear fuel reprocessing plants. In Europe they are located at La
Hague (France) and Sellafield (England), where the ratio of 129I/127I is up to
10(-4). The marine environment, i.e. the oceans, is the major source of iodine
with average concentrations of around 60 mirogL(-1) iodine in seawater. Brown
algae accumulate iodine at high levels of up to 1.0% of dry weight, and therefore
they are an ideal bioindicator for studying the levels of 127I and 129I in the
marine environment. A radiochemical neutron activation analysis (RNAA) method,
developed at our laboratory, was used for 129I determination in the brown alga
Fucus virsoides (Donati) J. Agardh, and the same technique of RNAA was used for
total 127I determination. The samples were collected along the coast of the Gulf
of Trieste and the West coast of Istria in the North Adriatic Sea in the period
from 2005 to 2006. Values of the 129I/127I ratio up to 10(-9) were found, which
is in agreement with the present average global distribution of 129I. The levels
of stable iodine found were in the range from 235 to 506 microg g(-1) and the
levels of 129I from 1.7 to 7.3 x 10(-3)Bq kg(-1) (2.6-10.9 x 10(-7) microg g(
1)), on a dry matter basis.
PMID- 18054130
TI - The neurologist, psychogenic nonepileptic seizures, and borderline personality
disorder.
AB - Patients with psychogenic nonepileptic seizures (PNES) are common in tertiary
epilepsy centers, emergency departments, and neurological practices. Psychiatric
discussion of patients with PNES has emphasized the role of trauma and
dissociation. Personality disorder has been considered, but its extensive
implications for neurological management have not been fully appreciated. We
propose that the most difficult aspects of management stem not from the
convulsive episodes, but from the personality disorder that frequently
accompanies them. Although it is not the neurologist's role to treat personality
disorder, the conduct of the physician-patient relationship can have potent
consequences for good or ill on the outcome. We present a brief guide to current
concepts of personality disorder; discuss the literature concerning its
association with PNES, and offer practical guidelines for the conduct of the
neurologist-patient relationship. This perspective offers resolutions to
longstanding controversies, including how to communicate the diagnosis,
discontinuing medication, and ongoing neurological contact.
PMID- 18054131
TI - Ictal nausea with vomiting as the major symptom of simple partial seizures:
electroencephalographic and magnetoencephalographic analysis.
AB - Autonomic symptoms and signs rarely occur as isolated phenomena without impaired
consciousness. Ictal nausea with vomiting is a rare clinical manifestation of
seizures. Ictal vomiting is considered a localizing sign in patients with partial
seizures of temporal origin. We encountered two patients with simple partial
seizures that produced nausea with vomiting as the sole symptom, and we describe
the interictal electroencephalographic and magnetoencephalographic findings. The
episodes were paroxysmal and stereotypical in nature, and patients showed
symptomatic response to a trial of antiepilepsy medication. In both patients, the
diagnosis was simple partial seizures with autonomic symptomatology. Although
interictal electroencephalography did not reveal focal spikes and focal slowing,
estimated magnetoencephalographic dipoles were clustered in the parietal lobe.
Interictal magnetoencephalographic foci may serve only as subsidiary evidence for
the parietal origin of the episodes. However, our findings provide evidence of
additional involvement of the parietal lobe in ictal vomiting.
PMID- 18054132
TI - December: retrospection month.
PMID- 18054133
TI - The prospect of pandemic influenza: why should the optometrist be concerned about
a public health problem?
AB - BACKGROUND: Optometrists are uniquely placed in the health care field because
they provide both services as well as goods to patients. In the event of an
influenza pandemic, optometrists may be challenged with a host of issues,
including impediments to clinical patient care, manufacture and delivery of
ophthalmic devices, and maintaining business continuity and infection control.
OVERVIEW: This report reviews pandemic influenza, the effect of a pandemic event
on business survival, and response measures for the primary eye care provider.
The ethical and legal issues surrounding control of a pandemic influenza and the
prospect of telemedicine as a form of social distancing are also discussed.
CONCLUSIONS: Knowledge of the pharmacologic and nonpharmacologic measures to
control a pandemic influenza will help prepare the eye care provider for
addressing challenges to patient care and business continuity in the face of a
highly contagious disease. Understanding the legal and ethical issues that arise
during a pandemic event will help optometrists make informed choices as health
care professionals and as citizens.
PMID- 18054134
TI - An optometric approach to patients with sensory integration dysfunction.
AB - BACKGROUND: Sensory integration dysfunction is a neurologic condition that can
cause children to process environmental sensations in an inappropriate way. As a
result, they may either seek out strong sensations or avoid even mild sensations.
Some of the characteristics of these children may be hyperactivity, poor
awareness of pain, high risk taking, listening to loud sounds, clumsiness, poor
fine motor skills, poor gross motor skills, poor visual tracking, problems with
sequencing, and problems with balance. Sensory integration dysfunction often is
related to children with developmental disabilities, autism, and attention
deficits. METHODS: Two children from the same family were examined for general
eye examinations because of a history of sensory integration problems. J.H., an
11-year-old girl, and her 6-year-old half-brother, A.T., returned to the clinic
for visual-perceptual testing: the Test of Variables of Attention (TOVA), the
Developmental Eye Movement Test (DEM), and the Visagraph (Compevo AB, Stockholm,
Sweden). The use of yoked prisms with these children was also explored. RESULTS:
Both children showed oculomotility problems based on the DEM and Visagraph
results. Whereas J.H. performed well on the visual-perceptual profile overall,
A.T. showed problems in many areas such as reversals, visual spatial relations,
visual sequential memory, visual form constancy, and attention. Both children
were low hyperopes and showed positive postural and balance changes when tested
with yoked prisms. CONCLUSIONS: Children with sensory integration dysfunction can
have a number of signs and symptoms that may bring them to the optometrist's
office. It is important to thoroughly test their visual, perceptual, and
oculomotor systems to determine the best way to help these patients. The use of
vision therapy and yoked prisms can be beneficial treatment options for many of
these patients.
PMID- 18054135
TI - Prescription and management of contact lenses in patients with monocular visual
impairment.
AB - PURPOSE: Contact lens correction for refractive error in patients with monocular
visual impairment may be desirable. However, the potential for damage to the
sound eye must always be considered in such patients. This report outlines the
prescription and management of contact lens wear in a monocular patient. The case
report is followed by a discussion of the risks of vision loss associated with
contact lens wear. CASE REPORT: The author conducted a clinical interview,
refraction, ocular examination, and contact lens evaluation of a 38-year-old
female patient who had undergone a lensectomy in her right eye and the
enucleation of her left eye. The patient presented wearing a conventional aphakic
hydrogel contact lens in her right eye. She routinely wore her contact lens for
up to 16 hours daily and occasionally slept while wearing it. Best-corrected
spectacle acuity was 20/40-2 with +13.75 diopter sphere in her right eye.
Clinical examination showed 3 to 4 mm of well-perfused neovascularization with
associated pannus in the superior quadrant of her cornea and 1 to 2 mm of
peripheral neovascularization in the remainder of the cornea. She was refit with
a 2-week replacement hydrogel contact lens that partially corrected her
refractive error, and she was encouraged to wear polycarbonate spectacles full
time for the remainder of her refractive correction and for protection of the
right eye. CONCLUSIONS: Monocularly impaired patients who choose to wear contact
lenses for the correction of refractive error should be aware of the risk of
potentially sight-threatening contact lens complications. The importance of
meticulous hygiene and appropriate contact lens wearing schedules should be
emphasized with monocular patients. They should also be encouraged to wear the
appropriate spectacle correction to reduce the risk of injury to their sound
eyes.
PMID- 18054136
TI - Detection of glaucoma-like optic discs in a diabetes teleretinal program.
AB - BACKGROUND: Glaucoma is typically an insidious-onset disease with serious visual
consequences that has been positively linked to diabetes mellitus (DM) in several
studies. We assessed the sensitivity and specificity of a diabetes teleretinal
program to identify the glaucoma-suspicious optic disc. METHODS: Outpatients with
DM (N = 1,644) presenting to Veterans Affairs ambulatory clinics participated in
a nonmydriatic digital retinal imaging (NMDRI) program. Technicians transmitted
digital retinal images electronically to readers for grading and eye care
recommendations. Patients were referred for ophthalmic care based on the level of
diabetic retinopathy and other ocular findings, including optic nerve changes
suspicious for glaucoma. We retrospectively reviewed the electronic medical
records of patients labeled as glaucoma suspects (N = 175) and compared them with
those of patients from the same imaging pool who were not regarded as glaucoma
suspects (N = 175). Ophthalmic data obtained from a comprehensive eye examination
after digital retinal imaging was used to determine which patients met predefined
criteria for the optic disc suggestive of glaucoma. RESULTS: Assessment of
clinical data obtained after NMDRI found that 103 of 175 (59%) glaucoma suspects
had glaucoma-suspicious optic discs. In the comparison group, only 7 of 175 (4%)
had glaucoma-suspicious optic discs. CONCLUSIONS: Although specificity was high
(96%), modifications in diabetes teleretinal imaging programs are needed to
improve the sensitivity of detecting the optic disc that is suspicious for
glaucoma.
PMID- 18054137
TI - Exam room, chair side evaluation of retinal edema: improving accuracy and
precision for identification of subclinical diabetic macular edema.
AB - BACKGROUND: Early detection of diabetic macular edema (DME) is important for
improving patient outcomes. Currently, the gold standards are slit lamp stereo
biomicroscopy examination and fluorescein angiography (FA). Detecting DME with a
slit lamp is subjective and can be difficult in the early stages of the disease.
FA is invasive and involves discomfort and risk to the patient. A new diagnostic
test, the Heidelberg Retina Tomograph (HRT) Retina Module (Heidelberg
Engineering, Heidelberg, Germany), is noninvasive, objective, and sensitive to
early changes in the retina. It is purported to locate and quantify retinal edema
such as DME, independent of retina thickening. Presented are a series of case
reports comparing retinal photography, FA, HRT, and ocular coherence tomography
(Stratus OCT; Carl Zeiss Meditec, Jena, Germany) results on patients with DME.
The purpose is to determine the clinical utility of the HRT for discerning DME
compared with clinical stereo biomicroscopy impression and FA. CASE REPORTS: In
this representative case series, the author's first stereo biomicroscopy
impression, macular photographs, retinal fluorescein angiographs, Stratus OCT
images, and HRT Retina Module images from 5 type 2 diabetic patients (3 insulin
and 2 non-insulin dependent) with retinopathy are presented. All patients are
men, with a mean age of 56.4 (range, 51 to 62). Subjects had diabetes mellitus
type 2 for an average of 14.4 years (range, 10 to 22) and were experiencing
fluctuations or loss in vision. In all cases, DME was clearly identifiable on FA
although sometimes questionable by stereo biomicroscopy. Nonstereo retinal photos
and OCT examinations were inconclusive or unremarkable in 4 of 5 cases. The HRT
Edema surrogate "e" index and map results showed areas of DME that were very
similar to those of the FA images. CONCLUSIONS: In this case series, the HRT
Retina Module provided useful clinical information on DME patients including the
quantification and extent of both subclinical and clinically significant DME.
Although more rigorous study is warranted, such immediate feedback from a
noninvasive, safe, diagnostic tool is invaluable in clinical practice,
particularly with the advent of prophylactic nutritional genistein-based
multivitamin supplements such as Bausch & Lomb Ocuvite DF (Rochester, New York).
PMID- 18054140
TI - The diagnostic workup of patients with neuropathic pain.
AB - Neuropathic pain is initiated or caused by damage or dysfunction of the
peripheral or central nervous systems in various disorders, each having pain
related symptoms and signs thought secondary to common pain mechanisms. Ancillary
testing may demonstrate associated nervous system abnormalities, however its
specificity is inadequate at present, as it makes inferential conclusions from
indirect data. Symptom assessment and physical findings remain paramount in the
diagnosis of neuropathic pain.
PMID- 18054141
TI - Behavioral medicine approaches to pain.
AB - Pain is a complex, idiosyncratic experience. When pain is the primary complaint
for seeking medical attention, understanding of multiple factors is essential in
guiding successful treatment. Behavioral medicine, a branch of psychology, has
been an integral part of interdisciplinary/multidisciplinay care of pain
patients. In this article, we provide an overview of behavioral medicine
approaches to pain, including assessment and commonly used therapeutic methods.
Particular attention is given to cognitive-behavioral therapy and motivational
enhancement therapy.
PMID- 18054142
TI - Physical medicine rehabilitation approach to pain.
AB - A physical medicine and rehabilitation approach to acute and chronic pain
syndromes includes a wide spectrum of treatment focus. Management includes an
assessment and treatment model based on a biopsychosocial approach. Assessment
includes a focus on pain behaviors, posture, muscle imbalances, and gait
impairments. Effective treatment programs rely on appropriate and realistic goal
setting. Treatment options may include physical therapy, polypharmacy, cognitive
behavioral therapy, and passive modalities. Treatment goals emphasize achieving
analgesia, improving psychosocial functioning, and reintegration of recreational
or leisure pursuits. More complicated multidimensional chronic pain conditions
may require a more collaborative continuum of multidisciplinary and
interdisciplinary treatment approaches. Progress in all therapies necessitates
close monitoring by the health care provider and ongoing communication between
members of the treatment team.
PMID- 18054143
TI - Nonopioid analgesics.
AB - Nonopioid analgesics represent a varied collection of analgesic agents, many of
which also possess antipyretic or anti-inflammatory actions. As a group,
nonopioid analgesics represent reasonable first-line analgesics for a variety of
mild to moderate painful conditions and also often may be useful in conjunction
with other analgesics (eg, opioids) for a myriad of severe painful conditions.
Clinicians treating pain should be familiar with the actions, adverse effects,
and individual agents in the group of nonopioid analgesics.
PMID- 18054144
TI - Adjuvant analgesics.
AB - Adjuvant analgesics represent a diverse group of drugs that were originally
developed for a primary indication other than pain. Many of these medications are
currently used to enhance analgesia under specific circumstances. The proper use
of adjuvant drugs is one of the keys to success in effective pain management.
Since adjuvant analgesics are typically administered to patients who take
multiple medications, decisions regarding administration and dosage must be made
with a clear understanding of the stage of the disease and the goals of care. The
article discusses major classes of adjuvant analgesics, with the focus on the
mechanism of action, clinical application, and risks and benefits associated with
each particular class of adjuvants.
PMID- 18054145
TI - Opioids for persistent noncancer pain.
AB - This article concentrates on recent evidence about opioid analgesics for
persistent noncancer pain. Evidence confirms that opioids are drugs with a
definite risk of adverse events. Therefore, before prescribing opioids, careful
consideration must be given to be certain that the intended benefit of a
particular opioid merits its use despite the potential side effects and to
determine if the co-prescription of other pharmacologic agents could reduce the
risk of adverse events. Strong opioids should be reserved for patients who fail
to respond to other lower-risk options and only after proper consideration is
given to the long-term consequences of strong opioid use. Problems associated
with opioids dictate that more efficacious and safer drugs need to be found.
PMID- 18054146
TI - Documentation and potential tools in long-term opioid therapy for pain.
AB - The field of pain medicine is experiencing increased pressure from regulatory
agencies and other sources regarding the continuation, or even initial use, of
opioids in pain patients. Therefore, it is essential that pain clinicians provide
rationale for engaging in this modality of treatment and provide ample
documentation in this regard. Thus, assessment and documentation are cornerstones
for both protecting your practice and obtaining optimal patient outcomes while on
opioid therapy. Several potential tools and documentation strategies are
discussed that will aid clinicians in providing evidence for the continuation of
this type of treatment for their patients.
PMID- 18054147
TI - Topical analgesics.
AB - Historically, analgesics were applied by the topical route of administration.
With the advent of oral formulations of drugs, topical application became less
popular among physicians, although patients still rated this method of drug
delivery as efficacious and practical. We now appreciate that peripheral
mechanisms of actions of a variety of preparations rationalizes their topical
application and gives further opportunity to target peripheral receptors and
neural pathways that previously required systemic administration to achieve
therapeutic effect. Therefore, a peripheral effect can be generated by using
locally applied drug and, consequently, systemic concentrations of that drug may
not reach the level at which systemic side effects can occur.
PMID- 18054148
TI - Myofascial trigger points.
AB - Painful conditions of the musculoskeletal system, including myofascial pain
syndrome, constitute some of the most important chronic problems encountered in a
clinical practice. A myofascial trigger point is a hyperirritable spot, usually
within a taut band of skeletal muscle, which is painful on compression and can
give rise to characteristic referred pain, motor dysfunction, and autonomic
phenomena. Trigger points may be relieved through noninvasive measures, such as
spray and stretch, transcutaneous electrical stimulation, physical therapy, and
massage. Invasive treatments for myofascial trigger points include injections
with local anesthetics, corticosteroids, or botulism toxin or dry needling. The
etiology, pathophysiology, and treatment of myofascial trigger points are
addressed in this article.
PMID- 18054149
TI - Intra-articular injections.
AB - Intra-articular injections are one method that physicians may use to treat joint
pain. This method offers direct access to the source of pain for the troubled
patient. Substances ranging from steroids to hyaluronic acid have been injected
successfully into the various joints of the body in an attempt to provide relief
for chronic joint pain. Anesthesiologists and orthopedic surgeons have begun to
use intra-articular injections of local anesthetics for postoperative analgesia.
The history, agents, and methods of intra-articular injections are reviewed.
PMID- 18054150
TI - Intrathecal analgesia.
AB - Since the first use of intrathecal (IT) drug infusion systems in the early 1980s,
these delivery systems have undergone numerous revisions making them more
tolerable, easier to program, and longer lasting. Concurrent with technological
advances, the indications for IT pump placement have also been continuously
evolving, to the point where the most common indication is now noncancer pain.
This article provides an evidence-based review of the indications, efficacy, and
complications of IT drug therapy for the most commonly administered spinal
analgesics.
PMID- 18054151
TI - Interventional approaches to pain management.
AB - This article reviews the evidence for several common interventional techniques
for the treatment of chronic pain, including: intraspinal delivery of analgesics,
reversible blockade with local anesthetics, augmentation with spinal cord
stimulation, and ablation with radiofrequency energy or neurolytic agents. The
role of these techniques is defined within the framework of a multidisciplinary
approach to the neurobehavioral syndrome of chronic pain. Challenges to the study
of the analgesic efficacy of procedural interventions are explored, as are the
practical issues raised by their clinical implementation, with the aim of helping
nonspecialist physicians identify the patients most likely to benefit from these
approaches.
PMID- 18054152
TI - Invasive and minimally invasive surgical techniques for back pain conditions.
AB - This article summarizes current issues related to invasive and minimally invasive
surgical techniques for back pain conditions. It describes pain generators and
explains theories about how discs fail. The article discusses techniques for
treating painful sciatica, painful motion segments, and spinal stenosis. Problems
related to current imaging are also presented. The article concludes with a
discussion about physical therapy.
PMID- 18054153
TI - Vertebroplasty and kyphoplasty.
AB - Vertebral compression fractures occur more frequently than hip and ankle
fractures combined. These fragility fractures frequently result in both acute and
chronic pain, but more importantly are a source of increased morbidity and
possibly mortality. Percutaneous veretebral augmentation offers a minimally
invasive approach for the treatment of vertebral compression fractures. The
history, technique, and results of vertebroplasty and kyphoplasty are reviewed.
Both methods allow for the introduction of bone cement into the fracture site
with clinical results indicating substantial pain relief in approximately 90% of
patients.
PMID- 18054154
TI - CXCL14 expression and potential function in pancreatic cancer.
AB - CXC chemokines influence angiogenesis, growth, and metastatic potential of
pancreatic cancer. Therefore, the expression and potential function of CXCL14, a
recently described CXC chemokine, was evaluated. CXCL14 is upregulated in
pancreatic cancer tissues compared to chronic pancreatitis and normal pancreas.
Immunolocalization revealed a distinct expression of CXCL14 in tubular complexes
in chronic pancreatitis and in particular at the invasive front of pancreatic
cancer tissues. Stimulation of pancreatic cancer cells with CXCL14 showed no
effects on cell viability and on chemosensitivity. However, CXCL14 clearly
increased invasiveness of pancreatic cancer cells without affecting MMP-2 and
VEGF secretion, whereas CXCL14 influenced NFkB p65 levels. In conclusion, CXCL14
might play a pivotal role in the pathobiology of pancreatic cancer, probably by
regulating cancer invasion.
PMID- 18054155
TI - Ceramide induces p38 MAPK-dependent apoptosis and Bax translocation via
inhibition of Akt in HL-60 cells.
AB - Ceramide induces apoptosis through caspase activation, cytochrome c release, and
Bax translocation in HL-60 cells. However, the upstream signal transduction
pathways that induce Bax translocation during ceramide-mediated apoptosis have
not been well defined yet. In this study, the activation of p38 mitogen-activated
protein kinase (MAPK) was found to be critical for the induction of apoptosis and
subcellular redistribution of Bax. Pharmacological inhibition of p38 MAPK with
SB203580 or expression of a dominant-negative p38 MAPK attenuated DNA
fragmentation, caspase-3 activation, and Bax translocation in response to
ceramide. Overexpression of Akt also led to suppression of Bax translocation to
mitochondria during ceramide-induced apoptosis in HL-60 cells. We also provide
evidence for cross-talk between p38 MAPK and Akt pathways. Expression of myr-Akt
or inhibition of phosphatidylinositol 3-kinase (PI3K) with LY294002 had no effect
on p38 MAPK activation by ceramide as assessed by phosphorylation, while
inhibition of p38 MAPK by a pharmacological inhibitor or a dominant-negative p38
inhibited Akt dephosphorylation in response to ceramide, suggesting that ceramide
induced p38 MAPK activation negatively regulates the Akt pathway.
PMID- 18054156
TI - Recovery of valuable elements from spent Li-batteries.
AB - This work examines two recycling processes for spent Li/MnO(2) and Li-ion
batteries. The anode, cathode and electrolyte (LiPF(6)) were submitted to one of
the following procedures: (a) calcination at 500 degrees C (5h) followed by
solvent extraction to recover lithium salts (fluoride, phosphate) in good yield
(90 wt%). The residual solid was treated with H(2)SO(4) containing H(2)O(2) and
on evaporation gave high purity grade cobalt or manganese sulfate; (b) fusion
with KHSO(4) (500 degrees C, 5h). The resulting aqueous solution was added
dropwise to a solution of NaOH, giving cobalt or manganese as impure precipitate.
Addition of KF precipitated high purity grade LiF in moderate yield (50 wt%). The
final aqueous solution on treatment with calcium sulfate precipitated the
corresponding phosphate and fluoride salts.
PMID- 18054157
TI - The influence of low atmospheric pressure on carbon monoxide of n-heptane pool
fires.
AB - Qualitative theoretical analysis about air pressure influence upon the gas
concentration of a fire plume was given, different scale n-heptane pool fires
were conducted in a small and a standard compartment room in Lhasa and Hefei,
respectively. The experimental results show that, in Lhasa, the average mass
burning rates in the small room and the standard room both decrease, burning time
increases at about 53% in small room and 45% in standard room more than in Hefei.
Whereas for maximum changes of CO concentration, in the small room, in Lhasa, CO
concentrations reach about twice bigger peak values at larger increase rates than
in Hefei. While in the standard room, in Lhasa and Hefei, there are no
significant changes for CO concentration, which agrees well with the theoretical
analysis results.
PMID- 18054158
TI - Effect of various chelating agents on supercritical carbon dioxide extraction of
indium(III) ions from acidic aqueous solution.
AB - Indium and its compounds have numerous industrial applications in the manufacture
of liquid crystal displays and semiconductors. Indium compounds are considered
hazardous materials that can be carcinogenic. Supercritical fluid extraction
using carbon dioxide was utilized in this research as a sample pretreatment step
for extraction of indium(III) ions from the synthetic etching wastewater of the
semiconductor and optoelectronic industries. Several parameters, including
various chelating agents, pH of solution, molar ratio of chelating agent to
indium(III) ions, temperature and pressure were systematically investigated.
Indium(III) ions were extracted by supercritical CO2 combined with several
various types of chelating agents including beta-diketone (AcAcH), fluorinated
beta-diketone (TTAH), thiopyridine (PySH), and piperidinyldithiocarbamic acid
(NCS2H) to extract the ions from acidic aqueous solution. The performance of the
various chelating agents from different studies indicated that the extraction
efficiency by the supercritical CO2 was in the order: NCS2H>or==PySH>TTAH>>AcAcH.
The optimum pH for supercritical CO2 extraction should fall in the range from 2.0
to 3.0. The optimum molar ratio of chelating agents to indium(III) ions was found
to be a ratio of 10:1. It was also revealed that the optimal extraction pressure
and temperature for the supercritical CO2 extraction of indium(III) with various
chelating agents AcAcH, PySH and NCS2H were 70 degrees C 2000 psi, 60 degrees C
2000 psi, and 60 degrees C 2000 psi, respectively.
PMID- 18054159
TI - EDTA impact on Cd2+ migration in apatite-water system.
AB - The impact factors on Cd sorption and desorption in aqueous solution on apatite
were studied. Batch experiments were carried out using synthetic hydroxyapatite
with Ca/P 1.44, 1.66 and 1.94 in Cd(NO3)2 and Cd(NO3)2-EDTA equimolar complex
solutions in the pH range from 4 to 7. It was established that Cd sorption on
apatite depends not only on apatite specific surface area but also on Ca/P mole
ratio in apatite as well as on the presence of chelating compounds. Presence of
EDTA in the solution decreases the amount of Cd bound. [CdEDTA]2- prevents
chemical sorption of Cd2+ ions on apatite. EDTA considerably decreases the
sorption capacity of apatite with Ca excess. Impact of EDTA is smaller for the
stoichiometric apatite and for the apatite with calcium deficiency. Cd bound due
to adsorption is more easily removed from apatite. Ca2+ ions increase and
presence of EDTA in a solution cause total Cd desorption from apatite.
PMID- 18054160
TI - Evaluation of gas-particle partition of dioxins in flue gas I: evaluation of
gasification behavior of polychlorinated dibenzo-p-dioxins and polychlorinated
dibenzofurans in fly ash by thermal treatment.
AB - The gasification behavior of polychlorinated dibenzo-p-dioxins (PCDDs) and
polychlorinated dibenzofurans (PCDFs) in fly ash by thermal treatment has been
investigated to estimate gas-particle partition in flue gas. The results obtained
in thermal experiments under various conditions showed that gasification of
PCDD/Fs depends on air flow rate and treatment weight of fly ash as well as
treatment temperature. On the other hand, the results obtained in the thermal
experiments using dioxin-free fly ash revealed that during thermal treatment, the
de novo synthesis, gasification, and decomposition of PCDFs proceeded at
different rates. This difference in the reaction rates indicates that thermal
treatment time is also a factor in determining the gas-particle partition of
PCDD/Fs in fly ash. Therefore, reasonable thermal treatment conditions were
established and applied to three ash samples. For all samples, PCDD/Fs started to
gasify at 350 degrees C treatment, whereas 53-98% of PCDD/F homologs gasified at
400 degrees C treatment, implying that gaseous PCDD/Fs are dominant in flue gas
at temperatures in the range 350-400 degrees C regardless of particle
concentration.
PMID- 18054161
TI - Suppressive effects of magnesium oxide materials on cadmium uptake and
accumulation into rice grains II: Suppression of cadmium uptake and accumulation
into rice grains due to application of magnesium oxide materials.
AB - The objective of this study is to assess the applicability of a commercial
magnesium oxide (MgO) and a composite material containing MgO and natural
minerals ('MgO-SH-A') as the soil amendments for suppression of cadmium (Cd)
uptake and accumulation into rice grains. A cultivation experiment of rice plants
(Oryza sativa L. cv. Kinuhikari) was conducted in an actual Cd-contaminated
alluvial paddy field to evaluate the effectiveness of these materials. The 'plant
available' fractions of Cd in the paddy soil significantly decreased by
application of commercial MgO at 2250 kg ha(-1) or MgO-SH-A at 4500 kg ha(-1).
These decreases would be primarily attributed to the increase in soil pH due to
applications of the MgO materials because these soil Cd fractions were
significantly negatively correlated with the soil pH. Even under a suppressive
condition for Cd uptake by rice plants, i.e., continuous flooding of the paddy
field around the heading stage, applications of these materials further reduced
Cd concentration in brown rice as compared to that from the control. It was
concluded that the two MgO materials examined would be effective in preventing Cd
contamination of rice grains grown in Cd-polluted paddy fields.
PMID- 18054162
TI - Catalytic oxidation of dichloromethane and toluene over platinum alumite
catalyst.
AB - Catalytic oxidation technology is one of the most promising technologies for the
reduction of volatile organic compound (VOC) emissions. It is very necessary to
study the catalytic oxidation of mixture of VOCs and volatile organic compounds
(CVOCs), because VOCs are always emitted accompanying with CVOCs. Hence, the
catalytic oxidation reaction of toluene and CH2Cl2 is explored on a platinum
alumite catalyst in this work. The results show that the addition of toluene has
no effect on the decomposition of CH2Cl2, although it can suppress CH3Cl
formation because the steam generated from the catalytic combustion of toluene
suppresses the formation of CH3Cl from CH2Cl2. High concentrations of CH2Cl2 have
a negative effect on the catalytic combustion of toluene.
PMID- 18054163
TI - Biological removal of cyanide compounds from electroplating wastewater (EPWW) by
sequencing batch reactor (SBR) system.
AB - Biological treatment system especially, sequencing batch reactor (SBR) system
could not be applied to treat the raw electroplating wastewater (EPWW) due to the
low organic matter concentration of 10+/-3 mg-BOD5/L and toxic of high cyanide
concentration of 23.0+/-2.2 mg-CN/L. However, EPWW could be used as the nitrogen
source for the bio-sludge of SBR system. And 10% of EPWW (the final cyanide
concentration of 2.3+/-0.2 mg/L) was most suitable to supplement into the
wastewater as the nitrogen source. SBR system showed the highest COD, BOD5, TKN
and cyanide removal efficiencies of 79+/-2%, 85+/-3%, 49.0+/-2.1% and 97.7+/
0.7%, respectively with 4-times diluted Thai-rice noodle wastewater (TRNWW)
containing 10% EPWW and 138 mg/L NH4Cl (BOD5: TN of 100:10) at SRT of 72+/-13
days (under organic and cyanide loadings of 0.40 kg-BOD5/m3 d and 0.0023 kg-CN/m3
d, respectively). However, the effluent ammonia was still high of 22.6+/-0.4 mg
N/L while the effluent nitrate and nitrite was only 9.9+/-0.4 and 1.2+/-0.9 mg
N/L, respectively. And SVI and effluent SS of the system were higher than 95 and
75 mg/L, respectively.
PMID- 18054164
TI - Biosorption of aluminum on Pseudomonas aeruginosa loaded on Chromosorb 106 prior
to its graphite furnace atomic absorption spectrometric determination.
AB - A biosorption procedure for separation-enrichment of aluminum in environmental
samples has been presented in this work. Pseudomonas aeruginosa loaded on
Chromosorb 106 has been used as biosorbent for that purpose. P. aeruginosa is a
gram-negative, aerobic rod. The influences of pH of the aqueous solution, eluent
type, eluent volume, sample volume, etc. were examined on the quantitative
recovery of aluminum in P. aeruginosa loaded on Chromosorb 106. The effects of
concomitant ions on the recoveries of aluminum were also investigated. The
detection limit based on 3 sigma for aluminum is 30 ng L(-1). Three certified
reference materials (LGC 6010 Hard Drinking Water, NIST-SRM 1568a Rice Flour and
NRCC-DORM-2 Dogfish Muscle) were analyzed for the validation of the presented
procedure. The proposed procedure was applied to the determination of aluminum in
environmental samples including natural water and food samples. The concentration
of aluminum in real samples was found at ppb level.
PMID- 18054165
TI - Studies on the runaway reaction of ABS polymerization process.
AB - Taiwan has the largest acrylonitrile-butadiene-styrene (ABS) copolymer production
in the world. Preventing on unexpected exothermic reactions and related emergency
relief hazard is essential in the safety control of ABS emulsion polymerization.
A VSP2 (Vent Sizing Package 2) apparatus is capable of studying both normal and
abnormal conditions (e.g., cooling failure, mischarge, etc.) of industrial
process. In this study, the scenarios were verified from the following abnormal
conditions: loss of cooling, double charge of initiator, overcharge of monomer,
without charge of solvent, and external fire. An external fire with constant
heating will promote higher self-heat rate and this is recommended as the worst
case scenario of emulsion polymerization on butadiene. Cooling failure coupled
with bulk system of reactant was determined to be the credible worst case in ABS
emulsion polymerization. Finally, the emergency vent sizing based on
thermokinetics from VSP associated with DIERS methodology were used for
evaluating the vent sizing and compared to that of the industrial plants.
PMID- 18054166
TI - Palladium-catalyzed hydrodehalogenation of 1,2,4,5-tetrachlorobenzene in water
ethanol mixtures.
AB - Palladium-catalyzed hydrodehalogenation (HDH) was applied for destroying 1,2,4,5
tetrachlorobenzene (TeCB) in mixtures of water and ethanol. This investigation
was performed as a critical step in the development of a new technology for clean
up of soil contaminated by halogenated hydrophobic organic contaminants. The main
goals of the investigation were to demonstrate the feasibility of the technology,
to determine the effect of the solvent composition (water:ethanol ratio), and to
develop a model for the kinetics of the dehalogenation process. All experiments
were conducted in a batch reactor at ambient temperature under mild hydrogen
pressure. The experimental results are all consistent with a Langmuir-Hinshelwood
model for heterogeneous catalysis. Major findings that can be interpreted within
the Langmuir-Hinshelwood framework include: (1) the rate of hydrodehalogenation
depends strongly on the solvent composition, increasing as the water fraction of
the solvent increases; (2) the HDH rate increases as the catalyst concentration
in the reactor increases; (3) when enough catalyst is present, the HDH reaction
appears to follow first-order kinetics, but the kinetics appear to be zero-order
at low catalyst concentrations. TeCB is converted rapidly and quantitatively to
benzene, with only trace concentrations of 1,2,4-trichlorobenzene appearing as a
reactive intermediate. The results obtained here have important implications for
the further development of the proposed soil remediation technology, and may also
be important for the treatment of other hazardous waste streams.
PMID- 18054167
TI - A novel "ghost"-free tomographic image reconstruction method applicable to rotary
shadowed replica specimens.
AB - Electron tomography by conventional filtered back-projection is often seriously
impaired by anisotropic resolution due to unavoidable limitation in specimen tilt
angles. We propose a new approach to overcome the problem for thin film-like
replica-type specimens in which internal density is supposed as homogenous and
contiguously distributed, by imposing a reasonable constraint of density-existing
region in the reconstruction procedure. The objects were approximated as a
distribution of binary voxels and the intensity of the projected images being
proportional to the thickness along the projection ray. The new reconstruction
algorithm consists of initial determination of approximate constraint region by a
topographic analysis by stereo-photogrammetry, followed by iterative computation
to find the unique solution of simultaneous equations, so that all the intensity
distribution in tilt-series images are included within pre-determined voxel
arrangement. During a trial run with a new methodology, we realized its
significantly advantageous feature that much less number of projection images
than conventional back-projection is required to perform the reconstruction of
almost equivalent quality. Here, we show the performance of this novel algorithm
by 3-D reconstruction of quick-freeze deep-etch replica specimens without any
trace of spurious ghosting caused by missing-wedge problems.
PMID- 18054168
TI - An electron microscope for the aberration-corrected era.
AB - Improved resolution made possible by aberration correction has greatly increased
the demands on the performance of all parts of high-end electron microscopes. In
order to meet these demands, we have designed and built an entirely new scanning
transmission electron microscope (STEM). The microscope includes a flexible
illumination system that allows the properties of its probe to be changed on-the
fly, a third-generation aberration corrector which corrects all geometric
aberrations up to fifth order, an ultra-responsive yet stable five-axis sample
stage, and a flexible configuration of optimized detectors. The microscope
features many innovations, such as a modular column assembled from building
blocks that can be stacked in almost any order, in situ storage and cleaning
facilities for up to five samples, computer-controlled loading of samples into
the column, and self-diagnosing electronics. The microscope construction is
described, and examples of its capabilities are shown.
PMID- 18054169
TI - Progress and perspectives for atomic-resolution electron microscopy.
AB - The transmission electron microscope (TEM) has evolved into a highly
sophisticated instrument that is ideally suited to the characterization of
advanced materials. Atomic-level information is routinely accessible using both
fixed-beam and scanning TEMs. This report briefly considers developments in the
field of atomic-resolution electron microscopy. Recent activities include renewed
attention to on-line microscope control ('autotuning'), and assessment and
correction of aberrations. Aberration-corrected electron microscopy has developed
rapidly in several forms although more work needs to be done to identify standard
imaging conditions and to explore novel operating modes. Preparation of samples
and image interpretation have also become more demanding. Ongoing problems
include discrepancies between measured and simulated image contrast, concerns
about radiation damage, and inversion of electron scattering.
PMID- 18054170
TI - Seeing atoms with aberration-corrected sub-Angstrom electron microscopy.
AB - High-resolution electron microscopy is able to provide atomic-level
characterization of many materials in low-index orientations. To achieve the same
level of characterization in more complex orientations requires that instrumental
resolution be improved to values corresponding to the sub-Angstrom separations of
atom positions projected into these orientations. Sub-Angstrom resolution in the
high-resolution transmission electron microscope has been achieved in the last
few years by software aberration correction, electron holography, and hardware
aberration correction; the so-called "one-Angstrom barrier" has been left behind.
Aberration correction of the objective lens currently allows atomic-resolution
imaging at the sub-0.8A level and is advancing towards resolutions in the deep
sub-Angstrom range (near 0.5A). At current resolution levels, images with sub
Rayleigh resolution require calibration in order to pinpoint atom positions
correctly. As resolution levels approach the "sizes" of atoms, the atoms
themselves will produce a limit to resolution, no matter how much the
instrumental resolution is improved. By arranging imaging conditions suitably,
each atom peak in the image can be narrower, so atoms are imaged smaller and may
be resolved at finer separations.
PMID- 18054171
TI - Biotransformation of flubendazole and selected model xenobiotics in Haemonchus
contortus.
AB - Haemonchus contortus is one of the most pathogenic parasites of small ruminants
(e.g., sheep and goat). The treatment of haemonchosis is complicated because of
frequent resistance of H. contortus to common anthelmintics. The development of
resistance can be facilitated by the action of drug metabolizing enzymes of
parasites that can deactivate anthelmintics and thus protect parasites against
the toxic effect of the drug. The aim of this project was to investigate the
Phase I biotransformation of benzimidazole anthelmintic flubendazole in H.
contortus and to determine the biotransformation of other model xenobiotics. For
this purpose, in vitro (subcellular fractions of H. contortus homogenate) as well
as ex vivo (live nematodes cultivated in flasks with medium) experiments were
used. The results showed that cytosolic NADPH-dependent enzymes of H. contortus
metabolize flubendazole via reduction of its carbonyl group. The apparent kinetic
parameters of this reaction were determined (V'max=39.8+/-2.1 nM min(-1),
K'm=1.5+/-0.3 microM). The reduction of flubendazole in H. contortus is
stereospecific, the ratio of (-):(+) enantiomers of reduced flubendazole formed
was 90:10. Reduced flubendazole was the only Phase I metabolite found. Effective
reduction of other xenobiotics with carbonyl group (metyrapon, daunorubicin, and
oracin) was also found. Significant activity of carbonyl-reducing enzymes may be
important for H. contortus to survive the attacks of anthelmintics or other
xenobiotics with carbonyl group.
PMID- 18054172
TI - First aid and initial management for childhood burns in Vietnam--an appeal for
public and continuing medical education.
AB - A prospective study to investigate first aid and initial management for 247
paediatric burn patients from 1 June, 2004 to 31 June, 2006 at the Burn Intensive
Care Unit, National Institute of Burns (NIB), Hanoi. Data were collected from
documents from referring hospitals and direct interview of patients, relative and
transport team as guided by the International Society for Burn Injury (ISBI) and
the World Health Organization (WHO). Results showed that cooling the burn surface
by cold water was applied in 27.17%. Among 132 patients transferred from other
hospitals, fluid resuscitation was given in 102 patients (77.28%) before
transferring and over a half of these patients were not given intravenous fluid
during the time of transfer, especially for children under 1 year of age
(p<0.05). Dressings were applied in 36.36% of transferred patients. Burn surface
area was accurately diagnosed in only 21.90% of total cases. In conclusion, first
aid and initial management in Vietnam are still far from ideal. Further public
education and continuing medical education should be applied in Vietnam.
PMID- 18054173
TI - Three-dimensional probabilistic maps of the occipital sulci of the human brain in
standardized stereotaxic space.
AB - Developments in functional neuroimaging in normal human subjects, such as
functional magnetic resonance imaging (fMRI), have permitted the mapping of
several visual areas of the human brain and have already provided provisional
identification of some of the visual areas that were first described in nonhuman
primates. However, the lack of a detailed description of the sulcal patterns of
the human occipital lobe makes it difficult to establish clear relationships
between sulcal landmarks and identified visual areas with functional
neuroimaging. In the present study we used magnetic resonance images to
investigate the morphological variation of the human occipital sulci in both the
left and right hemispheres of 40 normal adult human brains. We identified 11
occipital sulci, the parieto-occipital fissure and the temporo-occipital
incisure, and their corresponding gray matter voxels were marked in the magnetic
resonance volumes which had been transformed into the Montreal Neurological
Institute standard proportional stereotaxic space. Probability maps were then
constructed for each occipital sulcus. These probability maps provide a
quantitative measure of the variability of the occipital sulci in standard
stereotaxic space and are a useful tool to identify the location of voxels of
other magnetic resonance imaging images transformed in the same stereotaxic
space.
PMID- 18054174
TI - Metacognitive beliefs about alcohol use: development and validation of two self
report scales.
AB - The goal of this research was to develop clinical assessment tools of positive
and negative metacognitive beliefs about alcohol use. In Study 1 we constructed
two scales and conducted preliminary factor analyses. Studies 2 and 3
investigated the predictive validity and temporal stability of the scales. Study
4 examined the factor structure, predictive validity and classification accuracy
of the scales in a clinical sample. The Positive Alcohol Metacognitions Scale
(PAMS) and the Negative Alcohol Metacognitions Scale (NAMS) were shown to possess
good psychometric properties, as well as predictive validity and classification
accuracy, in both clinical and community populations. The scales may aid future
research into problem drinking and facilitate clinical assessment and case
formulation.
PMID- 18054175
TI - [Latest developments: management and treatment of preeclampsia].
AB - Preeclampsia is defined as the association of pregnancy-induced hypertension and
proteinuria of 300 mg/24h or more after 20 weeks gestation. It complicates 0.5 to
7% of pregnancies. It is a severe complication of pregnancy, which leads to
persisting fetal morbidity and mortality. It is also responsible for maternal
morbidity as placental abruption, HELLP syndrome (hemolysis, elevated liver
enzymes, low platelets) and eclampsia. Without treatment, maternal risks are
high. Once the disease is confirmed, the treatment consists of ending the
pregnancy. Corticosteroids for lung maturity have to be prioritized depending on
the term. Antihypertensive drugs are used to limit maternal complications, in
particular, in neurological form. Calcium pump inhibitors are increasingly used
as a first line choice. Magnesium sulfate, which is probably not used enough in
France, needs to be administered with care and strict monitoring. It can be used
to prevent a recurrence of eclamptic fits or in the context of early severe
preeclampsia with neurological irritability where an eclamptic fit seems
imminent. Preventive treatment of preeclampsia consists essentially of low dose
aspirin. The efficacy of this treatment is real but moderate. It decreases the
risk of recurrence of preeclampsia by 10 to 15%, of prematurity by 8% and of
perinatal mortality by 14%. These figures were recently corrected to 10% for the
risk of recurrence of preeclampsia: RR=0.95; 90% CI; (0.84-0.97) and prematurity:
RR=0.95; 90%CI; (0.83-0.98). It seems that it has no significant effect on intra
uterine growth restriction (IUGR) and perinatal death prevention. For the main
outcome of preeclampsia, there was no evidence that women in any of subgroups as
preexisting renal disease, preexisting diabetes or hypertension benefited more or
less from the use of antiplatelet agents than those in any other subgroup.
PMID- 18054176
TI - Gene tagging and gene replacement using recombinase-mediated cassette exchange in
Schizosaccharomyces pombe.
AB - Cre/lox site-specific recombination systems provide important tools for genetic
manipulation. Here we present an efficient method for gene tagging and gene
replacement using Cre recombinase-mediated cassette exchange (RMCE). The cassette
consists of the S. pombe ura4(+) selectable marker flanked by a wild-type loxP
site at one end and by a modified heterospecific lox site (loxM3) at the other.
The cassette is stable because the flanking lox sites cannot recombine with each
other. Following integration of the cassette at the chosen chromosomal locus,
exchange is achieved by introducing a Cre-expression plasmid containing an
equivalent cassette containing the required tag or gene sequence. Recombinants
are selected by uracil prototrophy using the reagent 5-fluoroorotic acid (5-FOA).
The cassette exchange system provides for repetitive integrations at the same
locus, allowing different protein tags or gene sequences to be integrated quickly
and efficiently. We have established a range of reagents and verified utility by
C-terminally tagging the S. pombe rad4 and swi1 genes with yEGFP and the yEGFP
derivatives yECFP and yECitrine and by transferring the coding sequence for both
genes.
PMID- 18054177
TI - Increasing genomic information in bivalves through new EST collections in four
species: development of new genetic markers for environmental studies and genome
evolution.
AB - The generation of EST information is an essential step in the genomic
characterisation of species. In the context of the European Network Marine
Genomics, a common goal was to significantly increase the amount of ESTs in
commercial marine mollusk species and more specifically in the less studied but
ecologically and commercially important groups, such as mussel and clam genera.
Normalized cDNA libraries were constructed for four different relevant bivalves
species (Crassostrea gigas, Mytilus edulis, Ruditapes decussatus and
Bathymodiolus azoricus), using numerous tissues and physiological conditions. In
this paper, we present the analysis of the 13,013 expressed sequence tags (ESTs)
generated. Each EST library was independently assembled and 1300-3000 unique
sequences were identified in each species. For the different species, functional
categories could be assigned to only about 16 to 27% of ESTs using the GO
annotation tool. All sequences have been incorporated into a publicly available
database and form the basis for subsequent microarray design, SNP detection and
polymorphism analysis, and the placement of novel markers on genetic linkage
maps.
PMID- 18054178
TI - Performance of a whole blood interferon-gamma assay for detection and eradication
of caseous lymphadenitis in sheep.
AB - Caseous lymphadenitis (CLA), a chronic bacterial disease of sheep and goats
caused by Corynebacterium pseudotuberculosis, could be controlled by eradication
of infected carriers. This study aimed at validation of a whole blood interferon
gamma (IFN-gamma) enzyme immunoassay (EIA) (Bovigam, Pfizer) in naturally
infected sheep for use in eradication of infection from a flock. This assay used
formalin-inactivated whole bacterial cells as antigen. The sensitivity of the
whole cell assay was improved by increasing both the volume of blood and the
number of bacterial cells. The assay was validated in experimentally infected
sheep and in a flock of known-negative sheep, as well as in a naturally infected
flock, a proportion of which was vaccinated with a commercial CLA vaccine. An
optical density (540nm) (OD) cut-off of 0.09 was effective in classifying animals
as test positive or negative in the naturally infected flock, although there was
variation in OD between visits, notably with weakly reacting animals. The test
had a sensitivity of 91% and a specificity of 98%. Postmortem data supported the
results in test-negative animals. Visit-to-visit variation in IFN-gamma EIA OD in
the naturally infected flock as well as CLA disease status was used to develop an
algorithm for the eradication of CLA from a known infected flock. The whole blood
IFN-gamma assay shows promise for eradication of caseous lymphadenitis from sheep
flocks.
PMID- 18054179
TI - Antimicrobial resistance in Salmonella enterica serovar Typhimurium from human
and animal sources in Italy.
AB - Salmonella Typhimurium strains isolated in Italy in the period 2002-2004 from
human and animal sources were examined for their antimicrobial susceptibility.
Resistance to tetracycline (T, 73.6%), sulfonamides (Su, 73.3%), ampicillin (A,
67.6%), streptomycin (S, 65.4%) and chloramphenicol (C, 32.3%) were frequently
observed. Resistance to ciprofloxacin was only observed in a swine strain, but
most human strains resistant to nalidixic acid showed reduced susceptibility to
that drug (MIC > or = 0.125 mg/l). Overall, 64% of the strains were resistant to
four or more drugs. The most common resistance profiles were ACSSuT, prevalent in
strains belonging phage type DT104 and ASSuT, prevalently associated with strains
unable to be typed.
PMID- 18054180
TI - Alteration of saliva and serum concentrations of manganese, copper, zinc, cadmium
and lead among career welders.
AB - Human saliva offers a unique noninvasive approach for populational study.
Purposes of this study were to investigate the feasibility of using saliva
manganese (Mn) concentration as a biomarker of Mn exposure among career welders
and to study the variations of Mn, copper (Cu), zinc (Zn), cadmium (Cd), and lead
(Pb) in saliva as affected by the welding profession. Forty-nine male welders, of
whom 28 were in the low exposed group and 21 in the high exposed group, were
recruited. Control subjects were 33 military soldiers without metal exposure.
Ambient Mn levels in breathing zones were 0.01, 0.24 and 2.21mg/m(3) for control,
low, and high exposed groups, respectively. Saliva samples were collected to
quantify metals by inductive coupled plasma mass spectrometer (ICP-MS). Saliva
concentrations of Mn and Cu were significantly higher in welders than in controls
(p<0.01); the variation in saliva levels appeared likely to be associated with
airborne Mn levels among study populations. Saliva levels of Zn were
significantly lower in welders than in controls (p<0.05), while Cd and Pb levels
in saliva were unchanged. Significant associations were observed between saliva
and serum for Mn (r=0.575, p<0.05) and Cu (r=0.50, p<0.05). Moreover, saliva Mn
concentrations were higher among welders with 5-10years of employment than those
with less than 5years of employment. Linear regression analysis revealed a
significant correlation between saliva Mn and Cu and between saliva Mn and Zn.
Taken together, these data suggest that Mn concentrations in saliva appear
reflective of welders' exposure to airborne Mn and their years of welding
experience, respectively. Elevated Mn levels among welders may alter the
homeostasis of Cu and Zn.
PMID- 18054181
TI - Method for screening of solid dispersion formulations of low-solubility compounds
-miniaturization and automation of solvent casting and dissolution testing.
AB - An efficient method has been developed for screening solid dispersion
formulations that are intended to enhance the dissolution of poorly soluble
compounds. The method is based on miniaturization and automation of sample
preparation by solvent casting, and dissolution testing, in a 96-well plate
format, using less than 0.1mg of compound per well. To illustrate the method, six
polymers and eight surfactants were screened, individually and in combination,
for their ability to dissolve a compound with aqueous solubility of < 1 microg/ml
in simulated intestinal fluid. Screening was performed at an excipient/compound
ratio of 10:1, and a polymer/surfactant ratio of 3:1 for ternary formulations.
Sixteen of the 48 ternary formulations dissolved the compound to a level > 100
microg/ml, i.e. at least a 100-fold increase over the aqueous solubility. A
number of synergies were observed wherein the performance of a ternary
formulation greatly exceeded that of either of the corresponding binary
formulations. Thirteen 'hits' from screening were scaled up with melt methods,
and approximately 2/3 of these showed comparable dissolution enhancement when
tested at larger scale. Five of these were administered to rats, and the absolute
oral bioavailability ranged from 10 to 23%, versus less than 1% for the
unformulated compound.
PMID- 18054182
TI - Injectable actarit-loaded solid lipid nanoparticles as passive targeting
therapeutic agents for rheumatoid arthritis.
AB - This work systematically studied the intravenous injection formulation of solid
lipid nanoparticles (SLNs) loaded with actarit, a poor water soluble anti
rheumatic drug. The goal of this study was to design passive targeting
nanoparticles which could improve therapeutic efficacy and reduce side-effects
such as nephrotoxicity and gastrointestinal disorders commonly associated with
oral formulations of actarit. Based on the optimized results of single-factor and
orthogonal design, actarit-loaded SLNs were prepared by a modified solvent
diffusion-evaporation method. The formulated SLNs were found to be relatively
uniform in size (241+/-23 nm) with a negative zeta potential (-17.14+/-1.6 mV).
The average drug entrapment efficiency and loading were (50.87+/-0.25)% and
(8.48+/-0.14)%, respectively. The actarit-loaded SLNs exhibited a longer mean
retention time in vivo (t(1/2(beta)), 9.373 h; MRT, 13.53 h) compared with the
actarit 50% propylene glycol solution (t(1/2(ke)), 0.917 h; MRT, 1.323 h) after
intravenous injection to New Zealand rabbits. The area under curve of plasma
concentration-time (AUC) of actarit-loaded SLNs was 1.88 times greater than that
of the actarit in 50% propylene glycol solution. The overall targeting efficiency
(TE(C)) of the actarit-loaded SLNs was enhanced from 6.31% to 16.29% in spleen
while the renal distribution of actarit was significantly reduced as compared to
that of the actarit solution after intravenous administration to mice. These
results indicated that injectable actarit-loaded solid lipid nanoparticles were
promising passive targeting therapeutic agents for rheumatoid arthritis.
PMID- 18054183
TI - Screening of biochemical modulator by tumor cell permeability of doxorubicin.
AB - We screened various food components for their ability to inhibit doxorubicin
(DOX) permeability in tumor cells in vitro with the aim of finding novel
modulators. Capsaicin did not change DOX permeability in the tumor cells,
although the capsaicin derivatives gingerol and ferulic acid tended to promote
DOX efflux. Combinations of these components with DOX were also not effective. In
contrast, cucurbitacin E significantly promoted DOX influx into tumor cells and
increased DOX concentration in tumor cells. Furthermore, combined cucurbitacin E
significantly suppressed DOX efflux from tumor cells and was shown to maintain
the DOX level in tumor cells. It was also confirmed that the combination of
cucurbitacin E with DOX resulted in effective cytotoxicity for tumor cells in
culture. Additionally, the combination of cucurbitacin E and DOX showed increased
cytotoxicity when compared to each treatment alone. In vivo, DOX alone treatment
did not change the time course of tumor size or tumor weight of M5076 ovarian
sarcoma, compared to control levels. In contrast, the combination of cucurbitacin
E with DOX resulted in decreased tumor size and tumor weight, compared to that in
DOX alone group, indicating effective antitumor activity. In conclusion, the
combination of cucurbitacin E with DOX may be an effective tool with treated
application in the cancer chemotherapy.
PMID- 18054184
TI - Evaluation of poly(styrene-alt-maleic anhydride)-ethanol as enteric coating
material.
AB - This study aims at evaluating the potential of SMA-ethanol as enteric coating
polymer for erythromycin tablets. SMA-ethanol was synthesized and characterized
for physicochemical properties, molecular weight and thermal analysis. Free films
were prepared by adding different kinds and amounts of plasticizers, the film
surface topography was determined by a SEM, the tensile strength, water vapor
transmission rate and moisture absorption were also tested to choose the most
promising film. DBP was proved to be the most suitable plasticizer with a best
using amount of 20%, such polymer films had low vapor transmission rate and low
moisture absorption which were very important to an enteric coating material. The
polymer was further characterized for film coating by evaluating the release of
erythromycin tablets in vitro, tablets coated with SMA-ethanol can satisfy the
drug release requests of USP when the film weight gains were between 4 and 6%;
tablets coated with both a subcoat and the polymer showed excellent gastro
resistance, less than 0.2% drug release occurred even with weight gains as less
as 2% after 2h exposure to acid (pH 1), while over 90% drug release occurred in
pH 6.8 sodium phosphate buffer within 45 min, regardless of weight gains of
coating material, moreover, we confirmed that the application of a subcoat could
decrease the amount of required coating polymer. In conclusion, the potential use
of SMA-ethanol as enteric coating material was demonstrated.
PMID- 18054185
TI - Pingyangmycin loaded bovine serum albumin microspheres for chemoembolization
therapy--in vitro and in vivo studies.
AB - Chemoembolization based on microspheres have been emerged as a novel and
promising way for interventional therapy, however, the exact effect and probable
mechanism have not been revealed. The purpose of our study was to evaluate the
potential of Pingyangmycin loaded bovine serum albumin microspheres (PYM-BSA-MSs)
for chemoembolization therapy both in vitro and in vivo. The effect of PYM-BSA
MSs on cell growth curves and changes of cell morphology and activities measured
by MTT assay were carried out in human umbilical vein endothelial ECV-304 cells.
The in vivo occlusion effect was evaluated in 24 healthy rabbits. Macroscopic
examinations and Hematoxylin-Eosin (H-E) staining of cross-section of rabbits'
central auricular arteries were employed to observe the apparent and histological
changes of arterioles. The results show that the PYM-BSA-MSs could inhibit the
proliferation and induce the apoptosis of ECV-304 cells in a time-dependent
manner. In vivo studies demonstrated that 21 days after artery embolization with
the PYM-BSA-MSs, neointimal thickening of arterioles and significant hyperplasia
of endothelial cells could be detected, but without completely interruption of
blood flow. Compared with plain PYM aqueous solution or BSA-MSs oily suspension,
PYM-BSA-MSs showed excellent potential as an alternative to interventional
embolization materials.
PMID- 18054186
TI - Evaluation of the analgesic effect of alkaloid extract of Peganum harmala L.:
possible mechanisms involved.
AB - The seeds of Peganum harmala L. (Pgh) (Zygophyllaceae) have been used in Moroccan
traditional medicine for treatment of a various diseases and to relieve dolorous
process. The major objective of this paper was to investigate the mechanism of
the analgesia induced by alkaloid extract of Peganum harmala. In the present
work, the antinociceptive action was assayed in several experimental models in
mice: writhing, formalin, and hot plate tests. The alkaloid extract (12.5 and
25mg/kg) and in a dose-dependent manner significantly reduced the nociception by
acetic acid intraperitoneal injection (p<0.001). In the formalin test, the
extract also significantly reduced the painful stimulus in both phases of the
test (p<0.001). Treatment with the extract when given by (i.p. or i.c.v.) or with
morphine (10mg/kg, i.p.) produced a significant increase of the reaction time in
hot plate test. These result showed that the alkaloid extract of Pgh contains
active analgesic principles acting both centrally and peripherally. Furthermore,
this antinociceptive effect has been avoided by naloxone at a dose of 1mg/kg in
the first phase of formalin and hot plate tests indicating that this extract act
partly through an opioid-mediated mechanism. In conclusion, the alkaloid extract
of Peganum harmala seems to have both central and peripheral antinociceptive
activities which may be mediated by opioid receptors.
PMID- 18054187
TI - [The participation of primary care physicians in integrated health services
network: United States experiences].
AB - BACKGROUND: Reforms of care and services have affected primary care physicians,
but very little attention has been devoted to their actual participation in
Integrated Health Services Network (IHSN). METHODS: From a literature review of
articles published from January 1985 to December 2006, we selected 24 studies on
physicians' participation in IHSN and their perceptions on practices. RESULTS:
This literature review suggests that physicians' perceptions of IHSN are linked
to their actual level of participation. Physicians who participated fully
perceived improvements in all practice dimensions. Physicians who participated
partially were dissatisfied with physician-patient relationships, perceived a
loss of professional autonomy and increased gate-keeping constraints. They had
however a positive perception of the overall quality of care. When physicians
received capitation payments, they were overall dissatisfied. CONCLUSIONS: In
order to improve primary care physicians' participation in IHSN, quality of care
should be reinforced, capitation payment avoided and gate-keeping should be
transformed into coordination of care.
PMID- 18054188
TI - [A case of Nasal septum abscess].
AB - INTRODUCTION: Abscess of the nasal septum is a rare and serious infection,
because of the complications which it can generate. The authors report a new case
of nasal septum abscess probably of dental origin. OBSERVATION: A 47-year-old man
consulted for bilateral nasal obstruction and rhinorrhea for 3 days with fever.
The clinical examination as well as TDM imagery revealed a septal abscess. The
patient underwent surgical drainage associated to triple antibiotherapy, with a
good evolution at the end of 10 days. The etiologic assessment suggested dental
infection. CONCLUSION: This is a rare and serious infection of the nasal septum.
This new case of rare abscess of the nasal septum gives us the opportunity to
describe out the various etiologies, their management, and to insist on the
preventive treatment of this infection.
PMID- 18054189
TI - [Cardiotoxicity of n-methyl-glucamine antimoniate (Glucantime). A case report].
AB - The pentavalent antimonial meglumine (Glucantime) is the drug of choice in
treatment of cutaneous leishmaniasis in Tunisia. It may create severe adverse
effects. A ten year-old girl was treated by Glucantime for cutaneous
leishmaniasis. On the eighth day of treatment, she developed palpitations and
precordialgia. The ECG showed T wave inversion prolongation of corrected QT
interval. Drug therapy was stopped. Within a few days, she recovered and her
elctrocardiographic changes came back to normal. The cardio toxicity of
Glucantime may be severe. Electrocardiographic changes are the primary signs.
Long term ECG follow-up is necessary.
PMID- 18054190
TI - Clinical, immunological, anti-inflammatory and antioxidant roles of zinc.
AB - The essentiality of zinc for humans was recognized only 40 years ago. Zinc
deficiency was suspected to occur in Iranian patients with growth retardation,
hypogonadism in males, hepato-splenomegaly, rough and dry skin, geophagia and
severe iron deficiency anemia. Later we documented zinc deficiency in similar
patients in Egypt. The diet of these patients consisted of mainly cereal proteins
which contained high phytate and this led to decreased availability of iron and
zinc. These patients had severe immune dysfunctions, inasmuch as they died of
intercurrent infections by the time they were 25 years of age. In our studies in
experimental human model of zinc deficiency, we documented decreased serum
testosterone level, oligospermia, severe immune dysfunctions mainly affecting T
helper cells, decreased serum thymulin activity hyperammonemia, neuro-sensory
disorders and decreased lean body mass. The basic mechanisms of zinc action on
immune cells have been reviewed in this paper. Our studies showed that the
activation of many zinc dependent enzymes and transcription factors were affected
adversely due to zinc deficiency. The gene expression and production of Th1
cytokines were affected adversely due to zinc deficiency. Zinc is also an
antioxidant and has anti-inflammatory actions. We have reported decreased plasma
zinc, increased plasma oxidative stress markers and increased generation of
inflammatory cytokines in the elderly subjects which were corrected by zinc
supplementation. In cell culture studies, we have observed that zinc induces A20
which inhibits NF-kappaB activation resulting in decreased generation of
inflammatory cytokines.
PMID- 18054191
TI - Does age influence loss of heterozygosity?
AB - The striking correlation between advanced age and an increased incidence of
cancer has led investigators to examine the influence of aging on genome
maintenance. Because loss of heterozygosity (LOH) can lead to the inactivation of
tumor suppressor genes, and thus carcinogenesis, understanding the affect of
aging on this type of mutation event is particularly important. Several factors
may affect the rate of LOH, including an increase in the amount of DNA damage,
specifically double-strand breaks (DSBs), and the ability to efficiently repair
this damage via pathways that minimize the loss of genetic information. Because
of experimental constraints, there is only suggestive evidence for a change in
the rate of DNA damage as humans age. However, recent studies in model organisms
find that there are increased rates of LOH with age, and that repair of DNA
damage occurs via a different pathway in old cells versus young cells. We
speculate that the age-dependent change in DNA repair may explain why there is
increased LOH, and that the findings from these model organisms may extend to
humans.
PMID- 18054192
TI - Zinc supplementation in the elderly subjects: effect on oxidized protein
degradation and repair systems in peripheral blood lymphocytes.
AB - Aging has been associated with zinc deficiency, leading to chronic inflammation
and subsequent oxidative stress, especially in the immune system. The increased
oxidative stress provokes the accumulation of oxidized proteins, raising the
problem of the efficacy of intracellular protein maintenance systems responsible
for the elimination of oxidatively modified proteins. Our objective was to
analyse the effect of zinc supplementation in the elderly on protein maintenance
in peripheral blood lymphocytes. The status of the proteasome, which is in charge
of oxidized protein degradation and the repair enzymes peptide methionine
sulfoxide reductases, which can reverse methionine oxidation in proteins, were
analysed on peripheral blood lymphocytes collected from 20 elderly subjects (age
range between 59 and 85 years old) before and after zinc supplementation (10mg of
zinc per day for 48+/-2 days). A decrease of oxidized protein content in zinc
supplemented subjects was observed and was associated with an increase of
expression levels and/or activities of proteasome and methionine sulfoxide
reductases. Our results indicate that zinc treatment could enhance the anti
oxidative defences of peripheral blood lymphocytes by increasing the activities
of protein maintenance systems responsible for the elimination of oxidatively
modified proteins.
PMID- 18054193
TI - Evolving insight into the role of mitochondrial DNA mutations in aging.
AB - Mitochondria have occupied a central place in theories on the underlying cellular
mechanisms of eukaryotic aging for several decades and much debate has ensued
regarding the role of oxidative stress and mitochondrial genomic damage in these
processes. Mouse models with greatly enhanced mitochondrial mutagenesis have
produced dramatic aging-like phenotypes but recent results have led some to
reassess whether such models are relevant to naturally occurring aging
mechanisms. Here, we discuss the evolving insight that may be gained from these
models regarding the contribution of mitochondrial DNA mutations to aging.
PMID- 18054194
TI - Detection of counterfeit Viagra with Raman spectroscopy.
AB - During the last few years, counterfeiters have become increasingly sophisticated
by falsifying drugs and making them look identical to genuine tablets. In this
paper, Raman spectroscopy is proposed as a fast and reliable method for the
detection of counterfeit Viagra tablets. This technique can easily differentiate
genuine from counterfeit tablets without the need of sample preparation. In total
18 tablets were analysed which all contained the active ingredient sildenafil,
but different excipients were used, as could be observed in the Raman spectra
between 1150 and 700 cm(-1). So, the spectra could be divided into genuine or
counterfeit. Additionally, principal component analysis (PCA), combined with
hierarchical cluster analysis (HCA), was used to establish an automated approach
for the discrimination of counterfeit from genuine Viagra tablets. Raman
spectroscopy, combined with principal components analysis, could be used in the
future by customs or in the field to identify counterfeit tablets on the spot
without involvement of trained chemists.
PMID- 18054195
TI - Analysis of CoQ10 in rat serum by ultra-performance liquid chromatography mass
spectrometry after oral administration.
AB - A UPLC-MS method for determining Coenzyme Q(10) (CoQ(10)) levels in rat serum was
developed. CoQ(10) was quantitatively extracted into 2-propanol using a fast
extraction procedure. The separation of CoQ(10) was performed on a Waters Acquity
UPLCtrade mark BEH C(18) column (1.7 microm, 1.0 mm x 50 mm) with the mobile
phase containing acetonitrile, 2-propanol, and formic acid (90:10:0.1) over 5
min. The sensitivity of this method allows for the quantitation of 50 ng/mL
CoQ(10) in serum (S/N=10). The linearity of this method was found to be from 50
to 20,000 ng/mL. The precision was less than 10% (intra- and inter-day), and the
average extraction recovery was between 90 and 105%. This procedure provides a
precise, sensitive and direct assay method for the determination of CoQ(10) in
rat serum after oral administration. This method could be applied to further
pharmacokinetic studies of CoQ(10).
PMID- 18054196
TI - Insulin regulates the expression of adiponectin and adiponectin receptors in
porcine adipocytes.
AB - Adiponectin is an adipocyte-derived hormone that can improve insulin sensitivity.
Its functions in regulating glucose utilization and fatty acid metabolism in
mammals are mediated by two subtypes of adiponectin receptors (AdipoR1 and
AdipoR2). This study was conducted to determine the effect of insulin on the
expression of adiponectin and its receptors. We demonstrated that in the presence
of 10 nM insulin, addition of 1 microM of insulin or rosiglitazone (a peroxisome
proliferator-activated receptor gamma (PPARgamma) agonist) had no effect on the
expression of adiponectin and AdipoR genes in differentiated porcine adipocytes.
However, the addition of 1 microM insulin plus 1 microM rosiglitazone
significantly increased the AdipoR2 mRNA in differentiated porcine adipocytes.
Using the phosphatidylinositol 3-kinase inhibitor (PI3K inhibitor, LY 294002), we
found that insulin inhibited the expression of AdipoR2 through the PI3K pathway
and this inhibition was blocked by addition of rosiglitazone. When porcine
adipocytes were cultured without insulin, supplementation with 10 nM insulin
inhibited the expression of AdipoR2 and this inhibition effect was also blocked
by addition of rosiglitazone. Therefore, these data suggest that a PPARgamma
agonist increases expression of AdipoR2 and that insulin inhibits the expression
of AdipoR2 through the PI3K pathway.
PMID- 18054197
TI - Caspases-3, -8, and -9 are required for induction of epithelial cell apoptosis by
enteropathogenic E. coli but are dispensable for increased paracellular
permeability.
AB - Enteropathogenic Escherichia coli (EPEC) is an important cause of diarrhea,
particularly among infants in developing countries. An increase in intestinal
permeability due to EPEC infection has been suggested as a factor in the
development of diarrhea. Abnormally high levels of programmed cell death
(apoptosis) of intestinal epithelial cells can lead to increased intestinal
permeability. The effects of EPEC on cell apoptosis remain incompletely
understood. This study characterized the mechanisms of EPEC-induced epithelial
apoptosis and examined whether this effect contributes to heightened permeability
in an in vitro model of infection. We report that EPEC-induced apoptosis in T84
intestinal epithelial cells via a mechanism involving caspases-3, -6, -8, and -9,
the cleavage of PARP, and oligonucleosome formation. In addition, EPEC time
dependently increased paracellular permeability as assessed by transepithelial
resistance and the apical-to-basolateral movement of 3000 MW dextran.
Furthermore, EPEC infection led to the cleavage and mislocalization of tight
junctional ZO-1 and occludin. However, pharmacological inhibition of caspases did
not prevent the EPEC-induced disruptions in epithelial barrier structure and
function. Taken together, these results suggest that a caspase-dependent
upregulation in epithelial cell apoptosis during EPEC infection occurs
independent of impaired intestinal barrier function.
PMID- 18054198
TI - Effects of depressive and anxious symptoms on norepinephrine and platelet P
selectin responses to acute psychological stress among elderly caregivers.
AB - BACKGROUND: Caring for a spouse with Alzheimer's disease is associated with
increased psychological distress, impaired immunity, and heightened
cardiovascular risk. Hyperreactivity of sympathetic and platelet activation
responses to acute psychological stress, or the failure to recover quickly from
stressful events, may constitute an important pathway linking stress and negative
affect with cardiovascular disease (CVD). OBJECTIVES: (1) To evaluate
associations between negative affect (i.e., depressive and anxious symptoms) with
increased norepinephrine and P-selectin responses to an acute psychological
stress task. (2) To establish whether these associations are augmented among
elderly spousal caregivers (CG) compared to non-caregivers (NC). METHODS:
Depressive (DEP) and anxious (ANX) symptoms from the Brief Symptom Inventory were
assessed among 39 CG and 31 NC. Plasma norepinephrine levels (NE) and percent
platelet P-selectin (PSEL) expression were assayed at three time-points: rest,
immediately following a laboratory speech test (reactivity), and after 14 min of
recovery. RESULTS: Among CG, but not NC, increased symptoms of depression and
anxiety were associated with delayed NE recovery (DEP: beta=.460, p=.008; ANX:
beta=.361, p=.034), increased PSEL reactivity (DEP: beta=.703, p<.001; ANX:
beta=.526, p=.002), and delayed PSEL recovery (DEP: beta=.372, p=.039; ANX:
beta=.295, p=.092), while controlling for age, gender, aspirin use,
antidepressant use, and preexisting CVD. Bivariate correlations showed delayed NE
recovery was also associated with increased PSEL reactivity (r=.416) and delayed
PSEL recovery (r=.372; all ps<.05) among CG but not NC. DISCUSSION: Among
chronically stressed caregivers, increased levels of depressive and anxious
symptoms are associated with prolonged sympathetic activation and pronounced
platelet activation. These changes may represent one pathway linking caregiving
stress to cardiovascular risk.
PMID- 18054199
TI - Spontaneous recovery from early glomerular inflammation is associated with
resistance to anti-GBM glomerulonephritis: tolerance and autoimmune tissue
injury.
AB - Different susceptibility to anti-GBM glomerulonephritis (GN) among animal strains
has been reported. Using our rat model for T cell-mediated anti-GBM GN, this
study initiated an investigation on the mechanism related with GN susceptibility.
Anti-GBM GN was induced either through immunization with the nephritogenic T cell
epitope pCol(28-40) from Col4alpha3NC1 or through the transfer of specific T
cells. WKY rats were highly susceptible to GN while immuno-compatible LEW rats
were GN-resistant. GN-resistance in LEW rats was not associated to the immune
response to pCol(28-40). First, both strains mounted a Th1 T cell response to
pCol(28-40) with identical specificities; transfer of T cells from LEW to WKY
rats induced glomerular injury. Second, co-transfer of antibody from WKY to LEW
failed to induce GN. Time-course studies revealed that LEW rats did develop T
cell-mediated inflammation in glomeruli at early stages similar to WKY rats, as
evidenced by histopathology, proteinuria, CD4(+) T cell infiltration in
glomeruli, and glomerular expression of inflammatory molecules. However,
glomerular inflammation in LEW rats was transient followed by a full recovery.
Thus, GN-resistance in LEW rats was due to its ability to contain early T cell
mediated autoimmune glomerular damage. Our model may reveal a potential tolerance
mechanism after autoimmune tissue damage has been initiated.
PMID- 18054200
TI - xCT expression reduces the early cell cycle requirement for calcium signaling.
AB - Calcium has long been recognized as an important regulator of cell cycle
transitions although the mechanisms are largely unknown. A functional genomic
screen has identified genes involved in the regulation of early cell cycle
progression by calcium. These genes when overexpressed confer the ability to
bypass the G1/S arrest induced by Ca(2+)-channel antagonists in mouse
fibroblasts. Overexpression of the cystine-glutamate exchanger, xCT, had the
greatest ability to evade calcium antagonist-induced cell cycle arrest. xCT
carries out the rate limiting step of glutathione synthesis in many cell types
and is responsible for the uptake of cystine in most human cancer cell lines.
Functional analysis indicates that the cystine uptake activity of xCT overcomes
the G1/S arrest induced by Ca(2+)-channel antagonists by bypassing the
requirement for calcium signaling. Since cells overexpressing xCT were found to
have increased levels and activity of the AP-1 transcription factor in G1, redox
stimulation of AP-1 activity accounts for the observed growth of these cells in
the presence of calcium channel antagonists. These results suggest that reduced
calcium signaling impairs AP-1 activation and that xCT expression may directly
affect cell proliferation.
PMID- 18054201
TI - Increased levels of serotonin 2A receptors and serotonin transporter in the CNS
of neuregulin 1 hypomorphic/mutant mice.
AB - Changes in neuregulin 1 expression have been reported in the CNS from subjects
with schizophrenia. As neuregulin 1 is important in cortical development we
postulated that changes in neuregulin 1 expression may contribute towards changes
in cholinergic, glutamatergic and serotonergic markers that are well documented
in the CNS of subjects with that disorder. To begin to test this hypothesis, we
used in situ radioligand binding to measure levels of muscarinic M1/M4 receptors,
the kainate receptor, the NMDA receptor, the serotonin 2A receptor, the serotonin
1A receptor and the serotonin transporter in the CNS from heterozygous
transmembrane domain neuregulin 1 mutant mice. The major outcomes from these
studies was the demonstration of an overall increase in levels of the serotonin
2A receptor (F=11.3, d.f.=3,1,72, p=0.0012) and serotonin transporter (F=5.00,
d.f.=1,3,72, p<0.05) in the mutant mice. Levels of the other receptors did not
vary in the mutant mice compared to their wild type-like litter mates. These data
are the first evidence to suggest that NRG1 gene expression may be involved in
regulating the development of the serotonergic system in the mammalian CNS.
PMID- 18054202
TI - Rapid evolving RNA gene HAR1A and schizophrenia.
PMID- 18054203
TI - Single nucleotide polymorphisms of VEGF gene and Psoriasis risk.
PMID- 18054204
TI - Impact of non-ionic surfactant on the long-term development of lab-scale
activated sludge bacterial communities.
AB - The development of bacterial communities in replicate lab-scale-activated sludge
reactors degrading a non-ionic surfactant was evaluated by statistical analysis
of denaturing gradient gel electrophoresis (DGGE) fingerprints. Four sequential
batch reactors were fed with synthetic sewage, two of which received, in
addition, 0.01% of nonylphenol ethoxylates (NPE). The dynamic character of
bacterial community structure was confirmed by the differences in species
composition among replicate reactors. Measurement of similarities between
reactors was obtained by pairwise similarity analysis using the Bray Curtis
coefficient. The group of NPE-amended reactors exhibited the highest similarity
values (Sjk=0.53+/-0.03), indicating that the bacterial community structure of
NPE-amended reactors was better replicated than control reactors (Sjk=0.36+/
0.04). Replicate NPE-amended reactors taken at different times of operation
clustered together, whereas analogous relations within the control reactor
cluster were not observed. The DGGE pattern of isolates grown in conditioned
media prepared with media taken at the end of the aeration cycle grouped
separately from other conditioned and synthetic media regardless of the carbon
source amendment, suggesting that NPE degradation residuals could have a role in
the shaping of the community structure.
PMID- 18054205
TI - Extracellular fatty acids facilitate flagella-independent translocation by
Stenotrophomonas maltophilia.
AB - Stenotrophomonas maltophilia is widespread in natural environments such as soil,
sewage and plant rhizospheres. Surfactants frequently function in modulating
bacterial surface translocation. In this study, rpfB and rpfF orthologues were
identified from S. maltophilia strain WR-C, which was isolated from the clogged
zone of a septic system. These genes play a role in the biosynthesis of eight
extracellular compounds that facilitated flagella-independent translocation by
the wild-type or a flagella-defective mutant. This type of surface translocation
has not been reported previously for this organism. These eight compounds include
cis-delta 2-11-methyl-dodecenoic acid and seven structural derivatives. Two are
saturated fatty acids; the others are unsaturated fatty acids with double bonds
at position 2. These fatty acids vary in chain length from 12 to 14 carbons and
in the position of the branched methyl group. Our results demonstrated that
independently cis-delta 2-11-methyl-dodecenoic acid and 11-methyl-dodecanoic acid
promoted flagella-independent translocation by S. maltophilia strain WR-C by
acting as wetting agents.
PMID- 18054206
TI - Identification of novel plasmid-mediated extended-spectrum beta-lactamase CTX-M
57 in Salmonella enterica serovar Typhimurium.
PMID- 18054207
TI - In vitro efficacy of voriconazole against clinical isolates of Scytalidium spp.
from clinical lesions.
PMID- 18054208
TI - Platelet 18 kDa Translocator Protein density is reduced in depressed patients
with adult separation anxiety.
AB - RATIONALE: Recent studies indicate that Adult Separation Anxiety Disorder (ASAD)
may represent a discrete diagnostic entity worthy of attention. Adults with
separation anxiety report extreme anxiety and fear about separations from major
attachment figures (partner, children or parents). These symptoms affect
individual's behavior, lead to severe impairment in social relationships and are
not better accounted for by the presence of agoraphobia. In a previous study we
found platelet expression reduction of the 18 kDa Translocator Protein (TSPO)
(the new nomenclature for the peripheral-type benzodiazepine receptor) in
patients with panic disorder who also fulfilled the diagnostic criteria for ASAD.
OBJECTIVES: To explore whether separation anxiety might be a factor
differentiating TSPO expression in a sample of patients with major depression.
METHODS: The equilibrium binding parameters of the specific TSPO ligand [3H]PK
11195 were estimated on platelet membranes from 40 adult outpatients with DSM-IV
diagnosis of MDD, with or without separation anxiety symptoms, and 20 healthy
controls. Patients were assessed by SCID-I, HAM-D, the Structured Clinical
Interview for Separation Anxiety Symptoms (SCI-SAS-A) and the Adult Separation
Anxiety Self-report Checklist (ASA-27). RESULTS: A significant reduction of
platelet TSPO density mean value was found in depressed patients with associated
ASAD symptoms, while no significant differences were found between depressed
patients without ASAD and the control group. Individual TSPO density values were
significantly and negatively correlated with both SCI-SAS-A and ASA-27 total
scores, but not with HAM-D total score or HAM-D anxiety/somatization factor
score. CONCLUSIONS: The reduction of platelet TSPO density in our sample of
patients with depression was specifically related to the presence of ASAD. These
data suggest that TSPO expression evaluation is a useful biological marker of
ASAD.
PMID- 18054209
TI - Paroxetine with pindolol augmentation: a double-blind, randomized, placebo
controlled study in depressed in-patients.
AB - Pindolol, a 5-HT1A autoreceptor antagonist, given in combination with selective
serotonin reuptake inhibitors (SSRIs), may enhance and/or accelerate the
therapeutic efficacy of SSRIs. Fifty patients, meeting ICD-10 criteria for major
depressive disorder or bipolar depression, were enrolled in our randomized,
placebo-controlled, double-blind trial. One group received paroxetine plus
pindolol (2.5 mg t.i.d.), and the other group received paroxetine plus placebo.
The proportion of patients with sustained response (>or=50% reduction of baseline
HAM-D 17 score maintained until the endpoint; p=0.252) and the proportion of
patients with remission (HAM-D 17 1.5 cm,
p=0.017). It is concluded that atypical papillomas at initial core biopsy or
large, clinically peripherally located papillomas (>1.5 cm) need additional
surgical excision.
PMID- 18054234
TI - 14-3-3 cruciform-binding proteins as regulators of eukaryotic DNA replication.
AB - Cruciforms are secondary DNA structures, serving as recognition signals at or
near eukaryotic (yeast and mammalian) origins of DNA replication. The cruciform
binding protein is a member of the 14-3-3 protein family and binds to origins of
DNA replication in a cell cycle-dependent manner. Five 14-3-3 protein isoforms
(beta, gamma, epsilon, zeta and sigma) have been identified as having cruciform
binding activity.
PMID- 18054235
TI - Fuzzy complexes: polymorphism and structural disorder in protein-protein
interactions.
AB - The notion that all protein functions are determined through macromolecular
interactions is the driving force behind current efforts that aim to solve the
structures of all cellular complexes. Recent findings, however, demonstrate a
significant amount of structural disorder or polymorphism in protein complexes, a
phenomenon that has been largely overlooked thus far. It is our view that such
disorder can be classified into four mechanistic categories, covering a
continuous spectrum of structural states from static to dynamic disorder and from
segmental to full disorder. To emphasize its generality and importance, we
suggest a generic term, 'fuzziness', for this phenomenon. Given the crucial role
of protein disorder in protein-protein interactions and in regulatory processes,
we envision that fuzziness will become integral to understanding the interactome.
PMID- 18054236
TI - Electron crystallography of biomolecules: mysterious membranes and missing cones.
AB - One of the major challenges facing structural biologists today is the
determination of high-resolution 3D structures of membrane proteins. The
requirement for detergent molecules to be present makes X-ray crystallography
particularly difficult, coupled with the added problems of isolating sufficient
(viable) protein samples at high enough concentrations to yield 3D crystals. One
technique that enables structural determination with fewer constraints is
electron crystallography of two-dimensional crystals, in which small amounts of
membrane proteins can be studied in native form in lipid bilayers.
PMID- 18054237
TI - 'Snapping' knee secondary to a tibial osteochondroma.
AB - INTRODUCTION: Osteochondroma is the most common bone tumour and is usually found
around the knee. Typical presentations range form painless masses around the
joint to mechanical irritation caused by direct pressure over surrounding
muscles. Snapping of the knee caused by an osteochondroma however has not been
described in literature. METHOD: An active 19-year-old gentleman presented with
snapping of the left medial hamstring tendon for over 5 years, but with recent
increase in frequencies of attack. The patient was initially diagnosed to have a
sporting injury. Radiological investigation showed an opaque lesion on the medial
border of the proximal tibia, which was suggestive of an osteophyte. RESULTS: The
lump was surgically excised and the patient made good recovery with resolution of
symptoms. Histological results revealed the lesion to be that of an
osteochondroma. CONCLUSION: Although rare, osteochondroma should be considered as
a differential when approaching a case of snapping knee pain.
PMID- 18054238
TI - Orally active 1,2,4-trioxepanes: synthesis and antimalarial activity of a series
of 7-arylvinyl-1,2,4-trioxepanes against multidrug-resistant Plasmodium yoelii in
Swiss mice.
AB - 7-Arylvinyl-1,2,4-trioxepanes 7a-d, 8a-d, 9a-d, 10a-d, 11a-c, and 12a-c, prepared
by photooxygenation of homoallylic alcohols 5a-d, were evaluated against multi
drug resistant Plasmodium yoelii nigeriensis in Swiss mice by oral and
intramuscular routes. Trioxepane 11c, the most active compound of the series,
showed more than 98% suppression of parsitaemia at 96 mg/kg by both oral and
intramuscular routes. This is the first report on in vivo active 1,2,4
trioxepanes.
PMID- 18054239
TI - Phenylalanine-containing hydroxamic acids as selective inhibitors of class IIb
histone deacetylases (HDACs).
AB - We synthesized biarylalanine-containing hydroxamic acids and tested them on
immunoprecipitated HDAC1 and HDAC6 and show a subtype selectivity for HDAC6 that
was confirmed in cells by Western blot (tubulin vs histones). We obtained an X
ray structure with a HDAC6-selective inhibitor with the bacterial deacetylase
HDAH. Docking studies were carried out using HDAC1 and HDAC6 protein models.
Antiproliferative activity was shown on cancer cells for selected compounds.
PMID- 18054240
TI - Decisive factors for realizing atomic-column resolution using STEM and EELS.
AB - We demonstrate atomic-column imaging by scanning transmission electron microscopy
(STEM) and electron energy-loss spectroscopy (EELS). The silicon atomic-columns
of a beta-Si3N4 (001) specimen are clearly resolved. The atomic-site dependence
and the energy-loss dependence of the spatial resolution are elucidated on the
basis of the experimental results and multislice calculations. We describe two
decisive factors for realizing atomic-column imaging in terms of localization in
elastic and inelastic scattering. One is the channeling of the incident probe due
to dynamical diffraction, which has atomic-site dependence. The other is the
localization in inelastic scattering; in addition to the energy-loss dependence
of delocalization, we point out its dependence on the offset energy from the
ionization energy, i.e., an additional localization factor concerning the Bethe
surface. The present atomic-column observation of the Si-L core-loss image
indicates that the local approximation, which can be interpreted intuitively, is
achievable under appropriate experimental conditions, such as high-energy-loss, a
small convergence angle and a large collection angle (e.g., 400 eV, 15 and 30
mrad, respectively).
PMID- 18054241
TI - Nanomaterial electronic structure investigation by valence electron energy loss
spectroscopy - an example of doped ZnO nanowires.
AB - The effects of doping (by ion implantation) on the electronic structure of ZnO
nanowires, particularly on the defect states generation in the band gap of ZnO,
are investigated using valence electron energy loss spectroscopy (VEELS)
performed in a transmission electron microscope (TEM). The improved spectrum
energy resolution via the introduction of a gun monochromator, together with the
reduced intensity in the zero loss peak tail as realized by spectrum acquisition
at non-zero momentum transfer, enable us to extract such electronic structure
information from the very low loss region of the EEL spectra. We have compared
the doping effects of several dopant elements, i.e., Er, Yb, and Co, and found
that generation of the band tail states ( approximately 2-3.3eV) is a common
consequence of the ion implantation process. On the other hand, specific mid-gap
state(s) in the lower energy range are created only in the rare earth element
doped ZnO nanowires, suggesting the dopant-sensitive nature of such state.
PMID- 18054242
TI - Restricted expression of mutant SOD1 in spinal motor neurons and interneurons
induces motor neuron pathology.
AB - Amyotrophic lateral sclerosis (ALS) is a neurodegenerative disease characterized
by the selective loss of motor neurons (MNs). Approximately 10% of ALS cases are
familial (known as FALS), and approximately 20% of FALS cases are caused by
mutations in Cu/Zn superoxide dismutase type 1 (SOD1). Mutant (MT) SOD1 induces
FALS as a result of a toxicity that remains poorly defined. Several studies
suggest that the toxicity involves a non-cell autonomous mechanism. In this
study, we generated transgenic mice that had a restricted and repressible
expression of MTSOD1 in spinal MNs and interneurons. Although the transgenic mice
were not weak, they weighed less than control mice and had pathological and
immunohistochemical abnormalities of MNs confined to cells that expressed MTSOD1.
These results suggest that MTSOD1-induced MN degeneration is at least partly cell
autonomous. Mouse models similar to the one presented here will be valuable for
spatially and temporally controlling expression of mutant genes involved in
neurodegenerative diseases.
PMID- 18054243
TI - Salt stress increases the expression of p5cs gene and induces proline
accumulation in cactus pear.
AB - Proline (Pro) is one of the most accumulated osmolytes in salinity and water
deficit conditions in plants. In the present study, we measured the Pro content,
the activity and the expression level of delta 1-pyrroline-5-carboxylate
synthetase (P5CS: gamma-glutamyl kinase, EC 2.7.2.11 and glutamate-5-semialdehyde
dehydrogenase, EC 1.2.1.41), a key regulatory enzyme involved in the biosynthesis
of Pro, in cactus pear (Opuntia streptacantha) subjected to 6, 9 and 11 days of
salt stress. Treatment with NaCl of O. streptacantha young plants resulted in a
decrease in the cladode thickness and root length, and in a significant and
gradual accumulation of Pro in young cladodes, in a time- and concentration
dependent manner. P5CS activity, studied as gamma-glutamyl kinase, was reduced at
all times as a consequence of salt treatment, except at the sixth day at 75 and
150mM of NaCl, where a slight increase was observed. We isolated an open reading
frame (ORF) fragment of p5cs gene. The deduced amino acid sequence of the P5CS
protein exhibited 90.4% of identity with the P5CS protein from Mesembryanthemum
crystallinum. RT-PCR analysis revealed that the Osp5cs gene of O. streptacantha
was induced by salt stress at 9 and 11 days of treatment. Furthermore, ABA
induced Osp5cs gene expression was observed in cladodes of cactus pear young
plants. We observed an evident correlation between the transcript up-regulation
and the Pro accumulation under salt stress; however, these results do not
parallel with the changes in P5CS enzymatic activity. This Pro accumulation might
function as an osmolyte for the intracellular osmotic adjustment and might be
playing a critical role in protecting photosynthetic activity in O. streptacantha
plants under salt stress.
PMID- 18054244
TI - Increased expression of the rice C-type cyclin-dependent protein kinase gene,
Orysa;CDKC;1, in response to salt stress.
AB - Cyclin-dependent protein kinases (CDKs) form a conserved superfamily of
eukaryotic serine/threonine protein kinases which require binding to a regulatory
cyclin for activity. CDKs are organized in several gene families and are involved
in different aspects of cell biology, such as gene transcription, cell
proliferation and differentiation. In this study, we present the characteristics
of the rice C-type CDK gene, Orysa;CDKC;1. The gene was expressed in all organs
of the plant and relatively high amounts of its transcript were detected in
mature leaves and panicles. This indicated a putative role during later stages of
leaf and panicle development. Using yeast two-hybrid analysis, we identified
Orysa;CYCT as an interactor with Orysa;CDKC;1. The expression profiles of the new
Orysa;CDKC;1 in response to various factors from rice cells were compared with
those of previously described Orysa;CDKA;1, and Orysa;CDKB2;1. The Orysa;CDKC;1
transcript was induced by salt stress and ABA treatments, but was unaffected by
mannitol. In addition, the MBP kinase activity associated with Orysa;CDKC;1
immunocomplexes was induced under salt stress. We propose that Orysa;CDKC;1 may
be involved in developmental programs, as well as in the salt- and ABA-signaling
pathway.
PMID- 18054245
TI - Chronic chymase inhibition preserves cardiac function after left ventricular
repair in rats.
AB - OBJECTIVE: Although left ventricular repair (LVR) has been widely performed, the
initial improvement of LV function does not last because of LV remodeling. Recent
studies have demonstrated that chymase, a local enzyme in the heart, promotes
angiotensin II formation as well as activation of transforming growth factor
(TGF)-beta, both of which facilitate myocardial fibrosis. Therefore, chymase
blockade may play an important role in the prevention of cardiac remodeling after
LVR. In this study, the effects of chronic chymase inhibition (Chy-I) after LVR
were evaluated in a rat LV aneurysm model. METHODS: Rats that developed LV
aneurysms 4 weeks after coronary artery ligation underwent LVR by plicating the
LV aneurysm, and were randomized into two groups, the LVR group and the LVR + Chy
I group that received an oral chymase inhibitor (10 mg/kg/day) for 4 weeks.
RESULTS: Echocardiography revealed better LV function in the LVR + Chy-I group
than in the LVR group at 4 weeks. Four weeks after LVR, LV end-diastolic pressure
and the time constant of LV isovolumic pressure decay, were significantly lower
in the LVR+Chy-I group. The end-systolic pressure-volume relationship was higher
in the LVR+Chy-I group. In the LVR+Chy-I group, mRNA expressions of TGF-beta1 and
BNP significantly decreased in the LV myocardium. Histology showed reduced
interstitial fibrosis in the LVR+Chy-I group. CONCLUSIONS: Chronic chymase
inhibition prevented myocardial fibrosis and preserved cardiac function after
LVR. A chymase inhibition could be an important strategy for management after LV
repair surgery.
PMID- 18054246
TI - In vitro non-rigid life-size model of aortic arch aneurysm for endovascular
prosthesis assessment.
AB - PURPOSE: It is essential to evaluate new stent designs before in vivo testing.
The purpose of this study was to develop and validate a controlled and
reproducible patient-derived process to produce a life-size in vitro model of
aortic arch aneurysm for endovascular procedure simulation. METHODS: A three
dimensional magnetic resonance angiography (3D MRA) image derived from a 60-year
old patient with aortic arch aneurysm was segmented using a home-made software
package which allows one-click automatic segmentation of the aorta, meshing, and
conversion to standard tessellation language (STL) format. A rapid prototyping
technique established a stereolithographic model to produce a replica of the
whole aorta, including the arch aneurysm and supra-aortic arteries. RESULTS: The
final model was made by pouring silicone rubber to obtain a sturdy, life-size,
soft, transparent, plastic cast, accurately reproducing both the internal and
external anatomy of the aortic aneurysm. This model was used under perfusion by
an extracorporeal circulation pump, to test ex vivo stent deployment. CONCLUSION:
The combination of easy segmentation and conversion to the STL format with
industrial stereolithography techniques enabled a realistic silicon vascular
phantom to be created for endovascular procedure simulation, image modality
calibration, and new stent design.
PMID- 18054247
TI - Association of MIF-173 gene polymorphism with inflammatory bowel disease in
Chinese Han population.
AB - AIM: To study whether macrophage migration inhibitory factor (MIF)-173 gene
polymorphism correlates with inflammatory bowel disease (IBD) in Chinese Han
population. METHODS: MIF-173 single nucleotide polymorphism (SNP) was genotyped
by tetra-primer amplification refractory mutation system (ARMS) and restriction
fragment length polymorphisms (RFLP)-PCR in 142 healthy subjects and 98 patients
with inflammatory bowel disease (IBD). RESULTS: There were no discrepancies
between the results obtained by tetra-primer ARMS and RFLP-PCR. The frequency of
MIF-173 CC genotype was significantly higher in patients with ulcerative colitis
(UC) 15.5% than in healthy individuals 5.6% (chi(2)=6.066, P=0.018, OR=3.067 and
95% CI=1.257-7.482). There was a trend towards a higher frequency of CC genotype
among CD patients compared with healthy controls, however this did not attain the
statistical significance (P=0.245). CONCLUSION: MIF-173 CC genotype may be
associated with susceptibility to UC.
PMID- 18054248
TI - Th17 cells and mucosal host defense.
AB - Th17 cells are a new lineage of T-cells that are controlled by the transcription
factor RORgammat and develop independent of GATA-3, T-bet, Stat 4 and Stat 6.
Novel effector molecules produced by these cells include IL-17A, IL-17F, IL-22,
and IL-26. IL-17RA binds IL-17A and IL-17F and is critical for host defense
against extracellular planktonic bacteria by regulating chemokine gradients for
neutrophil emigration into infected tissue sites as well as host granulopoiesis.
Moreover, IL-17 and IL-22 regulate the production of antimicrobial proteins in
mucosal epithelium. Although TGF-beta1 and IL-6 have been shown to be critical
for development of Th17 cells from naive precursors, IL-23 is also important in
regulating IL-17 release in mucosal tissues in response to infectious stimuli.
Compared to Th1 cells, IL-23 and IL-17 show limited roles in controlling host
defense against primary infections with intracellular bacteria such as
Mycobacterium tuberculosis suggesting a predominate role of the Th17 lineage in
host defense against extracellular pathogens. However, in the setting of chronic
biofilm infections, as that occurs with cystic fibrosis or bronchiectasis, Th17
cells may be key contributors of tissue injury.
PMID- 18054249
TI - Applications of direct detection device in transmission electron microscopy.
AB - A prototype direct detection device (DDD) camera system has shown great promise
in improving both the spatial resolution and the signal to noise ratio for
electron microscopy at 120-400 keV beam energies (Xuong et al., 2007. Methods in
Cell Biology, 79, 721-739). Without the need for a resolution-limiting
scintillation screen as in the charge coupled device (CCD), the DDD camera can
outperform CCD based systems in terms of spatial resolution, due to its small
pixel size (5 microm). In this paper, the modulation transfer function (MTF) of
the DDD prototype is measured and compared with the specifications of commercial
scientific CCD camera systems. Combining the fast speed of the DDD with image
mosaic techniques, fast wide-area imaging is now possible. In this paper, the
first large area mosaic image and the first tomography dataset from the DDD
camera are presented, along with an image processing algorithm to correct the
specimen drift utilizing the fast readout of the DDD system.
PMID- 18054250
TI - Synchrotron microComputed Tomography of the mature bovine dentinoenamel junction.
AB - The mature dentinoenamel junction (DEJ) is viewed by some investigators and the
current authors, not as a fossilized, sharp transition between enamel and dentin,
but as a relatively broad structural transition zone including the mantle dentin
and the inner aprismatic enamel. In this study, the DEJ structure in bovine
incisors was studied with synchrotron microComputed Tomography (microCT) using
small cubes cut parallel to the tooth surface. The reconstructions revealed a
zone of highly variable punctate contrast between bulk dentin and enamel; the
mean linear attenuation coefficients and their standard deviations demonstrated
that this zone averaged less mineral than dentin or enamel but had more highly
variable structure than either. The region with the punctuate contrast is,
therefore, the mantle dentin. The thickness of the mantle dentin seen in a
typical data set was about 30 microm, and the mantle dentin-enamel interface
deviated +/-15 microm from the average plane over a distance of 520 microm. In
the highest resolution data ( approximately 1.5 microm isotropic voxels, volume
elements), tubules in the dentin could be discerned in the vicinity of the DEJ.
Contrast sensitivity was high enough to detect differences in mineral content
between near-surface and near-DEJ volumes of the enamel. Reconstructions before
and after two cubes were compressed to failure revealed cracks formed only in the
enamel and did not propagate across the mantle dentin, regardless of whether
loading was parallel to or perpendicular to the DEJ.
PMID- 18054252
TI - Source of nonlinearity of the BOLD response revealed by simultaneous fMRI and
NIRS.
AB - The nonlinearity of the blood oxygenation level-dependent (BOLD) response to
stimuli of different duration, particularly those of short duration, has been
well studied by functional magnetic resonance imaging (fMRI). This nonlinearity
is assumed to be due to neural adaptation and the nonlinearity of the response in
the oxygen extraction fraction (OEF); the latter has not been examined
quantitatively in humans. To evaluate how the OEF response contributes to the
nonlinearity of the BOLD response to neural activity, we used simultaneous fMRI
and near-infrared spectroscopy (NIRS). The responses to visual stimuli of four
different durations were measured as changes in the BOLD signal and the NIRS
derived hemoglobin concentrations. The hemodynamic response nonlinearity was
quantified using an impulse response function model with saturation nonlinearity
scaling in the response amplitude, assuming that the unknown neural adaptation
parameters varied within a physiologically feasible range. Independent of the
degree of neural adaptation, the BOLD response consistently showed saturation
nonlinearity similar to that of the OEF response estimated from the NIRS
measures, the nonlinearity of which was greater than that of the response in the
total hemoglobin concentration representing the cerebral blood volume (CBV). We
also found that the contribution of the OEF response to the BOLD response was
four to seven times greater than the contribution of the CBV response. Thus, we
conclude that the nonlinearity of the BOLD response to neural activity originates
mainly from that of the OEF response.
PMID- 18054251
TI - Shining new light on the brain's "bilingual signature": a functional Near
Infrared Spectroscopy investigation of semantic processing.
AB - Decades of research have shown that, from an early age, proficient bilinguals can
speak each of their two languages separately (similar to monolinguals) or rapidly
switch between them (dissimilar to monolinguals). Thus we ask, do monolingual and
bilingual brains process language similarly or dissimilarly, and is this affected
by the language context? Using an innovative brain imaging technology, functional
Near Infrared Spectroscopy (fNIRS), we investigated how adult bilinguals process
semantic information, both in speech and in print, in a monolingual language
context (one language at a time) or in a bilingual language context (two
languages in rapid alternation). While undergoing fNIRS recording, ten early
exposed, highly proficient Spanish-English bilinguals completed a Semantic
Judgment task in monolingual and bilingual contexts and were compared to ten
English monolingual controls. Two hypotheses were tested: the Signature
Hypothesis predicts that early, highly proficient bilinguals will recruit neural
tissue to process language differently from monolinguals across all language
contexts. The Switching Hypothesis predicts that bilinguals will recruit neural
tissue to process language similarly to monolinguals, when using one language at
a time. Supporting the Signature Hypothesis, in the monolingual context,
bilinguals and monolinguals showed differences in both hemispheres in the
recruitment of DLPFC (BA 46/9) and IFC (BA 47/11), but similar recruitment of
Broca's area (BA 44/45). In particular, in the monolingual context, bilinguals
showed greater signal intensity in channels maximally overlaying DLPFC and IFC
regions as compared to monolinguals. In the bilingual context, bilinguals
demonstrated a more robust recruitment of right DLPFC and right IFC. These
findings reveal how extensive early bilingual exposure modifies language
organization in the brain-thus imparting a possible "bilingual signature." They
further shed fascinating new light on how the bilingual brain may reveal the
biological extent of the neural architecture underlying all human language and
the language processing potential not fully recruited in the monolingual brain.
PMID- 18054253
TI - Alzheimer's disease diagnosis in individual subjects using structural MR images:
validation studies.
AB - OBJECTIVE: To develop and validate a tool for Alzheimer's disease (AD) diagnosis
in individual subjects using support vector machine (SVM)-based classification of
structural MR (sMR) images. BACKGROUND: Libraries of sMR scans of clinically well
characterized subjects can be harnessed for the purpose of diagnosing new
incoming subjects. METHODS: One hundred ninety patients with probable AD were age
and gender-matched with 190 cognitively normal (CN) subjects. Three different
classification models were implemented: Model I uses tissue densities obtained
from sMR scans to give STructural Abnormality iNDex (STAND)-score; and Models II
and III use tissue densities as well as covariates (demographics and
Apolipoprotein E genotype) to give adjusted-STAND (aSTAND)-score. Data from 140
AD and 140 CN were used for training. The SVM parameter optimization and training
were done by four-fold cross validation (CV). The remaining independent sample of
50 AD and 50 CN was used to obtain a minimally biased estimate of the
generalization error of the algorithm. RESULTS: The CV accuracy of Model II and
Model III aSTAND-scores was 88.5% and 89.3%, respectively, and the developed
models generalized well on the independent test data sets. Anatomic patterns best
differentiating the groups were consistent with the known distribution of
neurofibrillary AD pathology. CONCLUSIONS: This paper presents preliminary
evidence that application of SVM-based classification of an individual sMR scan
relative to a library of scans can provide useful information in individual
subjects for diagnosis of AD. Including demographic and genetic information in
the classification algorithm slightly improves diagnostic accuracy.
PMID- 18054254
TI - Molecular phylogeny and historical biogeography of the Holarctic wetland leaf
beetle of the genus Plateumaris.
AB - Leaf beetles of the genus Plateumaris inhabit wetlands across the temperate zone
of the Holarctic region. To explore the phylogeographic relationships among North
American, East Asian, and European members of this genus and the origin of the
species endemic to Japan, we studied the molecular phylogeny of 20 of the 27
species in this genus using partial sequences of mitochondrial cytochrome oxidase
subunit I (COI) and the 16S and nuclear 28S rRNA genes. The molecular phylogeny
revealed that three species endemic to Europe are monophyletic and sister to the
remaining 11 North American and six Asian species. Within the latter clade, North
American and Asian species did not show reciprocal monophyly. Dispersal
vicariance analysis and divergence time estimation revealed that the European and
North America-Asian lineages diverged during the Eocene. Moreover, subsequent
differentiation occurred repeatedly between North American and Asian species,
which was facilitated by three dispersal events from North America to Asia and
one in the opposite direction during the late Eocene through the late Miocene.
Two Japanese endemics originated from different divergence events; one
differentiated from the mainland lineage after differentiation from the North
American lineage, whereas the other showed a deep coalescence from the North
American lineage with no present-day sister species on the East Asian mainland.
This study of extant insects provides molecular phylogenetic evidence for ancient
vicariance between Europe and East Asia-North America, and for more recent (but
pre-Pleistocene) faunal exchanges between East Asia and North America.
PMID- 18054255
TI - Differential effects of tumor necrosis factor-alpha and interleukin-1beta on cell
death in human articular chondrocytes.
AB - OBJECTIVE: The death of chondrocytes by apoptosis is characteristic of
degenerative joint diseases, such as osteoarthritis (OA). Tumor necrosis factor
alpha (TNF-alpha) and interleukin-1beta (IL-1beta) have been shown to play an
important role in the development of OA. In this study we analyzed the effects of
TNF-alpha and IL-1beta on cell death in normal human chondrocytes. METHODS:
Normal human chondrocytes were isolated from knee cartilage obtained at autopsy
from 30 adult cadaveric donors. The cells were stimulated with TNF-alpha (10
ng/ml) or IL-1beta (5 ng/ml) in the presence or absence of Ro 31-8220 (Ro: a
structurally related analog of bisindolylmaleimide that inhibits mitogen
activated protein kinase phosphatase 1 [MKP-1]) (Ro; 10 microM), an MKP-1
inhibitor, which induces apoptosis in chondrocytes. Apoptosis was evaluated by
flow cytometry (propidium iodide) and nuclear morphology was evaluated with 4',6'
dianidino-2-phenylindole dihydrochloride. The expressions of caspase-8, -7 and -3
and Bcl-2 were analyzed by Western blot and the activation of caspase-3 and -8
was measured by flow cytometry. Prostaglandin E2 (PGE2) was evaluated by enzyme
linked immunosorbent assay. RESULTS: At 24 h the percentage of apoptotic
(hypodiploid) nuclei induced by TNF-alpha+Ro was higher than the level induced by
Ro alone. The combination of IL-1beta (5 ng/ml) with Ro did not show a
synergistic effect. A morphological analysis demonstrated that treatment with TNF
alpha+Ro resulted in a large number of cells with condensed nuclei and DNA
fragmentation. Western blot studies indicated that IL-1beta+Ro did not induce the
time-dependent activation of caspase-8, -7 and -3 as seen with TNF-alpha+Ro. As
quantified by flow cytometry, TNF-alpha+Ro induced a higher level of caspase-3
and -8 activation than that seen with IL-1beta+Ro. Pre-incubation for 2h with
caspase inhibitors for caspase-3, -7, -8 and pan-caspase significantly decreased
the hypodiploid DNA peak induced by treatment with TNF-alpha+Ro at 24 h.
Indomethacin increased the cell death induced by IL-1beta+Ro; however, apoptosis
induced by TNF-alpha+Ro was not modified by indomethacin. CONCLUSIONS: These
results confirm that TNF-alpha and IL-1beta regulate apoptosis differently in
this human chondrocyte model and that the differing effects of these cytokines
are PGE2-independent. Indomethacin potentiates the effect of IL-1 on cell death
and this may explain the reported effect of indomethacin on the progression of
joint destruction.
PMID- 18054256
TI - Intrahippocampal anisomycin infusions disrupt previously consolidated spatial
memory only when memory is updated.
AB - Reconsolidation has proven to be a common phenomenon relevant to memory
processing. However, the functional significance of this process is still a
matter of debate. Previous work has shown that reconsolidation is indeed a
process by which updated information is integrated, through the synthesis of
proteins, to a memory trace. To further analyze the role that updated information
plays in retrieved spatial memory susceptibility to disruption, we injected
anisomycin bilaterally in the dorsal hippocampus of Wistar rats. Implanted
animals were trained for 5 days on the Morris water maze (MWM) task and injected
with anisomycin before the third or fifth training session. When memory was
assessed a week later, only animals injected on the third training session showed
disruption of long-term memory. Furthermore, when animals were trained for either
3 (middle-trained) or 5 (well-trained) days and a week later anisomycin was
infused before a reminder session, only middle-trained rats infused with
anisomycin showed reduced performance when tested for long-term memory. Finally,
animals trained for 5 days and injected with anisomycin 7 days later on an
extinction session showed impaired long-term extinction when tested. These
results suggest that for spatial memory tasks acquisition of updated information
is a necessary feature to undergo this process. We propose that reconsolidation
is not an accurate term because it implies that consolidation happens again. This
conception does not fit with the evidence; hence, we suggest that updating
consolidation is a more descriptive term to refer to this process.
PMID- 18054257
TI - Differential effects of inactivation of the orbitofrontal cortex on strategy set
shifting and reversal learning.
AB - Different subregions of the rodent prefrontal cortex (PFC) mediate dissociable
types of behavioral flexibility. For example, lesions of the medial or
orbitofrontal (OFC) regions of the PFC impair extradimensional shifts and
reversal learning, respectively, when novel stimuli are used during different
phases of the task. In the present study, we assessed the effects of inactivation
of the OFC on strategy set-shifting and reversal learning, using a maze based set
shifting task mediated by the medial PFC. Long-Evans rats were trained initially
on a visual-cue discrimination to obtain food. On the subsequent day, rats had to
shift to using a response strategy (e.g., always turn left). On Day 3 (reversal),
rats were required to reverse the direction of their turn (e.g., always turn
right). Infusions of the local anesthetic bupivacaine into the OFC did not impair
initial visual discrimination learning, nor did it impair performance on the set
shift. In contrast, inactivation of the OFC did impair reversal learning; yet,
these rats ceased using the previously acquired response rule as readily as
controls. Instead, rats receiving OFC inactivations made a disproportionate
number of erroneous arm entries towards the visual-cue, suggested that these
animals reverted back to using the original visual-cue based strategy. These
findings, in addition to previous data, further support the notion that the OFC
and medial PFC play dissociable roles in reversal learning and set-shifting.
Furthermore, the lack of effect of OFC inactivations on the set-shift indicates
that this type of behavioral flexibility does not require cognitive operations
related to reversal learning.
PMID- 18054258
TI - Plasma lipids are altered in Gaucher disease: biochemical markers to evaluate
therapeutic intervention.
AB - Enzyme replacement therapy has been in clinical practice for the non
neuronopathic form of Gaucher disease for 15 years. However, the wide phenotypic
variability in this disorder poses challenges to clinicians to assess patient
severity and disease progression in order to effectively manage patients. Once
therapy is initiated, methods to monitor the complex biochemical changes
associated with the disease, and the response of these changes to therapy, are
required in order to tailor therapy regimens to individual patients. We have
evaluated the suitability of plasma sphingolipids and phospholipids as
biochemical markers of disease burden and the efficacy of therapy to reduce that
burden. Over 60 lipid species were measured using electrospray ionization-tandem
mass spectrometry in plasma from controls and Gaucher patients, pre- and post
therapy. Glucosylceramide, molecular species of phosphatidylglycerol and G(M3)
ganglioside were elevated in Gaucher disease, whereas species of ceramide,
dihexosylceramide and sphingomyelin were decreased. Multivariate analysis enabled
us to calculate the combined response of these lipids to therapy in Gaucher
patients and correlate them with patient severity. Plasma lipids are proposed to
be useful biomarkers for Gaucher disease.
PMID- 18054259
TI - Airway evaluation in obstructive sleep apnea.
AB - As the interest in sleep-disordered breathing has increased, various attempts
have been made to assess upper airway anatomy in patients with this relatively
frequent disorder. The aim is not only to reveal potential differences in upper
airway anatomy to better understand origin and pathophysiology of the disease but
also to improve patient management and treatment success. The present review is
based on a systematic literature search with regard to upper airway evaluation in
sleep-disordered breathing; the articles were selected and discussed in light of
our clinical experiences. Based on clinical assessment including endoscopy during
wakefulness, the value of the Mueller Maneuver, static radiologic imaging
techniques (X-ray cephalometry, computed tomography (CT) scanning and magnetic
resonance imaging (MRI)), dynamic scanning protocols (e.g. ultrafast CT or cine
MRI), upper airway endoscopy during sleep and sedated sleep, pressure
measurements and the assessment of the critical closing pressure are discussed.
Each technique itself and its history in the field of sleep medicine are briefly
reviewed and problems of standardization and interpretation are discussed when
appropriate. Insights into the pathophysiology of the disease gained with the
help of the investigational techniques are presented and the impact of the
techniques on patient management is reported. Although all these additional
techniques for upper airway assessment have substantially improved our
understanding of sleep-disordered breathing, their significance in daily practice
is limited. In contrast to the widespread use of the Mueller maneuver and sedated
endoscopy, convincing data supporting their use in terms of treatment outcome are
lacking. So far, there is only very limited evidence that selected techniques
improve treatment outcome for selected indications. In general, there is not
enough evidence that these techniques are superior to the routine clinical
assessment.
PMID- 18054261
TI - High density and food deprivation affect arginine vasotocin, isotocin and
melatonin in gilthead sea bream (Sparus auratus).
AB - Arginine vasotocin (AVT) and isotocin (IT) levels in plasma and pituitary, and
melatonin (MEL) levels in plasma were determined in gilthead sea bream (Sparus
auratus) subjected to two different types of stress: i) high density (HD) and ii)
food deprivation (NF: non-fed). Fishes were randomly assigned to one of 4
treatments that lasted for 14 days: 1) fed fish under normal low density (ND, 4
kg m(-3)); 2) non-fed (NF) fish under ND; 3) fed fish under high density (HD, 70
kg m(-3)); and 4) non-fed fish under HD. Ten fish from each tank were
anaesthetized, weighed and plasma and pituitary samples were taken. Plasma and
pituitary AVT and IT content were determined by HPLC, while plasma MEL was
assayed by RIA. Plasma AVT and IT values were enhanced in all fish kept at high
density. The response of AVT was much stronger than that of IT. The highest
pituitary AVT and IT levels were shown in NF fish kept at normal density. The
significantly higher plasma MEL levels were measured in fed fish kept at HD.
These results suggest a role of AVT, IT and MEL in response of sea bream to a
common stress factor, high density. Although food deprivation does not influence
AVT and IT plasma levels, it seems to affect hypothalamic synthesis of
nonapeptides. Further studies are required to elucidate the complex role of AVT,
IT and MEL in the sea bream's response to different stress stimuli.
PMID- 18054262
TI - [Imaging of the Alzheimer's disease: MRI and functional imaging].
AB - The MRI is often the initial exploration proposed to a patient presenting a
confusion of memory. This examination has for purpose first to eliminate surgical
differential diagnoses, such as a chronic hydrocephalus of the adult. It can then
help in the differential diagnosis between the various insane syndromes, like
Alzheimer's disease.
PMID- 18054260
TI - The pharmokinetic limitations of antioxidant treatment for COPD.
AB - COPD is one of the leading causes of death worldwide and the age-adjusted
mortality for this disease has risen significantly over the past 30 years.
Current pharmacological treatments do not effectively address the inflammatory
and apoptotic mechanisms that are critical in the development of this disease.
Thus, despite therapy, patients typically experience a continued deterioration of
their clinical status. Markers of oxidative stress are increased in the lungs of
COPD patients and epidemiologic and animal studies indicate that antioxidants can
protect the lungs from the damaging effects of cigarette smoke. To date, however,
clinical trials of antioxidants for COPD have yielded disappointing results. This
review discusses the pharmokinetic factors that limit the use of exogenous
antioxidants as a treatment for this disease. In addition, it addresses
strategies to overcome these limitations so that the beneficial properties of
antioxidants can be translated into effective therapies for COPD patients.
PMID- 18054263
TI - [Molecular and therapeutic approaches of the Alzheimer's disease].
AB - The Alzheimer's disease (AD) is clinically characterized by the progressive
appearance of amnesic disorders, which are followed by a clinical syndrome
associating aphasia, apraxia and agnosia. In this picture are added behavioural
problems, marked for example by decision-making or judgment confusions and
disturbances of the orientation. The therapeutic perspectives are based
especially on molecular targets that are the peptide Abeta, the protein tau or
the facilitating molecular ways of neurodegeneration.
PMID- 18054264
TI - [Epidemiology of vulvar intra-epithelial neoplasias].
AB - The vulvar intraepithelial neoplasia has been identified as one of the 12
neoplasias whose incidence increases in the developed countries. The vulvar
intraepithelial neoplasia (VIN) and invasive vulvar cancer incidence increases by
2.4% per annum; and this principally in young women. The VIN account for 57% of
the vulvar neoplasias and are actually more frequent than invasive carcinomas. In
the United States, between 1973 and 2000, the incidence of the VIN increased by
411% against 20% for invasive cancers. Similar figures were reported from
Norwegian registers. The VIN have a different age distribution than invasive
cancers: the incidence of the VIN increases until the age of 40-49 years then
decreases while the incidence of invasive cancers increases after 50 years
without real peak of incidence. The increase in the incidence of VIN could be
followed by an increase in the incidence of invasive cancers but the unknowns on
the natural history of the VIN and the impact of the treatments make any
extrapolation hazardous. The association between the VIN and the human
papillomavirus (HPV) has been well established. It should be noted that, contrary
to the cervical neoplasia that are related for nearly 100% to the HPV, only 30
40% of invasive cancers of the vulva are related to HPV, while the other
carcinomas are related to the evolution of a vulvar lichen sclerous. The HPV
induce various types of anogenital lesion according to their genotype. These
lesions can be benign for the HPV6 and 11 and preneoplastic or neoplastic for the
HPV16 and 18. The presence of HPV16 and 18 is found in 70 to 80% of the VIN
suggesting that HPV vaccines could decrease the incidence VIN and HPV related
invasive vulvar cancer.
PMID- 18054265
TI - [Role of homeopathy in gynecology].
PMID- 18054266
TI - Sonophotoelectrocatalytic degradation of azo dye on TiO2 nanotube electrode.
AB - The degradation of azo dye, methyl orange (MeO) in aqueous solution with
sonophotoelectrocatalytic process was investigated. The TiO(2) nanotubes were
used as electrode in photoelectrocatalytic (PEC), sonophotoelectrocatalytic
(SPEC) processes or as photocatalyst in photocatalytic (PC), sonophotocatalytic
(SPC) processes, respectively. Experimental results showed that the hybrid
processes could efficiently enhance the degradation efficiency of MeO, and
followed pseudo-first-order kinetics. At the optimized experimental conditions,
the rate constants of decolorization of MeO were 0.0732 min(-1) for SPEC process;
0.0523 min(-1) for PEC process, 0.0073 min(-1) for SPC process and 0.0035 min(-1)
for PC process. The rate constants obviously indicated that there existed
synergistic effect in the ultrasonic, electro-assisted and photocatalytic
processes.
PMID- 18054267
TI - Targeting of dystroglycan to the cleavage furrow and midbody in cytokinesis.
AB - Dystroglycan is a cell adhesion molecule that interacts with ezrin family
proteins and also components of the extracellular signal-regulated kinase
pathway. Ezrin and extracellular signal-regulated kinase are both involved in
aspects of the cell division cycle. We therefore examined the role of
dystroglycan during cytokinesis. Endogenous dystroglycan colocalised with ezrin
at the cleavage furrow and midbody during cytokinesis in REF52 cells. Live cell
imaging of green fluorescent protein-tagged dystroglycan in Swiss 3T3 and Hela
cells revealed a similar localisation. Live cell imaging of a dystroglycan
lacking its cytoplasmic domain revealed an even membrane localisation but no
cleavage furrow or midbody localisation. Deletion of a previously identified
ezrin-binding site in the dystroglycan cytoplasmic domain however only resulted
in a slight reduction in cleavage furrow localisation but loss of midbody
staining. There was no apparent cytokinetic defect in cells depleted for
dystroglycan, however apoptosis levels were considerably higher in dystroglycan
knockdown cells. Cell cycle analysis showed a delay in G2/M transition, possibly
caused by a more than 50% reduction in extracellular signal-regulated kinase
levels in the knockdown cells. Dystroglycan may therefore not only have a role in
organising the contractile ring through direct or indirect associations with
actin, but can also modulate the cell cycle by affecting extracellular signal
regulated kinase levels.
PMID- 18054268
TI - Hydrogen peroxide stimulates tetrahydrobiopterin synthesis through activation of
the Jak2 tyrosine kinase pathway in vascular endothelial cells.
AB - Tetrahydrobiopterin (BH4) is an essential cofactor for nitric oxide synthase
(NOS). We previously described that hydrogen peroxide (H(2)O(2)) increases BH4
levels through the induction of GTP-cyclohydrolase I (GTPCH), which is the rate
limiting enzyme for the synthesis of BH4, in vascular endothelial cells. The aim
of this study was to examine the underlying mechanism of H(2)O(2)-induced BH4
synthesis in vascular endothelial cells. The increases in BH4 levels induced by
H(2)O(2) were strongly reduced by a Janus kinase-2 (Jak2) inhibitor, AG490. The
H(2)O(2)-induced increases in GTPCH mRNA expression and GTPCH activity were also
blocked by treatment with AG490. H(2)O(2) elicited an increase in the level of
phosphorylated Jak2, suggesting that the induction of BH4 by H(2)O(2) was
mediated by the Jak2 pathway. Signal transducers and activators of transcription
(Stats) are the best-known substrates for Jak2. The H(2)O(2)-induecd increases in
BH4 levels were reduced by treatment with fludarabine, which is shown to cause a
specific depletion of Stat1 protein but not of other Stats. Moreover, H(2)O(2)
caused the DNA binding of Stat1, and this was inhibited by AG490. Stat1
phosphorylation was enhanced by H(2)O(2) treatment, and the phosphorylation was
attenuated by AG490. These findings suggest that the stimulation of BH4 synthesis
through the induction of GTPCH is mediated at least in-part by the Jak2-Stat1
pathway.
PMID- 18054269
TI - Ginkgolides mimic the effects of hypoxic preconditioning to protect C6 cells
against ischemic injury by up-regulation of hypoxia-inducible factor-1 alpha and
erythropoietin.
AB - Hypoxic preconditioning can play a significant neuroprotective role. However, it
has not been employed clinically because of safety concerns. To find a safer
preconditioning stimulus that is both practical and effective, we investigated
whether ginkgolides are capable of preconditioning as hypoxia to protect C6 cells
against ischemic injury. We demonstrated that both ginkgolides (37.5microg/mL)
and hypoxia (1% O(2) for 16h) can significantly increase cell viabilities and
expression of phosphorylated glycogen synthase kinase (p-GSK), phosphorylated
extracellular signal-regulated kinase (p-ERK), hypoxia-inducible factor-1 alpha
(HIF-1alpha) and erythropoietin (EPO) in ischemic cells. The inhibitors of
mitogen-activated protein kinase (MAPK) or phosphatidylinositol 3'-kinase (PI3K)
significantly but not completely reduced the enhanced expression of these
proteins and cell viabilities induced by ginkgolides and hypoxic preconditioning.
These indicated that ginkgolides could mimic hypoxic preconditioning by
increasing expression of HIF-1alpha as well as its target protein EPO and that
the ginkgolides and hypoxic preconditioning role might be partly mediated by the
activation of the p42/p44-mitogen-activated protein kinase and
phosphatidylinositol 3'-kinase/AKT/glycogen synthase kinase 3beta pathways. The
similar tendency in the changes of protein expression, cell viabilities and
responses to MAPK or PI3K inhibitors of the cells treated with ginkgolides and
hypoxia suggests that ginkgolides and hypoxic preconditioning might operate by
similar mechanisms. The findings also imply that ginkgolides might have the
potential for clinical use to prevent injury in high-risk conditions.
PMID- 18054270
TI - Investigating oxidative DNA damage and its repair using the comet assay.
AB - The comet assay is not the only way to measure oxidative DNA damage, but it is
one of the most sensitive and accurate, being relatively free of artefacts. It is
a valuable tool in population monitoring, for example in assessing the role of
oxidative stress in human disease, and in monitoring the effects of dietary
antioxidants. A simple modification allows the measurement of DNA repair. In
combination with the analysis of polymorphisms in relevant genes, the comet assay
- especially when adapted for analysis of large numbers of samples - can provide
important information on the interactions between genetic variation and
environmental factors in maintaining genome stability.
PMID- 18054271
TI - Investigation on the binding of TNS to centrin, an EF-hand protein.
AB - The interaction between 2-p-toluidinylnaphthalene-6-sulfonate (TNS) and ciliate
Euplotes Octocarinatus centrin (Cen) has been studied by fluorescence
spectroscopy. The binding constants of TNS with Cen were measured at different
temperature in the 0.01M Hepes, pH 7.4. The binding process is exothermic and
involves a positive entropy change. The negative value of enthalpy predominately
contributes to the negative free energy of binding between TNS and Cen. The salt
(KCl) increases the association constant of TNS and Cen. These results and
resonance light scattering experiment suggest that the binding force between TNS
and Cen is hydrophobic. The distance (r) between TNS and tryptophan of mutant
G115W, which sheds more insight into the binding of TNS to Cen, was determined as
4.85nm based on Forster non-radiative energy transfer theory.
PMID- 18054272
TI - The aggregation of the merocyanine dyes, depending of the type of the
counterions.
AB - Counterions affect on the substructures formation in the case of the merocyanine
dye, 1-methyl-4-[2-(4-hydroxyphenyl)ethenyl)]piridinium] hydrogensquarate both in
gas and condense phase. Spectroscopically and structural elucidation of these
aggregates have been performed, using solid-state conventional and linear
polarized IR-spectroscopy of oriented colloids as a nematic liquid crystal
suspension, UV-vis spectroscopy, HPLC tandem ESI mass spectrometry, 1H and 13C
NMR, TGV and DSC. Quantum chemical DFT calculations have been carried out as
well. Experimental and theoretical data are compared with analogous ones of
corresponding iodide salt of dye studied.
PMID- 18054273
TI - The subtle electronic effects of alkyl groups on the conformational equilibria
and intramolecular hydrogen-bond strength in cis-3-alkoxycyclohexanols.
AB - 1H NMR data for cis-3-n-propoxycyclohexanol (cis-3-PCH) and cis-3-isopropoxy
cyclohexanol (cis-3-ICH) show that a concentration increase shifts the
conformational equilibrium from the diaxial (aa) conformer, stabilized by an
intramolecular hydrogen bond (IAHB), to the diequatorial (ee) conformer [Xee=42%
and 21% (at 0.01 mol L(-1)) to 58% and 56% (at 0.40 mol L(-1)), in CCl4,
respectively] due to intermolecular hydrogen bonds (IEHB), as confirmed by IR
data. The Deltanu values, obtained by IR spectra, indicated that increasing the
size of the OR group [R=CH3, CH2CH2CH3 and CH(CH3)2], increases the IAHB
strength, due to an increase in the inductive effect of R group, which makes the
oxygen lone pairs more available for an IAHB with OH group, in opposition to the
steric effect. The percentage of ee conformer increases with the solvent basicity
for cis-3-PCH and cis-3-ICH, from 48% and 36% in CCl(4) to 97% and 96% in DMSO,
respectively. Values of 4.58, 6.06 and 6.33 kcal mol(-1) for the IAHB strength in
cis-3-PCH, cis-3-ICH and cis-3-TCH (cis-3-tert-butoxycyclohexanol), respectively,
were obtained, from the theoretical data through the CBS-4M method, confirming
the experimental results and indicating that the IAHB strength increases with the
increasing bulk of OR substituent in this series of compounds.
PMID- 18054274
TI - Characterization of melittin binding to Euplotes octocarinatus centrin.
AB - In the presence of 1.0mM Ca2+, the interaction between Euplotes octocarinatus
centrin (EoCen) and melittin (ME) was studied by means of fluorescence spectra.
In 0.1M N-2-hydroxyethylpiperazine-N-2-ethanesulfonic acid (Hepes) and 150mM NaCl
at pH 7.4, fluorescence peak of ME was observed at about 353nm indicating that
micro-environment of Tryptophan (Trp) residue in ME was hydrophilic. With the
addition of 3.2x10(-4)M calcium saturated EoCen (holoEoCen), the peak of ME was
blue-shifted to 339nm, which may be resulted from micro-environmental changes of
the peptide. At the same time, fluorescence emission of ME was increased
significantly suggesting that new complex of ME-holoEoCen was formed under the
experimental conditions. Based on the fluorescence titration curves, the 1:1
stoichiometric ratio of holoEoCen to ME was confirmed. In addition, the
conditional binding constant of holoEoCen with ME was calculated to be logKME
holoEoCen=6.59+/-0.14.
PMID- 18054275
TI - Impact of electronic prescribing in a hospital setting: a process-focused
evaluation.
AB - OBJECTIVE: To evaluate effects of a natural CPOE implementation in a hospital
setting and inform the efficacy of using CPOE rather than traditional paper
medication orders. DESIGN: A multiple-baseline, quasi-experimental study of a
naturally occurring CPOE intervention, with a non-equivalent control site.
MEASUREMENTS: Compliance with medication-ordering protocols and time to first
dose of antibiotics. RESULTS: Medication orders placed using CPOE were
significantly more compliant than paper-based medication orders, and first doses
of antibiotics were delivered significantly faster when ordered with CPOE than
when placed using the standard paper-based system (p<.01). CONCLUSION: Findings
support the use of CPOE and justify the need for interventions to increase CPOE
adoption and consistent use among physicians.
PMID- 18054276
TI - Herpetic tracheitis and polybacterial pneumonia in an immunocompetent young man
is herpes tracheitis involved in the pathogenesis of bacterial pneumonia?
AB - We report a 28-year-old immunocompetent man that after a course of corticoids
developed a severe pneumonia in right lower lobe. A fiber-optic bronchoscopy
showed a white membrane easily bloody on contact with bronchoscopy tube at
trachea level. The tracheal biopsy showed typical cytopathological lesions of
herpes simplex virus (HSV) and polymerase chain reaction was positive for type 1
HSV. Streptococcus viridans and Fusobacterium necrophorum grew in right bronchial
secretion cultures. Clinical improvement was achieved after prolonged antibiotic
therapy and before initiating treatment with acyclovir. Herpetic tracheitis could
have been involved in the aspiration of oropharyngeal material that leaded to the
development of this bacterial pneumonia.
PMID- 18054277
TI - Distribution of hepatitis C virus (HCV) genotypes among HCV infection risk groups
in Lebanon.
PMID- 18054278
TI - Slow pattern-reversal stimulation facilitates the assessment of retinal function
with multifocal recordings.
AB - OBJECTIVE: The use of the multifocal pattern electroretinogram (mfPERG) for
objective visual field testing is critically impaired by the small signal-to
noise ratios (SNRs) obtained. In order to explore ways to enhance mfPERG-SNRs and
mfPERG-magnitude, the dependence of mfPERGs and multifocal visual evoked
potentials (mfVEPs) on stimulation rate and stimulation mode is examined.
METHODS: Using VERIS Science 5.1.10X (EDI, CA, USA) mfPERGs and mfVEPs were
recorded simultaneously in two different experiments to stimulation at 52
locations comprising a visual field of 44 degrees diameter. Firstly, in eight
subjects the response magnitudes were compared for three pattern-reversal (PR)
and two pattern-onset (PO) stimulus conditions, which differed in their maximal
stimulation rate. Secondly, for equal recording durations the signal-to-noise
ratios (SNRs) of four PR stimuli with different stimulation rates were determined
in eight subjects. RESULTS: Both mfPERG and mfVEP response magnitudes were
substantially enhanced for the lower stimulation rates. The greatest effects were
obtained for the mfPERG-N95 to pattern-reversal stimulation, which was by a
factor of 5.2+/-0.6 greater than that N95 for the standard condition (p<0.001).
mfPERGs for a comparatively low stimulation rate, i.e., reversing its contrast
with a probability of 50% only every 53 ms, yielded the greatest SNRs (1.42-fold
greater than for the standard condition; p300.9 for both silybin A
and silybin B and m/z 579.2-->271.1 for naringin, respectively. Linear
calibration curves were obtained in the concentration range of 2-5000ng/ml with a
lower limit of quantitation (LLOQ) of 2ng/ml for both silybin A and silybin B,
respectively. The intra- and inter-day precision values were below 7.5% and
accuracy was within +/-4.9% at all three quality control (QC) levels, for both
silybin A and silybin B, respectively. This method was successfully applied to
the stereospecific analysis of silybin in plasma samples from a pharmacokinetic
study of silybin A and silybin B in 22 healthy male Chinese volunteers after a
single oral dose of silybin-phosphatidylcholine complex (equivalent to 280mg
silybin, including 133mg silybin A and 147mg silybin B).
PMID- 18054295
TI - Height, health, and income in the US, 1984--2005.
AB - Height has been associated with better physical health when outcomes such as
diabetes, heart disease, and obesity are considered, yet stature is rarely used
in predicting comorbidities or as a proxy for physical health when analyzing
outcomes such as income. Since height is a more exogenous measure than variables
likely to be affected by lifestyle changes, such as obesity, observing labor
market outcomes based on height may be revealing. In addition, gender and racial
differences must be taken into account when analyzing the effects of height on
physical health and labor market outcomes. This study utilizes the 1984--2005
samples of the Behavioral Risk Factor Surveillance System in estimating trends in
height over time by gender and race, and in analyzing the relationship between
height and physical health and labor market outcomes in the United States. Trends
show that height has not changed substantially at a time when physical health, as
indicated by the incidence of obesity, Type II diabetes, and cholesterol, has
deteriorated, and earnings disparities across racial gaps persist. Results at
mean values for males indicate that being 10cm taller is associated with a 14-47%
increase in obesity, an 8-13% reduction in cholesterol prevalence, and a $1874
2306 income premium. For females, results indicate that being 10cm taller is
associated with an 8-18% reduction in cholesterol, a 14% reduction in diabetes
for white females, and an $891-2243 earnings premium.
PMID- 18054296
TI - A hypothetical road map to reduce acid related diseases costs management.
PMID- 18054298
TI - Functionally graded Co-Cr-Mo coating on Ti-6Al-4V alloy structures.
AB - Functionally graded, hard and wear-resistant Co-Cr-Mo alloy was coated on Ti-6Al
4V alloy with a metallurgically sound interface using Laser Engineering Net
Shaping (LENS). The addition of the Co-Cr-Mo alloy onto the surface of Ti-6Al-4V
alloy significantly increased the surface hardness without any intermetallic
phases in the transition region. A 100% Co-Cr-Mo transition from Ti-6Al-4V was
difficult to produce due to cracking. However, using optimized LENS processing
parameters, crack-free coatings containing up to 86% Co-Cr-Mo were deposited on
Ti-6Al-4V alloy with excellent reproducibility. Human osteoblast cells were
cultured to test in vitro biocompatibility of the coatings. Based on in vitro
biocompatibility, increasing the Co-Cr-Mo concentration in the coating reduced
the live cell numbers after 14 days of culture on the coating compared with base
Ti-6Al-4V alloy. However, coated samples always showed better bone cell
proliferation than 100% Co-Cr-Mo alloy. Producing near net shape components with
graded compositions using LENS could potentially be a viable route for
manufacturing unitized structures for metal-on-metal prosthetic devices to
minimize the wear-induced osteolysis and aseptic loosening that are significant
problems in current implant design.
PMID- 18054297
TI - Mechanical and biological properties of hydroxyapatite/tricalcium phosphate
scaffolds coated with poly(lactic-co-glycolic acid).
AB - Regeneration of bone, cartilage and osteochondral tissues by tissue engineering
has attracted intense attention due to its potential advantages over the
traditional replacement of tissues with synthetic implants. Nevertheless, there
is still a dearth of ideal or suitable scaffolds based on porous biomaterials,
and the present study was undertaken to develop and evaluate a useful porous
composite scaffold system. Here, hydroxyapatite (HA)/tricalcium phosphate (TCP)
scaffolds (average pore size: 500 microm; porosity: 87%) were prepared by a
polyurethane foam replica method, followed by modification with infiltration and
coating of poly(lactic-co-glycolic acid) (PLGA). The thermal shock resistance of
the composite scaffolds was evaluated by measuring the compressive strength
before and after quenching or freezing treatment. The porous structure (in terms
of pore size, porosity and pore interconnectivity) of the composite scaffolds was
examined. The penetration of the bone marrow stromal stem cells into the
scaffolds and the attachment of the cells onto the scaffolds were also
investigated. It was shown that the PLGA incorporation in the HA/TCP scaffolds
significantly increased the compressive strength up to 660 kPa and the residual
compressive strength after the freezing treatment decreased to 160 kPa, which
was, however, sufficient for the scaffolds to withstand subsequent cell culture
procedures and a freeze-drying process. On the other hand, the PLGA coating on
the strut surfaces of the scaffolds was rather thin (<5 microm) and apparently
porous, maintaining the high open porosity of the HA/TCP scaffolds, resulting in
desirable migration and attachment of the bone marrow stromal stem cells,
although a thicker PLGA coating would have imparted a higher compressive strength
of the PLGA-coated porous HA/TCP composite scaffolds.
PMID- 18054299
TI - Osteoblast-like cell proliferation on tape-cast and sintered tricalcium phosphate
sheets.
AB - The influence of sintering temperature on the in vitro proliferation of
osteoblast-like cells to sintered tricalcium phosphate (TCP) sheets prepared by
tape-casting was investigated. Green sheets of tape-cast beta-TCP were sintered
for 2h in a furnace at atmospheric pressure at five different sintering
temperatures: 900, 1000, 1100, 1150 and 1200 degrees C. The number of osteoblast
like (MC3T3-E1) cells deposited onto TCP sheets was counted after cell
cultivation for 1week and was found to have increased with increasing sintering
temperature. The TCP sheets sintered at 900 degrees C exhibited a significantly
lower cell number than TCP sheets sintered at 1000, 1100, 1150 and 1200 degrees
C. In the attenuated total reflection infrared spectra, the peaks around 900
1150cm(-1), corresponding to the P-O vibration mode of the phosphate group,
gradually decreased and shifted to lower wavenumbers with increasing sintering
temperature. Meanwhile, the zeta potential of TCP sintered at 900 degrees C
showed a highly negative charge when compared with the other groups. This would
suggest that the higher solubility of the TCP sheets sintered at 900 degrees C
exerted the higher negative charge obtained from zeta potential measurement.
Within the limitations of this study, it was indicated that osteoblast-like cell
proliferation increased with increasing sintering temperature. The biological
stability of the sintered TCP sheet surface was considered to have affected cell
proliferation.
PMID- 18054300
TI - Microvascular ear reconstruction using a free radial forearm flap after dog bite.
AB - A 68-year old woman suffered from a subtotal amputation of the left ear due to a
dog bite. The recovered ear was implanted and reconstructed using a radial
forearm flap. In our opinion, the forearm is an excellent choice for implantation
of recovered ear cartilage as preparation for one-stage reconstruction.
PMID- 18054301
TI - Results of the early use of tissue expansion for giant congenital melanocytic
naevi on the scalp and face.
AB - SUMMARY INTRODUCTION: Giant congenital melanocytic naevi (GCMN) are uncommon,
have a significant morbidity and require extensive treatment. This paper presents
results after complete excision of GCMN on the scalp, forehead or periorbita
after early tissue expansion. Based on 15 years of experience, we want to show
that performing tissue expansion at a young age is advisable. PATIENTS AND
METHODS: We included 17 consecutive patients in whom 38 tissue expanders were
used. Early and late complications were noted. Patients were seen for a clinical
follow up in which scars and re-pigmentation were evaluated with a validated scar
scale (POSAS). RESULTS: All GCMN could be excised completely with early tissue
expansion. The age at treatment ranged from 4 months to 2 years of age. With a
mean follow-up period of 8.7 years, mild re-pigmentation was seen in only three
patients and none of the patients developed a malignant melanoma. Complication
rates are comparable with the literature. CONCLUSION: Tissue expansion is a good
method for removing GCMN located at the scalp or face with good cosmetic and
oncological results. Performing tissue expansion at a young age is advisable.
PMID- 18054302
TI - Improving postoperative analgesia for transverse rectus abdominis myocutaneous
flap breast reconstruction; the use of a local anaesthetic infusion catheter.
AB - SUMMARY: Postoperative pain relief can be delivered either directly to the
operative site, or systemically. When effective analgesia can be provided
locally, the side effects of systemic analgesia can be avoided, reducing the
morbidity of the procedure. We examined the effects of delivering local
anaesthetic to the rectus sheath via a multilumen infusion catheter following
transverse rectus abdominis myocutaneous (TRAM) flap surgery, and compared the
outcomes with patients managed using patient-controlled analgesia (PCA). Forty
six TRAM flap patients received either PCA, local anaesthetic infusion and PCA,
or local anaesthetic infusion and supplemental opioid as needed, and the
analgesic requirements and morbidity associated with the use of these analgesic
medications were recorded. The use of local anaesthetic infusion significantly
reduced both total opioid use (71.7% reduction) and nausea, as reflected in the
reduction of antiemetic required (51.5% reduction). Fourteen patients were also
successful managed with just the infusion catheter and supplementary analgesia,
avoiding completely the use of PCA. A 1.5-day reduction in length of
hospitalisation was also found. Local anaesthetic infusion delivery to the rectus
sheath significantly reduces narcotic requirements, may eliminate the need for
PCA, and has an associated significant decrease in the postoperative nausea and
vomiting commonly seen in TRAM breast reconstructions.
PMID- 18054303
TI - Autologous breast augmentation with the lateral intercostal artery perforator
flap in massive weight loss patients.
AB - The body contour deformities that develop in morbidly obese patients following
bariatric surgery often involve the breasts. Mastopexy is virtually always
required in the female massive weight loss patient, and breast augmentation is
often an important adjunct to breast-lifting procedures. The lateral intercostal
artery perforator (LICAP) pedicled flap provides ample material for autogenous
breast augmentation in such patients. Between June 2001 and June 2005, bilateral
LICAP flaps were used as a method of autologous breast augmentation in six
patients after massive weight loss. Of the 12 pedicled LICAP flaps raised, the
average flap dimension was 23.6x10.6 cm. Mean flap harvesting time was 60 min
(range 45-75 min) for a single flap. All but two flaps were based on one
perforator. All donor sites were closed primarily. Complete flap survival was
achieved in all cases. A minor wound dehiscence occurred in two cases both of
which healed secondarily. Patient satisfaction with both the appearance of their
breasts and lateral axillary-thoracic region was high. The improved contour of
the lateral axillary region was frequently noted as a significant benefit. In
massive weight loss patients, harvesting the lateral skin-fat excess based on the
LICAP provides supple tissue for breast augmentation, while simultaneously
improving the contour of this area frequently affected by skin excess.
Additionally, harvesting these flaps without sacrifice of the underlying muscle
eases postoperative recovery and reduces donor site morbidity.
PMID- 18054304
TI - Gigantomastia--a classification and review of the literature.
AB - INTRODUCTION: Gigantomastia is a rare, psychologically and physically disabling
condition characterised by excessive breast growth. To date, there is no
universal classification or accepted definition for this condition. Many authors
cite gigantomastia as breast enlargement that requires reduction of over 1500 g
per breast. However, there is discordance in the literature with the weight of
reduction ranging from 0.8 to 2 kg, or even a D cup bra size. Practically this is
a postoperative definition which is of little use to the clinician in terms of
patient management or prognosis. METHOD: We conducted a literature review and
meta analysis of all published cases of gigantomastia. We combined this with
seven cases managed at Selly Oak Hospital, Birmingham, to give us a series of 115
patients. In order to devise a universally accepted definition of gigantomastia,
a survey of 150 plastic surgery consultants within Europe was conducted.
Participants were e-mailed a questionnaire, requesting their definition of
gigantomastia with an appropriate excision weight. They were also asked to
comment on any preoperative measurements taken to establish this predicted
weight. CONCLUSION: We propose a classification of gigantomastia based on the
cause, management and prognosis of the disease.
PMID- 18054305
TI - Influence of controlled hypotension versus normotension on amount of blood loss
during breast reduction.
AB - SUMMARY: Controlled hypotension employed during surgical procedures results in a
beneficial reduction in blood loss during the operation. Breast reduction is a
common cosmetic surgical procedure. Yet, in the Netherlands, controlled
hypotension is not standard during breast reduction procedures, and in fact is
only occasionally employed. Our research aimed to establish a set of guidelines
which would outline the application of controlled hypotension during breast
reduction surgery. The set up of the study was prospective. The patients were
randomised into two groups. In the test group, controlled hypotension with an
average of 30% reduction in systolic tension was established during the first
operative phase. For the control group, normotension was maintained during the
entire procedure. The blood loss in the test group (n=23; mean 318 cc) was
reduced by 54.1% compared to control (n=28; mean 598 cc), and this difference was
significant. A significant positive correlation was also found between blood loss
and total incision time. An overall complication rate of 5.1% was observed;
however, there was no significant difference between the two groups. A trend in
favour of hypotension does suggest it may also help reduce postoperative
complications. Our data indicate that a reduction in blood loss of more than 50%
can be achieved by employing controlled hypotension in the first operative phase
of breast reduction. A reduction in systolic pressure of 20-25% with the use of
nitroprusside is sufficient to achieve this reduced blood loss.
PMID- 18054306
TI - The Amplatz canine duct occluder: a novel device for patent ductus arteriosus
occlusion.
AB - The Amplatz canine duct occluder (ACDO) is a nitinol mesh device with a short
waist that separates a flat distal disc from a cupped proximal disc. The device
is designed to conform to the morphology of the canine patent ductus arteriosus
(PDA). PDA dimensions are determined by angiography, and a guiding catheter is
advanced into the main pulmonary artery via the aorta and PDA. An ACDO with a
waist diameter approximately twice the angiographic minimal ductal diameter (MDD)
is advanced via the catheter using an attached delivery cable until the flat
distal disc deploys within the main pulmonary artery. The partially deployed
ACDO, guiding catheter, and delivery cable are retracted until the distal disc
engages the pulmonic ostium of the PDA. With the delivery cable stabilized, the
catheter is retracted to deploy the waist across the pulmonic ostium and cupped
proximal disc within the ductal ampulla. Tension on the delivery cable is
released, and correct ACDO positioning and stability are confirmed by observing
that the device assumes its native shape, back-and-forth maneuvering of the
delivery cable, and a small contrast injection made through the guiding catheter.
The delivery cable is detached and removed with the guiding catheter. To assess
for any residual ductal flow, an angiogram is performed at the conclusion of the
procedure, followed by Doppler echocardiography at 1 day and 3 months post
procedure. PDA occlusion in dogs with the ACDO is straightforward and extremely
effective across a wide range of body weights, somatotypes, MDDs, and ductal
morphologies.
PMID- 18054307
TI - DNA analysis of AHI1, NPHP1 and CYCLIN D1 in Joubert syndrome patients from the
Netherlands.
AB - Joubert syndrome (JBS) is a clinically variable and genetically heterogeneous
developmental brain disorder with autosomal recessive inheritance. Five genes,
AHI1, NPHP1, CEP290, MKS3, and RPGRIP1L, and two additional loci on chromosome 9
and 11 have been identified so far. The relative contributions of AHI1 mutations
and NPHP1 deletions have not yet been determined in a population-based JBS
patient cohort. We therefore undertook a nationwide survey of JBS in the
Netherlands and performed DNA analysis of the AHI1 and NPHP1 genes, as well as a
new candidate gene CYCLIN D1. We obtained clinical data and DNA samples of 25
Dutch JBS patients. DNA analysis of AHI1 revealed pathogenic homozygous or
compound heterozygous AHI1 mutations in four patients (16%). Based on the birth
prevalence of about 1 in 100,000 for JBS in the Netherlands, we estimated a
carrier frequency of AHI1 mutations of approximately 1 in 400. In another two
patients, the AHI1 mutation Arg830Trp was identified (homozygously and
heterozygously), a possible low penetrance allele. No deletions of NPHP1 or
CYCLIN D1 mutations were detected in these 25 patients. In the four patients with
AHI1 mutations, retinal disease (Leber congenital amaurosis or retinal dystrophy)
was present in two, whereas none had renal disease. Pooling our data and data
from the literature, retinal disease seems to occur in 75% of AHI1-associated JBS
patients. Renal disease is present in 10% at most. We conclude that AHI1
mutations are an important cause of JBS in Dutch patients, and should always be
looked for in patients suspected of JBS, especially when retinal dystrophy is
present. Patients with AHI1 mutations should be regularly checked for retinal and
renal disease up until adolescence.
PMID- 18054308
TI - Impact of geometry and viewing angle on classification accuracy of 2D based
analysis of dysmorphic faces.
AB - Digital image analysis of faces has been demonstrated to be effective in a small
number of syndromes. In this paper we investigate several aspects that help
bringing these methods closer to clinical application. First, we investigate the
impact of increasing the number of syndromes from 10 to 14 as compared to an
earlier study. Second, we include a side-view pose into the analysis and third,
we scrutinize the effect of geometry information. Picture analysis uses a Gabor
wavelet transform, standardization of landmark coordinates and subsequent
statistical analysis. We can demonstrate that classification accuracy drops from
76% for 10 syndromes to 70% for 14 syndromes for frontal images. Including side
views achieves an accuracy of 76% again. Geometry performs excellently with 85%
for combined poses. Combination of wavelets and geometry for both poses increases
accuracy to 93%. In conclusion, a larger number of syndromes can be handled
effectively by means of image analysis.
PMID- 18054310
TI - Imaging obesity: fMRI, food reward, and feeding.
AB - Animal studies have revealed brain regions that control homeostatic feeding, but
the rampant overeating contributing to the obesity epidemic suggests the
participation of "nonhomeostatic" control centers. Recent papers by Batterham et
al. (2007) and Farooqi et al. (2007) link peptide YY(3-36) and leptin to the
activation of nonhomeostatic brain regions.
PMID- 18054311
TI - FoxO3 controls dangerous proteolytic liaisons.
AB - FoxO3 regulates the transcription of critical components of the ubiquitin
proteasome system in muscle wasting. Two reports (Mammucari et al., 2007; Zhao et
al., 2007) now implicate FoxO3 in the transcription of autophagy-related genes
and provide the first direct evidence for a coordinated role of autophagy in
muscle atrophy.
PMID- 18054312
TI - Mitochondria and aging: dilution is the solution.
AB - Metabolic component depletion in model systems results in life-span extension,
which has been difficult to reconcile with human metabolic pathologies. Recently,
Rea et al. (2007) have shown that mitochondrial electron transport chain RNAi
phenotypes in the worm C. elegans are dose dependent, providing an alternative
view of mitochondrial function in longevity and metabolic diseases.
PMID- 18054313
TI - Enhanced leptin-stimulated Pi3k activation in the CNS promotes white adipose
tissue transdifferentiation.
AB - The contribution of different leptin-induced signaling pathways in control of
energy homeostasis is only partly understood. Here we show that selective Pten
ablation in leptin-sensitive neurons (Pten(DeltaObRb)) results in enhanced Pi3k
activation in these cells and reduces adiposity by increasing energy expenditure.
White adipose tissue (WAT) of Pten(DeltaObRb) mice shows characteristics of brown
adipose tissue (BAT), reflected by increased mitochondrial content and Ucp1
expression resulting from enhanced leptin-stimulated sympathetic nerve activity
(SNA) in WAT. In contrast, leptin-deficient ob/ob-Pten(DeltaObRb) mice exhibit
unaltered body weight and WAT morphology compared to ob/ob mice, pointing to a
pivotal role of endogenous leptin in control of WAT transdifferentiation.
Leanness of Pten(DeltaObRb) mice is accompanied by enhanced sensitivity to
insulin in skeletal muscle. These data provide direct genetic evidence that
leptin-stimulated Pi3k signaling in the CNS regulates energy expenditure via
activation of SNA to perigonadal WAT leading to BAT-like differentiation of WAT.
PMID- 18054314
TI - Loss of Akt1 leads to severe atherosclerosis and occlusive coronary artery
disease.
AB - The Akt signaling pathway controls several cellular functions in the
cardiovascular system; however, its role in atherogenesis is unknown. Here, we
show that the genetic ablation of Akt1 on an apolipoprotein E knockout background
(ApoE(-/-)Akt1(-/-)) increases aortic lesion expansion and promotes coronary
atherosclerosis. Mechanistically, lesion formation is due to the enhanced
expression of proinflammatory genes and endothelial cell and macrophage
apoptosis. Bone marrow transfer experiments showing that macrophages from ApoE(-/
)Akt1(-/-) donors were not sufficient to worsen atherogenesis when transferred to
ApoE(-/-) recipients suggest that lesion expansion in the ApoE(-/-)Akt1(-/-)
strain might be of vascular origin. In the vessel wall, the loss of Akt1
increases inflammatory mediators and reduces eNOS phosphorylation, suggesting
that Akt1 exerts vascular protection against atherogenesis. The presence of
coronary lesions in ApoE(-/-)Akt1(-/-) mice provides a new model for studying the
mechanisms of acute coronary syndrome in humans.
PMID- 18054315
TI - FoxO3 controls autophagy in skeletal muscle in vivo.
AB - Autophagy allows cell survival during starvation through the bulk degradation of
proteins and organelles by lysosomal enzymes. However, the mechanisms responsible
for the induction and regulation of the autophagy program are poorly understood.
Here we show that the FoxO3 transcription factor, which plays a critical role in
muscle atrophy, is necessary and sufficient for the induction of autophagy in
skeletal muscle in vivo. Akt/PKB activation blocks FoxO3 activation and
autophagy, and this effect is not prevented by rapamycin. FoxO3 controls the
transcription of autophagy-related genes, including LC3 and Bnip3, and Bnip3
appears to mediate the effect of FoxO3 on autophagy. This effect is not prevented
by proteasome inhibitors. Thus, FoxO3 controls the two major systems of protein
breakdown in skeletal muscle, the ubiquitin-proteasomal and autophagic/lysosomal
pathways, independently. These findings point to FoxO3 and Bnip3 as potential
therapeutic targets in muscle wasting disorders and other degenerative and
neoplastic diseases in which autophagy is involved.
PMID- 18054316
TI - FoxO3 coordinately activates protein degradation by the autophagic/lysosomal and
proteasomal pathways in atrophying muscle cells.
AB - Muscle atrophy occurs in many pathological states and results primarily from
accelerated protein degradation and activation of the ubiquitin-proteasome
pathway. However, the importance of lysosomes in muscle atrophy has received
little attention. Activation of FoxO transcription factors is essential for the
atrophy induced by denervation or fasting, and activated FoxO3 by itself causes
marked atrophy of muscles and myotubes. Here, we report that FoxO3 does so by
stimulating overall protein degradation and coordinately activating both
lysosomal and proteasomal pathways. Surprisingly, in C2C12 myotubes, most of this
increased proteolysis is mediated by lysosomes. Activated FoxO3 stimulates
lysosomal proteolysis in muscle (and other cell types) by activating autophagy.
FoxO3 also induces the expression of many autophagy-related genes, which are
induced similarly in mouse muscles atrophying due to denervation or fasting.
These studies indicate that decreased IGF-1-PI3K-Akt signaling activates
autophagy not only through mTOR but also more slowly by a transcription-dependent
mechanism involving FoxO3.
PMID- 18054317
TI - Hepatic stearoyl-CoA desaturase-1 deficiency protects mice from carbohydrate
induced adiposity and hepatic steatosis.
AB - Stearoyl-CoA desaturase-1 (SCD1), a critical regulator of energy metabolism,
catalyzes the synthesis of monounsaturated fats. To understand the tissue
specific role of SCD1 in energy homeostasis, we used Cre-lox technology to
generate mice with a liver-specific knockout of Scd1 (LKO). LKO mice were
protected from high-carbohydrate, but not high-fat (HF), diet-induced adiposity
and hepatic steatosis. Additionally, on a high-sucrose, very low-fat (HSVLF)
diet, lipogenesis and levels of nuclear SREBP-1 and ChREBP were significantly
decreased in the livers of LKO relative to Scd1(lox/lox) (Lox) mice. HSVLF
feeding in LKO mice caused hypoglycemia and hepatic carbohydrate reduction due to
an impairment of gluconeogenesis. Oleate, but not stearate, supplementation
normalized adiposity, gluconeogenesis, triglyceride secretion, and hepatic
lipogenesis of LKO mice. These results indicate that hepatic SCD1 expression (and
thus, oleate) is required for carbohydrate-induced adiposity, but SCD1 inhibition
in extrahepatic tissues is required to protect mice from HF-induced obesity and
insulin resistance.
PMID- 18054318
TI - Respiratory uncoupling in skeletal muscle delays death and diminishes age-related
disease.
AB - Age-related disease, not aging per se, causes most morbidity in older humans.
Here we report that skeletal muscle respiratory uncoupling due to UCP1 expression
diminishes age-related disease in three mouse models. In a longevity study,
median survival was increased in UCP mice (animals with skeletal muscle-specific
UCP1 expression), and lymphoma was detected less frequently in UCP female mice.
In apoE null mice, a vascular disease model, diet-induced atherosclerosis was
decreased in UCP animals. In agouti yellow mice, a genetic obesity model,
diabetes and hypertension were reversed by induction of UCP1 in skeletal muscle.
Uncoupled mice had decreased adiposity, increased temperature and metabolic rate,
elevated muscle SIRT and AMP kinase, and serum characterized by increased
adiponectin and decreased IGF-1 and fibrinogen. Accelerating metabolism in
skeletal muscle does not appear to impact aging but may delay age-related
disease.
PMID- 18054320
TI - Diabetes alters LDL receptor and PCSK9 expression in rat liver.
AB - Since the hepatic LDL receptor is regarded as a major determinant of plasma LDL
levels, the effect of diabetes on the expression of this receptor was examined in
rat liver. Inducing diabetes with streptozotocin caused a significant reduction
in hepatic LDL receptor mRNA levels in concert with an increase in serum
cholesterol levels. However, LDL receptor protein levels were unaffected by the
diabetic state. Further investigation revealed that protein levels of PCSK9,
which has been shown to enhance the degradation of the LDL receptor protein, were
significantly decreased in the diabetic rats explaining the lack of reduction in
LDL receptor protein levels. These observations indicate that the rate of LDL
receptor cycling (function) in diabetic rats is decreased resulting in higher
serum LDL levels.
PMID- 18054319
TI - Increased energy expenditure, dietary fat wasting, and resistance to diet-induced
obesity in mice lacking renin.
AB - An overactive renin-angiotensin system is associated with obesity and the
metabolic syndrome. However, the mechanisms behind it are unclear. Cleaving
angiotensinogen to angiotensin I by renin is a rate-limiting step of angiotensin
II production, but renin is suggested to have angiotensin-independent effects. We
generated mice lacking renin (Ren1c) using embryonic stem cells from C57BL/6
mice, a strain prone to diet-induced obesity. Ren1c(-/-) mice are lean, insulin
sensitive, and resistant to diet-induced obesity without changes in food intake
and physical activity. The lean phenotype is likely due to a higher metabolic
rate and gastrointestinal loss of dietary fat. Most of the metabolic changes in
Ren1c(-/-) mice were reversed by angiotensin II administration. These results
support a role for angiotensin II in the pathogenesis of diet-induced obesity and
insulin resistance.
PMID- 18054321
TI - Mitochondrial biogenesis in fast skeletal muscle of CK deficient mice.
AB - Creatine kinase (CK) is a phosphotransfer kinase that catalyzes the reversible
transfer of a phosphate moiety between ADP and creatine and that is highly
expressed in skeletal muscle. In fast glycolytic skeletal muscle, deletion of the
cytosolic M isoform of CK in mice (M-CK-/-) leads to a massive increase in the
oxidative capacity and of mitochondrial volume. This study was aimed at
investigating the transcriptional pathways leading to mitochondrial biogenesis in
response to CK deficiency. Wild type and M-CK-/- mice of eleven months of age
were used for this study. Gastrocnemius muscles of M-CK-/- mice exhibited a
dramatic increase in citrate synthase (+120%) and cytochrome oxidase (COX, +250%)
activity, and in mitochondrial DNA (+60%), showing a clear activation of
mitochondrial biogenesis. Similarly, mRNA expression of the COXI (mitochondria
encoded) and COXIV (nuclear-encoded) subunits were increased by +103 and +94%
respectively. This was accompanied by an increase in the expression of the
nuclear respiratory factor (NRF2alpha) and the mitochondrial transcription factor
(mtTFA). Expression of the co-activator PGC-1alpha, a master gene in
mitochondrial biogenesis was not significantly increased while that of PGC-1beta
and PRC, two members of the same family, was moderately increased (+45% and +55%
respectively). While the expression of the modulatory calcineurin-interacting
protein 1 (MCIP1) was dramatically decreased (-68%) suggesting inactivation of
the calcineurin pathway, the metabolic sensor AMPK was activated (+86%) in M-CK-/
mice. These results evidence that mitochondrial biogenesis in response to a
metabolic challenge exhibits a unique pattern of regulation, involving activation
of the AMPK pathway.
PMID- 18054322
TI - Modified glutamine catabolism in macrophages of Ucp2 knock-out mice.
AB - Uncoupling protein 2 (UCP2) belongs to a family of transporters of the
mitochondrial inner membrane and is reported to uncouple respiration from ATP
synthesis. Our observation that the amino acid glutamine specifically induces
UCP2 protein expression prompted us to investigate metabolic consequences of a
UCP2 knockdown (Ucp2-KO) when glutamine is offered as a substrate. We found that
Ucp2-KO macrophages incubated in the presence of glutamine exhibit a lower
ammonium release, a decreased respiratory rate, and an intracellular accumulation
of aspartate. Therefore, we conclude that UCP2 expression is required for
efficient oxidation of glutamine in macrophages. This role of UCP2 in glutamine
metabolism appears independent from the uncoupling activity of UCP2.
PMID- 18054323
TI - MITO-Porter: A liposome-based carrier system for delivery of macromolecules into
mitochondria via membrane fusion.
AB - Mitochondria are the principal producers of energy in higher cells. Mitochondrial
dysfunction is implicated in a variety of human diseases, including cancer and
neurodegenerative disorders. Effective medical therapies for such diseases will
ultimately require targeted delivery of therapeutic proteins or nucleic acids to
the mitochondria, which will be achieved through innovations in the
nanotechnology of intracellular trafficking. Here we describe a liposome-based
carrier that delivers its macromolecular cargo to the mitochondrial interior via
membrane fusion. These liposome particles, which we call MITO-Porters, carry
octaarginine surface modifications to stimulate their entry into cells as intact
vesicles (via macropinocytosis). We identified lipid compositions for the MITO
Porter which promote both its fusion with the mitochondrial membrane and the
release of its cargo to the intra-mitochondrial compartment in living cells.
Thus, the MITO-Porter holds promise as an efficacious system for the delivery of
both large and small therapeutic molecules into mitochondria.
PMID- 18054324
TI - Spectroscopic and ITC study of the conformational change upon Ca2+-binding in TnC
C-lobe and TnI peptide complex from Akazara scallop striated muscle.
AB - Akazara scallop (Chlamys nipponensis akazara) troponin C (TnC) of striated
adductor muscle binds only one Ca(2+) ion at the C-terminal EF-hand motif (Site
IV), but it works as the Ca(2+)-dependent regulator in adductor muscle
contraction. In addition, the scallop troponin (Tn) has been thought to regulate
muscle contraction via activating mechanisms that involve the region spanning
from the TnC C-lobe (C-lobe) binding site to the inhibitory region of the TnI,
and no alternative binding of the TnI C-terminal region to TnC because of no
similarity between second TnC-binding regions of vertebrate and the scallop TnIs.
To clarify the Ca(2+)-regulatory mechanism of muscle contraction by scallop Tn,
we have analyzed the Ca(2+)-binding properties of the complex of TnC C-lobe and
TnI peptide, and their interaction using isothermal titration microcalorimetry,
nuclear magnetic resonance, circular dichroism, and gel filtration
chromatography. The results showed that single Ca(2+)-binding to the Site IV
leads to a structural transition not only in Site IV but also Site III through
the structural network in the C-lobe of scallop TnC. We therefore assumed that
the effect of Ca(2+)-binding must lead to a change in the interaction mode
between the C-lobe of TnC and the TnI peptide. The change should be the first
event of the transmission of Ca(2+) signal to TnI in Tn ternary complex.
PMID- 18054325
TI - An integrase of endogenous retrovirus is involved in maternal mitochondrial DNA
inheritance of the mouse.
AB - The mechanism of maternal mitochondrial DNA (mtDNA) inheritance in animals can be
said to be the selective elimination of sperm mtDNA via the elimination factor of
the egg and a sperm mitochondria-specific factor. In 2005, we clarified that t
tpis (Spag1 isoform 1) is a mitochondria-specific translocator and the sperm
factor, and furthermore estimated that the elimination factors of the egg are the
divalent cation-dependent endonuclease and s-tpis (Spag1 isoform 2 and isoform 3)
as the elimination system-specific chaperone [K. Hayashida, K. Omagari, J.
Masuda, H. Hazama, Y. Kadokawa, K. Ohba, S. Kohno, The sperm mitochondria
specific translocator has a key role in maternal mitochondrial inheritance, Cell
Biol. Int. 29 (2005) 472-481]. This time, using a recombinant Spag1 isoform 1
protein, a pull-down assay of ovary cytosol was performed and the elimination
factors searched for. Surprisingly, an endogenous retroviral integrase fragment
(Eri15) was identified using mass spectrometry of the electrophoresis band of the
pull-down protein. Eri15 was detected as a complex of approximately 500kDa with
Spag1 isoform 2 or isoform 3 in native PAGE of the ovary cytosol. This strongly
suggested that Eri15 is selectively transported into the sperm mitochondria
matrix by Spag1 isoform 2 and 3 via Spag1 isoform 1 and that sperm mtDNA is
destroyed, thus causing the establishment of maternal mtDNA inheritance.
PMID- 18054326
TI - Mitochondrial calpain 10 activity and expression in the kidney of multiple
species.
AB - Calpains, Ca(2+)-activated cysteine proteases, have been implicated in the
progression of multiple disease states. We recently identified calpain 10 as a
mitochondrial calpain that is involved in Ca(2+)-induced mitochondrial
dysfunction. The goals of this study were to characterize the expression and
activity of renal mitochondrial calpain 10 in rabbit, mouse, and rat. Using shRNA
technology and immunoblot analysis three previously postulated splice variants of
calpain 10 were identified (50, 56, and 75kDa). SLLVY-AMC zymography and
immunoblot analysis was used to directly link calpeptin-sensitive calpain
activity to calpain 10 splice variants. Rabbit, mouse, and rat kidney
mitochondria contained 75kDa (calpain 10a), 56kDa (calpain 10c or 10d), and 50kDa
(calpain 10e) splice variants. Interestingly, zymography yielded distinct bands
of calpain activity containing multiple calpain 10 splice variants in all
species. These results provide evidence that several previously postulated splice
variants of calpain 10 are localized to the mitochondria in kidneys of rabbits,
rats, and mice.
PMID- 18054327
TI - Localization of mouse mitochondrial SIRT proteins: shift of SIRT3 to nucleus by
co-expression with SIRT5.
AB - Yeast silent information regulator 2 (SIR2) is involved in extension of yeast
longevity by calorie restriction, and SIRT3, SIRT4, and SIRT5 are mammalian
homologs of SIR2 localized in mitochondria. We have investigated the localization
of these three SIRT proteins of mouse. SIRT3, SIRT4, and SIRT5 proteins were
localized in different compartments of the mitochondria. When SIRT3 and SIRT5
were co-expressed in the cell, localization of SIRT3 protein changed from
mitochondria to nucleus. These results suggest that the SIRT3, SIRT4, and SIRT5
proteins exert distinct functions in mitochondria. In addition, the SIRT3 protein
might function in nucleus.
PMID- 18054328
TI - Minimization of a eukaryotic mini-intein.
AB - Inteins are internal protein splicing elements that can autocatalytically self
excise from their host protein and ligate the protein flanks (exteins) with a
peptide bond. Large inteins comprise independent protein splicing and
endonuclease domains whereas mini-inteins lack the central endonuclease domain.
To identify mini-intein domains that are essential for protein splicing,
deletions were introduced at different sites of the 157-aa PRP8 mini-intein of
Penicillium chrysogenum. The removal of eight and six amino acids at two
different sites resulted in a functional eukaryotic mini-intein of only 143 aa.
PMID- 18054329
TI - The GC kinase Fray and Mo25 regulate Drosophila asymmetric divisions.
AB - Drosophila neuroblasts provide an excellent model for asymmetric cell divisions,
where cell-fate determinants such as Miranda localize at the basal cortex and
segregate to one daughter cell. Mechanisms underlying this process, however,
remain elusive. We found that Mo25 and the GC kinase Fray act in this regulation.
mo25 and fray mutants show an indistinguishable defect in Miranda localization.
On the other hand, Drosophila Mo25 interacts with the tumor suppressor kinase
Lkb1 in vivo, as have shown in mammals. Overexpression of Lkb1, which accumulates
in the cell cortex, drastically relocalizes both Mo25 and Fray from the cytoplasm
to the cortex, causing the same phenotype as mo25-mutant neuroblasts. Recovery
from this defect caused by Lkb1 overexpression requires simultaneous
overexpression of Mo25 and Fray. We suggest from those results that Mo25 and Fray
operate together or in the same pathway in Drosophila asymmetric processes, and
that their function counterbalances Lkb1.
PMID- 18054330
TI - UDP-glucuronosyltransferase 1A7 polymorphisms are associated with liver
cirrhosis.
AB - Variations in the UDP-glucuronosyltransferase (UGT) 1A7 gene have been found to
be related to the development of hepatocellular carcinoma (HCC). Since the
pathogenesis of liver cirrhosis is not dissimilar to that of HCC, we hypothesized
that UGT1A7 genetic polymorphisms may be associated with liver cirrhosis. PCR
restriction fragment length polymorphism was utilized to determine UGT for 1A7
genotypes for the 159 patients with liver cirrhosis and 263 gender/age matched
controls. Simple logistic regression analysis revealed that significant risk
factors for liver cirrhosis were (1) hepatitis B virus (HBV) infection, (2)
hepatitis C virus (HCV) infection, (3) HBV infection plus HCV infection and (4)
low-activity UGT1A7 genotypes. The results of further multivariate logistic
regression confirmed these associations. Interaction of low-activity UGT1A7
genotypes and HBV (or HCV) infection produced an additive effect upon the risk
for the development of liver cirrhosis [observed odds ratio (OR) (54.59) greater
than the expected OR (18.05)]. UGT1A7 low/low genotype was also related to
advanced liver cirrhosis (Child-Pugh classes C and/or B) (OR=7.50, P=0.009). This
study demonstrates the novel findings that carriage of low-activity UGT1A7
genotypes represents a risk factor for the development and functional severity of
liver cirrhosis.
PMID- 18054331
TI - The G501C polymorphism of oxidized LDL receptor gene [OLR-1] is associated with
susceptibility and serum C-reactive protein concentration in Chinese essential
hypertensives.
AB - BACKGROUND: Oxidized LDL receptor gene 1 (OLR-1) polymorphism is reportedly
associated with several cardiovascular conditions. However, its relationship with
essential hypertension remains unknown. The aim of this study is to explore the
association of OLR-1 polymorphism at position 501 in the open reading frame
(G501C), with the susceptibility of essential hypertension. METHODS: 2-hundred
eighty Chinese essential hypertensive and 284 control subjects were enrolled and
genetic study was performed. The clinical data, i.e., sex, age, blood pressure,
body mass index, smoking history, lipid profile and serum C-reactive protein
concentration in both hypertensives and controls were obtained. RESULTS: A
significant difference in OLR-1 genotype distributions was noted between the
hypertensives and the controls (GG: 67.9% vs. 70.8%; GC: 20.0% vs. 23.6%; CC:
12.1% vs. 5.6%, P=0.021). For G and C allele frequencies, the difference between
these 2 groups was significant as well (G: 67.5% vs. 23.5%, C: 82.6% vs. 17.4%,
P=0.011). Logistic regression analysis revealed that the CC genotype is an
independent risk factor for hypertension (OR=3.036, 95% CI: 1.572-6.174,
P=0.016). Furthermore, when the serum C-reactive protein concentration in the
hypertensive group was studied according to OLR-1 genotypes, the serum CRP
concentration in CC homozygous carriers were found significantly higher than that
in GC and GG carriers (1.53+/-0.32, 1.31+/-0.32 and 2.94+/-1.29 respectively,
P=0.002). CONCLUSIONS: The CC genotype of OLR-1 G501C polymorphism is associated
with susceptibility and serum C-reactive protein concentration in Chinese
essential hypertensive population.
PMID- 18054332
TI - Letter to the Editor regarding a paper about HbA2 measurements on patients with
HbS.
PMID- 18054333
TI - Heterophilic antibody interference in a tryptase immunoassay.
AB - OBJECTIVE: Investigation of the susceptibility of a tryptase immunoassay to
interference by heterophilic antibodies. METHODS: The effect of preincubation
with a blocking agent was investigated on the levels of tryptase, human anti
mouse antibodies and IgM rheumatoid factor in sera with elevated IgM rheumatoid
factor levels. RESULTS: In 5 of 30 sera with IgM rheumatoid factor, tryptase
levels were reduced at least twofold after pre-incubation with blocking reagent.
A significant association was observed between the presence of IgM rheumatoid
factor in the sera and the interference of tryptase immunoassay. There was no
quantitative correlation found between the reduction in serum tryptase level by
treatment with a blocking agent, and the amount of IgM rheumatoid factor was
present. However, this reduction in serum tryptase was significantly correlated
with the amount of human anti-mouse antibodies in the sera. After incubation with
blocking agent, there was no change in IgM Rheumatoid factor level, but a
significant decrease in human anti-mouse antibodies. CONCLUSION: The Phadia
tryptase assay method, in its present form, is sensitive to interference by
heterophilic antibodies.
PMID- 18054334
TI - Acute administration of 3,5-diiodo-L-thyronine to hypothyroid rats affects
bioenergetic parameters in rat skeletal muscle mitochondria.
AB - We investigated the mechanism by which 3,5-diiodo-l-thyronine (T2) affects
skeletal muscle mitochondrial bioenergetic parameters following its acute
administration to hypothyroid rats. One hour after injection, T2 increased both
coupled and uncoupled respiration rates by +27% and +42%, respectively. Top-down
elasticity analysis revealed that these effects were the result of increases in
the substrate oxidation and mitochondrial uncoupling. Discriminating between
proton-leak and redox-slip processes, we identified an increased mitochondrial
proton conductance as the "pathway" underlying the effect of T2 on mitochondrial
uncoupling. As a whole, these results may provide a mechanism by which T2 rapidly
affects energy metabolism in hypothyroid rats.
PMID- 18054335
TI - The physiological and pathophysiological role of adiponectin and adiponectin
receptors in the peripheral tissues and CNS.
AB - Adiponectin is an abundantly expressed adipokine in adipose tissue and has direct
insulin sensitizing activity. A decrease in the circulating levels of adiponectin
by interactions between genetic factors and environmental factors causing obesity
has been shown to contribute to the development of insulin resistance, type 2
diabetes, metabolic syndrome and atherosclerosis. In addition to its insulin
sensitizing actions, adiponectin has central actions in the regulation of energy
homeostasis. Adiponectin enhances AMP-activated protein kinase activity in the
arcuate hypothalamus via its receptor AdipoR1 to stimulate food intake and
decreases energy expenditure. We propose a hypothesis on the physiological role
of adiponectin: a starvation gene in the course of evolution by promoting fat
storage on facing the loss of adiposity.
PMID- 18054336
TI - A role for CITED2, a CBP/p300 interacting protein, in colon cancer cell invasion.
AB - A thorough understanding of histone acetyltransferase CBP/p300-mediated
regulation of gene expression and cell growth is essential to identify mechanisms
relevant to the development of histone deacetylase (HDAC) inhibitor-based
preventive and therapeutic strategies. We found that knockdown of CBP/p300
interacting coactivator with glutamic acid/aspartic acid-rich tail 2 (CITED2)
increased colon cancer cell invasiveness in vitro. Gene expression profiling
revealed that CITED2 knockdown induced matrix metalloproteinase-13 (MMP-13) gene
expression in colon cancer cells. Butyrate, a naturally occurring HDAC inhibitor,
induced CITED2 expression and downregulated MMP-13 expression in RKO cells.
Additionally, ectopic expression of CITED2 arrested RKO cell growth. Thus, CITED2
regulates colon cancer invasion and might be a target for HDAC inhibitor-based
intervention of colon cancer.
PMID- 18054337
TI - Phospho-mimicry mutant of atToc33 affects early development of Arabidopsis
thaliana.
AB - The precursor protein receptor at the chloroplast outer membrane atToc33 is a
GTPase, which can be inactivated by phosphorylation in vitro, being arrested in
the GDP loaded state. To assess the physiological function of phosphorylation,
attoc33 knock out mutants were complemented with a mutated construct mimicking
the constitutively phosphorylated state. Our data suggest that the reduced
functionality of the mutant protein can be compensated by its upregulation.
Chloroplast biogenesis and photosynthetic activity are impaired in the mutants
during the early developmental stage, which is consistent with the requirement of
atToc33 in young photosynthetic tissues.
PMID- 18054339
TI - Evidence for the nucleosome-disruption process regulated by phosphorylation of
120 kDa protein complex in Drosophila embryo cell-free system.
AB - Using cell-free system derived from Drosophila embryos, we found evidence for a
regulated nucleosome disruption process, which depends on the phosphorylation
status of 120 kDa protein (complex). Dephosphorylation enables the remodeling
activity to destabilize nucleosomes, which assume a more accessible structure,
possessing increased DNase I sensitivity and high conformational flexibility of
DNA; remodeling was more efficient on highly acetylated chromatin templates. This
phosphorylation-regulated nucleosome destabilization, acting synergistically with
histone acetylation, is discussed as a possible mechanism to provide regulated
disrupt of histone-DNA interaction.
PMID- 18054338
TI - The epidermal growth factor receptor (EGFR) is proteolytically modified by the
Matriptase-Prostasin serine protease cascade in cultured epithelial cells.
AB - Prostasin is expressed at the apical surface of normal epithelial cells and
suppresses in vitro invasion of cancer cells. Prostasin re-expression in the PC-3
prostate carcinoma cells down-regulated the epidermal growth factor receptor
(EGFR) protein expression and EGF-induced phosphorylation of the extracellular
signal-regulated kinases (Erk1/2). We report here that prostasin and its
activating enzyme matriptase are capable of inducing proteolytic cleavages in the
EGFR extracellular domain (ECD) when co-expressed in the FT-293 cells, generating
two amino-terminally truncated fragments EGFR135 and EGFR110, at 135 and 110 kDa.
Prostasin's role in EGFR cleavage is dependent on the serine active-site but not
the GPI-anchor. The modifications of EGFR were confirmed to be on the primary
structure by deglycosylation. EGFR135 and EGFR110 are not responsive to EGF
stimulation, indicating loss of the ligand-binding domains. EGFR110 is
constitutively phosphorylated and in its presence Erk1/2 phosphorylation is
increased in the absence of EGF. The protease-induced EGFR cleavages are not
dependent on EGFR phosphorylation. The EGFR ECD proteolytic modification by
matriptase-prostasin is also observed in the BEAS-2B normal lung epithelial
cells, the BPH-1 benign prostate hyperplasia and the MDA-MB-231 breast cancer
cell lines; and represents a novel mechanism for epithelial cells to modulate EGF
EGFR signaling.
PMID- 18054340
TI - Effect of polyunsaturated fatty acids on endocannabinoid and N-acyl-ethanolamine
levels in mouse adipocytes.
AB - The tissue concentrations of the endocannabinoids, 2-arachidonoylglycerol (2-AG)
and N-arachidonoyl-ethanolamine (anandamide), are altered in the adipose tissue
of mice fed a high fat diet. We have investigated here the effect on
endocannabinoid levels of incubation of mouse 3T3-F442A adipocytes with several
free polyunstaurated fatty acids (PUFAs), including linolenic acid (LA), alpha
linolenic acid (ALA), arachidonic acid (AA) and docosahexaenoic acid (DHA), as
well as oleic acid (OA) and palmitic acid (PA). By using mass spectrometric
methods, we quantified the levels of endocannabinoids, of two anandamide
congeners, N-palmitoyl-ethanolamine (PEA) and N-oleoyl-ethanolamine (OEA), and of
fatty acids esterified in triacylglycerols or phospholipids, which act as 2-AG
and/or N-acyl-ethanolamine precursors. Incubation with AA strongly elevated 2-AG
levels and the amounts of AA esterified in triacylglycerols and on glycerol
carbon atom 2 (sn-2), but not 1 (sn-1), in phospholipids. Incubation with DHA
decreased 2-AG and anandamide levels and the amounts of AA esterified on both the
sn-2 and sn-1 position of phospholipids, but not on triacylglycerols. PEA levels
augmented following incubation of adipocytes with OA and PA, with no
corresponding changes in phospholipids and triacylglycerols. We suggest that
dietary PUFAs might modulate the levels of adipocyte phospholipids that act as
endocannabinoid precursors.
PMID- 18054341
TI - Ionic interaction of myosin loop 2 with residues located beyond the N-terminal
part of actin probed by chemical cross-linking.
AB - To probe ionic contacts of skeletal muscle myosin with negatively charged
residues located beyond the N-terminal part of actin, myosin subfragment 1 (S1)
and actin split by ECP32 protease (ECP-actin) were cross-linked with 1-ethyl-3-(3
dimethylaminopropyl)carbodiimide (EDC). We have found that unmodified S1 can be
cross-linked not only to the N-terminal part, but also to the C-terminal 36 kDa
fragment of ECP-actin. Subsequent experiments performed on S1 cleaved by elastase
or trypsin indicate that the cross-linking site in S1 is located within loop 2.
This site is composed of Lys-636 and Lys-637 and can interact with negatively
charged residues of the 36 kDa actin fragment, most probably with Glu-99 and Glu
100. Cross-links are formed both in the absence and presence of MgATP.P(i)
analog, although the addition of nucleotide decreases the efficiency of the cross
linking reaction.
PMID- 18054342
TI - Isolation, purification, characterization and antigenic evaluation of GPI
anchored membrane proteins from Leishmania (Viannia) braziliensis.
AB - GPI-anchored proteins from the plasma membrane of Leishmania (Viannia)
braziliensis promastigotes were isolated, characterized and their migration
pattern compared with those from other Leishmania species. In all cases the SDS
PAGE migration patterns were obtained under reducing and non-reducing conditions,
using DL-dithiothreitol (DTT) as a reducer agent. Our results reveal that under
reducing conditions the SDS-PAGE migration pattern is modified as a consequence
of the disruption of disulphur-bonds and protein transformation. This is
demonstrated when in non-reducing conditions the L. (V.) braziliensis-GPI
anchored proteins pattern showed a group of bands over the 100kDa, and two more
bands of 52kDa and 50kDa in four different isolates, whereas under reducing
conditions the major GPI-anchored protein fractions were detected as bands of
63kDa, 50kDa and an increase of peptides between 34kDa and 22kDa. Similar
modifications were detected in the SDS-PAGE migration patterns of GPI-anchored
protein fractions from L. (Leishmania) donovani, L. (L.) mexicana and L. (L.)
amazonensis run under the same reducing conditions. Antigenic evaluation carried
out by Western blot revealed the presence of two very specific L. (V.)
braziliensis-GPI-anchored protein bands of 50kDa and 28kDa. These bands were
specifically recognized by anti-L. (V.) braziliensis-GPI-anchored protein serum
from experimentally immunized animals. These two peptides were not detected when
GPI-anchored protein fractions from L. (L.) donovani, L. (L.) mexicana and L.
(L.) amazonensis, were challenged with the same anti-serum. The present results
lead us to suggest the use of these two peptides as biochemical markers to
identify and differentiate leishmaniasis caused by L. (V.) braziliensis. The lack
of immunogenicity observed here with the peptide gp63, a very common protein
detected in Leishmania species, is considered.
PMID- 18054343
TI - [Evolution of the surgical strategy in hypertrophic cardiomyopathy: case studies
of eight patients].
AB - INTRODUCTION: Hypertrophic cardiomyopathy is an inherited disease characterized
by a left ventricular hypertrophy, a diastolic dysfunction and rhythm troubles
with risk of sudden death. There was an evolution in the surgical strategy to
treat the patients who present a left ventricular outflow tract gradient.
MATERIALS AND METHODS: A retrospective study was conducted: We selected eight
cases who presented an hypertrophic cardiomyopathy and operated on. Pre and post
operative echocardiographic data were analysed. Follow up was obtained by call or
mail to the cardiologist. RESULTS: The patients were classified in four groups:
isolated left ventricular outflow tract obstruction, left ventricular outflow
tract obstruction and associated lesions, obstructive hypertrophic cardiomyopathy
and endocarditis, post operative complications of the hypertrophic cardiomyopathy
surgery. We observed a significant decrease of the left ventricular outflow tract
mean gradient in the post operative period and at four years. CONCLUSION:
Surgical management of obstructive hypertrophic cardiomyopathy remain an
important option in young patients, in case of failure of the ethanol septal
ablation or in patients who present other surgical lesions. The dual chamber
stimulation remain indicated in old patients.
PMID- 18054344
TI - [Results of the surgical closure of ventricular septal defects of various ages:
report of 30 cases].
AB - OBJECTIVE: To appreciate short and midterm results of patients after surgical
closure of the ventricular septal defect. MATERIAL AND METHODS: The study is
retrospective and took place in the department of cardiovascular surgery "B", Ibn
Sina hospital, Rabat, Morocco. Between 1995 and 2005, 30 patients underwent a
surgical closure of ventricular septal defect. Eighteen patients (60%) were males
and twelve (40%) were females with a mean age of 10 years (18 months-36 years).
Seven patients (23%) were older than 16 years. All of ventricular septal defects
were type 2, unique and most of them perimembranous (70%). Four patients (13%),
were older than 16 years, had a significant aortic insufficiency that has
dictated the aortotomy for ventricular septal defect repair. The surgical
approach through the right atriotomy was sufficient for complete repair in 22
patients (73%). Closure of the defect has been done using a pericardial
autologous patch in 28 patients (93%). RESULTS: No operative mortality was
observed. The mean follow-up was five years. Eight patients (26%) had a residual
ventricular septal defect that progressed to spontaneous closure. Two patients
(6%) had a residual pulmonary hypertension and two others a moderate aortic
regurgitation. The four patients were older than 16 years. Echocardiography
showed a significant reduction in left ventricular dimension and systolic
pulmonary artery pressure. At last follow-up, 87% of the patients were in NYHA
class 1. CONCLUSION: This study demonstrates that surgical closure of ventricular
septal defect ensures a good outcome in short and midterm. Nevertheless, the risk
of delayed complications justifies long-term and regular follow-up.
PMID- 18054345
TI - [Risk factors of stroke among Congolese black hypertensive diabetics].
AB - BACKGROUND: The relationship between diabetes mellitus and risk of stroke is well
established. This risk is more elevated for hypertensive diabetics men.
OBJECTIVE: To determine the incidence and the risk factors of stroke among
hypertensive diabetics. METHODS: This prospective study has considered baseline
demographic, behavioural and biological parameters collected in 2002 among black
Congolese hypertensive diabetics from Kinshasa till the onset of stroke or not in
2006. RESULTS: Out of 492 followed-up patients (279 women, 213 men, 57+/-10
years), 41.9% were old of age > or = 60 years, and 16.5% experienced acute
stroke. In univariate analysis, a significant association between age > or = 60
years, cigarette smoking, excessive alcohol intake, diabetes duration > or = 2
years, pulse pressure > or = 60 mmHg, acute bacterian pneumonia, left ventricule
hypertrophy, hypertensive retinopathy, diabetic retinopathy, diabetic foot,
diabetic neuropathy, congestive heart failure, chronic renal failure, lack of
diabetic treatment compliance and the occurrence of acute stroke. However,
multivariate analysis identified only acute bacterian pneumonia, diabetic
retinopathy, diabetic neuropathy, chronic renal failure and pulse pressure > or =
60 mmHg as the independent risk factors of stroke onset among these black
congolese hypertensive diabetics with the following and respective values of
relative risk (hazard ratio): 6,2 (IC 95%: 3.2 to 11.9; P<0.0001), 2,2 (IC 95%:
1.2 to 4.1; P<0.01), 3.6 (IC 95%: 1.9 to 6.8; P<0.0001), 6.4 (IC 95%: 2.9 to
14.1; P<0.01) and 4.1 (IC 95%: 1.6 to 10.3; P<0.0001). CONCLUSION: The rate of
stroke onset is high among these hypertensive diabetics. This is the consequence
of independent and respective action of infection, diabetic retinopathy, diabetic
neuropathy, chronic renal failure and arterial stiffness.
PMID- 18054346
TI - [Myocarditis caused by Trichinella spiralis: a case report].
AB - Trichinellosis is a cosmopolitan nematodosis which is rare in the developed
countries. Myocarditis represents the most frequent form of cardiac
complications, sometimes lethal. The authors report the observation of a 36-years
old patient admitted for trichinellosis, who presented asymptomatic
electrocardiographic modifications and a rise in the troponine I. The magnetic
resonance imaging (MRI) after gadolinium injection, highlighted an underepicardic
late raising rendering the diagnosis of myocarditis highly probable. The
paraclinic anomalies were corrected gradually under antiparasitarian treatment.
Besides the EKG, the MRI is a non-invasive and repetitive method allowing as well
the positive diagnosis as the follow-up of those patients.
PMID- 18054347
TI - Role of P-glycoprotein in accumulation and cytotoxicity of amrubicin and
amrubicinol in MDR1 gene-transfected LLC-PK1 cells and human A549 lung
adenocarcinoma cells.
AB - Amrubicin is a completely synthetic 9-aminoanthracycline agent for the treatment
of lung cancer in Japan. The cytotoxicity of C-13 hydroxy metabolite,
amrubicinol, is 10 to 100 times greater than that of amrubicin. The transporters
responsible for the intracellular pharmacokinetics of amrubicin and amrubicinol
remains unclear. This study was aimed to determine whether P-glycoprotein (P-gp)
plays functional and preventive role in cellular accumulation and cytotoxicity of
amrubicin and its active metabolite amrubicinol by in vitro transport and
toxicity experiments. Cytotoxicity and intracellular accumulation of amrubicin
and amrubicinol were evaluated by LLC-PK1 cells, MDR1 gene-transfected LLC-PK1 (L
MDR1) cells overexpressing P-gp, and human A549 lung adenocarcinoma cells. L-MDR1
cells showed 6- and 12-fold greater resistance to amrubicin and amrubicinol,
respectively, than the parental LLC-PK1 cells. The intracellular accumulation of
both drugs in L-MDR1 cells was significantly reduced compared to the LLC-PK1
cells. The basal-to-apical transepithelial transport of both drugs markedly
exceeded, whereas the apical-to-basal transport of both drugs was significantly
lower in L-MDR1 cells than LLC-PK1 cells. Cyclosporin A (CyA) restored the
sensitivity, intracellular accumulation and transport activity for both drugs in
L-MDR1 cells. In A549 cells, CyA significantly increased the intracellular
accumulation and cytotoxicity of both drugs. These findings indicated that P-gp
is responsible for cellular accumulation and cytotoxicity of both amrubicin and
amrubicinol, therefore suggesting that the antitumor effect of amrubicin could be
affected by the expression level of P-gp in lung cancer cells in chemotherapeutic
treatments.
PMID- 18054348
TI - Promiscuous coupling and involvement of protein kinase C and extracellular signal
regulated kinase 1/2 in the adenosine A1 receptor signalling in mammalian
spermatozoa.
AB - Mammalian spermatozoa require a maturational event after ejaculation that allows
them to acquire the capacity for fertilisation. This process occurs spontaneously
during the transit through the female reproductive tract where spermatozoa are in
contact with micromolar concentrations of adenosine that might act as a
capacitative effector. This study shows that the adenosine A1 receptor agonist, 2
chloro-N6-cyclopentyladenosine, can induce capacitation, i.e., the ability to
undergo the acrosome reaction and to become fertile. This receptor, already known
to be bound to Galpha(i2), is also bound to G(q/11). These G proteins are
functional in the signalling pathway elicited by the A1 receptor and correlate
with the multiple intracellular events that follow its activation. The use of
protein kinase C isoform inhibitors and MEK inhibitors, resulting in the
abolition of the biological response to the selective agonist, indicates the
involvement of protein kinase C and MEK in its signalling. In agonist-treated
spermatozoa an extracellular calcium influx, involvement of alpha and gamma PKC
isoforms and transient phosphorylation of ERK1/2 have been observed. Our results,
besides showing that adenosine A1 receptor prompts mammalian spermatozoa to
undergo the acrosome reaction hence supporting a role for adenosine as agent for
fertilisation, show that 2-chloro-N6-cyclopentyladenosine triggers signalling
mechanisms that involve both Galpha(i2) and G(q/11), extracellular calcium
influx, modulation of classical Ca2+-dependent PCK isoforms and up-regulation of
the ERK1/2 phosphorylation.
PMID- 18054349
TI - Structural studies of a methyl galacturonosyl-methoxyxylan isolated from the stem
of Lagenaria siceraria (Lau).
AB - A water-soluble polysaccharide was isolated from the aqueous extract of the stem
of Lagenaria siceraria. The polysaccharide was found to be constituted of methyl
d-galacturonate, 2-O-methyl-D-xylose, and d-xylose in a ratio of 1:1:1. On the
basis of total acid hydrolysis, methylation analysis, periodate oxidation, NMR
studies ((1)H, (13)C, 2D-COSY, TOCSY, NOESY, HSQC, and HMBC), and MALDI-TOF MS
analysis, the structure of the repeating unit of the polysaccharide is determined
as.
PMID- 18054350
TI - Two novel aminooligosaccharides isolated from the culture of Streptomyces
coelicoflavus ZG0656 as potent inhibitors of alpha-amylase.
AB - Two novel aminooligosaccharides were separated from the culture filtrate of
Streptomyces coelicoflavus ZG0656. Their chemical structures were determined by
electrospray ionization tandem mass spectrometry (ESI-MS/MS) and 2D nuclear
magnetic resonance (NMR) spectroscopy. Because of their acarviosine core
structures, the names acarviostatins II23 and II13 were given to the novel
compounds. The two acarviostatins were both mixed noncompetitive inhibitors of
porcine pancreatic alpha-amylase (PPA), with inhibition constants (K(i)) of 0.009
microM (acarviostatin II23) and 0.010 microM (acarviostatin II13). Therefore,
acarviostatin II23 and acarviostatin II13 are, respectively, 231 and 208 times
more potent than acarbose.
PMID- 18054351
TI - Heterogeneity in iota-carrageenan molecular structure: insights for polymorph II-
>III transition in the presence of calcium ions.
AB - Iota-carrageenan is used in pharmaceutical and food applications due to its
ability to complex with other hydrocolloids and proteins. Six distinct cation
dependent allomorphs, consistent with its versatile functionality, have so far
been observed in the solid state. In this contribution, X-ray structural details
of calcium iota-carrageenan (form III) are reported. The polysaccharide retains
the half-staggered, parallel, 3-fold, right-handed double helix stabilized by
interchain hydrogen bonds from O-2H and O-6H in the Galp units. Results show that
there are four helices, rather than one in I or three in II, organized in a
larger pseudo-trigonal unit cell of dimensions a=27.44, c=13.01 A, and gamma=120
degrees . The four helices have similar core structures, but their sulfate group
orientations are quite different. Fifteen calcium ions and 64 water molecules
hold the helices together and promote helix-helix interactions. The results
portray how the helices would shuffle around in an orchestrated manner to yield
calcium iota-carrageenan III from II.
PMID- 18054352
TI - Oral eicosapentaenoic acid supplementation as possible therapy for endometriosis.
AB - OBJECTIVE: To investigate the anti-inflammatory effect of n-3 eicosapentaenoic
acid (EPA) compared with n-6 linoleic acid (LA) in an endometriosis rat model. We
focused on the relationship between lipid metabolism and inflammatory reactions
in endometriosis based on the hypothesis that a lipid intake imbalance is one of
the factors responsible for the recent increase of endometriosis. DESIGN:
Prospective, randomized experimental study. SETTING: Animal surgery laboratory in
a university hospital. ANIMAL(S): Sprague-Dawley rats (female, 6 weeks old).
INTERVENTION(S): Rats were fed a diet with EPA (n = 9) or with LA (n = 9) for 2
weeks. Two weeks after feeding, the uterus was autotransplanted to the peritoneum
to construct an endometriosis model. Feeding was continued for a total of 6
weeks. Two and 4 weeks after autotransplantation, three rats of each group were
killed and evaluated. MAIN OUTCOME MEASURE(S): Endometriotic lesions were
morphologically evaluated and their fatty acid composition was examined. Gene
expression in these tissues was evaluated by cDNA microarray analysis and
quantative real-time reverse transcriptase-polymerase chain reaction (RT-PCR).
RESULT(S): In the EPA group, the n-3:n-6 ratio in each tissue significantly
increased and the thickening of the interstitium, an active site for inflammation
in endometriosis, was significantly suppressed (0.30 +/- 0.09 mm [EPA group] vs.
0.77 +/- 0.23 mm [LA group]). The mRNA of metalloproteinases, interleukin-1beta,
interleukin-1r, prostaglandin E synthase (Ptges), and nuclear factor (NF)-kappaB
were reduced in the EPA group. CONCLUSION(S): EPA supplementation might be a
valid strategy for the treatment of endometriosis.
PMID- 18054353
TI - Growth hormone and its receptor in human ovaries from fetuses and adults.
AB - OBJECTIVE: To investigate the presence of growth hormone (GH) and its receptor
(GH-R) in early developing follicles. DESIGN: Immunocytochemical and in situ
hybridization study. SETTING: Major tertiary care and referral academic centers.
PATIENT(S): Ten ovarian samples from adults/girls aged 6-38 years and from 10
fetuses of women undergoing second and third trimester pregnancy terminations.
INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Immunocytochemistry and in situ
hybridization on paraffin sections of human ovaries from fetuses and women/girls.
RESULT(S): The proteins and the mRNA transcripts for GH and GH-R were detected in
oocytes, granulosa (GC), and stroma cells from both sources (fetuses and
women/girls), with low staining intensity only in a portion of the fetal GC.
CONCLUSION(S): This is the first report of the expression of GH-R in human
ovaries from fetuses as well as women/girls and of GH in human fetal ovaries. Be
that as it may, further experiments should be conducted to elucidate if indeed GH
is involved in the initiation of human primordial follicular growth.
PMID- 18054354
TI - Robotic tubal anastomosis: surgical technique and cost effectiveness.
AB - OBJECTIVE: To evaluate the feasibility of robotic microsurgical tubal anastomosis
and compare the results and cost effectiveness with the same procedure performed
by laparotomy. DESIGN: Prospective cohort study. SETTING: University hospital.
PATIENT(S): Patients with a history of bilateral tubal ligation who desired
reversal for future fertility. INTERVENTION(S): Tubal anastomoses through either
a robotic approach or through a laparotomy. MAIN OUTCOME MEASURE(S): Operative
times, hospitalization, complications, postoperative patency, clinical outcomes,
and the cost per live birth. RESULT(S): The mean operative time for robotic
anastomoses was statistically significantly greater than open anastomoses (ROBOT
201 minutes; OPEN 155.3 minutes), although hospitalization times were
statistically significantly shorter (ROBOT 4 hours; OPEN 34.7 hours). The return
to instrumental activities of daily living was accelerated in the patients who
had undergone a robotic anastomosis (ROBOT 11.1 days; OPEN 28.1 days). Although
this was a small series, the pregnancy rates were comparable between groups
(ROBOT 62.5%; OPEN 50%), yet the rate of abnormal pregnancy was higher in the
robotic group (ectopic: ROBOT 4, OPEN 1; spontaneous pregnancy loss: ROBOT 2,
OPEN 1). The cost per delivery was similar between the groups (ROBOT $92,488.00,
OPEN $92,205.90). CONCLUSION(S): Robotically assisted laparoscopic microsurgical
tubal anastomosis is feasible and cost effective with results that are comparable
with the traditional open approach.
PMID- 18054355
TI - Purification and analysis of DNases of Tritrichomonas foetus: evidence that these
enzymes are glycoproteins.
AB - Tritrichomonas foetus is the causative agent of trichomoniasis. In cattle,
infection results in economic losses to the beef and dairy industries due to
abortion and infertility. Soluble DNases of T. foetus that play a role in
pathogenesis and are potential therapeutic targets, were extracted and purified
utilising lectin affinity chromatography. The DNases were bound to and eluted
from Concanavalin A (Con A)-sepharose indicating that they are glycoproteins with
alpha-linked mannose or glucose residues. The nature of the glycans carried on
the eluted proteins in the fraction containing DNase activity was assessed using
an enzyme-linked lectin assay. The lectin binding studies predict the presence of
both N- and O-type glycans. Manganese was a potent (33%) activator of the
DNase(s) whereas zinc inhibited enzyme activity by approximately 66%. The
DNase(s) had a pH optimum of 4 and a molecular weight of 160 kDa. The DNase(s)
were able to completely degrade DNA from animal, plant, fungal, yeast and
bacterial sources, but did not significantly degrade RNA.
PMID- 18054356
TI - Chickens (Gallus domesticus) are natural intermediate hosts of Neospora caninum.
AB - Neospora caninum naturally infects many mammal species, but has not previously
been demonstrated in birds. We examined sera for N. caninum antibodies from 200
outdoor chickens and from 200 chickens confined indoors in the state of Bahia,
Brazil. Seroprevalence was greater in outdoor chickens (23.5% versus 1.5%,
P<0.001). PCR testing for N. caninum was positive in six of 10 seropositive
chickens. Amplicons from two of these were sequenced and had 97-98% nucleotide
identity with N. caninum. This finding extends the list of intermediate hosts of
N. caninum to include birds and may have important epidemiological consequences.
PMID- 18054358
TI - siRNA silencing reveals role of vascular cell adhesion molecule-1 in vascular
smooth muscle cell migration.
AB - Vascular cell adhesion molecule-1 (VCAM-1) is an adhesion molecule expressed by
endothelial cells for recruitment of leukocytes during inflammation. It is also
abundantly expressed by smooth muscle cells in atherosclerotic lesions and in
injured arteries. In this study, we examined the role of VCAM-1 in smooth muscle
cell migration. Smooth muscle cells were isolated from the aorta of C57BL/6 mice
and transfected with short interfering RNAs (siRNAs) targeting VCAM-1. Inhibition
on VCAM-1 expression by siRNAs was assessed by Western blot analysis, RT-PCR and
by measuring soluble VCAM-1 concentrations in the incubation medium. One siRNA
that showed greater suppression on VCAM-1 expression was used for migration
assay. A single scratch wound was made on 70% confluent cells and cells migrated
from wounded monolayer were counted 24 and 48h after injury. Treatment with VCAM
1 siRNA resulted in a significant reduction in the number of migrated cells. This
siRNA also exhibited a minor effect on smooth muscle cell proliferation. Thus,
our findings indicate that VCAM-1 is necessary for the migration of smooth muscle
cells and interfering VCAM-1 expression could be an effective approach to
prevention and treatment of atherosclerosis and restenosis.
PMID- 18054357
TI - Inhibition of intestinal absorption of cholesterol by ezetimibe or bile acids by
SC-435 alters lipoprotein metabolism and extends the lifespan of SR-BI/apoE
double knockout mice.
AB - SR-BI/apoE double knockout (dKO) mice exhibit many features of human coronary
heart disease (CHD), including hypercholesterolemia, occlusive coronary
atherosclerosis, cardiac hypertrophy, myocardial infarctions, cardiac dysfunction
and premature death. Ezetimibe is a FDA-approved, intestinal cholesterol
absorption inhibitor that lowers plasma LDL cholesterol in humans and animals and
inhibits aortic root atherosclerosis in apoE KO mice, but has not been proven to
reduce CHD. Three-week-ezetimibe treatment of dKO mice (0.005% (w/w) in standard
chow administered from weaning) resulted in a 35% decrease in cholesterol in
IDL/LDL-size lipoproteins, but not in VLDL- and HDL-size lipoproteins. Ezetimibe
treatment significantly reduced aortic root (57%) and coronary arterial (68%)
atherosclerosis, cardiomegaly (24%) and cardiac fibrosis (57%), and prolonged the
lives of the mice (27%). This represents the first demonstration of beneficial
effects of ezetimibe treatment on CHD. The dKO mice were similarly treated with
SC-435 (0.01% (w/w)), an apical sodium codependent bile acid transporter (ASBT)
inhibitor, that blocks intestinal absorption of bile acids, lowers plasma
cholesterol in animals, and reduces aortic root atherosclerosis in apoE KO mice.
The effects of SC-435 treatment were similar to those of ezetimibe: 37% decrease
in ILD/LDL-size lipoprotein cholesterol and 57% prolongation in median lifespan.
Thus, inhibition of intestinal absorption of either cholesterol (ezetimibe) or
bile acids (SC-435) significantly reduced plasma IDL/LDL-size lipoprotein
cholesterol levels and improved survival of SR-BI/apoE dKO mice. The SR-BI/apoE
dKO murine model of atherosclerotic occlusive, arterial CHD appears to provide a
useful system to evaluate compounds that modulate cholesterol homeostasis and
atherosclerosis.
PMID- 18054359
TI - Infection and inflammation decrease apolipoprotein M expression.
AB - Inflammation can produce abnormalities that could increase the risk for
atherosclerosis including alterations in lipid and lipoprotein metabolism.
Apolipoprotein M is a recently described HDL-associated apoprotein expressed
mainly in the liver and kidney with protective effects against atherosclerosis.
In this study, we describe the regulation of apolipoprotein M during the acute
phase response. Stimuli that produce systemic inflammation, LPS, zymosan, or
turpentine, decrease apolipoprotein M mRNA levels in the liver and kidney.
Treatment of Hep3B hepatoma cells with TNF or IL-1 also decreased apolipoprotein
M mRNA levels. The decrease in apolipoprotein M mRNA leads to a decrease in
apolipoprotein M secretion into the media in Hep3B cells and a decrease in mouse
serum following LPS administration. Moreover, in humans with acute bacterial
infections or chronic HIV infection, serum apolipoprotein M levels are decreased.
Apolipoprotein M is a negative acute response protein that decreases during
infection and inflammation. These results are consistent with the finding that
infections and inflammatory disorders accompanied by systemic inflammation are
associated with an increased risk of atherosclerosis.
PMID- 18054360
TI - Metalloproteinase inhibition ameliorates hypertension and prevents vascular
dysfunction and remodeling in renovascular hypertensive rats.
AB - Altered activity of matrix metalloproteinases (MMPs) is implicated in the
vascular remodeling of hypertension. We examined whether increased MMP-2
expression/activity plays a role in the vascular remodeling and dysfunction found
in the two-kidney, one-clip (2K-1C) hypertension. Sham operated or 2K-1C
hypertension rats were treated with doxycycline 30mg/(kgday) (or vehicle).
Systolic blood pressure was monitored weekly. After 8 weeks of treatment, aortic
rings were isolated to assess endothelium-dependent and independent relaxations.
Quantitative morphometry of structural changes, collagen, and elastin contents in
the aortic wall were studied in hematoxylin/eosin, Sirius Red, and Orceine
stained aortic sections, respectively. Aortic MMP-2 levels were determined by
gelatin zymography and aortic MMP-2 proteolytic activity was measured using DQ
gelatin as the substrate after MMP-2 was captured by a specific antibody and
immobilized on a microplate. Aortic MMP-2/tissue inhibitor of metalloproteinases
(TIMP)-2 mRNA levels were determined by real time RT-PCR. Doxycycline attenuated
2K-1C hypertension (215+/-8mmHg versus 167+/-13mmHg in 2K-1C rats and 2K-1C+doxy
rats, respectively; P<0.01) and prevented the 35% reduction in endothelium
dependent vasorelaxation found in 2K-1C rats. Doxycycline prevented the increases
in media thickness, and was associated with lower media/lumen and cross-sectional
areas (all P<0.01). Doxycycline also prevented excessive collagen and elastin
deposition in the vascular wall. Increased MMP-2 and Pro-MMP-2 levels and MMP-2
activity were found in the aortas of 2K-1C rats (all P<0.05). A 21-fold increase
(P<0.001) in the ratio of MMP-2/TIMP-2 mRNA expression was found in the 2K-1C
group, whereas this ratio remained unaltered in 2K-1C+doxy rats. Our results
suggest that MMP-2 plays a role in 2K-1C hypertension and its structural and
functional vascular changes, which were attenuated by doxycycline.
PMID- 18054361
TI - Serum levels of the atherosclerosis biomarker sTWEAK are decreased in type 2
diabetes and end-stage renal disease.
AB - Recently, circulating soluble tumor necrosis factor-like weak inducer of
apoptosis (sTWEAK) was introduced as a potential biomarker which is downregulated
in atherosclerosis. In the current study, we hypothesized that sTWEAK serum
levels are decreased in end-stage renal disease and in patients with type 2
diabetes mellitus (T2DM) since both conditions are high-risk states for
atherosclerotic disease. Soluble TWEAK was quantified by ELISA in control
patients (n=60) with a glomerular filtration rate above 50 ml/min and patients on
chronic hemodialysis (CD, n=60) and correlated to clinical and biochemical
measures of renal function, glucose, and lipid metabolism, as well as
inflammation. 30 control patients and 32 CD patients presented with T2DM. Mean
serum sTWEAK concentrations were significantly lower in CD and T2DM patients with
lowest concentrations seen when both conditions were present (control/-T2DM: 669
+/- 201 microg/l; control/+T2DM: 516 +/- 187 microg/l; CD/-T2DM: 402 +/- 128
microg/l; CD/+T2DM: 317 +/- 132 microg/l; all comparisons between groups p<0.05).
In univariate analyses, sTWEAK was negatively correlated with fasting glucose in
both, control and CD patients. In multivariate analyses, CD and T2DM remained
independently associated with circulating sTWEAK. Taken together, circulating
sTWEAK concentrations are decreased in end-stage renal disease and T2DM.
Furthermore, both conditions have an additive and independent negative effect on
sTWEAK levels. Our results support the view that circulating sTWEAK might be a
novel biomarker of atherosclerosis.
PMID- 18054363
TI - Modelling swimmers' speeds over the course of a race.
AB - A stochastic model of swimming speed over the course of a male 200m freestyle
swimming race is proposed. It builds on a dynamical model reflecting the trade
off between drag and propulsion in swimming. The parameters of the model are
estimated from elapsed time data observed at several points along the pool. The
model fits the data well and also provides a good description of the swimming
strategies of each swimmer from phase to phase in the race. An individual factor
measuring how much faster or slower the individual swims relative to the average
swimming speed of the race is simultaneously estimated in the course of fitting
the model. This factor is, as expected, closely related to the final outcome of
the race.
PMID- 18054362
TI - In situ friction measurement on murine cartilage by atomic force microscopy.
AB - Articular cartilage provides a low-friction, wear-resistant surface for the
motion of diarthrodial joints. The objective of this study was to develop a
method for in situ friction measurement of murine cartilage using a colloidal
probe attached to the cantilever of an atomic force microscope. Sliding friction
was measured between a chemically functionalized microsphere and the cartilage of
the murine femoral head. Friction was measured at normal loads ranging
incrementally from 20 to 100 nN with a sliding speed of 40 microm/s and sliding
distance of 64 microm. Under these test conditions, hydrostatic pressurization
and biphasic load support in the cartilage were minimized, providing frictional
measurements that predominantly reflect boundary lubrication properties. Friction
coefficients measured on murine tissue (0.25+/-0.11) were similar to those
measured on porcine tissue (0.23+/-0.09) and were in general agreement with
measurements of boundary friction on cartilage by other researchers. Using the
colloidal probe as an indenter, the elastic mechanical properties and surface
roughness were measured in the same configuration. Interfacial shear was found to
be the principal mechanism of friction generation, with little to no friction
resulting from plowing forces, collision forces, or energy losses due to normal
deformation. This measurement technique can be applied to future studies of
cartilage friction and mechanical properties on genetically altered mice or other
small animals.
PMID- 18054364
TI - Multi-screening approach to monitor and quantify 42 antibiotic residues in honey
by liquid chromatography-tandem mass spectrometry.
AB - A multi-screening approach for monitoring potential chemical contaminants in
honey by liquid chromatography-electrospray ionization tandem mass spectrometry
(LC-ESI-MS/MS) has been developed. A total of 42 veterinary drugs (5
tetracyclines, 7 macrolides, 3 aminoglycosides, 8 beta-lactams, 2 amphenicols and
17 sulfonamides) were surveyed with the ultimate goal of unambiguously confirmed
and quantified these analytes at a concentration level of 20 microg/kg. A basic
sample preparation including four subsequent liquid/liquid extraction steps was
necessary to adequately extract the compounds of interest from the honey. The
four extracts were injected into the LC-ESI-MS/MS using a stacking injection
procedure. Validation of the entire procedure was carried out according to the
European Union directive 2002/657/EC at three concentration levels, i.e., 10, 20
and 30 microg/kg. Good performance data were obtained for 37 analytes, out of the
42 studied. Limit of compliance and detection limit were calculated based on an
internal limit set at 20 microg/kg for all the compounds and ranged between 24-30
and 27-80 microg/kg, respectively. A limited survey on honeys of different
geographical origins has demonstrated that positive honey samples were often
contaminated by more than one class of drugs, thus highlighting the usefulness of
such multi-screening approach to ensure and warrants the quality of honey.
PMID- 18054365
TI - Is a "Phoenician" reading style superior to a "Chinese" reading style? Evidence
from fourth graders.
AB - This study compared normally achieving fourth-grade "Phoenician" readers, who
identify nonwords significantly more accurately than they do exception words,
with "Chinese" readers, who show the reverse pattern. Phoenician readers scored
lower than Chinese readers on word identification, exception word reading,
orthographic choice, spelling, reading comprehension, and verbal ability. When
compared with normally achieving children who read nonwords and exception words
equally well, Chinese readers scored as well as these children on word
identification, regular word reading, orthographic choice, spelling, reading
comprehension, phonological sensitivity, and verbal ability and scored better on
exception word reading. Chinese readers also used rhyme-based analogies to read
nonwords derived from high-frequency exception words just as often as did these
children. As predicted, Phoenician and Chinese readers adopted somewhat different
strategies in reading ambiguous nonwords constructed by analogy to high-frequency
exception words. Phoenician readers were more likely than Chinese readers to read
ambiguous monosyllabic nonwords via context-free grapheme-phoneme correspondences
and were less likely to read disyllabic nonwords by analogy to high-frequency
analogues. Although the Chinese reading style was more common than the Phoenician
style in normally achieving fourth graders, there were similar numbers of poor
readers with phonological dyslexia (identifying nonwords significantly more
accurately than exception words) and surface dyslexia (showing the reverse
pattern), although surface dyslexia was more common in the severely disabled
readers. However, few of the poor readers showed pure patterns of phonological or
surface dyslexia.
PMID- 18054366
TI - Simple quasispecies models for the survival-of-the-flattest effect: The role of
space.
AB - The survival-of-the-flattest effect postulates that under high mutation rates
natural selection does not necessarily favor the faster replicators. Under such
conditions, genotypes which are robust against deleterious mutational effects may
be favored instead, even at the cost of a slower replication. This tantalizing
hypothesis has been recently proved using digital organisms, subviral RNA plant
pathogens (viroids), and an animal RNA virus. In this work we study a simple
theoretical system composed by two competing quasispecies which are located at
two widely different fitness landscapes that represent, respectively, a fit and a
flat quasispecies. The fit quasispecies is characterized by high replication rate
and low mutational robustness, whereas the flat quasispecies is characterized by
low replication rate but high mutational robustness. By using a mean field model,
in silico simulations with digital replicons and a two-dimensional spatial model
given by a stochastic cellular automata (CA), we predict the presence of an
absorbing first-order phase transition with critical slowing down between
selection for replication speed and selection for mutational robustness, where
the surpassing of a critical mutation rate involves the outcompetition of the fit
quasispecies by the flat one. Furthermore, it is shown that space, which involves
a lower critical mutation rate, broadens the conditions at which the survival-of
the-flattest may occur.
PMID- 18054367
TI - Mechanisms of telomerase-dimer catalysis.
AB - There is evidence that human telomerase acts as a dimer [Wenz, C., Enenkel, B.,
Amacker, M., Kelleher, C., Damm, K., Lingner, J., 2001. Human telomerase contains
two cooperating telomerase RNA molecules. EMBO J. 20, 3526-3534]. Three possible
mechanisms have been proposed. We translate those proposals into three detailed
mechanistic models for telomerase action, also introducing optional
isomerizations with equilibrium constants inversely related to the number of
bound nucleotides. To distinguish between these models by in situ experiments we
propose a microscopic system which uses two-photon excitation of fluorescence in
a volume of about 0.5 microm(3). A variety of detection strategies and
experimental designs are considered; we focus on those best suited to observation
of a small volume under limitations imposed by diffusion to and from the reacting
micro-volume, and consequently restrict ourselves to constant flow. Numerical
simulation is used to help identify an optimal experimental design. The detection
of mechanistic changes hinges on linking fluorescence reporters to selected
reaction components, either directly (chemically) or indirectly (via an indicator
reaction). We show that rapid mixing experiments are better than chemical
relaxation experiments, as the statistics of single molecule kinetics affects the
latter more than the former. However, some fast reaction steps can only be
revealed by chemical relaxation coupled with mixing experiments. We explore
connections between our methods and studies of HIV and other systems with RNA to
DNA transcription.
PMID- 18054368
TI - Copper speciation in continental inputs to the Vigo Ria: sewage discharges versus
river fluxes.
AB - Continental inputs of copper via rivers and sewage into the Vigo Ria were
evaluated. The main fluvial input is not contaminated and the most degraded
discharges occur on the southern margin of the middle ria. Continental inputs of
copper and ligands to the ria are dominated by sewage treatment plants (136 mol
Cu day(-1), 124 mol L day(-1)) supported by rivers (15 mol Cu day(-1), 21 mol L
day(-1)). The dissolved fraction is the main channel of discharge for rivers
(66%) with particulate matter being predominant in sewage (63%). Dissolved copper
is organically complexed both in rivers (99.8%) and sewage (99.9%). This minor
difference may be attributed to the fact that the stability of sewage complexes
is greater than those in rivers. Moreover, ligand concentrations are higher in
sewage than in rivers. Thus, the natural continental inputs of copper and ligands
into the ria are magnified by anthropogenic inputs (5-15 and 3-5 times higher for
copper and ligands, respectively).
PMID- 18054369
TI - Simultaneous detection and genotyping of porcine reproductive and respiratory
syndrome virus (PRRSV) by real-time RT-PCR and amplicon melting curve analysis
using SYBR Green.
AB - The feasibility of using a SYBR Green-based real-time RT-PCR assay (SYBR Green
ReTi RT-PCR) followed by melting curve analysis (MCA) for detecting and
genotyping porcine reproductive and respiratory syndrome virus (PRRSV) was
assessed. The SYBR Green ReTi RT-PCR and a previously reported two-step, non
nested RT-PCR assays were simultaneously tested on selected European (EU) and
North American (US) PRRSV strains and isolates collected from diverse clinical,
temporal, and geographical origins. The validation experiments showed that the
optimised SYBR Green ReTi RT-PCR can sensitively and specifically detect PRRSV,
consistently detecting as little as 0.03TCID(50)/sample of each virus genotype,
with no type-bias and no amplification signal for other swine pathogens. After
MCA, two well-differentiated melting temperature (T(m)) profiles for each virus
genotype were obtained, as sequencing confirmed it. High repeatability was
obtained for the T(m) values, with intra-run coefficients of variation (CoVs) of
0.25 and 0.32 and inter-run CoVs of 0.42 and 0.52 for EU and US genotypes,
respectively. The sensitivity of the SYBR Green ReTi RT-PCR (100%) was higher
than that of the RT-PCR (95.7%) when testing field isolates. This greater
sensitivity of the SYBR Green ReTi RT-PCR was further confirmed by the detection
of a higher proportion of PRRSV-positive diagnostic specimens (29.7%) than by the
RT-PCR (28.5%). The SYBR Green ReTi RT-PCR test detected infection as early as 2
dpi in the sera of experimentally infected pigs regardless of virus genotype, and
discriminated negative (non-inoculated), EU- and US-infected pigs. In conclusion,
the reported SYBR Green ReTi RT-PCR assay coupled with MCA can detect and type
PRRSV and may be useful as an alternative diagnostic assay in diverse PRRSV
epidemiological circumstances.
PMID- 18054370
TI - A facile and efficient synthesis of some (6E)-hydroximino-4-en-3-one steroids,
steroidal oximes from Cinachyrella spp. sponges.
AB - Using beta-sitosterol as a starting material, (6E)-hydroximino-24-ethylcholest-4
en-3-one (1), a natural steroidal oxime from Cinachyrella alloclada and C. apion,
was synthesized in four steps with a high overall yield. First, beta-sitosterol
(5a) is transformed into the corresponding 24-ethylcholest-4-en-3,6-dione (6a)
via oxidation with pyridinium chlorochromate (PCC). Selective reduction of 6a by
NaBH(4) in the presence of CoCl(2) gives 24-ethylcholest- 4-en-3beta-ol-6-one
(7a). The reaction of 7a with hydroxylamine hydrochloride offers the oxime 8a and
the oxidation of 8a by Jones reagent gives the target steroid 1. (6E)
Hydroximinocholest-4-en-3-one (2) and (6E)-hydroximino-24-ethylcholest-4,22-dien
3-one (4) were synthesized by a similar method. The cytotoxicity of the
synthesized compounds against sk-Hep-1 (human liver carcinoma cell line), H-292
(human lung carcinoma cell line), PC-3 (human prostate carcinoma cell line) and
Hey-1B (human ovarian carcinoma cell line) cells were investigated. The presence
of a cholesterol-type side chain appears to be necessary for the biological
activity.
PMID- 18054371
TI - Immunological characterization of a non-toxic peptide conferring protection
against the toxic fraction (AahG50) of the Androctonus australis hector venom.
AB - KAaH1 and KAaH2 are non-toxic peptides, isolated from the venom of the
Androctonus australis hector (Aah) scorpion. In a previous study, we showed these
peptides to be the most abundant (approximately 10% each) in the toxic fraction
(AahG50) of the Aah venom. KAaH1 and KAaH2 showed high sequence identities
(approximately 60%) with birtoxin-like peptides, which likewise are the major
peptidic components of Parabuthus transvaalicus scorpion venom. Here, we report
the immunological characterization of KAaH1 and KAaH2. These peptides were found
to be specifically recognized by polyclonal antibodies raised against AahII, the
most toxic peptide of Aah venom, and represents the second antigenic group,
including toxins from different scorpion species in the world. Moreover, KAaH1
partially inhibits AahII binding to its specific antibody, suggesting some common
epitopes between these two peptides. The identification of possible key antigenic
residues in KAaH1 was deduced from comparison of its 3-D model with the
experimental structure of AahII. Two clusters of putative antigenically important
residues were found at the exposed surface; one could be constituted of V3 and
D53, the other of D10, T15 and Y16. Polyclonal antibodies raised against KAaH1 in
mice were found to cross-react with both AahII and AahG50, and neutralizing
5LD(50)/ml of the toxic fraction. Mice vaccinated with KAaH1 were protected
against a challenge of 2LD(50) of AahG50 fraction. All these data suggest that
KAaH1 has clear advantages over the use of the whole or part of the venom. KAaH1
is not toxic and could produce sera-neutralizing scorpion toxins, not only from
Aah venom, but also toxins of other venoms from Buthus, Leiurus, or Parabuthus
scorpion species presenting antigenically related toxins.
PMID- 18054372
TI - What does the illusory-flash look like?
AB - In the illusory-flash effect (Shams, L., Kamitani, Y., & Shimojo, S. (2000).
Illusions. What you see is what you hear. Nature, 408, 788), one flash presented
with two tones has a tendency to be seen as two flashes. Previous studies of this
effect have been ill-equipped to establish whether this illusory-flash is the
result of a genuine percept, or that of a shift in criterion. We addressed this
issue by using a stimulus comprising two locations. This enabled contrast
threshold measurement by means of a location detection task. High-contrast white
or black flashes were presented simultaneously to both locations, followed by
threshold contrast flashes of the same contrast polarity at the two locations in
half of the trials; observers reported whether or not the low-contrast flashes
had been present. Irrelevant to the task, half of the trials contained one tone,
the other half contained two tones. In this way, we were able to compute the
change in sensitivity and shift in criterion between illusory and non-illusory
trials. We observe both a decrease in visual sensitivity and a criterion shift in
the illusory-flash conditions. In a second experiment, we were interested in
determining whether this change in visual sensitivity gave rise to measurable
visual attributes of the illusory-flash. If it has a contrast, it should interact
with a spatio-temporally concurrent real flash. Using a similar two-location
stimulus presentation, we found that under certain conditions, we were able to
infer the polarity of the perceived illusory-flash. We conclude that the illusory
flash is indeed a perceptual effect with psychophysically assessable
characteristics.
PMID- 18054373
TI - Balance of corneal horizontal coma by internal optics in eyes with intraocular
artificial lenses: evidence of a passive mechanism.
AB - It is well known that the aberrations of the cornea are partially compensated by
the aberrations of the internal optics of the eye (primarily the crystalline
lens) in young subjects. This effect has been found not only for the spherical
aberration, but also for horizontal coma. It has been debated whether the
compensation of horizontal coma is the result of passive mechanism [Artal, P.,
Benito, A., & Tabernero, J. (2006). The human eye is an example of robust optical
design. Journal of Vision, 6 (1), 1-7] or through an active developmental
feedback process [Kelly, J. E., Mihashi, T., & Howland, H. C. (2004).
Compensation of corneal horizontal/vertical astigmatism, lateral coma, and
spherical aberration by internal optics of the eye. Journal of Vision, 4 (4), 262
271]. In this study we investigate the active or passive nature of the horizontal
coma compensation using eyes with artificial lenses, where no active
developmental process can be present. We measured total and corneal aberrations,
and lens tilt and decentration in a group of 38 eyes implanted with two types of
intraocular lenses designed to compensate the corneal spherical aberration of the
average population. We found that spherical aberration was compensated by 66%,
and horizontal coma by 87% on average. The spherical aberration is not
compensated at an individual level, but horizontal coma is compensated
individually (coefficients of correlation corneal/internal aberration: -0.946,
p<0.0001). The fact that corneal (but not total) horizontal coma is highly
correlated with angle lamda (computed from the shift of the 1st Purkinje image
from the pupil center, for foveal fixation) indicates that the compensation
arises primarily from the geometrical configuration of the eye (which generates
horizontal coma of opposite signs in the cornea and internal optics). The amount
and direction of tilts and misalignments of the lens are comparable to those
found in young eyes, and on average tend to compensate (rather than increase)
horizontal coma. Computer simulations using customized model eyes and different
designs of intraocular lenses show that, while not all designs produce a
compensation of horizontal coma, a wide range of aspheric biconvex designs may
produce comparable compensation to that found in young eyes with crystalline
lenses, over a relatively large field of view. These findings suggest that the
lens shape, gradient index or foveal location do not need to be fine-tuned to
achieve a compensation of horizontal coma. Our results cannot exclude a fine
tuning for the orientation of the crystalline lens, since cataract surgery seems
to preserve the position of the capsule.
PMID- 18054374
TI - Prognostic significance of stathmin expression in correlation with metastasis and
clinicopathological characteristics in human ovarian carcinoma.
AB - Stathmin, also called oncoprotein 18, is a founding member of the family of
microtubule-destabilizing proteins that play a critical role in the regulation of
mitosis. Stathmin is non-expressed in normal tissues, but stathmin gene is
expressed at high levels in many human malignancies and the relationships between
the levels of this gene expression in tumors and prognosis of the patients have
been addressed. In this report, we explored the relationships between stathmin
mRNA expression in ovarian carcinoma tissues and clinicopathological parameters.
We collected and analyzed paraffin wax-embedded ovarian tumor biopsy tissues from
42 ovarian cancer patients in our hospital. We employed RT-PCR method and
performed a densitometric analysis to determine the ratio of stathmin relative to
beta-actin as an internal marker. Results showed that the stathmin mRNA
expression was detected in all the ovarian carcinoma tissue samples and those
samples with metastasis had higher levels of stathmin mRNA expression in initial
biopsy specimens (P<0.05). Moreover, the levels of stathmin mRNA expression
between samples with and without metastasis showed a statistically significant
difference (P<0.05).
PMID- 18054375
TI - Expression of glucagon-like peptide-1 in the taste buds of rat circumvallate
papillae.
AB - Glucagon-like peptide-1 (GLP-1), a 30-amino-acid peptide hormone, is a typical
peptide of the brain-gut axis and can affect the metabolism of various tissues
and organs. GLP-1 is secreted by intestinal L cells in response to nutrient
ingestion. Some studies have shown that taste signaling elements were co
expressed in enteroendocrine cells of the small intestine, and in particular by L
cells. The present study was performed to explore the protein and mRNA expression
of GLP-1 in the taste buds of rat circumvallate papillae and to try to determine
the significance of its secretion. GLP-1 immunoreactivity was observed in spindle
shaped taste bud cells, with positive cells displaying a characteristic
distribution of reaction product that was confined to the cytosol. Reverse
transcription polymerase chain reaction (RT-PCR) assay showed that GLP-1 mRNA was
expressed in circumvallate papillae. The expression of GLP-1 suggests that it may
play an important role in the taste stimulation of nutrients and gut hormone
secretion.
PMID- 18054376
TI - Significance of tyrosine kinase activity on malign transformation of ovarian
tumors: a comparison between EGF-R and TGF-alpha.
AB - Epidermal growth factor (EGF) and transforming growth factor-alpha (TGF-alpha)
are members of the polypeptide growth factor family. The epidermal growth factor
receptor (EGF-R) is a receptor tyrosine kinase of the ErbB family. Many types of
cancer, including ovarian cancer, display enhanced EGF-R immunoreactivity on
their cell surface membranes. Also, an increase in TGF-alpha synthesis and
secretion usually occurs in human carcinoma cell lines. In this study, we
compared the immunoreactivities of TGF-alpha and EGF-R in ovarian tumors and
related immunohistochemical findings to the histological type of the tumors.
Formalin-fixed, paraffin wax-embedded tissue sections from 40 patients who had
serous-mucinous borderline tumor and serous-mucinous adenocarcinoma of the ovary
(n=10 each) were stained with hematoxylin-eosin and labeled for binding of
primary antibodies against TGF-alpha and EGF-R using an avidin-biotin-peroxidase
method. A semi-quantitative grading system was used to compare
immunohistochemical labeling intensities. Increased immunoreactivity of EGF-R and
moderate immunoreactivity of TGF-alpha was detected in adenocarcinomas. There was
no significant difference in the immunoreactivity of TGF-alpha among the
histologic types of ovarian tumors. The results of this study support the
hypothesis that EGF-R may be a more useful marker than TGF-alpha in epithelial
ovarian tumors.
PMID- 18054377
TI - A genome-wide inventory of neurohormone GPCRs in the red flour beetle Tribolium
castaneum.
AB - Insect neurohormones (biogenic amines, neuropeptides, and protein hormones) and
their G protein-coupled receptors (GPCRs) play a central role in the control of
behavior, reproduction, development, feeding and many other physiological
processes. The recent completion of several insect genome projects has enabled us
to obtain a complete inventory of neurohormone GPCRs in these insects and, by a
comparative genomics approach, to analyze the evolution of these proteins. The
red flour beetle Tribolium castaneum is the latest addition to the list of
insects with a sequenced genome and the first coleopteran (beetle) to be
sequenced. Coleoptera is the largest insect order and about 30% of all animal
species living on earth are coleopterans. Some coleopterans are severe
agricultural pests, which is also true for T. castaneum, a global pest for stored
grain and other dried commodities for human consumption. In addition, T.
castaneum is a model for insect development. Here, we have investigated the
presence of neurohormone GPCRs in Tribolium and compared them with those from the
fruit fly Drosophila melanogaster (Diptera) and the honey bee Apis mellifera
(Hymenoptera). We found 20 biogenic amine GPCRs in Tribolium (21 in Drosophila;
19 in the honey bee), 48 neuropeptide GPCRs (45 in Drosophila; 35 in the honey
bee), and 4 protein hormone GPCRs (4 in Drosophila; 2 in the honey bee).
Furthermore, we identified the likely ligands for 45 of these 72 Tribolium GPCRs.
A highly interesting finding in Tribolium was the occurrence of a vasopressin
GPCR and a vasopressin peptide. So far, the vasopressin/GPCR couple has not been
detected in any other insect with a sequenced genome (D. melanogaster and six
other Drosophila species, Anopheles gambiae, Aedes aegypti, Bombyx mori, and A.
mellifera). Tribolium lives in very dry environments. Vasopressin in mammals is
the major neurohormone steering water reabsorption in the kidneys. Its presence
in Tribolium, therefore, might be related to the animal's need to effectively
control water reabsorption. Other striking differences between Tribolium and the
other two insects are the absence of the allatostatin-A, kinin, and corazonin
neuropeptide/receptor couples and the duplications of other hormonal systems. Our
survey of 340 million years of insect neurohormone GPCR evolution shows that
neuropeptide/receptor couples can easily duplicate or disappear during insect
evolution. It also shows that Drosophila is not a good representative of all
insects, because several of the hormonal systems that we now find in Tribolium do
not exist in Drosophila.
PMID- 18054378
TI - Reproductive performance of dairy cows with ovarian cysts after synchronizing
ovulation using GnRH or hCG during the warm or cool period of the year.
AB - This study was designed to compare the reproductive response to timed AI of
lactating dairy cows with cystic ovarian follicles treated with GnRH or hCG to
synchronize ovulation. The effectiveness of treatment during the warm or cool
period of the year was also compared. Cows were given 12 microg GnRH-agonist i.m.
on day 0 of the protocol, 15 mg PGF(2alpha) i.m. on day 7, and either GnRH
agonist (GPG treatment) or 3000 IU hCG i.m. (GPH treatment) on day 9, followed by
timed AI. The cows were randomly chronologically assigned to GPG (n=130) or GPH
(n=136) group. All cows were inseminated at fixed time 16-22 h after the end of
treatment. During the warm period the pregnancy rate to first AI was 12% (7/60)
and 21% (14/68) for the GPG and GPH groups, respectively, there being no
significant differences between groups; the cumulative pregnancy rate was 22%
(13/60) and 21% (14/68) for the GPG and GPH groups, respectively, again with no
significant intergroup differences. During the cool period pregnancy rate to
first AI was not different between groups: 29% (20/70) for GPG and 32% (22/68)
for GPH, respectively; whereas the cumulative pregnancy rate was significantly
higher (P<0.05) for the GPH groups than for the GPG group: 56% (39/70) and 78%
(53/68), respectively. These findings indicate that during the warm period, the
pregnancy rates of the cystic cows were similar whether they received GPG or GPH
treatment, during the cool period, there is a beneficial effect to use hCG at day
9 of the ovsynch protocol compared GnRH on cumulative pregnancy rate.
PMID- 18054379
TI - Task related modulation of the motor system during language processing.
AB - Recent neurophysiological and brain imaging studies have shown that the motor
system is involved in language processing. However, it is an open question
whether this involvement is a necessary requisite to understand language or
rather a side effect of distinct cognitive processes underlying it. In order to
clarify this issue we carried out three behavioral experiments, using a go-no go
paradigm. Italian verbs expressing hand actions, foot actions or an abstract
content served as stimuli. Participants used their right hands to respond. In
Experiment 1, in which a semantics decision task with an early delivery of the go
signal (during processing language material) was used, slower responses were
found for hand action-related verbs than for foot action-related verbs. In
Experiment 2, using the same task with either an early or a delayed delivery of
the go signal (when language material had been already processed), no difference
was found between responses to the two verb categories in the delayed delivery
condition. In Experiment 3, in which a lexical decision task with an early
delivery of the go signal was used, again no difference between the two verb
categories was found. The present findings demonstrate that during language
processing the modulation of the motor system crucially occurs while performing a
semantics decision task, thus supporting the notion that this involvement is a
necessary step to understand language rather than a side effect of upstream
cognitive processes.
PMID- 18054380
TI - Comparative study on torsional strength, ductility and fracture characteristics
of laser-welded alpha+beta Ti-6Al-7Nb alloy, CP Titanium and Co-Cr alloy dental
castings.
AB - OBJECTIVES: The purpose of this study was to compare torsional strength,
ductility and fracture behaviors of Ti-6Al-7Nb, CP Ti and Co-Cr alloy castings
after laser welding. METHODS: Dumbbell-shaped castings of three metal alloys (Ti
6Al-7Nb alloy, CP Ti, Co-Cr alloy) were cut in half and laser welded with a
Nd:YAG pulse laser-welding machine at either 220V or 260V of laser voltage. After
being laser welded, all cast specimens were tested with a multi-axial hydraulic
testing machine (MTS 858 Mini Bionix) using a torsional test. The fracture
surfaces were investigated with a scanning electron microscope. RESULTS: None of
the laser-welded Ti-6Al-7Nb alloy and CP Ti castings was broken within the welded
joint, showing torsional strength as high as the unwelded castings. Unlike the
other groups, the laser-welded Co-Cr alloy castings exhibited brittle fracture
appearance and provided substantially less torsional strength. SIGNIFICANCE: The
torsional strength of the laser-welded Ti-6Al-7Nb alloy and CP Ti castings was as
high as that of the unwelded castings while this finding could not apply to the
Co-Cr alloy castings. This indicates that the mechanical strength of the laser
welded Ti-6Al-7Nb alloy dental casting is sufficient for clinical applications.
PMID- 18054381
TI - Spray-dried chitosan microspheres containing 8-hydroxyquinoline -5 sulphonic acid
as a new adsorbent for Cd(II) and Zn(II) ions.
AB - In the present study, a new chelating adsorbent was prepared from chitosan
microspheres cross-linked with glutaraldehyde by spray drying using 8
hydroxyquinoline -5 sulphonic acid as chelant agent (CTS-SX-CL). Microspheres of
the new adsorbent were characterized by Raman spectroscopy, scanning electron
microscopy (SEM) and energy-dispersive X-ray microanalysis (EDX). The effect of
pH, contact time and concentration of metallic ions in solution were evaluated on
the adsorption behavior of Cd(II) and Zn(II) by CTS-SX-CL. Adsorption was maximum
for both Cd(II) and Zn(II) at pH 8.0. Adsorption kinetic curves were obtained and
could be fit by the pseudo second-order adsorption model. An analysis of
equilibrium adsorption data using the Langmuir isotherm model indicated that the
maximum adsorption capacity of CTS-SX-CL was higher than that of CTS-CL for both
ions investigated. The adsorption capacity increased 74% for Cd(II).
PMID- 18054382
TI - Characterization of a fucoidan from Lessonia vadosa (Phaeophyta) and its
anticoagulant and elicitor properties.
AB - Blades of Lessonia vadosa (Phaeophyta) were extracted with 2% CaCl(2) solution,
affording in 4.4% yield a polysaccharide which contained fucose and sulfate
groups in the molar ratio 1.0:1.12. The high negative optical activity value
([alpha](D)(22)=-134.0 degrees ), FT-IR and NMR analysis suggest the presence of
a fucoidan. (13)C NMR spectrum of the polysaccharide obtained by solvolytic
desulfation of native fucoidan indicated the major presence of 1-->3 linked alpha
l-fucan. Depolymerization of the native fucoidan with H(2)O(2) in the presence of
copper(II) acetate gave in 54.8% yield a fraction with 33.7% of sulfate content.
The native fucoidan (MW 320,000) showed good anticoagulant activity whereas the
radical depolymerized fraction (MW 32,000) presented a weak anticoagulant
activity. These polysaccharides showed significant activation of phenylalanine
ammonia lyase (PAL), lipooxygenase (LOX) and glutathione-S-transferase (GST)
defence enzyme activities in tobacco plants.
PMID- 18054383
TI - Structural changes in umbilical vessels in pregnancy induced hypertension.
AB - BACKGROUND: Pregnancy Induced Hypertension (PIH) is associated with placental
morphological changes, alterations in the blood flow patterns in the umbilical
vessels and adverse fetal and maternal outcome. Studies have demonstrated changes
in the structure of the umbilical vessels but these have not been described
across the length of the cord or correlated with the severity of disease. STUDY
DESIGN: A case control study. SETTING: Kenyatta National Hospital. MATERIALS AND
METHODS: Thirty six umbilical cords from newborns of women with and without PIH
(18 cases, 18 controls) were obtained and studied with light microscopy. Of the
cases 9 women had severe PIH and 9 had mild PIH. Means and standard deviations
for the various parameters of the various groups were obtained. Student's t-test
and ANOVA were used to compare means, a p value of <0.05 being significant.
RESULTS: The structure of the umbilical vessels changes from the placental end to
the fetal end. The umbilical vein in PIH had a greater wall thickness and a
smaller luminal area than in the controls. The vein's wall-luminal ratio
increased from the placental to the fetal end. Duplication of the elastic
subintimal lamina (ESL) was higher in the cases. The ESL was more commonly
duplicated in the fetal end. There were no structural differences between the
umbilical arteries in PIH and in the controls. CONCLUSION: PIH is associated with
structural changes in the umbilical vessels. These changes are more predominant
in the vein than in the artery and in the vein, they are more obvious in the
fetal end. The observed increase in wall-luminal ratio from the placental to the
fetal end suggests that the fetal end of the umbilical vein has a more refined
role in the regulation of blood flow to the fetus.
PMID- 18054385
TI - Physiological and biochemical effects of allelochemical ethyl 2-methyl
acetoacetate (EMA) on cyanobacterium Microcystis aeruginosa.
AB - The physiological and biochemical effects of an allelochemical ethyl 2-methyl
acetoacetate (EMA) isolated from reed (Phragmites communis) on bloom-forming
cyanobacterium, Microcystis aeruginosa, were investigated. EMA significantly
inhibited the growth of M. aeruginosa in a concentration-dependent way. The
metabolic indices (represented by esterase and total dehydrogenase activities),
the cellular redox status (represented by the level of reactive oxygen species
(ROS)), and the oxidative damage index (represented by the content of
malondialdehyde (MDA), the product of membrane lipid peroxidation) were used to
evaluate the physiological and biochemical changes in M. aeruginosa after EMA
exposure. Esterase activity in M. aeruginosa did not change (P>0.05) after 2 h of
exposure to EMA, but increased greatly after 24 and 48 h (P<0.05). EMA exposure
(>0.5 mg L(-1)) resulted in a remarkable loss of total dehydrogenase activity in
M. aeruginosa after 4 h (P<0.01), but an increase after 40 h (P<0.05). EMA caused
a great increase in ROS level of the algal cells. At high EMA concentration (4 mg
L(-1)), the ROS level was remarkably elevated to 1.91 times as much as that in
the controls after 2 h. Increases in the ROS level also occurred after 24 and 48
h. The increase in lipid peroxidation of M. aeruginosa was dependent upon EMA
concentration and the exposure time. After 40 h of exposure, the MDA content at 4
mg L(-1) of EMA reached approximately 3.5 times (P<0.01) versus the controls.
These results suggest that the cellular structure and metabolic activity of M.
aeruginosa are influenced by EMA; the increased metabolic activity perhaps
reflects the fact that the resistance of cellular response system to the stress
from EMA is initiated during EMA exposure, and the oxidative damage induced by
EMA via the oxidation of ROS may be an important factor responsible for the
inhibition of EMA on the growth of M. aeruginosa.
PMID- 18054384
TI - Human embryonic stem cells as models for trophoblast differentiation.
AB - Trophectoderm is specified from pluripotent blastomeres at some time prior to
blastocyst formation. Proliferating cytotrophoblast derived from trophectoderm is
the forerunner of the entire trophoblast component of the mature human placenta,
including extravillous cytotrophoblast and syncytiotrophoblast. Recently human
embryonic stem cells (hESC) have been employed to study these events in an in
vitro situation. Here we review some of the work in this emerging area of
trophoblast biology. We concentrate primarily on a model in which colonies of
hESC are exposed to BMP4 in stem cell growth medium lacking FGF2. Under both low
(4%) and high (20%) O(2) conditions, differentiation proceeds unidirectionally
towards trophoblast from the outside of the colonies inwards, with the
progression fastest under high O(2). Immunohistochemical observations performed
on whole colonies combined with microarray analysis of mRNA can be employed to
track developmental transitions as they occur over time and in two-dimensional
space as the cells respond to BMP4.
PMID- 18054386
TI - Studies on the haemolytic activity of circulating C1q-C3/C4 complexes.
AB - During classical complement pathway activation, the internal thio-ester of both
C3 and C4 becomes exposed which enables C3 and C4 to bind covalently to nearby
molecules. Recently, we described that C3 and C4 bind to C1q, the recognition
molecule of the classical pathway, upon activation of this pathway. Covalently
linked complexes between C1q and activated C4 (C1q-C4 complexes) are specific
markers for classical complement pathway activation. In the present study we
further investigated the molecular characteristics of complexes between C1q and
activated C3 or C4 that occur in vivo. In human serum only complexes of C1q with
C3d or C4d fragments were detected but not those with the larger C3b/bi or C4b/bi
fragments. We identified that C1q-C4 complexes circulate as part of the intact C1
complex instead of as free C1q. Finally, we investigated whether deposited C3d or
C4d affect C1 haemolytic activity. We observed that both C1q-C3 and C1q-C4
complexes are significantly (P<0.05) less active in a C1q-haemolytic assay than
non-complexed C1q. Thus, the dominant types of C1q complexes that circulate in
vivo are C1q-C3d and C1q-C4d complexes. These complexes are still able to
interact with C1r and C1s to form a C1 complex, but seem to have a reduced
activity as compared to C1q not carrying C3- or C4-fragments.
PMID- 18054387
TI - Short peptide sequences mimic HLA-DM functions.
AB - HLA-DM (DM) plays a critical role in Ag presentation to CD4 T cells by catalyzing
the exchange of peptides bound to MHC class II molecules. It is known that DM
interaction with MHC II involves conformational changes in the MHC II molecule
leading to the disturbance of H-bonds formed between the bound peptide and the
MHC II groove leading to peptide dissociation. The specific region of the DM
molecule that induces this peptide dissociation is not defined. In this study, we
describe three short peptides (helper peptides) that accelerate DM-catalyzed
peptide exchange. Kinetic studies presented here demonstrate that these peptides
act similarly to DM in; (a) enhancing peptide binding to HLA-DR1; (b)
dissociation of complexes of peptide-DR1; and (c) maintaining a receptive
conformation of empty DR1. We further report that helper peptides are effective
in increasing peptide binding to DR1 expressed on B cells in vitro, and, when
mixed with peptide and adjuvant, cause enhanced T cell priming in HLA-DR1 Tg
mice. We suggest that helper peptides might interact with the same critical
residues on MHC class II that is targeted by DM.
PMID- 18054388
TI - The immunogenic CBD1 peptide corresponding to the caveolin-1 binding domain in
HIV-1 envelope gp41 has the capacity to penetrate the cell membrane and bind
caveolin-1.
AB - The potential caveolin-1 binding domain (CBD), referred to as CBD1 and CBD2, is
highly conserved in the transmembrane envelope glycoprotein of various HIV-1 and
HIV-2 isolates, respectively. However, HIV-1 neutralizing antibodies raised
against the synthetic CBD1 peptide (SLEQIWNNMTWMQWDK) do not cross-react with the
CBD2 peptide (SLTPDWNNMTWQEWER) and have no effect on HIV-2 infection. Here we
show that the CBD2 peptide is not immunogenic under similar immunization
conditions as the CBD1 peptide. Moreover, the CBD1 but not the CBD2 peptide has
the capacity to bind caveolin-1 in crude cell extracts thus suggesting the
existence of structural and/or conformational differences between CBD1 and CBD2.
Accordingly, circular dichroism spectroscopy and fluorimetry analysis indicated
that CBD1 but not CBD2 could adopt a defined secondary structure and form a
complex with a peptide corresponding to the caveolin-1 scaffolding domain, which
is the site of interaction of caveolin-1 with various proteins. In line with
these observations, CBD1 but not CBD2 binds cells and forms large aggregates at
the plasma membrane by colocalizing with cytofacial caveolin-1. This latter is
dependent on the lipid raft integrity of the plasma membrane. Supporting that the
ability to penetrate into plasma membranes is sustained by folding at the
interface, CBD1 but not CBD2 has the capacity to insert into lipid monolayers,
penetrate into artificial membranes and adopt a beta-sheet conformation in
presence of lipid vesicles. These structural determinants and membrane
partitioning properties could account for the immunogenicity of the CBD1 peptide
in various animals.
PMID- 18054389
TI - PBDE-47-induced oxidative stress, DNA damage and apoptosis in primary cultured
rat hippocampal neurons.
AB - 2,2',4,4'-Tetrabromodiphenyl ether (PBDE-47) causes developmental neurotoxicity
in animal studies, but the mechanism remains poorly understood. This paper
investigates the mechanism by studying the effects of oxidative stress, DNA
damage, and apoptosis induced by PBDE-47 in cultured primary rat hippocampal
neurons at different PBDE-47-concentrations (0, 2.06, 20.6, and 41.2 microM). The
results showed that reactive oxygen species (ROS) level, percentage of apoptosis,
malondialdehyde (MDA) content, the glutathione peroxidase (GSH-Px) level and the
lactic dehydrogenase (LDH) leakage rate were affected by exposure of cells to
41.2 microM PDBE-47 (P<0.05), but not to the lower concentrations tested (20.6
and 2.06 microM). Reduced glutathione (GSH), superoxide dismutase (SOD), and
increased DNA damage (tested by a comet assay) were affected at all
concentrations tested in a dose-related manner (P<0.05). These results suggested
that PBDE-47 could induce oxidative stress, DNA damage, and apoptosis in primary
rat hippocampal neurons. Whether or not this concentration response pattern
indicates that ROS leads to DNA damage and/or apoptosis must be confirmed with
further experiments.
PMID- 18054390
TI - Monoclonal antibodies to equine IgM improve the sensitivity of West Nile virus
specific IgM detection in horses.
AB - West Nile virus (WNV) is a zoonotic pathogen of global importance. In horses with
neurological signs, detection of WNV-specific immunoglobulin M (IgM) in serum is
widely used to identify clinical cases of WNV encephalitis. Here, we describe the
development of two monoclonal antibodies (mAbs) to equine IgM which were used in
a WNV IgM-specific enzyme-linked immunosorbent assay (ELISA). Their performance
was compared to an established assay based on polyclonal anti-IgM. Check test
serum samples from the National Veterinary Service Laboratory (NVSL) were used to
evaluate the performance of the three anti-IgM antibodies. The anti-IgM 1-22 mAb
correctly identified all NVSL samples. Both the polyclonal antibody and
monoclonal anti-IgM 2B-63 identified eight out of ten samples correctly. The
three assays were then compared using serum samples from clinically healthy
animals (n=33) and horses with neurological signs (n=21). High Spearman rank
correlations (0.76-0.86) were found among the ELISA results. Inter-test
agreements (weighted kappa) for assay interpretation resulted in strong agreement
(0.95) of the results obtained by the mAbs and moderate agreements when
monoclonal and polyclonal anti-IgM-based assays were compared. To determine the
analytical sensitivities of anti-WNV IgM detection, serial dilutions of WNV IgM
positive serum samples were analyzed. The highest sensitivity was obtained by
using the anti-IgM 1-22 mAb to capture IgM from equine serum. In conclusion, the
use of monoclonal anti-IgM antibodies can improve the sensitivity of IgM
detection in the acute phase of WN disease.
PMID- 18054391
TI - PI3 kinase and direct S-nitrosation are involved in down-regulation of apoptosis
signal-regulating kinase 1 during LPS-induced Toll-like receptor 4 signalling.
AB - Toll-like receptor 4 (TLR4) is the human pattern recognition receptor that
detects lipopolysaccharide (LPS) shared by Gram-negative bacteria. TLR4 is
expressed in different cell types including myeloid cells, the key effectors of
innate immune reactions. Apoptosis signal-regulating kinase 1 (ASK1), the
upstream kinase of MAP kinase-dependent apoptotic pathway has recently been found
to be selectively required for p38 MAP kinase activation/cytokine production
during TLR4 signalling. However, the activity of this enzyme has to be down
regulated to protect the cells against apoptosis. In the present study we have
found that inhibition of PI3 kinase by LY294002 in THP-1 cells exposed to LPS
attenuated down-regulation of ASK1 activity followed by programmed cell death. In
addition, nitric oxide produced in response to exposure of THP-1 cells to LPS was
found to S-nitrosate and therefore, down-regulate ASK1 activity.
PMID- 18054392
TI - Intralesional steroid injection for proliferative parotid hemangiomas.
AB - OBJECTIVE: To evaluate the efficacy of proliferative phase intralesional steroid
injections in the treatment of parotid hemangiomas. DESIGN: Retrospective
analysis of pediatric patients with parotid hemangiomas treated with
intralesional steroid injections during the proliferative phase. SETTING:
Vascular Anomalies Center, University of Arkansas for Medical Sciences, Arkansas
Children's Hospital, Little Rock, Arkansas, USA. PATIENTS: Twenty-one pediatric
patients, ages 4-39 months. METHODS: Between 2001 and 2006, 21 patients received
steroid injections for 23 parotid hemangiomas (bilateral in 2 patients). A total
of 1-3 injections over the first year of life were given at 6-25 week intervals.
RESULTS: Main outcome measures included softening, decreased growth rate, and/or
decrease in size. After injection, achievement of outcome measures occurred with
all lesions. No incidence of tissue atrophy or facial nerve injury was seen. Four
of 21 (19%) patients developed failure to thrive (FTT). CONCLUSION: Parotid
hemangiomas can be effectively controlled with proliferative phase intralesional
steroid injections. Injections may limit the need for future extensive surgery.
Further prospective randomized trials are needed to support these claims. Failure
to thrive may be a potential complication of intralesional steroid injection.
Endocrine/growth monitoring should be considered when treating with intralesional
steroids.
PMID- 18054393
TI - A rapid method for gene expression analysis of Borna disease virus in neurons and
astrocytes using laser microdissection and real-time RT-PCR.
AB - Laser microdissection combined with real-time RT-PCR represents a powerful method
to analyse the transcription efficiency of defined cell types. Therefore, a RNA
preserving immunolabelling method was established to identify neurons and
astrocytes in persistently BDV-infected rat brain sections for subsequent laser
microdissection and quantitation of viral gene products by real-time RT-PCR.
Firstly, to ensure an accurate measurement of viral RNA after immunolabelling,
different reference genes (glyceraldehyde-3-phosphate dehydrogenase [GAPDH],
succinate-ubiquinone reductase [SDHA], hypoxanthine phosphoribosyl-transferase-1
[HPRT]) were tested. Only normalisation with GAPDH yielded a stable relative
expression of viral RNA encoding the nucleoprotein (BDV-N), the matrixprotein and
the glycoprotein (intron I and intron II). The two remaining reference genes
biased the ratios of BDV-transcripts in the immunolabelled brain sections
significantly. Secondly, 100 immunolabelled neurons and astrocytes were harvested
using laser microdissection and amplification of all viral transcripts revealed
681 and 168 (BDV-N), 573 and 254 (intron I), 324 and 133 (intron II) and 161 and
36 (GAPDH) absolute copy numbers in neurons and astrocytes, respectively. Thus,
laser microdissection combined with real-time RT-PCR provides an effective tool
for the analysis of cell-specific viral transcription efficiency and allows
elucidating virus-host-interactions and virus persistence mechanisms in the CNS.
PMID- 18054394
TI - Posttranscriptional control of neuronal development by microRNA networks.
AB - The proper development of the nervous system requires precise spatial and
temporal control of gene expression at both the transcriptional and translational
levels. In different experimental model systems, microRNAs (miRNAs) - a class of
small, endogenous, noncoding RNAs that control the translation and stability of
many mRNAs - are emerging as important regulators of various aspects of neuronal
development. Further dissection of the in vivo physiological functions of
individual miRNAs promises to offer novel mechanistic insights into the gene
regulatory networks that ensure the precise assembly of a functional nervous
system.
PMID- 18054395
TI - Treatment of Argentine hemorrhagic fever.
AB - Argentine hemorrhagic fever (AHF) is a rodent-borne illness caused by the
arenavirus Junin that is endemic to the humid pampas of Argentina. AHF has had
significant morbidity since its emergence in the 1950s, with a case-fatality rate
of the illness without treatment between 15% and 30%. The use of a live
attenuated vaccine has markedly reduced the incidence of AHF. Present specific
therapy involves the transfusion of immune plasma in defined doses of
neutralizing antibodies during the prodromal phase of illness. However,
alternative forms of treatment are called for due to current difficulties in
early detection of AHF, related to its decrease in incidence, troubles in
maintaining adequate stocks of immune plasma, and the absence of effective
therapies for severely ill patients that progress to a neurologic-hemorrhagic
phase. Ribavirin might be a substitute for immune plasma, provided that the
supply is guaranteed. Immune immunoglobulin or monoclonal antibodies should also
be considered. New therapeutic options such as those being developed for systemic
inflammatory syndromes should also be valuated in severe forms of AHF.
PMID- 18054396
TI - Lateral habenula lesions improve the behavioral response in depressed rats via
increasing the serotonin level in dorsal raphe nucleus.
AB - The dorsal raphe nucleus (DRN)-serotonin (5-HT) system plays a key role in stress
related psychiatric disorders such as anxiety and depression. The habenular
nucleus (Hb) is closely connected with the DRN both morphologically and
functionally. Here, we used two types of depressive animal models by exposing
rats to chronic mild stress (CMS) and by chronically administering the tricyclic
antidepressant clomipramine (CLI) in the rat during the neonatal state of life to
produce adult depressed rats. We investigated the effects of lateral habenular
nucleus (LHb) lesions on the behavioral response and on the level of 5-HT in DRN
in the depressed rats. Forced-swimming test (FST) showed that the immobility time
decreased, and the climbing time increased after lesioning LHb of depressed rats.
Microdialysis results indicated that the 5-HT level in DRN in depressed rats was
lower than that of the control group. Lesion of the LHb was followed by an
increased 5-HT turnover in the DRN. Our results suggested that the lesion of the
LHb could improve the behavioral response of the depressed rats and the 5-HT
level of the DRN increased by LHb lesions could be involved in the effects.
PMID- 18054397
TI - Different patterns of freezing behavior organized in the periaqueductal gray of
rats: association with different types of anxiety.
AB - Freezing defined as the complete absence of body movements is a normal response
of animals to unavoidable fear stimuli. The present review presents a series of
evidence relating different defensive patterns with specific anxiety disorders.
There are at least four different kinds of freezing with specific neural
substrates. The immobility induced by stimulation of the ventral column of the
periaqueductal gray (vPAG) has been considered a quiescence characteristic of the
recovery component of defense-recuperative processes. There is an isomorphism
between freezing response to contextual stimuli paired with electrical shocks and
generalized anxiety disorder. Besides, two types of freezing emerge with the
electrical stimulation of the dorsal aspects of the periaqueductal gray (dPAG):
the dPAG-evoked freezing and the dPAG post-stimulation freezing. Evidence is
presented in support of the hypothesis that whereas dPAG-evoked freezing would
serve as a model of panic attacks, the dPAG post-stimulation freezing appears to
be a model of panic disorder. It is also proposed that conditioned freezing plus
dPAG electrical stimulation might also mimic panic disorder with agoraphobia. A
model of serotoninergic modulation through on- and off-cells of the defense
reaction generated in the dPAG is also presented. The understanding of how the
periaqueductal gray generates and elaborates different types of freezing is of
relevance for our better knowledge of distinct types of anxiety such as panic
disorder or generalized anxiety disorder.
PMID- 18054398
TI - Gene expression profiling reveals upregulation of Tlr4 receptors in Cckb receptor
deficient mice.
AB - The cholecystokinin B (2) receptor knockout (Cckbr KO) protects against allodynia
induced by chronic constriction injury (CCI). The mechanism of this phenomenon is
unknown, but must involve persistent changes in pain modulation and/or
inflammatory pathways. We performed a gene expression study in two brain areas
(midbrain and medulla) after surgical induction of CCI in Cckbr KO and wild-type
(wt) control mice. The patterns of gene expression differences suggest that the
immune system is activated in higher brain structures following CCI in the wt
mice. The strongest differences include genes related to the MAPK pathway
activation and cytokine production. In Cckbr KO mice this expressional pattern
was absent. In addition, we found significant elevation of the Toll-like receptor
4 (Tlr4) in the supraspinal structures of the mice with deleted Cckbr compared to
wt control mice. This up-regulation is most likely induced by the deletion of
Cckbr. We suggest that there is a functional deficiency in the Tlr4 pathway which
disables the development of neuropathic pain in Cckbr KO mice. Indeed, real time
PCR analysis detected a CCI-induced upregulation of Tlr4 and Il1b expression in
the lumbar region of wt but not Cckbr KO mice. Gene expression profiling
indicates that elements of the immune response are not activated in Cckbr KO mice
following CCI. Our findings suggest that there may be a role for CCK in the
regulation of innate immunity.
PMID- 18054399
TI - Activation differences in observation of hand movements for imitation or velocity
judgement.
AB - We aimed to investigate the brain areas engaged in observation of hand movements
with the intention of imitation or judging movement velocity. Both processes
reflect different analytic approaches in movement observation. We were interested
if these two processes can be distinguished or share common activation foci.
Twelve healthy, right-handed volunteers were required to observe video clips of
hand gestures and of object related grasping movements while the regional
cerebral blood flow was measured using positron emission tomography. The subjects
were instructed either to imitate the actions or to judge the velocity of the
observed movements after scanning. Action observation with the instruction to
judge movement velocity engaged bilaterally the temporo-occipital junction and
adjacent visual cortical areas. In contrast, observation with the instruction to
imitate them afterwards, yielded large activation clusters covering the left
parietal and premotor cortex. Both contrasts demonstrated activation in the
inferior frontal cortex, however, on opposite sides. Results suggest that
movement observation with the goal of imitation activated specific areas of the
parietal cortex in the dominant hemisphere probably related to programming of the
movement kinematics. In contrast, observation with the goal to characterize the
velocity of the finger movements activated the ventral visual pathways. Thus,
movement observation recruits non-overlapping cortical networks, depending on the
information attended to which are characterised by a dorsal ventral dissociation.
PMID- 18054400
TI - Intra hippocampal injection of testosterone impaired acquisition, consolidation
and retrieval of inhibitory avoidance learning and memory in adult male rats.
AB - The hippocampus is essentially involved in learning and memory, and is known to
be a target for androgen actions. Androgen receptors are densely expressed in CA1
of rat hippocampus, and mediate the effects of testosterone (T) on learning and
memory. T depletion or administration can modulate neural function and cognitive
performance. We conducted series of experiments to further investigate the effect
of castration or intra hippocampal injection of T on acquisition, consolidation
and retrieval of inhibitory avoidance learning and memory. Male adult rats were
bilaterally cannulated into CA1 of hippocampus, and then received T (1, 10, 20,
40 and 80mug/0.5mul/side) or vehicle (DMSO), 30min before training, immediately
after training and 30min before retrieval in inhibitory avoidance task.
Castration was made by gonadectomy of male rats and behavioral tests performed 4
weeks later. Our results showed that gonadectomy of male rats did not influence
performance on inhibitory avoidance task, as compared to sham-operated rats. We
have also found that pre-training, post-training and pre-retrieval intra CA1
injections of T significantly decreased step-through latencies in inhibitory
avoidance learning at doses 1 and 80, 20, and 20 and 40mug/0.5mul/side,
respectively. The data suggest that intra CA1 administration of T could impair
learning and memory acquisition, consolidation and retrieval, while systemic
androgen's depletion have no effect on memory, in inhibitory avoidance task.
PMID- 18054401
TI - Role of age and gender in the perception of aging: a community-based survey in
Kuwait.
AB - It was aimed at investigating the perception of the aging process by the adult
population in Kuwait and the existence of gender-dependent ageism. A total of 305
adults aged between 20 and 64 years were selected among those attending health
centers in Kuwait. They were interviewed using a pre-tested questionnaire and
data was collected through direct one-to-one interview using the incidental
sampling method. Over 74% of both group of respondents considered age as a
defining factor for elderly women, however, only 62.2% of women and 67% of men
thought age defined elderly men. Body image was an important criterion and a
significant association between age of the respondents with body image and mental
alertness in defining elderly women was observed (p<0.001). Only 1.6% agreed that
the elderly required geriatric homes, 69.9% agreed they feared death and up to
78.8% of the respondents agreed that the elderly were irritable. Some degree of
gender ageism was evident in both groups of respondents. In general, though
Kuwait has undergone extensive socio-cultural changes associated with
modernization, the status of the elderly as an indispensable part of the family
continues to remain.
PMID- 18054402
TI - Effects of substance P on neuronal firing of pallidal neurons in parkinsonian
rats.
AB - Substance P is an important neurotransmitter or neuromodulator in central nervous
system. Morphological studies have revealed the existence of substance P and its
high affinity receptor, neurokinin-1 receptor, in globus pallidus. The expression
of neurokinin-1 receptor in external globus pallidus has been reported to be
decreased or unchanged in parkinsonian patients. To further investigate the
effects of pallidal neurokinin-1 receptor in Parkinson's disease, an in vivo
extracellular recording in 6-hydroxydopamine parkinsonian rats was performed.
Micro-pressure ejection of selective neurokinin-1 receptor agonist,
[Sar9,Met(O2)11] substance P (0.1mM), increased the spontaneous firing rate of
pallidal neurons by 9.1% on the lesioned side, which was significantly weaker
than that on the unlesioned side (20.7%), and that in normal rats (30.0%). The
selective neurokinin-1 receptor antagonist, SR140333B, prevented the excitatory
effects induced by [Sar9,Met(O2)11] substance P. Based on the action of substance
P in globus pallidus of parkinsonian rats we hypothesize that the activity of
neurokinin-1 receptors in globus pallidus may be decreased under parkinsonian
state. This finding may provide a rationale for further investigations into the
potential of pallidal substance P system in the treatment of Parkinson's disease.
PMID- 18054403
TI - Oxidation of peptides and proteins by Trichoderma reesei and Agaricus bisporus
tyrosinases.
AB - The capability of a novel tyrosinase from Trichoderma reesei (TrTyr) to catalyse
the oxidation and oxidative cross-linking of l-tyrosine (l-Y) and tyrosine side
chains in GYG and EGVYVHPV peptides, in bovine serum albumin (BSA) and beta
casein proteins as well as in proteinaceous wool fibres was studied by oxygen
consumption measurement, sodium dodecyl sulfate polyacrylamide gel
electrophoresis (SDS-PAGE), reverse phase high-performance liquid chromatography
(RP-HPLC), matrix assisted laser desorption ionization time-of-flight mass
spectrometry (MALDI-TOF MS) and fluorescence microscopy. TrTyr was compared to
the well-characterised tyrosinase from Agaricus bisporus (AbTyr) in terms of
oxidation and cross-linking. According to the results obtained TrTyr was capable
of cross-linking peptides and proteins more efficiently than AbTyr. However, the
size and three-dimensional structure of the proteinaceous substrates proved to be
crucial for the success of the enzymatic catalysis. Random coil beta-casein could
be cross-linked by TrTyr already in three hours, but large and compact BSA was
not cross-linked even in 24h. TrTyr could also be used to incorporate a
diphenolic compound, l-dihydroxyphenyl alanine (l-dopa), into protein fibres
whereas incorporation of a monophenol, l-Y was less efficient. Thus TrTyr is a
potential tool for protein cross-linking and/or modification.
PMID- 18054404
TI - Amino acid substitutions in alphaA and alphaC of Cyt2Aa2 alter hemolytic activity
and mosquito-larvicidal specificity.
AB - Cyt2Aa2 produced by Bacillus thuringiensis subsp. darmstadiensis exhibits in
vitro cytolytic activity against broad range of cells but shows specific in vivo
toxicity against larvae of Dipteran insects. To investigate the role of amino
acids in alphaA and alphaC of this toxin, 3 single-point mutants (A61C, S108C and
V109A) were generated. All 3 mutant proteins were highly produced as inclusion
bodies that could be solubilized and activated by proteinase K similar to that of
the wild type. Hemolytic activity of A61C and S108C mutants was significantly
reduced whereas the V109A mutant showed comparable hemolytic activity to the wild
type. Interestingly, the A61C mutant exhibited high larvicidal activity to both
Aedes aegypti and Culex quinquefasciatus. S108C and V109A mutants showed low
activity against C. quinquefasciatus but relatively high toxicity to A. aegypti.
These results demonstrated for the first time that amino acids in alphaA and
alphaC are involved in the selectivity of the Cyt toxin to the targeted organism.
PMID- 18054405
TI - Detection of ovine herpesvirus 2 major capsid gene transcripts as an indicator of
virus replication in shedding sheep and clinically affected animals.
AB - The aim of this study was to identify tissues where ovine herpesvirus 2 (OvHV-2)
replication occurs in vivo. A reverse-transcriptase PCR targeting the OvHV-2
major capsid protein gene (ORF 25) was developed and the presence of transcripts
used as an indicator of virus replication in naturally infected sheep, and cattle
and bison with sheep-associated malignant catarrhal fever (SA-MCF). ORF 25
transcripts were detected in 18 of 60 (30%) turbinate, trachea, and lung samples
from five sheep experiencing a shedding episode; 12 of the 18 positive samples
were turbinates. ORF 25 transcripts were not detected in any other tissue from
the shedding sheep (n=55). In contrast, 86 of 102 (84%) samples from clinically
affected bovine and bison tissues, including brain, kidney, intestine, and
bladder, had ORF 25 transcripts. The data strongly suggest that OvHV-2
replication is localized to the respiratory tract of shedding sheep,
predominantly in the turbinate, while it occurs in virtually all tissues of
cattle and bison with SA-MCF. These findings represent an important initial step
in understanding viral pathogenesis, and in potentially establishing a system for
OvHV-2 propagation in vitro.
PMID- 18054406
TI - Cytoplasmic vacuolization responses to cytopathic bovine viral diarrhoea virus.
AB - Bovine Viral Diarrhea Virus (BVDV) is a positive sense, single-stranded RNA virus
which exhibits two biotypes in standard cell culture systems. The cytopathic
strains of this virus (cpBVDV) induce dramatic cytoplasmic vacuolization in cell
cultures, while infection with the non-cytopathic (NCP-BVDV) strains produces no
overt changes in the host cells. Our results show that extensive cytoplasmic
vacuolization is the earliest morphological change in response to cpBVDV
infection in MDBK cells. Cells with extensive vacuolization showed no co-existing
chromatin condensation, caspase activation, or loss of membrane integrity. In
addition, the caspase inhibitor (zVAD-fmk), although improving cell viability of
infected cells from 6.7+/-2.2% to 18.8+/-2.2%, did not prevent vacuolization. On
the ultrastructural level, the virus-induced cytoplasmic vacuoles are single
membrane structures containing organelles and cellular debris, which appear
capable of fusing with other vacuoles and engulfing surrounding cytoplasmic
materials. LysoTracker Red which marks lysosomes did not stain the virus-induced
cytoplasmic vacuoles. In addition, this lysosomal dye could be observed in the
cytoplasm of vacuolized cells, suggesting a lysosomal abnormality. Our data
demonstrate that cpBVDV induced a novel cell death pathway in MDBK cells that is
primarily associated with lysosomal dysfunction and the formation of phagocytic
cytoplasmic vacuoles, and this mode of cell death is different from apoptosis and
necrosis.
PMID- 18054407
TI - Fibroblast growth factors and Hedgehogs: at the heart of the epicardial signaling
center.
AB - Over the past several years, increasing attention has been focused on
understanding signaling pathways that control key events during midgestational
heart development. During this period of development, the heart tube transforms
into a functioning organ that must maintain its own blood supply and grow and
respond to the physiologic needs of the organism. A critical event that occurs
during midgestational heart development is the formation of the epicardium, which
functions as a source of cells and as a signaling center that regulates
myocardial growth and coronary vascular development. This review will describe
our understanding of the role and the mechanism by which the epicardium governs
these developmental events, primarily as a result of studies in the mouse. We
focus on two key growth factor pathways: fibroblast growth factor and Hedgehog
signaling.
PMID- 18054408
TI - Phase II study of plitidepsin in pretreated patients with locally advanced or
metastatic non-small cell lung cancer.
AB - OBJECTIVE: To evaluate the progression-free rate (PFR) at 3 months (13+/-1
weeks), antitumor response, time-to-event efficacy endpoints, and toxicity
profile of plitidepsin administered as a 3-h continuous i.v. infusion at a dose
of 5mg/m(2), every 2 weeks, to patients with chemotherapy pretreated advanced non
small cell lung cancer (NSCLC). PATIENTS AND METHODS: This was a multicenter, non
randomized, exploratory, phase II study. Treatment lasted until disease
progression, unacceptable toxicity, patient refusal or treatment delay for >2
weeks. PFR (primary efficacy endpoint) and objective response rate (secondary
efficacy endpoint) were evaluated according to RECIST, while the toxic profile of
plitidepsin was assessed using the NCI-CTC, version 2.0. RESULTS: A total of 21
patients with a median age of 61 years and with locally advanced or metastatic
non-resectable NSCLC, who had previously received only one line of chemotherapy
in an advanced setting, received a total of 54 cycles of treatment (median of two
cycles per patient; range: 1-8). Antitumor activity was seen in 3 (1 PR, 2 SD)
out of 17 evaluable patients according to RECIST. One patient was responder for
the primary (PFR at 13+/-1 weeks) and secondary efficacy endpoint (stable disease
according to RECIST). Other two patients were non-responders for the primary
efficacy endpoint, but had stable disease (not confirmed at weeks 13+/-1 due to
previous withdrawal due to adverse events). With a median follow-up of 12.3
months, the median time to progression (TTP) and the median overall survival (OS)
were 1.2 months and 4.3 months, respectively. The incidence of plitidepsin
related toxicities was low and most of them were mild-to-moderate in severity.
The most common side effects were anemia, and asymptomatic and non-cumulative
increases of gamma-glutamyltransferase (GGT) and liver transaminase levels.
CONCLUSION: This study shows that plitidepsin 3-h continuous i.v. infusion
(5mg/m(2)) every 2 weeks, was feasible and well tolerated in patients with
pretreated NSCLC. The lack of evidence of antitumor activity precludes further
studies with this plitidepsin schedule in this tumor setting.
PMID- 18054409
TI - Competition between targeting signals in hybrid proteins provides information on
their relative in vivo affinities for subcellular compartments.
AB - After their translation and folding in the cytoplasm, proteins may be imported
into an organelle, associate with a membrane, or rather become part of large,
highly localised cytoplasmic structures such as the cytoskeleton. The
localisation of a protein is governed by the strength of binding to its immediate
target, such as an import receptor for an organelle or a major component of the
cytoskeleton, e.g. actin. We have experimentally provided a set of actin-binding
proteins with competing targeting information and expressed them at various
concentrations to analyse the strength of the signal that governs their
subcellular localisation. Our microscopic observations indicate that organellar
sorting signals override the targeting preference of most cytoskeletal proteins.
Among these signals, the nuclear localisation signal of SV40 is strongest,
followed by the oligomerised PHB domain that targets vacuolin to the endosomal
surface, and finally the tripeptide SKL mediating transport into the peroxisome.
The actin-associated protein coronin, however, can only be misled by the nuclear
localisation signal. Interestingly, the targeting behaviour of this model set of
hybrid proteins in living Dictyostelium amoebae correlates surprisingly well with
the affinities of their constituent signals derived from in vitro experiments
conducted in various other organisms. Accordingly, this approach allows
estimating the in vivo affinity of a protein to its target even if the latter is
not known, as in the case of vacuolin.
PMID- 18054410
TI - [Hemoglobin targets in anemic patients with chronic kidney disease treated with
erythropoietin].
AB - PURPOSE: Erythropoietin is the treatment of the anaemia in chronic kidney
disease. A target rate of haemoglobin higher than 11 g/dl was usually proposed,
but recent recommendations stated that higher limit of haemoglobin was to be
reached, with the aim to improve the quality of life of the patients and to
reduce their risks of cardiovascular diseases. These objectives are to be
revised, according to the results of recently published clinical trials. CURRENT
KNOWLEDGE AND KEY POINTS: Patients treated to reach a high rate of haemoglobin
(between 13 and 14,5 g/dl) have an improved quality of life, but a 30% higher
mortality rate, compared to patients treated with a lower objective of
haemoglobin rate (10-12 g/dl). Hypertension and vascular access thromboses were
also more frequent in the patients with the highest haemoglobin rate. Two to
three times more erythropoietin was necessary to reach the higher rate of
haemoglobin. These results favour a target rate of haemoglobin not higher than 12
g/dl. A polemic followed the results of these clinical trials, mostly in the
United States, questioning the way in which the higher limit had been fixed
whereas precise data were unavailable. The role of pharmaceutical industry and of
for profit dialysis centres was underlined. FUTURE PROSPECTS AND PROJECTS: The
next step is now to explain if the excess in cardiovascular morbimortality is
related to the haemoglobin rate or to a direct effect of the erythropoietin. Such
an understanding is important, the more so as new erythropoiesis-stimulating
agents are being developed.
PMID- 18054411
TI - [Cervical pain with fever].
PMID- 18054412
TI - A comparison of problem-based and traditional education on nursing students'
critical thinking dispositions.
AB - Determining the critical thinking (CT) levels of students in undergraduate
nursing schools is important in terms of establishing the methods of education
that should be used. Although there is some evidence that active learning
approaches like problem-based learning are effective in developing CT, the
findings are inconclusive. This descriptive analytic study compared levels of
critical thinking among senior nursing students (N=147) in two educational
programs, one of which used a problem-based learning (PBL) model while the other
used a traditional model. The California critical thinking disposition inventory
(CCTDI) was used as a data collection tool. Comparisons between the groups were
made using t-test analysis. There was a significant difference (p<0.05) between
the critical thinking disposition scores of the seniors in the PBL school and
those in the school implementing the traditional model. Analysis of sub-scale
scores showed significant differences in truth-seeking and open-mindedness. These
findings add to the evidence that the active and self-directed nature of PBL
encourages students' ability to think critically, be tolerant of the ideas of
others and evaluate conflicting information before reaching a conclusion.
PMID- 18054413
TI - Surface-displayed porcine epidemic diarrhea viral (PEDV) antigens on lactic acid
bacteria.
AB - In this report, for surface display of viral antigen on lactobacilli, we have
developed a surface antigen display system using the poly-gamma-glutamate
synthetase A protein (pgsA) of Bacillus subtilis as an anchoring matrix.
Recombinant fusion proteins comprised of pgsA and neucleocapsid protein of PEDV
were stably expressed in Lactobacillus casei. Surface location of fusion protein
was verified by ELISA, immunofluoresence microscopy. Oral and intranasal
inoculations of recombinant L. casei into pregnant sow and mice resulted in high
levels of serum immunoglobuline G (IgG) and mucosal IgA, as demonstrated by
rnELISA(recombinant N protein ELISA) using recombinant N protein. Absorbance of
IgG in pregnant sow sera highly increased duration of the experiment. More
importantly, the level of IgA in colostrum were increased significantly higher
than that of IgG. The IgG levels of the piglets were increased after suckling
colostrum secreted from sows previously inoculated recombinant L. casei. These
results indicate that mucosal immunization with recombinant L. casei expressing
PEDV N protein (neucleoprotein of PEDV) on its surface elicited high levels of
mucosal IgA and circulation IgG immune responses against the antigen N of PEDV.
PMID- 18054414
TI - Development and characterization of a standardized ELISA including a reference
serum on each plate to detect antibodies induced by experimental malaria
vaccines.
AB - Enzyme linked immunosorbent assay (ELISA) has been widely used to measure
antibody titers for evaluating the immunogenicity of a vaccine. However, there is
as yet no generally accepted way of expressing the ELISA results in the case of
experimental vaccines, since there is usually no uniform standard. Both end point
and single dilution methods have significant disadvantages. In this paper, we
obtained reproducible data with fewer dilutions of samples by addition of
serially diluted standard serum to each ELISA plate. Since this ELISA method
gives reliable antibody titer with less labor than other methods, it can strongly
support vaccine development.
PMID- 18054415
TI - Allergen immunotherapy in intermittent allergic rhinitis reduces the
intracellular expression of IL-4 by CD8+ T cells.
AB - BACKGROUND: T helper subset dysregulation is evident in allergic disorders. The
role of T cytotoxic subsets is less understood. We investigated whether allergen
immunotherapy in intermittent allergic rhinitis influences the intracellular
expression of IL-4 and IFN-gamma by CD3+CD8(-) and CD3+CD8+ cells. METHODS:
Nineteen adult patients with intermittent allergic rhinitis were evaluated before
the pollen season, and then after one preseasonal course of subcutaneous allergen
immunotherapy. Twelve healthy nonatopic patients matched for age and sex served
as controls. Intracellular expression of IFN-gamma and IL-4 by CD3+CD8(-) (Th1
and Th2, respectively) and CD3+CD8+ (Tc1 and Tc2, respectively) was estimated by
flow cytometry in peripheral blood cells after stimulation with PMA and
ionomycin. RESULTS: Before immunotherapy the percentages of Th1, Th2, Tc1 and Tc2
did not significantly differ between the patients and the controls. After
immunotherapy the percentage of Tc2 was lower in the rhinitic patients than in
the controls (0.38% vs. 0.45%, p=0.04). The percentage of Tc2 cells decreased
significantly after immunotherapy in the intermittent allergic rhinitis group
(0.64% vs. 0.38%, p=0.02) with tendency to decrease in ratios of Tc2/Tc1
(p=0.059) and with no changes in ratios of Th2/Th1. The percentages of Th1, Th2
and Tc1 were comparable before and after immunotherapy within the rhinitic
patient group. CONCLUSIONS: The preseasonal allergen subcutaneous immunotherapy
applied to intermittent allergic rhinitis patients suppressed the percentage of
IL-4 producing CD3+CD8+ cells. Decreased number of CD3+CD8+IL-4+ cells may
participate in the regulatory mechanisms of immunotherapy.
PMID- 18054416
TI - Orthopaedic sport biomechanics - a new paradigm.
AB - This article proposes a new paradigm, "Orthopaedic sport biomechanics", for the
understanding of the role of biomechanics in preventing and managing sports
injury. Biomechanics has three main roles in this paradigm: (1) injury
prevention, (2) immediate evaluation of treatment, and (3) long-term outcome
evaluation. Related previous studies showing the approach in preventing and
managing anterior cruciate ligament rupture and anterior talofibular ligament
tear are highlighted. Orthopaedics and biomechanics specialists are encouraged to
understand what they could contribute to the current and future practice of
sports medicine.
PMID- 18054417
TI - Plasma folate level, urinary arsenic methylation profiles, and urothelial
carcinoma susceptibility.
AB - To elucidate the influence of folate concentration on the association between
urinary arsenic profiles and urothelial carcinoma (UC) risks in subjects without
evident arsenic exposure, 177 UC cases and 488 controls were recruited between
September 2002 and May 2004. Urinary arsenic species including inorganic arsenic,
monomethylarsonic acid (MMA(V)) and dimethylarsinic acid (DMA(V)) were determined
by employing a high performance liquid chromatography-linked hydride generator
and atomic absorption spectrometry procedure. After adjustment for suspected risk
factors of UC, the higher indicators of urinary total arsenic levels, percentage
of inorganic arsenic, percentage of MMA(V), and primary methylation index were
associated with increased risk of UC. On the other hand, the higher plasma folate
levels, urinary percentage of DMA(V) and secondary methylation index were
associated with decreased risk of UC. A dose-response relationship was shown
between plasma folate levels or methylation indices of arsenic species and UC
risk in the respective quartile strata. The plasma folate was found to interact
with urinary arsenic profiles in affecting the UC risk. The results of this study
may identify the susceptible subpopulations and provide insight into the
carcinogenic mechanisms of arsenic even at low arsenic exposure.
PMID- 18054420
TI - Maca (Lepidium meyenii) and yacon (Smallanthus sonchifolius) in combination with
silymarin as food supplements: in vivo safety assessment.
AB - Yacon and maca are native Andean crops with growing popularity as food
supplements often in combination with other components, e.g. silymarin. There are
however no published data on their toxicity and safety in humans. The aim of our
randomized placebo-controlled 90-day study was to evaluate the effects of yacon
and maca in combination with silymarin on plasma and lipoprotein lipids, serum
glucose and safety parameters in patients suffering from the metabolic syndrome.
No adverse effects were found in volunteers using silymarin (0.8 g/day),
silymarin+yacon (0.8+2.4 g/day) and silymarin+maca (0.6+0.2 g/day). A moderate
AST level and diastolic blood pressure increase was found in volunteers using
maca (0.6 g/day). In conclusion, the combination silymarin+yacon appears to be
promising as a nutraceutical in the prevention of diseases with a proatherogenic
lipoprotein profile and liver steatosis. The effect of maca on AST level and
blood pressure must be considered when using high doses of maca powder. This
effect could be reversed by supplementation with silymarin.
PMID- 18054421
TI - Re: Genotoxic effects of aluminum chloride in cultured human lymphocytes treated
in different phases of cell cycle.
PMID- 18054422
TI - [Post-traumatic lumbar hernia and abdominal wall reconstruction technique. A case
report].
AB - INTRODUCTION: Little less than half of the occurrences acquired lumbar hernias
are caused by traumatisms: direct parietal contusions, iliac crest biopsies or
fractures. Regarding their frequency, they are rare but generally underdiagnosed.
Abdominal wall reconstruction is motivated by the risk of hernia strangulation,
but also aims to rebuild continent abdominal muscles, allowing the loss of
discomfort or worsening risk as well as to resume physical activities. MATERIAL
AND METHODS: We report a case of parietal reconstruction of a traumatism-induced
lumbar hernia in a 59-year-old male patient. Scanner showed lumbar disinsertion
of abdominal transversus and both obliquus externus and internus muscles. The
pressure exerted on abdominal muscles, greater than the elastic resistance of the
insertion aponeurosis, caused their tearing. The flexibility and elasticity of
the skin allowed the sustainment of its integrity. We applied Welti-Eudel's
technique to suture the dorsal edge of the transverse and oblique intern muscles
with a flap coming from lumbo-dorsal fasciae of sacrospinalis muscles. A parietal
prosthesis is inserted between this deep level and the obliquus externus, which
is restored. RESULTS: Fifteenth month's results, both morphological and
functional, are excellent. Check scanner shows anatomical restitution of
abdominal muscles. CONCLUSION: The scanner of abdominal muscles is the leading
complementary exam. It is repeated with a gap, so that the hematoma does not
disturb its interpretation. Surgical indication is definite for active adults.
Parietal prosthetic strengthening, bone inserted between two muscular levels,
avoid late loosening. It has no immediate mechanical value, which is secured by
abdominal girdle during healing.
PMID- 18054423
TI - Vulnerability and risk evaluation of agricultural nitrogen pollution for
Hungary's main aquifer using DRASTIC and GLEAMS models.
AB - In recent years, the significant improvement in point source depuration
technologies has highlighted problems regarding, in particular, phosphorus and
nitrogen pollution of surface and groundwater caused by agricultural non-point
(diffuse) sources (NPS). Therefore, there is an urgent need to determine the
relationship between agriculture and chemical and ecological water quality. This
is a worldwide problem, but it is particularly relevant in countries, such as
Hungary, that have recently become members of the European Community. The Italian
Foreign Ministry has financed the PECO (Eastern Europe Countries Project)
projects, amongst which is the project that led to the present paper, aimed at
agricultural sustainability in Hungary, from the point of view of NPS.
Specifically, the aim of the present work has been to study nitrates in Hungary's
main aquifer. This study compares a model showing aquifer intrinsic vulnerability
to pollution (using the DRASTIC parameter method; Aller et al. [Aller, L.,
Truman, B., Leher, J.H., Petty, R.J., 1986. DRASTIC: A Standardized System for
Evaluating Ground Water Pollution Potential Using Hydrogeologic Settings. US
NTIS, Springfield, VA.]) with a field-scale model (GLEAMS; Knisel [Knisel, W.G.
(Ed.), 1993. GLEAMS--Groudwater Leaching Effects of Agricultural Management
Systems, Version 3.10. University of Georgia, Coastal Plain Experimental Station,
Tifton, GA.]) developed to evaluate the effects of agricultural management
systems within and through the plant root zone. Specifically, GLEAMS calculates
nitrate nitrogen lost by runoff, sediment and leachate. Groundwater monitoring
probes were constructed for the project to measure: (i) nitrate content in
monitored wells; (ii) tritium (3H) hydrogen radioisotope, as a tool to estimate
the recharge conditions of the shallow groundwater; (iii) nitrogen isotope ratio
delta15N, since nitrogen of organic and inorganic origin can easily be
distinguished. The results obtained are satisfactory, above all regarding the
DRASTIC evaluation method, which is shown to satisfactorily explain both low and
high aquifer vulnerability, and furthermore proves to be a good tool for zoning
hydrogeological regions in terms of natural system susceptibility to pollution.
The GLEAMS model, however, proves not to be immediately usable for predictions,
above all due to the difficulty in finding sufficient data for the input
parameters. It remains a good tool, but only after an accurate validation, for
decision support systems, in the specific case to integrate intrinsic
vulnerability, from DRASTIC (or similar methods), with land use nitrate loads
from GLEAMS, or similar methods. The PECO project has proved a positive
experience to highlight the fundamental points of a decision support system,
aimed to mitigate the nitrate risk for groundwater coming from Hungarian
agricultural areas.
PMID- 18054424
TI - Management of the failure of a permanently implanted urethral stent-a therapeutic
challenge.
AB - OBJECTIVES: To demonstrate the surgical challenges posed and strategies that can
be adopted to deal with failed stent insertion after prior urethroplasty or
traumatic injury to the urethra. METHODS: A case series of 14 patients (mean age,
48 yr; range, 32-61) who developed a urethral restenosis after urethral stent
insertion into a stricture that either occurred after blunt trauma or recurred
after a failed urethroplasty. RESULTS: In 9 of 14 patients, stricture formation
was attributed to blunt trauma, and in the remaining 5 patients, the stricture in
3 was secondary to a failed previous urethroplasty for a hypospadiac deformity
and, in 2, occurred after a failed urethroplasty performed for other indications.
The mean duration for a stent to remain in situ prior to its removal due to
failure was 26 mo (range, 3-85). The mean follow-up period for this group of
patients was 37 mo (range, 14-72). Four patients who had undergone urethroplasty
prior to stent insertion required more than two interventional procedures to make
them stricture free. CONCLUSIONS: Our findings indicate that failure of a
permanently implanted stent represents a major surgical challenge. Implantation
of these stents should be avoided for strictures that recur after either blunt
trauma or surgical trauma to the urethra associated with a prior urethroplasty.
In this series buccal mucosa was used as a graft for substitution in four
patients, and all patients in this group were rendered stricture free without the
need for any additional procedures.
PMID- 18054425
TI - ALS, mercury exposure, and chelation therapy.
PMID- 18054426
TI - Aberrant expression of selenoproteins in the progression of colorectal cancer.
AB - Since damage to DNA and other cellular molecules by reactive oxygen species ranks
high as a major culprit in the onset and development of colorectal cancer, the
aim of the present study is to clarify the role of antioxidant seleonoproteins
including glutathione peroxidase (GPx), thioredoxin reductase (TXR) and
selenoprotein P (SePP), and the effect of oxidative stress on the progression of
colorectal cancer. Expression of 14 oxidative stress-related molecules in both
tumorous and non-tumorous tissues in 41 patients was examined by
immunohistochemistry and Western blot analysis. Expression levels of proteins
modified by 4-hydroxy-2-nonenal (4-HNE), malonyldialdehyde (MDA) and 4-hydroxy-2
hexenal (4-HHE), and the positive rate of 8-hydroxy-2'-deoxyguanosine (8-OHdG) in
tumorous tissues were much higher than those in non-tumorous tissues. Glutathione
(GSH) content in tumor tissues was much lower than that in non-tumorous tissues.
Expression level of selenoproteins such as GPx-1, GPx-3, and SePP, which are
rapidly degraded during selenium deprivation, was significantly decreased in
tumorous tissues, whereas that of GPx-2, which is resistant to selenium
deprivation, was increased. Expression of SePP was decreased at stage III and IV,
compared to that of stage II. These data suggest that contrasting expression
pattern of the antioxidant selenoproteins plays an important role in the
progression of colorectal cancer.
PMID- 18054427
TI - Biodegradability enhancement of purified terephthalic acid wastewater by
coagulation-flocculation process as pretreatment.
AB - In this work, the coagulation-flocculation process was used as pretreatment for
purified terephthalic acid (PTA) wastewater with the objective of improving its
overall biodegradability. PTA production generates wastewaters with toxicants p
xylene [1,4-dimethyl-benzene (C8H10)], a major raw material used in the
production process, along with some of the intermediates, viz., p-toluic acid,
benzoic acid, 4-carboxybenzaldehyde, phthalic acid and terephthalic acid. These
compounds affect the bio-oxidation process of wastewater treatment; hence removal
of these constituents is necessary, prior to conventional aerobic treatment. This
paper addresses the application of coagulation-flocculation process using
chemical coagulants, viz., aluminium sulphate (alum), polyaluminium chloride
(PAC), ferrous sulphate and ferric chloride in combination with anionic
polyelectrolyte. Polyaluminium chloride (PAC) in conjunction with lime and
polyelectrolyte removed about 63.1% chemical oxygen demand (COD) and 45.2%
biochemical oxygen demand (BOD) from PTA wastewater. Coagulation-flocculation
process coupled with aerobic bio-oxidation treatment of PTA wastewater achieved,
COD & BOD removals of 97.4% and 99.4%, respectively. The biodegradability
enhancement evaluated in terms of the BOD5/COD ratio, increased from 0.45 to 0.67
at the optimum conditions. The results obtained from these studies indicate that
the coagulation-flocculation process could be a suitable pretreatment method in
reducing toxicity of PTA wastewater whilst enhancing biodegradability for aerobic
biological treatment scheme.
PMID- 18054428
TI - Borosilicate glasses modified with organic ligands: a new selective approach for
the removal of uranyl ion.
AB - Barium borosilicate glass was found to have high uptake capacity for many
cations. To improve its selectivity, surface modification was carried out. In
order to make the glass selective towards uranyl ion, organic ligands like tri-n
octylphosphine oxide (TOPO) and 8-hydroxy quinoline (Oxine) were used. It was
observed that the surface modification resulted in the change in uptake property
of the glass. The uptake process was faster and within 5 h, 90% of the uranyl ion
could be taken up from a 0.01 mM solution. With use of the modified barium
borosilicate glass and EDTA as masking agent, uranyl ion could be selectively
removed from mixtures of cations.
PMID- 18054429
TI - Selectivity modification by ion memory of magneso-silicate and magnesium alumino
silicate as inorganic sorbents.
AB - Synthetic magneso-silicate and magnesium alumino-silicate as inorganic ion
exchange materials with the formula MgSi5.59O(12.18).5.93H2O and
MgAl2.32Si5.2O(14.88).18.23H2O, respectively, have been found to be suitable for
the removal of Cs+, Co2+ and Eu3+ ions with the selectivity sequence
Eu3+>Co2+>Cs+. Samples of Cs-, Co- and Eu-loaded were prepared and thermally
treated at 850 degrees C in a furnace for the creation of specific cavity.
Surface area, IR and X-ray diffraction patterns of the products were conducted.
Surface area values of OMS, OMAS, TMS, TMAS, ETMS and ETMAS were measured and
indicated an increasing in the surface area values for the TMS and TMAS samples
and decreasing in the ETMS and ETMAS samples. Desorption studies in nitric acid
medium were carried out and reloading of the eluted solids with the studied
cations were conduced and the data show an ion memory behaviour for the eluted
solids. Finally, the rate of Cs+ ion sorption on OMS, OMAS, ETMS and ETMAS was
studied. The diffusion coefficients calculated indicated that the diffusion of
Cs+ ion is high for the ETMS and ETMAS samples compared to the OMS and OMAS
samples.
PMID- 18054430
TI - Phospholipid fatty acid analysis to monitor the co-composting process of olive
oil mill wastes and organic household refuse.
AB - The co-composting of olive oil mill wastes and household refuse was followed for
5 months. During the thermophilic phase of composting, the aerobic heterotrophic
bacteria (AHB) count, showed a significant rise with a slight regression of
fungal biomass. In the same way, phospholipid fatty acids PLFAs common in
bacteria, showed a significant increase of hydroxyl and branched PLFAs. The
evaluation of the ratio of octadecenoic PLFAs to stearic acid (C18:1omega/C18:0)
revealed a significant reduction while a significant rise in the length of
aliphatic chains evaluated by the stearic acid to palmitic acid ratio
(C18:0/C16:0) was noted during the stabilization phase. The follow-up of PLFAs,
indicates the degree of biodegradation that occurs during composting, it can be
regarded an indicator of the stability and maturity of the end product.
PMID- 18054431
TI - Modification of rice hull and sawdust sorptive characteristics for remove heavy
metals from synthetic solutions and wastewater.
AB - In this work two modified agricultural residues, rice hull and sawdust were
examined as sorbents to remove heavy metals Pb(II), Cd(II), Zn(II), Cu(II) and
Ni(II) from synthetic solutions or wastewater samples. To modify their sorptive
characteristics, samples were treated with HCl, NaOH and heat. The sorption of
the heavy metals from the synthetic solutions was increased with pH and initial
concentration. In pH 5, Pb(II) and Cd(II) showed the highest sorption and Cu(II),
Zn(II) and Ni(II) showed the following orders, respectively. Sorption capacity of
rice hull was higher than sawdust. The modifications changed the sorption
capacity of the natural sorbents in the following order base>heat>natural>acid.
The sorption isotherms of sorbents were best described by the Freundlich and
Langmuir models. The basic treated rice hull and sawdust followed by the heat
treated rice hull sorbed the maximum of heavy metals from the industrial
wastewater samples. In the column experiment, the synthetic solutions and the
wastewater samples gave almost the same results as the suspensions. The recovery
of the columns using water and HCl showed positive results. Commercial sorbents
removed Pb(II), Zn(II) and Ni(II) a little more than rice hull.
PMID- 18054432
TI - Low-temperature plasma-induced degradation of aqueous 2,4-dinitrophenol.
AB - The degradation behavior of 2,4-dinitrophenol (DNP) by low-temperature plasma was
investigated and the effect of some factors that might affect the degradation
process was further examined. The results indicated that DNP could be effectively
removed from aqueous solution. The degradation value was 83.6% when the input
power was 150 W and 60 s was selected as the discharge time. Increasing the input
power increased the degradation efficiency. The degradation process fitted first
order dynamics and the reduction was mainly caused by the reaction of DNP with
OH. The degradation efficiency decreased with the increase of initial
concentration at the same discharge time. H2O2 at the concentration of 0.25%
enhanced the degradation process, however, hindered the degradation at 1.00 and
2.00%. The presence of Fe2+ could benefit DNP degradation. However, the increment
in degradation efficiency might be suppressed to some extent at a high
concentration level. Cu2+ inhibited the degradation process within 30 s and
enhanced the reduction after 30 s. Furthermore, the increment of Cu2+
concentration could enhance the effect. A little acid environment was conducive
to DNP degradation and the pH value became lower with increasing discharge time
by low-temperature plasma.
PMID- 18054433
TI - Corporate crisis management managing a major crisis in a chemical facility.
AB - Chemical sites should have well trained and organized emergency response plans to
manage an incident within the plant or during transport. The implementation of an
incident command system utilizing either internal resources or external response
through mutual aid agreements is generally sufficient to address the direct
impact of an event on the site. When the site resources become overwhelmed in
addressing resulting issues such as press releases, medical advice/support,
employees and family support, Agency notifications, etc, Corporate should be
ready and able to respond. This paper, taken from an in-depth CCPS workshop led
by the author, describes an outline for corporate assistance in the event of a
major incident at a site or during transportation.
PMID- 18054434
TI - Regulation of SULT2B1a (pregnenolone sulfotransferase) expression in rat C6
glioma cells: relevance of AMPA receptor-mediated NO signaling.
AB - The neurosteroid pregnenolone sulfate (PREGS), which is synthesized in glial
cells, plays a significant role in learning and memory performance. The aim of
this study was to investigate the regulation of expression of the steroid
sulfotransferase SULT2B1a, which catalyzes the conversion of pregnenolone to
PREGS, using the rat C6 glioma cell line. Rat C6 glioma cells expressed the
SULT2B1a isoform, which sulfonates pregnenolone, but, neither the SULT2B1b
isoform, which catalyzes cholesterol, nor the prototypical steroid
sulfotransferase SULT2A1 were expressed in these cells. Increasing concentrations
of l-glutamic acid in the presence of cyclothiazide, which prevents AMPA receptor
desensitization, attenuated SULT2B1a mRNA expression; however, neither NMDA nor
kainic acid had a significant effect. Exposure to the synthetic glutamate
analogue alpha-amino-3-hydroxy-5-methyl-4-isoxazole propionic acid (AMPA) in the
presence of cyclothiazide also inhibited SULT2B1a expression. Attenuation of
SULT2B1a expression by L-glutamic acid was reversed by the selective AMPA/kainate
receptor antagonist 2,3-dioxo-6-nitro-7-sulfamoylbenzo(f)quinoxaline (NBQX), and
partially reversed by the specific neuronal nitric oxide synthase (NOS) inhibitor
7-nitroindazole (7-NI). Induction of inducible NOS by TNF-alpha in combination
with lipopolysaccharide (LPS) dramatically attenuated SULT2B1a expression; this
was partially reversed by the specific inducible NOS inhibitor N(6)-(1
iminoethyl)-L-lysine hydrochloride (L-NIL). Furthermore, exposure to exogenous NO
donors inhibited SULT2B1a mRNA expression, and exposure to sodium nitroprusside,
LPS/TNF-alpha and L-glutamic acid in combination with cyclothiazide increased the
production of nitrite, a stable degradation product of NO. These findings suggest
that expression of SULT2B1a, which catalyzes PREGS production, is inhibited by
activation of excitatory amino acid receptors of the AMPA subtype, via
facilitation of intracellular NO signaling.
PMID- 18054435
TI - Visual preference for isochronic movement does not necessarily emerge from
movement kinematics: a challenge for the motor simulation theory.
AB - The aim of this experiment was to show that the visual preference for isochronic
movements does not necessarily imply a motor simulation and therefore, does not
depend on the kinematics of the perceived movement. To demonstrate this point,
the participants' task was to adjust the velocity (the period) of a dot that
depicted an elliptic motion with different perimeters (from 3 to 60 cm). The
velocity profile of the movement conformed ("natural motions") or not ("unnatural
motions") to the law of co-variation velocity-curvature (two-thirds power law),
which is usually observed in the production of elliptic movements. For each
condition, we evaluated the isochrony principle, i.e., the tendency to prefer
constant durations of movement irrespective to changes in the trajectory
perimeter. Our findings indicate that isochrony principle was observed whatever
the kinematics of the movement (natural or unnatural). Therefore, they suggest
that the perceptive preference for isochronic movements does not systematically
imply a motor simulation.
PMID- 18054437
TI - Tactile discrimination, but not tactile stimulation alone, reduces chronic limb
pain.
AB - Chronic pain is often associated with reduced tactile acuity. A relationship
exists between pain intensity, tactile acuity and cortical reorganisation. When
pain resolves, tactile function improves and cortical organisation normalises.
Tactile acuity can be improved in healthy controls when tactile stimulation is
associated with a behavioural objective. We hypothesised that, in patients with
chronic limb pain and decreased tactile acuity, discriminating between tactile
stimuli would decrease pain and increase tactile acuity, but tactile stimulation
alone would not. Thirteen patients with complex regional pain syndrome (CRPS) of
one limb underwent a waiting period and then approximately 2 weeks of tactile
stimulation under two conditions: stimulation alone or discrimination between
stimuli according to their diameter and location. There was no change in pain
(100 mm VAS) or two-point discrimination (TPD) during a no-treatment waiting
period, nor during the stimulation phase (p > 0.32 for both). Pain and TPD were
lower after the discrimination phase [mean (95% CI) effect size for pain VAS = 27
mm (14-40 mm) and for TPD = 5.7 mm (2.9-8. ), p < 0.015 for both]. These gains
were maintained at three-month follow-up. We conclude that tactile stimulation
can decrease pain and increase tactile acuity when patients are required to
discriminate between the type and location of tactile stimuli.
PMID- 18054436
TI - A1 and A2A receptor activation by endogenous adenosine is required for VIP
enhancement of K+ -evoked [3H]-GABA release from rat hippocampal nerve terminals.
AB - Vasoactive intestinal peptide (VIP) modulates GABA release from hippocampal nerve
terminals and enhances hippocampal synaptic transmission through a pathway
dependent on GABAergic transmission. Since VIP modulation of hippocampal synaptic
transmission is dependent on the tonic actions of adenosine we investigated if
endogenous adenosine could influence VIP enhancement of GABA release from
isolated hippocampal nerve endings, and which adenosine receptors could be
mediating this influence. When extracellular endogenous adenosine was removed
using adenosine deaminase (ADA, 1U/ml), the enhancement (57.2+/-3.7%) caused by
VIP on GABA release was prevented. Blockade of adenosine A(1) receptors with 1,3
dipropyl-8-cyclopentylxanthine (DPCPX, 10nM) or of A(2A) receptors with ZM241385
(50nM) abolished the effect of VIP. In the presence of ADA, selective A(2A)
receptor-activation with CGS21680 (10nM) readmitted most of the enhancement
caused by VIP on GABA release (50.7+/-5.3%). Also in the presence of ADA, A(1)
receptor activation with N(6)-cyclopentyladenosine (CPA, 50nM) partially
readmitted that effect of VIP (32.6+/-3.8%). In conclusion, the enhancement of
GABA release caused by VIP in hippocampal nerve terminals is dependent on the
tonic actions of adenosine on both A(1) and A(2A) receptors, and this action of
adenosine is essential to VIP modulation of GABA release.
PMID- 18054438
TI - Coupled lateral bending-torsional vibration sensitivity of atomic force
microscope cantilever.
AB - We study the influence of the contact stiffness and the ration between cantilever
and tip lengths on the resonance frequencies and sensitivities of lateral
cantilever modes. We derive expressions to determine both the effective resonance
frequency and the mode sensitivity of an atomic force microscope (AFM)
rectangular cantilever. Once the contact stiffness is given, the resonance
frequency and the sensitivity of the vibration modes can be obtained from the
expression. The results show that each mode has a different resonant frequency to
variations in contact stiffness and each frequency increased until it eventually
reached a constant value at very high contact stiffness. The low-order vibration
modes are more sensitive to vibration than the high-order mode when the contact
stiffness is low. However, the situation is reversed when the lateral contact
stiffness became higher. Furthermore, increasing the ratio of tip length to
cantilever length increases the vibration frequency and the sensitivity of AFM
cantilever.
PMID- 18054439
TI - Liver fattening during feast and famine: an evolutionary paradox.
AB - Liver disease is one of the features of metabolic syndrome, one of the most
occurring diseases of the twenty-first century. During food deprivation and
starvation, adipose tissue elsewhere in the body delivers lipid components to the
liver where they are stored as triacylglycerols (TG). Continuous and excessive
food intake, on the other hand, leads to liver fattening (hepatic steatosis). In
the long term this reaction is pathogenic mainly by inflammation reactions. We
postulate the hypothesis in the evolutionary context that individuals with genes
promoting the efficient deposition of fat during periods between famines (thrifty
genes) in combination with a proinflammatory genotype would be favored and be
selected during the course of evolution. Furthermore we postulate the hypothesis
that the majority of man, living in a world were famine never comes, are
physiologically not adapted to modern social behavior with abundance of food.
PMID- 18054440
TI - Defective release of Hepcidin not defective synthesis is the primary pathogenic
mechanism in HFE-Haemochromatosis.
AB - Recent findings indicate a principal role for Hepcidin in iron homeostasis.
Hepcidin is also thought to play a vital role in the pathogenic mechanism of
anaemia in patients with inflammation or chronic disease. Under normal conditions
influx and efflux of iron from duodenal enterocytes is regulated by Ferroportin.
Ferroportin is a Hepcidin binding protein expressed in duodenal enterocytes.
Hepcidin is a peptide synthesised in the liver and is the main regulator of iron
homeostasis. It is a defensin like protein and exhibits anti-microbial and anti
fungal activity. The Hepcidin gene is principally expressed in hepatocytes but to
a lesser extent in neutrophils and macrophages. Hereditary Haemochromatosis is
caused by disruption of iron homeostasis due to mutations in the HFE gene (C282Y
or H63D). Unrestricted uptake of iron by duodenal enterocytes causes iron
overload which is the hallmark of the disease. Current thinking is that defective
Hepcidin synthesis or defective iron-sensing mechanisms leading to Hepcidin
deficiency is the cause of iron overload in HFE-Haemochromatosis. Thus HFE
Haemochromatosis has been described as an endocrine disease. Basal levels of
Hepcidin appear to be normal in HFE-Haemochromatosis patients. This contradicts
current theories of defective Hepcidin synthesis as the cause of Hereditary HFE
Haemochromatosis. We propose that the defect in HFE-Haemochromatosis is the loss
of Hepcidin surge in response to intake of dietary iron and is not as a result of
reduced synthesis.
PMID- 18054441
TI - The anti-tumor effect of allogeneic bone marrow/stem cell transplant without
graft vs. host disease toxicity and without a matched donor requirement?
AB - The anti-tumor immune response that occurs in allogeneic bone marrow/stem cell
transplant (BMT) settings is capable of eradicating tumors that are resistant to
chemotherapy/radiation treatment. This anti-tumor immune response, known as the
graft vs. tumor (GVT) effect, is the most effective immunotherapy treatment ever
discovered. Unfortunately, the clinical application of GVT is severely limited
due to the intimate association of GVT with the extremely toxic and often lethal
side-effect known as graft vs. host disease (GVHD). It is a major research focus
in the field of BMT to develop methods to separate the beneficial GVT effect from
the detrimental GVHD toxicity. However, due to the intimate association of these
effects, attempts to limit GVHD also have a tendency to limit the GVT effect. We
propose a new concept for harnessing the power of the GVT effect without the
toxicity of GVHD. Rather than trying to separate GVT from GVHD, we propose that
these naturally coupled effects can 'mirrored' onto the host immune system and
maintain their intimate association. The 'mirror' of GVHD is a host rejection of
a graft (HVG). As rejection of an allograft would not be toxic, an HVG effect
coupled to a host vs. tumor (HVT) effect, the 'mirror' of the GVT effect, would
provide the anti-tumor effect of BMT without GVHD toxicity. In the 'mirror'
setting, the HVT effect must occur against syngeneic tumors, while in the BMT
setting the GVT effect occurs in the allogeneic setting. Previous attempts to
elicit syngeneic anti-tumor immunity using therapeutic tumor vaccines have had
disappointing results in the clinic due to the influence of tumor immunoavoidance
mechanisms. We propose that the 'danger' signals that are released as a result of
GVHD in the allogeneic BMT setting serve as an adjuvant to the GVT effect
disabling tumor immunoavoidance. The chemotherapy/radiation conditioning prior to
transplant is a required initiating event to the coupled GVT/GVHD effects. The
conditioning releases 'danger' signals that mediate this adjuvant effect. To
imitate this immunological event in immunocompetent, non-conditioned patients we
propose that infusion of freshly activated, polyclonal CD4+ memory Th1 cells
which express CD40L on the cell surface will stimulate a HVT/HVG 'mirror' effect,
providing a non-toxic means to elicit the effective immune-mediated anti-tumor
effect of BMT without the GVHD toxicity and without the requirement for a matched
donor.
PMID- 18054442
TI - Discrete-trial vs. continuous free-operant procedures in assessing whether
reinforcement context affects reinforcement value.
AB - Pigeons were trained to respond to two alternating concurrent reinforcement
schedules. The reinforcement probabilities were .05 and .10 in one component, and
.10 and .20 in the other. In one condition, the pigeons received training on a
discrete-trial procedure in which the keylights remained illuminated for 5s or
until a response occurred. In another condition, pigeons received training on a
procedure in which the reinforcement contingencies were the same as in the
discrete-trial procedure, but the stimuli were not turned off after 5s or after a
response. Following training in each condition, probe tests were presented. In
both conditions, the .20 alternative was, overall, preferred to the .05
alternative during probe tests. Following discrete-trial training, there was no
reliable preference between the two .10 alternatives. However, when the stimuli
remained illuminated during the intertrial interval periods during training,
probe tests results showed preference for the .10 alternative that had been
presented in the leaner context during training. The pattern of results is
consistent with the notion that probe preference can be influenced both by the
absolute reinforcement schedules associated with each alternative, as well as
changeover behavior developed during training.
PMID- 18054443
TI - Evaluation of a telephone-based stepped care intervention for alcohol-related
disorders: a randomized controlled trial.
AB - BACKGROUND: Brief interventions for problem drinking in medical settings are
effective but rarely conducted, mainly due to insufficient time. A stepped care
approach (starting with a very brief intervention and intensifying efforts in
case of no success) could save resources and enlarge effectiveness; however,
research is lacking. The present study compares a full care brief intervention
for patients with at-risk drinking, alcohol abuse or dependence with a stepped
care approach in a randomized controlled trial. METHODS: Participants were
proactively recruited from general practices in two northern German cities. In
total, 10,803 screenings were conducted (refusal rate: 5%). Alcohol use disorders
according to DSM-IV were assessed with the Munich-Composite International
Diagnostic Interview (M-CIDI). Eligible participants were randomly assigned to
one of three conditions: (1) stepped care (SC): a computerized intervention plus
up to three 40-min telephone-based interventions depending on the success of the
previous intervention; (2) full-care (FC): a computerized intervention plus a
fixed number of four 30-min telephone-based interventions that equals the maximum
of the stepped care intervention; (3) an untreated control group (CG). Counseling
effort in the intervention conditions and quantity/frequency of drinking were
assessed at 12-month follow-up. RESULTS: SC participants received roughly half of
the amount of intervention in minutes compared to FC participants. Both groups
did not differ in drinking outcomes. Compared to CG, intervention showed small to
medium effect size for at-risk drinkers. CONCLUSIONS: Study results reveal that a
stepped care approach can be expected to increase cost-effectiveness of brief
interventions for individuals with at-risk drinking.
PMID- 18054444
TI - Non-prescribed use of pain relievers among adolescents in the United States.
AB - BACKGROUND: We examined gender-specific prevalences, patterns, and correlates of
non-prescribed use of pain relievers - mainly opioids - in a representative
sample of American adolescents (N=18,678). METHODS: Data were drawn from the
public use data file of the 2005 U.S. National Survey on Drug Use and Health, a
survey of non-institutionalized American household residents. The patterns of non
prescribed use of prescription pain relievers were examined, and logistic
regression procedures were conducted to identify correlates of non-prescribed
use. RESULTS: Approximately one in 10 adolescents aged 12-17 years reported non
prescribed use of pain relievers in their lifetime (9.3% in males and 10.3% in
females). The mean age of first non-prescribed use was 13.3 years, which was
similar to the mean age of first use of alcohol and marijuana but older than the
age of first inhalant use. Among all non-prescribed users, 52% reported having
used hydrocodone products (Vicodin, Lortab, Lorcet, and Lorcet Plus, and
hydrocodone), 50% had used propoxyphene (Darvocet or Darvon) or codeine (Tylenol
with codeine), and 24% had used oxycodone products (OxyContin, Percocet,
Percodan, and Tylox). Approximately one quarter (26%) of all non-prescribed users
had never used other non-prescribed or illicit drugs. There were gender
variations in correlates of non-prescribed use. CONCLUSIONS: Use of non
prescribed pain relievers occurs early in adolescence. Research is needed to
understand whether early use of non-prescribed pain relievers is related to later
drug use.
PMID- 18054445
TI - Brief alcohol intervention for general hospital inpatients: a randomized
controlled trial.
AB - AIM: To test the effectiveness of a brief alcohol intervention among non
dependent general hospital inpatients with alcohol problems, delivered by either
a specialized liaison service or hospital physicians. METHOD: All inpatients of
29 wards from four general hospitals of one region in Germany were screened for
alcohol problems (n=14,332). Of those screening positive, 595 patients were
included in a randomized controlled group design using a time-frame. Patients
with alcohol dependence were not considered in this study. Patients received
Motivational Interviewing based counselling either by a specialized liaison
service, by hospital physicians trained under routine conditions or received
hospital treatment as usual without additional counselling. One year later,
alcohol consumption, motivation and well-being were assessed. Sample survey
analyses and generalized estimating equations were conducted. RESULTS: At
baseline, the three groups differed regarding motivation, with higher motivation
among the controls. At follow-up, the groups did not differ regarding alcohol
consumption, alcohol-related problems and well-being. All groups decreased their
alcohol consumption significantly. Regarding motivation, longitudinal analyses
revealed significant interaction effects of time and intervention (p<0.05),
indicating a stronger increase of readiness to change drinking and a less
profound drop of readiness to seek help among those who received intervention
compared to the controls. CONCLUSION: The intervention was not effective in
reducing alcohol consumption or in increasing well-being 12 months after
hospitalization. It had a positive effect on readiness to change drinking and on
readiness to seek formal help for alcohol problems. The intervention groups
compensated their lag of motivation.
PMID- 18054446
TI - Acquisition of cocaine self-administration in ovariectomized female rats: effect
of estradiol dose or chronic estradiol administration.
AB - This study was conducted to investigate whether the dose of estradiol (E)
administered acutely, or chronic delivery of one dose of E impacts acquisition
and subsequent cocaine self-administration in ovariectomized (OVX) female rats.
Five groups of female rats were compared: OVX females treated with 0, 1, 2, or 5
microg 17beta-E, 30 min prior to the self-administration session, and OVX rats
that received a 1.5mg E pellet (designed to chronically release 25 microg E/day X
60 days) implanted 1 week before cocaine self-administration initiation. Rats
were tested in 1h sessions on a FR1 schedule with the dose of cocaine increasing
every week (testing occurred 5 day/week; doses: 0.2, 0.3, 0.4, 0.5 and 0.75
mg/(kg infusion)). We report that OVX rats treated with 2 microg E acquired self
administration more rapidly than all of the other groups, and animals that
received 1 or 2 microg E self-administered significantly more cocaine compared to
OVX+vehicle at 0.3 and 0.4 mg/(kg infusion). In contrast, OVX rats given 5 microg
E acutely, or chronic E via slow-release pellets did not take more cocaine than
the OVX+vehicle group at any time point. Physiological serum concentrations of E
were seen with 1 or 2 microg E, but 5 microg E and the E pellet produced supra
physiological concentrations. These results suggest an inverted U-shaped dose
response curve for the effect of E on acquisition of cocaine self-administration.
PMID- 18054447
TI - The relationship of mucosal bacteria to duodenal histopathology, cytokine mRNA,
and clinical disease activity in cats with inflammatory bowel disease.
AB - Feline inflammatory bowel disease (IBD) is the term applied to a group of poorly
understood enteropathies that are considered a consequence of uncontrolled
intestinal inflammation in response to a combination of elusive environmental,
enteric microbial, and immunoregulatory factors in genetically susceptible cats.
The present study sought to examine the relationship of mucosal bacteria to
intestinal inflammation and clinical disease activity in cats with inflammatory
bowel disease. Duodenal biopsies were collected from 27 cats: 17 undergoing
diagnostic investigation of signs of gastrointestinal disease, and 10 healthy
controls. Subjective duodenal histopathology ranged from normal (10), through
mild (6), moderate (8), and severe (3) IBD. The number and spatial distribution
of mucosal bacteria was determined by fluorescence in situ hybridization with
probes to 16S rDNA. Mucosal inflammation was evaluated by objective
histopathology and cytokine profiles of duodenal biopsies. The number of mucosa
associated Enterobacteriaceae was higher in cats with signs of gastrointestinal
disease than healthy cats (P<0.001). Total numbers of mucosal bacteria were
strongly associated with changes in mucosal architecture (P<0.001) and the
density of cellular infiltrates, particularly macrophages (P<0.002) and
CD3(+)lymphocytes (P<0.05). The number of Enterobacteriaceae, E. coli, and
Clostridium spp. correlated with abnormalities in mucosal architecture
(principally atrophy and fusion), upregulation of cytokine mRNA (particularly IL
1, -8 and -12), and the number of clinical signs exhibited by the affected cats.
These data establish that the density and composition of the mucosal flora is
related to the presence and severity of intestinal inflammation in cats and
suggest that mucosal bacteria are involved in the etiopathogenesis of feline IBD.
PMID- 18054448
TI - In vitro studies of Lactobacillus delbrueckii subsp. lactis in Atlantic salmon
(Salmo salar L.) foregut: tissue responses and evidence of protection against
Aeromonas salmonicida subsp. salmonicida epithelial damage.
AB - Probiotic bacteria increase the host health status and protect mucosal tissue
against pathogen-caused damage in mammalian models. Using an in vitro (intestinal
sac) method this study aimed to address (a) the in vitro ability of Lactobacillus
delbrueckii subsp. lactis to remain in the gastrointestinal tract of Atlantic
salmon (Salmo salar L.) and (b) its ability to prevent cellular damage caused by
successive incubation with Aeromonas salmonicida subsp. salmonicida the causative
agent of furunculosis. Short in vitro incubation of salmon foregut with (TRITC)
labelled L. delbrueckii subsp. lactis showed that the probiont was able to
colonize the enterocyte surface as studied by confocal microscopy. Furthermore,
foregut incubated with the probiotic bacteria only, resulted in a healthy
intestinal barrier whereas exposure to A. salmonicida disrupted its integrity.
However, pre-treatment of salmon intestine with L. delbrueckii subsp. lactis
prevented Aeromonas damaging effects. These results are promising in the context
of the use of non-autochthonous probiotic bacteria as prophylactic agents against
fish bacterial infections in the gastrointestinal tract.
PMID- 18054449
TI - Cloning, expression and purification of envelope proteins E1 and E2 of western
equine encephalitis virus and potential use of them as antigens in immunoassays.
AB - The genes encoding envelope proteins E1 and E2 of western equine encephalitis
virus (WEEV) were respectively cloned into a prokaryotic T7 RNA polymerase
regulated expression vector. The recombinant C-terminal 6xHis-tagged WEEV E1 and
E2 were expressed in bacteria as inclusion bodies that were subsequently
solubilized with 8M urea, purified by immobilized metal ion affinity
chromatography and finally refolded using an arginine system. The purified 6xHis
tagged proteins showed 50kDa bands as revealed by sodium dodecyl sulphate
polyacrylamide gel electrophoresis, consistent with the expected sizes of WEEV E1
and E2. The potential of the recombinant WEEV E1 and E2 as antigens for serologic
tests to detect anti-WEEV antibodies for diagnosis of WEEV infection was assessed
by an enzyme-linked immunosorbent assay with anti-WEEV polyclonal antibodies
obtained from the mice infected with WEEV. The anti-WEEV antibodies bound the
recombinant WEEV E1 and E2 in a dose dependent manner. On the contrary,
antibodies against Venezuelan equine encephalitis virus with a genetic background
and a disease spectrum very similar to WEEV, did not bind to the recombinant WEEV
E1 and E2. Our results suggest that the recombinant WEEV E1 and E2 possess
predominant antigenicity of WEEV and have the potential to be used as antigens in
immunoassays to detect anti-WEEV antibodies for serological diagnosis of WEEV
infection so as to eliminate the need for preparation of cell culture-derived
viral antigens, which is time-consuming, expensive, laborious, tedious, and
hazardous.
PMID- 18054450
TI - Activation-induced cell death signalling in CD4+ T cells by staphylococcal
enterotoxin A.
AB - Staphylococcal enterotoxin A (SEA) is a potent stimulator of CD4+ and CD8+ T
cells, the immunotoxic action of which remains unclear. We investigated the in
vitro effects of SEA on freshly isolated human peripheral blood lymphocytes
depleted of CD8+ T cells. Proliferation and flow cytometry analysis indicated
that SEA generated an activation-induced cell death (AICD) phenomenon that was
characterized by an increased expression of the chemokine receptor CCR5 on the
CD4+/CD45RO+ T cell subset. Incubation of cells with glycoprotein secretion
inhibitor monensin A completely blocked cell proliferation, affecting mainly the
CD4+/CD45RO+ T cell subset. The IL-2 mRNA levels were increased just hours after
SEA stimulation, accompanied by an increase in the expression of CD25, indicating
a possible involvement of IL-2 in the AICD process. We observed a 15-fold mRNA
reduction of the transcription factor Yin Yang 1 (YY1) at the proliferation peak,
and an increase of the receptors CCR5, CD95 and DR5 on the CD45RO+/CD4+ T cell
subset. These findings suggest that SEA triggers a TCR-mediated AICD mechanism in
CD4+ T cells, the intracellular signalling of which is probably modulated, at
least, by YY1.
PMID- 18054451
TI - The efficacy of different hCG dose rates and the effect of hCG treatment on
ovarian activity: ovulation, multiple ovulation, pregnancy, multiple pregnancy,
synchrony of multiple ovulation; in the mare.
AB - Despite the widespread use of hCG to advance ovulation in the mare there is
little information on efficacy of dose rates and any contraindications of its
use. This study aims to investigate the effect of dose of hCG on ovulation within
48h and the effect of hCG on: ovulation, multiple ovulation (MO), pregnancy,
multiple pregnancy (MP) rates and synchrony of MO; additionally whether any
seasonal effect is evident. Sequential ultrasonic scanning was used to monitor
the occurrence of ovulation, within 48h of treatment, in 1291 Thoroughbred mares
treated with either 750iu hCG or 1500iu hCG s.c. Ovulation rate, type (single
ovulations (SO), MO, synchronous, asynchronous) and subsequent pregnancy were
then monitored in 1239 Thoroughbred mares on a commercial stud over 3 years, 536
of which were treated with 750iu hCG at mating, all mares were also allocated
into groups according to month of mating. No significant difference existed
between the two dose levels of hCG and no significant difference existed between
treated and untreated mares in overall ovulations (1.32 and 1.28 respectively),
MO (31.7% and 27.7%), pregnancy (65.1% and 65.6%) or MP rates (10.8% and 11.8%).
There was no significant association between month of year and pregnancy or MP
rates for either treated or control mares, nor for MO for untreated mares. A
significant (p<0.05) association was evident between month and MO in treated
mares, MO being lowest in April (22.3%). 95.9% of treated mares multiple ovulated
within 48h compared with 90.7% controls, a near significant difference. In
conclusion this study demonstrates that: (i) hCG dose of 750iu s.c. is just as
effective in inducing ovulation within 48h as 1500iu, (ii) 750iu hCG has no
significant effect on ovulation, MO, pregnancy or MP rates; (iii) a significant
(p<0.05) association exists between season and MO in hCG treated mares; (iv) a
tighter synchrony (ovulation within 48h) of MO is evident in hCG treated compared
with control mares (p=0.052).
PMID- 18054452
TI - In vitro production of llama (Lama glama) embryos by IVF and ICSI with fresh
semen.
AB - The interest for South American camelids has increased in the last years. The aim
of the present research was to compare the in vitro production of Lama glama
embryos using two techniques: in vitro fertilization (IVF) and intracytoplasmic
sperm injection (ICSI). For IVF technique, we compared the effect of adding or
not, heparin, penicillamine and hypotaurine as sperm capacitating agents. In the
oocyte group subjected to ICSI, activation with or without, ionomycin and 6
dimethylaminopurine (6-DMAP) was assessed. Semen samples were obtained by
electroejaculation and incubated at 38 degrees C in a 25% (v/v) collagenase
solution. The cleavage and embryo development rates were compared between the
different experimental groups. Only the number of cleaved oocytes was less when
ICSI with no activation was used (p<0.05).
PMID- 18054453
TI - Cryo-scanning electron microscopy (cryo-SEM) as a tool for studying the
ultrastructure during bead formation by ionotropic gelation of calcium pectinate.
AB - Understanding the gel ultrastructure is of great importance for process and
product development having great effects on the product characteristics. The
samples containing high amount of water could not be directly observed using
scanning electron microscope (SEM) without removing of water. However, cryo-SEM
can be used to study the ultrastructure of hydrated samples. In this study,
ultrastructural information of internal structure was obtained by imaging the
cryo-fractured beads in a cryo-SEM. This technique was found to be excellent for
studying the detailed morphology of structural development and showed better
images than normal SEM procedures using freeze-drying for sample preparation.
Also, the studies illustrated a morphological change, e.g. from net-like
structure to membranous structure caused by syneresis, accompanied by a
significant increase in mechanical properties, when the beads are formed by
ionotropic gelation. The gelation time of 20 min was found to be the minimum for
a complete bead formation, based on the mechanical and SEM data. The results
demonstrate the advantageous of cryo-SEM for examining the ultrastructure during
bead formation of calcium pectinate.
PMID- 18054455
TI - Development of a simple and non-destructive examination for counterfeit coins
using acoustic characteristics.
AB - The measurement of the sound by the shock wave and the analysis of the natural
frequencies were carried out for the authentic and five kinds of counterfeit 500
yen coins for the purpose of the quick and simple discrimination. Four peaks of
natural frequencies were observed in the range of 5-20 kHz for authentic coins.
On the other hand, only three peaks were observed for some kinds of the
counterfeit coins. Four peaks were observed for other counterfeit coins, however
the peaks were slightly shifted compared to those for authentic coins. These
results show that the discrimination of the counterfeit coins from authentic ones
can be realized by the examination of the natural frequencies. This method is
quite useful for the simple screening of authentic and counterfeit coins.
PMID- 18054454
TI - Herbal medicines used in the treatment of malaria in Budiope county, Uganda.
AB - AIM OF THE STUDY: This study was conducted to document herbal medicines (HMs)
used in the treatment of malaria as well as the existing knowledge, attitudes and
practices related to malaria recognition, control and treatment in Budiope
county, Uganda. METHODS: Data was collected using semi-structured interviews, and
open- and close-ended questionnaires. RESULTS: The respondents had a good
understanding of malaria, and could recognize it and distinguish it from other
fever types. They were also aware that malaria was spread by mosquitoes. Malaria
prevalence was high, and affected individuals an average of six times a year.
Respondents avoided mosquito bites by using mosquito nets, clearing bush around
their homesteads, and burning plant parts to generate smoke. They preferred
treating malaria using allopathic medicines because, according to them, they
lacked the appropriate traditional knowledge necessary to exploit plants for the
treatment of malaria. Secondly, allopathic medicines were believed to be superior
to HMs in the treatment of malaria. Twenty-seven species were used for the
treatment of malaria. The most frequently mentioned were Vernonia amygdalina,
Momordica foetida, Zanthoxylum chalybeum, Lantana camara and Mangifera indica.
Drugs from these plants were prepared from single species as water extracts and
were administered in variable doses over varied time periods.
PMID- 18054456
TI - Congenital malformations of the external and middle ear.
AB - With the focus on imaging, this paper gives a summarized view of the present
knowledge on fields, which are necessary to know for a profound understanding of
congenital malformations of the external and middle ear. Typical and less typical
combinations of malformed parts of the ear can be derived from the embryogenesis.
Clinical signs and audiometric findings lead to diagnosis in congenital aural
atresia. Isolated middle ear malformations can be clinically mixed up especially
with otosclerosis and tympanosclerosis. Imaging is needed for exact morphological
information. In malformations of the external and middle ear, CT is the imaging
modality of choice. Requirements on CT-technique as well as radiological findings
including classification and pre-surgical rating are described. Morphological CT
correlates of congenital malformations and their differential diagnoses are
enlisted and illustrated. The impact of CT-results on therapy is explained and
actual therapeutic concepts are briefly presented.
PMID- 18054457
TI - Quantitation of acetol in common pharmaceutical excipients using LC-MS.
AB - A method for the quantification of acetol at mug/L levels in propylene glycol and
glycerol, two common pharmaceutical excipients, was developed and validated. This
simple yet highly specific method makes use of derivatization by O-(2,3,4,5,6
pentafluorobenzyl)hydroxylamine (PFBHA) in aqueous solution at room temperature
followed by analysis via LC-MS without sample pre-concentration, extraction, or
cleanup. Kinetic studies indicated that the derivatization reaction was complete
after 4.5h. Preliminary investigations demonstrate the applicability of this
method to the separation and identification of other electrophilic impurities.
This suggests the potential for a simple, quantitative assay at room temperature
in aqueous solution for the determination of a variety of electrophilic
impurities in pharmaceutical excipients, without the need for sample
concentration or extraction.
PMID- 18054458
TI - Surface analysis of new chlorpromazinium plastic membrane electrodes.
AB - New chlorpromazinium (Cp) plastic membrane electrodes of the conventional type
were constructed and characterized. They are based on incorporation of Cp
reineckate (CpRn) ion pair, Cp-phosphotungstate (Cp3PT), or Cp-phosphomolybdate
(Cp3PM) ion associate into poly(vinyl chloride) membrane. The electrodes
exhibited calibration graph slopes of 49.83, 52.87, and 61.30 mV/Cp concentration
decade over life spans of 1, 5, and 3 days, respectively. All electrodes proved
to be selective for Cp and have been applied to the assay of a pharmaceutical
preparation. Energy dispersive spectroscopy (EDS) and X-ray photoelectron
spectroscopy (XPS), as well as scanning electron microscopy (SEM) showed that the
limitation of the lifetime of the electrodes is attributed to leaching of the ion
exchanger from the membrane into the test solution in addition to deformation of
the surface.
PMID- 18054459
TI - Development and validation of a rapid RP-HPLC method for the determination of
cetirizine or fexofenadine with pseudoephedrine in binary pharmaceutical dosage
forms.
AB - The objective of the current study was to develop a simple, accurate, precise and
rapid reversed-phase HPLC method and subsequent validation using ICH suggested
approach for the determination of antihistaminic-decongestant pharmaceutical
dosage forms containing binary mixtures of pseudoephedrine hydrochloride (PSE)
with fexofenadine hydrochloride (FEX) or cetirizine dihydrochloride (CET). The
chromatographic separation of PSE, FEX and CET was achieved on a Zorbax C8 (150
mm x 4.6mm; 5 microm particle size) column using UV detection at 218 and 222 nm.
The optimized mobile phase was consisted of TEA solution (0.5%, pH 4.5)-methanol
acetonitrile (50:20:30, v/v/v). The retention times were 1.099, 2.714 and 3.808
min for PSE, FEX and CET, respectively. The proposed method provided linear
responses within the concentration ranges 30-240 and 1.25-10 microg ml(-1) with
LOD values of 1.75 and 0.10 microg ml(-1) for PSE and CET, respectively.
Linearity range for PSE-FEX binary mixtures were 10-80 and 5-40 microg ml(-1)
with LOD values of 0.75 and 0.27 microg ml(-1) for PSE and FEX, respectively.
Correlation coefficients (r) of the regression equations were greater than 0.999
in all cases. The precision of the method was demonstrated using intra- and inter
day assay R.S.D. values which were less than 1% in all instances. No interference
from any components of pharmaceutical dosage forms or degradation products was
observed. According to the validation results, the proposed method was found to
be specific, accurate, precise and could be applied to the quantitative analysis
of these drugs in capsules containing PSE-CET or extended-release tablets
containing PSE-FEX binary mixtures.
PMID- 18054460
TI - Cyclic tensile strain increases interactions between human epidermal
keratinocytes and quantum dot nanoparticles.
AB - The effects of quantum dots (QD) on cell viability have gained increasing
interest due to many recent developments utilizing QD for pharmaceutical and
biomedical applications. The potential use of QD nanoparticles as diagnostic,
imaging, and drug delivery agents has raised questions about their potential for
cytotoxicity. The objective of this study was to investigate the effects of
applied strain on QD uptake by human epidermal keratinocytes (HEK). It was
hypothesized that introduction of a 10% average strain to cell cultures would
increase QD uptake. HEK were seeded at a density of 150,000 cells/mL on collagen
coated Flexcell culture plates (Flexcell Intl.). QD were introduced at a
concentration of 3 nM and a 10% average strain was applied to the cells. After 4h
of cyclic strain, the cells were examined for cell viability, QD uptake, and
cytokine production. The results indicate that addition of strain results in an
increase in cytokine production and QD uptake, resulting in irritation and a
negative impact on cell viability. Application of physiological load conditions
can increase cell membrane permeability, thereby increasing the concentration of
QD nanoparticles in cells.
PMID- 18054461
TI - Acute deviations from long-term trait depressive symptoms predict systemic
inflammatory activity.
AB - OBJECTIVE: Depressive symptoms increase morbidity and mortality from coronary
heart disease and systemic inflammation has been proposed as the underlying
mechanism. While higher levels of inflammatory mediators have been found in
dysphoric individuals, it is not known whether long-term or short-term mood
changes are responsible for this phenomenon. METHODS: A sample of 65 young women
provided weekly web-based self-ratings of depressive mood over a period of 20
weeks using the CES-D, and systemic inflammation was assessed by measuring plasma
interleukin-6 (IL-6) and C-reactive protein (CRP) before and after the
observation period. CES-D ratings were used to develop state and trait indicators
of depressed mood and evaluate their relationship with inflammatory mediators.
RESULTS: Hierarchical linear regressions controlling for baseline inflammation,
age, and BMI revealed that trait levels of depressive symptoms were not
associated with IL-6 (beta=0.09; n.s.) and CRP (beta=0.01; n.s.) concentrations
after the observation period. In contrast, state levels of depressive symptoms
were associated with changes in IL-6, but not CRP, particularly when they were
indexed as the disparity between a person's trait level of symptoms and her CES-D
score just prior to IL-6 assessment (beta=0.35; p=0.03). CONCLUSION: These
results lead us to conclude that in young women, state, rather than trait
depressed mood stimulates peripheral inflammation as measured by IL-6. This
pattern suggests that in this age group, fast-reacting inflammatory mediators
such as IL-6 probably respond to short-term changes, for example, in stress
hormones or stress hormone sensitivity, rather than long-term dysregulations of
allostatic mechanisms.
PMID- 18054462
TI - Seizure activity and changes in hippocampal extracellular glutamate, GABA,
dopamine and serotonin.
AB - Increases in hippocampal extracellular neurotransmitter levels have consistently
been observed during temporal lobe seizures in humans, but animal studies on this
subject have yielded conflicting results. Our aim was to better characterise the
relationship between seizure activity and changes in hippocampal glutamate, GABA,
dopamine and serotonin by comparing three limbic seizure models which differ only
in the pharmacological mechanism used to induce seizures. Seizures were evoked in
freely moving rats by intrahippocampal microperfusion, via a microdialysis probe,
of the muscarinic receptor agonist pilocarpine (10mM), GABA(A) receptor
antagonist picrotoxin (100microM) or group I metabotropic glutamate receptor
agonist (R,S)-3,5-dihydroxyphenylglycine (DHPG) (1mM). Seizure-related
behavioural changes were scored and hippocampal extracellular glutamate, GABA,
dopamine and serotonin concentrations were monitored. Seizures were of comparable
severity in all groups. During seizures, hippocampal glutamate, GABA and dopamine
concentrations increased in all groups. Glutamate increases were significantly
higher in the picrotoxin group. Hippocampal serotonin concentration increased
following pilocarpine and picrotoxin, but not DHPG. Our results suggest a direct
relationship between seizure activity and increased hippocampal extracellular
concentrations of glutamate, GABA and dopamine, but not serotonin. The fact that
picrotoxin induces seizures by disinhibition, rather than direct excitation, may
account for the larger glutamate increases in this group.
PMID- 18054463
TI - Rofecoxib, a selective cyclooxygenase-2 (COX-2) inhibitor increases
pentylenetetrazol seizure threshold in mice: possible involvement of
adenosinergic mechanism.
AB - Multiple lines of investigations have explored the role of cyclooxygenases (COX)
in epilepsy and related neuropsychiatric disorders. Cyclooxygenase particularly,
COX-2 expression was found to increase in brain during seizure paradigms. The
present study was carried out to investigate the effect of rofecoxib, a selective
COX-2 inhibitor against pentylenetetrazol (PTZ i.v.) seizure threshold in mice.
The study was further extended to elucidate the possible involvement of
adenosinergic mechanism in mediating its anticonvulsant action. Minimal dose of
PTZ (i.v., mg/kg) needed to induce different phases (myoclonic jerks, generalized
clonus and tonic extension) of PTZ convulsions were noted as an index of seizure
threshold. Acute administration of rofecoxib (4mg/kg, i.p.) before PTZ infusion
produced an elevation of seizure threshold for all the phases of convulsions. A
lower dose of rofecoxib (2mg/kg, i.p.) showed an increase in PTZ seizure
threshold for the onset of myoclonic jerks and tonic extension phases but not for
generalized clonus. A still lower dose of rofecoxib (1mg/kg, i.p.) failed to
increase the threshold in any of the convulsive phases induced by PTZ i.v.
infusion. Pretreatment with sub-effective dose of rofecoxib (1mg/kg, i.p.)
enhanced the action of sub-protective doses of either adenosine (25mg/kg, i.p.)
or 2-chloroadenosine (1 or 2mg/kg, i.p.) in increasing the seizure threshold. On
the contrary, treatment with caffeine (100 or 200mg/kg, i.p.) or theophylline (50
or 100mg/kg, i.p.), both non-selective A(1)/A(2) adenosine receptor antagonists
reversed the anticonvulsant effect of rofecoxib (4mg/kg, i.p.). Further,
dipyridamole (5mg/kg, i.p.), an adenosine uptake inhibitor displayed an
anticonvulsant effect with rofecoxib (1mg/kg, i.p.). The study for the first time
demonstrated the possible involvement of adenosinergic system in the
anticonvulsant effects of rofecoxib against PTZ i.v. seizure threshold paradigm
in mice.
PMID- 18054465
TI - Concentrations of moxifloxacin in plasma and urine, and penetration into
prostatic fluid and ejaculate, following single oral administration of 400 mg to
healthy volunteers.
AB - The spectrum of chronic bacterial prostatitis (CBP) comprises Gram-negative, Gram
positive and atypical pathogens. Because of its broad spectrum of activity,
moxifloxacin might be a suitable antibiotic for the treatment of CBP. In this
pharmacokinetic study, plasma concentrations and the penetration of moxifloxacin
into prostatic fluid and ejaculate were investigated. Twelve healthy male
volunteers received a single oral dose of 400mg moxifloxacin and at the same time
received 3.24 g of iohexol intravenously to assess urinary contamination of
prostatic fluid and ejaculate. Plasma concentrations were determined at 0, 0.5,
1, 2, 3 and 4h and prostatic fluid and ejaculate (mean+/-standard deviation
(S.D.)) were determined at 3.5+/-0.4h and 3.6+/-0.4h, respectively, following
administration of drugs. Urinary concentrations were determined in the urine
collected from 0-4.5h. Concentrations of moxifloxacin and iohexol in plasma,
secretions and urine were determined by high-performance liquid chromatography.
The mean+/-S.D. peak plasma concentration of moxifloxacin was 2.8+/-0.5 mg/L
observed after 1.6+/-0.9h. In prostatic fluid, the concentration of moxifloxacin
was 3.8+/-1.2 mg/L and the prostatic fluid/plasma ratio was 1.6+/-0.5. In
ejaculate, the concentration was 2.5+/-0.7 mg/L and the ejaculate/plasma ratio
was 1.0+/-0.2. Moxifloxacin concentrations in prostatic fluid were ca. 60%
(P<0.05) higher than in plasma and concentrations in ejaculate were approximately
the same as in plasma. Therefore, moxifloxacin might be a good alternative for
the treatment of CBP, but further studies are warranted to establish this
indication.
PMID- 18054466
TI - Withdrawal of growth-promoting antibiotics: comments on Commentary.
PMID- 18054467
TI - Urinary tract infections in patients with diabetes mellitus: epidemiology,
pathogenesis and treatment.
AB - Patients with diabetes mellitus (DM) have a higher prevalence of asymptomatic
bacteriuria (ASB) and incidence of urinary tract infections (UTIs) compared with
patients without DM. They also more often have bacteraemia, with the urinary
tract as the most common focus for these infections, as well as a higher
mortality outside the hospital compared with patients without DM. It appears that
the increased prevalence of ASB in diabetic women is not the result of a
difference in causative bacteria, as the same virulence factors and resistance to
antimicrobials were found in Escherichia coli isolated from the urine of diabetic
women with ASB compared with non-diabetic controls. Bacterial growth in vitro is
increased after the addition of glucose, however glucosuria is not a risk factor
for ASB or for the development of UTIs in vivo. No differences in granulocyte
function tests were demonstrated among diabetic women with ASB, non-bacteriuric
women and healthy control subjects, but women with both ASB and DM had lower
urinary cytokine and leukocyte concentrations than women with ASB without DM.
Finally, it was found that E. coli expressing type 1 fimbriae adhere better to
uroepithelial cells of women with DM compared with those isolated from women
without DM. There are no randomised trials that answer the question as to the
optimal duration of treatment for UTIs in diabetic patients. It has been
recommended to consider these patients as having a complicated UTI and therefore
to treat them for a period of 7-14 days.
PMID- 18054468
TI - Activities of fluconazole and voriconazole against bloodstream isolates of
Candida glabrata and Candida krusei: a 14-year study in a Spanish tertiary
medical centre.
AB - The aim of this study was to evaluate the in vitro activities of voriconazole and
fluconazole against Candida glabrata and Candida krusei isolated from blood
during a 14-year period (1990-2003) at the tertiary care hospital of Cruces
(Barakaldo, Spain). The in vitro activities of fluconazole and voriconazole
against 28 isolates of C. glabrata and 15 isolates of C. krusei were determined
by the Clinical and Laboratory Standards Institute disk diffusion method. Of the
28 C. glabrata isolates tested, 24 (85.7%) were susceptible (S) to fluconazole, 2
(7.1%) were susceptible dose-dependent (S-DD) and 2 (7.1%) were resistant (R).
All C. krusei isolates were classified as R to fluconazole. Resistance to
voriconazole was observed in one isolate each of C. glabrata (3.6%) and C. krusei
(6.7%), and one isolate of each species was S-DD. These results were confirmed by
the Sensititre YeastOne and Etest methods, with good comparative results.
Voriconazole was very active in vitro against C. glabrata and C. krusei blood
isolates and the resistance observed was not related to the introduction of
voriconazole in the therapeutic schedule of the hospital. These facts support the
usefulness of voriconazole as a therapeutic tool for candidaemia caused by these
species.
PMID- 18054469
TI - In vitro interactions of antifungal agents against clinical isolates of Fusarium
spp.
AB - The in vitro activities of amphotericin B (AMB), itraconazole (ITC), voriconazole
(VCZ) and terbinafine (TBF) alone and in the combinations AMB+VCZ, TBF+ITC and
TBF+VCZ were evaluated against 29 clinical isolates of Fusarium spp. (15 Fusarium
solani, 7 Fusarium oxysporum, 2 Fusarium decemcellulare, 2 Fusarium dimerum and 3
other Fusarium spp.). Minimum inhibitory concentrations were determined using the
method of the Clinical and Laboratory Standards Institute and the interaction
activity was calculated using the fractional inhibitory concentration index. The
four antifungal drugs tested alone showed very limited activity against most of
the isolates. In contrast, the combination TBF+VCZ showed synergy for 21
isolates. The combination AMB+VCZ showed synergism for only five strains. No
interaction or antagonism was observed among the remaining strains. TBF+ITC
showed no interaction for 18 strains. The in vitro antifungal activity of the
drugs alone and in combination varied for different species. These results
corroborate previous in vitro studies in which the combination TBF+VCZ showed
synergy against Fusarium spp., although further studies are needed to elucidate
its potential usefulness for therapy.
PMID- 18054471
TI - A comparison of treatment planning techniques used in two randomised UK external
beam radiotherapy trials for localised prostate cancer.
AB - AIMS: To compare the radiotherapy planning techniques from two multicentre
randomised external beam radiotherapy trials in the UK of conformal radiotherapy
vs intensity-modulated radiotherapy (IMRT). MATERIALS AND METHODS: Sixteen
sequential patients with histologically confirmed localised prostate cancer
treated in the conventional or hypofractionated IMRT trial (CHHiP) were planned
using both the CHHiP and Medical Research Council RT-01 planning protocols to 74
Gy in 37 daily fractions. The CHHiP plan used a single phase simple forward
planned three-field IMRT plan for easy multicentre adoption. The RT-01 plan used
two phases: three-field conformal radiotherapy plan to 64 Gy followed by a six
field boost of 10 Gy. After coverage of the planning target volumes according to
the respective trial protocols, the dose to the rectum and bladder was assessed
for the two planning techniques. RESULTS: There was acceptable planning target
volume coverage by both the CHHiP and RT-01 plans. All CHHiP plans produced lower
mean irradiated rectal volumes at all measured dose levels compared with the RT
01 plans, particularly for irradiated rectal volumes at 50 and 70 Gy (P<0.05). In
the cases when a CHHiP plan failed to meet its own trial dose constraints, the
volumes of irradiated rectum were less than if an RT-01 planning technique had
been used. The CHHiP plans gave lower mean irradiated bladder volumes at both 50
and 60 Gy, but higher volumes at 74 Gy. These differences in irradiated bladder
volumes were significant at the 60 and 74 Gy dose levels (P<0.05) in favour of
the CHHiP and RT-01 plans, respectively. CONCLUSION: The forward planned CHHiP
IMRT planning solution gives more favourable rectal sparing than the RT-01 plan.
This is important to limit any potential increase in late rectal toxicity for
prostate cancer patients treated with high-dose conventional or hypofractionated
schedules.
PMID- 18054470
TI - Subsets of cloned mouse embryos and their non-random relationship to development
and nuclear reprogramming.
AB - An important question in oocyte-mediated nuclear reprogramming is whether gene
expression of the donor nucleus changes randomly or follows a pattern. Since
cloned embryos are very heterogeneous and arrest frequently during
preimplantation development, a random scenario is generally accepted. In the
present study, we resolve the heterogeneity of cumulus cell-derived mouse clones
by recognizing structured subsets, and we analyze their relationship to
reprogramming of donor nuclei. We utilize live cell imaging of the Oct4 promoter
driven GFP transgene to resolve the populations of cloned and ICSI-fertilized
morulae, and we sort them both into three subsets based on different GFP
expression. Functionally, subsets of cloned but not ICSI morulae form blastocysts
and ES cells proportional to Oct4-GFP expression. Regulatively, the subsets of
cloned morulae are characterized by small differences of transcript level for the
pluripotency-associated genes Oct4, Nanog and Sox2. Small differences of the
level of select mRNAs across subsets suggest a uniform rather than random course
of reprogramming from the morula stage on. Since these small differences
correspond with substantial differences in developmental competence, we propose
that developmental potential of clones relates to levels of gene expression in a
different way than fertilized embryos.
PMID- 18054472
TI - Acetaminophen-induced liver damage in mice: effects of some medicinal plants on
the oxidative defense system.
AB - Paracetamol (acetaminophen, PCM) is widely used as an over-the-counter analgesic
and antipyretic drug. Intake of a large dose of PCM may result in severe hepatic
necrosis. Oxidative stress mediated by oxidative capacities of the PCM metabolite
(N-acetyl-p-benzoquinoneimine (NAPQI), is considered as the main cause of
hepatotoxicity of PCM. This work therefore seeks to induce liver damage in mice
using single dose (25 0mg/kg) of acetaminophen and to evaluate the possible
protective effects of administration (100mg/kg) of some medicinal plants (Kigelia
africana, Calotropis procera, Hibiscus sabdariffa and Alchornea cordifolia) on
PCM-induced liver damage in mice. The alanine aminotransferase (ALT), and
aspartate aminotransferase (AST) activities were determined in the plasma of
mice. Equally, comparative effects of these plants on lipid peroxidation product
thiobarbituric reacting substances (TBARS) and some antioxidant enzymes
superoxide dismutase (SOD), catalase (CAT), gluthathione peroxidase (GPx), and
delta-aminolevulinate dehydratase (delta-ALA-D) activities, were also evaluated
in the mouse liver homogenate. Paracetamol caused liver damage as evident by
statistically significant (P<0.05) increased in plasma activities of AST and ALT.
There were general statistically significant losses in the activities of SOD,
GPx, CAT, and delta-ALA-D and an increase in TBARS in the liver of paracetamol
treated group compared with the control group. However, all the tested plants
except Calotropis procera were able to counteract these effects. The present
results suggest that these plants can act as hepatoprotectives against
paracetamol toxicity and that the mechanism by which they do this is by acting as
antioxidants.
PMID- 18054474
TI - Comparative study of Rhodiola preparations on behavioral despair of rats.
AB - The antidepressant-like activity of an extract of the roots of Rhodiola rosea
(RR), its combination with piperine containing extract (RPE), pure substances
isolated from Rhodiola, such as rhodioloside, rosavin, rosin, rosarin, tyrosol,
cinnamic alcohol, cinnamaldehyde and cinnamic acid has been assessed in
laboratory animals through application of the Porsolt behavioural despair assay.
RR increased the swimming time of rats in a dose dependent manner (ED50=7 mg/kg)
and, when administered at 20mg/kg, exhibited a stronger anti-depressant type
effect than either imipramine (at 30 mg/kg) or an extract of Hypericum perforatum
(at 20mg/kg). Rhodioloside, and tyrosol were identified as active principles of
the extract, whereas rosavin, rosarin, rosin, cinnamic alcohol, cinnamaldehyde,
cinnamic acid were inactive. A fixed combination of rhodioloside, rosavin,
rosarin and rosin was more active than any of the individual components alone,
indicating a synergistic effect of the ingredients in RR extract. Piperine in
combination with Rhodiola (RPE) distorts pharmacological effect of Rhodiola most
probably due to changes of pharmacokinetic profile of rhodioloside and rosavin.
RPE cannot provide predictable therapeutic effect due to herb-herb interaction.
Moreover, concomitant treatment of RPE with other drugs should also be excluded
due to drug-piperine interaction.
PMID- 18054475
TI - Rationale for adjuvant fatty acid therapy to prevent radiotherapy failure and
tumor recurrence during early laryngeal squamous cell carcinoma.
AB - Information from a preceding lipid study contributed to the pathobiological
assessment of laryngeal squamous cell carcinoma (LSCC). Lipid-driven signaling
pathways are responsible for laryngeal carcinogenesis and immunodeficiency. The
construction of fatty acid (FA) profiles for LSCC allowed the identification of
FA role players. The integration of lipid and clinicomolecular information
encountered in the literature, in turn, allowed the identification of biological
prognostic markers to distinguish between early (less aggressive) and advanced
(more aggressive) LSCCs. High arachidonic acid (AA) and cyclooxygenase (COX-2)
activities are criteria for less aggressive growth, whilst low AA and COX-2
activities occur during more aggressive growth. Excessive tobacco use and
environmental smoke or human papillomavirus (HPV) infection and alcohol abuse
can, respectively, elicit cumulative oxidative stress and an oxidative burst or
interfere with signaling pathways during essential fatty acid (EFA) metabolism,
all factors and events which may cause LSCC. Research revealed that enhanced COX
2 activity and Bcl-2 expression prevent apoptosis and, hence, LSCCs become
resistant to radiotherapy. It was also observed that recurrent laryngeal cancers
become more aggressive after radiotherapy failure. It is predicted that
manipulation of AA activity and consequently a cascade of downstream factors that
include COX-2 and Bcl-2 expression responsible for LSCC may have therapeutic
potential to improve radiotherapy outcome during early LSCC. Adjuvant FA therapy
to improve early LSCC management by counteracting radiotherapy failure and
unwanted complications for further management is proposed. FA therapeutic
strategies before and during radiotherapeutic courses need to be evaluated.
PMID- 18054476
TI - Municipal solid waste characteristics and management in Gumushane, Turkey.
AB - This paper presents a general overview of the current municipal solid waste (MSW)
management in Gumushane Province, Turkey. In order to characterize the solid
waste stream in the Municipality of Gumushane, a long-term study was conducted
over a 52-week period between the spring of 2004 and the winter of 2005. In this
study, percentage of components and specific weight of the MSW, the composting
parameters (moisture content, total organic carbon, total nitrogen and pH),
organic matter content, calorific value and the heavy metal concentrations (Cd,
Cr, Cu, Ni, Pb, Zn, Fe, Mn, Co) of the compostable wastes sorted from the mixed
MSW were determined and evaluated. In Gumushane, a mean of 70 tons of MSW are
generated each day or 1 kg/day/capita. Approximately 4,500 kg of the MSW were
collected and sorted in a year, and the mean specific weight of these is 308
kg/m(3). Approximately 30% of the MSW generated is compostable wastes and the
yearly mean moisture content, organic matter content, C/N ratio and pH of these
are 78%, 92.1%, 21.6/1 and 4.73, respectively, and approximately 24% of the MSW
consists of recyclable materials. The recommended system deals with maximizing
recycling and minimizing landfilling of the MSW, and consists of separation at
source, collection, sorting, recycling, composting and sanitary landfilling.
Heavy metal concentrations of the compostable wastes from the open dump were
determined to decrease in the following order: Fe>Mn>Zn>Cr>Cu>Pb>Ni>Cd>Co.
PMID- 18054477
TI - Redox and ion-exchange properties in surface-tethered DNA-conducting polymers.
AB - A poly(cyclopentadithiophene) matrix modified by DNA covalently fixed to the
surface has been designed to study the redox and ion-exchange properties in
surface-tethered DNA-conducting polymers. Voltammetric investigations show an
improvement in conductivity, originating from DNA modification, probably due to
changes in charged-density and size of dopant species. Cyclic voltammetry with
concomitant QCM measurements indicate that the mass changes are consistent with
an ejection of Na(+) cations associated to the anionic phosphate groups,
attesting a DNA contribution to the p-doping process. So, in contrast to the
classic doping patterns, the p-doping process of surface-tethered DNA-copolymer
exhibits a cation-controlled transport mechanism. Impedimetric investigations
indicate that for long enough DNA target sequence, nucleic acid preserves certain
flexibility and is involved in the p-doping process through a diffusion-like
motion. These results give new opportunities for genesensors development and for
a better understanding of bioactive conducting surfaces.
PMID- 18054478
TI - Detection of DNA hybridization and extension reactions by an extended-gate field
effect transistor: characterizations of immobilized DNA-probes and role of
applying a superimposed high-frequency voltage onto a reference electrode.
AB - As we have already shown in a previous publication [Kamahori, M., Ihige, Y.,
Shimoda, M., 2007. Anal. Sci. 23, 75-79], an extended-gate field-effect
transistor (FET) sensor with a gold electrode, on which both DNA probes and 6
hydroxyl-1-hexanethiol (6-HHT) molecules are immobilized, can detect DNA
hybridization and extension reactions by applying a superimposed high-frequency
voltage to a reference electrode. However, kinetic parameters such as the
dissociation constant (K(d)(s)) and the apparent DNA-probe concentration
(C(probe)(s)) on a surface were not clarified. In addition, the role of applying
the superimposed high-frequency voltage was not considered in detail. In this
study, the values of K(d)(s) and C(probe)(s) were estimated using a method
involving single-base extension reaction combined with bioluminescence detection.
The value of K(d)(s) on the surface was 0.38 microM, which was about six times
that in a liquid phase. The value of C(probe)(s), which expressed the upper
detection limit for the solid phase reaction, was 0.079 microM at a DNA-probe
density of 2.6 x 10(12)molecules/cm(2). We found that applying the superimposed
high-frequency voltage accelerated the DNA molecules to reach the gold surface.
Also, the distance between the DNA-probes immobilized on the gold surface was
controlled to be over 6 nm by applying a method of competitive reaction with DNA
probes and 6-HHT molecules. This space was sufficient to enable the immobilized
DNA-probes to lie down on the 6-HHT monolayer in the space between them. Thus,
the FET sensor could detect DNA hybridization and extension reactions by applying
a superimposed high-frequency voltage to the DNA-probes density-controlling gold
surface.
PMID- 18054479
TI - Glucose biosensor based on electrodeposition of platinum nanoparticles onto
carbon nanotubes and immobilizing enzyme with chitosan-SiO(2) sol-gel.
AB - A novel amperometric biosensor, based on electrodeposition of platinum
nanoparticles onto multi-walled carbon nanotube (MWNTs) and immobilizing enzyme
with chitosan-SiO(2) sol-gel, is presented in this article. MWNTs were cast on
the glass carbon (GC) substrate directly. An extra Nafion coating was used to
eliminate common interferents such as acetaminophen and ascorbic acids. The
morphologies and electrochemical performance of the modified electrodes have been
investigated by scanning electron microscopy (SEM) and amperometric methods,
respectively. The synergistic action of Pt and MWNTs and the biocompatibility of
chitosan-SiO(2) sol-gel made the biosensor have excellent electrocatalytic
activity and high stability. The resulting biosensor exhibits good response
performance to glucose with a wide linear range from 1 microM to 23 mM and a low
detection limit 1 microM. The biosensor also shows a short response time (within
5s), and a high sensitivity (58.9 microAm M(-1)cm(-2)). In addition, effects of
pH value, applied potential, rotating rate, electrode construction and
electroactive interferents on the amperometric response of the sensor were
investigated and discussed in detail.
PMID- 18054480
TI - Near real-time detection of Cryptosporidium parvum oocyst by IgM-functionalized
piezoelectric-excited millimeter-sized cantilever biosensor.
AB - Piezoelectric-excited millimeter-sized cantilever (PEMC) biosensors were
fabricated and functionalized with immunoglobulin M (IgM) for the detection of
Cryptosporidium parvum oocyst in a flow configuration at 1 mL/min. The detection
of 100, 1000, and 10,000 oocysts/mL was achieved with a positive sensor response
in less than 1 min. Bovine serum albumin (BSA) was used as a blocking agent in
each experiment and was shown to eliminate non-specific binding. The sensor's
resonance frequency response correlates with C. parvum oocyst concentration
logarithmically. The oocyst attachment rate was found to increase by an order of
magnitude in increasing concentration from 100 to 10,000 oocysts/mL. The
significance of these results is that IgM-functionalized PEMC sensors are highly
selective and sensitive to C. parvum oocyst and therefore, have the potential to
accurately identify and quantify C. parvum oocyst in drinking water.
PMID- 18054481
TI - Rapid detection of influenza A virus in clinical samples using an ion channel
switch biosensor.
AB - This report describes the fabrication and successful use of the ion channel
switch biosensor (ICSB) for rapid point-of-care detection of influenza A in
different types of respiratory specimens. Virus culture -- regarded as the "gold
standard" -- and an immunochromatographic rapid point-of-care test for influenza
A virus were compared with the biosensor. The ICSB rapid test provided an
objective readout within 10 min of specimen inoculation into the ICSB chamber
wells, without the need for chemical or other pretreatments. Construction of the
ICSB with specific antibodies also enables rapid detection and identification of
appropriate influenza A subtypes.
PMID- 18054482
TI - Direct electrochemistry and electrocatalysis of horseradish peroxidase based on
clay-chitosan-gold nanoparticle nanocomposite.
AB - Gold nanoparticles stabilized by chitosan (AuCS) were hybridized with exfoliated
clay nanoplates through electrostatic interaction. The resulting clay-chitosan
gold nanoparticle nanocomposite (Clay/AuCS) was used to modify glassy carbon
electrode (GCE). HRP, a model peroxidase, was entrapped between the Clay/AuCS
film and another clay layer. UV-vis spectrum suggested HRP retained its native
conformation in the modified film. Basal plane spacing of clay obtained by X-ray
diffraction (XRD) indicated that there was an intercalation-exfoliation
restacking process among HRP, AuCS and clay during the modified film drying. The
immobilized HRP showed a pair of quasi-reversible redox peaks at -0.195 V (vs.
saturated Ag/AgCl electrode) in 0.1M PBS (pH 7.0), and the biosensor displayed a
fast amperometric response to H(2)O(2) with a wide linear range of 39 microM to
3.1 mM. The detection limit was 9.0 microM based on the signal to noise ratio of
3. The kinetic parameters such as alpha (charge transfer coefficient), k(s)
(electron transfer rate constant) and K(m) (Michaelis-Menten constant) were
evaluated to be 0.53, 2.95+/-0.20s(-1) and 23.15 mM, respectively.
PMID- 18054483
TI - What happens after the learning curve? A single surgeon's experience of 412
laparoscopic left colectomies and rectal resections.
PMID- 18054484
TI - Bioactivity of two major constituents isolated from the essential oil of
Artemisia judaica L.
AB - The essential oil of Artemisia judaica L., grown on Sinai Peninsula of Egypt, was
extracted via hydrodistillation. Chromatographic separation on repeated silica
gel columns led to isolate two compounds namely piperitone and trans-ethyl
cinnamate. Insecticidal, antifeedant and antifungal properties of the isolated
compounds were examined. Both compounds showed pronounced insecticidal and
antifeedant activity against the third instar larvae of Spodoptera littoralis
(Boisd). trans-Ethyl cinnamate (LD(50)=0.37 microg/larva) was more toxic than
piperitone (LD(50)=0.68microg/larva). The two isolated compounds revealed
antifeedant activity in a concentration dependent manner, with complete feeding
inhibition at a concentration of 1,000microg/ml. When tested for antifungal
activity against four plant pathogenic fungi, the isolated compounds exhibited a
moderate to high activity.
PMID- 18054485
TI - Characterisation of Paenibacillus jamilae strains that produce exopolysaccharide
during growth on and detoxification of olive mill wastewaters.
AB - A total of 10 bacterial strains were isolated from a compost of corn treated with
olive mill wastewaters (OMW) and selected by their capacity to synthesize
exopolysaccharides (EPS). Morphological, physiological, biochemical and
nutritional tests were used for a phenotypic study. A numerical analysis showed
that all strains were 90% similar to each other. A DNA-DNA hybridization assay
confirmed that all the strains belonged to Paenibacillus jamilae species. All the
characterized strains were able to produce EPS growing on OMW batch cultures. The
strain which was able to produce the highest EPS yield was chosen to perform an
assay for testing its putative detoxifying activity, and it showed to reduce more
than half the toxic capacity of the OMW. The results presented in this study,
indicated the possible perspectives for using these bacterial strains to produce
EPS and contribute to the bioremediation of the waste waters that are produced in
the olive oil elaboration process.
PMID- 18054486
TI - Polyphenol-enriched fractions from Sicilian grape pomace: HPLC-DAD analysis and
antioxidant activity.
AB - On the basis of a preliminary screening of seven different samples of Sicilian
grape pomace, the 'Nerello Mascalese' sample NM2 was selected for an ethanol
preparative extraction. The defatted NM2 EtOH extract was subjected to DPPH() and
GAE assays, showing good radical scavenging activity (SC(50)=9.9 microg/mL) and a
GAE value of 397.7 mg/g extract. HPLC-DAD analysis of NM2 extract allowed a
quantitative determination of the main anthocyanins (AN) and flavonols/flavonol
glycosides (FL/FG). Aliquots of the NM2 extract were subjected to three different
fractionation protocols (FP1, FP2 and FP3). The fractions were examined by DPPH()
and GAE assays, and subjected to HPLC-DAD analysis for the quantitative
determination of the main AN and FL/FG. FP3 allowed obtaining a polyphenol
enriched fraction with SC(50)=14.8 microg/mL and GAE=184.1mg/g of fraction,
accounting for only 1.3% in weight of the EtOH extract. Some considerations about
the relationship between antioxidant activity and AN/FL/FG HPLC-DAD profiles are
also reported.
PMID- 18054487
TI - Porphyrin conjugated to DNA by a 2'-amido-2'-deoxyuridine linkage.
AB - A porphyrin that contains a single carboxylic acid group was synthesized and
coupled to 2'-amino-2'-deoxyuridine. The resultant product contained a free 3'
hydroxyl group and a 4,4'-dimethoxytrityl (DMT) protecting group on the 5'
hydroxyl of the uridine, making it suitable for use in oligonucleotide synthesis.
The 3' H-phosphonate derivative of this molecule was synthesized and used to form
a conjugate with a 19 nucleotide sequence of DNA (5'-CCTCCAGTGGAAATCAAGG-3').
This was carried out with the DNA attached at the 3' end to a control pore glass
(CPG) substrate, allowing for rapid purification. After removal of the DMT group,
an additional three nucleotides were added, leaving the porphyrin as an internal
modification. This is the first report of porphyrin attached internally to an
oligonucleotide using a hydrogen-bonding nucleoside analog. This allows
oligonucleotides to be used as a scaffold for precise positioning of multiple
porphyrins within biomimetic arrays.
PMID- 18054488
TI - Novel thiol-based TACE inhibitors. Part 2: Rational design, synthesis, and SAR of
thiol-containing aryl sulfones.
AB - A series of potent thiol-containing aryl sulfone TACE inhibitors were designed
and synthesized. The SAR and MMP selectivity of the series were investigated. In
particular, compound 8b showed excellent in vitro potency against the isolated
enzyme and good selectivity over MMP-2, -7, -8, -9, and -13. The X-ray structure
of 8b in complex with TACE was also obtained.
PMID- 18054489
TI - Novel heterocycle-substituted pyrimidines as inhibitors of NF-kappaB
transcription regulation related to TNF-alpha cytokine release.
AB - Novel heterocyclic ring-substituted pyrimidines have been designed as inhibitors
of glycogen synthase kinase-3beta (GSK-3beta) from the modification of known
inhibitors. Several potent inhibitors exhibiting nanomolar activities were
discovered against GSK-3beta kinase as well as in an NF-kappaB reporter gene
assay. Based on the results from in vitro TNF-alpha release inhibition and in
vivo endotoxima, these inhibitors are expected to be useful candidates for
treatment of inflammation-related diseases.
PMID- 18054490
TI - Iromycins from Streptomyces sp. and from synthesis: new inhibitors of the
mitochondrial electron transport chain.
AB - Two new alpha-pyridone metabolites, iromycins E and F, were isolated from
cultures of strain Streptomyces sp. Dra 17, thus expanding the recently
discovered iromycin family. The inhibitory potential on the mitochondrial
respiratory chain was examined and revealed that iromycin metabolites block NADH
oxidation in beef heart submitochondrial particles with different efficacy, yet
remarkably show only very low cytotoxicity. Difference spectroscopic studies
indicated that iromycins inhibit the electron transport at the site of complex I
(NADH-ubiquinone oxidoreductase). Derivatives of the natural products were
semisynthetically prepared and provided detailed insights into structure-activity
relationships. Drawn from these results, there are strong similarities with the
piericidins, which are among the most potent complex I inhibitors of the
mitochondrial electron transport chain. Furthermore, total synthesis afforded new
analogues, and the non-natural iromycin S (IC50 = 58 ng/mL) emerged as the most
active compound, thus opening avenues of future studies with the iromycins as new
valuable biochemical tools.
PMID- 18054491
TI - Activity of Mannich bases of 7-hydroxycoumarin against Flaviviridae.
AB - Some Mannich bases of 7-hydroxycoumarin (2) and their simple derivatives (3 and
4) were prepared and tested against viruses containing single-stranded, positive
sense RNA genomes (ssRNA(+)). This study was directed toward Flaviviridae and, in
particular, HCV surrogate viruses (BVDV, YFV). The 7-hydroxy derivatives 2 were
generally devoid of activity, but when position 7 was propylated, the resulting 7
propyloxy derivatives 3 were in some cases endowed with an interesting activity
against BVDV. The formation of 7-benzoyl derivatives 4 gave compounds generally
lacking in activity against Flaviviridae, whereas the appearance of activity
against RSV has been observed. Also some unsymmetrical methylene derivatives 5-7
(namely coumarins bridged to chromones or indoles) were found moderately active
in antiviral tests. Derivatives 3 were submitted to a molecular modeling study
using DNA polymerase of HCV as a target. The good correlation between calculated
molecular modeling IC(50) and experimental EC(50) indicates that DNA polymerase
is potentially involved in the inhibition of surrogate HCV viruses.
PMID- 18054492
TI - Observation of long-range compositional fluctuations in glasses: implications for
atomic and electronic structure.
AB - Experimental evidence for long-range compositional fluctuations in glasses is
given. The implications for electronic structure and stoichiometry-induced
structural variations are analyzed. These fluctuations were discovered by
examining the spatial dependence of inner shell near-edge absorption spectra
obtained using a 50nm diameter probe. This spectroscopy is sensitive to both
angular and distance correlations in bonding. Comparisons with spectra from
compositionally equivalent crystals, and multiple-scattering calculations which
include core-hole effects are used to analyze the data.
PMID- 18054493
TI - Comparison of neutron and high-energy X-ray dual-beam radiography for air cargo
inspection.
AB - Dual-beam radiography techniques utilising various combinations of high-energy X
rays and neutrons are attractive for screening bulk cargo for contraband such as
narcotics and explosives. Dual-beam radiography is an important enhancement to
conventional single-beam X-ray radiography systems in that it provides additional
information on the composition of the object being imaged. By comparing the
attenuations of transmitted dual high-energy beams, it is possible to build a 2D
image, colour coded to indicate material. Only high-energy X-rays, gamma-rays and
neutrons have the required penetration to screen cargo containers. This paper
reviews recent developments and applications of dual-beam radiography for air
cargo inspection. These developments include dual high-energy X-ray techniques as
well as fast neutron and gamma-ray (or X-ray) radiography systems. High-energy X
ray systems have the advantage of generally better penetration than neutron
systems, depending on the material being interrogated. However, neutron systems
have the advantage of much better sensitivity to material composition compared to
dual high-energy X-ray techniques. In particular, fast neutron radiography offers
the potential to discriminate between various classes of organic material, unlike
dual energy X-ray techniques that realistically only offer the ability to
discriminate between organic and metal objects.
PMID- 18054494
TI - Does video-mediastinoscopy improve the results of conventional mediastinoscopy?
AB - OBJECTIVE: Despite new technologies, mediastinoscopy remains the gold standard
for mediastinal staging of lung cancer even though the procedure is not
standardised. Introduction of video-mediastinoscopy (VM) may help to overcome
this problem as it better visualises the anatomy and allows a more uniform
dissection than conventional mediastinoscopy (CM). Does the use of VM result in
more lymph node tissue, higher accuracy and lower complication rates as compared
to CM? METHODS: All mediastinoscopies from June 2003 to December 2005 were
analysed. In a protocol surgeons documented location of lymph node stations,
number of lymph nodes resected or biopsied and technique (VM or CM). Two groups
were created for analysis: group 1 (n=366) consisting of all mediastinoscopies
was reviewed for complication rates; group 2 included all patients with lung
cancer who had a pN0 status by mediastinoscopy and underwent subsequent
thoracotomy (n=171). This group was studied for the number of lymph nodes
resected or biopsied according to the technique (VM or CM), on accuracy and
negative predictive value. RESULTS: Of 366 mediastinoscopies, 132 were CM (36.1%)
and 234 VM (63.9%). Complications occurred in 17 patients (4.6%): 9 recurrent
laryngeal nerve palsies (VM 2.1%, CM 3.0%), 5 mediastinal enlargement on routine
chest radiography interpreted as postoperative bleeding (VM 0.9%, CM 2.3%),
pneumonia (1), intraoperative laceration of the pleura (1) and main bronchus (1),
both corrected during the procedure (all VM 1.3%). No intraoperative haemorrhage
or death occurred. VM resected more lymph nodes (mean 8.1, range 3-25) then CM
(mean 6.0, range 3-11), for all mediastinoscopies the mean lymph node yield was
7.6 (range 3-25). Comparison of lymphadenectomy via thoracotomy in patients
classified pN0 by mediastinoscopy (n=171) showed an accuracy of 87.9% for VM
versus 83.8% for CM (85.8% for all mediastinoscopies) with a negative predictive
value of 0.83 for VM and 0.81 for CM (0.82 for all mediastinoscopies).
CONCLUSION: This study demonstrates that in comparison with CM, VM routinely
yields more lymph nodes with fewer complications with a tendency towards better
accuracy and negative predictive value. For these reasons, we believe that VM
should replace CM as the method of choice. Furthermore VM would allow
standardisation, thereby having an advantage in comparison to the less invasive
newer staging techniques. This way mediastinoscopy could remain the gold standard
despite its invasiveness.
PMID- 18054495
TI - Autologous pericardial pulmonary conduit with single point attached commissures
in a sheep model.
AB - OBJECTIVE: For the surgical treatment of congenital heart disease and in Ross
procedure a valved conduit is frequently required. Since homografts are not
readily available in every country, a reliable alternative is needed. We
developed a novel technique to construct a valved pulmonary conduit with single
point attached commissures (SPAC) in a simple and fast way from a small strip of
autologous pericardium, molded and briefly treated with glutaraldehyde. METHODS:
Autologous pericardial pulmonary conduit was constructed intraoperatively and
implanted in pulmonary position in a beating heart in six sheep. The prosthesis
size was 31 mm for all sheep and the construction time (including 10 min
glutaraldehyde treatment) was 19.0+/-3.3 min. Implantation time and
cardiopulmonary by-pass was 27.3+/-5.4 min and 40.5+/-7.7 min, respectively. The
sheep were euthanized after 6 months (222.7+/-5.8 days) postoperatively. RESULTS:
In all sheep, the autologous pericardial valve was immediately competent. At
sacrifice, the pericardial valve was pliable and competent in all cases with SPAC
well anchored to the pericardial conduit wall. The maximum transvalvular gradient
at implant and at sacrifice was 3.3+/-2.8 mmHg and 3.3+/-2.0 mmHg, respectively.
CONCLUSIONS: This novel autologous pericardial pulmonary conduit with SPAC can be
reliably produced in a very short time intraoperatively before cardiopulmonary by
pass. The simplicity of construction, biocompatibility and freedom of stenosis or
thrombosis makes this autologous pulmonary conduit especially useful for patients
at locations where homografts are not readily available.
PMID- 18054496
TI - Are trefoil factors oncogenic?
AB - Trefoil factors (TFFs), in particular TFF1, are classical estrogen-regulated
genes and have served as markers of estrogen gene regulation by various
environmental estrogens. TFFs are also regulated by several other factors
including growth hormone (hGH), insulin-like growth factor-1 (IGF-1), epidermal
growth factor (EGF) and various oncogenic stimuli. TFFs are secreted proteins
present in serum and possess the potential to act as growth factors promoting
cell survival, anchorage-independent growth and motility. Recent compelling
evidence has emerged from experimental and clinical studies to indicate a pivotal
role of TFFs in oncogenic transformation, growth and metastatic extension of
common human solid tumours. This review will summarize the current evidence for
the involvement of TFFs in human cancer.
PMID- 18054497
TI - Circulating and airway neutrophils in cystic fibrosis display different TLR
expression and responsiveness to interleukin-10.
AB - We compared blood neutrophils (PMNs) collected from healthy subjects with PMNs
derived from either blood or airways collected from the same cystic fibrosis (CF)
patients. When compared to healthy blood PMNs, CF blood PMNs expressed enhanced
level of CD64, a marker of neutrophil activation, and lower level of Toll-like
receptor-2 (TLR2). CF airway PMNs expressed enhanced level of TLR4. Interleukin-8
(IL-8) production by CF blood PMNs could be enhanced upon addition of
lipopolysaccharide or peptidoglycan, and this production was inhibited by
recombinant human IL-10. In contrast, CF airway PMNs released spontaneously high
level of IL-8 that was neither further enhanced by microbial activators nor
inhibited by recombinant human IL-10. The levels of IL-10 receptors were similar
in all types of neutrophils. These data further demonstrate that circulating PMNs
from CF patients display a distinct pattern of surface markers, including TLRs,
as compared to PMNs from healthy donors, and that airways PMNs from CF patients
are primed and resistant to anti-inflammatory signals delivered by IL-10.
PMID- 18054498
TI - Interaction of dendritic cells with biomaterials.
AB - The interconnections between innate and adaptive immunity, with a focus on
dendritic cells (DCs), in the context of combination products, are discussed.
Biomaterials are shown to act as an adjuvant in the enhancement of the adaptive
immune response to co-delivered antigen. Biomaterials are considered as agonists
for DC maturation and several hypotheses as to the mechanism by which DCs
recognize and respond to biomaterials are presented. There is the
conceptualization of biomaterials with the idea of 'danger signals'. The goal is
to design biomaterials to control DC phenotype and in this way control immune
responses to combination products.
PMID- 18054499
TI - Expression of active recombinant human lactoferrin in the milk of transgenic
goats.
AB - This report details the establishment of a transgenic goat model in order to
produce human lactoferrin (hLf) in the mammary gland for large-scale application
and research. Two transgenic male goats were generated by microinjecting sequence
encoding hLf cDNA to the pronuclear. In the two lines, derived from the two
founders, eight lactating female goats could secrete recombinant human
lactoferrin (rhLf) at concentrations of up to 0.765 mg/ml. The method of
purifying the rhLf from the milk was achieved using ion-exchange chromatography
and resulted in 97% purity. Biochemical and physicochemical characteristics of
rhLf were similar to native lactoferrin (nhLf); this included N-terminal
sequence, isoelectric point, molecular mass, glycosylation, iron
binding/releasing ability, thermal stability, and proteolysis. The rhLf showed
broad spectrum antibacterial activity inhibiting the growth of several pathogenic
bacterial strains. Also investigated, although to a lesser degree, was a
practicable pasteurization method for the downstream processing of rhLf and,
further, a method for the oral administration of rhLf. On the basis of these
results, our studies show an optimistic and promising approach for the large
scale production and therapeutic application of rhLf expressed in transgenic
goats.
PMID- 18054500
TI - Overexpression of post-translationally modified peptides in Escherichia coli by
co-expression with modifying enzymes.
AB - Post-translational modification plays crucial roles in signal transduction in
eukaryotic cells. To elucidate the biological function of a protein with a
specific post-translational modification, it is necessary to isolate the modified
protein. However, it is difficult to incorporate a modified amino acid into a
specific position of a protein, in particular, in a large-scale preparation. In
order to prepare post-translationally modified proteins in Escherichia coli (E.
coli), we have constructed co-expression vectors that contain protein and
corresponding enzyme genes. The protein and enzyme are co-expressed in the same
E. coli cells and the protein is post-translationally modified in vivo. By using
this system, the transcriptional activator cyclic-AMP-response-element-binding
protein (CREB) was phosphorylated at Ser-133 and the hypoxia-inducible factor
1alpha (HIF-1alpha) was hydroxylated at Asn-803 in E. coli. Although the
constructs of the proteins we used are very flexible and susceptible to
degradation by proteases in E. coli when they are expressed alone, the B1 domain
of streptococcal protein G (GB1) fused to the N-terminus of the proteins
increased the yields dramatically. Site-specific phosphorylation of CREB and
hydroxylation of HIF-1alpha were confirmed by matrix-assisted laser
desorption/ionization time-of-flight (MALDI-TOF) and NMR. Our GB1-fusion co
expression system can be used in the same way as conventional protein expression
in E. coli, making it a flexible and economical method to produce a large amount
of a post-translationally modified protein.
PMID- 18054501
TI - The cell centered database project: an update on building community resources for
managing and sharing 3D imaging data.
AB - Databases have become integral parts of data management, dissemination, and
mining in biology. At the Second Annual Conference on Electron Tomography, held
in Amsterdam in 2001, we proposed that electron tomography data should be shared
in a manner analogous to structural data at the protein and sequence scales. At
that time, we outlined our progress in creating a database to bring together cell
level imaging data across scales, The Cell Centered Database (CCDB). The CCDB was
formally launched in 2002 as an on-line repository of high-resolution 3D light
and electron microscopic reconstructions of cells and subcellular structures. It
contains 2D, 3D, and 4D structural and protein distribution information from
confocal, multiphoton, and electron microscopy, including correlated light and
electron microscopy. Many of the data sets are derived from electron tomography
of cells and tissues. In the 5 years since its debut, we have moved the CCDB from
a prototype to a stable resource and expanded the scope of the project to include
data management and knowledge engineering. Here, we provide an update on the CCDB
and how it is used by the scientific community. We also describe our work in
developing additional knowledge tools, e.g., ontologies, for annotation and query
of electron microscopic data.
PMID- 18054502
TI - Bioorganic/inorganic hybrid composition of sponge spicules: matrix of the giant
spicules and of the comitalia of the deep sea hexactinellid Monorhaphis.
AB - The giant basal spicules of the siliceous sponges Monorhaphis chuni and
Monorhaphis intermedia (Hexactinellida) represent the largest biosilica
structures on earth (up to 3m long). Here we describe the construction (lamellar
organization) of these spicules and of the comitalia and highlight their organic
matrix in order to understand their mechanical properties. The spicules display
three distinct regions built of biosilica: (i) the outer lamellar zone (radius:
>300 microm), (ii) the bulky axial cylinder (radius: <75 microm), and (iii) the
central axial canal (diameter: <2 microm) with its organic axial filament. The
spicules are loosely covered with a collagen net which is regularly perforated by
7-10 microm large holes; the net can be silicified. The silica layers forming the
lamellar zone are approximately 5 microm thick; the central axial cylinder
appears to be composed of almost solid silica which becomes porous after etching
with hydrofluoric acid (HF). Dissolution of a complete spicule discloses its
complex structure with distinct lamellae in the outer zone (lamellar coating) and
a more resistant central part (axial barrel). Rapidly after the release of the
organic coating from the lamellar zone the protein layers disintegrate to form
irregular clumps/aggregates. In contrast, the proteinaceous axial barrel, hidden
in the siliceous axial cylinder, is set up by rope-like filaments. Biochemical
analysis revealed that the (dominant) molecule of the lamellar coating is a 27
kDa protein which displays catalytic, proteolytic activity. High resolution
electron microscopic analysis showed that this protein is arranged within the
lamellae and stabilizes these surfaces by palisade-like pillars. The mechanical
behavior of the spicules was analyzed by a 3-point bending assay, coupled with
scanning electron microscopy. The load-extension curve of the spicule shows a
biphasic breakage/cracking pattern. The outer lamellar zone cracks in several
distinct steps showing high resistance in concert with comparably low elasticity,
while the axial cylinder breaks with high elasticity and lower stiffness. The
complex bioorganic/inorganic hybrid composition and structure of the Monorhaphis
spicules might provide the blueprint for the synthesis of bio-inspired material,
with unusual mechanical properties (strength, stiffness) without losing the
exceptional properties of optical transmission.
PMID- 18054503
TI - Quadriceps activity and physical activity profiles over long durations in
patients with osteoarthritis of the knee and controls.
AB - Exercise is recommended as a non-pharmacological, non-invasive intervention for
osteoarthritis (OA) of the knee. Physiological data concerning the duration and
intensity of muscle activity or physical activity profiles during normal daily
activity for this population is lacking. Our aim was to explore this using
surface Electromyography (EMG) and accelerometer-based activity monitoring.
Thirty-four patients with knee OA, mean (SD) age 63.2 (9.8) years and 30 aged
matched asymptomatic controls 64.1 (10.9) years participated. The duration of
recording was similar in knee OA and control groups - median (IRQ range) 12:34
(10:11-14:17)h and 13:10 (12:02-14:56)h, respectively (p=0.514). VM and VL were
quiescent for 81.8 (75.3-91.0) to 89.2 (81.5-94.7)% of the time. VM was active
for significantly longer durations than VL in the highest intensity band for
those with knee OA (p=0.00), and for longer durations in those with knee OA
compared to controls (p=0.027). The median (IRQ range) percentage of the total
recording time spent in an upright posture was 32.4 (28.3-43.9)% and 38.8 (33.6
45.8)%, and time spent stepping or walking was 12.7 (9.7-16.4)% and 16.0 (11.9
19.6)% for those with knee OA and controls respectively. These novel data may
prove useful for designing therapeutic exercise programmes and lifestyle changes
for those with knee OA.
PMID- 18054505
TI - The search for suitable prognostic markers for canine mammary tumors: A promising
outlook.
PMID- 18054504
TI - Protein synthesis inhibition and memory: formation vs amnesia.
AB - Studies using protein synthesis inhibitors have provided key support for the
prevalent view that memory formation requires the initiation of protein synthesis
as a primary element of the molecular biology of memory. However, many other
interpretations of the amnesia data have received far less attention. These
include: (a) protein synthesis may play a constitutive role in memory formation,
providing proteins prior to an experience that can be activated by training; (b)
protein synthesis may be needed to replace proteins available prior to learning
but 'consumed' by learning; (c) inhibition of protein synthesis impairs the well
being of neurons, leading to an inability to deliver resources needed for memory
formation; and (d) inhibition of protein synthesis results in abnormal neural
functions that interfere with memory. One of these, abnormal release of
neurotransmitters after inhibition of protein synthesis, is detailed here, along
with a review of many circumstances in which it appears that protein synthesis at
the time of training is not required for the formation of new memories. Evidence
of activation of cell signaling molecules and transcription factors is another
form of support for a role of training-initiated protein synthesis in memory.
However, recent findings suggest that many of these molecules are activated by
training and remain activated for days after training, i.e. activated for times
well beyond those typically invoked for memory consolidation processes. Reviewing
these results, this paper suggests that the long-lasting molecular changes may be
the basis of a form of intracellular memory, one responsible for up-regulating
the probability that a neuron, once activated in this manner, will engage in
future plasticity. This view melds ideas of modulation of memory with those of
consolidation of memory.
PMID- 18054506
TI - Epstein-Barr virus acute encephalomyelitis in a 13-year-old boy.
AB - The association of acute myelopathy and encephalopathy is reported in a 13-year
old boy. Signs and symptoms of infectious mononucleosis, presence of heterophile
antibodies, anticapsid antibodies and Epstein-Barr virus DNA detected in
cerebrospinal fluid, disclosed a primary or reactivated infection by Epstein-Barr
virus. Outcome was rapid and benign with complete clinical recovery in 1 month,
after pulse therapy with methylprednisolone. Epstein-Barr virus is a known agent
related to acute disseminated encephalomyelitis, by immune mediated mechanisms.
However, in this case, cortical involvement in magnetic resonance imaging, short
time between infectious mononucleosis and central nervous system manifestations,
and the presence of viral DNA in cerebrospinal fluid, raised the possibility of a
direct action of the virus in central nervous system. Acute myelopathy associated
to Epstein-Barr virus encephalitis has been rarely reported in children.
PMID- 18054507
TI - Delayed neurological signs following isolated parasagittal injury in asphyxia at
term.
AB - BACKGROUND: Parasagittal cerebral injury is a type of cerebral injury in term
infants, which is characterized by the predominant injury of the arterial border
zones of the anterior, middle and posterior cerebral arteries, however its early
clinical manifestation is mostly unclear. AIM: To understand early clinical
features of parasagittal cerebral injury. METHODS: The clinical details of 18
newborn infants who were diagnosed as having parasagittal cerebral injury on
magnetic resonance imaging (MRI). Eleven infants had localized injury within
parasagittal regions ("Limited" group), 7 infants had diffuse extensive injury
involving the deep gray matter and/or periventricular white matter ("Extensive"
group). These infants were compared with 9 infants with perinatal asphyxia
without MRI abnormalities ("Normal" group). RESULTS: There was no significant
difference in the rate of cardiotocographic abnormalities, low Apgar scores, low
blood pH and base excess, and the requirement for mechanical ventilation among
three groups. Compared with the Normal group, fewer infants in the Limited group
developed neonatal encephalopathy within an hour after birth. Neonatal seizures
were more frequent in the Limited and the Extensive groups. Hepatic and/or renal
dysfunction was more often observed in the Limited group. Cerebral palsy and/or
mental retardation were common in the Extensive group. Electro-cortical
depression was more in the Extensive group. Progressive suppression of electro
cortical activity was common within infants in the Limited group (33%) and the
Extensive group (60%). CONCLUSION: Infants with parasagittal cerebral injury
developed serious neurological abnormalities despite less serious physiological
and neurological manifestation shortly after birth, suggesting the importance of
careful longitudinal observation of asphyxiated infants.
PMID- 18054508
TI - Post-prandial alterations in LDL size and subclasses in patients with growth
hormone deficiency.
AB - OBJECTIVE: Several studies have suggested that lipoproteins generated during the
post-prandial phase are highly atherogenic, with modifications in low-density
lipoproteins (LDL) size and density. In the present study we assessed post
prandial variations in LDL size and subclasses in patients with growth hormone
deficiency (GHD). DESIGN: We studied in 12 hypopituitary patients with GHD and 10
healthy control subjects matched for gender, age and body mass index (BMI) post
prandial variations after a standardized meal consisting of 35% fat, 45%
carbohydrate and 20% of protein (Clinutren Mix, Nestle) and containing calories
corresponding to 1/3 of estimated basal metabolic rate. Blood samples were
collected at baseline and after 2 and 4h to measure plasma lipids and LDL size
and subclasses by nondenaturing polyacrylamide gradient gel electrophoresis.
RESULTS: At baseline patients had similar plasma lipids than controls, with the
exception of higher triglycerides (1.2+/-0.8 vs. 0.7+/-0.4mmol/L, p=.0024).
Baseline LDL size was similar between the two groups and LDL subclass analysis
revealed a small increase in LDL-IIIA (p=.0046). During post-prandial phase no
significant differences were found in LDL size and subclasses in patients vs.
controls with the sole exception of increased levels of LDL-IVB after 2h
(p=.0295) and LDL-IIIB after 4h (p=.0478). CONCLUSIONS: It is, therefore,
unlikely that a post-prandial variation in levels of small, dense LDL may
significantly contribute to the atherogenic potential in hypopituitary patients
with GHD.
PMID- 18054509
TI - Quantitative physiological study of the fast dynamics in the intracellular pH of
Saccharomyces cerevisiae in response to glucose and ethanol pulses.
AB - Considering the effects of pH on many aspects of cell metabolism, such as its
role in signaling processes and enzyme kinetics, it is indispensable to include
the measurement of the dynamics of the intracellular pH, when studying the fast
dynamic response of cells to perturbations. It has been shown previously that the
intracellular pH rapidly drops following an increase in external glucose
concentration [Kresnowati, M.T.A.P., Suarez-Mendez, C., Groothuizen, M.K., Van
Winden, W.A., Heijnen, J.J., 2007. Measurement of fast dynamic intracellular pH
in Saccharomyces cerevisiae using benzoic acid pulse. Biotechnol. Bioeng. 97, 86
98; Ramos, S., Balbin, M., Raposo, M., Valle, E., Pardo, L.A., 1989. The
mechanism of intracellular acidification induced by glucose in Saccharomyces
cerevisiae. J. Gen. Microbiol. 135, 2413-2422; Van Urk, H., Schipper, D.,
Breedveld, G.J., Mak, P.R., Scheffers, W.A., Van Dijken, J.P., 1989. Localization
and kinetics of pyruvate-metabolizing enzymes in relation to aerobic alcoholic
fermentation in Saccharomyces cerevisiae CBS 8066 and Candida utilis CBS 621.
Biochim. Biophys. Acta 992(1), 78-86]. The mechanism for this fast intracellular
acidification, however, has not been elucidated yet. This paper presents a
metabolome-based analysis to reveal the physiological phenomena that cause the
fast intracellular acidification following either a glucose pulse or an ethanol
pulse to carbon-limited chemostat cultures of Saccharomyces cerevisiae. This
quantitative study, which includes the determination of intracellular buffering
capacity, the calculation of electric charge balance and the quantification of
weak organic acid transport shows that none of the previously suggested
mechanisms, i.e. increase in glucose phosphorylation and accumulation of CO(2),
is sufficient to explain the measured decrease in intracellular pH following a
glucose pulse.
PMID- 18054510
TI - Short-chain acyl-CoA dehydrogenase gene mutation (c.319C>T) presents with
clinical heterogeneity and is candidate founder mutation in individuals of
Ashkenazi Jewish origin.
AB - We report 10 children (7 male, 3 female), 3 homozygous for c.319C>T mutation and
7 heterozygous for c.319C>T on one allele and c.625G>A variant on the other in
the short-chain acyl-CoA dehydrogenase (SCAD) gene (ACADS). All were of Ashkenazi
Jewish origin in which group we found a c.319C>T heterozygote frequency of 1:15
suggesting the presence of a founder mutation or selective advantage. Phenotype
was variable with onset from birth to early childhood. Features included
hypotonia (8/10), developmental delay (8/10), myopathy (4/10) with multicore
changes in two and lipid storage in one, facial weakness (3/10), lethargy (5/10),
feeding difficulties (4/10) and congenital abnormalities (3/7). One female with
multiminicore myopathy had progressive external ophthalmoplegia, ptosis and
cardiomyopathy with pneumonia and respiratory failure. Two brothers presented
with psychosis, pyramidal signs, and multifocal white matter abnormalities on MRI
brain suggesting additional genetic factors. Two other infants also had white
matter changes. Elevated butyrylcarnitine (4/8), ethylmalonic aciduria (9/9),
methylsuccinic aciduria (6/7), decreased butyrate oxidation in lymphoblasts (2/4)
and decreased SCAD activity in fibroblasts or muscle (3/3) were shown. Expression
studies of c.319C>T in mouse liver mitochondria showed it to be inactivating.
c.625G>A is a common variant in ACADS that may confer disease susceptibility.
Five healthy parents were heterozygous for c.319C>T and c.625G>A, suggesting
reduced penetrance or broad clinical spectrum. We conclude that the c.319C>T
mutation can lead to wide clinical and biochemical phenotypic variability,
suggesting a complex multifactorial/polygenic condition. This should be screened
for in individuals with multicore myopathy, particularly among the Ashkenazim.
PMID- 18054511
TI - The FDA announces new drug labeling for pharmacogenetic testing: is personalized
medicine becoming a reality?
PMID- 18054512
TI - Severe brain co-infection with Cryptococcus neoformans and Mycobacterium
tuberculosis in a young, otherwise healthy student recently immigrated from
China.
AB - INTRODUCTION: While the incidence of pulmonary and extrapulmonary tuberculosis is
growing in patients of advanced age, immunocompromised subjects, and immigrants
coming in from developing countries [Keller A, Delavelle J, Howarth N, Bianchi S,
Garcia J. Spinal and neurotuberculosis in an Asian immigrant. JBR-BTR 2002;85:136
7; Sabbatani S, Manfredi R, Legnani G. Chiodo F. Tuberculosis in a metropolitan
area of northern Italy: epidemiological trends and public health concerns. Eur J
Epidemiol 2004;19:501-3], the concomitant occurrence of cerebral cryptococcosis
plus brain and respiratory tuberculosis in a young and otherwise healthy patient,
without an evident cause of immunodeficiency and without an obvious exposure, is
exceedingly rare [Silber E, Sonnenberg P, Koornhof HJ, Morris L, Saffer D. Dual
infective pathology in patients with cryptococcal meningitis. Neurology
1998;51:1213-5.]. CASE REPORT: An exceptionally rare case of concurrent central
nervous system infection with Cryptococcus neoformans and Mycobacterium
tuberculosis in a 25-year-old otherwise healthy Chinese student, who had very
recently joined an Italian post-doctoral course, is described. Also described are
the diagnostic and therapeutic difficulties encountered in a five-month
hospitalization period, when only transient and/or negligible immune system
impairments were detected. CONCLUSIONS: This episode of very infrequent
concurrent infections should emphasize the need to maintain an elevated clinical
suspicion for opportunistic infections and tuberculosis, even in the absence of
an obvious immunodeficiency and related epidemiological clues.
PMID- 18054513
TI - WITHDRAWN: [Modelling the human body.]
PMID- 18054514
TI - Adaptation of wavelet transform analysis to the investigation of biological
variations in speech signals.
AB - The purpose of this study was to adapt wavelet analysis as a tool for
discriminating speech samples taken from healthy subjects across two biological
states. Speech pressure waveforms were drawn from a study on effects of hormone
fluctuations across the menstrual cycle on language functions. Speech samples
from the vowel portion of the syllable 'pa', taken at the low- and high-hormone
phases of the menstrual cycle, were extracted for analysis. Initial analysis
applied Fourier transforms to examine the fundamental and formant frequencies.
Wavelet analysis was used to investigate spectral differences at a more
microbehavioural level. The key finding showed that wavelet coefficients for the
fundamental frequency of speech samples taken from the high-hormone phase had
larger amplitudes than those from the low-hormone phase. This study provided
evidence for differences in speech across the menstrual cycle that affected the
vowel portion of syllables. This evidence complements existing data on the
temporal features of speech that characterise the consonant portion of syllables.
Wavelet analysis provides a new tool for examination of behavioural differences
in speech linked to hormonal variation.
PMID- 18054515
TI - Cytokines, dendritic cells and immunological rejection.
PMID- 18054516
TI - IFN regulation and functions in myeloid dendritic cells.
AB - A central issue in dendritic cells (DC) biology is to understand how type I IFNs
modulate the immuno-regulatory properties of DC. In this review I will address
this issue in light of the recent experimental evidence on the expression and
function of these cytokines in myeloid DC. This knowledge may have important
therapeutic implications in infectious and neoplastic diseases and open new
perspectives in the use of IFNs as vaccine adjuvants and in the development of DC
based vaccines.
PMID- 18054517
TI - Dendritic cells and cytokines in immune rejection of cancer.
AB - Dendritic cells (DCs) play a crucial role in linking innate and adaptive immunity
and, thus, in the generation of a protective immune response against both
infectious diseases and tumors. The ability of DCs to prime and expand an immune
response is regulated by signals acting through soluble mediators, mainly
cytokines and chemokines. Understanding how cytokines influence DC functions and
orchestrate the interactions of DCs with other immune cells is strictly
instrumental to the progress in cancer immunotherapy. Herein, we will illustrate
how certain cytokines and immune stimulating molecules can induce and sustain the
antitumor immune response by acting on DCs. We will also discuss these cytokine
DC interactions in the light of clinical results in cancer patients.
PMID- 18054518
TI - Overcoming apoptosis deficiency of melanoma-hope for new therapeutic approaches.
AB - The increased incidence of malignant melanoma in the last decades, its high
mortality and pronounced therapy resistance pose an enormous challenge. Important
therapeutic targets for melanoma are the induction of apoptosis and suppression
of survival pathways. Preclinical studies have demonstrated the efficacy of pro
apoptotic Bcl-2 proteins and of death receptor ligands to trigger apoptosis in
melanoma cells. In the clinical setting, BH3 domain mimics and death receptor
agonists are therefore considered as promising, specific novel treatments to add
to the conventional pro-apoptotic strategies such as chemo- or radiotherapy.
However, constitutively activated survival pathways, in particular the mitogen
activated protein kinases, protein kinase B/Akt and nuclear factor (NF)-kappaB,
all may work in concert to prevent effective therapy. Thus, selective biologicals
developed with the aim to inhibit pro-survival signaling are currently tested in
melanoma. For highly therapy-resistant tumors such as melanoma, development of
novel drug combinations will be essential, and combinations of survival
inhibitors and pro-apoptotic mediators appear most promising. The challenge of
the near future will be to make a rational choice of the multiple possible
combinations and protocols. This review gives a critical overview of proteins
involved in melanoma chemoresistance, which are targets for current drug
development leading to the best choice for future trials.
PMID- 18054519
TI - Spectroscopic investigation of the donor-acceptor interaction between o
phenylenediamine and tetracyanoethylene in dichloromethane.
AB - The interaction between the electron donor o-phenylenediamine (o-phendn) and the
pi-acceptor tetracyanoethylene (TCNE) has been investigated
spectrophotometrically in dichloromethane at 25 degrees C. The results indicate
that the reaction proceeds via two major steps. The formation of the 1:2 charge
transfer complex [(o-phendn)2, (TCNE)4] in which two o-phenylenediamine molecules
undergoes slow N-substitution by one TCNE forming the final reaction product 2,2
di-o-aminoaniline-1,1-dicyanoethylene and 2HCN molecules. The reaction was
studied using electronic, infrared, photometric titration and cyclic voltametric
measurements. A general mechanism for the reactions is proposed.
PMID- 18054520
TI - Effects of fatigue induced by neuromuscular electrical stimulation on postural
control.
AB - The aim was to investigate the effects of fatigue induced by electrical
stimulation superimposed onto voluntary muscular contractions on postural
abilities and strategy (n=8). Two groups of subjects performed a fatiguing of the
triceps surae, the first by voluntary muscular contractions (group VOL) and the
second (n=8) by electrical stimulation superimposed onto voluntary muscle
contractions (group VOL+ES). Balance was measured before and after the completion
of both fatiguing tasks, by using a force platform which recorded centre of foot
pressure (COP). Spatio-temporal COP parameters were used to evaluate the postural
abilities, and a frequency analysis of the COP excursions (Fast Fourier
Transform) was performed to estimate the postural strategy (low frequencies
mostly account for visuo-vestibular regulation and high frequencies for
proprioceptive regulation). In the post-fatigue condition, spatio-temporal COP
parameters were not modified in either group. However, the frequency analysis
showed that the high frequency band increased more in group VOL than in group
VOL+ES. Calf-muscles fatigue did not impair postural control but it generated a
change of the contribution of the proprioceptive information (myotatic loops)
greater in group VOL than in group VOL+ES. Calf-muscles fatigue induced a
modification of the postural strategy that may be exerted more strongly after
voluntary muscular contractions than after electrical stimulation superimposed
onto voluntary muscular contractions.
PMID- 18054521
TI - Western-medicine-validated anti-tumor agents and traditional Chinese medicine.
PMID- 18054522
TI - Selection of genes of Mycobacterium tuberculosis upregulated during residence in
lungs of infected mice.
AB - In sequel to previous report [Srivastava V, Rouanet C, Srivastava R, Ramalingam
B, Locht C, Srivastava BS. Macrophage-specific Mycobacterium tuberculosis genes:
identification by green fluorescent protein and kanamycin resistance selection.
Microbiology 2007;153:659-66], the genes of Mycobacterium tuberculosis
upregulated during residence in lungs of infected mice were identified in an in
vivo expression system based on kanamycin resistance. A promoter library of M.
tuberculosis was constructed in a promoter trap shuttle vector pLL192 containing
an artificial bicistronic operon composed of promoterless green fluorescent
protein gene followed by kanamycin resistance gene. The library was introduced in
M. bovis BCG and then infected in mice by intravenous route. Mice were treated
twice daily with 40 mg/kg dose of kanamycin by intramuscular route for 21 days.
Recombinant BCG recovered from the lungs were reinfected in mice to enrich clones
surviving kanamycin treatment in the lung but sensitive to killing by kanamycin
in vitro. After nucleotide sequencing of inserts from these clones, 20 genes
belonging to fatty acids metabolism, membrane transport, nitric oxide defence and
PE_PGRS/PPE family were identified. Real-time PCR analysis using RNA isolated
from M. tuberculosis grown in vitro and from the lungs, confirmed upregulation of
genes from 2 to 20-fold in vivo compared to growth in vitro. Several of these
select 20 genes were also found upregulated ex vivo in macrophage-like cell line
J774A.1, thus, suggesting a correlation in mycobacterial gene expression between
ex vivo and in vivo conditions.
PMID- 18054523
TI - Building a hospital referral expert system with a Prediction and Optimization
Based Decision Support System algorithm.
AB - This study presents a new method for constructing an expert system using a
hospital referral problem as an example. Many factors, such as institutional
characteristics, patient risks, traveling distance, and chances of survival and
complications should be included in the hospital-selection decision. Ideally,
each patient should be treated individually, with the decision process including
not only their condition but also their beliefs about trade-offs among the
desired hospital features. An expert system can help with this complex decision,
especially when numerous factors are to be considered. We propose a new method,
called the Prediction and Optimization-Based Decision Support System (PODSS)
algorithm, which constructs an expert system without an explicit knowledge base.
The algorithm obtains knowledge on its own by building machine learning
classifiers from a collection of labeled cases. In response to a query, the
algorithm gives a customized recommendation, using an optimization step to help
the patient maximize the probability of achieving a desired outcome. In this
case, the recommended hospital is the optimal solution that maximizes the
probability of the desired outcome. With proper formulation, this expert system
can combine multiple factors to give hospital-selection decision support at the
individual level.
PMID- 18054524
TI - Nucleotide sequence and phylogenetic analysis of a new potexvirus: Malva mosaic
virus.
AB - A filamentous virus isolated from Malva neglecta Wallr. (common mallow) and
propagated in Chenopodium quinoa was grown, cloned and the complete nucleotide
sequence was determined (GenBank accession # DQ660333). The genomic RNA is 6858
nt in length and contains five major open reading frames (ORFs). The genomic
organization is similar to members and the viral encoded proteins shared homology
with the group of the Potexvirus genus in the Flexiviridae family. Phylogenetic
analysis revealed a close relationship with narcissus mosaic virus (NMV),
scallion virus X (ScaVX) and, to a lesser extent, to Alstroemeria virus X (AlsVX)
and pepino mosaic virus (PepMV). A novel putative pseudoknot structure is
predicted in the 3'-UTR of a subgroup of potexviruses, including this newly
described virus. The consensus GAAAA sequence is detected at the 5'-end of the
genomic RNA and experimental data strongly suggest that this motif could be a
distinctive hallmark of this genus. The name Malva mosaic virus is proposed.
PMID- 18054526
TI - Osteoarthritis in the context of ageing and evolution. Loss of chondrocyte
differentiation block during ageing.
AB - Ageing is the main risk factor of primary osteoarthritis (OA) and OA is the
disease most strongly correlated with ageing. Both in humans and other animals OA
development appears to be not strictly time-dependent but to hold pace with
ageing processes. A characteristic of OA is deviant behaviour of chondrocytes in
articular cartilage. These chondrocytes resemble terminal differentiated
chondrocytes in growth plates and actively produce matrix degrading enzymes. The
latter results in cartilage degeneration and eventually OA. We postulate that at
a young age progression of chondrocyte differentiation is actively blocked in
articular cartilage. This block declines when the evolutionary pressure to
maintain this block, after reproductive life, is minimized. The loss of this
differentiation block, maybe as a result of changes in chondrocyte TGF beta
signalling, results in combination with normal joint loading in cartilage
degeneration and OA.
PMID- 18054525
TI - Mitochondrial regulation of flower development.
AB - Flower development in plants depends not only on a set of nuclear genes but also
on the coordinate action of the mitochondrion. Certain mitochondrial genomes in
combination with certain nuclear genomes lead to the expression of cytoplasmic
male-sterility (CMS). Both mitochondrial genes that determine male-sterility and
nuclear Restorer-of-fertility genes that suppress the male-sterile phenotype have
been cloned. Lately, the interactions between mitochondrial and nuclear genes
through retrograde signalling in CMS-systems have been dissected. Of special
interest are the altered expression patterns of floral homeotic genes in certain
CMS-systems. Here, we review the mitochondrial influence on flower development
and give examples from CMS-systems developed in Brassica, Daucus carota,
Nicotiana tabacum and Triticum aestivum.
PMID- 18054527
TI - Pronounced depression by propofol on carotid body response to CO2 and K+-induced
carotid body activation.
AB - Propofol is a commonly used anesthetic agent, and it attenuates hypoxic
ventilatory response in humans. Propofol reduce in vivo and in vitro carotid body
responses to hypoxia as well as to nicotine in experimental animals. In the
present study we examined the effects of propofol on carotid body responses to
hypercapnia and K(+)-induced carotid body activation and compared these effects
with hypoxia in an in vitro rabbit carotid body preparation. Hypoxia, hypercapnia
and potassium increased the carotid sinus nerve activity and propofol attenuated
the chemoreceptor responses to all three stimuli. However, the magnitude of
propofol-induced attenuation was greater for hypercapnic and K(+)-induced carotid
body activation compared to the hypoxic response. These observations suggest that
propofol-induced attenuation of the hypoxic response is partly secondary to
depression of chemoreceptor response to hypercapnia inhibiting the synergistic
interactions between O(2) and CO(2) and may involve CO(2)/H(+) sensitive K(+)
channels.
PMID- 18054528
TI - Increased levels of circulating progenitor cells after 1-week sojourn at moderate
altitude (Austrian Moderate Altitude Study II, AMAS II).
AB - We wanted to test if a sojourn at moderate altitude can activate circulation of
adult progenitor cells in healthy individuals. Thus, we investigated 11 healthy
volunteers, who spent 1-week at 1700 m (Oberlech, Austria,) simulating an active
holiday. We measured circulating CD34(+) progenitor cell populations by flow
cytometry and cytokines (using ELISA) in peripheral blood at baseline (500 m) and
at the end of the sojourn. Extent of physical activity was documented via
armband. CD34(+)CXCR-4(+) cells significantly increased in peripheral blood after
the sojourn. CD34(+)CD31(+) and CD34(+)CD133(+) cells were upregulated in trend.
Levels of SDF-1, G-CSF and VEGF decreased in trend whereas erythropoietin and SCF
remained equal. Progenitor cells and degree of daily physical exercise did not
correlate. We present the first study showing that exposure to moderate altitude
with physical activity leads to increased levels of circulating progenitor cells.
This effect may be due to hypoxia and/or physical activity.
PMID- 18054529
TI - Development of a liquid chromatography-tandem mass spectrometry method for the
determination of 23 endogenous steroids in small quantities of primate urine.
AB - A quantitative method using liquid chromatography-tandem mass spectrometry (LC-MS
MS) was developed for the simultaneous determination of 23 endogenous steroids in
primate urine. The introduced method includes estrone, pregnandiol, cortisol,
testosterone and several human urinary glucocorticoid and androgen metabolites.
As the method is intended for the analysis of steroid hormones in behavioral
studies on wild-living primates, it was adapted for a sample volume of 200microL
urine. The sample preparation consisted of an enzymatic hydrolysis of steroid
glucuronides using beta-glucuronidase from E. coli followed by a solvolytic
cleavage of steroid sulfates employing sulfuric acid/ethyl acetate. The
extraction of steroids from urine was optimized with respect to pH during
extraction, type of ether and the amount of enzyme necessary for complete
hydrolysis of glucuronides. The recovery of steroids spiked into urine before
hydrolysis was 58.9-103.7% with an intra-day precision of 2.7-14.3% and an inter
day precision of 2.9-14.8%. Detection limits ranged from 0.1-0.5ng/mL. The
reproducibility of the whole sample preparation process was also demonstrated for
unspiked urine (CV 1.2-16.5%). The proportion of steroid hormone excreted as
sulfate was determined for 21 steroids in chimpanzee urine. The solvolysis proved
to be essential for all investigated steroids except for pregnandiol,
tetrahydrocortisol and tetrahydrocortisone, which were found to be less then 10%
in the solvolysis fraction.
PMID- 18054530
TI - Anisotropy of nickel release and corrosion in austenitic stainless steels.
AB - The study of 316L-type stainless steel reveals a significant anisotropy of nickel
release that is dependent on the orientation of the test surface with respect to
the casting and rolling direction. Cross-sectional specimens (transversal cuts
with respect to the rolling direction) show a substantially higher sensitivity to
corrosion phenomena compared with longitudinal cuts and they release nickel ions
at rates 10-100 times higher. These findings indicate that orientation needs to
be taken into account when interpreting test results, in particular when
comparing different grades of austenitic stainless steel, as well as in product
and production design.
PMID- 18054531
TI - Reconstruction of defects with the posterior femoral fasciocutaneous flap after
resection of malignant tumours of the femoral greater trochanter, sacrococcygeal
region and knee.
AB - SUMMARY OBJECTIVE: To determine the vascular anatomy and clinical application of
superiorly and inferiorly based posterior thigh fasciocutaneous flaps. METHODS:
Ten consecutive patients were included in the study. All underwent resection of
malignant tumours, five malignant fibrous histiocytomas (MFH), two synovial
sarcomas, one skin squamous cell cancer, one malignant hamartoma and one
fibrosarcoma. The average age of the patients was 49 years (range 25 to 71
years), with six men and four women. Superior defects, including two in the
sacrococcygeal region and one lesion over the femoral greater trochanter, were
closed with superior posterior femoral fasciocutaneous flaps (SPFFCF). Seven
lesions, three in the popliteal fossae, and two in the lateral and two medial
knee regions, were closed with inferior posterior femoral fasciocutaneous flaps
(IPFFCF). The average flap size was 148 cm(2) (ranging from 90 to 300 cm(2)). The
average follow-up period was 23 months (ranging from 3 to 50 months). Patients
were assessed by examination of the vascular anatomy, the operation technique and
the treatment outcome. In particular the fasciocutaneous network and the
descending branch of the inferior gluteal artery of the nutrient flap were
analysed. RESULTS: There were no total skin flap failures and no significant
complications. Tumours recurred locally in two patients and lung metastases
occurred in another two. Five patients returned to their original jobs and daily
activity without limitation, but two experienced decreased knee flexion of 30
degrees . The larger SPFFCF is based on the fasciocutaneous branch of the
inferior gluteal artery accompanied by the posterior gluteal cutaneous nerve.
CONCLUSION: The larger SPFFCF, which includes the fascia lata femoris and the
fasciocutaneous branch with the posterior femoral cutaneous nerve, does not
include the first cutaneous branch of the fasciocutaneous branch artery. Hence,
large defects of the sacrococcygeal region and the femoral greater trochanter can
be reconstructed using an SPFFCF. Defects around the knee can be reconstructed
with an IPFFCF, which is based on the ascending branch of the fasciocutaneous
branch of the 3rd perforating artery.
PMID- 18054535
TI - From animal models to model animals.
PMID- 18054536
TI - Expanding the notion of disease in Huntington's disease.
PMID- 18054537
TI - Electronic clinical challenges and images in GI. Menetrier's disease
(hypoalbuminemic hyperplastic gastropathy).
PMID- 18054538
TI - Electronic clinical challenges and images in GI. Acute stridor caused by hiatal
hernia.
PMID- 18054539
TI - Presidential address.
PMID- 18054542
TI - Coronary artery disease associated with increased prevalence of colorectal
tumors.
PMID- 18054543
TI - American Gastroenterological Association to the Institute of Medicine: research
needed to understand plight of the physician-investigator.
PMID- 18054544
TI - Tracking down the stem cells of the intestine: strategies to identify adult stem
cells.
PMID- 18054545
TI - The challenges facing GI investigators today and what (more) the GI societies can
do to help.
PMID- 18054546
TI - Breath tests for gastrointestinal disease: the real deal or just a lot of hot
air?
PMID- 18054547
TI - Clinical challenges and images in GI. Small bowel obstruction caused by an
intestinal phytobezoar.
PMID- 18054548
TI - Clinical challenges and images in GI. Endometriosis of the sigmoid colon with
endometriotic features within mesenteric lymph nodes.
PMID- 18054549
TI - Identification of epithelial gaps in human small and large intestine by confocal
endomicroscopy.
AB - BACKGROUND & AIMS: Confocal endomicroscopy is an emerging technology that poses
the endoscopist with challenges for identifying epithelial structures in the
human intestine. We have shown previously that the murine intestinal epithelium
is punctuated by gaps caused by cell shedding. The goals of this study were to
determine if confocal endomicroscopy could resolve the presence of human
epithelial gaps and whether a proinflammatory cytokine could increase cell
shedding. METHODS: Intestinal mucosa was imaged after staining with acriflavine.
Confocal endomicroscopy of 17 patients yielded 6277 images from the human
terminal ileum and rectum. Results were validated by parallel studies of
anesthetized mice (wild-type and Math1(DeltaIntestine)) using rigid confocal
probe microscopy, 2-photon/confocal microscopy, and scanning electron microscopy.
RESULTS: Human terminal ileal and rectal epithelium revealed unstained areas with
the diameter of an individual epithelial cell, with 2 distinct morphologies. One
had a "target" appearance, shown by mouse studies to be goblet cells. The other
morphology had no nucleus and was observed by rigid confocal probe microscopy and
scanning electron microscopy in the villi of Math1(DeltaIntestine) mice, which
lack goblet cells. In the mouse, tumor necrosis factor alpha (0.33 microg/g
intraperitoneally) increases cell shedding by 27-fold and caused loss of barrier
function across 20% of resultant gaps. CONCLUSIONS: Confocal endomicroscopy can
distinguish between epithelial discontinuities (gaps) and goblet cells in human
intestine. Results suggest that the sealing of epithelial gaps must be considered
as a component of the intestinal barrier and has potential implications for
intestinal barrier dysfunction in human disease.
PMID- 18054550
TI - Mortality by medication use among patients with inflammatory bowel disease, 1996
2003.
AB - BACKGROUND & AIMS: Most previous population-based studies of mortality in
inflammatory bowel disease (IBD) did not account for medication use. We evaluated
mortality by IBD medication use among members of the Kaiser Permanente Northern
California IBD Registry. METHODS: The retrospective, population-based cohort
study included 9032 persons who received at least one inpatient or 2 outpatient
diagnoses of IBD during 1996-2002. Age and sex standardized mortality ratios
measured the associations between IBD and all-cause and cause-specific mortality.
Age, sex, and smoking adjusted odds ratios measured the association of mortality
by IBD medication use. RESULTS: Compared with health plan members without IBD,
mortality was increased in patients with Crohn's disease (CD) (1.4; 95%
confidence interval, 1.2-1.6) but not ulcerative colitis (UC) (1.0; 95% CI, 0.9
1.2). CD was associated with increased mortality from infectious and parasitic
diseases (4.1; 95% CI, 1.7-8.5), septicemia (6.8; 95% CI, 2.2-15.8), small
intestinal cancer (48.1; 95% CI, 5.8-17.4), respiratory diseases (1.9; 95% CI,
1.3-2.7), digestive diseases other than IBD (2.4; 95% CI, 1.0-4.8), and liver
diseases (2.6; 95% CI, 1.0-5.3). UC was associated with increased mortality from
digestive diseases other than IBD (3.9; 95% CI, 2.4-6.0). The relationship with
CD mortality was 0.7 for aminosalicylates (95% CI, 0.5-1.1), 1.3 (95% CI, 0.9
1.9) for immunomodulators, and 1.0 (95% CI, 0.7-1.4) for corticosteroids. Among
patients with UC, these odds ratios were 0.8 (95% CI, 0.5-1.1) for
aminosalicylates, 0.5 (95% CI, 0.3-0.9) for immunomodulators, and 0.8 (95% CI,
0.6-1.1) for corticosteroids. CONCLUSIONS: Mortality is increased in CD.
Infections, respiratory diseases, and digestive diseases are important specific
causes of death. IBD medication use has varying associations with mortality.
PMID- 18054551
TI - Sleep deprivation is hyperalgesic in patients with gastroesophageal reflux
disease.
AB - BACKGROUND & AIMS: Studies have demonstrated that gastroesophageal reflux disease
(GERD) can cause sleep deprivation because of nighttime heartburn or short,
amnestic arousals during sleep. Sleep deprivation has been associated with
reports of increased GERD severity. Our aim was to determine whether sleep
deprivation enhances perception of intraesophageal acid in patients with GERD vs
healthy controls. METHODS: Ten healthy controls and 10 patients with erosive
esophagitis (grades B-D) were included in the study. All subjects were randomized
to either sleep deprivation (1 night with =3 hours of sleep) or sufficient
sleep (3 days with >/=7 hours sleep/night). Patients crossed over to the other
arm after a washout period of 1 week. To ensure proper sleep time, we objectively
monitored subjects with an actigraph. The morning after sufficient sleep or sleep
deprivation, patients underwent stimulus response functions to esophageal acid
perfusion. RESULTS: Ten healthy controls and 10 GERD patients completed all
stages of the study. GERD patients demonstrated a significant decrease in lag
time to symptom report (91 +/- 21.6 vs 282.7 +/- 67 sec, respectively, P = .02),
increase in intensity rating (9.3 +/- 1.4 vs 4.4 +/- 0.9 cm, respectively, P =
.02), and increase in acid perfusion sensitivity score (48.3 +/- 8.5 vs 22.7 +/-
4.5 sec x cm/100, respectively, P = .02) after sleep deprivation as compared with
nights of good sleep. Normal subjects did not demonstrate any differences in
stimulus response functions to acid between sufficient sleep and sleep
deprivation (578 +/- 164 vs 493.8 +/- 60.3 sec, 0.3 +/- 0.2 vs 0.45 +/- 0.2 cm,
and 0.4 +/- 0.3 vs 2.4 +/- 1.4 sec x cm/100, respectively, all P = NS).
CONCLUSIONS: Sleep deprivation is hyperalgesic in patients with GERD and provides
a potential mechanism for increase in GERD symptom severity in sleep-deprived
patients.
PMID- 18054552
TI - Glucose-dependent insulinotropic polypeptide enhances adipocyte development and
glucose uptake in part through Akt activation.
AB - BACKGROUND & AIMS: In addition to its role as the primary mediator of the
enteroinsular axis, glucose-dependent insulinotropic polypeptide (GIP) may play a
critical role in the development of obesity. The purpose of these studies was to
characterize the effects of GIP and its receptor (GIPR) in adipocyte development
and signaling. METHODS: Effects of GIP and GIPR on differentiated 3T3-L1 cells
were analyzed using Western blot analysis, Oil-Red-O staining, cyclic adenosine
monophosphate radioimmunoassay, immunofluorescence microscopy, and glucose uptake
measurements. RESULTS: To determine whether GIP and GIPR are important components
in adipocyte development, the expression profile of GIPR during differentiation
was examined. GIPR protein expression was enhanced during the differentiation
process, and coincubation with its ligand GIP augmented the expression of aP2, a
fat cell marker. Conversely, the suppression of GIPR expression by a specific
short hairpin RNA attenuated Oil-Red-O staining and aP2 expression, suggesting
that the GIPR may play a critical role in adipocyte development. To investigate
specific signaling components that may mediate the effects of GIP, we analyzed
Akt, glucose transporter-4, and glucose uptake, all of which are modulated by
insulin in fat cells. Like insulin, GIP induced the activation of Akt in a
concentration-dependent manner, promoted membrane glucose transporter-4
accumulation, and enhanced [(3)H]-2-deoxyglucose uptake. CONCLUSIONS: These
studies provide further evidence for an important physiologic role for GIP in
lipid homeostasis and possibly in the pathogenesis of obesity. Furthermore, our
data indicate that the GIPR might represent a suitable target for the treatment
of obesity.
PMID- 18054553
TI - Improvement in survival associated with adult-to-adult living donor liver
transplantation.
AB - BACKGROUND & AIMS: More than 2000 adult-to-adult living donor liver
transplantations (LDLT) have been performed in the United States, yet the
potential benefit to liver transplant candidates of undergoing LDLT compared with
waiting for deceased donor liver transplantation (DDLT) is unknown. The aim of
this study was to determine whether there is a survival benefit of adult LDLT.
METHODS: Adults with chronic liver disease who had a potential living donor
evaluated from January 1998 to February 2003 at 9 university-based hospitals were
analyzed. Starting at the time of a potential donor's evaluation, we compared
mortality after LDLT to mortality among those who remained on the waiting list or
received DDLT. Median follow-up was 4.4 years. Comparisons were made by hazard
ratios (HR) adjusted for LDLT candidate characteristics at the time of donor
evaluation. RESULTS: Among 807 potential living donor recipients, 389 underwent
LDLT, 249 underwent DDLT, 99 died without transplantation, and 70 were awaiting
transplantation at last follow-up. Receipt of LDLT was associated with an
adjusted mortality HR of 0.56 (95% confidence interval [CI]: 0.42-0.74; P < .001)
relative to candidates who did not undergo LDLT. As centers gained greater
experience (>20 LDLT), LDLT benefit was magnified, with a mortality HR of 0.35
(95% CI: 0.23-0.53; P < .001). CONCLUSIONS: Adult LDLT was associated with lower
mortality than the alternative of waiting for DDLT. This reduction in mortality
was magnified as centers gained experience with LDLT. This reduction in
transplant candidate mortality must be balanced against the risks undertaken by
the living donors themselves.
PMID- 18054554
TI - Prevalence of elevated alanine aminotransferase among US adolescents and
associated factors: NHANES 1999-2004.
AB - BACKGROUND & AIMS: Nonalcoholic fatty liver disease (NAFLD) is a common cause of
liver disease in children and adolescents. The majority of studies of NAFLD in
children have been in select populations of the clinically obese. Study aims were
to estimate the prevalence of elevated alanine aminotransferase (ALT, as a marker
of NAFLD) in a general contemporary adolescent population and to identify leading
risk factors for ALT elevation (>30 U/L). METHODS: We analyzed data of adolescent
participants (aged 12-19 years; N = 5586) in the National Health and Nutrition
Examination Survey 1999-2004, a representative sample of the civilian
noninstitutionalized US population. RESULTS: The prevalence of elevated ALT
levels (>30 U/L) was 7.4% among white adolescents, 11.5% among Mexican American
adolescents, and 6.0% among black adolescents. Elevated ALT levels were prevalent
in 12.4% of male subjects compared with 3.5% of female subjects. Multivariable
associations with elevated ALT levels were found for sex (odds ratio [OR] male vs
female, 7.7; 95% confidence interval [CI], 3.9-15.1), ethnicity (OR black vs
white, 0.6; 95% CI, 0.3-1.3; OR Mexican American vs white, 1.6; 95% CI, 1.0-2.6),
waist circumference (OR per 1 SD, 1.4; 95% CI, 1.0-2.0), and fasting insulin
level (OR per 1 SD, 1.6; 95% CI, 1.2-2.1). Age, C-reactive protein levels, and
triglyceride levels were also positively and socioeconomic position inversely
associated with elevated ALT levels. The magnitude of associations were similar
across ethnic groups. CONCLUSIONS: ALT level is associated with waist
circumference and insulin resistance even in a young population. These
characteristics could be utilized to identify adolescents who may benefit from
screening for NAFLD, offering an opportunity to prevent disease progression at an
early age.
PMID- 18054555
TI - Reduction in hepatitis C-related liver disease associated with GB virus C in
human immunodeficiency virus coinfection.
AB - BACKGROUND & AIMS: It has been reported that GB virus C infection (GBV-C) leads
to improved morbidity and mortality in patients with human immunodeficiency virus
(HIV) infection. However, GBV-C has no effect on the course of liver disease in
hepatitis C virus (HCV) monoinfection. The aim of the study was to determine the
influence of GBV-C infection on liver disease in patients with HCV/HIV
coinfection. METHODS: Data on 158 HCV/HIV patients were collected from January
1996 to October 2005. Two plasma specimens, collected at least 18 months apart,
were tested for GBV-C RNA by reverse transcription-polymerase chain reaction with
primers to the NS5B gene and confirmed using E2 gene primers and sequencing.
Antibodies to GBV-C E2 protein were also determined. Liver-related morbidity and
mortality were assessed from patient records. RESULTS: Fifty-seven of 158 (36%)
patients had GBV-C RNA and 94 (59%) had evidence of exposure to GBV-C based on
combined polymerase chain reaction and antibody results. Thirty-four (21%)
patients had features of cirrhosis, with 20 having compensated and 14 having
decompensated cirrhosis. Active GBV-C RNA was significantly associated with a
reduction in cirrhosis, both compensated and decompensated in multivariate
analysis (hazard ratio, 0.27; 95% confidence interval, 0.08-0.88; P = .03), as
well as in analysis for cirrhosis-free survival vs duration of HCV infection (P =
.006). No significant effect on liver-related or overall survival was observed.
CONCLUSIONS: In these HCV/HIV-coinfected patients, GBV-C RNA was associated with
a significant reduction in the severity of HCV-related liver disease.
PMID- 18054556
TI - Predictive molecular markers for colorectal cancer patients with resected liver
metastasis and adjuvant chemotherapy.
AB - BACKGROUND & AIMS: The aims of the study were to evaluate the predictive value of
8 candidate molecular markers for colorectal cancer (CRC) patients receiving
hepatic arterial infusion (floxuridine [FUDR] and dexamethasone) and systemic
irinotecan (CPT11) post resection of liver metastasis. METHODS: RNA was extracted
from microdissected tumor cells of fixed and embedded specimens of resected liver
metastases (94 cases) and analyzed by quantitative reverse-transcription
polymerase chain reaction (RT-PCR) for thymidine phosphorylase, dihydropyrimidine
dehydrogenase, thymidylate synthase, uridine phosphorylase, uridine/cytidine
(monophospho)kinase, Bcl-2 related protein, Cyclin-D1, and Survivin expression.
Uni- and multivariate statistical analyses and an explorative hierarchical
clustering analysis of quantitative RT-PCR data were performed for overall
survival and recurrent disease. RESULTS: After adjustment for multiple
clinicopathologic parameters, none of the markers were significantly associated
with overall survival (except, marginally, Cyclin-D1; P = .06) or extrahepatic
recurrence. However, high Survivin (P = .03) and Cyclin-D1 (P = .05) levels were
predictive for hepatic recurrence. Hierarchical cluster analysis identified 7 of
94 patients associated with lower hepatic recurrence (P < .001). This patient
group was characterized by low Cyclin-D1 and Survivin messenger RNA levels, both
genes also clustering together. CONCLUSIONS: Cyclin-D1 and Survivin messenger RNA
analyzed by standardized, quantitative RT-PCR are predictive markers for CRC
patients receiving hepatic arterial infusion (FUDR/dexamethasone) and systemic
CPT11 post resection of liver metastasis. Moreover, our exploratory hierarchical
cluster analysis of quantitative RT-PCR data supports its potential as an
application to define clinically relevant patient subgroups.
PMID- 18054557
TI - Epigenetic and genetic alterations in Netrin-1 receptors UNC5C and DCC in human
colon cancer.
AB - BACKGROUND & AIMS: DCC and UNC5C, Netrin-1 dependence receptors, perform an
important role in intestinal epithelial biology. Both receptors frequently are
down-regulated in colorectal cancer (CRC). Although CRCs frequently lose DCC
owing to deletions at 18q, the mechanism for the UNC5C loss is poorly understood.
We hypothesized that UNC5C is silenced epigenetically in CRC, and that there are
interactions between losses of UNC5C and DCC in colorectal tumorigenesis.
METHODS: Gene expression and epigenetic analysis of UNC5C was examined in 8 CRC
cell lines, 147 sporadic CRCs with corresponding normal mucosa, and 52
adenomatous polyps (APs). Allelic imbalances at DCC were determined in CRCs. The
molecular analyses were compared with genetic and clinicopathologic features.
RESULTS: All CRC cell lines showed UNC5C methylation and an associated loss of
gene expression. Treatment with 5-Aza-2'-deoxycytidine resulted in restoration of
gene transcription. UNC5C methylation was significantly higher in CRCs (76.2%)
and APs (63.5%) than in corresponding normal mucosa (6%; P < .0001). Allelic
imbalance at DCC was observed in 61% of CRCs. Overall, 89.3% of CRCs had
alterations of one of the dependence receptors. UNC5C methylation occurred
predominantly in the earlier lesions (APs and early CRCs), whereas DCC losses
were more often in advanced CRCs. CONCLUSIONS: The majority of CRCs harbor
defects in Netrin-1 receptors, emphasizing the importance of this growth
regulatory pathway in cancer. Furthermore, the timing of the molecular
alterations in the Netrin-1 receptors is not random because UNC5C inactivation
occurs early, whereas DCC losses occurs in later stages of multistep colorectal
carcinogenesis.
PMID- 18054558
TI - 5-Fluorouracil is efficiently removed from DNA by the base excision and mismatch
repair systems.
AB - BACKGROUND & AIMS: 5-Fluorouracil (FU) is one of the mainstays of colon cancer
chemotherapy. Although developed as an inhibitor of thymidylate synthase, its
cytotoxicity has been linked also to its incorporation into RNA. Surprisingly,
although FU is incorporated also into DNA, little is known about its metabolism
in this nucleic acid. METHODS: Using extracts of human cells and circular DNA
substrates containing a single FU residue either paired with adenine or mispaired
with guanine, we studied the enzymology of FU processing. RESULTS: In nicked
circular substrates, FU/G mispairs were efficiently repaired by mismatch repair
(MMR). In covalently closed circular DNA, which is refractory to MMR, FU/G repair
was initiated by either thymine-DNA glycosylase or uracil-DNA glycosylase,
whereas FU/A pairs were processed by UNG. Methylated CpG binding domain 4 protein
and single-strand selective monofunctional uracil-DNA glycosylase 1 did not
detectably contribute to FU removal; however, because these recombinant enzymes
process FU/G and FU/A in oligonucleotide substrates, respectively, they too may
be involved in FU metabolism in vivo. CONCLUSIONS: The functional redundancy of
MMR and DNA glycosylases in FU processing should ensure that the drug is
efficiently removed from DNA before it can interfere with essential DNA metabolic
processes, such as transcription. However, in FU-treated cells, the nucleotide
pools are depleted of thymine. The repair synthesis might thus be inhibited and
leave cytotoxic gaps or breaks in DNA. Moreover, FU and/or 5-fluorouracil-2'
deoxyuridine-5'-triphosphate removed from DNA will increase the intracellular
concentration of the drug and thus exacerbate its cytotoxicity.
PMID- 18054560
TI - Aggravation of different types of experimental colitis by depletion or adhesion
blockade of neutrophils.
AB - BACKGROUND & AIMS: Neutrophils are generally thought to play an important
proinflammatory role in the pathogenesis of inflammatory bowel disease. The
objective of this study was to evaluate whether blocking the invasion of
neutrophils by anti-L-selectin monoclonal antibodies modulates chemically induced
colitis and how this modulation is accomplished. METHODS: Trinitrobenzene
sulfonic acid/dinitrobenzene sulfonic acid (TNBS/DNBS)-induced colitis was
studied in rats on treatment with anti-L-selectin monoclonal antibodies (mAb) or
antineutrophil antiserum. Different anti-L-selectin mAb, either blocking or
nonblocking, as well as F(ab)(2) fragments were evaluated. Additionally,
leukocyte migration was examined using intravital microscopy. Furthermore, the
effect of neutrophil depletion in rat TNBS-induced colitis was studied either
prior to or after colitis induction as well as murine CD4(+)CD45RB(high) transfer
colitis. Finally, bacterial translocation during DNBS-induced colitis was studied
in neutrophil-depleted and control rats. RESULTS: Anti-L-selectin mAb treatment
resulted in increased mortality and bowel inflammation as well as hemorrhagic eye
secretion. No clear difference was found between blocking and nonblocking mAb or
F(ab)(2) fragments. For all investigated antibodies/fragments, either complete
blockade of leukocyte invasion or marked neutrophil depletion was found.
Accordingly, neutrophil depletion by antiserum resulted in aggravation of rat
DNBS-induced colitis as well as murine transfer colitis. CONCLUSIONS: Adhesion
blockade or neutrophil depletion aggravates rat TNBS/DNBS-induced colitis
together with extraintestinal manifestations of the eyes. Therefore, neutrophils
appear to have an important role in mucosal repair processes. Importantly,
adhesion blockade as a therapeutic concept can be detrimental in inflammatory
bowel disease.
PMID- 18054559
TI - Toll-like receptor-4 promotes the development of colitis-associated colorectal
tumors.
AB - BACKGROUND & AIMS: Chronic inflammation is a risk factor for colon cancer in
patients with ulcerative colitis (UC). The molecular mechanisms linking
inflammation and colon carcinogenesis are incompletely understood. We tested the
hypothesis that Toll-like receptor 4 (TLR4) is involved in tumorigenesis in the
setting of chronic inflammation. METHODS: Tissues from UC patients with cancer
were examined for TLR4 expression. Colitis-associated neoplasia was induced using
azoxymethane injection followed by dextran sodium sulfate treatment in TLR4
deficient or wild-type mice. Inflammation, polyps, and microscopic dysplasia were
scored. Cyclooxygenase (Cox)-2 and prostaglandin E(2) production were analyzed by
real-time polymerase chain reaction, immunohistochemistry, or enzyme immunoassay.
Epidermal growth factor receptor (EGFR) phosphorylation and amphiregulin
production were examined by Western blot analysis and enzyme-linked immunosorbent
assay, respectively. RESULTS: We show that TLR4 is overexpressed in human and
murine inflammation-associated colorectal neoplasia. TLR4-deficient mice were
protected markedly from colon carcinogenesis. Mechanistically, we show that TLR4
is responsible for induction of Cox-2, increased prostaglandin E(2) production,
and activation of EGFR signaling in chronic colitis. Amphiregulin, an EGFR
ligand, was induced in a TLR4, Cox-2-dependent fashion and contributes to
activation of EGFR phosphorylation in colonic epithelial cells. CONCLUSIONS: TLR4
signaling is critical for colon carcinogenesis in chronic colitis. TLR4
activation appears to promote the development of colitis-associated cancer by
mechanisms including enhanced Cox-2 expression and increased EGFR signaling.
Inhibiting TLR4 signaling may be useful in the prevention or treatment of colitis
associated cancer.
PMID- 18054562
TI - Allergen-IgE complexes trigger CD23-dependent CCL20 release from human intestinal
epithelial cells.
AB - BACKGROUND & AIMS: In food allergic individuals, exposure to food allergens by
the oral route can trigger immediate (within minutes) local hypersensitivity
reactions in the intestine followed by a late-phase inflammatory response.
Previous work has shown that CD23 is constitutively expressed by human intestinal
epithelial cells and mediates the uptake of allergen-IgE complexes. We
hypothesized that allergen-IgE complexes could also signal via CD23 to trigger an
inflammatory cascade in the local environment. METHODS: Caco-2 monolayers were
stimulated with human IgE-antigen (Ag) complexes. IL-8 and CCL20 mRNA and protein
were determined by RT-PCR and ELISA, respectively. Signaling pathways were
assessed by immunoblotting. Endogenous CD23 expression was knocked down by stable
transfection with CD23 shRNA retroviral plasmid. Migration assays were performed
using human monocyte-derived dendritic cells. RESULTS: Stimulation of Caco-2
cells with IgE-Ag complexes triggered upregulation of IL-8 and CCL20 at the mRNA
and protein level. Allergen complexes induced phosphorylation of ERK and JNK, but
not p38 MAP kinase or NK-kappaB, and resulted in AP-1 activation. Cross-linking
of CD23 replicated the findings with IgE-Ag complexes, and silencing of CD23
expression abrogated the response to allergen-IgE complexes. Supernatant from IgE
Ag-stimulated epithelial cells induced migration of dendritic cells in a CCL20
dependent manner. Finally, immunostaining of duodenal biopsies demonstrated that
CCL20 was constitutively expressed by epithelial cells in vivo. CONCLUSIONS:
Signaling via epithelial CD23 may participate in the late-phase inflammatory
response by the release of chemokines capable of recruiting antigen presenting
cells and effector cells of allergic inflammation.
PMID- 18054561
TI - Translational inhibition of colonic epithelial heat shock proteins by IFN-gamma
and TNF-alpha in intestinal inflammation.
AB - BACKGROUND & AIMS: Inducible heat shock proteins (iHsp), Hsp25/27 and Hsp70, play
essential roles in protecting cells against stress and, in intestinal mucosal
inflammation, potentially lessening the extent and severity of injury. We
examined the expression and regulation of iHsp in human and experimental
inflammatory bowel diseases (IBD) and in vitro. METHODS: iHsp expression and
regulation were assessed in normal and IBD colonic biopsy specimens, IL-10(-/-)
mice, and young adult mouse colonic epithelial cells by immunohistochemistry,
Western blot, and real-time polymerase chain reaction (PCR). Phosphorylation of
double-stranded RNA-dependent protein kinase (PKR) and eukaryotic initiation
factor-2alpha (eIF-2alpha) was determined by Western blot. RESULTS: Hsp25/27 and
Hsp70 levels were selectively reduced in areas of active mucosal inflammation
associated with human IBD and IL-10(-/-) mice with colitis. Wild-type mice
treated in vivo with interferon (IFN)-gamma + tumor necrosis factor (TNF)-alpha
also demonstrated reduced colonic Hsp25/27 and Hsp70. In young adult mouse
colonic epithelial cells, IFN-gamma+TNF-alpha inhibited heat induction of
Hsp25/27 and Hsp70, an effect not associated with changes in iHsp messenger RNA
or protein half-lives but caused by suppressed de novo iHsp synthesis. IFN
gamma+TNF-alpha cotreatment activated PKR, resulting in phosphorylation and
inactivation of eIF-2alpha, an essential factor in protein translation. These
effects were not due to induced apoptosis and could be negated by PKR-inhibitor
and short interfering RNA to PKR. Increased phosphorylation of PKR and eIF-2alpha
were also observed in active IBD tissues. CONCLUSIONS: Mucosal inflammation is
associated with iHsp down-regulation, an effect that appears mediated by
translational down-regulation by proinflammatory cytokines. In the context of
IBD, we propose that this mechanism contributes to the severity, extent, and
persistence of inflammation-induced mucosal injury.
PMID- 18054563
TI - Down-regulation of the monocarboxylate transporter 1 is involved in butyrate
deficiency during intestinal inflammation.
AB - BACKGROUND & AIMS: Butyrate oxidation is impaired in intestinal mucosa of
patients with inflammatory bowel diseases (IBD). Butyrate uptake by colonocytes
involves the monocarboxylate transporter (MCT) 1. We aimed to investigate the
role of MCT1 in butyrate oxidation deficiency during colonic inflammation.
METHODS: Colonic tissues were collected from patients with IBD or healthy
controls and from rats with dextran sulfate sodium (DSS)-induced colitis. The
intestinal epithelial cell line HT-29 was treated with interferon-gamma (IFN
gamma) and tumor necrosis factor-alpha (TNF-alpha). MCT1 expression was analyzed
by real-time reverse-transcription polymerase chain reaction, Western blot, and
immunofluorescence. Butyrate uptake and oxidation in HT-29 cells was assessed
using [(14)C]-butyrate. The mechanism of MCT1 gene regulation was analyzed by
nuclear run-on and reporter gene assays. RESULTS: MCT1 messenger RNA (mRNA) and
protein levels were markedly decreased in inflamed colonic mucosa of IBD patients
and rats. In HT-29 cells, down-regulation of MCT1 mRNA and protein abundance by
IFN-gamma and TNF-alpha correlated with a decrease in butyrate uptake and
subsequent oxidation. IFN-gamma and TNF-alpha did not affect MCT1 mRNA stability
but rather down-regulated gene transcription. We demonstrate that the cytokine
response element is located in the proximal -111/+213 core region of the MCT1
promoter. CONCLUSIONS: The data suggest that butyrate oxidation deficiency in
intestinal inflammation is a consequence of reduction in MCT1-mediated butyrate
uptake. This reinforces the proposition that butyrate oxidation deficiency in IBD
is not a primary defect.
PMID- 18054564
TI - Amelioration of cystic fibrosis intestinal mucous disease in mice by restoration
of mCLCA3.
AB - BACKGROUND & AIMS: Mice deficient of the cystic fibrosis (CF) transmembrane
conductance regulator (CFTR) exhibit severe intestinal lesions, particularly
mucous overproduction/secretion and accumulation, which is similar to meconium
ileus in CF patients. Moreover, severity of the intestinal disease in CF mice is
strongly influenced by genetic modifiers, and CFTR deficiency affects the
expression of multiple secondary genes that may impact on the phenotype. The
murine orthologue of human hCLCA1 (mCLCA3) is expressed by goblet cells and
implicated in their normal function, particularly with mucus production/secretion
that is exaggerated in CF; however, its influence on the CF intestinal disease,
although suggested, remains unclear. METHODS: To investigate the role of mCLCA3
on the CF intestinal disease in mice, its expression in this tissue has been
assessed, and a CF mouse line maintaining elevated mCLCA3 levels has been
developed and comprehensively characterized. RESULTS: Expression of mCLCA3 is
significantly reduced in CF mouse intestines, although the number of goblet cells
is elevated, indicating marked reduction per cell. Importantly, correction of
this deficiency results in amelioration of the mucous-based disease leading to a
marked improvement of intestinal pathology and survival, although goblet cell
hyperplasia and hypertrophy were augmented. This intestinal amelioration did not
appear to be related to rectification of the CF electrophysiologic defect.
CONCLUSIONS: mCLCA3 has a role in intestinal goblet cell function that includes
modification of the mucous properties and/or secretion that are altered in CF.
Thus, elevation of mCLCA3 (hCLCA1) levels could provide a means to reduce
intestinal mucous-based lesions in CF and related diseases.
PMID- 18054565
TI - Aging gastropathy-novel mechanisms: hypoxia, up-regulation of multifunctional
phosphatase PTEN, and proapoptotic factors.
AB - BACKGROUND & AIMS: Aging gastric mucosa has impaired mucosal defense and
increased susceptibility to injury. Our aims were to determine the mechanisms
responsible for above abnormalities. METHODS: We used Fisher F-344 rats, 3 and 24
months of age. We measured gastric mucosal blood flow; visualized mucosal
hypoxia; examined expression of early growth response-1 transcription factor and
phosphatase and tensin homologue deleted on chromosome 10 (PTEN); assessed
apoptosis; and determined expression of caspase-3, caspase-9, and survivin. We
also examined susceptibility of gastric mucosa of young and aging rats to ethanol
injury and whether down-regulation of PTEN affects susceptibility of aging
gastric mucosa to injury. To determine human relevance, we examined expression of
PTEN and survivin in human gastric specimens of young and aging individuals.
RESULTS: Gastric mucosa of aging (vs young) rats has a 60% reduction in mucosal
blood flow; prominent hypoxia; and increased early growth response-1
transcription factor and PTEN messenger RNAs, and proteins. It also has increased
expression of proapoptotic proteins caspase-3 and capase-9, reduced survivin, and
a 6-fold increased apoptosis vs mucosa of young rats. Ethanol-induced gastric
mucosal injury in aging (vs young) rats was significantly increased. The down
regulation of PTEN in gastric mucosa of aging rats completely reversed its
increased susceptibility to ethanol injury. In aging human gastric mucosa, PTEN
expression was significantly increased, whereas survivin was significantly
reduced. CONCLUSIONS: (1) Gastric mucosa of aging rats has significantly reduced
blood flow, tissue hypoxia, activation of Egr-1, PTEN; increased caspases; and
reduced survivin. (2) These changes increase susceptibility of aging gastric
mucosa to injury.
PMID- 18054566
TI - Severe intestinal obstruction on induced smooth muscle-specific ablation of the
transcription factor SRF in adult mice.
AB - BACKGROUND & AIMS: SRF (Serum Response Factor), a widely expressed transcription
factor, controls expression of mitogen-responsive and muscle-specific genes,
thereby regulating the contractile actin microfilament. Genetic Srf deletion
studies showed SRF to be indispensable for in vivo skeletal and cardiac muscle
cell development. We now investigated for the first time in vivo SRF functions in
smooth muscle cells of adult mice. METHODS: We conditionally deleted a floxed Srf
allele (Srf(flex1)) in adult mice by inducible activation of the CreER(T2)
recombinase expressed specifically in smooth muscle cells. Tamoxifen-induced
CreER(T2) activity stimulated deletion of exon 1 coding sequences of Srf(flex1),
thereby abolishing full-length SRF protein expression in adult smooth muscle
cells of the analyzed organs: colon, bladder, and stomach. RESULTS: Smooth muscle
cell-specific ablation of full-length SRF protein in adult mice showed impaired
contraction of intestinal smooth muscle, resulting in defective peristalsis.
Mutant mice died within 2 weeks of tamoxifen treatment, displaying clear symptoms
of ileus paralyticus. Cultured primary SRF-deficient colon smooth muscle cells
were viable, but displayed drastic structural alterations and elevated
senescence, paralleled by degeneration of the actin microfilament and impaired
expression of smooth muscle-specific genes. CONCLUSIONS: SRF plays a vital role
in the contractile activity and cytoskeletal architecture of adult smooth muscle
cells and is therefore essential for physiologic functions of the
gastrointestinal tract in vivo. Our mouse genetic model may resemble features of
human chronic intestinal pseudo-obstruction.
PMID- 18054567
TI - Inducible mouse model of chronic intestinal pseudo-obstruction by smooth muscle
specific inactivation of the SRF gene.
AB - BACKGROUND & AIMS: Serum response factor (SRF) regulates the expression of muscle
genes and immediate early genes. We investigated the consequences of inactivating
this transcription factor SRF in adult gastrointestinal smooth muscle cells.
METHODS: SRF-floxed mice were crossed with SM-CreER(T2)(ki) mice expressing a
tamoxifen-inducible recombinase in smooth muscle cells. Tamoxifen was injected
into 12-week-old animals to activate the CreER(T2) and excise the SRF gene.
RESULTS: SRF was down-regulated in the smooth muscle cells of the
gastrointestinal tract, urinary bladder, and aorta. The mutant mice developed
severe dilation of the intestinal tract associated with food stasis and air-fluid
levels in the lumen 13 days after tamoxifen treatment. Mutant mice displayed
cachexia and died between days 13 and 22. The dilation was associated with a
thinning of the muscularis propria and was also observed in the urinary bladder.
Ex vivo colonic contraction induced by electric field stimulation and carbachol
was impaired in the mutant mice before the occurrence of the dilation phenotype.
The expression of several genes, including those encoding smooth muscle actin,
the heavy chain of smooth muscle myosin, and smoothelin, was 60% to 70% lower in
mutants than in controls, and mutants also had a lower F/G actin ratio.
CONCLUSIONS: SRF plays a central role in maintaining visceral smooth muscle
contractile function in adults. Mice with a smooth muscle cell-specific SRF
mutation develop a severe motility disorder resembling chronic intestinal pseudo
obstruction in humans and may be used as an inducible model of this disorder.
PMID- 18054568
TI - Visceral neuropathy and intestinal pseudo-obstruction in a murine model of a
nuclear inclusion disease.
AB - BACKGROUND & AIMS: Intestinal dysmotility is a component of many
neurodegenerative disorders, including some characterized by neuronal
intranuclear inclusions. PrP-SCA7-92Q transgenic mice phenocopy many aspects of
the human polyglutamine neurodegenerative disorder spinocerebellar ataxia type 7
(SCA7). The enteric neuropathology of PrP-SCA7-92Q mice was investigated after
observing that they develop signs of intestinal pseudo-obstruction. METHODS:
Gastrointestinal transit of radio-opaque pellets through presymptomatic and
symptomatic PrP-SCA7-92Q mice and nontransgenic littermates was compared. Gross,
microscopic, and ultrastructural studies were conducted, along with histologic
and whole mount immunohistochemistry, to identify intranuclear inclusions and
quantify subsets of enteric neurons. Immunoblot analysis was performed to confirm
selective loss of particular neuronal populations. RESULTS: A subset of
cholinergic enteric ganglion cells in PrP-SCA7-92Q mice harbor nuclear inclusions
composed of transgene-derived ataxin-7, which contains a pathogenic polyglutamine
expansion. These animals die between 15 and 20 weeks of age with intestinal
distension and enterocolitis. Signs of disease are preceded by selective loss of
nitric oxide synthase-positive neurons (which lack nuclear inclusions), loss of
nerve fibers in the myenteric nerve plexus, and delayed gastrointestinal transit.
Cholinergic neurons, including those with inclusions, are spared. CONCLUSIONS:
PrP-SCA7-92Q mice may be useful models for human intestinal pseudoobstruction,
particularly visceral neuropathies with neuronal intranuclear inclusions. Loss of
inclusion-free inhibitory neurons supports the hypothesis that inclusions may be
neuroprotective or coincidental, as opposed to harbingers of neuron death.
Because enteric neuropathology in PrP-SCA7-92Q animals is easily missed by
routine histopathology, quantitative immunohistochemical approaches may be
required to recognize analogous forms of human enteric neuropathy.
PMID- 18054569
TI - Divergent metabolic adaptations to intestinal parasitic nematode infection in
mice susceptible or resistant to obesity.
AB - BACKGROUND & AIMS: Diet-induced obesity results from increased ingestion of
energy-dense food and sedentary lifestyle in genetically susceptible individuals.
An environmental factor that may have shaped our energy homeostasis throughout
evolution is parasitic nematode infection. METHODS: To test the hypothesis that a
metabolically "thrifty phenotype" is advantageous during intestinal nematode
infection, we compared the responses to Heligmosomoides polygyrus infection
between 2 mouse strains: obesity-prone C57Bl/6J vs obesity-resistant SWR/J.
Metabolic phenotyping was performed using indirect calorimetry, dual energy x-ray
absorptiometry, and magnetic resonance imaging scanning. Gene expression was
assessed by quantitative reverse-transcription polymerase chain reaction and
immunohistochemistry. RESULTS: Body weight was maintained in both strains during
nematode infection via different mechanisms. There was no apparent change in
energy expenditure between the strains; however, SWR/J mice exhibited a marked
hyperphagia (calorie intake 60% higher than C57Bl/6J) to maintain body weight.
The importance of hyperphagia was confirmed by severe weight loss in a group of
infected SWR/J mice whose food intake was restricted to that of naive mice.
Furthermore, SWR/J mice expelled nematodes more rapidly than C57Bl/6J mice, an
effect related to a T helper cell 2 immune response. CONCLUSIONS: C57Bl/6J mice
are more energy efficient during parasitic nematode infection, which may explain
their ability to tolerate the infection. SWR/J mice, on the other hand, require
an increase in food intake to maintain energy stores during nematode infection.
In addition, a strong T helper cell 2-mediated immune response that facilitates a
prompt clearance of nematode infection in SWR/J mice may have evolved to conserve
energy in this strain.
PMID- 18054570
TI - Prospective identification of a multilineage progenitor in murine stomach
epithelium.
AB - BACKGROUND & AIMS: Epithelial stem cells in the stomach are responsible for
constant renewal of the epithelium through generation of multiple gastric cell
lineages that populate the gastric glands. However, gastric stem or progenitor
cells have not been well-characterized because of the lack of specific markers
that permit their prospective recognition. We identified an intestinal promoter
that is active in a rare subpopulation of gastric epithelial cells and
investigated whether these cells possess multilineage potential. METHODS: A
marked allele of the endogenous mouse villin locus was used to visualize single
beta-galactosidase-positive cells located in the lower third of antral glands. A
12.4-kb villin promoter/enhancer fragment drives several transgenes (EGFP, beta
galactosidase, and Cre recombinase) in these cells in a pattern similar to that
of the marked villin allele. Reporter gene activity was used to track these cells
during development and to examine cell number in the context of inflammatory
challenge while Cre activity allowed lineage tracing in vivo. RESULTS: We show
that these rare epithelial cells are normally quiescent, but multiply in response
to interferon gamma. Lineage tracing studies confirm that these cells give rise
to all gastric lineages of the antral glands. In the embryo, these cells are
located basally in the stomach epithelium before completion of gastric gland
morphogenesis. CONCLUSIONS: We have identified a rare subpopulation of gastric
progenitors with multilineage potential. The ability to prospectively identify
and manipulate such progenitors in situ represents a major step forward in
gastric stem cell biology and has potential implications for gastric cancer.
PMID- 18054571
TI - In vivo lineage tracing defines the role of acinar-to-ductal transdifferentiation
in inflammatory ductal metaplasia.
AB - BACKGROUND & AIMS: Chronic injury results in regeneration of normal pancreatic
tissue and formation of a metaplasia of ductal phenotype. Metaplastic ductal
lesions are seen in pancreatitis as well as in specimens of pancreatic cancer and
are thought to represent a condition with increased risk of neoplasia. Acinar-to
ductal transdifferentiation is thought to be the source of this metaplasia. This
has been suggested for flat duct-like lesions called tubular complexes and for
lesions exhibiting a mucinous metaplasia. However, available studies are based on
interpretation of static data rather than on direct evidence.
Transdifferentiation from acinar to ductal cells has never been confirmed in the
adult pancreas. METHODS: Here, we use Cre-loxP-based genetic lineage tracing in
vivo to investigate whether transdifferentiation of acinar cells contributes to
regeneration and metaplasia in pancreatitis. RESULTS: The results show that
transdifferentiation does not play a role in regeneration of normal tissue.
Acinar cells are regenerated by preexisting acinar cells and not from other cell
types. Three different types of metaplastic ductal lesions are observed and
analyzed. Whereas the majority of metaplastic lesions are not of acinar origin,
acinar-to-ductal transdifferentiation is identified in a minority of mucinous
metaplastic lesions. CONCLUSIONS: Here, we provide direct evidence that acinar-to
ductal transdifferentiation occurs in the adult pancreas in vivo. However, it
accounts for only a minority of metaplastic lesions.
PMID- 18054572
TI - Bradykinin attenuates hepatocellular damage and fibrosis in rats with chronic
liver injury.
AB - BACKGROUND & AIMS: Recent studies have suggested that the kallikrein-kinin system
regulates tissue fibrogenesis. We hypothesize that bradykinin (BK), the main
effector peptide of this system, regulates hepatic fibrogenesis. METHODS:
Kallikrein-kinin system components were studied by quantitative reverse
transcription polymerase chain reaction analysis, immunohistochemistry, and
Western blotting. The effect of bradykinin on liver injury was studied by
infusing saline or bradykinin (1 and 100 ng/kg/min) through a subcutaneous pump
into carbon tetrachloride-treated rats and mice treated with Fas-stimulating
antibody. Bradykinin effects were studied in cultured hepatic stellate cells
(HSCs) and hepatocytes. RESULTS: Bradykinin receptors and kallikrein-1 were
detected in both normal and fibrotic human livers and HSCs. BK receptors were up
regulated in fibrotic livers and activated HSCs. Bradykinin infusion reduced
liver damage, as indicated by decreased aminotransferase serum levels and reduced
histologic necroinflammatory score without inducing changes in arterial pressure.
Moreover, bradykinin attenuated hepatic fibrosis, as indicated by reduced
collagen accumulation, smooth muscle alpha-actin content, as well as decreased
pro-collagen-alpha1(I) and transforming growth factor-beta1 gene expression.
Bradykinin infusion reduced hepatocellular apoptosis induced by anti-Fas-receptor
antibody. HSCs responded to bradykinin with intracellular calcium mobilization.
Bradykinin reduced procollagen-alpha1(I) and transforming growth factor-beta1
gene expression and induced matrix metalloproteinase-2 activation. Finally, BK
induced prosurvival and proliferative intracellular signaling in primary
hepatocytes. CONCLUSIONS: Bradykinin attenuates liver damage and fibrosis
development in a rat model of chronic liver injury. Therefore, activation of the
kallikrein-kinin system may be a new therapeutic approach to the management of
chronic liver disease.
PMID- 18054573
TI - How to prevent varices from bleeding: shades of grey--the case for nonselective
beta blockers.
PMID- 18054574
TI - Live donor liver transplantation: is it better than waiting?
PMID- 18054575
TI - A new variable influencing HCV-related liver disease in HIV-HCV coinfected
individuals?
PMID- 18054576
TI - Making the case for DCC and UNC5C as tumor-suppressor genes in the colon.
PMID- 18054577
TI - Colonic neutrophils in inflammatory bowel disease: double-edged swords of the
innate immune system with protective and destructive capacity.
PMID- 18054578
TI - Deciphering chronic intestinal pseudo-obstruction: do mice help to solve the
riddle?
PMID- 18054579
TI - Metaplastic metamorphoses in the mammalian pancreas.
PMID- 18054580
TI - How exactly do i diagnose intestinal metaplasia in Barrett's esophagus?
PMID- 18054583
TI - Beginning to unravel the common, low-penetrance genetic components behind
colorectal cancer by whole-genome association scans.
PMID- 18054581
TI - Treating difficult-to-treat hepatitis C virus infection: we may still need to
push the ribavirin envelope.
PMID- 18054584
TI - Quality is as important as the quantity: role of mucin glycosylation on
intestinal barrier function.
PMID- 18054585
TI - Cytochrome P450 CYP2C9 polymorphism and NSAID-related acute gastrointestinal
bleeding.
PMID- 18054587
TI - Impact of CYP2C9 genotype on pharmacokinetics: are all NSAIDs the same?
PMID- 18054589
TI - Increased intra-abdominal pressure and GERD/Barrett's esophagus.
PMID- 18054591
TI - The role of hepatic venous pressure gradient in hepatitis C virus compensated
cirrhosis.
PMID- 18054592
TI - Pedestrian fatalities, Atlanta Metropolitan Statistical Area and United States,
2000-2004.
AB - Motor vehicle crashes killed almost 5,000 pedestrians in 2005 in the United
States. Pedestrian risk may be higher in areas characterized by urban sprawl.
From 2000 to 2004, pedestrian fatality rates declined in the United States, but
the Atlanta metropolitan statistical area did not experience the same decline.
Pedestrian fatality rates for males, Hispanics, and the 15-34 and 35-54 year age
groups were higher in Atlanta than in the United States overall. Pedestrian
safety interventions should be targeted to high-risk populations and localized
pedestrian settings.
PMID- 18054593
TI - Aerial lift fall injuries: a surveillance and evaluation approach for targeting
prevention activities.
AB - PROBLEM: Work on aerial lift platforms exposes workers to fall hazards. The
objective of this study was to identify the most common injury scenarios and
determine current research gaps for addressing fall incidents associated with
aerial lifts. METHODS: Three databases were searched: Census of Fatal
Occupational Injuries (CFOI), NIOSH Fatality Assessment and Control Evaluation
(FACE) reports, and OSHA Incident Investigation Records. RESULTS: The majority of
falls/collapses/tipovers were within the height-category of 10-29 feet. Tipovers
comprised 44-46% of boom-lift falls and 56-59% of scissor-lift falls.
Constructing and repairing activities were most commonly associated with
fall/collapse/tipover incidents. DISCUSSION: CFOI and OSHA/FACE show convergent
data, suggesting similar scenarios for aerial lift tipovers. IMPACT ON INDUSTRY:
The analysis provides the aerial lift industry information to prioritize their
efforts on aerial lift design.
PMID- 18054594
TI - Safety culture: analysis of the causal relationships between its key dimensions.
AB - INTRODUCTION: Several fields are showing increasing interest in safety culture as
a means of reducing accidents in the workplace. The literature shows that safety
culture is a multidimensional concept. However, considerable confusion surrounds
this concept, about which little consensus has been reached. METHOD: This study
proposes a model for a positive safety culture and tests this on a sample of 455
Spanish companies, using the structural equation modeling statistical technique.
RESULTS: Results show the important role of managers in the promotion of
employees' safe behavior, both directly, through their attitudes and behaviors,
and indirectly, by developing a safety management system. IMPACT ON INDUSTRY:
This paper identifies the key dimensions of safety culture. In addition, a
measurement scale for the safety management system is validated. This will assist
organizations in defining areas where they need to progress if they wish to
improve their safety. Also, we stress that managers need to be wholly committed
to and personally involved in safety activities, thereby conveying the importance
the firm attaches to these issues.
PMID- 18054595
TI - Frequency and determinants of recommended workplace violence prevention measures.
AB - PROBLEM: Limited information exists concerning adoption of workplace violence
prevention measures by employers and the factors influencing their adoption.
METHODS: A weighted sample of North Carolina workplaces (n=210) in operation
January 1994 through March 1998 was used to estimate prevalence of 18 measures
and identify community and workplace predictors of having >/=5 recommended
measures. RESULTS: Location in a metropolitan area most strongly predicted
presence of >/=5 administrative safety measures while a history of workplace
violence and being in a high crime area were negatively associated. Belonging to
an industry considered to be high-risk for workplace homicide was most strongly
associated with having >/=5 environmental safety measures. DISCUSSION: Factors
influencing high prevalence of violence prevention measures were related to
business type, violence history, and location. Knowledge of factors influencing
adoption of recommended workplace violence prevention measures can help tailor
interventions to diverse industry settings. IMPACT ON INDUSTRY: An important
contribution to the understanding and mitigation of workplace homicide is
knowledge of the extent of implementation of recommended workplace violence
prevention guidelines and factors influencing their adoption. Identifying factors
that influence the prevalence and adoption of workplace violence safety
interventions can help to tailor development of interventions to address the
issue of workplace homicide across diverse industry settings.
PMID- 18054596
TI - California's graduated driver license law: Effect on teenage drivers' deaths
through 2005.
AB - PROBLEM: While many researchers believe Graduated Driver Licensing (GDL) laws
save lives by imposing restrictions and delayed licensure on drivers under age
18, longer term effects on older teenagers have not been studied. METHOD: The
effects of California's strict GDL law on deaths of drivers ages 16-19 were
analyzed for 1995-2005 using Incidence Rate Ratios (IRR) and Auto-Regressive
Integrated Moving Average (ARIMA) time series analysis of Fatality Analysis
Reporting System mortality data. RESULTS: The two methods yielded similar
results. IRR analysis found California 16-year-old drivers subject to the GDL
experienced a 15% fatality decline (95% CI, 0.70-0.99), while 18 year-old drivers
experienced a 15% increase (95% CI, 1.02-1.27). ARIMA analysis found 16 year-old
drivers experienced a near-significant 20% fatality decline (p=0.07), while 18
year-olds experienced a 24% increase (p=0.01). Unlicensed teenage drivers and
older teen drivers driving alone and transporting teenage passengers suffered
significant fatality increases. SUMMARY AND DISCUSSION: California's GDL may
negatively affect older teenagers and other driver subpopulations and merits
reevaluation.
PMID- 18054597
TI - Assessing regulatory effectiveness with exogenously declining risk: a case study
of the CPSC's 1973 mattress standard.
AB - INTRODUCTION: This paper examines the contribution of the U.S. Consumer Product
Safety Commission's (CPSC) 1973 cigarette ignition mattress flammability standard
to reduce mattress fires since 1980, when the U.S. Fire Administration's National
Fire Incident Reporting System became fully operational. METHOD: The paper
attempts to separate the effect of the cigarette ignition standard from the
declining trend in mattress fires that would have resulted without the standard.
We analyze the impact of the 1973 cigarette standard on smoking material ignition
fires, deaths, and injuries as well as its effect on fires, deaths, and injuries
from all ignition sources (smoking material ignitions, open-flame ignitions, and
other ignition sources). Impacts on Industry: The results suggest that the 1973
mattress standard has effectively reduced the mattress fire risk and that further
reductions in risk via actions tied specifically to cigarette ignition are likely
to be difficult to achieve.
PMID- 18054598
TI - Cannabis use and self-reported collisions in a representative sample of adult
drivers.
AB - PROBLEM: This study examines the relationships between collision involvement and
several measures of cannabis use, including driving after using cannabis, among
drivers, based on a population survey of Ontario adults in 2002 and 2003. METHOD:
Logistic regression analyses examined self-reported collision involvement in the
last 12 months by lifetime use of cannabis, past year use of cannabis, and past
year driving after using cannabis, while controlling for demographic
characteristics. RESULTS: We found that the odds of reporting collision
involvement was significantly higher among cannabis users, and among those who
reported driving after cannabis use. Some evidence for a dose-response
relationship was seen as well. DISCUSSION: Cannabis users and people who report
driving after cannabis use are also more likely to report being involved in a
collision in the past year. These observations suggest that collision prevention
efforts could be aimed at these groups. Additional work to determine the causal
pathways involved in the relationships observed here is needed. IMPACT ON
INDUSTRY: None.
PMID- 18054599
TI - Development and initial validation of an Aviation Safety Climate Scale.
AB - PROBLEM: A need was identified for a consistent set of safety climate factors to
provide a basis for aviation industry benchmarking. METHOD: Six broad safety
climate themes were identified from the literature and consultations with
industry safety experts. Items representing each of the themes were prepared and
administered to 940 Australian commercial pilots. RESULTS: Data from half of the
sample (N=468) were used in an exploratory factor analysis that produced a 3
factor model of Management commitment and communication, Safety training and
equipment, and Maintenance. A confirmatory factor analysis on the remaining half
of the sample showed the 3-factor model to be an adequate fit to the data.
DISCUSSION: The results of this study have produced a scale of safety climate for
aviation that is both reliable and valid. IMPACT ON INDUSTRY: This study
developed a tool to assess the level of perceived safety climate, specifically of
pilots, but may also, with minor modifications, be used to assess other groups'
perceptions of safety climate.
PMID- 18054600
TI - Cell phone users, reported crash risk, unsafe driving behaviors and dispositions:
a survey of motorists in Maryland.
AB - INTRODUCTION: The purpose of this investigation was to identify risky driving
behaviors and dispositions that distinguish drivers who use a cell phone while
operating a motor vehicle from non-cell phone using drivers. METHOD: Annual
telephone surveys were used to identify drivers who reported using a cell phone
while driving in the last month (n=1803) and were compared to those who said they
did not use cell phones while driving (n=1578). RESULTS: Cell phone using drivers
were more likely to report driving while drowsy, going 20 mph over the speed
limit, driving aggressively, running a stop sign or red light, and driving after
having had several drinks. They were also more likely to have had a prior history
of citation and crash involvement than non-cell phone using drivers. Cell phone
using drivers also reported they were less careful and more in a hurry when they
drive than non-cell phone using drivers. CONCLUSION: Cell phone using drivers
report engaging in many behaviors that place them at risk for a traffic crash,
independent of the specific driving impairments that cell phone usage may
produce. Strategies that combine coordinated and sustained enforcement activities
along with widespread public awareness campaigns hold promise as effective
countermeasures for these drivers, who resemble aggressive drivers in many
respects.
PMID- 18054601
TI - The deterrent effect of increasing fixed penalties for traffic offences: the
Norwegian experience.
AB - PROBLEM: Many motorized countries use fixed penalties to deter the most common
traffic violations. Fixed penalties are usually given at the spot by a police
officer. If the offender accepts the fixed penalty, no court hearing or trial is
held. During the years 1995-2004, the rates for fixed penalties for traffic
offences in Norway increased substantially. This paper evaluates the effects on
compliance of these increases. METHOD: Regression analysis was performed to
determine the effects of increases in fixed penalties. RESULTS: For speeding in
general, no effect of increasing fixed penalties can be found. For speeding close
to speed camera sites, there is a weak tendency for the violation rate to go
down. This tendency is not statistically significant at conventional levels. For
seat belt wearing, wearing rates are found to increase as fixed penalties have
increased. In recent years, however, enforcement of the seat belt law has stepped
up, making it impossible to separate the effect of enforcement from that of fixed
penalties. IMPACT ON INDUSTRY: It has been suggested that the police may adapt to
stricter penalties by reducing enforcement or by adopting larger tolerance
margins for violations. Available evidence does not support this hypothesis.
PMID- 18054602
TI - Age of licensure and monitoring teenagers' driving: survey of parents of novice
teenage drivers.
AB - OBJECTIVE: To assess parental decision making regarding the timing of teenagers
initiating driving and monitoring teenagers' driving after licensure. METHODS:
About 300 parents were interviewed during spring 2006 in Minnesota, North
Carolina, and Rhode Island, states with varying licensing provisions, while
teenagers took their first on-road driving tests. RESULTS: States' differences in
ages of obtaining learner's permits and licenses reflected different licensing
laws, but most teenagers obtained permits and took road tests within the first
few months after they became eligible. Common reasons for delaying obtaining
permits were fulfilling driver education requirements and lack of
readiness/immaturity. Insufficient practice driving most often delayed licensure.
Among the parents interviewed, 33-49% believed the minimum licensure age should
be 17 or older. Almost all parents planned to supervise teenagers' driving after
licensure, and most wanted to know about speeding or distractions. When asked
about in-vehicle devices to monitor teenagers' driving, 37-59% of parents had
heard of them. Parents were least interested in using video cameras and about
equally interested in computer chips and cell-phone-based GPS systems.
Disinterest in monitoring devices most often was attributed to trusting teenagers
or respecting their privacy. CONCLUSIONS: Licensing laws influence ages of
initiating driving. Although many parents support licensing at 17 or older -
higher than in all but one state - most teenagers initiate driving soon after
reaching the minimum age. Parents plan to supervise teenagers' driving, and many
say they are open to using in-vehicle monitoring devices. IMPACT ON INDUSTRY:
Many parents support a minimum licensing age of 17 or older and would consider in
vehicle devices to extend their supervision of teenager's driving.
PMID- 18054603
TI - Choice of teenagers' vehicles and views on vehicle safety: survey of parents of
novice teenage drivers.
AB - OBJECTIVE: To examine parental decisions about vehicles driven by teenagers and
parental knowledge of vehicle safety. METHODS: About 300 parents were interviewed
during spring 2006 in Minnesota, North Carolina, and Rhode Island while teenagers
took their first on-road driving tests. RESULTS: Fewer than half of parents
surveyed said teenagers would be the primary drivers of the chosen vehicles.
Parents most often cited safety, existing family vehicle, and reliability when
explaining the choices for their teenagers' vehicles. About half of the vehicles
intended for teenagers were small/mini/sports cars, pickups, or SUVs - vehicles
considered less safe for teenagers than midsize/large cars or minivans. A large
majority of vehicles were 2001 models or earlier. Vehicles purchased in
anticipation of adding a new driver to the family were more likely to be the
sizes/types considered less safe than vehicles already owned. Few parents
insisted on side airbags or electronic stability control, despite strong evidence
of their safety benefits. Even when asked to identify ideal vehicles for their
teenagers to drive, about half of parents identified less safe vehicle
sizes/types. Most parents knew that midsize/large vehicles are safer than small
vehicles, and at least half of parents said SUVs and pickups are not safe for
teenage drivers, citing instability. CONCLUSIONS: The majority of parents
understood some of the important criteria for choosing safe vehicles for their
teenagers. However, parents actually selected many vehicles for teenagers that
provide inferior crash protection. IMPACT ON INDUSTRY: Vehicle safety varies
substantially by vehicle size, type, and safety features. Many teenagers are
driving inferior vehicles in terms of crashworthiness and crash avoidance.
PMID- 18054606
TI - Success and complication rates of endoscopic third ventriculostomy for adult
hydrocephalus: a series of 108 patients.
AB - BACKGROUND: The clinical response to ETV of adult patients with noncommunicating
hydrocephalus may differ from that of children because of such factors as
chronicity of hydrocephalus, physiologic differences in CSF dynamics, and changes
in brain viscoelastic properties. We sought to determine which factors might
predict clinical success and failure. METHODS: A retrospective single-surgeon
case series analysis was performed. This was a consecutive case series for which
the goal of the ETV procedures was shunt independence. One hundred ten ETV
procedures were performed in 108 adult patients (mean, 48 years; range, 17-88
years). There were 52 cases of idiopathic aqueductal stenosis, 47 cases of mass
lesions causing noncommunicating hydrocephalus, plus 9 other miscellaneous
obstructive etiologies. RESULTS: Long-term shunt independence was achieved in 77%
of patients. Two additional patients, who initially failed, later achieved
success after reoperation and remained shunt free for the duration of their
follow-up. Therefore, after reoperation, shunt independence was achieved in 79%
of patients. Of the patients who ultimately failed, 11 failed within 1 month.
Therefore, 52% who ultimately failed had more than 1 month of shunt-free
existence (mean, 10 months). There were 6 surgical complications, including 2
deaths related to intracranial hemorrhage from brain tumors (not directly related
to ETV per se), and 10 medical complications. The median hospital length-of-stay
was 3 days. The median follow-up was 8 months (range, 0-95 months). CONCLUSIONS:
Endoscopic third ventriculostomy is an effective treatment option for adult
patients with noncommunicating hydrocephalus. Although most procedures resulted
in long-term shunt independence, more than half of the eventual failures were
delayed, and therefore, appropriate follow-up is required.
PMID- 18054607
TI - Efficacy of different bone volume expanders for augmenting lumbar fusions.
AB - BACKGROUND: A wide variety of bone volume expanders are being used in performing
posterolateral lumbar noninstrumented and instrumented lumbar fusions. This
article presents a review of their efficacy based on fusion rates, complications,
and outcomes. METHODS: Lumbar noninstrumented and instrumented fusions frequently
use laminar autografts and different bone graft expanders. This review presents
the utility of multiple forms/ratios of DBMs containing allografts. It also
discusses the efficacy of artificial bone graft substitutes, including HA and B
TCP. Dynamic x-ray and/or CT examinations were used to document fusion in most
series. Outcomes were variously assessed using Odom's criteria or different
outcome questionnaires (Oswestry Questionnaire, SF-36, Dallas Pain Questionnaire,
and/or Low Back Pain Rating Scale). RESULTS: Performing noninstrumented and
instrumented lumbar posterolateral fusions resulted in comparable fusion rates in
many series. Similar outcomes were also documented based on Odom's criteria or
the multiple patient-based questionnaires. However, in some studies, the addition
of spinal instrumentation increased the reoperation rate, operative time, blood
loss, and cost. Various forms of DBMs, applied in different ratios to autografts,
effectively supplemented spinal fusions in animal models and patient series. beta
Tricalcium phosphate, which is used to augment autograft fusions addressing
idiopathic scoliosis or lumbar disease, also proved to be effective. CONCLUSIONS:
Different types of bone volume expanders, including various forms of allograft
based DBMs, and artificial bone graft substitutes (HA and B-TCP) effectively
promote posterolateral lumbar noninstrumented and instrumented fusions when added
to autografts.
PMID- 18054609
TI - Mini-open anterior approach for corpectomy in the thoracolumbar spine.
AB - BACKGROUND: Traditional open anterior approaches to the TL spine were reported
with a significant morbidity from a large wound field; therefore, "minimally
invasive" thoracoscopic and laparoscopic anterior approaches have been recently
introduced. However, these endoscopic techniques require a long and steep
learning curve, require expensive disposable endoscopy material, and may be
little suited for complication management. Alternatively, "mini-open" anterior
approaches with table-mounted retractor systems have also been recently
introduced. METHODS: Thirty-seven patients underwent a single-level thoracic or
lumbar corpectomy and cage reconstruction for an unstable traumatic burst
fracture or vertebral body tumor. A transthoracic (n = 6), transthoracic
transdiaphragmatic (n = 23), or retroperitoneal (n = 8) mini-open approach was
conducted with the SynFrame (Stratec Medical, Oberdorf, Switzerland) table
mounted retractor. Prior posterior pedicle screw fixation was performed in 35 of
37 patients. RESULTS: The mean surgical duration of the anterior approach was 181
minutes, and the average blood loss was 632 mL. There was no neurological
worsening. On a VAS from 0 to 10, the mean local pain from the anterior approach
was 1.7 at 6 months postoperatively, 1.4 at 12 months, and 1.0 at 24 months.
Construct stability was found in all patients at 6 months after surgery. Six
transient complications occurred. CONCLUSIONS: The mini-open anterior approach
for corpectomy in the TL spine is safe, reliable, and economical. The table
mounted SynFrame retractor provides a stable operating field through which a
familiar direct 3-dimensional view of the anterior TL spine is obtained with
limited approach morbidity. This technique is an excellent alternative to
thoracoscopic or laparoscopic procedures, avoiding the steep learning curve,
technical difficulties, and equipment costs of endoscopic procedures.
PMID- 18054611
TI - Magnesium sulfate in the management of patients with aneurysmal subarachnoid
hemorrhage: a randomized, placebo-controlled, dose-adapted trial.
AB - BACKGROUND: Recent studies suggest that high-dose MgSO4 therapy is safe and
reduces the incidence of DIND and subsequent poor outcome after SAH. We intended
to assess the safety and efficacy of high-dose MgSO4 therapy after SAH as means
to prevent DIND and to evaluate the impact on clinical outcome. METHODS: This was
a prospective, randomized, single-blind, placebo-controlled study. The MgSO4
infusion was adjusted every 12 hours until day 12 according to the target serum
Mg2+ level. The occurrence of DIND, secondary infarction, side effects, and the
outcome after 3 and 12 months were assessed. RESULTS: Fifty-eight patients were
randomized; 27 received placebo and 31 MgSO4. The difference in occurrence of
DIND and secondary infarction was not significant. The intention-to-treat
analysis revealed a trend toward better outcome (P = .083) after 3 months. On
treatment analysis showed a significantly better outcome after 3 months (P =
.017) and a trend toward better outcome after 1 year (P = .083). Significantly
more often hypotension (P = .040) and hypocalcemia (P = .005) occurred as side
effects in the treatment group. In 16 patients (52%), the MgSO4 therapy had to be
stopped before day 12 because of side effects. No predictive factor leading to
termination was found in a postrandomization analysis. CONCLUSIONS: High-dose
MgSO4 therapy might be efficient as a prophylactic adjacent therapy after SAH to
reduce the risk for poor outcome. Nevertheless, because of the high frequency of
the side effects, patients should be observed in an intensive or intermediate
care setting.
PMID- 18054613
TI - Multivariate analysis of risk factors of hematoma expansion in spontaneous
intracerebral hemorrhage.
AB - BACKGROUND: We focused on the cause of hematoma expansion after admission because
the volume of hematoma after S-ICH plays a crucial role in the cause of mortality
and morbidity. METHODS: In a retrospective review, 51 patients with hematoma
expansion of S-ICH were identified among 880 cases of S-ICH treated between 2001
and May 2006. We divided cases into 2 groups according to the time of hematoma
expansion. An enlargement of hematoma within 2 weeks after hospitalization was
categorized as the acute stage group and after 2 weeks was categorized as the
chronic stage group. Spontaneous intracerebral hemorrhage without hematoma
expansion group (100 cases) had been consecutively selected as a control group.
We analyzed the risk factors of hematoma expansion in patients with S-ICH
especially in the acute stage group. RESULTS: Fifty-one of 880 patients had the
enlargement of hematoma (5.8%). Forty-three (84%) of 51 cases were acutely
developed and 8 cases (16%) were developed chronically. On univariate analysis
there were significant differences in BP within the initial 48 hours (P < .0001),
GOS (P < .0001), and previously taking anticoagulant agents (P = .0053).
Especially the difference in SBP and DBP within 48 hours between groups was 19
(11%) and 13 mm Hg (14%), respectively. The DBP within the initial 24 hours had a
meaningful odds ratio (1.06) on logistic regression analysis. CONCLUSION: A
reduction of BP by 15% (SBP < or =140 mm Hg, DBP < or =80 mm Hg) is necessary at
acute stage in S-ICH.
PMID- 18054615
TI - Pilot trial of the rate of response, safety, and tolerability of temozolomide and
oral VP-16 in patients with recurrent or treatment-induced malignant central
nervous system tumors.
AB - BACKGROUND: The aim of this study was to determine the response and toxicity of
patients with recurrent or treatment-induced brain tumors to TMZ and oral VP-16.
METHODS: Eleven patients with recurrent or treatment-induced malignant CNS
tumors, including treatment-induced PNET (in 1 patient), brainstem glioma (in 3
patients; 1 with treatment-induced, 2 with recurrence), recurrent anaplastic
astrocytoma (in 3 patients), and recurrent glioblastoma (in 4 patients) were
evaluated in a pilot study of TMZ and oral VP-16 chemotherapy. All patients
received TMZ at 150 mg/m2 per day on days 1 to 5 and oral VP-16 at 50 mg/m2 per
day on days 1 to 12. Cycles were repeated every 28 days. RESULTS: None
experienced major acute toxicity related to TMZ and oral VP-16 during a total of
52 treatment courses. Five (45%) of 11 patients showed a PR to treatment. Among
the 11 patients enrolled, 7 patients are alive with disease at a median of 9
months from time of study entry. The 6-month PFS is 45% (95% CI, 40%-74%). The
histologic subtype of the tumor, its location, and its maximum response to
chemotherapy did not have an impact on the duration of disease control.
CONCLUSION: This limited pilot study confirms the innocuousness and the activity
of the combination of TMZ and oral VP-16 in recurrent malignant brain tumors.
This promising activity warrants further investigation of this combination in
larger phase II or III studies.
PMID- 18054616
TI - Cognitive function of patients with brain tumor in pre- and postoperative stage.
AB - BACKGROUND: Nobody knows whether cognitive dysfunction affects survival.
Furthermore, it is unknown whether the dysfunction is caused by the tumor itself
or by its treatment. METHODS: Patients with 20 gliomas (LGG, 7; MG, 13 [AG, 4;
GM, 9]) in the right brain (nondominant) and 11 gliomas (LGG, 1; MG, 10 [AG, 6;
GM, 4]) in the left brain (dominant) were studied. Thirty-four patients with
meningioma were also studied. Cognitive function was evaluated by the 3MS
examination, and propriety of radical resection of tumor was reviewed. RESULTS:
Cognitive function pre-Op and post-Op was normal in patients with LGG and MGs in
the right brain but decreased before an Op in all patients with MG in the left
brain, and they did not normalize after Op. In patients with MG in left brain,
the test of temporal and spatial orientation, first recall, similarities, 4
legged animals, mental reversal, and writing decreased after Op. Cognitive
hypofunction before or after Op did not correlate with tumor malignancy and
degree of tumor resection. CONCLUSION: Firstly, radical Op should aim at
improvement of cognitive function for patients with glioma in the right brain,
and for patients with glioma in the left brain, QOL should be thought about
without expecting improvement of cognitive function. Secondly, improvement of
cognitive function cannot be anticipated in patients with meningioma in the left
brain. Aged patients older than 75 years require carefulness in Op. Then, damage
of the cingulated gyrus and corpus callosum should be avoided in the left brain.
This study emphasizes that clinicians should be careful in the evaluation of
cognitive function in glioma and meningioma treatment.
PMID- 18054618
TI - Diffusion magnetic resonance imaging as an evaluation of the response of brain
metastases treated by stereotactic radiosurgery.
AB - BACKGROUND: Loss of tumor cell results in a relative increase in extracellular
space that may lead to alteration of ADC. Our purpose was to see if the ADC could
be used, rather than methods depending on changes in tumor size, to predict
treatment success after treatment of brain metastases with SRS. METHODS: In a
prospective study, the ADCs of 21 patients with 32 solid or solid-dominated brain
metastases were taken before and 1 week, 1 month, and at 3-month intervals after
SRS. Mean ADC values at the various time intervals were compared with each other
to see whether or not the ADC might be used as an early indicator of treatment
success or failure. RESULTS: The mean pretreatment value of the ADC in the
metastatic tumors was 1.05 +/- 0.12 x 10(-3) mm2/s (mean +/- SD). This value for
the tumors rose significantly (P = .009) 7 days after SRS and continued to rise
with time. Magnetic resonance imaging showed that 91% of these tumors had been
controlled by the SRS. The ADC values in cystic/necrotic tumor tissue (2.13 +/-
0.18 x 10(-3) mm2/s) were significantly (P < .001) higher than those in
noncentral necrotic tumor tissue (1.61 +/- 0.14 x 10(-3) mm2/s). CONCLUSIONS: The
ADC values might eventually be useful to evaluate treatment success-and in some
patients, detected even at early time points-and to distinguish radiation-induced
central necrosis from tumor regrowth in cases where other imagery is not
definitive.
PMID- 18054619
TI - Nonshaved cranial surgery in black Africans: a short-term prospective preliminary
study.
AB - BACKGROUND: Many studies on white populations have shown the absence of any
scientific, or even beneficial, basis for the traditional preoperative ritual of
shaving the operative field. We were not able to lay our hands on any document
regarding this subject on any black African population. METHODS: We prospectively
performed 17 cranial procedures in nonshaved fields in 15 selected black Africans
in the Lagos State University Teaching Hospital, Ikeja, Nigeria. RESULTS: There
was no serious complication recorded over a short-term follow-up of 2 to 6
months. The short, curly, crimpy, and densely knotted black African scalp hairs
however did pose some unique perioperative challenges to us. CONCLUSIONS:
Nonshaved cranial surgery, as in whites/Asians, can also be safely carried out in
black Africans. This however demands some attention to details in the
perioperative care of the incision sites. We found this caveat to be particularly
more imperative in black Africans because of their unique anthropological scalp
hair characteristics.
PMID- 18054621
TI - Reconstruction of the sellar dura in transsphenoidal surgery using an expanded
polytetrafluoroethylene dural substitute.
AB - BACKGROUND: The transsphenoidal approach for resecting pituitary tumors has
classically included reconstruction of the anterior wall of the sella turcica. A
variety of materials have been used in this process for the purpose of both
recreating the original anatomy and preventing postoperative cerebrospinal fluid
(CSF) leaks. We studied the use of an ePTFE dural substitute (GORE Preclude MVP,
W.L. Gore & Associates, Flagstaff, Arizona, USA), as a method for obtaining
reliable reconstruction of the sellar dura. METHODS: A prospective alternate case
trial was designed to assess this new dural substitute wherein 60 patients who
underwent transsphenoidal surgical resection of intrasellar lesions larger than 1
cm were included. Thirty patients had the sellar dura closed with ePTFE dural
substitute, whereas 30 patients underwent anterior wall reconstruction as
ordinarily performed in our institution. RESULTS: Each patient was reassessed at
closure, and the operative site and sphenoid sinus were evaluated with 3-months
postoperative magnetic resonance imaging. Three patients experienced
postoperative CSF leaks: 1 with ePTFE dural substitute and 2 without. All 3
patients underwent a second operation to repair the CSF leak, without further
complications. No other postoperative complications were noted in the series.
CONCLUSION: Expanded polytetrafluoroethylene dural substitute provides a safe and
effective adjunctive method for reconstruction of the sellar dura.
PMID- 18054623
TI - Temporal bone dissection for neurosurgery residents: identifying the essential
concepts and fundamental techniques for success.
AB - BACKGROUND: Many contemporary neurosurgery residents, cordoned by work hour
restrictions and drawn to newer technologies such as endovascular therapy, lack
the proper direction necessary to learn the essentials of temporal bone
dissection. A thorough knowledge of temporal bone anatomy combined with guidance
regarding proper surgical technique makes temporal bone dissection an efficacious
and fundamental learning activity. There is currently no concise guide for
neurosurgical training programs to use in teaching the essentials of this
dissection. METHODS: Over several years, the authors worked with neurosurgery
residents to determine the key concepts necessary to gain a fundamental working
knowledge of temporal bone dissection. RESULTS: We have identified 5 essential
surgical principles and developed a step-by-step dissection technique useful for
neurosurgery residents. CONCLUSIONS: Using this template, neurosurgery residents
can make the most of their time in the skull base laboratory, becoming familiar
with relevant temporal bone anatomy in situ and becoming facile with the surgical
techniques necessary for its safe dissection.
PMID- 18054625
TI - Neuroscience and the law.
PMID- 18054627
TI - The challenge for neurosurgery in the 21st century.
PMID- 18054628
TI - Conus cavernoma: a rare cause of spontaneously resolving paraplegia.
PMID- 18054630
TI - Evaluation of a computer-based system for plus disease diagnosis in retinopathy
of prematurity.
AB - OBJECTIVE: To measure accuracy and reliability of the computer-based Retinal
Image Multiscale Analysis (RISA) system compared with those of recognized
retinopathy of prematurity (ROP) experts, for plus disease diagnosis. DESIGN:
Evaluation of diagnostic test or technology. PARTICIPANTS: Eleven recognized ROP
experts and the RISA image analysis system interpreted a set of 20 wide-angle
retinal photographs for presence of plus disease. METHODS: All experts used a
secure Web site to review independently 20 images for presence of plus disease.
Images were also analyzed by measuring individual computer-based system
parameters (integrated curvature [IC], diameter, and tortuosity index) for
arterioles and venules and by computing linear combinations and logical
combinations of those parameters. Performance was compared with a reference
standard, defined as the majority vote of experts. MAIN OUTCOME MEASURES:
Diagnostic accuracy was measured by calculating sensitivity, specificity, and
receiver operating characteristic area under the curve (AUC) for plus disease
diagnosis by each expert, and by each computer-based system parameter, compared
with the reference standard. Diagnostic agreement was measured by calculating the
mean kappa value of each expert compared with all other experts and the mean
kappa value of each computer-based system parameter compared with all experts.
RESULTS: Among the 11 experts, sensitivity ranged from 0.167 to 1.000,
specificity ranged from 0.714 to 1.000, AUC ranged from 0.798 to 1.000, and mean
kappa compared with all other experts ranged from 0.288 to 0.689. Among
individual computer system parameters, arteriolar IC had the highest diagnostic
accuracy, with sensitivity of 1.000; specificity, 0.846; and AUC, 0.962.
Arteriolar IC had the highest diagnostic agreement with experts, with a mean
kappa value of 0.578. CONCLUSIONS: A computer-based image analysis system has the
potential to perform comparably to recognized ROP experts for plus disease
diagnosis.
PMID- 18054631
TI - Sutureless 25-gauge vitrectomy: risky or rewarding?
PMID- 18054632
TI - Changes in corneal topography after 25-gauge transconjunctival sutureless
vitrectomy versus after 20-gauge standard vitrectomy.
AB - PURPOSE: To evaluate the changes in regular and irregular corneal astigmatism
after 25-gauge transconjunctival sutureless vitrectomy and 20-gauge standard
vitrectomy. DESIGN: Prospective observational comparative case series.
PARTICIPANTS: Thirty-two eyes of 32 patients undergoing 25-gauge
transconjunctival sutureless vitrectomy and 25 eyes of 24 patients undergoing 20
gauge standard vitrectomy. METHODS: Corneal topography was obtained
preoperatively and at 2 weeks and 1 month postoperatively. MAIN OUTCOME MEASURES:
The dioptric data of the central 3-mm zone of the cornea were decomposed using
Fourier harmonic analysis into spherical power, regular astigmatism, asymmetry,
and higher-order irregularity. RESULTS: None of the 4 Fourier indices changed
throughout the observation period in the 25-gauge group. In the 20-gauge group,
regular astigmatism, asymmetry, and higher-order irregularity were increased
significantly at 2 weeks after vitrectomy (P<0.05, Wilcoxon signed-ranks test)
and returned to preoperative levels by 1 month. The spherical power in the 20
gauge group did not change after surgery. For regular astigmatism, asymmetry, and
higher-order irregularity, the 20-gauge group showed significantly greater
surgically induced changes than the 25-gauge group (P<0.05, Mann-Whitney U test).
CONCLUSIONS: Twenty-five-gauge transconjunctival sutureless vitrectomy does not
induce significant changes in corneal topography and exerts little influence on
the optical quality of the cornea.
PMID- 18054633
TI - Scleral buckling versus primary vitrectomy in rhegmatogenous retinal detachment:
a prospective randomized multicenter clinical study.
AB - OBJECTIVE: To compare scleral buckling surgery (SB) and primary pars plana
vitrectomy (PPV) in rhegmatogenous retinal detachments of medium complexity.
DESIGN: Prospective randomized multicenter clinical trial (the Scleral Buckling
versus Primary Vitrectomy in Rhegmatogenous Retinal Detachment Study), separated
into phakic or aphakic/pseudophakic eyes. Patients were enrolled over a 5-year
period. There was 1-year follow up in the study, and the primary outcome was
assessed at 1 year. PARTICIPANTS: Forty-five surgeons (25 centers, 5 European
countries) recruited 416 phakic and 265 pseudophakic patients. Completion of
follow-up was achieved in 93% of the phakic and 89% of the pseudophakic patients.
INTERVENTION: Scleral buckling surgery with the potential use of multiple
sponges, encircling elements, drainage, and intraocular injections. Primary
vitrectomy included 3-port vitrectomy with sulfur hexafluoride-air tamponade;
additional SB was left to the surgeon's decision. MAIN OUTCOME MEASURES: Primary
study end point: change in best-corrected visual acuity (BCVA); secondary end
points: primary and final anatomical success, proliferative vitreoretinopathy,
cataract progression, and number of reoperations. RESULTS: In the phakic trial,
the mean BCVA change was significantly (P = 0.0005) greater in the SB group (SB,
0.71 logarithm of the minimum angle of resolution [logMAR], standard deviation
[SD] 0.68; PPV, -0.56 logMAR, SD 0.76). In the pseudophakic trial, changes in
BCVA showed a nonsignificant difference of 0.09 logMAR. In phakic patients,
cataract progression was greater in the PPV group (P<0.00005). In the
pseudophakic group, the primary anatomical success rate (defined as retinal
reattachment without any secondary retina-affecting surgery; SB, 71/133 [53.4%];
PPV, 95/132 [72.0%]) was significantly better (P = 0.0020), and the mean number
of retina-affecting secondary surgeries (SB, 0.77, SD 1.08; PPV, 0.43, SD 0.85)
was lower (P = 0.0032) in the PPV group. Redetachment rates were 26.3% (SB;
55/209) and 25.1% (PPV; 52/207) in the phakic trial and 39.8% (SB; 53/133) and
20.4% (PPV; 27/132) in the pseudophakic trial. CONCLUSIONS: The study shows a
benefit of SB in phakic eyes with respect to BCVA improvement. No difference in
BCVA was demonstrated in the pseudophakic trial; based on a better anatomical
outcome, we recommend PPV in these patients.
PMID- 18054634
TI - Endogenous erythroid colony formation in patients with retinal vein occlusion.
AB - PURPOSE: The pathophysiology and causes of retinal vein occlusion (RVO) remain
largely unknown. Latent forms of myeloproliferative disorders, which are
diagnosed by the presence of in vitro endogenous erythroid colony (EEC)
formation, are a well-known cause of intraabdominal vein thrombosis. The
suspected diagnosis of a latent myeloproliferative disorder in a patient with
RVO, based on the presence of EEC formation, led us to evaluate the association
between latent myeloproliferative disorders and RVO. DESIGN: Observational case
series in a national eye center. PARTICIPANTS: Forty-four patients, with a mean
age of 46 years (range, 21-62) and central (n = 38) or peripheral (n = 6) RVO
responsible for visual acuity decreased to 6/12 or less. METHODS: In vitro bone
marrow culture. MAIN OUTCOME MEASURE: Endogenous erythroid colony formation in
cytokine-free culture medium. Conventional diagnostic criteria for
myeloproliferative disorders and the JAK2 V617F mutation (which is strongly
associated with myeloproliferative disorders) were assessed in RVO patients
showing EECs. RESULTS: Endogenous erythroid colony formation was observed in 12
of 44 (27%) patients with RVO, 13 of 35 (37%) patients with Budd-Chiari syndrome,
and 52 of 53 (98%) patients with primary polycythemia (positive control groups)
but not in 22 healthy bone marrow donors (negative controls) evaluated at the
same time and by the same hematology laboratory. Neither conventional nor genetic
diagnostic criteria for myeloproliferative disorders were observed in any patient
with both RVO and an EEC at the time of diagnosis or during follow-up.
CONCLUSIONS: Endogenous erythroid colony formation is frequently observed in
patients with RVO independently of any detectable myeloproliferative disorder.
This opens a new aspect of research on the pathophysiology of this sight
threatening disease.
PMID- 18054635
TI - Association of complement factor H and LOC387715 genotypes with response of
exudative age-related macular degeneration to intravitreal bevacizumab.
AB - PURPOSE: To investigate whether there is an association between complement factor
H (CFH) or LOC387715 genotypes with response to treatment with intravitreal
bevacizumab for exudative age-related macular degeneration (AMD). DESIGN:
Retrospective cohort study. PARTICIPANTS: The study cohort consisted of 86
patients being treated for neovascular AMD with bevacizumab alone. METHODS:
Genotype determination for the CFH Y402H and LOC387715 A69S polymorphisms was
performed by allele-specific digestion of polymerase chain reaction products. All
patients were treated with 1.25 mg intravitreal bevacizumab at 6-week intervals
until choroidal neovascularization was no longer active. MAIN OUTCOME MEASURES:
CFH Y402H and LOC387715 A69S polymorphisms. Choroidal neovascular lesion
characteristics were ascertained by fluorescein angiography. Snellen visual
acuity (VA) was measured before and after treatment. RESULTS: For the CFH Y402H
polymorphism, patients with the CFH TT genotype had the largest choroidal
neovascular lesions (P = 0.02). With treatment, VA improved from 20/248 to 20/166
for the CFH TT genotype and from 20/206 to 20/170 for the TC genotype, but fell
from 20/206 to 20/341 for the CFH CC genotype (P = 0.016). Only 10.5% of patients
with the CFH CC genotype demonstrated improved VA with treatment, compared with
53.7% of CFH TT and TC genotypes (P = 0.004). For the LOC387715 A69S variant,
patients with the TT genotype had the largest choroidal neovascular lesions (P =
0.012). There was no significant difference in response to bevacizumab treatment
according to LOC387715 genotype. CONCLUSIONS: The AMD-associated CFH Y402H and
LOC387715 A69S variants were associated with differences in choroidal neovascular
lesion size in this study. Patients with the CFH CC genotype fared significantly
worse with intravitreal bevacizumab than did those with the CFH TC and TT
genotypes, suggesting a potential pharmacogenetic relationship. Prospective
studies to confirm or refute this observation should be considered.
PMID- 18054636
TI - Annual rates of arterial thromboembolic events in medicare neovascular age
related macular degeneration patients.
AB - PURPOSE: Smoking, age, and nutrition have been associated with the development of
neovascular age-related macular degeneration (AMD) and can increase the risk of
arterial thromboembolic events (ATEs). This study assesses annual rates of ATEs
in new-onset neovascular AMD patients compared with matched controls. DESIGN:
Retrospective study. PARTICIPANTS: New-onset neovascular AMD patients and age-,
race-, gender-, and database length-matched controls from the 5% Medicare
database. METHODS: We conducted a retrospective analysis of the 5% Medicare
database from 2001 to 2003. New-onset neovascular AMD patients were included if
they were > or =65 years old, had 2 diagnoses of neovascular AMD, and had at
least 1 year of data before the first diagnosis of AMD within the dataset. A
control group was constructed in a 3:1 ratio from those without a diagnosis of a
major eye disorder and matched by age, race, gender, and length of data. Annual
prevalence rates were determined for myocardial infarctions (MIs) and ischemic
cerebral vascular accidents (CVAs). MAIN OUTCOME MEASURES: Rates of MIs and
ischemic CVAs in new-onset neovascular AMD patients and matched controls from
2001 to 2003. RESULTS: There were 15771 new-onset neovascular AMD patients
identified and matched with 46 408 controls. Average age was 80.5 years, with 64%
> or =80; 65% were female; and 95.9% were white. Inpatient MI rates for
neovascular AMD patients and controls were 2.2% and 2.2%, respectively (P =
0.74). Inpatient ischemic CVA rates for neovascular AMD patients and controls
were 3.5% and 3.6%, respectively (P = 0.59). Myocardial infarction rates and
ischemic CVA rates for both groups increased with age. Subgroups of patients with
comorbidities known to be risk factors for ATEs (i.e., hypertension,
hyperlipidemia, diabetes, and arrhythmias) had a higher rate of events. Patients
with previous ATEs were also at a higher risk of subsequent events, at 7.4% for
inpatient MI and 35.1% for inpatient ischemic stroke. CONCLUSION: Despite the
shared risk factors associated with neovascular AMD and ATEs, Medicare
beneficiaries with neovascular AMD had a rate of ATEs similar to that of matched
controls. Rates of ATEs increased in patients with comorbidities and for patients
with previous events.
PMID- 18054637
TI - Pharmacokinetics of intravitreal ranibizumab (Lucentis).
AB - PURPOSE: To describe the pharmacokinetics of 0.5 mg of intravitreal ranibizumab
(Lucentis) and to compare it with that of 1.25 mg of intravitreal bevacizumab
(Avastin), using the same rabbit model. DESIGN: Experimental animal study.
PARTICIPANTS: Twenty-eight Dutch-belted rabbits. METHODS: One eye of each of 20
rabbits was injected with 0.5 mg of intravitreal ranibizumab. Both eyes of each
of 4 rabbits were enucleated at days 1, 3, 8, 15, and 29. Ranibizumab
concentrations were measured in aqueous fluid, whole vitreous, and serum. A
further 8 rabbits were used to measure serum and fellow ranibizumab at additional
time points of 3 and 8 hours. MAIN OUTCOME MEASURES: Ranibizumab concentrations
in the aqueous, vitreous, and serum. RESULTS: Although vitreous concentrations of
ranibizumab declined in a monoexponential fashion with a half-life of 2.88 days,
concentrations of >0.1 microg/ml ranibizumab were maintained in the vitreous
humor for 29 days. Ranibizumab concentrations in the aqueous humor of the
injected eye reached a peak concentration of 17.9 microg/ml, 3 days after drug
administration. Elimination of ranibizumab from the aqueous humor paralleled that
found in the vitreous humor, with a half-life value of 2.84 days. No ranibizumab
was detected in the serum or the fellow eye. CONCLUSION: In the rabbit, the
vitreous half-life of 0.5-mg intravitreal ranibizumab is 2.88 days, shorter than
the half-life of 1.25-mg intravitreal bevacizumab of 4.32 days. No ranibizumab
was detected in the serum or the fellow uninjected eye; whereas small amounts of
intravitreal bevacizumab have been detected in the serum and fellow uninjected
eye.
PMID- 18054638
TI - Triamcinolone acetonide as adjunctive treatment to verteporfin in neovascular age
related macular degeneration: a prospective randomized trial.
AB - PURPOSE: To examine the use of intravitreal triamcinolone acetonide (IVTA) as
adjunctive therapy to photodynamic therapy (PDT) in the treatment of occult and
minimally classic choroidal neovascularization (CNV) secondary to age-related
macular degeneration (AMD). DESIGN: Single-center prospective randomized pilot
clinical trial. PARTICIPANTS: Thirty eyes of 30 patients with occult or minimally
classic CNV secondary to AMD. METHODS: Patients were randomized prospectively to
receive either PDT alone or combined PDT plus IVTA treatment for CNV secondary to
AMD. Standard verteporfin PDT was performed in all patients. In the PDT plus IVTA
group, a 12-mg intravitreal injection of triamcinolone acetonide was given 30
minutes after PDT. Active lesions were retreated every 3 months for 1 year. MAIN
OUTCOME MEASURES: Change in visual acuity and retreatment rate. RESULTS: Mean
visual acuity remained stable in the PDT plus IVTA group (-1.9 Early Treatment
Diabetic Retinopathy Study [ETDRS] letters; P = 0.58), but declined significantly
in the PDT alone group (-13.3 ETDRS letters; P = 0.02). The treatment rate was
1.13 in the PDT plus IVTA group and 3.6 in the PDT alone group (P<0.0001). Mean
contrast sensitivity increased by 3.6 letters (P = 0.09) in the PDT plus IVTA
group and decreased by -1.84 letters (P = 0.07) in the PDT alone group. Cataract
progression was noted in 4 of 7 phakic eyes in the PDT plus IVTA group. Six
patients (40%) in the combined PDT plus IVTA group required topical glaucoma
therapy for control of elevated intraocular pressure. CONCLUSIONS: This pilot
study demonstrated effective stabilization of visual acuity and reduced treatment
frequency at 12 months with combination PDT plus IVTA therapy versus PDT alone.
Larger randomized trials are ongoing to determine the efficacy and risks of PDT
with IVTA.
PMID- 18054639
TI - Results of penetrating keratoplasty performed with a femtosecond laser zigzag
incision initial report.
AB - PURPOSE: To determine the initial outcomes of utilizing a femtosecond laser to
perform penetrating keratoplasty incisions in a zigzag pattern. DESIGN:
Retrospective consecutive surgical series. PARTICIPANTS: Patients undergoing full
thickness corneal transplant surgery in a referral academic practice. METHODS:
The IntraLase femtosecond laser performed matching donor and host zigzag
incisions in a consistent pattern in 13 eyes of 13 patients. MAIN OUTCOME
MEASURES: Topographically determined astigmatism, imaging of incisions by
anterior segment optical coherence tomography, and best spectacle-corrected
visual acuity (BSCVA). RESULTS: Postoperative follow-up ranged from 3 to 9
months. Mean astigmatism was < or =3.0 diopters (D) at all intervals beginning at
1 month postoperatively. Maximum astigmatism was 4.25 D from month 3 onward. Nine
eyes (69%) had < or =3 D and 6 eyes (46%) had < or =2 D by month 3. In the 8 eyes
with full vision potential, 7 (87.5%) had BSCVA> or =20/30 by month 3; the eighth
eye had improved to 20/25 by month 6. Optical coherence tomography images showed
excellent anterior and posterior wound alignment, including one eye where the
running suture loosened and was fully removed before month 3. CONCLUSIONS: The
femtosecond laser-generated zigzag incision is biomechanically stable, resulting
in excellent wound apposition, wound integrity with minimal suture tension, and
recovery of good levels of BSCVA with moderate astigmatism within months of
surgery.
PMID- 18054640
TI - Retinal nerve fiber layer split bundles are true anatomic variants.
AB - PURPOSE: The presence of retinal nerve fiber layer (RNFL) split bundles recently
was described in normal eyes scanned using scanning laser polarimetry. In this
study, the authors set out to ascertain whether RNFL split bundles can be found
in histologic sections of normal human eyes. DESIGN: Histologic study of
postmortem human eyes. PARTICIPANTS: Fourteen normal postmortem eyes obtained
from 13 individuals. METHODS: Peripapillary RNFL thickness measurements were
plotted, for each eye, from ring sections of 3.0, 3.5, 4.0, and 4.5 mm in
diameter. The presence of superior or inferior split RNFL patterns, or both, was
sought. MAIN OUTCOME MEASURES: The presence of superior or inferior split bundles
or both in the histologic data. RESULTS: Five of 14 eyes demonstrated a distinct
histologic pattern of RNFL split bundles, of which 3 were found superiorly and 2
inferiorly. None of these 14 eyes demonstrated both a definite superior and a
definite inferior split. CONCLUSIONS: This study provides histologic evidence
demonstrating that RNFL split bundles are likely a true anatomic finding, rather
than an imaging artifact, and that their presence seems to be relatively common
in normal eyes. The presence of a split bundle, being a normal variant, should be
distinguished from RNFL loss.
PMID- 18054641
TI - Visual outcome and corneal changes in children with chronic
blepharokeratoconjunctivitis.
AB - OBJECTIVE: To describe the cause, management, and effect of chronic
blepharokeratoconjunctivitis (BKC) on the cornea and visual function in children.
DESIGN: Noncomparative, interventional, retrospective case series. PARTICIPANTS:
Twenty-seven children with BKC. METHODS: Presenting age, best-corrected visual
acuity (BCVA), refractive error, and any corneal or eyelid pathologic features
were recorded. Treatment included modified lid hygiene, topical antibiotics, and
steroids. Systemic therapy included oral antibiotics and, from 2003 onward,
flaxseed oil as an alternative to long-term antibiotics. Amblyopia therapy
included refractive correction, occlusion, or atropine therapy. MAIN OUTCOME
MEASURES: Corneal and eyelid status, visual acuity (VA), and refractive error at
final examination. RESULTS: Mean age at presentation was 6.9 years (range, 7
months-15.9 years), and mean follow-up was 2.3 years (range, 5 months-6.1 years).
All patients had discomfort, conjunctival injection, and signs of posterior
blepharitis at presentation. Photophobia was reported in 14 patients (52%),
whereas anterior eyelid inflammation was noted in 6 (22%). Acne rosacea was
confirmed in 3 patients (11%). Corneal involvement occurred in 44 eyes (81%), and
a history of recurrent chalazia was seen in 18 patients (67%). Median monocular
BCVAs in affected eyes were 0.28 logarithm of the minimum angle of resolution
(logMAR) units (interquartile range [IqR], 0.02-0.40) at presentation and 0.02
logMAR units (IqR, 0.00-0.18) at last visit. Best-corrected VA improved in 70% of
affected eyes and remained unchanged in 30%. Superimposed amblyopia was present
and treated in 15 patients (48%). All 8 patients (20%) who failed to achieve VA
of 0.2 logMAR units or better at the final examination had bilateral corneal
involvement at presentation. One child experienced a systemic side effect from
oral antibiotics. No child had significant side effects from topical treatment.
Twelve patients (44%) received flaxseed oil as part of their tapering regimen. A
2-year lag between symptom onset and treatment resulted, on average, in a
reduction of 0.06 logMAR units of VA (95% confidence interval, 0.00-0.12; P =
0.054). CONCLUSIONS: These findings suggest that visual loss may be significant
in BKC and that delayed treatment may result in decreased final BCVA. Adequate
management needs both topical and systemic treatment. Flaxseed oil may be an
effective antiinflammatory nutritional therapy alternative to long-term
antibiotics.
PMID- 18054642
TI - Escalation of glaucoma therapy after penetrating keratoplasty.
AB - PURPOSE: To determine the prevalence and risk factors for escalation of glaucoma
therapy after penetrating keratoplasty (PK) and its impact on graft survival and
visual outcome. DESIGN: Retrospective case series. PARTICIPANTS: Seven hundred
fifteen consecutive eyes of 678 patients undergoing PK. METHODS: Retrospective
review of every case of PK performed at King Khaled Eye Specialist Hospital
between January 1, 2001 and December 31, 2002. MAIN OUTCOME MEASURES: Escalation
of glaucoma therapy, graft survival, and visual outcome. RESULTS: Escalation of
glaucoma therapy occurred in 89 (12.4%) eyes of 715 PK procedures during a mean
follow-up of 32.2 months. Medical escalation accounted for 73 (82.0%) cases,
whereas surgical escalation occurred in 16 (18.0%) eyes. The following were
significantly associated with an increased risk of escalation of glaucoma
therapy: surgical indication for PK (P<0.001), increasing patient age (P<0.001),
preexisting glaucoma (P<0.001), recipient trephination < 7.0 mm (P = 0.02), and
pseudophakia or aphakia (P<0.001). Eyes with escalation of glaucoma therapy had
significant reduction in graft survival compared with eyes in which this did not
occur (52.8% vs. 82.9%, P<0.001). Escalation of glaucoma therapy was associated
with a significant reduction in the percentage of eyes achieving visual acuity of
20/40 or better (9.0% vs. 42.1%, P<0.001) and a significant increase in those
obtaining 20/200 or worse (70.8% vs. 26.7%, P<0.001). CONCLUSIONS: Escalation of
glaucoma therapy is a serious sequela of PK that is significantly associated with
an increased risk of graft failure and poor visual outcome.
PMID- 18054643
TI - Optical treatment of amblyopia in astigmatic children: the sensitive period for
successful treatment.
AB - OBJECTIVE: To compare the effectiveness of eyeglass treatment of astigmatism
related amblyopia in children younger than 8 years (range, 4.75-7.99 years)
versus children 8 years of age and older (range, 8.00-13.53 years) over short (6
week) and long (1-year) treatment intervals. DESIGN: Prospective, interventional,
comparative case-control study. PARTICIPANTS: Four hundred forty-six
nonastigmatic (right and left eye, <0.75 diopters [D]) and 310 astigmatic (RE, >
or =1.00 D) Native American (Tohono O'odham) children in kindergarten or grades 1
through 6. INTERVENTION: Eyeglass correction of refractive error, prescribed for
full-time wear, in astigmatic children. MAIN OUTCOME MEASURES: Amount of change
in mean right-eye best-corrected letter visual acuity for treated astigmatic
children versus untreated, age-matched nonastigmatic children after short (6
week) and long (1-year) treatment intervals. RESULTS: Astigmatic children had
significantly reduced mean best-corrected visual acuity at baseline compared to
nonastigmatic children. Astigmats showed significantly greater improvement in
mean best-corrected visual acuity (0.08 logarithm of the minimum angle of
resolution [logMAR] unit; approximately 1 line), than the nonastigmatic children
(0.01 logMAR unit) over the 6-week treatment interval. No additional treatment
effect was observed between 6 weeks and 1 year. Treatment effectiveness was not
dependent on age group (<8 years vs. > or =8 years) and was not influenced by
previous eyeglass treatment. Despite significant improvement, mean best-corrected
visual acuity in astigmatic children remained significantly poorer than in
nonastigmatic children after 1 year of eyeglass treatment, even when analyses
were limited to results from highly compliant children. CONCLUSIONS: Sustained
eyeglass correction results in significant improvement in best-corrected visual
acuity in astigmatic children, including those previously believed to be beyond
the sensitive period for successful treatment.
PMID- 18054644
TI - Ramadan and eyedrops: the muslim perspective.
AB - PURPOSE: To assess views of Muslims with regard to the use of eyedrops during
fasting periods of Ramadan and to determine if demographic, religious, and
educational factors or the perceived severity of an ocular condition influence
these views. DESIGN: Cross-sectional survey. PARTICIPANTS: Two hundred adult
Muslims. METHODS: A questionnaire-based survey. MAIN OUTCOME MEASURES: The main
outcome measures studied were the proportion of respondents who believe that the
use of eyedrops during fasting hours of Ramadan would break the fast and the
proportion of respondents who would use drops during fasting hours of Ramadan.
RESULTS: Of the 200 questionnaires collected, 10 were excluded because of
incomplete data entry (n = 190). Among respondents, 63.7% (n = 121) believe that
using eyedrops during fasting periods of Ramadan would break the fast, and only
34.2% (n = 65) would use drops during this period. A further 34.2% (n = 65) would
continue their regular treatment, 35.8% (n = 68) would use drops for a nonpainful
eye condition, 66.8% (n = 127) for a painful eye condition, 35.3% (n = 67) for a
condition that did not affect vision, and 75.8% (n = 144) would use drops during
the fasting period for an eye condition if vision was affected. No significant
association was noted when comparing views of respondents based on gender,
occupation, education, and number of days the fast is observed. Statistical
significance was reached when comparing the views of Muslims who would fast
additional days if a fast was broken compared with those who would not (P<0.001).
CONCLUSIONS: Ramadan could be an important cause for noncompliance with
prescribed ophthalmic treatment. This study provides an insight into views of
Muslims regarding use of eyedrops during Ramadan. The results suggest that
extensive misuse of prescribed drops should be anticipated during Ramadan.
PMID- 18054646
TI - Selection bias?
PMID- 18054647
TI - Sleep position and eye pressure.
PMID- 18054648
TI - Anterior segment optical coherence tomography.
PMID- 18054651
TI - An endoscopic approach to managing dislocated IOLs.
PMID- 18054652
TI - Black occlusive IOLs.
PMID- 18054653
TI - Lactoferrin in Sjogren's syndrome.
PMID- 18054655
TI - Oral drugs for viral retinitis.
PMID- 18054657
TI - Anterior ischemic optic neuropathy.
PMID- 18054658
TI - Orbital hemangioma.
PMID- 18054659
TI - Choroidal coloboma.
PMID- 18054660
TI - Fibrous histiocytoma.
PMID- 18054661
TI - Ptosis in young soft contact lens wearers.
PMID- 18054662
TI - Triangulation of breast lesions: review and clinical applications.
AB - Although the concept of triangulation of breast lesions has been well-defined in
the literature, it is still frequently misunderstood or applied incorrectly. We
provide a review of the basic concept, discuss common misapplications of
triangulation and the reasons they happen, and demonstrate typical and
exceptional cases, as well as mammography-ultrasound correlations using diagrams
and illustrative cases.
PMID- 18054663
TI - Meniscal gymnastics: common and uncommon locations of meniscal flip and flop.
AB - The majority of knee magnetic resonance imaging examinations are performed for
meniscal evaluations. Displaced meniscal tears including free meniscal fragments
are an important diagnosis as most of these tears are unstable and require
surgical intervention. Magnetic resonance imaging can be an invaluable tool in
the arthroscopic search for a free meniscal fragment. In addition to the commonly
seen bucket-handle tears flipped into the intercondylar notch, it is important to
be aware of less common locations where menisci may be displaced. First, we
briefly summarize the basic meniscal anatomy and some of the more common tear
patterns. We then investigate the broad range of meniscal migration.
PMID- 18054664
TI - Traumatic injuries of the c1/c2 complex: computed tomographic imaging
appearances.
AB - Cervical spine fractures occur in 1 to 3% of trauma cases and account for two
thirds of spinal cord injuries. Injury to the C1/C2 complex accounts for 19 to
25% of cervical spine injuries. Fractures of C2 occur most frequently, 55% of
which involve the odontoid peg. The unique anatomical relationship between the
atlas and axis produces a variety of injury patterns not seen elsewhere in the
spine. Numerous developmental anomalies also occur which can mimic trauma. Well
described fracture patterns include posterior arch fractures of the atlas,
Jefferson type fractures, fractures of the odontoid process, and Hangman's
fractures. Traumatic subluxation of C1 on C2 and rotatory fixation also occur
with or without associated bone injury. Computed tomography is an important tool
for accurately assessing injuries to the C1/C2 complex, occasionally supplemented
by dynamic computed tomography in cases of rotatory fixation. A description of
the anatomy, common anatomical variants, and injury patterns of the atlas and
axis illustrated by computed tomography is presented along with the relevant
injury classifications.
PMID- 18054665
TI - Cryoablation in the musculoskeletal system.
AB - Pain palliation can be challenging in patients with metastases to the
musculoskeletal system, and conventional therapy can be less than optimal for
pain management. Recent advances in technology have allowed for the addition of
image-guided ablation to the armamentarium for treating musculoskeletal
metastases. This article focuses on one such technique, percutaneous
cryoablation, and the experiences with this technique at the authors'
institution. After a brief review of the history and theory behind cryoablation,
the basic technique of percutaneous cryoablation of musculoskeletal metastases is
described. This is followed by a review of the cases that have been performed at
the authors' institution. A discussion on the many advantages and relatively few
disadvantages of this exciting new technique is then put forth. Percutaneous
cryoablation is beginning to emerge as a useful method of image-guided ablation
of musculoskeletal metastases. As data continue to mount on this exciting
application of new technology, image-guided cryoablation of musculoskeletal
metastases appears to hold much promise in the treatment of pain related to these
lesions.
PMID- 18054667
TI - Two-part fractures and fracture dislocations.
AB - Proximal humeral fractures are common and are usually osteopenic or osteoporotic
and about 85% occur in patients older than 50. Two-part fractures account for
approximately 28% of proximal humeral fractures, with most being surgical neck
fractures. This article discusses the management of two-part fractures and
analyzes the use of nonoperative treatment, conventional plating, locked plating,
antegrade intramedullary nailing, retrograde pinning, and Kirschner wires in
their treatment. The literature indicates that nonoperative treatment is as
effective as conventional plating, antegrade nailing, and Kirschner wiring in
treating two-part surgical neck fractures in older patients. The early results of
locked plating may be better, but more studies are required to prove this. This
article gives the results of nonoperative treatment of all types of two-part
fractures and fracture dislocations.
PMID- 18054668
TI - Four-part proximal humerus fractures: evaluation and treatment.
AB - Four-part proximal humerus fractures represent a difficult entity in the
management of upper extremity trauma. Most of these fractures are not amenable to
operative fixation; thus, surgical address is necessarily one of fracture
arthroplasty. Timely reestablishment of the Gothic arch using a fracture-specific
prosthesis leads reliably to anatomic tuberosity osteosynthesis. Hence, shoulder
arthroplasty for fracture should be considered an augmented osteosynthesis, with
precise prosthetic implantation supplementing anatomic tuberosity reconstruction.
Further investigations are ongoing regarding the use of specific fracture
implants and biologic substrates in an attempt to improve further the rate of
tuberosity healing in the older patient population after this operation.
PMID- 18054669
TI - Reverse shoulder arthroplasty for the treatment of proximal humeral fractures.
AB - Proximal humeral fractures occurring in elderly patients often lead to
significant functional disability. The outcome of nonoperative management is
disappointing. Treatment with open reduction and internal fixation may result in
osteonecrosis of the humeral head, and pain. Hemiarthroplasty is often associated
with tuberosity nonunion and poor function. Reverse shoulder arthroplasty is an
attractive alternative because of the ability of the prosthesis to compensate for
tuberosity complications. Early studies have shown promise in using the
prosthesis to treat these difficult patients. The next step will require
prospective, randomized studies to determine which patient groups derive any
benefit from reverse shoulder arthroscopy. The technology should be used
judiciously.
PMID- 18054670
TI - Proximal humerus and humeral shaft fractures in children.
AB - Proximal humerus fractures and fractures of the humeral shaft are relatively rare
in children. The incidence of the former is about 1 to 3 cases/1000 population
per year, comprising fewer than 3% of all pediatric fractures. Fractures of the
humeral shaft represent fewer than 10% of all humerus fractures in children. Both
types of fractures in babies and young children can be treated nonsurgically,
because of the joint's ability to remodel. Simple swaddling with a sling or
swaddling cloth can be the solution. For older children, splints and braces may
be necessary for short periods of time. Fractures can sometimes be a sign of
parental child abuse, so suspicion should remain high when evaluating children
with these injuries.
PMID- 18054671
TI - The operative treatment of diaphyseal humeral shaft fractures.
AB - Fractures of the humeral shaft comprise 1% to 3% of all fractures. Incidence
rates reveal a bimodal distribution in which there is one small peak during
adolescence, followed by a larger spike during the fifth and sixth decades of
life. Most humeral diaphysis fractures are simple patterns of the mid-diaphysis.
This article emphasizes surgical approaches to humeral fractures, providing a
review of the surgical spectrum of treatment inclusive of intramedullary nailing
and plating, but also includes a brief discussion of the conservative approach.
PMID- 18054672
TI - Humeral nonunion.
AB - Humerus fractures comprise 5% to 8% of all fractures. Although uncommon,
nonunions can present a frustrating challenge to the orthopedic surgeon. Various
risk factors that may predispose patients to nonunion include obesity,
osteoporosis, alcoholism, smoking, poor bone quality, and scar tissue. Many
methods of treatment have been described with various degrees of success. The
rates of healing of humeral nonunions by traditional means of internal fixation
with bone graft range from 70% to 92%, although in cases of infection, poorly
vascularized beds, and open, segmental, or severely comminuted fractures,
secondary bony healing may still be compromised.
PMID- 18054673
TI - Distal humerus fractures.
AB - Fractures of the distal humerus in adults have traditionally presented a
treatment challenge for the orthopedic surgeon. The combination of anatomic
complexity, multifragmentary comminution, and a short distal segment, often in
the setting of osteoporotic bone, renders these fractures difficult to treat
successfully and often make a full restoration of function uncertain. Multiple
methods of treatment for these fractures have been described, including bracing,
internal fixation, hemiarthroplasty, and total elbow arthroplasty. This article
reviews the epidemiology and classification of these injuries and the numerous
described fixation and arthroplasty techniques. The current treatment algorithm
and authors' preferred method of internal fixation are also illustrated.
Additionally, the treatment of these injuries in the elderly population and the
complications of surgical treatment are reviewed.
PMID- 18054674
TI - Apparent capitellar fractures.
AB - Isolated capitellar fractures are rare but are identified as such, even when they
are more complex, because the displaced capitellar fracture is usually the most
obvious and identifiable radiographic finding and because teaching has
traditionally underemphasized the involvement of the trochlea in such fractures.
The author prefers the term 'apparent capitellar fractures' and draws on his
experience to explain why he favors three-dimensional CT for depicting fracture
detail. This article discusses treatment options, emphasizing open reduction and
internal fixation to restore the native elbow. Operative techniques, including
extensile lateral exposure and olecranon osteotomy; fixation techniques; and
elbow arthroplasty, are described. Complications, such as ulnar neuropathy and
infection, are also covered.
PMID- 18054675
TI - Fractures of the capitellum.
AB - Fractures of the capitellum account for less than 1% of all elbow fractures.
Because they may be difficult to visualize on plain radiographs, the clinician
must have a high index of suspicion for their diagnosis. Anatomic alignment of
these fractures is imperative; slight residual displacement may result in
significant loss of elbow motion. Surgical management is described with open
reduction internal fixation using variable pitch headless screws, precontoured
plates, or bioabsorbable pins. The optimal method of fixation depends on the
fracture pattern and degree of comminution. If the fracture fragments are too
small for stable fixation, excision of the fragments is recommended.
Osteonecrosis, as well as, end-stage arthrosis requiring total elbow
arthroplasty, has been reported as a rare, but potential, complication.
PMID- 18054678
TI - Challenges of oral medications in patients with advanced breast cancer.
AB - OBJECTIVES: To list methods that nurses can use to monitor and ensure compliance
with an oral agent for the treatment of metastatic breast cancer. DATA SOURCES:
Published research articles, abstracts, and clinical experience. CONCLUSION: Oral
chemotherapeutic agents offer the advantages of patient control and less
interference with administration and, frequently, improved tolerability profiles
versus conventional infusion chemotherapy. However, there is the difficulty of
ensuring optimal adherence to the treatment plan. Adherence rates for oral
chemotherapy vary widely in studies, and can depend on psychological and
socioeconomic factors as well as individual patient outcome expectations.
IMPLICATIONS FOR NURSING PRACTICE: Oncology nurses can identify factors
influencing adherence to therapy and assess those patients appropriate for oral
therapy. Oncology nurses can facilitate follow-up visits, ask appropriate
adherence questions, and successfully manage the shift of administration
responsibility to the patient.
PMID- 18054677
TI - Overcoming treatment challenges in advanced breast cancer.
AB - OBJECTIVES: To describe new clinical findings, efficacy, and safety regarding the
use of targeted agents in the treatment of HER2-positive metastatic breast
cancer. DATA SOURCES: Published research articles, abstracts, and clinical
experience. CONCLUSION: HER2-positive cases of breast cancer tend to be more
aggressive and more likely to become resistant to therapy than HER2-negative
tumors. The current standard approach to patients with HER2-positive metastatic
breast cancer includes the use of trastuzumab. Because cellular mechanisms can
arise that can block the efficacy of this approach (and result in clinical
resistance), recent research has led to the development of lapatinib, a targeted
therapy that can act on HER2 inside the cell to disrupt the signaling pathways
thought to be part of tumorigenic mechanisms. IMPLICATIONS FOR NURSING PRACTICE:
Oncology nurses should be aware of the treatment strategies for HER2-positive
metastatic breast cancer and its limitations, which is essential for providing
optimal nursing care.
PMID- 18054679
TI - Managing treatment side effects in advanced breast cancer.
AB - OBJECTIVES: To compare and contrast the side-effect profiles of antineoplastic
therapy options used in the treatment of metastatic breast cancer. DATA SOURCES:
Published research articles, abstracts, and clinical experience. CONCLUSION:
Novel and existing antineoplastic agents used in the treatment of metastatic
breast cancer generally have mild side effects, but can have the potential for
serious reactions. Nausea/vomiting, diarrhea, stomatitis/mucositis, and
dermatologic and cardiovascular effects are common. Strategies such as dietary
changes, over-the-counter remedies, and dose modification can be used to
counteract these mild effects. IMPLICATIONS FOR NURSING PRACTICE: The oncology
nurse should be aware of common adverse events associated with antineoplastic
agents, recognize early side-effect signs, and be ready with effective strategies
to mitigate side effects when and if they occur.
PMID- 18054680
TI - Choosing the appropriate breast cancer therapy for today's breast cancer patient.
AB - OBJECTIVES: To identify which patients might be the best candidates to receive
combination targeted therapies for the treatment of metastatic breast cancer.
DATA SOURCES: Published research articles, abstracts, and clinical experience.
CONCLUSION: Factors including tumor size, age, HER2 positivity, and hormone
receptor content help determine the optimal approach toward the treatment of
breast cancer in the individual patient. Further research will clarify the role
of targeted agents in the adjuvant setting, along with the hope of a cure.
IMPLICATIONS FOR NURSING PRACTICE: The oncology nurse must be aware of current
and emerging therapies - whether they be intravenously or orally administered -
and the proper methods to help characterize patients who might likely benefit
from these agents.
PMID- 18054685
TI - Cerebrospinal fluid analysis in the diagnosis and treatment of arterial ischemic
stroke.
AB - With the advent of magnetic resonance imaging as a rapid and accurate way to
diagnose arterial ischemic stroke, cerebrospinal fluid assessment is rarely
performed, unless infectious or inflammatory processes are obvious. Recent
advances in the understanding of the pathophysiology of childhood stroke have
implicated a growing list of discrete or occult infectious and inflammatory
conditions which may involve intracranial arteries and neighboring structures.
Cerebrospinal-fluid assessment may allow the detection of markers identifying
processes (including infectious, inflammatory, metabolic, and traumatic)
potentially involved in cerebral vasculopathy and stroke. The analysis of
cerebrospinal fluid in arterial ischemic strokes, including apparently idiopathic
strokes, may yield essential information on pathophysiology, allowing for optimal
therapeutic decisions and prognostic considerations.
PMID- 18054687
TI - Square wave jerks in children and adolescents.
AB - Square wave jerks are involuntary, horizontal, saccadic intrusions that interrupt
fixation. Each square wave jerk consists of an initial saccade that moves the
fovea away from the intended position of fixation, followed by a second saccade
in the opposite direction, which refoveates the fixation position. Square wave
jerks reportedly occur in 24-60% of healthy adults. No previous study of square
wave jerks in children and adolescents is available. We recorded eye movements
using an infrared eye tracker in 38 participants aged 8-19 years while they
fixated on a visual target for 1 minute. The frequency of square wave jerks, and
the durations, amplitudes, and peak velocities of their saccades, were calculated
and correlated with age. Ninety percent of participants had square wave jerks.
Their median frequency was 3 per minute (range, 1-18), median duration was 249
milliseconds, the median amplitude of their saccades was 0.81 degrees, and the
median peak velocity was 60 degrees/second. No parameter of square wave jerks
correlated with age. The prevalence of square wave jerks is high in children and
adolescents. This finding may be a feature of the less mature brain, and may
reflect an inability to suppress unwanted supranuclear triggers for saccades.
PMID- 18054686
TI - White-matter injury is associated with impaired gaze in premature infants.
AB - Periventricular leukomalacia is a risk factor for visual impairment in children
born prematurely. The impact of diffuse white-matter injury, as detected on
magnetic resonance imaging, on early visual function is unknown. We developed two
5-point visual-gaze scores to analyze the association between this clinical
assessment and white-matter injury in 93 premature neonates <34 weeks of
gestational age at birth. Older postmenstrual age was associated with higher
values of the two gaze scores. Infants with moderate or severe white-matter
injury had lower scores than their peers without white-matter injury (0.41
points, 95% confidence interval of 0.13-0.69 for visual fixation score; and 0.70
points, 95% confidence interval of 0.30-1.10 for conjugate score, P < 0.005).
Using the results from both scales, a score of >or=9 in an infant examined at
>or=36 weeks postmenstrual age predicted normal white matter on magnetic
resonance examination, with a sensitivity of 84% and a specificity of 100%. These
preliminary findings suggest that white-matter injury affects visual function
even before term equivalent postmenstrual age.
PMID- 18054688
TI - Effects of iron supplementation on attention deficit hyperactivity disorder in
children.
AB - Iron deficiency has been suggested as a possible contributing cause of attention
deficit hyperactivity disorder (ADHD) in children. This present study examined
the effects of iron supplementation on ADHD in children. Twenty-three nonanemic
children (aged 5-8 years) with serum ferritin levels <30 ng/mL who met DSM-IV
criteria for ADHD were randomized (3:1 ratio) to either oral iron (ferrous
sulfate, 80 mg/day, n = 18) or placebo (n = 5) for 12 weeks. There was a
progressive significant decrease in the ADHD Rating Scale after 12 weeks on iron
(-11.0 +/- 13.9; P < 0.008), but not on placebo (3.0 +/- 5.7; P = 0.308).
Improvement on Conners' Parent Rating Scale (P = 0.055) and Conners' Teacher
Rating Scale (P = 0.076) with iron supplementation therapy failed to reach
significance. The mean Clinical Global Impression-Severity significantly
decreased at 12 weeks (P < 0.01) with iron, without change in the placebo group.
Iron supplementation (80 mg/day) appeared to improve ADHD symptoms in children
with low serum ferritin levels suggesting a need for future investigations with
larger controlled trials. Iron therapy was well tolerated and effectiveness is
comparable to stimulants.
PMID- 18054689
TI - Diffusion tensor imaging of brain plasticity after occipital lobectomy.
AB - Reorganization involving residual visual pathways with unilateral damage to the
primary visual cortex was previously described. Using diffusion tensor imaging,
we measured water diffusion-related changes in the optic radiation contralateral
to occipital lobe ablation in children with intractable epilepsy. We studied 10
children who had undergone a resection of the unilateral occipital cortex and 13
control subjects. Diffusion tensor imaging was acquired using a 1.5 Tesla
magnetic resonance scanner. Fiber bundles representing optic radiation were
tracked. Diffusion parameters included mean fractional anisotropy, apparent
diffusion coefficient, and diffusion parallel and perpendicular to the fiber
tract. In the surgical group, fractional anisotropy values of optic radiation
contralateral to the side of resection exhibited a significant positive partial
correlation (r = 0.752, P = 0.019) with duration of time between surgery and
diffusion tensor imaging acquisition, after controlling for age. The apparent
diffusion coefficient and parallel diffusivity were higher in the surgical versus
the control group, but did not differ among patients. After unilateral resection
of the occipital lobe, the contralateral optic radiation undergoes significant
changes in anisotropy. Such structural white-matter changes may represent an
adaptive response because of unilateral occipital ablation, and may account for
plasticity changes observed in functional magnetic resonance imaging.
PMID- 18054690
TI - Time interval from a brain insult to the onset of infantile spasms.
AB - The temporal latency between an encephalopathic event and the onset of infantile
spasms cannot be determined in the majority of symptomatic cases (e.g. genetic
conditions, cerebral malformations). However, we can measure this interval when a
previously normal infant sustains brain injury followed by infantile spasms. This
information has implications for understanding the underlying pathophysiologic
basis for infantile spasms and, also, is germane to allegations that a close
temporal relationship between vaccination and the onset of this seizure disorder
establishes causation. We identified 19 published cases with sufficient
information. The interval between brain injury and the onset of infantile spasms
ranged from 6 weeks to 11 months (mean = 5.1 months). A similar temporal latency
occurs in children with perinatal cerebral infarction and infantile spasms. We
conclude that infantile spasms do not occur acutely following an encephalopathic
event. This interval of weeks to months is consistent with prior studies
indicating temporal latency between brain injury and the onset of other types of
epilepsy, as well as with the previously proposed developmental desynchronization
hypothesis. The findings refute claims that a close temporal association between
an immunization and the onset of infantile spasms establishes causation.
PMID- 18054691
TI - Influence of the ketogenic diet on 24-hour electroencephalogram in children with
epilepsy.
AB - The ketogenic diet is a therapeutic diet used to treat medically refractory
epilepsy in children. It was found to be effective and safe. Apart from a reduced
number of seizures, positive cognitive effects were described. The mechanisms of
action are not fully understood, but both antiseizure and antiepileptogenic
effects were proposed. Among other changes ascribed to the introduction of the
diet, changes in electroencephalogram patterns might contribute to an
understanding of the effects of the ketogenic diet. In this study, 23 children
(mean age, 6.5 years) with pharmacoresistant epilepsy were started on the diet.
They were examined via 24-hour ambulatory electroencephalogram directly before
starting the diet, and after 3 months of treatment. The changing
electroencephalogram pattern was evaluated qualitatively and semiquantitatively.
Background activity, interictal epileptiform activity, ictal activity, and
seizure reduction were evaluated. Quality of life was estimated on a visual
analog scale. In 15 of 23 patients, the electroencephalogram indicated
improvement in terms of more normal background activity or decreased interictal
epileptiform activity. This improvement was seen in both seizure-reduction
responders and nonresponders, and was not predictive of response to treatment.
PMID- 18054692
TI - Acute Q fever in children presenting with encephalitis.
AB - We describe the cases of three children with encephalitis associated with
Coxiella burnetii infection. Neurologic features ranged from status epilepticus
and coma to headache and pseudotumor cerebri syndrome. Patients had good response
to antibiotic treatment with doxycycline and recovered fully. Q fever should be
included in the differential diagnosis of children with encephalitis, and routine
serological testing should be considered, especially in endemic areas.
PMID- 18054693
TI - Split notochord syndrome with congenital unilateral Horner's sign.
AB - A 2-year-old boy exhibited congenital right Horner's sign and right finger,
wrist, and elbow flexion arthrogryposis. He had dyspnea and feeding difficulty 12
hours after birth. Radiologic examination revealed a thoracoabdominal intestinal
tube and mediastinal cystic lesion at the right side, with vertebral anomaly at
the cervical level. Histopathologically, the intestinal tube was diagnosed as
bowel duplication. Because the mediastinal lesion could not be resected
surgically, no histopathological diagnosis was made. Embryologically, the
combination of transdiaphragmatic duplication, mediastinal cystic lesion,
anterior spina bifida, and hemivertebra suggested notochord malformation. The
diagnosis was split notochord syndrome, an extremely rare embryological
malformation syndrome. Congenital unilateral Horner syndrome often has unknown
etiology. In this case, cervical vertebral anomalies and mediastinal cystic
lesion implied a compressed nerve root, resulting in Horner syndrome and right
finger, wrist, and elbow flexion joint contracture. Split notochord syndrome
should be included in differential diagnosis of congenital unilateral Horner
syndrome.
PMID- 18054694
TI - Novel deletion mutation in GFAP gene in an infantile form of Alexander disease.
AB - Alexander disease is a rare, fatal neurologic disorder characterized by white
matter degeneration and cytoplasmic inclusions in astrocytes known as Rosenthal
fibers, which are immunohistochemically positive to glial fibrillary acidic
protein. Mutations in the glial fibrillary acidic protein gene were reported in
patients with Alexander disease who had clinical and pathologic characteristics
of the disease. All reported cases manifest heterozygous missense mutations,
except for some insertions or deletions with no frame shift. Our patient had a
heterozygous deletion of genomic sequence 1247-1249GGG>GG in exon 8 of the glial
fibrillary acidic protein gene, which leads to a frame shift changing 16 amino
acids and inducing a stop codon at codon 431 of 432 codons. The deletion mutation
induces a structural conformation change in glial fibrillary acidic protein and
their abnormal aggregation in astrocytes. This is the first report of a novel
deletion mutation in the glial fibrillary acidic protein gene with a frame shift
associated with Alexander disease.
PMID- 18054695
TI - Hemolytic anemia presenting with idiopathic intracranial hypertension.
AB - We report on an 8-year-old girl with hemolytic anemia because of infection with
parvovirus B19 and increased intracranial pressure. She presented acutely with
headache, vomiting, and mild scleral and mucosal icterus. Upon evaluation, the
patient exhibited profound hemolytic anemia, papilledema, and increased
intracranial pressure. The patient was treated with intravenous immunoglobulin,
prednisone, and packed red blood cells. Concurrent with an improvement of her
anemia, she experienced a gradual resolution of her headache, vomiting, and optic
disc swelling. Signs of idiopathic intracranial hypertension may occur as a
consequence of severe anemia, and are reversible upon correction of the
underlying hematologic disorder.
PMID- 18054696
TI - Infantile botulism caused by Clostridium butyricum type E toxin.
AB - The case of a 9-month-old boy with infantile botulism caused by Clostridium
butyricum type E toxin is reported. Because infantile botulism is rare in Japan,
it was difficult to diagnose it at an early stage. Electrophysiologic findings
were useful for the diagnosis, and were characterized by incremental responses
(waxing) to short intervals and rapid repetitive nerve stimulation. A bioassay
for botulism in mice indicated that the patient had botulism due to type E or F
botulinum toxin. C. butyricum type E was isolated from his feces, confirming the
diagnosis. This is the first known case of infantile botulism due to C. butyricum
type E toxin in Japan.
PMID- 18054697
TI - Primary Ewing sarcoma of lumbar spine with massive intraspinal extension.
AB - Primary vertebral Ewing sarcoma-primitive neuroectodermal tumor is uncommon.
Although epidural extension has been seen in such tumors, cases with massive
intraspinal involvement are decidedly rare. Here we present the case of a 4-year
old girl with back pain and difficulty walking. Magnetic resonance imaging showed
a mass filling the spinal canal from T(11) to the L(3)/L(4) levels. Vertebral
involvement with extension into the paraspinal soft tissue through neural
foramina was seen. Histologically, a small-blue-cell tumor with strong membranous
CD99 reactivity was noted. Molecular analysis revealed translocation
t(11;22)(q24;q12), thus confirming the diagnosis of Ewing sarcoma-primitive
neuroectodermal tumor. Our case emphasizes that vertebral Ewing sarcoma-primitive
neuroectodermal tumor may present with massive intraspinal extension and should
be included in the differential diagnosis of intraspinal lesions.
PMID- 18054698
TI - Acquired neuromyotonia in childhood: case report and review.
AB - Recently characterized as an immune-mediated channelopaty, Isaacs' syndrome (also
known as acquired neuromyotonia) was first described in 1961 in two men with
persistent, generalized muscle stiffness, in addition to spontaneous, rapid
discharges of motor-unit potentials on electromyography. In the peripheral
nervous system, antibodies targeted to voltage-gated potassium channels induce
hyperexcitability of motor axons, resulting in signs of muscle stiffness or of
pseudomyotonia. A spontaneous burst of single motor-unit activity, and myokymic
and neuromyotonic discharges, are the most characteristic features found in
electromyography studies. This report describes Isaacs' syndrome in a child, in
whom the diagnosis was made by clinical features of acquired, spontaneous muscle
overactivity and typical electromyographic findings.
PMID- 18054699
TI - Muscle pain as the only presenting symptom in a girl with dystrophinopathy.
AB - We present a family with dystrophinopathy in whom the proband is a female aged
4.5 years, who presented with exertional muscle pain without weakness. Familial
analysis identified a maternal nephew of the proband who demonstrated a similar
clinical picture, with asymptomatic cardiomyopathy. A DNA analysis revealed an in
frame deletion in the proximal part of domain II of the dystrophin gene.
Extensive familial analysis indicated that the asymptomatic maternal grandfather
transmitted the deletion. This is the first report of a young female patient with
exertional muscle pain as the only early presenting symptom of dystrophinopathy.
PMID- 18054700
TI - Case of pediatric acquired chronic hepatocerebral degeneration.
AB - Acquired chronic hepatocerebral degeneration is a central nervous system disorder
secondary to several conditions related to hepatic dysfunction. Clinical features
of acquired chronic hepatocerebral degeneration include a hyperkinetic
extrapyramidal syndrome, neuropsychiatric symptoms, or both. We present for the
first time a pediatric case of acquired chronic hepatocerebral degeneration
secondary to endstage biliary disease. The pediatric phenotype of acquired
chronic hepatocerebral degeneration is presented, and the differential diagnosis
in regard to Wilson's disease and management alternatives are discussed.
PMID- 18054703
TI - Saphenous vein graft aneurysm masquerading as a left atrial mass: diagnosis by
contrast transesophageal echocardiography.
AB - We describe the first reported case of a saphenous vein graft aneurysm mimicking
a left atrial (LA) mass on echocardiographic imaging in a 62-year-old man 22
years after coronary bypass surgery. On presentation with worsening angina, a
transthoracic echocardiogram revealed a LA mass. Coronary angiography showed
severe native coronary artery and graft disease. The saphenous vein graft to the
first obtuse marginal branch of the left circumflex coronary artery appeared
ectatic and aneurysmal and was diffusely diseased. Transesophageal
echocardiography demonstrated a well-circumscribed mass apparently within the LA,
with an area of central echolucency and a surrounding crescentic region of
increased echodensity, with absent flow by color and spectral Doppler techniques.
After an intravenous bolus injection of Definity, the area of central echolucency
within the mass was opacified compatible with a vascular origin. Pulsatile
contrast flow was seen predominantly in diastole consistent with a coronary
arterial flow pattern. Thus, contrast transesophageal echocardiography confirmed
the diagnosis of a saphenous vein graft aneurysm invaginating the lateral aspect
of the LA, mimicking a true LA mass, highlighting a novel use for this
technology.
PMID- 18054704
TI - Takotsubo cardiomyopathy: An under-recognized myocardial syndrome.
AB - Takotsubo cardiomyopathy is characterized by reversible left ventricular
dysfunction with apical ballooning and is triggered by marked psychological or
physiological stress in the absence of significant epicardial coronary artery
disease. Clinically, this unique myocardial syndrome mimics acute myocardial
infarction, and it has been considered to be a rare entity with a good prognosis.
The literature on takotsubo cardiomyopathy is limited by selection bias and
patient heterogeneity, but recent data suggest the syndrome is more prevalent,
e.g., in critically ill, non-cardiac patients. Prompt diagnosis and aggressive
therapy are essential for a rapid recovery. Clinicians should increase their
awareness of this syndrome and more research should be carried out on the
epidemiology, pathophysiology, and treatment of takotsubo cardiomyopathy.
PMID- 18054705
TI - Synthesising the 12-lead electrocardiogram: Trends and challenges.
AB - An area of electrocardiography which has received much interest of late is that
of synthesising the 12-lead ECG from a reduced number of leads. The main
advantage of this approach is obvious, as fewer recording sites are required to
capture the same information. This, in turn, streamlines the ECG acquisition
process with little detriment to the integrity of information used for
interpretation. In the current article, we provide an overview of ECG synthesis
along with a description of various 'limited lead' systems that have been
reported in the literature. Based on this, several suggestions as to what the ECG
of the future may entail have been made.
PMID- 18054706
TI - Determination of hepatitis B virus DNA incidence, viral load, and mutations in
blood donors with HBsAg and anti-HBs-negative serology and antibodies to
hepatitis B core antigen.
AB - BACKGROUND: The aim of the present study was to determine hepatitis B virus DNA
incidence, viral load, and mutations in blood donors with HBsAG and anti-HBs
negative serology and antibodies to hepatitis B core antigen. METHODS: Blood
samples were collected from 1000 blood donors with HBsAg-negative test results.
Anti-HBc total screening was performed using the ELISA method. HBsAg
negative/anti-HBc total-positive samples were tested for anti-HBs, anti-HBc IgM,
HBeAg, and anti-HBe. Samples with isolated anti-HBc were determined for viral
load of HBV DNA using real-time PCR. RESULTS: Anti-HBc total was established as
positive in 200 (20%) of the 1000 blood donors. While anti-HBs was negative in 59
(29.5%) of the 200 anti-HBc-positive samples, it was found to be positive in 141
(70.5%) of them. All of the other hepatitis B markers were negative in all of the
anti-HBs-negative samples. HBV DNA was not detected in the sera of the isolated
anti-HBc-positive blood donors with real-time PCR. CONCLUSION: Although we could
not detect HBV DNA in the sera of the isolated anti-HBc-positive blood donors,
findings in the literature suggest that anti-HBc testing should be used in
combination with HBsAg for the screening of blood donors to reduce risk. After
that, the most appropriate algorithm to follow can be HBV DNA screening of
donors.
PMID- 18054707
TI - Trends in heart failure mortality in England and Wales since 1950.
AB - BACKGROUND: This study aims to analyse trends in heart failure mortality for
England and Wales from 1950 to 2003. METHODS: A retrospective observational study
was conducted using death certificate and population data from the Office for
National Statistics. RESULTS: Unadjusted heart failure deaths rose by a factor of
more than four between 1950 and 1974 and then fell by a quarter by 2003. When
standardised for changes in the age, sex and size of the population, there was a
tripling in mortality rate from 1950 to the mid-1970s and since then, a sustained
decline in mortality rate of 50% by 2003. The unadjusted female heart failure
death rate has been between 1.5-2 times that of males since the early 1970s, but
this is much less marked when the differences in the age distribution and sizes
of the male and female populations are taken into account. Heart failure
mortality trends are similar to those of coronary heart disease (CHD), but the
peak is about 10 years earlier, and the male/female ratios are reversed. There is
a continuing rise in deaths from both heart failure and CHD in the very elderly
(>85 years). CONCLUSION: Unlike hospital trends, deaths from heart failure in the
community in England and Wales show a decline since the early 1970s, in spite of
an ageing population. This may reflect genuine changes in heart failure
incidence, or parallel changes in CHD.
PMID- 18054708
TI - Blood oxygen level-dependent (BOLD) MRI: A novel technique for the assessment of
myocardial ischemia as identified by nuclear imaging SPECT.
AB - BACKGROUND: The different levels of deoxyhemoglobin in the ischemic myocardium,
induced by stressors such as dipyridamole, can be detected by blood oxygen level
dependent (BOLD) MRI and may be used to diagnose myocardial ischemia. The aim of
this study was to assess the signal change in the myocardium on BOLD MRI as well
as wall thickening between rest and dipyridamole stress images in ischemic and
non-ischemic myocardium as identified on SPECT imaging. METHODS: Twelve patients
with stress-induced myocardial ischemia on SPECT underwent rest and dipyridamole
stress MRI using a double breath-hold, T2()-weighted, ECG-gated sequence to
produce BOLD contrast images as well as cine-MRI for wall thickening assessment
in 10 of the 12 patients. Signal change on BOLD MRI and wall thickening were
compared between rest and stress images in ischemic and non-ischemic myocardial
segments as identified on SPECT. In each patient, two MRI slices containing 16
segments per slice were analysed. RESULTS: In total, there were 384 segments for
BOLD analysis and 320 for wall thickening. For BOLD signal 137 segments
correlated to segments with reversible ischemia on SPECT and 247 to normal
segments, while for wall thickening 112 segments correlated to segments with
reversible ischemia and 208 to normal segments. The average BOLD MRI signal
intensity change was -13.8 (+/-16.3)% in the ischemic segments compared to -10.3
(+/-14.7)% in the non-ischemic segments (p=0.05). The average wall thickening was
6.4 (+/-3.4) mm in the ischemic segments compared to 8.7 (+/-3.8) mm in the non
ischemic segments (p<0.0001). CONCLUSION: Stress-induced ischemic myocardium has
a different signal change and wall thickening than non-ischemic myocardium and
may be differentiated on BOLD MRI. Larger studies are needed to define a
threshold for detection and to determine the sensitivity and specificity of this
technique.
PMID- 18054709
TI - Influence of the Trp64Arg polymorphism in the beta 3 adrenoreceptor gene on
insulin resistance, adipocytokine response, and weight loss secondary to
lifestyle modification in obese patients.
AB - BACKGROUND: The aim of our study was to investigate the influence of the Trp64Arg
polymorphism in the beta 3 adrenoreceptor gene on adipocytokine response, insulin
resistance, and weight loss secondary to lifestyle modification (Mediterranean
hypocaloric diet and exercise) in obese patients. METHODS: A population of 65
obese (BMI >30) non-diabetic outpatients was analyzed in a prospective way.
Before and after 3 months of a lifestyle modification program, indirect
calorimetry, bioimpedance, blood pressure, serial assessment of nutritional
intake for 3 days via written food records, and biochemical analysis were
performed. The lifestyle modification program consisted of a hypocaloric diet
(1520 kcal, 52% carbohydrates, 25% lipids, and 23% proteins). The exercise
program consisted of an aerobic exercise at least three times a week (60 min
each). The statistical analysis was performed for Trp64/Arg64 and Arg64/Arg64
combined as a mutant group and for type Trp64/Trp64 as a wild group. RESULTS: The
mean age of the study participants was 45.8+/-16.8 years and the mean BMI was
34.4+/-4.6 kg. There were 18 males (27.7%) and 47 females (72.3%). Fifty-five
patients (84.6%; 15 males/40 females) had the Trp64/Trp64 genotype (wild group)
and ten patients (15.4%; 3 males/7 females) had Trp64/Arg64 (mutant group). In
the wild group, BMI, weight, fat mass, systolic blood pressure and waist
circumference decreased. In this group, resting metabolic rate (RMR), RMR
corrected by fat free mass, and VO(2) increased. In the mutant group, BMI,
weight, fat mass, and waist circumference decreased. A significant increase was
detected in RMR and VO(2) as well. No differences were detected between baseline
values in the two groups. CRP levels were higher in the mutant group than in the
wild variants. No differences were detected in other parameters. Only leptin
levels decreased significantly in both the wild group (10.1%; p<0.05) and the
mutant group (13.6; p<0.05). CONCLUSION: This study showed that the beneficial
effect of mild weight reduction by a low caloric diet and exercise program is the
greatest in subjects with a normal homozygote beta 3 adrenoreceptor gene.
PMID- 18054710
TI - Erectile dysfunction as the presenting symptom of a pheochromocytoma.
AB - We report the case of a 59-year-old man with a pheochromocytoma in which erectile
dysfunction was the main symptom. Erectile function was related to the amount of
noradrenaline secreted by the tumor, as was determined when recurrence of the
malignancy was diagnosed twice. Erectile function could be restored by lowering
the level of noradrenaline excretion, either by removal of the noradrenaline
producing tumor or by treatment with doxazosin. By stimulating alpha-1
adrenoceptors, high levels of noradrenaline are likely to result in excessive
contraction of the corpus cavernosum and penile vessels and, thereby, cause
erectile dysfunction.
PMID- 18054711
TI - Bedside ultrasound diagnosis of aortic dissection in a cocaine abuser.
AB - Aortic dissection and myocardial infarction are two well-known and well-reported
complications of cocaine abuse. This case report describes a patient with cocaine
induced myocardial infarction who was also found to have a type A aortic
dissection. Interestingly, this potentially fatal complication was detected by a
bedside 2D echocardiogram.
PMID- 18054712
TI - Heart failure: An unusual cause.
AB - Renal artery aneurysms are uncommon and are usually detected when renal
angiography is performed for other indications. Less often, they may present with
loin pain, hematuria, renovascular hypertension, and a palpable mass. Renal
artery aneurysms larger than 1.0 cm presenting with resistant hypertension and
all aneurysms larger than 2.0 cm are the usual indications for surgical
intervention. The most important indications for surgical repair appear to be the
presence of concurrent hypertension and female gender (because of the higher
chances of catastrophic rupture of the aneurysm during pregnancy). Surgery may
result in better control of hypertension in some cases. In this report, we
highlight a rare case of a huge aneurysm of the left renal artery presenting with
resistant renovascular hypertension and heart failure. Aneurysmectomy, followed
by repair of the renal artery, resulted in good control of heart failure and
hypertension.
PMID- 18054713
TI - McCune-Albright syndrome associated with acromegaly and bipolar affective
disorder.
AB - McCune-Albright syndrome is a rare disorder caused by an activating mutation in
the gene (GNAS1) encoding the subunit of the G protein. This syndrome is
characterized by polyostotic fibrous dysplasia, cafe-au-lait pigmentation, and
multiple endocrine hyperfunction. A 29-year-old male with polyostotic fibrous
dysplasia, cafe-au-lait pigmentations, and pituitary adenoma is presented in this
report. The patient had accompanying bipolar affective disorder, which might have
been caused by the underlying genetic abnormality.
PMID- 18054714
TI - Pseudo atrial flutter.
AB - Atrial flutter typically has a cycle length of 200 ms (300 cycles/min or 5 Hz);
with 4:1 conduction through the AV node, this would lead to a ventricular rate of
75 bpm. We present a case of a patient with a Parkinsonian tremor at a frequency
of 300 cycles/min that masqueraded as atrial flutter on the limb leads of a 12
lead ECG. He had presented with a respiratory tract infection and his bedside
rhythm monitor appeared to show atrial flutter. This appeared consistent on a
printed (lead II) rhythm strip. His intrinsic sinus rate was coincidentally 75
bpm, which added to the confusion in the initial assessment of his rhythm
(mistaken to be atrial flutter with 4:1 AV conduction). Advice was sought
regarding management of his atrial 'arrhythmia' and the appropriateness of
anticoagulation and cardioversion. A 12-lead ECG was performed and assessment of
this revealed normal sinus rhythm. He therefore avoided unnecessary therapy.
PMID- 18054715
TI - Austrian's syndrome: The first described case of pneumococcal meningitis
pneumonia and endocarditis in an injecting drug user.
AB - We describe the first reported case of Austrian's syndrome in an injecting drug
user (IDU). The triad of endocarditis, meningitis and pneumonia caused by
invasive pneumococcal disease (IPD) is most commonly associated with excess
alcohol. Injecting drug use is a recognised risk factor for IPD, whose prevalence
and resistance continue to rise. We propose that injecting drug use is associated
with Austrian's syndrome and that it should at least be considered in 'at risk'
groups presenting with IPD. Furthermore, IDU presenting with IPD, meningitis and
pneumonia should be considered for echocardiography.
PMID- 18054716
TI - Exceptional association of diffuse anaplastic myeloma with microangiopathic
anemia.
PMID- 18054717
TI - Primary hyperparathyroidism presenting as redness of eyes.
PMID- 18054718
TI - Markedly elevated BNP levels unrelated to heart failure in a young septic patient
postcesarean section.
PMID- 18054720
TI - Internal medicine admissions.
PMID- 18054719
TI - Hepatic veno-occlusive disease associated with the use of Gynura segetum.
PMID- 18054721
TI - Trends in admissions to internal medicine wards in a peripheral hospital in
Israel.
PMID- 18054722
TI - Acute respiratory distress syndrome (ARDS) secondary to talc pleurodesis.
PMID- 18054723
TI - The h-index: a new way of assessing the scientific impact of individual CAM
authors.
PMID- 18054724
TI - The management of cancer-related fatigue after chemotherapy with acupuncture and
acupressure: a randomised controlled trial.
AB - BACKGROUND: Cancer-related fatigue after chemotherapy is a difficult symptom to
manage in practice and the most disruptive symptom in patients' lives.
Acupuncture is a popular complementary therapy among cancer patients and some
evidence exists that it could potentially alleviate fatigue by stimulating
'energy' points in the body. Hence, this study was carried out to assess the
effects of acupuncture and acupressure in managing cancer-related fatigue and the
feasibility of running a randomised trial with these two complementary therapies
in preparation for a large trial. METHODS: This study was a randomised controlled
trial. Forty-seven patients with cancer who experienced moderate to severe
fatigue were randomised either to an acupuncture group (n=15), an acupressure
group (n=16) or a sham acupressure group (n=16). The acupuncture group received
six 20-min sessions over 2 weeks, while the patients in the two acupressure
groups were taught to massage/press the points and did so daily thereafter for 2
weeks on their own. Patients completed the Multidimensional Fatigue Inventory
before randomisation, at the end of the 2-week intervention and again about 2
weeks after the end of the intervention. RESULTS: Significant improvements were
found with regards to General fatigue (P<0.001), Physical fatigue (P=0.016),
Activity (p=0.004) and Motivation (P=0.024). At the end of the intervention,
there was a 36% improvement in fatigue levels in the acupuncture group, while the
acupressure group improved by 19% and the sham acupressure by 0.6%. Improvements
were observed even 2 weeks after treatments, although they were lower (22%, 15%,
7%, respectively). Acupuncture was a more effective method than acupressure or
sham acupressure. Subjects needed a longer treatment period to have more
sustained results. The trial was methodologically feasible. CONCLUSION:
Acupuncture shows great potential in the management of cancer-related fatigue. As
a randomised trial with acupuncture is feasible and preliminary data shows
significant improvements, it should be tested further using a large sample and a
multicentre design.
PMID- 18054725
TI - Acupuncture for chronic pain within the research program of 10 German Health
Insurance Funds--basic results from an observational study.
AB - OBJECTIVES: To investigate which patients receive acupuncture in the framework of
statutory health insurance in Germany, how treatment was carried out, and what
results were achieved. DESIGN: Basic documentation (carried out by physician)
within a prospective observational study. SETTING: 454,920 patients with at least
one of the three chronic pain conditions including headache, low back pain and
osteoarthritis treated by 8727 medical acupuncturists (panel doctors) within the
scope of a reimbursement program. RESULTS: Fifty-three percent of the patients
were treated by general practitioners, 19% by orthopaedists and 9% by internists.
Eighty percent of patients were female, mean age was 53.6 (S.D.=15.7) years.
Primary indication for acupuncture was low back pain (45%), headache (36%), and
osteoarthritis (12%). Median time since the initial diagnosis was 3 years. 8.4
(S.D.=3.0) acupuncture sessions (body acupuncture) were administered on average.
In 28% a concomitant treatment was reported. Effectiveness of acupuncture was
rated by physicians in 22% of the patients as marked, in 54% as moderate, in 16%
as minimal and in 4% as poor (unchanged). In 8% of the patients mild adverse
reactions were reported, severe side effects occurred in 13 patients (0.003%).
Orthopaedists rated the effectiveness of acupuncture lower, showing shortest time
for face-to-face contact with the patient. More acupuncture training did not
correspond to better therapeutic effect assessed by physicians. CONCLUSIONS:
Acupuncture proved a highly demanded treatment option for chronic pain conditions
within the German research program. Results indicate that acupuncture provided by
qualified therapists is safe, and patients benefited from the treatment.
PMID- 18054726
TI - Neural activities in human somatosensory cortical areas evoked by acupuncture
stimulation.
AB - OBJECTIVES: To investigate neural representation evoked by acupuncture from human
somatosensory cortices, especially from primary (SI) and secondary (SII)
somatosensory areas. DESIGN AND SETTING: Neuroimaging study - Blood-oxygenation
level-dependent (BOLD) functional MRI was performed during acupuncture on LI4
(n=12 healthy participants). Sham acupuncture and innocuous tactile stimulation
were also applied on the same acupuncture site as control comparisons. OUTCOME
MEASURES: Responsive neural substrates were visualized and identified based on
both individual and group-level surface activation maps. RESULTS: Discrete
regions within the precentral gyrus (area 4) and the fundus of the central sulcus
(area 3a) were selectively activated during the real acupuncture stimulation. In
SII, the activation was extended in a postero-inferior direction to the fundus of
the lateral sulcus. CONCLUSION: This specific pattern of acupuncture-related
activation indicates that deep tissue stimulation (as seen in area 3a activation)
and concurrent processing of sensory stimulation (as seen in activation in SII)
may mediate neural responses to manual acupuncture.
PMID- 18054728
TI - The professionalization of Western herbalists: response to new product
regulations in Canada.
AB - New Canadian Natural Health Products regulations (NHP regulations) came into law
January 1st, 2004 and will be implemented over 6 years. These regulations have
the potential to impact a variety of stakeholders, in particular complementary
and alternative medicine (CAM) practitioners. In this article, we document
Canadian Western herbalist leaders' responses to the new regulations, so as to
provide insight into how new healthcare policy serves as a stimulus for the
organization of an emerging healthcare profession. The data are derived from key
informant interviews with Western herbalist leaders in Canada (n=9). The NHP
regulations include "good manufacturing practices" and Western herbalist leaders
are concerned that many small companies, often owned and run by Western
herbalists, will find the regulations too costly to implement, causing them to
reduce the number and diversity of products they manufacture, or go out of
business all together. Furthermore, lack of availability of whole plant products
could severely restrict the practice of Canadian Western herbalists. In response
to this challenge, herbalists are attempting to (i) organize as a more cohesive
group, (ii) define their unique body of knowledge and (iii) increase the
perceived legitimacy of their practices in the eyes of the public, conventional
healthcare practitioners, and regulators, in an attempt to protect their unique
practices. An examination of the findings reveals the extent to which external
factors (i.e., the new NHP regulations) both provoke and shape the
professionalization of this group of healthcare practitioners.
PMID- 18054727
TI - Neuroendocrinological effects of acupuncture treatment in patients with irritable
bowel syndrome.
AB - OBJECTIVES: Quality of life (QoL) improvement in patients with irritable bowel
syndrome (IBS) during acupuncture (AC) treatment seems to be due to a placebo
effect. The aim was to explore if acupuncture has some specific influence on the
neuroendocrinic and autonomic nervous system (ANS). DESIGN/SETTING: Patients with
IBS were randomly assigned to receive either acupuncture (AC) or sham acupuncture
(SAC) using the so-called "Streitberger needle". QoL was measured with the
functional quality of life diseases quality of life questionnaire (FDDQL) and SF
36. The effect on ANS was evaluated by measuring salivary cortisol and by
cardiovascular responses on a tilt table before and after 10 AC treatments.
Complete data sets of tilt table and salivary morning cortisol were available for
9 patients in the AC and 12 in SAC group. RESULTS: QoL increased in both groups
(p=0.001) with no group differences. Salivary cortisol decreased in all groups
(F=10.55; p=0.006). However, the decrease was more pronounced in the AC group
(F=4.07; p=0.033) (ANOVA repeated measures model). Heart rate response decreased
during orthostatic stress in the AC group while it increased in the SAC group
(F=9.234; p=0.005), indicating an increased parasympathetic tone in the AC group.
Improvement of pain was positively associated with increased parasympathetic tone
in the AC group (F=10.1; p=0.006), but not in the SAC group. CONCLUSIONS: The
acupuncture specific physiological effects are in contrast to the unspecific
improvement of QoL in both AC and SAC groups. Thus, different mechanisms seem to
be involved in placebo and real-acupuncture driven improvements. The specific
mechanism of action of acupuncture on the ANS remains unclear and deserves
further evaluation.
PMID- 18054729
TI - Psychological response in spinal manipulation (PRISM): a systematic review of
psychological outcomes in randomised controlled trials.
AB - BACKGROUND: The most important risk factors for back and neck pain are
psychosocial. Nevertheless, systematic reviews of spinal manipulation have
concentrated on pain and spine related disability, and ignored psychological
outcomes. OBJECTIVE: To assess whether spinal manipulation was effective in
improving psychological outcome. DESIGN: Systematic review of randomised
controlled trials (RCTs). METHODS: RCTs were identified by searching Medline,
CINAHL, Embase, CENTRAL, AMED, PsycINFO until November 2005. Trials reporting
psychological outcomes including the mental health components of generic outcomes
were extracted, and combined where appropriate in meta-analyses. RESULTS: One
hundred and twenty nine RCTs of spinal manipulation were identified; 12 had
adequately reported psychological outcomes. Six trials with a verbal intervention
comparator were combined in a meta-analysis, and found a mean benefit from spinal
manipulation equivalent to 0.34 of the population standard deviation (S.D.) [95%
confidence interval (CI) 0.23-0.45] at 1-5 months; 0.27 of the S.D. [95% CI 0.14
0.40] at 6-12 months. Eight trials with a physical treatment comparator were
combined in a meta-analysis and found a mean benefit of 0.13 of the S.D. [95% CI
0.01-0.24] in favour of manipulation at 1-5 months; 0.11 of the S.D. [95% CI
0.02 to 0.25] at 6-12 months. CONCLUSIONS: There was some evidence that spinal
manipulation improved psychological outcomes compared with verbal interventions.
PMID- 18054730
TI - The need for traditional Chinese medicine morbidity research.
AB - Traditional Chinese medicine (TCM) has long justified its practice on empirical
phenomenology. Questions remain, however, as to the legitimacy of this approach
and its relevance to TCM practice and educational developments in the 21st
century. General medical practice (GMP) has used practice-based data collected
over the past 25 years to develop relevant medical curriculum and enhance patient
care. As TCM becomes ever more incorporated into Western educational and health
systems, it too must concern itself with providing a solid evidence base to be
used in enhancing patient care and educational curriculum. Computer generated
data related to patient problems or problem complexes abstracted from TCM
clinical records can provide the basis for practitioner development and the
enhancement of training programs that will lead to improvements in patient care.
Such locally valid and representative data can also be used to inform research
programs, public policy and evidence-based commissioning. The key to developing
such systems is standardising TCM terminology and data collection protocols.
PMID- 18054732
TI - Incretin hormone mimetics and analogues in diabetes therapeutics.
AB - The incretin hormones glucagon-like peptide-1 (GLP-1) and glucose-dependent
insulinotropic polypeptide (GIP) are physiological gut peptides with insulin
releasing and extrapancreatic glucoregulatory actions. Incretin
analogues/mimetics activate GLP-1 or GIP receptors whilst avoiding physiological
inactivation by dipeptidyl peptidase 4 (DPP-4), and they represent one of the
newest classes of antidiabetic drug. The first clinically approved GLP-1 mimetic
for the treatment of type-2 diabetes is exenatide (Byetta/exendin) which is
administered subcutaneously twice daily. Clinical trials of liraglutide, a GLP-1
analogue suitable for once-daily administration, are ongoing. A number of other
incretin molecules are at earlier stages of development. This review discusses
the various attributes of GLP-1 and GIP for diabetes treatment and summarises
current clinical data. Additionally, it explores the therapeutic possibilities
offered by preclinical agents, such as non-peptide GLP-1 mimetics, GLP-1/glucagon
hybrid peptides, and specific GIP receptor antagonists.
PMID- 18054733
TI - DPP-4 inhibitors.
AB - Inhibition of dipeptidyl peptidase 4 (DPP-4) is a novel treatment for type-2
diabetes. DPP-4 inhibition prevents the inactivation of glucagon-like peptide 1
(GLP-1), which increases levels of active GLP-1. This increases insulin secretion
and reduces glucagon secretion, thereby lowering glucose levels. Several DPP-4
inhibitors are in clinical development. Most experience so far has been with
sitagliptin (Merck; approved by the FDA) and vildagliptin (Novartis; filed).
These are orally active compounds with a long duration, allowing once-daily
administration. Both sitagliptin and vildagliptin improve metabolic control in
type-2 diabetes, both in monotherapy and in combination with metformin and
thiazolidinediones. A reduction in HbA(1c) of approximately 1% is seen in studies
of DPP-4 inhibition of up to 52 weeks' duration. DPP-4 inhibition is safe and
well tolerated, the risk of hypoglycaemia is minimal, and DPP-4 inhibition is
body-weight neutral. DPP-4 inhibition is suggested to be a first-line treatment
of type-2 diabetes, particularly in its early stages in combination with
metformin. However, the durability and long-term safety of DPP-4 inhibition
remain to be established.
PMID- 18054734
TI - Cannabinoid-1 receptor antagonists in type-2 diabetes.
AB - Type-2 diabetes is closely related to abdominal obesity and is generally
associated with other cardiometabolic risk factors, resulting in a risk of major
cardiovascular disease. Several animal and human observations suggest that the
endocannabinoid system is over-active in the presence of abdominal obesity and/or
diabetes. Both central and peripheral endocannabinoid actions, via the activation
of CB1 receptors, promote weight gain and associated metabolic changes.
Rimonabant, the first selective CB(1) receptor blocker in clinical use, has been
shown to reduce body weight, waist circumference, triglycerides, blood pressure,
insulin resistance index and C-reactive protein levels, and to increase high
density lipoprotein (HDL) cholesterol and adiponectin concentrations in both non
diabetic and diabetic overweight/obese patients. In addition, a 0.5-0.7%
reduction in HbA1c levels was observed in metformin- or sulphonylurea-treated
patients with type-2 diabetes and in drug-naive diabetic patients. Almost half of
the metabolic changes, including HbA1c reduction, could not be explained by
weight loss, suggesting that there are direct peripheral effects. Rimonabant was
generally well-tolerated, and the safety profile was similar in diabetic and non
diabetic patients, with a higher incidence of depressed mood disorders, nausea
and dizziness. In conclusion, the potential role of rimonabant in
overweight/obese patients with type-2 diabetes and at high risk of cardiovascular
disease deserves much consideration.
PMID- 18054735
TI - Inhaled insulin.
AB - Inhaled insulin has attractive pharmacodynamic properties with a fast onset of
action which should lead to improved postprandial blood glucose concentrations.
Comparisons with regular subcutaneous (sc) insulin in clinical studies, however,
showed lower fasting blood glucose concentrations. Overall, clinical efficacy of
inhaled insulin was comparable to that of regular sc insulin. Treatment with
inhaled insulin was safe and well tolerated, with slight and reversible changes
in lung function parameters and a rise in insulin antibodies (not associated with
any clinical or safety parameters) as main adverse effects. Treatment
satisfaction in open-label studies was higher with inhaled than with sc insulin,
indicating that inhaled insulin might help to overcome one of the major hurdles
of diabetes therapy, i.e. a timely initiation of insulin therapy. The first
inhaled insulin formulation was approved in the US and Europe in January 2006,
but some countries granted reimbursement only for selected patients, or did not
reimburse treatment with inhaled insulin at all because of the high treatment
costs. These are due to the rather low bioavailability of approximately 8-15%.
Therefore, further research is needed to improve the bioavailability of inhaled
insulin: e.g. through optimization of the inhaler, the insulin formulation, or
the inhalation technique. In view of the potential for further improvement,
inhaled insulin may become a very attractive alternative to sc insulin, in
particular in patients in whom insulin therapy has to be initiated and/or
intensified.
PMID- 18054736
TI - PKC inhibition and diabetic microvascular complications.
AB - In patients with diabetes, the hyperglycaemia is known to promote high levels of
diacylglycerol which activates protein kinase C (PKC) in the vascular tissues and
leads to production of vascular endothelial growth factor (VEGF) in the retina.
PKC activation is likely to play a key role in diabetic microvascular
complications, particularly changes in vascular permeability and ischaemia in the
retina. A new potential therapeutic agent, the PKC-beta inhibitor ruboxistaurin,
has been studied in animal and human clinical trials in diabetic microvascular
disease, particularly in patients with diabetic retinopathy. The mechanism of
action of PKC and the results of these trials are discussed in this review.
Ruboxistaurin shows promise as an oral treatment for diabetic retinopathy. The
trials have demonstrated a significant reduction in visual loss and need for
laser treatment in patients with moderate to severe diabetic retinopathy over a 3
year period. There have been no significant concerns over safety or the side
effects profile in the clinical trials. Ruboxistaurin currently has approvable
status pending further randomized trials defined by the US Food and Drug
Administration (FDA).
PMID- 18054737
TI - New hepatic targets for glycaemic control in diabetes.
AB - Type-2 diabetes is associated with impaired glucose clearance by the liver in the
postprandial state, and with elevated glucose production in the post-absorptive
state. New targets within the liver are currently being investigated for
development of antihyperglycaemic drugs for type-2 diabetes. They include
glucokinase, which catalyses the first step in glucose metabolism, the glucagon
receptor, and enzymes of gluconeogenesis and/or glycogenolysis such as glucose 6
phosphatase, fructose 1,6-bisphosphatase and glycogen phosphorylase. Preclinical
studies with candidate drugs on animal models or cell-based assays suggest that
these targets have the potential for pharmacological glycaemic control. Data from
clinical studies is awaited. Further work is required for better understanding of
the implications of targeting these sites in terms of possible side-effects or
tachyphylaxis. The advantage of combined targeting of two or more sites within
the liver for minimizing side-effects and tachyphylaxis caused by single-site
targeting is discussed.
PMID- 18054738
TI - Modulation of glucocorticoid action and the treatment of type-2 diabetes.
AB - The global epidemic of obesity and type-2 diabetes has heightened the need to
understand the mechanisms that contribute to its pathogenesis and also to design
and trial novel treatments. Patients with glucocorticoid (GC) excess--'Cushing's
syndrome'--are phenotypically similar to patients with simple obesity. As such,
much research has focused on the manipulation of local GC action as a therapeutic
strategy. The majority of the classical actions of GCs are mediated via
activation of the glucocorticoid receptor (GR). 11beta-Hydroxysteroid
dehydrogenase type 1 (11beta-HSD1) converts inactive cortisone to cortisol and
therefore amplifies local GC action. There is now a wealth of data from rodent
and clinical studies implicating this conversion in the pathogenesis of obesity,
type-2 diabetes, and the metabolic syndrome. Selective 11beta-HSD1 inhibitors
(selective in that they block the activity of 11beta-HSD1 and not 11beta-HSD2
which inactivates cortisone to cortisol in mineralocorticoid target tissues) are
currently in development although not yet available for use in clinical studies.
Rodent studies utilizing these compounds have shown dramatic improvements in
insulin sensitivity as well as improvements in lipid profiles and atherogenesis.
A further experimental approach has been to design drugs that antagonize GR
activation, and again these compounds appear to improve insulin sensitivity and
lower glucose production rates. The key test for both of these research
strategies is whether they will translate into clinical studies, and results from
these trials are now eagerly awaited.
PMID- 18054739
TI - Inhibition of the protein tyrosine phosphatase PTP1B: potential therapy for
obesity, insulin resistance and type-2 diabetes mellitus.
AB - The global epidemic of obesity and type-2 diabetes mellitus (T2DM) has
highlighted the need for new therapeutic approaches. The association of insulin
resistance with these disorders and the knowledge that insulin receptor signaling
is mediated by tyrosine (Tyr) phosphorylation have generated great interest in
the regulation of the balance between Tyr phosphorylation and dephosphorylation.
Several protein Tyr phosphatases (PTPs) have been implicated in the regulation of
insulin action, with the most convincing data for PTP1B. Murine models targeting
PTP1B, PTP1B(-/-)mice, demonstrate enhanced insulin sensitivity without the
weight gain seen with other insulin sensitizers such as peroxisome proliferator
activated receptor gamma (PPARgamma) agonists, probably due to a second action of
PTP1B as a negative regulator of leptin signaling. Despite intensive efforts and
recent progress, a safe, selective and efficacious PTP1B inhibitor has yet to be
identified.
PMID- 18054740
TI - Potential therapies based on antidiabetic peptides.
AB - Since adipose tissue was shown to be more than a storage organ, the many
cytokines it produces have been identified, along with their roles in energy
homeostasis, appetite, and insulin resistance. Concurrently, numerous gut
hormones with a diversity of effects have been discovered. They include, amongst
many others, peptide YY, ghrelin and oxyntomodulin. As these peptides have been
investigated, the potential for their use as novel anti-obesity and antidiabetic
therapies has been realized. In this chapter we describe the actions of four of
the peptides that have been proposed as the basis for promising new therapies for
diabetes: leptin, adiponectin, obestatin and peptide YY. They each have an effect
on appetite and, directly or indirectly, on glucose metabolism. We synthesize
available data for these peptides and consider the therapeutic potential of each.
PMID- 18054741
TI - Pharmaco-economic issues for diabetes therapy.
AB - A systematic review was undertaken to analyse pharmaco-economic issues in
diabetes, with evidence selected on the basis of relevance and immediacy.
Pharmaco-economics in diabetes primarily relates to making choices about
antidiabetic pharmaceuticals, and this is being influenced by global trends.
Trends include increasing numbers of patients with diabetes, with increasing
costs of caring for people with diabetes, and an ever-present focus on the costs
of pharmaceuticals which are predicted to increase as the pace of development of
new medications parallels the increasing incidence of the condition. These
developments have influenced the demand for health care in diabetes in the last
decade, and will continue to determine this in the coming decade. Recent national
experiences are cited to illustrate current issues and to focus specifically upon
the challenges facing a raft of new diabetes treatment options now hitting the
marketplace, although supported by fewer completed long-term trials. It can be
anticipated that these newer agents will be appraised for their cost
effectiveness or value for money. Economic analyses for some of the new
technologies are summarized; in general, the peer-reviewed publications using
well-accepted and validated models have reported that these technologies are cost
effective. Endorsement of any technology in a national setting is not awarded
simply because the incremental cost-effectiveness ratio (ICER) falls below the
threshold regarded as value for money. In most national observations the
reviewers expressed concerns about assumptions used in economic modelling which
resulted in the ICERs being deemed optimistic at best, generally highly
uncertain, and resulting in the cost-effectiveness appearing better than it
really would be in clinical practice. This has often led to the authorities
concluding that the price advantage of new technologies over comparators could
not be justified, essentially leading to restrictions in use compared to their
licence. In general, a paucity of robust evidence on longer-term outcome data
together with a lack of health-related quality of life (HRQOL) data collected in
a reliable manner in appropriate patients and amenable to utility (and hence
quality adjusted life year or QALY) estimation have resulted in problems for
these new drugs at the so-called fourth (cost-effectiveness) hurdle. In the light
of these findings, the implications for generating credible fit-for-purpose cost
effectiveness analyses of new technologies in diabetes are discussed. Throughout
this chapter, the interested reader is referred to a number of excellent review
articles for further details.
PMID- 18054742
TI - PPAR agonists: multimodal drugs for the treatment of type-2 diabetes.
AB - Patients with type-2 diabetes mellitus (T2DM) are considered to be at
particularly high risk for cardiovascular disease. Over the last decade, the
members of the peroxisome proliferator-activated receptor (PPAR) subfamily of
nuclear receptors have emerged as valuable pharmacological targets whose
activation can normalize metabolic dysfunctions and reduce some cardiovascular
risk factors associated with T2DM. PPARalpha agonists, such as the fibrates, can
correct dyslipidemia. PPARgamma agonists, such as the thiazolidinediones, act as
insulin sensitizers and improve insulin resistance in patients with T2DM. Because
of restricted potency and certain side-effects of PPAR agonists, as well as the
increasingly epidemic incidence of T2DM, there is a real need for the development
of selective PPAR agonists with improved clinical efficacy. This chapter focuses
on the PPAR agonists currently used in the clinic, as well as on the discovery
and development of the next generation of PPAR agonists.
PMID- 18054743
TI - Eosinophilic esophagitis and Barrett's esophagus with dysplasia.
PMID- 18054744
TI - Esophageal intramural pseudodiverticulosis.
PMID- 18054747
TI - Feeding alternatives in patients with dementia: examining the evidence.
AB - Percutaneous endoscopic gastrostomy tubes are being placed with increasing
frequency in the United States among elderly patients with dementia. Health care
providers believe there may be long-term benefits for enteral feeding in this
population, yet previous study of this topic has failed to yield any convincing
evidence to support this hypothesis. In this study, we review the evidence
regarding outcomes for artificial enteral feeding in older individuals with
dementia. We found that there is a lack of evidence supporting artificial feeding
in the specific outcomes of survival, pressure ulcers, nutrition, and aspiration
pneumonia. A brief discussion regarding hand feeding is included. The data
suggest that hand feeding may be a viable alternative to tube feeding in elderly
patients with dementia, although a direct comparison trial of the 2 interventions
is lacking.
PMID- 18054748
TI - Sore throat and a red hypopharynx: is it reflux?
PMID- 18054749
TI - The burden of inflammatory bowel disease in the United States: a moving target?
PMID- 18054750
TI - Use of acid-suppressing drugs and the risk of bacterial gastroenteritis.
AB - BACKGROUND & AIMS: Gastric acid is a defense mechanism against gastrointestinal
infections caused by ingested bacteria. Studies have suggested that the use of
acid-suppressing drugs may increase the risk of gastroenteritis (GE). METHODS:
Patients aged 20-74 years with an episode of acute bacterial GE (n = 6414) were
identified. A control group from the same study population without a diagnosis of
GE (n = 50,000) was frequency-matched by age, sex, and calendar year to the case
group. Unconditional logistic regression was used to calculate the adjusted
relative risk (RR) of GE in patients using proton pump inhibitors (PPIs) or
histamine-2 receptor antagonists (H2RAs). RESULTS: Current use of PPIs was
associated with an increased risk of bacterial GE compared with nonuse,
regardless of the treatment duration (RR, 2.9; 95% confidence interval [CI], 2.5
3.5), whereas no association was observed with H2RA use (RR, 1.1; 95% CI, 0.9
1.4). Doubling the PPI dose further increased the risk of developing bacterial GE
(RR, 5.0; 95% CI, 2.7-9.3). The effect of PPI use did not vary significantly with
regard to treatment indication. The increased risk associated with PPI use was
similar for both omeprazole (RR, 3.0; 95% CI, 2.5-3.7) and lansoprazole (RR, 2.1;
95% CI, 1.4-3.0), whereas neither cimetidine nor ranitidine showed any increased
risk. Campylobacter (n = 4124) and Salmonella (n = 1885) were the 2 species most
frequently responsible for GE episodes in the case group. When analyzed
separately, both species reproduced the increased risk associated with PPI use
and not H2RA use. Clostridium GE cases were rare (n = 31). CONCLUSIONS: This
study suggests that gastric acid suppression induced by PPIs but not H2RAs is
associated with an increased risk of Campylobacter and Salmonella GE.
PMID- 18054751
TI - Clinical course in Crohn's disease: results of a Norwegian population-based ten
year follow-up study.
AB - BACKGROUND & AIMS: Most studies concerning the clinical course in CD are
retrospective or based on selected patient groups. Our aim was to assess the
course of CD in a prospective population-based follow-up study and to identify
possible prognostic risk factors for complications on the basis of information
obtained at initial diagnosis. METHODS: From 1990-1994, a population-based cohort
of 843 new cases of inflammatory bowel disease was recruited in South-Eastern
Norway. The cohort was systematically followed up at 1, 5, and 10 years after
diagnosis. RESULTS: Of 237 patients classified as CD, 197 completed the 10 years
of follow-up, 18 died, and 22 were lost to follow-up. The cumulative relapse rate
during the first 10 years was 90% (95% confidence interval, 86%-94%), and the
cumulative probability of surgery was 37.9% (95% confidence interval, 31.4%
44.4%). Terminal ileal location (P < .001), stricturing (P = .004), penetrating
behavior (P < .001), and age younger than 40 years (P = .03) at diagnosis were
independent risk factors for subsequent surgery. A total of 53% (n = 105) of the
patients had developed stricturing or penetrating disease at 10 years. A large
proportion of patients (44%) were in clinical remission during the last 5 years
of follow-up. CONCLUSIONS: The prognosis for CD seems better than previously
reported. The probability of surgery was low, and fewer than expected developed
complicated disease behavior. Nevertheless, the cumulative relapse rate of 90%
and the finding of prognostic risk factors for subsequent surgery might call for
attention to early effective medical treatment strategies.
PMID- 18054752
TI - What is the value of computered tomography colonography in patients screening
positive for fecal occult blood? A systematic review and economic evaluation.
AB - BACKGROUND & AIMS: Computerized tomography colonography (CTC) is a highly
accurate test for the detection of colorectal polyps and cancers and has been
proposed as a potential alternative to colonoscopy. Bowel cancer screening using
fecal occult blood testing (FOBT) and follow-up diagnostic colonoscopy is an
effective intervention that currently is being implemented in screening programs
internationally. Because of high false-positive rates for FOBT, concerns have
been raised about patient uptake and access to colonoscopy services. This study
assessed the value of CTC as an alternative to colonoscopy in FOBT-positive
individuals. METHODS: A systematic review of studies comparing the accuracy of
CTC and colonoscopy for the detection of lesions 10 mm or greater and cancers in
nonscreening populations was conducted. A modeled economic analysis was
undertaken to assess cost per life-year saved. RESULTS: Five eligible studies
were identified. Pooled sensitivity and specificity for the detection of lesions
10 mm or greater were 63% (95% confidence interval [CI], 55%-71%) and 95% (95%
CI, 94%-97%) for CTC, and 95% (95% CI, 90%-98%) and 99.8% (95% CI, 99.5%-100%)
for colonoscopy, respectively (3 studies). Pooled sensitivity and specificity for
the detection of cancer were 89% (95% CI, 70%-98%) and 97% (95% CI, 95%-98%) for
CTC, and 96% (95% CI, 80%-100%) and 99.7% (95% CI, 99%-100%) for colonoscopy,
respectively (3 studies). The base case economic analysis showed that CTC is less
effective and more costly than colonoscopy. At a low prevalence of polyps,
sensitivity analysis found CTC was less effective and less costly than
colonoscopy; if CTC was more sensitive than colonoscopy, CTC was more effective,
at higher cost. CONCLUSIONS: Overall, CTC appears less accurate, less effective,
and potentially more costly than colonoscopy in individuals with a positive FOBT.
PMID- 18054756
TI - Environmental conditions in early life influence ageing rates in a wild
population of red deer.
PMID- 18054753
TI - Serum hepatitis B surface antigen quantitation can reflect hepatitis B virus in
the liver and predict treatment response.
AB - BACKGROUND & AIMS: We aimed to evaluate serum hepatitis B surface antigen (HBsAg)
quantitation as a surrogate marker for covalently closed circular DNA (cccDNA)
and intrahepatic hepatitis B virus (HBV) DNA, and as a predictor of sustained
virologic response to peginterferon and lamivudine combination therapy. METHODS:
Twenty-six hepatitis B e antigen-positive chronic hepatitis B patients receiving
combination treatment of 32-week peginterferon alfa-2b and 2-year lamivudine were
studied. All patients had liver biopsy before and after treatment for cccDNA and
intrahepatic HBV DNA measurement. Sustained virologic response was defined as
sustained hepatitis B e antigen seroconversion and HBV DNA less than 10,000
copies/mL at the end of treatment until 1 year posttreatment. RESULTS: Seven
patients developed sustained virologic response. At baseline, HBsAg correlated
well with both log (cccDNA) (r = 0.54, P = .004) and log [total intrahepatic HBV
DNA] (r = 0.43, P = .028). The median reduction of HBsAg was 1287 IU/mL (range,
12,223-26,763 IU/mL). Reduction of HBsAg has good correlation with reduction in
log [cccDNA] (r = 0.68, P < .0001) and reduction in log [total intrahepatic HBV
DNA] (r = 0.65, P < .0001). Patients with lower baseline cccDNA, intrahepatic HBV
DNA, and HBsAg level but not serum HBV DNA level tend to develop sustained
virologic response. A baseline HBsAg level of less than 10,000 IU/mL had
sensitivity, specificity, and positive and negative predictive values for
sustained virologic response of 86%, 56%, 43%, and 92%, respectively.
CONCLUSIONS: Serum HBsAg levels correlate well with the cccDNA and intrahepatic
HBV DNA. Low pretreatment HBsAg is better than HBV DNA to predict good response
to peginterferon and lamivudine treatment.
PMID- 18054757
TI - Generation of uniform fly retinas.
PMID- 18054758
TI - Working memory of numerals in chimpanzees.
PMID- 18054759
TI - Developmental biology: hippo promotes posterior patterning by preventing
proliferation.
AB - The Hippo pathway is a potent regulator of tissue growth. Two recent studies
report a new function of the pathway in the differentiation of the follicular
epithelium during Drosophila oogenesis.
PMID- 18054760
TI - Animal cognition: time flies when chimps are having fun.
AB - Chimpanzees, like children, actively distract themselves to cope with waiting for
a desired but delayed reward. Self-control may thus be a capacity we share with
our nonhuman primate relatives.
PMID- 18054761
TI - Motion processing: where the medium is the message.
AB - The primate retina serves up three channels for visual entertainment, of which
just one is used for the primary analysis of motion. A prominent, unique class of
neuron has a dominant role in transmission from cortical area V1.
PMID- 18054762
TI - Genital Evolution: blurring the battle lines between the sexes.
AB - The rapid, divergent evolution of genitalia is a general trend in animals and
likely influenced by sexual selection. Contrary to previous ideas, an intriguing
new study suggests that sexual selection by sexual conflict can promote the
evolution of both male and female genitalia.
PMID- 18054763
TI - Evolution of protein expression: new genes for a new diet.
AB - A new study identifies gene duplication of a salivary enzyme as a recent
adaptation to changes in diet among human populations, highlighting the diverse
ways that gene regulation can evolve.
PMID- 18054764
TI - Organelle motility: running on unleadened.
AB - The study of fish and amphibian melanocytes has yielded a wealth of information
on the regulation of microtubule- and actin-based motor proteins involved in
organelle transport. A new zebrafish mutant provides further insight into how the
actions of these motors are coordinated in vivo.
PMID- 18054765
TI - Sensory ecology: see me, hear me.
AB - The animal world is replete with vibrant colours: these are often used as display
signals and selection has solved a fundamental problem in information transfer by
enhancing the detectability of these signals against the backgrounds on which
they are perceived by the particular sensory systems of their receivers.
PMID- 18054766
TI - Signal transduction: networks and integrated circuits in bacterial cognition.
AB - Signal transduction systems that mediate adaptive changes in gene expression to
specific sensory inputs have been well characterized. Recent studies have focused
on mechanisms that allow crosstalk between different information-processing
modalities.
PMID- 18054767
TI - Motor learning: passing a skill from one hand to the other.
AB - A recent study has provided surprising new insights into the neural mechanisms
underlying our ability to transfer a learned motor skill from one hand to the
other.
PMID- 18054768
TI - Nocturnal bees.
PMID- 18054769
TI - Columbines.
PMID- 18054770
TI - Termites.
PMID- 18054771
TI - Aptamer biosensor for protein detection using gold nanoparticles.
AB - Combining gold nanoparticles (GNPs) as fluorescence quencher and aptamer as
probe, we have developed protein biosensors by using DNA-modified GNPs. We
examined how the experimental design, such as the type of interaction between DNA
strands and GNPs, temperature, and microenvironment of aptamer, influences the
recognition ability of the biosensor. Under our experimental conditions, the
recognition of protein by the complex of dye-labeled DNA hybridized with aptamer
that is immobilized on GNPs (Ap-Im-GNPs) shows the best character in protein
detection.
PMID- 18054772
TI - Use of layer silicate for protein crystallization: effects of Micromica and
chlorite powders in hanging drops.
AB - Two kinds of layer silicate powder, Micromica and chlorite, were used to aid
protein crystallization by the addition to hanging drops. Using appropriate
crystallization buffers, Micromica powder facilitated crystal growth speed for
most proteins tested in this study. Furthermore, the addition of Micromica powder
to hanging drops allowed the successful crystallization of lysozyme, catalase,
concanavalin A, and trypsin even at low protein concentrations and under buffer
conditions that otherwise would not generate protein crystals. Except for
threonine synthase and apoferritin, the presence of chlorite delayed
crystallization but induced the formation of large crystals. X-ray analysis of
thaumatin crystals generated by our novel procedure gave better quality data than
did that of crystals obtained by a conventional hanging drop method. Our results
suggest that the speed of crystal growth and the quality of the corresponding X
ray data may be inversely related, at least for the formation of thaumatin
crystals. The effect of Micromica and chlorite powders and the application of
layer silicate powder for protein crystallization are discussed.
PMID- 18054773
TI - Synthesis of functional proteins using Escherichia coli extract entrapped in
calcium alginate microbeads.
AB - In this report, we describe the construction and analysis of a cell-free protein
synthesis system immobilized in calcium alginate microbeads. When incubated in a
feeding solution that contained amino acids and other low-molecular-weight
substrates, the microbeads transcribed and translated coimmobilized DNA into
functional proteins. Protein synthesis continued for more than 15 h with the
diffusional supply of substrates and removal of by-products. In addition,
functional proteins were generated from PCR-amplified genes as efficiently as
from plasmid, suggesting that these cell-like microbeads could be used for
functional screening of genomic libraries.
PMID- 18054774
TI - Capillary electrophoresis for the quality control of chondroitin sulfates in raw
materials and formulations.
AB - Exogenous administration of chondroitin sulfate (CS) is widely practiced for the
treatment of osteoarthritis, although the efficacy of this treatment has not been
completely established by clinical studies. A reason for the inconsistency of the
results may be the quality of the CS preparations, which are commercially
available as dietary supplements. In this article, we describe the development of
a new method of capillary electrophoresis (CE) for the quantification of CS
concentrations, screening for other glycosaminoglycan or DNA impurities and
determination of hyaluronan impurities in CS raw materials, tablets, hard
capsules, and liquid formulations. Analysis is performed within 12 min in bare
fused silica capillaries using reversed polarity and an operating phosphate
buffer of low pH. The method has high sensitivity (lower limit of quantitation
[LLOQ] values of 30.0 microg/ml for CS and 5.0 microg/ml for hyaluronan), high
precision, and accuracy. Analysis of 11 commercially available products showed
the presence of hyaluronan impurities in most of them (up to 1.5%). CE analysis
of the samples after treatment with chondroitinase ABC and ACII, which
depolymerize the chains to unsaturated disaccharides, with a previously described
method (Karamanos et al., J. Chromatogr. A 696 (1995) 295-305) confirmed the
results of hyaluronan determination and showed that the structural
characteristics (i.e., disaccharide composition) of CS are very different,
showing the different species or tissue origin and possibly affecting the
therapeutic outcome.
PMID- 18054775
TI - Inhibition of squalene synthase upregulates PCSK9 expression in rat liver.
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) plays a critical role in
cholesterol metabolism by enhancing the degradation of the LDL receptor protein
in the liver. It has previously been shown that administration of zaragozic acid
A (ZA), a potent inhibitor of squalene synthase, also significantly increases the
rate of degradation of hepatic LDL receptor protein. Thus, we decided to
determine whether ZA administration might act to up regulate hepatic expression
of the rat PCSK9 gene. Administration of ZA resulted in increased PCSK9 mRNA and
protein levels in rat liver surprisingly in concert with an increase in hepatic
LDL receptor mRNA levels, LDL receptor protein turnover, and decreased serum
cholesterol levels. These observations suggest an involvement of PCSK9 in hepatic
LDL receptor protein degradation and perhaps, in increasing the rate of LDL
receptor cycling resulting in lower serum cholesterol levels in response to
cholesterol biosynthesis inhibitors.
PMID- 18054776
TI - Molecular and functional characterization of D-3-phosphoglycerate dehydrogenase
in the serine biosynthetic pathway of the hyperthermophilic archaeon Sulfolobus
tokodaii.
AB - A gene (ST1218) encoding a D-3-phosphoglycerate dehydrogenase (PGDH; EC 1.1.1.95)
homolog was found in the genome of Sulfolobus tokodaii strain 7 by screening a
database of enzymes likely to contribute to l-serine biosynthesis in
hyperthermophilic archaea. After expressing the gene in Escherichia coli, the
PGDH activity of the recombinant enzyme was assessed. Homogeneous PGDH was
obtained using conventional chromatography steps, though during the purification
an unexpected decline in enzyme activity was observed if the enzyme was stored in
plastic tubes, but not in glass ones. The purified enzyme was a homodimer with a
subunit molecular mass of about 35kDa and was highly thermostable. It preferably
acted as an NAD-dependent D-3-phosphoglycerate (3PGA) dehydrogenase. Although
NADP had no activity as the electron acceptor, both NADPH and NADH acted as
electron donors. Kinetic analyses indicated that the enzyme reaction proceeds via
a Theorell-Chance Bi-Bi mechanism. Unlike E. coli PGDH, the S. tokodaii enzyme
was not inhibited by l-serine. In addition, both the NAD-dependent 3PGA oxidation
and the reverse reaction were enhanced by phosphate and sulfate ions, while NADPH
dependent 3-phosphohydroxypyruvate (PHP) reduction was inhibited. Thus S.
tokodaii PGDH appears to be subject to a novel regulatory mechanism not seen
elsewhere. A database analysis showed that ST1218 gene forms a cluster with
ST1217 gene, and a functional analysis of the ST1217 product expressed in E. coli
revealed that it possesses l-glutamate-PHP aminotransferase activity. Taken
together, our findings represent the first example of a phosphorylated serine
pathway in a hyperthermophilic archaeon.
PMID- 18054777
TI - Effect of double-strand break DNA sequence on the PARP-1 NHEJ pathway.
AB - Efficient repair of DNA double-strand breaks (DSBs) is critical for the
maintenance of genomic integrity. In mammalian cells, DSBs are preferentially
repaired by non-homologous end-joining (NHEJ). We have previously described a new
DSBs microhomology end-joining pathway depending on PARP-1 and the XRCC1/DNA
ligase III complex. In this study we analysed, with recombinant proteins and
protein extracts, the effect of DSB end sequences: (i) on the DSB synapsis
activity; (ii) on the end-joining activity. We report that PARP-1 DSB synapsis
activity is independent of the DSB sequence and could be detected with non
complementary DSBs. We demonstrate also that the efficiency of DSBs repair by
PARP-1 NHEJ is strongly dependent on the presence of G:C base pairs at
microhomology termini. These results highlight a new role of the PARP-1 protein
on the synapsis of DSBs and could explain why the PARP-1 NHEJ pathway is strongly
dependent on the DSBs microhomology sequence.
PMID- 18054778
TI - Fragment responsible for translocation in the N-terminal domain of human
topoisomerase I.
AB - The N-terminal domain is a fragment that binds proteins and anchors topoisomerase
I in the nucleolus. As a separate polypeptide, it translocates from the nucleolus
to nucleoplasm upon camptothecin treatment. In this paper, we show that the
translocation depends on the short fragment of the domain (residues from 1 to
67). We also present a list of proteins that specifically bind to the fragment
responsible for translocation.
PMID- 18054779
TI - Unwanted intrusive images in obsessive compulsive disorders.
AB - The nature and content of intrusive images in obsessional compulsive disorders
(OCD) are described. They are primarily visual, usually vivid, effortless, fully
formed, of brief duration, and remarkably stable. The persistence and changes of
the images are described and some therapeutic possibilities considered.
PMID- 18054780
TI - Dynamic endogenous association of neurofilament mRNAs with K-homology domain
ribonucleoproteins in developing cerebral cortex.
AB - The low, middle, and high molecular mass neurofilament subunit proteins (NF-L, NF
M, and NF-H) co-polymerize to form neurofilaments (NFs). During development, NF
subunit expression is highly regulated, and in neurodegenerative disease,
aberrant regulation of this expression can lead to the formation of harmful
aggregates. NF expression in both development and disease is under significant
post-transcriptional control, but the specific ribonucleoproteins (RNPs) involved
are only poorly understood. Previously, mass spectrometry on affinity purified
proteins from rat brain identified three K-homology (KH) domain RNPs - hnRNP K,
hnRNP E1, hnRNP E2 - as being capable of binding NF-M RNA. In the current study,
to determine whether these RNPs associate with NF mRNAs endogenously, we
performed a co-immunoprecipitation assay on homogenates of postnatal and
developing rat cerebral cortex. We found that all three NF mRNAs indeed
associated endogenously with these RNPs and that the degree of this association
changed during postnatal development, a period when NF expression is under
significant post-transcriptional control. The degree of these associations
changed independently of the abundance of either the RNPs or the NF messages,
indicating that the RNA-protein interactions themselves are directly regulated.
This study is consistent with a model whereby these RNPs and NF mRNAs are
components of a dynamic post-transcriptional regulatory module that influences
the cytoskeletal compositions of neurons.
PMID- 18054781
TI - The role of Slit-Robo signaling in the generation, migration and morphological
differentiation of cortical interneurons.
AB - Cortical interneurons in rodents are generated in the ventral telencephalon and
migrate tangentially into the cortex. This process requires the coordinated
action of many intrinsic and extrinsic factors. Here we show that Robo1 and Robo2
receptor proteins are dynamically expressed throughout the period of
corticogenesis and colocalize with interneuronal markers, suggesting that they
play a role in the migration of these cells. Analysis of Robo mutants showed a
marked increase in the number of interneurons in the cortices of Robo1(-/-), but
not Robo2(-/-), animals throughout the period of corticogenesis and in adulthood;
this excess number of interneurons was observed in all layers of the developing
cortex. Using BrdU incorporation in dissociated cell cultures and phosphohistone
3 labeling in vivo, we demonstrated that the increased number of interneurons in
Robo1(-/-) mice is, at least in part, due to increased proliferation.
Interestingly, a similar increase in proliferation was observed in Slit1(-/
)/Slit2(-/-) mutant mice, suggesting that cell division is influenced by Slit
Robo signaling mechanisms. Morphometric analysis of migrating interneurons in
Robo1(-/-), Robo2(-/-) and Slit1(-/-)/Slit2(-/-), but not in Slit1(-/-) mice,
showed a differential increase in neuronal process length and branching
suggesting that Slit-Robo signaling also plays an important role in the
morphological differentiation of these neurons.
PMID- 18054782
TI - The role of gonadal hormones on opioid receptor protein density in arthritic
rats.
AB - The purpose of this study was to evaluate the effects of the gonadal hormones on
the opioid receptor protein levels of Freund's adjuvant-treated (arthritic) male
and female Lewis rats. Following a paw pressure nociception assay, the midbrain
and spinal cord tissues were collected for comparison of mu, delta, and kappa
opioid receptor protein levels. The effects of Freund's adjuvant-induced
hyperalgesia resulted in significantly decreased nociception thresholds in both
males and females, compared to vehicle treated animals in the paw pressure test.
It was hypothesized that the presence or lack thereof of gonadal hormones would
alter nociception, an effect temporally correlated with a change in opioid
receptor protein expression. Nociceptive thresholds were altered by arthritis in
both sexes, but not further altered by gonadal changes in males. A small, but
significant increase in threshold was shown in ovariectomized females. In spite
of the small gonadal-induced changes in the nociceptive threshold sensitivity to
pressure, significant changes in the plasticity of the opioid system were
observed. There was a significant increase in kappa opioid receptor protein
levels in the spinal cord of arthritic ovariectomized females. Mu opioid receptor
and kappa opioid receptor protein levels in the spinal cord tissue of non
arthritic male rats were significantly higher than in arthritic rats, a
difference eliminated by gonadectomy. Gonadectomy produced similar results in the
mu opioid receptor protein level in the male midbrain tissue as well. Sex
differences were observed in both the mu and kappa opioid receptor protein
levels. The spinal cord tissue of male rats, regardless of the presence of gonads
or arthritis displayed significantly greater levels of mu opioid receptor protein
levels than females. The removal of gonadal hormones appears to have opposite
effects in males and females in terms of opioid receptor proteins, but not
nociception as quantified by the paw pressure test. The role of changes in the
plasticity of the opioid systems in response to arthritis or gonadal hormones
remains to be elucidated.
PMID- 18054783
TI - Inducible IL-23p19 expression in human microglia via p38 MAPK and NF-kappaB
signal pathways.
AB - Activated microglia can release a variety of proinflammatory cytokines that play
a crucial role in the pathogenesis of multiple sclerosis (MS). IL-23, a novel
proinflammatory cytokine, is required for the induction of experimental
autoimmune encephalomyelitis. Previously we demonstrated that IL-23 is expressed
in MS lesions and that microglia are one cellular source of IL-23 in MS patients.
In the present study we investigated the inducible expression and regulation of
p19, a key subunit of IL-23, in human microglia. We demonstrated the inducible
expression of IL-23p19 by lipopolysaccharide-stimulated microglial cells. Using
signaling pathway-specific inhibitors, we showed that blocking p38 MAP kinase or
NF-kappaB signaling pathway significantly reduced p19 expression in microglia.
The regulatory role of p38 MAP kinase in p19 expression was further confirmed by
decreased expression in microglia transduced with dominant-negative p38. We
concluded that the p38 MAP kinase and NF-kappaB signaling pathways play an
important role in regulation of IL-23p19 expression on human microglia, and are
thus potential therapeutic targets in the treatment of MS.
PMID- 18054785
TI - Comprehensive screening of acidic and neutral drugs in equine plasma by liquid
chromatography-tandem mass spectrometry.
AB - A multi-target high-throughput liquid chromatography-tandem mass spectrometry (LC
MS-MS) method for the detection of low ppt to low ppb levels of anabolic
steroids, corticosteroids, anti-diabetics, and non-steroidal anti-inflammatory
drugs (NSAIDs) in equine plasma was developed for the purpose of doping control.
Plasma samples were first deproteinated by addition of trichloroacetic acid.
Drugs were then extracted by solid-phase extraction (SPE) using Bond Elut Certify
cartridges, and the extracts were analysed by a triple-quadrupole/linear ion trap
LC-MS-MS instrument in positive electrospray ionization (+ESI) mode with selected
reaction monitoring (SRM) scan function. Chromatographic separation of the
targeted drugs was achieved using a reverse phase 3.3 cm L x 2.1 mm ID, 3 microm
particle size LC column with gradient elution. Plasma samples fortified with 66
targeted drugs including betamethasone, boldione, capsaicin, flunisolide,
gestrinone, gliclazide, 17alpha-hydroxyprogesterone hexanoate, isoflupredone and
triamcinolone acetonide, etc. at low ppt to low ppb levels could be consistently
detected. No significant matrix interference was observed at the retention time
of the targeted ion transitions when blank plasma samples were analysed. The
method has been validated for its extraction recoveries, precision and
sensitivity, and is used regularly in the authors' laboratory to screen for the
presence of these drugs in plasma samples from racehorses.
PMID- 18054786
TI - Anion-exchange chromatography on short reversed-phase columns modified with
amphoteric (N-dodecyl-N,N-dimethylammonio)alcanoates.
AB - Short reversed-phase columns (50 mm x 4.6 mm Gemini C(18)) were dynamically
coated with carboxybetaines of the general structure,
C(12)H(25)N(+)(CH(3))(2)(CH(2))(n)COOH, namely (N-dodecyl-N,N
dimethylammonio)undecanoate, DDMAU (n=10) and (N-dodecyl-N,N
dimethylammonio)butyrate, DDMAB (n=3), and investigated for the separation of
inorganic anions in ion chromatography. The role of the ionic strength of coating
surfactant solutions on their adsorption and resultant column capacity was
studied. The retention of inorganic anions was investigated with different
eluents at various concentrations and pH. Interestingly, no retention for anions
was found with pure water as the eluent, but the addition of small amounts of
electrolytes, up to 0.1 mM, caused a sharp increase in the retention of analytes.
The effect of increasing anion retention with an increase in eluent cation charge
was also observed. Based on this effect a new cation charge gradient concept was
proposed and applied to the separation of a standard mixture of anions.
PMID- 18054784
TI - Autotaxin and lysophosphatidic acid stimulate intestinal cell motility by
redistribution of the actin modifying protein villin to the developing
lamellipodia.
AB - Autotaxin (ATX) is a potent tumor cell motogen that can produce lysophosphatidic
acid (LPA) from lysophosphatidylcholine. LPA is a lipid mediator that has also
been shown to modulate tumor cell invasion. Autotaxin mRNA is expressed at
significant levels in the intestine. Likewise, LPA2 receptor levels have been
shown to be elevated in colon cancers. The molecular mechanism of ATX/LPA-induced
increase in intestinal cell migration however, remains poorly understood. Villin
is an intestinal and renal epithelial cell specific actin regulatory protein that
modifies epithelial cell migration. In this study we demonstrate that both Caco-2
(endogenous villin) and MDCK (exogenous villin) cells, which express primarily
LPA2 receptors, show enhanced cell migration in response to ATX/LPA. ATX and LPA
treatment results in the rapid formation of lamellipodia and redistribution of
villin to these cell surface structures, suggesting a role for villin in
regulating this initial event of cell locomotion. The LPA-induced increase in
cell migration required activation of c-src kinase and downstream tyrosine
phosphorylation of villin by c-src kinase. LPA stimulated cell motility was
determined to be insensitive to pertussis toxin, but was regulated by activation
of PLC-gamma 1. Together, our results show that in epithelial cells ATX and LPA
act as strong stimulators of cell migration by recruiting PLC-gamma 1 and villin,
both of which participate in the initiation of protrusion.
PMID- 18054787
TI - Analysis of benzene, toluene, ethylbenzene, xylenes and n-aldehydes in melted
snow water via solid-phase dynamic extraction combined with gas
chromatography/mass spectrometry.
AB - The present study describes a method based on headspace-solid-phase dynamic
extraction (HS-SPDE) followed by GC/MS for the qualitative and quantitative
analysis of benzene, toluene, ethylbenzene, o-, m- and p-xylene (BTEX), and n
aldehydes (C(6)-C(10)) in water. To enhance the extraction capability of the HS
SPDE a new cooling device was tested that controls the temperature of the SPDE
needle during extraction. Extraction and desorption parameters such as the number
of extraction cycles, extraction temperature, desorption volume and desorption
flow rate have been optimized. Detection limits for BTEX ranged from 19 ng/L
(benzene) to 30 ng/L (m/p-xylene), while those for n-aldehydes ranged from 21
ng/L (n-heptanal) to 63 ng/L (n-hexanal). At a concentration level of 2 microg/L,
the relative standard deviations (RSDs) for BTEX ranged from 3.9% (benzene) to
15.3% (ethylbenzene), while RSDs for n-aldehydes were between 6.1% (n-octanal)
and 16.5% (n-hexanal) (n=7). Best results were obtained when the analyzed water
samples were heated to 50 degrees C. At a water temperature of 70 degrees C GC
responses decreased for all analyzed compounds. At a defined water temperature, a
significant improvement of the GC response was achieved by cooling of the SPDE
fiber during water extraction in comparison to an extraction keeping the fiber at
room temperature. Evaluating the extraction cycles, for BTEX, the sensitivity was
almost similar using 20, 40 and 60 extraction cycles. In contrast, the highest GC
responses for n-aldehydes were achieved by the use of 60 extraction cycles.
Optimizing the desorption parameters, best results were achieved using the
smallest technical available desorption volume of 500 microL and the highest
technical desorption flow rate of 50 microL/s. The method was applied to the
analysis of melted snow samples taken from the Jungfraujoch, Switzerland (3580 m
asl), revealing the presence of BTEX and aldehydes in snow.
PMID- 18054788
TI - Multi-residue analysis of pharmaceutical compounds in aqueous samples.
AB - Pharmaceutical compounds are nowadays an emerging group of organic pollutants in
aquatic systems. Several methodologies have already been published to measure
these pollutants in the environment, showing the difficulties to take into
account the various compounds belonging to numerous therapeutical and chemical
groups. In order to develop environmental monitoring, there is a need for a less
costly and time-consuming multi-component procedure. The work presented here
deals with the development of an extraction procedure which enables the
measurement of a wide spectrum of pharmaceuticals at trace levels (ng 1(-1)) with
quite simple equipment (i.e. GC-MS with single quadruple as analyzer). The
analyzed compounds comprise anti-inflammatories, antidepressants and hypolipidic
drugs. The reliability and sensitivity have been tested on 18 different compounds
(7 basic compounds and 11 acidic drugs) extracted simultaneously and analyzed by
GC-MS. The optimized procedure has been successfully applied to the analysis of
wastewaters, surface waters and drinking waters from the following areas: first
the Cortiou rocky inlet, in the Mediterranean Sea (South coast of France), highly
impacted by the Marseilles wastewater treatment plant effluent and secondly the
Herault watershed by studying drinking water, surface water and wastewater. In
both cases, the level of pharmaceuticals was totally unknown. Results obtained
have demonstrated the suitability of the method for multi-residue analysis of
different types of water matrices.
PMID- 18054790
TI - A deoxyribozyme with a novel guanine quartet-helix pseudoknot structure.
AB - Here we report a deoxyribozyme with a unique structure that contains a two-tiered
guanine quadruplex interlinked to a Watson-Crick duplex. Through in vitro
selection, sequence mutation, and methylation interference, we show the presence
of both the two-tiered guanine-quadruplex and two helical regions contained in
the active structure of this self-phosphorylating deoxyribozyme. Interestingly,
one GG element of the quadruplex is part of a hairpin loop within one of the
identified helical regions. Circular dichroism analysis showed that antiparallel
quadruplex formation was dependent on this helix. To our knowledge, this is the
first report of a pseudoknot nucleic acid structure that involves a guanine
quadruplex. Our findings indicate that guanine quadruplexes can be part of
complex structural arrangements, increasing the likelihood of finding more
complex guanine quadruplex arrangements in biological systems.
PMID- 18054789
TI - Bloom's syndrome helicase and Mus81 are required to induce transient double
strand DNA breaks in response to DNA replication stress.
AB - Perturbed DNA replication either activates a cell cycle checkpoint, which halts
DNA replication, or decreases the rate of DNA synthesis without activating a
checkpoint. Here we report that at low doses, replication inhibitors did not
activate a cell cycle checkpoint, but they did activate a process that required
functional Bloom's syndrome-associated (BLM) helicase, Mus81 nuclease and ataxia
telangiectasia mutated and Rad3-related (ATR) kinase to induce transient double
stranded DNA breaks. The induction of transient DNA breaks was accompanied by
dissociation of proliferating cell nuclear antigen (PCNA) and DNA polymerase
alpha from replication forks. In cells with functional BLM, Mus81 and ATR, the
transient breaks were promptly repaired and DNA continued to replicate at a slow
pace in the presence of replication inhibitors. In cells that lacked BLM, Mus81,
or ATR, transient breaks did not form, DNA replication did not resume, and
exposure to low doses of replication inhibitors was toxic. These observations
suggest that BLM helicase, ATR kinase, and Mus81 nuclease are required to convert
perturbed replication forks to DNA breaks when cells encounter conditions that
decelerate DNA replication, thereby leading to the rapid repair of those breaks
and resumption of DNA replication without incurring DNA damage and without
activating a cell cycle checkpoint.
PMID- 18054791
TI - Mutations in the hydrophobic core of ubiquitin differentially affect its
recognition by receptor proteins.
AB - Ubiquitin (Ub) is one of the most highly conserved signaling proteins in
eukaryotes. In carrying out its myriad functions, Ub conjugated to substrate
proteins interacts with dozens of receptor proteins that link the Ub signal to
various biological outcomes. Here we report mutations in conserved residues of
Ub's hydrophobic core that have surprisingly potent and specific effects on
molecular recognition. Mutant Ubs bind tightly to the Ub-associated domain of the
receptor proteins Rad23 and hHR23A but fail to bind the Ub-interacting motif
present in the receptors Rpn10 and S5a. Moreover, chains assembled on target
substrates with mutant Ubs are unable to support substrate degradation by the
proteasome in vitro or sustain viability of yeast cells. The mutations have
relatively little effect on Ub's overall structure but reduce its rigidity and
cause a slight displacement of the C-terminal beta-sheet, thereby compromising
association with Ub-interacting motif but not with Ub-associated domains. These
studies emphasize an unexpected role for Ub's core in molecular recognition and
suggest that the diversity of protein-protein interactions in which Ub engages
placed enormous constraints on its evolvability.
PMID- 18054792
TI - Probing protein fold space with a simplified model.
AB - We probe the stability and near-native energy landscape of protein fold space
using powerful conformational sampling methods together with simple reduced
models and statistical potentials. Fold space is represented by a set of 280
protein domains spanning all topological classes and having a wide range of
lengths (33-300 residues) amino acid composition and number of secondary
structural elements. The degrees of freedom are taken as the loop torsion angles.
This choice preserves the native secondary structure but allows the tertiary
structure to change. The proteins are represented by three-point per residue,
three-dimensional models with statistical potentials derived from a knowledge
based study of known protein structures. When this space is sampled by a
combination of parallel tempering and equi-energy Monte Carlo, we find that the
three-point model captures the known stability of protein native structures with
stable energy basins that are near-native (all alpha: 4.77 A, all beta: 2.93 A,
alpha/beta: 3.09 A, alpha+beta: 4.89 A on average and within 6 A for 71.41%,
92.85%, 94.29% and 64.28% for all-alpha, all-beta, alpha/beta and alpha+beta,
classes, respectively). Denatured structures also occur and these have
interesting structural properties that shed light on the different landscape
characteristics of alpha and beta folds. We find that alpha/beta proteins with
alternating alpha and beta segments (such as the beta-barrel) are more stable
than proteins in other fold classes.
PMID- 18054793
TI - Telomere ResQue and preservation--roles for the Werner syndrome protein and other
RecQ helicases.
AB - Werner syndrome is an autosomal recessive disorder resulting from loss of
function of the RecQ helicase, WRN protein. WS patients prematurely develop
numerous clinical symptoms and diseases associated with aging early in life and
are predisposed to cancer. WRN protein and many other RecQ helicases in general,
seem to function during DNA replication in the processing of stalled replication
forks. Genetic, cellular and biochemical evidence support roles for WRN in proper
replication and repair of telomeric DNA, and indicate that telomere dysfunction
contributes to the WS disease pathology.
PMID- 18054794
TI - Dyskeratosis Congenita: a historical perspective.
AB - "Dyskeratosis Congenita (DC) also known as Zinsser-Engman-Cole syndrome is a rare
multi-system bone marrow failure syndrome characterised by mucocutaneous
abnormalities and an increased predisposition to cancer". This is a common
definition of DC but how did this definition arise? The aim of this review is to
follow the development of DC and associated diseases from its first reported
description in the early 20th century to the current understanding of the genes
involved and its pathophysiology in 2007 in a chronological order. Although this
review is not intended to be an exhaustive citation of the literature available
it does provide a summary of the key developments, citing particularly the
earlier reports of each development.
PMID- 18054795
TI - Common troublesome symptoms and their impact on quality of life in patients with
myelodysplastic syndromes (MDS): results of a large internet-based survey.
AB - Despite the clinical importance of health-related quality of life (QOL) in
patients suffering from myelodysplastic syndromes (MDS), few data exist on the
prevalence of key MDS-associated symptoms, or the correlation of those symptoms
with specific disease features such as hemoglobin level. In order to better
understand the burden of disease-associated symptoms in patients with MDS, we
designed a 120-question Internet-based survey of QOL appropriate for patients
with MDS, incorporating validated QOL measurement instruments and questions about
specific therapies. The 359 survey respondents were typical of MDS patients in
terms of demographics, blood counts, and disease subtype. Patients reported high
levels of excessive fatigue and poor scores on QOL assessments such as the
Functional Assessment of Cancer Therapy-Anemia (FACT-An) and the Brief Fatigue
Inventory (BFI). Patients' debilitating fatigue correlated poorly with hemoglobin
level, and fatigue was associated with significant impairment of both health
related QOL and ability to work or participate in desired activities. Within the
limitations of self-reported data, these results provide a benchmark for future
interventions to improve QOL in patients with MDS.
PMID- 18054797
TI - Role of cytomegalovirus in sensorineural hearing loss of children: a case-control
study Tehran, Iran.
AB - BACKGROUND: Congenital CMV is the most common cause of nonhereditary
sensorineural hearing loss in children. More than 40% of deafness cases with an
unknown cause are due to congenital CMV. When CMV infection is diagnosed in the
first year of life, treatment with gancyclovir is recommended and is associated
with diminished occurrence of SNHL. Previous studies in Tehran showed congenital
CMV infection developed in 2.6% of neonates. OBJECTIVE: To determine the role of
cytomegalovirus in sensorineural hearing loss in children by comparing the CMV
antibodies quantitatively between SNHL and controls. METHODS AND MATERIALS: This
case-control study was done in the ENT Department of Hazrat Rasoul Akram Hospital
in Tehran (2002-2003). This study was carried out based on diagnostic parameters
(AAO criteria) for SNHL and a healthy control. We compared the specific
cytomegalovirus antibodies (IgM and IgG measured by ELISA method) in 95 blood
samples of infants with SNHL (mean age=35+/-30 months) and 63 healthy matched
infants (mean age=38.7+/-27.3 months) as controls. 59.6% of cases were male;
40.4% were female. Acute and previous immunity to cytomegalovirus (IgM and IgG)
was found in 34.7% and 72.6% of SNHL children, acute infection detected in 3.5%
of controls, previous immunity (IgG) detected in 94.7% of them. Acute CMV
infection was higher in the cases (P-value=0.000) but previous immunity (CMV-IgG)
was higher in the controls (P-value=0.001). Mean age of cases with acute
infection (CMV-IgM) was 40 months and for previous immunity (CMV-IgG) was 35
months in SNHL cases and 40 months in controls, respectively. CONCLUSION:
Cytomegalovirus is one of the most common infectious agents in SNHL children
compared to the healthy children. Probably both congenital and acquired CMV can
induce progressive hearing loss in our cases. We prefer at least in our country
to consider seropositive (CMV-IgM) SNHL children (less than 1 year old) as
congenital form. But we are not able to differentiate the congenital from the
acquired infection in seropositive (CMV-IgM) SNHL children after first year of
life. It should be subjected to randomized controlled trial for treatment of
acquired type of CMV infection in SNHL children with ganciclovir.
PMID- 18054796
TI - Feedforward and feedback inhibition in neostriatal GABAergic spiny neurons.
AB - There are two distinct inhibitory GABAergic circuits in the neostriatum. The
feedforward circuit consists of a relatively small population of GABAergic
interneurons that receives excitatory input from the neocortex and exerts
monosynaptic inhibition onto striatal spiny projection neurons. The feedback
circuit comprises the numerous spiny projection neurons and their
interconnections via local axon collaterals. This network has long been assumed
to provide the majority of striatal GABAergic inhibition and to sharpen and shape
striatal output through lateral inhibition, producing increased activity in the
most strongly excited spiny cells at the expense of their less strongly excited
neighbors. Recent results, mostly from recording experiments of synaptically
connected pairs of neurons, have revealed that the two GABAergic circuits differ
markedly in terms of the total number of synapses made by each, the strength of
the postsynaptic response detected at the soma, the extent of presynaptic
convergence and divergence and the net effect of the activation of each circuit
on the postsynaptic activity of the spiny neuron. These data have revealed that
the feedforward inhibition is powerful and widespread, with spiking in a single
interneuron being capable of significantly delaying or even blocking the
generation of spikes in a large number of postsynaptic spiny neurons. In
contrast, the postsynaptic effects of spiking in a single presynaptic spiny
neuron on postsynaptic spiny neurons are weak when measured at the soma, and
unable to significantly affect spike timing or generation. Further, reciprocity
of synaptic connections between spiny neurons is only rarely observed. These
results suggest that the bulk of the fast inhibition that has the strongest
effects on spiny neuron spike timing comes from the feedforward interneuronal
system whereas the axon collateral feedback system acts principally at the
dendrites to control local excitability as well as the overall level of activity
of the spiny neuron.
PMID- 18054798
TI - Defective apoptotic cell clearance in asthma and COPD--a new drug target for
statins?
AB - Asthma and chronic obstructive pulmonary disease represent increasingly common
respiratory conditions with a clear unmet need for more effective and safer
therapy. Airway inflammation is key to both asthma pathogenesis and exacerbations
of symptoms in chronic obstructive pulmonary disease. Several lines of evidence
are now emerging implicating the increased persistence of apoptotic cells in
patients with chronic inflammatory lung diseases and that this is largely due to
a combination of inhibition of, or defects in, the apoptotic process and/or
impaired apoptotic cell removal mechanisms.
PMID- 18054799
TI - HIV-1 protease inhibitors: effects on HIV-2 replication and resistance.
AB - Novel antiretroviral drugs include protease (PR) inhibitors (e.g. atazanavir,
tipranavir and darunavir) that block HIV-1 maturation and show remarkable
antiviral potency on drug-resistant isolates. However, the strains used as
prototypes in the design of the novel drugs belong to a specific clade (i.e. HIV
1 group M subtype B), which is the most prevalent in developed countries. At the
same time, there is an increasing concern about the expansion of other HIV-1
clades as well as other related retroviruses, such as HIV-2. The HIV-2 PR is
weakly inhibited by some PR inhibitors (e.g. amprenavir), and little is known of
the mutational pathways leading to drug resistance in this virus. The design of
specific PR inhibitors targeting HIV-2, or potent drugs showing broad specificity
on HIV-1 and HIV-2 clades, remains a major challenge for the future.
PMID- 18054800
TI - CDK inhibitors in cancer therapy: what is next?
AB - The pursuit for drugs that inhibit cyclin-dependent kinases (CDKs) has been an
intense area of research for more than 15 years. The first-generation inhibitors,
Flavopiridol and CY-202, are in late-stage clinical trials, but so far have
demonstrated only modest activity. Several second-generation inhibitors are now
in clinical trials. Future approaches to determine clinical benefit need to
incorporate both the lessons learned from these early compounds and information
recently obtained from the genetic analysis of CDKs in preclinical models. Here
we discuss key concepts that should be considered when validating the clinical
utility of CDK inhibitors in cancer therapy.
PMID- 18054801
TI - Calcium dynamics: analyzing the Ca2+ regulatory network in intact cells.
AB - Calcium signaling is critical for all cells. As a free ion (Ca(2+)), calcium
links many physiological stimuli to their intracellular effectors by interacting
with binding proteins whose occupancy determines the cellular effect of
stimulation. Because binding site occupancy depends on the history of Ca(2+)
concentration ([Ca(2+)]), Ca(2+) dynamics are critical. Calcium dynamics depend
on the functional interplay between Ca(2+) transport and buffering systems whose
activities depend nonlinearly on [Ca(2+)]. Thus, understanding Ca(2+) dynamics
requires detailed information about these Ca(2+) handling systems and their
regulation in intact cells. However, effective methods for measuring and
characterizing intracellular Ca(2+) handling have not been available until
recently. Using concepts relating voltage-gated ion-channel activity to membrane
potential dynamics, we developed such methods to analyze Ca(2+) fluxes in intact
cells. Here we describe this approach and applications to understanding
depolarization-induced Ca(2+) responses in sympathetic neurons.
PMID- 18054802
TI - Water movements in the brain: role of aquaporins.
AB - About 80% of the brain is water. This review discusses the importance of the
three brain water-channel proteins (AQP1, AQP4, AQP9) in brain physiology. AQP1
is expressed in the choroid plexus and participates in forming cerebrospinal
fluid. AQP4, found in astrocyte foot processes, glia limitans and ependyma,
facilitates water movement into and out of the brain, accelerates astrocyte
migration and alters neuronal activity. Recently, AQP4 autoantibodies were
discovered in patients with neuromyelitis optica, a demyelinating disease, and
are now being used to diagnose this condition. AQP9 is present in some glia and
neurons, but its function is unclear. Finally, we discuss how the discovery of
AQP activators and inhibitors will be the next major step in this field.
PMID- 18054803
TI - Melanopsin: an exciting photopigment.
AB - The discovery that mice lacking rods and cones are capable of regulating their
circadian rhythms by light provided the conceptual framework for the discovery of
an entirely new photoreceptor system within the mammalian eye. We now know that a
small subset of retinal ganglion cells are directly photosensitive and utilize an
opsin/vitamin A-based photopigment called melanopsin maximally sensitive in the
blue part of the spectrum. We also know that these photosensitive retinal
ganglion cells mediate a broad range of physiological responses to light, ranging
from the regulation of circadian rhythms to pupil constriction. Most recently, it
has become clear that the melanopsins are only distantly related to visual
pigments and in terms of their biochemistry share more in common with
invertebrate photopigments. Here we outline the discovery of this remarkable new
photoreceptor system, review the structure of melanopsin and conclude with a
working model of melanopsin phototransduction.
PMID- 18054804
TI - Alarm substance induced behavioral responses in zebrafish (Danio rerio).
AB - Zebrafish (zebra danio) are becoming increasingly popular in behavioral
neuroscience and behavior genetics. This small vertebrate may be utilized in
modeling human brain disorders. One of the major neuropsychiatric conditions
still not well understood is abnormally increased fear and anxiety. Zebrafish may
be an appropriate organism with which these human diseases can be modeled and
their biological mechanisms investigated. Predator induced anxiety paradigms have
been suggested as useful methods in translational research. Shoaling fish, such
as zebrafish, are known to respond to alarm substances with antipredatory or
alarm reactions. However, these responses are not well characterized in
zebrafish. In the current paper, we investigate the behavioral responses of
zebrafish elicited by its alarm substance. Using observation-based as well as
video-tracking aided behavior quantification methods we demonstrate significant
alarm substance-induced behavioral changes that are independent of the presence
of a predatory fish stimulus. The results suggest that, once refined, the use of
alarm substance with zebrafish will allow the development of high throughput
behavioral paradigms for drug and mutation screening aimed at the analysis of the
biological mechanisms of fear in vertebrates.
PMID- 18054805
TI - The microinjection of AMPA receptor antagonist into the accumbens shell, but not
into the accumbens core, induces anxiolysis in an animal model of anxiety.
AB - This study investigated the effect of the AMPA receptor antagonist 6,7
dinitroquinoxaline-2,3-dione (DNQX) microinjected into the core and shell sub
regions of the accumbens nucleus (Acb), on the level of fear/anxiety and
emotional learning, in female rats submitted to the elevated plus-maze (EPM), an
animal model of anxiety. Bilateral microinjections of DNQX (330 and 660 ng) into
the Acb shell (AP, +1.08 to +2.16) induced an anxiolytic-like effect in relation
to rats microinjected with vehicle, since there was an increased percentage of
entries in the open arms of the maze. The 660 ng DNQX microinjection into the Acb
shell also increased the percentage of entries into the open arms in relation to
660 ng DNQX microinjection into the Acb core. Prior DNQX microinjections in both
core and shell sub-regions of the Acb failed to impair the emotional learning,
since the animals exhibited an increase of the open arm avoidance on EPM Trial 2
in relation to EPM trial 1. DNQX microinjections into both sub-regions of the Acb
did not change the number of entries into the enclosed arms, either in the EPM
Trial 1 or in the EPM Trial 2, which indicates an absence of drug-induced
locomotor impairment. Similarly, DNQX microinjections into both sub-regions of
the Acb failed to alter the total arm entries, rearing, grooming and head-dipping
frequency. The anxiolytic-like effect induced by DNQX suggests that the AMPA
receptor in the Acb shell, but not in the Acb core, may underlie anxiety
regulation in the EPM.
PMID- 18054806
TI - Effects of naphthalene on gene transcription in Calanus finmarchicus (Crustacea:
Copepoda).
AB - The planktonic copepod Calanus finmarchicus is a key species in the Northern
Atlantic food web; an oceanic area with extensive oil production. Naphthalene is
one of the major constituents of produced water and water soluble fractions of
petrogenic oils. This study investigates the effects on gene transcription of a
short term exposure to naphthalene at levels well below LC(50) concentrations.
This was done in order to establish a molecular basis of naphthalene toxicity in
a species which has previously been subject only to very limited studies at the
molecular level. Naphthalene exposure to C. finmarchicus was found to cause
glutathione S-transferase (GST) induction, indicating lipid peroxidation as the
major mode of naphthalene toxicity. There is no clear evidence that the putative
cytochrome P450 enzymes CYP1A2 and CYP330A1 mRNAs are parts of a detoxification
enzyme system. Instead, an observed decrease in CYP330A1 mRNA levels at the
highest naphthalene exposure concentration may indicate an effect on
ecdysteroidogenesis. Only the lowest naphthalene concentration lead to increased
mRNA levels of antioxidants SOD and CAT, indicating no clear evidence for general
cellular oxidative stress following exposure. Small and insignificant changes in
the HSP-70, HSP-90 and ubiquitin mRNA levels indicate a small degree of protein
damage owing to naphthalene exposure. The established culture of C. finmarchicus
at the SINTEF/NTNU Sealab, and the use of gene transcription analyses provide
excellent tools for improving the understanding of biochemical mechanisms
involved in the defense against environmental impacts and the molecular modes of
toxicity in this species.
PMID- 18054807
TI - Prevalence and significance of Brugada-type ECG in 12,012 apparently healthy
European subjects.
AB - BACKGROUND: The prevalence of the Brugada-type ECG and its natural history are
still unclear. The recently proposed diagnostic criteria have not been
systematically applied in a European general population. METHODS: We
retrospectively analyzed ECGs and clinical data of 12,012 apparently healthy
individuals who had undergone medical examination for occupational reasons. We
sought follow-up information on the subjects with at least one ECG fulfilling the
criteria for the Brugada pattern. RESULTS: A Brugada-type ECG was found in 23
subjects (0.19%; 95% confidence interval 0.12-0.27%) on the first ECG recorded in
that subject. In a further 8 cases, the Brugada pattern was present in a
subsequent ECG, so that it was present at some time in 31 subjects (0.26%, 95%
confidence interval 0.18-0.37%), all male. Only 2 patients displayed the
classical type 1 Brugada ECG pattern. In 29 patients (94%), clinical information
was available subsequent to the first Brugada pattern ECG recorded. The mean
follow-up was 10.1+/-5.5 years. A sudden death occurred in a 27-year-old man with
Brugada type 2 ECG pattern. No sudden death or symptomatic ventricular arrhythmia
occurred among the other 28 patients. The annual rate of sudden death associated
with Brugada ECG pattern was 0.3% per patient-year of follow-up. CONCLUSION: The
Brugada-type ECG pattern, as currently defined, is uncommon in a young healthy
male European population and confers a moderately elevated risk of sudden death.
Our findings underscore the need to study risk predictors in asymptomatic
patients with a Brugada-type ECG.
PMID- 18054808
TI - Lack of decrease in plasma N-terminal pro-brain natriuretic peptide identifies
acute heart failure patients with very poor outcome.
AB - BACKGROUND: Optimal risk stratification in heart failure patients surviving an
episode of acute decompensation has not yet been established. We investigated
whether a lack of significant decrease in plasma levels of N-terminal pro-brain
natriuretic peptide (NT-proBNP) during hospital stay can identify patients at
high risk of poor outcome. METHODS: We studied 103 consecutive patients with
acute heart failure (86 men, age: 64 + or - 13 years, LVEF: 28 + or - 8%). The
primary end-point was all-cause mortality at 1-year follow-up. RESULTS: Median
plasma NT-proBNP on admission was 6116 pg/mL (upper/lower quartiles: 3575,
10,958) vs. 2930 pg/mL (1674, 5794) after clinical stabilization (7 + or - 3 days
after admission). During the 1-year follow-up 29 (28%) patients died. A decrease
in plasma NT-proBNP during clinical recovery (expressed as percentage of NT
proBNP on admission) predicted favorable outcome in the single predictor analysis
(p<0.001) and multivariable analyses (p<0.001). Receiver operating characteristic
curve analysis revealed that 65% was the cut-off value for NT-proBNP decrease
having best prognostic accuracy for predicting death (sensitivity 90%,
specificity 37%, AUC=0.65, 95% CI: 0.54-0.74). Kaplan-Meier analysis showed that
12-month survival was 92% (95% CI: 81-100%) for patients with > pr = 65% NT
proBNP decrease vs 66% (95% CI: 56-76%) in those with <65% NT-proBNP decrease
(p=0.02). CONCLUSIONS: The magnitude of plasma NT-proBNP decrease in patients
with acute heart failure is helpful in discrimination of patients at high risk of
death. Plasma NT-proBNP level monitoring is important for risk stratification in
this group of patients.
PMID- 18054809
TI - The 12-week progressive quadriceps resistance training improves muscle strength,
exercise capacity and quality of life in patients with stable chronic heart
failure.
AB - BACKGROUND: Abnormalities in the skeletal musculature underlie exercise
intolerance in chronic heart failure (CHF). We investigated, whether in CHF
selective resistance training without accompanying aerobic regime favourably
affects muscle strength, muscle mass and improves exercise capacity. METHODS: Ten
patients with stable ischaemic CHF in NYHA class III (9 men, age: 70+/-6 years
[mean+/-SD], left ventricular ejection fraction: 30+/-5%, peak oxygen consumption
[peak VO(2)]: 12.4+/-3.0 mL/min/kg) underwent the rehabilitation programme which
consisted of a 12-week training phase (progressive resistance exercises
restricted to the quadriceps muscles) followed by a 12-week detraining phase.
RESULTS: All subjects completed a training phase of the programme with no adverse
events. Resistance training markedly increased quadriceps strength (right leg:
260+/-34 vs. 352+/-28 N, left leg: 264+/-38 vs. 342+/-30 N, both p<0.01 - all
comparisons: baseline vs. after training), but did not affect lean tissue mass of
lower extremities (both p>0.2). It was accompanied by an improvement in clinical
status (all NYHA III vs. all NYHA II, p<0.01), quality of life (Minnesota
questionnaire: 44+/-15 vs. 33+/-18 points, p<0.05), exercise capacity assessed
using a distance during 6-minute walk test (6MWT: 362+/-83 vs. 455+/-71 m,
p<0.01), but not peak VO(2) (p>0.2). Plasma NT-proBNP remained unchanged during
the training. At the end of detraining phase, only a partial improvement in
quadriceps strength (p<0.05), a 6MWT distance (p<0.05) and NYHA class (p=0.07 vs.
baseline) persisted. CONCLUSIONS: Applied resistance quadriceps training is safe
in patients with CHF. It increases muscle strength, improves clinical status,
exercise capacity, and quality of life.
PMID- 18054810
TI - Immobilization in alginate as a technique for the preservation of Bacillus
thuringiensis var. israelensis for long-term preservation.
AB - Technique for immobilization using sodium alginate as the matrix to preserve
Bacillus thuringiensis var. israelensis isolates for long time storage was
developed. Two strains of B. thuringiensis var. israelensis viz., VCRC B-17 and
WHO standard strain IPS-82 were immobilized in alginate matrix and preserved at 4
degrees C and when tested both were found to have maintained excellent viability
and mosquito larvicidal activity for 10 years. Mosquito larvicidal activity of B
17 and IPS-82 alginate beads, in term of LC(50) values before storage was 72.07
ng/ml and 47.07 ng/ml, respectively and after storage at 4 degrees C for a period
of 1 to 10 years the values ranged from 69.88 to 73.86 ng/ml with a mean of 72.38
ng/ml and 45.32 to 48.60 ng/ml with a mean of 47.49 ng/ml, respectively.
Similarly spore count of the beads of the respective strains was 4.37 x 10(8) and
3.33 x 10(10) CFU/mg before storage. After storage at 4 degrees C for a period of
1 to 10 years the counts of the beads of the respective strains ranged from 4.23
x 10(8) to 4.83 x 10(8) CFU/mg (mean of 4.49 x 10(8) CFU/mg) and 3.2 x 10(10) to
3.87 x 10(10) CFU/mg (mean of 3.54 x 10(10) CFU/mg). The alginate matrix
immobilization technique has many advantages over free cells are that they
enhance the stability of both spores and toxin against several physicochemical
conditions and confer reduced susceptibility to contamination.
PMID- 18054811
TI - Identification and characterization of a late AH1N2 human reassortant in France
during the 2002-2003 influenza season.
AB - We have previously shown a clear differential genetic evolution of the
hemagglutinin (HA) of human AH1N1 and AH1N2 viruses, isolated in southern France
between 2001 and 2004. However, our analysis revealed that one single AH1N2
isolate, detected in 2003 (A/Lyon/0838/2003), had its HA clustering within the
HAs of the AH1N1 subtypes. To determine if this virus was a new reassortant, the
nucleotide sequences of its eight RNA gene segments were compared with those of
five representative strains of the AH1N1, AH1N2 and AH3N2 viruses, isolated
during the same time-period. According to the sequences obtained, the
neuraminidase (NA) gene segment of the A/Lyon/0838/2003 (H1N2) virus was
genetically closely related to those of the AH1N2 viruses, whereas the six
internal genes appeared to be clustering with those of the AH1N1 viruses. This
suggested that the A/Lyon/0838/2003 (H1N2) virus was the result of a second
reassortment event that had occurred during the winter of 2002-2003; the N2 gene
segment of an AH1N2 virus being introduced into an AH1N1 genetic background.
Subsequently, we analysed the extremities of each gene segment of the viruses
from the different subtypes, and experimentally reproduced, in vitro, AH1N2
reassortant viruses through co-infection of Madin-Darby canine kidney (MDCK)
cells with both AH1N1 and AH1N2 viruses, isolated in the 2002-2003 influenza
season. While the comparison of the AH1N1 and the AH1N2 gene segment extremities
revealed no major differences, we successfully reproduced an AH1N2 reassortant
virus similar to the A/Lyon/0838/2003 (H1N2) virus. This result provided an
experimental evidence of the compatibility between their respective surface H1
and N2 glycoproteins, and suggests that similar events may occur silently amongst
human subtypes.
PMID- 18054812
TI - A novel IRQ ligand-modified nano-carrier targeted to a unique pathway of caveolar
endocytic pathway.
AB - In the present study, the cellular uptake and subsequent intracellular
trafficking of liposomes was investigated, in which a novel peptide (IRQ),
identified with in vivo phage display, was modified on the surface. Since the
novel peptide IRQRRRR is rich in arginine, the cellular uptake mechanism was
compared with octaarginine (R8)-modified liposomes, which are known to be taken
up by cells via macropinocytosis. The uptake mechanism and intracellular
trafficking of peptide-modified liposomes was determined by confocal laser
scanning microscopy and flow cytometry analysis. Modification of the liposomal
surface with the IRQ peptide (IRQ-Lip), induced internalization via a novel
pathway-caveolar endocytosis-in parallel with clathrin-mediated endocytosis.
Furthermore, the IRQ peptide stimulated escape from endocytic vesicles, leading
to efficient gene silencing. When siRNA was condensed and encapsulated in an IRQ
modified multifunctional envelope-type nano-device (IRQ-MEND), transgene
expression was reduced 52% with the fusogenic lipid, DOPE/CHEMS. This result
shows that the novel IRQ can be utilized for cytoplasmic delivery of
macromolecules. Moreover, the IRQ has the potential to be useful for delivery
therapeutic agents to parenchymal cells via caveolar endocytosis, as this uptake
pathway also plays an important role in transcytosis.
PMID- 18054813
TI - Trinucleotide repeats are prevalent among cancer-related genes.
AB - Trinucleotide repeats (TNRs) have been primarily connected to neurologic and
neuromuscular diseases, with few specific TNRs linked with various tumors. Here
we conduct a genome-wide analysis and show that TNRs are five times more
prevalent in cancer-related human genes. Interestingly, we also find that cancer
related genes are significantly longer than other genes. Our results suggest that
genes containing TNRs are more prone to mutagenesis. The database of TNR genes
can be used as a list of candidate cancer-related genes.
PMID- 18054815
TI - Adrenomedullin is increased by pulsatile shear stress on the vascular endothelium
via periodic acceleration (pGz).
AB - Periodic acceleration (pGz) is produced by a platform which moves the supine body
repetitively in a headward to footward direction. The imparted motion produces
pulsatile shear stress on the vascular endothelium. Pulsatile shear stress on the
vascular endothelium has been shown to elicit production of a host of
cardioprotective, cytoprotective mediators. The purpose of this study was to
ascertain if pGz also enhances production of adrenomedullin (AM) in normal
healthy swine. Twelve pigs (weight range 20-30 kg) were anesthetized, intubated
and placed on conventional mechanical ventilation. All animals were secured to
the motion platform. In one group (pGz) (n=7) was activated for 1h, and monitored
for an additional 3h. A control group (CONT) (n=5) served as time control.
Arterial blood gases, hemodynamic measurements, and serum for AM, interleukin 4,
6 and thromboxane B(2) (TBXB2) were measured at baseline, immediately after pGz,
and 3h after pGz had been discontinued. There was no significant change from
baseline value in IL-4, IL-6 or TBXB2. Mean arterial blood pressure decreased in
pGz-treated animals from 115+/-10 at baseline to 90+/-8 after 60 min of pGz
(p<0.01). AM levels increase from 776+/-176 pg/ml baseline to 1160+/-68 pg/ml
immediately after pGz, and remained elevated to 1584+/-160 pg/ml, 3h after pGz
(p<0.01 vs. BL). This is the first report of AM-enhanced production using a non
invasive method of increasing pulsatile shear stress on the vascular endothelium.
pGz increases production of AM in normal healthy swine. These changes are
independent of IL-4, IL-6 or TBXB2 production.
PMID- 18054814
TI - A polymorphism in the angiogenesis inhibitor, endostatin, in lung cancer
susceptibility.
PMID- 18054816
TI - Assessment of methodological quality and sources of variation in the magnitude of
vaccine efficacy: a systematic review of studies from 1960 to 2005 reporting
immunization with Moraxella bovis vaccines in young cattle.
AB - A review was conducted of all identified literature evaluating Moraxella bovis
vaccines efficacy in preventing pinkeye in beef calves. From 292 publications
identified by the search, data on 123 unique vaccine-to-control comparisons were
extracted from 38 studies published in English from 1960 to 2005. Descriptive
analysis was performed and an analysis of sources of variation evaluated. Use of
methods to control bias such as randomization and blinding were associated with
decreased vaccine efficacy. Only 15 trials reported using randomization and
blinding. The authors conclude that when designing and reporting veterinary
vaccination studies, researchers must include methodological quality information
necessary to judge the evidence produced from the study.
PMID- 18054818
TI - Factors associated with pneumococcal immunisation among hospitalised elderly
persons: a survey of patient's perception, attitude, and knowledge.
AB - AIM: To investigate attitudes, perceptions and knowledge of elderly hospital
patients in regard to vaccination in general and pneumococcal vaccination in
particular. SETTING: A hospital-based patient survey in Sydney, Australia.
PARTICIPANTS: Patients aged 60 years and older who are admitted to selected wards
in an 800-bed tertiary referral hospital in Sydney, Australia. METHODS: A face-to
face interview administered to 200 inpatients. RESULTS: Approximately half (49%)
of the patients had a positive attitude to vaccination whereas 59% had less
positive perception. There were 35% of the patients who were unvaccinated against
influenza and pneumococcal disease. Positive perception (OR 2.9, 95% C.I.=1.3
6.5) and attitude (OR 4.4, 95% C.I.=2.0-9.4) significantly predicted vaccination
with both vaccines. Similarly the odds of receiving pneumococcal vaccination for
those who had a more positive attitude and more correct knowledge were
significant (OR=2.3, 95% C.I.=1.0-5.4; OR=2.7, 95% C.I.=1.1-6.8). We explored
reasons for non-vaccination. Physician recommendation was listed as an important
factor by patients. CONCLUSIONS: Positive perception and attitude towards
vaccination are significant factors associated with immunisation status. For the
pneumococcal vaccination, having influenza vaccination is related to pneumococcal
vaccination.
PMID- 18054817
TI - Markedly enhanced immunogenicity of a Pfs25 DNA-based malaria transmission
blocking vaccine by in vivo electroporation.
AB - Pfs25 is a promising target antigen for the development of a malaria transmission
blocking vaccine and prior research has demonstrated induction of high and
functionally effective antibodies in mice with IM injection of Pfs25 encoding DNA
plasmid. Likewise, Pfs25 DNA vaccine was immunogenic in rhesus macaques but
required a protein boost to elicit significant transmission-blocking antibodies.
The translation of these encouraging findings to human clinical trials has been
impeded largely by the relatively poor immunogenicity of DNA plasmids in larger
animals. In vivo electroporation (EP) has revealed significant enhancement of the
potency of DNA plasmids. The results reported here compared the immunogenicity
and functional transmission-blocking effects of immunization with DNA plasmid (25
microg) by the traditional IM route compared to coupling the IM injection (0.25,
2.5 and 25 microg doses) with in vivo EP. Significantly, a 0.25 microg dose of
DNA plasmid, when administered with EP, induced antibody titers (1:160,000) and
functional transmission-blocking effects that were equivalent to those achieved
by a one hundred fold higher (25 microg) dose of DNA plasmid given without EP. At
a 25.0 microg DNA dose with or without EP there was sufficient antigenic
stimulation to result in effective antibody titers; however EP method yielded
antibody titer of 1:1,280,000 as compared to only 1:160,000 titer without EP.
This observed two log reduction in the amount of DNA plasmid required to induce
significant transmission-blocking effects makes a compelling argument in favor of
further evaluation of DNA vaccines by in vivo EP method in larger animals.
Further experiments in non-human primates and eventually in phase I human trials
will determine if the use of EP will induce effective and sustained malaria
transmission-blocking effects at acceptable doses of plasmid DNA.
PMID- 18054819
TI - [Place of the precocious surgical treatment in facial hemangioma].
AB - Infantile cutaneous hemangioma is a benign vascular tumour present at 10% of the
infants. It forms part of the group of the vascular tumours in the classification
of international society for vascular anomalies (ISSVA). Usual surgical attitude
is abstention and surgery is proposed only in order to treat sequelae. But some
particular situations require early surgery to avoid functional impairment,
deformation or growth delay due to the lesion's development. Using our
observations, we recall the epidemiology, the physiopathology, the clinical
aspects, the particularities of the facial localizations and their treatments. In
these localizations the time intervenes like a fourth dimension that is going to
modify, to improve or to aggravate the prognosis. Treatment requires a strategy
and precocious surgery. We insist on the fact that the dogma of the therapeutic
abstention remained true for a majority of children with small size hemangioma
and that a precocious surgery must be proposed for some localizations in the
face.
PMID- 18054820
TI - [Lipomodelling for correction of breast conservative treatment sequelae.
Medicolegal aspects. Expert opinion on five problematic clinical cases].
AB - In our unit, breast cancer patients suffering mild sequelae of conservative
cancer treatment receive fat transfer (lipomodelling), following a precise
protocol, based on mammographic and ultrasound examinations and MRI. Available
data do not seem to indicate any deleterious impact on patient outcome, notably
in view of radiological images, but recurrence (or rather occurrence of new
ipsilateral or contralateral cancer) is frequent. The correlation between new or
recurrent breast cancer and lipomodelling is high; misinterpretations are
possible and frequently arise. The present paper is a description of five complex
clinical cases and a discussion of the medicolegal issues that may possibly
arise; it also provides tentative expert evaluation of the cases. Clinical
findings are reported and analyzed. The second step is a discussion of the
radiological impact of lipomodelling, and of the problems caused by the transfer
of potentially malignant cells when no preoperative diagnosis of recurrence is
made; the morphological and esthetic benefits of the method are described, as
well as the potential beneficial impact of fat transfer, notably associated with
lower breast density and injections of fat stem cells. Our conclusion is that
specialized radiologists, as well as plastic surgery and oncology experts should
address the question of fat transfer in operated breast cancer patients and give
their reasoned opinion about potentially litigious cases. This would help
minimize or solve the conflicts between patients, doctors and experts.
Establishing common ground between the different stakeholders would allow the
development of the technique, as lipomodelling is, according to our experience, a
tremendous advance in the treatment of sequelae from conservative breast cancer
surgery.
PMID- 18054821
TI - An evaluation of therapeutic and reactivating effects of newly developed oximes
(K156, K203) and commonly used oximes (obidoxime, trimedoxime, HI-6) in tabun
poisoned rats and mice.
AB - The potency of newly developed monoxime bispyridinium compounds (K156, K203) in
reactivating tabun-inhibited acetylcholinesterase and reducing tabun-induced
lethal toxic effects was compared with commonly used oximes (obidoxime,
trimedoxime, the oxime HI-6) using in vivo methods. Studies determining
percentage of reactivation of tabun-inhibited blood and tissue
acetylcholinesterase in poisoned rats showed that the reactivating efficacy of
newly developed oxime K203 is comparable with obidoxime and trimedoxime in blood
and higher than the reactivating potency of trimedoxime and obidoxime in
diaphragm and brain, where the difference in reactivating efficacy of obidoxime,
trimedoxime and K203 is significant. On the other hand, the potency of newly
developed K156 to reactivate tabun-inhibited acetylcholinesterase is comparable
with obidoxime or trimedoxime in diaphragm and brain. It is significantly lower
than the reactivating efficacy of trimedoxime and obidoxime in blood. Moreover,
both newly developed oximes were found to be relatively efficacious in the
reduction of lethal toxic effects in tabun-poisoned mice. Especially, the oxime
K203 is able to decrease the acute toxicity of tabun nearly two times. The
therapeutic efficacy of K156 and K203 corresponds to their potency to reactivate
tabun-inhibited acetylcholinesterase, especially in diaphragm and brain. In
contrast to obidoxime and trimedoxime, the oxime HI-6 is not effective in
reactivation of tabun-inhibited acetycholinesterase and in reducing tabun
lethality. While the oxime K156 does not improve the reactivating and therapeutic
effectiveness of currently available obidoxime and trimedoxime, the newly
developed oxime K203 is markedly more effective in reactivation of tabun
inhibited acetylcholinesterase in rats, especially in brain, and in reducing
lethal toxic effects of tabun in mice and, therefore, it is suitable for the
replacement of commonly used oximes for the antidotal treatment of acute tabun
poisoning.
PMID- 18054822
TI - Peroxisome proliferator-activated receptor-beta/delta (PPARbeta/delta) ligands
inhibit growth of UACC903 and MCF7 human cancer cell lines.
AB - The development of peroxisome proliferator-activated receptor-beta/delta
(PPARbeta/delta) ligands for the treatment of diseases including metabolic
syndrome, diabetes and obesity has been hampered due to contradictory findings on
their potential safety. For example, while some reports show that ligand
activation of PPARbeta/delta promotes the induction of terminal differentiation
and inhibition of cell growth, other reports suggest that PPARbeta/delta ligands
potentiate tumorigenesis by increasing cell proliferation. Some of the
contradictory findings could be due in part to differences in the ligand
examined, the presence or absence of serum in cell cultures, differences in cell
lines or differences in the method used to quantify cell growth. For these
reasons, this study examined the effect of ligand activation of PPARbeta/delta on
cell growth of two human cancer cell lines, MCF7 (breast cancer) and UACC903
(melanoma) in the presence or absence of serum using two highly specific
PPARbeta/delta ligands, GW0742 or GW501516. Culturing cells in the presence of
either GW0742 or GW501516 caused upregulation of the known PPARbeta/delta target
gene angiopoietin-like protein 4 (ANGPTL4). Inhibition of cell growth was
observed in both cell lines cultured in the presence of either GW0742 or
GW501516, and the presence or absence of serum had little influence on this
inhibition. Results from the present studies demonstrate that ligand activation
of PPARbeta/delta inhibits the growth of both MCF7 and UACC903 cell lines and
provide further evidence that PPARbeta/delta ligands are not mitogenic in human
cancer cell lines.
PMID- 18054823
TI - Inhibition, reactivation and aging kinetics of highly toxic organophosphorus
compounds: pig versus minipig acetylcholinesterase.
AB - Organophosphorus compound-based (OP) chemical warfare agents (nerve agents)
represent a continuing threat to military forces and the civilian population. OPs
act primarily by inhibiting acetylcholinesterase (AChE), the standard treatment
for which includes AChE reactivators (oximes) in combination with antimuscarinic
drugs. In the last decades, the efficacy of oximes has been investigated mostly
in small animal models. In order to increase the predictive value of animal
studies it is desirable to measure numerous physiological and biochemical
parameters. This is difficult in small animals. Large animal models fulfil these
requirements and swine are increasingly being used in toxicology studies. Swine
breeds generally show considerable variability in different characteristics which
may be minimised by the use of specially bred minipigs which have a known genetic
background and health status. A comparative study was, therefore, initiated to
investigate the kinetic properties of the White Landrace pig and Gottingen
minipig AChE in respect of inhibition by the pesticide paraoxon; the nerve agents
cyclosarin, VX and VR; the reactivation of inhibited AChE by oximes (obidoxime,
pralidoxime and HI 6); and the aging and spontaneous reactivation of inhibited
AChE. The determination of the respective kinetic constants found similarities
between pig and minipig AChE which showed marked differences in comparison with
human AChE values. This has to be considered in designing meaningful models for
the investigation of oxime efficacy in pig or minipig experiments. The generated
data indicate comparable kinetic properties of pig and minipig AChE and may
provide a kinetic basis for extrapolation of data from pig studies to humans.
PMID- 18054825
TI - Toxicities associated with the administration of sorafenib, sunitinib, and
temsirolimus and their management in patients with metastatic renal cell
carcinoma.
AB - OBJECTIVE: To provide a systematic review of the side effects associated with
sorafenib, sunitinib, and temsirolimus and to provide an outline of possible
preventive or therapeutic measures. METHODS: We performed a PubMed-based
systematic review of side effects associated with the three agents and relied on
product monographs and prescribing information to provide an outline of
treatments aimed at reducing these toxicities. RESULTS: Side effects range from
<1% to 72%. Grade 3/4 side effects are less common and range from <1% to 13% for
sorafenib, <1% to 16% for sunitinib, and 1% to 20% for temsirolimus. Overall,
sunitinib causes the most grade 3/4 side effects and sorafenib causes the fewest
grade 3/4 side effects, although head-to-head trials are required to compare
safety profiles of all three kinase inhibitors. Virtually all side effects can be
managed effectively. CONCLUSION: Prevention, recognition, and prompt management
of side effects are of key importance and avoid unnecessary dose reductions,
which may undermine treatment efficacy.
PMID- 18054826
TI - Involvement of intestinal calcium transporter 1 and metallothionein in cadmium
accumulation in the liver and kidney of mice fed a low-calcium diet.
AB - Essential metals can affect the metabolism of nonessential metals. Calcium (Ca)
is an essential mineral that is commonly lacking in the diet. When we fed 5-week
old male mice for 4 weeks on a purified diet containing 0.005% Ca (CaDF mice),
the Ca concentration in the plasma, liver and kidneys did not decreased. Cd
accumulation increased in the liver and kidneys of CaDF mice given 1mg/kg Cd
orally each day for 5 days, but not in those given intraperitoneal injections of
Cd or Cd-metallothionein (Cd-MT). The zinc (Zn) concentration increased
significantly in the intestinal cytosol and plasma during the time the mice were
fed the low-Ca diet, and expression of both MT-1 and ZnT-1 sharply increased with
a similar time course. Intestinal mRNA expression of CaT1, a Ca transporter, was
more than 10 times higher in CaDF mice than in controls, although expression of
other transporters, including DMT1, decreased in CaDF mice. These results suggest
that CaT1 may stimulate the intestinal absorption of Cd and Zn, and some Cd may
be distributed to the kidneys along with MT induced by Zn.
PMID- 18054827
TI - Proteome analysis of Persian sturgeon (Acipenser persicus) ova.
AB - The Persian sturgeon ova are a key material both for inevitable artificial
propagation and for caviar production. In this study, the proteome profile of
Persian sturgeon ova was analyzed using 2-DE and MALDI-TOF/TOF in order to
determine its protein composition. Out of 192 spots analyzed with MALDI-TOF/TOF,
107 spots corresponding to 73 different proteins were identified. The identified
proteins were classified into 11 groups with regard to their main known function
involving cell structure (24.65%), translation and transcription (12.32%),
metabolism and energy production (12.32%), protein synthesis (9.60%), membrane
protein receptors or cell signaling (8.21%), cell defense (5.47%), transport
(5.47%), cell division (8.21%), vitellogenin (2.73%), unclassified (6.84%) and
unknown function (4.10%). The results of this study provide a valuable resource
for molecular analysis of normal and abnormal conditions affecting female
reproduction. Moreover, it may help to better understand factors affecting caviar
quality during refrigerated storage.
PMID- 18054828
TI - Retention of data in heat-damaged SIM cards and potential recovery methods.
AB - Examination of various SIM cards and smart card devices indicates that data may
be retained in SIM card memory structures even after heating to temperatures up
to 450 degrees C, which the National Institute of Standards and Technology (NIST)
has determined to be approximately the maximum average sustained temperature at
desk height in a house fire. However, in many cases, and certainly for
temperatures greater than 450 degrees C, the SIM card chip has suffered
structural or mechanical damage that renders simple probing or rewiring
ineffective. Nevertheless, this has not necessarily affected the data, which is
stored as charge in floating gates, and alternative methods for directly
accessing the stored charge may be applicable.
PMID- 18054829
TI - A novel way to investigate the effects of plasma exchange on antibiotic levels:
use of microdialysis.
AB - Plasma exchange (PE) is a treatment modality frequently used for many autoimmune
diseases and may cause extracorporeal elimination of antibiotics. No data
currently exist on antibiotic concentrations in extracellular fluid during PE.
The aim of this study is to describe the effect of PE on the serum and
subcutaneous tissue pharmacokinetics of piperacillin administered as a continuous
infusion in a critically ill 17-year-old patient with Guillain-Barre syndrome and
ventilator-associated pneumonia on Days 1 and 4 of antibiotic therapy. The effect
of PE on piperacillin concentrations appears to be small. On Day 1, an estimated
7% of total piperacillin eliminated during PE was attributable to PE. On Day 4
this was estimated to be 11%. Using the in vivo sampling technique microdialysis,
we have been able to show that a small redistribution of piperacillin from tissue
to serum occurs in response to the reducing serum concentrations caused by PE. In
critically ill patients, we believe that administration of a beta-lactam
antibiotic by continuous infusion should be considered to maintain serum and
tissue concentrations of these time-dependent antibiotics.
PMID- 18054830
TI - A bienzyme channeling glucose sensor with a wide concentration range based on co
entrapment of enzymes in SBA-15 mesopores.
AB - A novel bienzyme-channeling sensor was constructed by entrapping glucose oxidase
(GOD) and horseradish peroxidase (HRP) in the mesopores of well-ordered hexagonal
mesoporous silica structures (SBA-15). The SBA-15 mesoporous materials
accelerated the electron transfer between the entrapped HRP and electrode. Both
HRP and GOD retained their catalytic activities in the bienzyme-entrapped SBA-15
film. In presence of glucose the enzymatic reaction of GOD-glucose-dissolved
oxygen system generated hydrogen peroxide in the bienzyme-entrapped mesopores,
which was immediately reduced at -0.40 V by an electrocatalytic reaction with the
HRP entrapped in the same mesopore to lead to a sensitive and fast amperometric
response. Thus the bienzyme channeling could be used for the detection of glucose
with excellent performance without the addition of any mediator. Optimization of
the experimental parameters was performed with regard to pH, operating potential
and temperature. The detection limit was down to 2.7 x 10(-7)M with a very wide
linear range from 3.0 x 10(-6) to 3.4 x 10(-2)M. The constructed bienzyme
channeling provided a strategy for amperometric detection of oxidase substrates
by co-entrapping the corresponding oxidase and HRP in the mesoporous materials.
PMID- 18054831
TI - Expression profiles in malignant fibrous histiocytomas: clues for differentiating
'spindle cell' and 'pleomorphic' subtypes.
AB - We analysed 21 samples of malignant fibrous histiocytoma (MFH) distinguished into
the two principal morphological categories ('spindle cell' and the 'pleomorphic'
subtypes). The aim of our study was to verify if a distinction between the two
subclasses of MFH in terms of expression/activation of protein profiles could
support and extend the morphological criteria. For this purpose, we carried out
an immunohistochemical and immunoblotting analysis of proteins that could be
relevant in sarcoma biology and potential diagnostic and therapeutical targets
such as matrix metalloproteinases (MMPs) and molecules related to adhesive and
proliferative properties. Our analysis revealed that MMP-1, MMP-9 expression and
p27(kip1) cytoplasmic localisation can be considered valid parameters in the
classification and potential explanation of the aggressive behaviour of this non
homogeneous group of MFH.
PMID- 18054832
TI - Delayed paraplegia associated with vertebral necrosis after type A dissection
surgery.
AB - Paraplegia is a rare complication of surgery for acute type A aortic dissection.
We report a case of delayed postoperative paraplegia associated with necrosis of
the thoracic vertebral bodies and soft tissue. The pathogenesis of delayed
postoperative paraplegia is unknown, but our case report would strongly suggest
ischemia of the descending thoracic intercostal arteries as the causative
mechanism. The precipitating episode (respiratory distress syndrome with
hemodynamic instability) might have promoted the compromised spinal circulation
to become clinically evident in our patient. Treatment for this serious
complication is mostly supportive, although CSF drainage may be helpful in the
acute phase.
PMID- 18054833
TI - Tracheo-carinal reconstructions using extrathoracic muscle flaps.
AB - OBJECTIVES: Prospective evaluation of tracheo-carinal airway reconstructions
using pedicled extrathoracic muscle flaps for closing airway defects after non
circumferential resections and after carinal resections as part of the
reconstruction for alleviation of anastomotic tension. METHODS: From January 1996
to June 2006, 41 patients underwent tracheo-carinal airway reconstructions using
45 extrathoracic muscle flaps (latissimus dorsi, n=25; serratus anterior, n=18;
pectoralis major, n=2) for closing airway defects resulting from (a)
bronchopleural fistulas (BPF) with short desmoplastic bronchial stumps after
right upper lobectomy (n=1) and right-sided (pleuro) pneumonectomy (n=13); (b)
right (n=9) and left (n=3) associated with partial carinal resections for pre
treated centrally localised tumours; (c) partial non-circumferential tracheal
resections for pre-treated tracheal tumours, tracheo-oesophageal fistulas (TEF)
and chronic tracheal injury with tracheomalacia (n=11); (d) carinal resections
with the integration of a muscle patch in specific parts of the anastomotic
reconstruction for alleviation of anastomotic tension (n=4). The airway defects
ranged from 2 x 1 cm to 8 x 4 cm and involved up to 50% of the airway
circumference. The patients were followed by clinical examination, repeated
bronchoscopy, pulmonary function testing and CT scans. The minimum follow-up time
was 6 months. RESULTS: Ninety-day mortality was 7.3% (3/41 patients). Four
patients (9.7%) sustained muscle flap necrosis requiring re-operation and flap
replacement without subsequent mortality, airway dehiscence or stenosis. Airway
dehiscence was observed in 1/41 patients (2.4%) and airway stenosis in 1/38
surviving patients (2.6%) responding well to topical mitomycin application.
Follow-up on clinical grounds, by CT scans and repeated bronchoscopy, revealed
airtight, stable and epithelialised airways and no recurrence of BPF or TEF in
all surviving patients. CONCLUSIONS: Tracheo-carinal airway defects can be closed
by use of pedicled extrathoracic muscle flaps after non-circumferential
resections and after carinal resections with the muscle patch as part of the
reconstruction for alleviation of anastomotic tension.
PMID- 18054834
TI - Structural correlates of psychopathological symptom dimensions in schizophrenia:
a voxel-based morphometric study.
AB - Structural neuroimaging has substantially advanced the neurobiological research
of schizophrenia by describing a range of focal brain alterations as possible
neuroanatomical underpinnings of the disease. Despite this progress, a
considerable heterogeneity of structural findings persists that may reflect the
phenomenological diversity of schizophrenia. It is unclear whether the range of
possible clinical disease manifestations relates to a core structural brain
deficit or to distinct structural correlates. Therefore, gray matter density
(GMD) differences between 175 schizophrenic patients (SZ) and 177 matched healthy
control subjects (HC) were examined in a three-step approach using cross
sectional and conjunctional voxel-based morphometry (VBM): (1) analysis of
structural alterations irrespective of symptomatology; (2) subdivision of the
patient sample according to a three-dimensional factor model of the PANSS and
investigation of structural differences between these subsamples and healthy
controls; (3) analysis of a common pattern of structural alterations present in
all patient subsamples compared to healthy controls. Significant GMD reductions
in patients compared to controls were identified within the prefrontal, limbic,
paralimbic, temporal and thalamic regions. The disorganized symptom dimension was
associated with bilateral alterations in temporal, insular and medial prefrontal
cortices. Positive symptoms were associated with left-pronounced alterations in
perisylvian regions and extended thalamic GMD losses. Negative symptoms were
linked to the most extended alterations within orbitofrontal, medial prefrontal,
lateral prefrontal and temporal cortices as well as limbic and subcortical
structures. Thus, structural heterogeneity in schizophrenia may relate to
specific patterns of GMD reductions that possibly share a common prefrontal
perisylvian pattern of structural brain alterations.
PMID- 18054835
TI - Lens and cornea: the "refracton hypothesis".
PMID- 18054836
TI - Review of factors essential for blastocyst implantation for their modulating
effects on the maternal immune system.
AB - Pituitary and ovarian hormones prepare the endometrium for successful blastocyst
implantation and support its process directly or indirectly through the action of
growth factors, cytokines and other molecules. Many of the blastocyst
implantation essential factors (BIEFs) are modulators of the maternal immune
system. Since little is known as to the action of these molecules on the uterine
lymphocytes, its clarification is imperative to the understanding of the process
of blastocyst implantation.
PMID- 18054838
TI - Synthesis and spectral investigation of Al(III) catechin/beta-cyclodextrin and
Al(III) quercetin/beta-cyclodextrin inclusion compounds.
AB - Al-catechin/beta-cyclodextrin and Al-quercetin/beta-cyclodextrin (beta-CD)
inclusion compounds were synthesized and characterized by IR, UV-vis, 1H and 13C
NMR and TG and DTA analyses. Because quercetin is sparingly soluble in water, the
stability constants of the Al-quercetin/beta-CD and Al-catechin/beta-CD compounds
were determined by phase solubility studies. The AL-type diagrams indicated the
formation of 1:1 inclusion compounds and allowed calculation of the stability
constants. The thermodynamic parameters were obtained from the dependence of the
stability constants on temperature and results indicated that the formation of
the inclusion compounds is an enthalpically driven process. The thermal
decomposition of the solid Al-quercetin/beta-CD and Al-catechin/beta-CD inclusion
compounds took place at different stages, compared with the respective
precursors, proving that an inclusion complexation process really occurred.
PMID- 18054839
TI - Infrared and infrared emission spectroscopy of the zinc carbonate mineral
smithsonite.
AB - Infrared emission and infrared spectroscopy has been used to study a series of
selected natural smithsonites from different origins. An intense broad infrared
band at 1440 cm(-1) is assigned to the nu3 CO3(2-) antisymmetric stretching
vibration. An additional band is resolved at 1335 cm(-1). An intense sharp Raman
band at 1092 cm(-1) is assigned to the CO3(2-) symmetric stretching vibration.
Infrared emission spectra show a broad antisymmetric band at 1442 cm(-1) shifting
to lower wavenumbers with thermal treatment. A band observed at 870 cm(-1) with a
band of lesser intensity at 842 cm(-1) shifts to higher wavenumbers upon thermal
treatment and is observed at 865 cm(-1) at 400 degrees C and is assigned to the
CO3(2-)nu2 mode. No nu2 bending modes are observed in the Raman spectra for
smithsonite. The band at 746 cm(-1) shifts to 743 cm(-1) at 400 degrees C and is
attributed to the CO3(2-)nu4 in phase bending modes. Two infrared bands at 744
and around 729 cm(-1) are assigned to the nu4 in phase bending mode. Multiple
bands may be attributed to the structural distortion ZnO6 octahedron. This
structural distortion is brought about by the substitution of Zn by some other
cation. A number of bands at 2499, 2597, 2858, 2954 and 2991 cm(-1) in both the
IE and infrared spectra are attributed to combination bands.
PMID- 18054840
TI - New advances in the diagnosis of congenital cytomegalovirus infection.
AB - Although the diagnosis of congenital CMV infection is still complex, important
goals have been achieved in recent years, among which are: the availability of
more reliable IgM tests for screening pregnant women whose pre-pregnancy
serological status for CMV is unknown, tests to determine the avidity index of
anti-CMV IgG, allowing the diagnosis of a primary CMV infection and innovative
and traditional virological tests to detect the virus in amniotic fluid. When a
woman is found to be IgM-positive, further diagnostic evaluation focused on
determining whether this is due to a primary infection should be carried out.
Maternal primary infections that were difficult to determine until a few years
ago unless documented by seroconversions can now be readily diagnosed from the
presence of low/moderate avidity anti-CMV antibody which persists for
approximately 18-20 weeks after primary infection. In mothers at risk of
transmitting the virus prenatal diagnosis can be performed between 21 and 22
weeks of gestation, and the amniotic fluid represents the pathological material
of choice to determine intrauterine virus transmission. At birth or in the first
2/3 weeks of life, it is essential to use appropriate tests for diagnosis of CMV
congenital infection.
PMID- 18054841
TI - Vaccination approaches to combat human metapneumovirus lower respiratory tract
infections.
AB - Human metapneumovirus (hMPV) was discovered in 2001 as a causative agent of
respiratory disease in young children, immunocompromised individuals and the
elderly. Clinical signs of hMPV infection range from mild respiratory illness to
bronchiolitis and pneumonia. Two main genetic lineages of hMPV that circulate
worldwide were found to be antigenically different, but antibodies against the F
protein, the major determinant of protection, were shown to be cross-protective.
Since the discovery of hMPV in 2001, several research groups have developed
vaccine candidates that may be used to protect different risk groups against hMPV
induced respiratory disease. The studies in rodent and non-human primate models
look promising, but none of the vaccine candidates has been tested yet in human
volunteers. Here we give an overview of the immunogenicity and protective
efficacy of a variety of live attenuated, virus vectored, inactivated virus and
subunit vaccines that have been tested in animal models.
PMID- 18054842
TI - Effect of oral contraceptive cycle phase on performance in team sport players.
AB - The purpose of this study was to examine whether common team sport performance
variables (anaerobic power, reactive strength and repeat sprint ability) are
affected by acute hormonal fluctuation within a monophasic oral contraceptive
(OC) cycle. Ten female team sport athletes completed performance tests at three
time points of a single OC cycle, during the consumption phase (CONS), early
(WITH1) and late in the withdrawal phase (WITH2). Tests included drop jumps (30cm
and 45cm heights), a counter movement jump, a 10s cycle sprint test and a 5x 6s
repeated sprint cycle test. Resting endogenous serum oestradiol and progesterone
concentrations were also measured. No significant differences were observed
between phases for the counter movement jump and cycle tests (total work and peak
power). Reactive strength measured from the 30cm drop height was significantly
lower during WITH2 (162+/-38cms(-1)) compared to both CONS (177+/-44cms(-1)) and
WITH1 (178+/-40cms(-1)) (p<0.05). Reactive strength measured from the 45cm drop
height was significantly higher in CONS (178+/-48cms(-1)) compared to both WITH1
and WITH2 (161+/-39cms(-1) and 158+/-29cms(-1), respectively) (p<0.05). Serum
oestradiol levels were greater during WITH2 compared to both WITH1 and CONS
(p<0.05) but there was no difference in serum progesterone levels. The results
demonstrate that for female team sport athletes, only reactive strength varied
significantly throughout an OC cycle, possibly due to the action of hormones on
neuromuscular timing and the stretch-shortening cycle.
PMID- 18054843
TI - Daily step counts and selected coronary heart disease risk factors in adolescent
girls.
AB - Data on objectively determined physical activity (PA) behaviour of Australian
adolescent girls is lacking along with known associations between PA behaviour
and future risk of coronary heart disease (CHD). The purpose of this study was to
measure four consecutive days of pedometer steps and the prevalence of selected
CHD risk factors in a cross-sectional sample of 415 Australian adolescent girls
(age 16+/-0.8 year; mean+/-S.D.). Coronary heart disease risk factors assessed
were low levels of daily PA (<10,000stepsday(-1)), high-blood pressure (>95th
percentile), overweight (>85th percentile) and/or obese (>95th percentile),
presence of family history of heart disease, low cardio-respiratory fitness (poor
estimated VO(2)max), and being a smoker. Overall, 41.2% of the sample had > or =2
factors. The mean number of steps per day was 9617+/-3108 (mean+/-S.D.). There
was a significant relationship between daily ambulatory activity of <10,000 steps
and poor cardiovascular fitness (Odds Ratios [OR]=2.15, confidence intervals
[CI]=1.02-4.54). When girls in the highest and lowest quartiles of pedometer
steps were compared, those classified as inactive were significantly more likely
to be obese (OR=4.70, CI=1.17-18.60), less likely to be underweight (OR=0.29,
CI=0.09-0.88), and had poor cardiovascular fitness (OR=3.27, CI=1.35-7.93). All
of 47 participants who had > or =3 CHD risk factors were also classified as
inactive (<7409 steps). This study demonstrates a relationship between daily step
counts and selected measures of CHD risk factors in adolescent girls.
PMID- 18054844
TI - Diminished baroreflex-induced vasoconstriction following alpha-2 adrenergic
receptor blockade in humans.
AB - The relative contribution of alpha adrenergic receptor subtypes in the
transduction of sympathetic nerve activity (SNA) during carotid baroreflex (CBR)
engagement is not well understood. Therefore, we compared the hemodynamic
consequence of CBR-mediated sympatho-excitation via neck pressure (NP) before and
after alpha-2 adrenergic blockade with intra-arterial yohimbine. Leg blood flow
was measured using 2D and Doppler ultrasound, and arterial blood pressure was
determined directly. NP caused the expected vasoconstriction, and this response
was significantly reduced (by 50-60%) when NP was repeated after yohimbine. These
data indicate that alpha-2 adrenergic receptors contribute significantly to CBR
induced vasoconstriction in the human leg under resting conditions.
PMID- 18054845
TI - Increases in inspiratory neural drive in response to rapid oscillating airflow
braking forces (vibration).
AB - OBJECTIVE: To investigate whether 10 breaths against a vibration stimulus elicits
increments of spontaneous and maximal inspiratory mouth pressure (maxMP) and
tidal mean inspiratory flow (iV(T)/T(I)) upon stimulus removal. METHODS: Twelve
healthy subjects (8 female, 4 male; 22-50 years old), recruited from the
University student body, completed 3 maximal inspirations before (pre) and after
(post) 10 inspirations against resistive loading with a vibration-type stimulus
(VIB; youbreathe, Exoscience Ltd., London, UK), pressure-matched resistive
loading (RES) or resting breathing (CON; no load). The trials were presented in a
random order. maxMP and involuntary tidal breathing were compared pre and post
conditioning. RESULTS: Inspiratory neural drive increased only after VIB as
evidenced by increased tidal and maxMP and mean inspiratory flow (iV(T)/T(I); p <
0.05). There was no effect of either resistance or control breathing on maximal
maxMP or tidal responses. CONCLUSIONS: Ten conditioning breaths of VIB lead to
increased maximal inspiratory mouth pressure and spontaneous mouth pressure and
mean inspiratory flow possibly through a common mechanism of increased descending
respiratory drive.
PMID- 18054846
TI - A highly sensitive assay for ritodrine in human serum by hydrophilic interaction
chromatography-tandem mass spectrometry.
AB - We developed a sensitive assay for ritodrine (RTD), a beta2-adrenergic agonist,
in human serum. This method was based upon the selective and sensitive technique
by a tandem mass spectrometry (MS/MS) using a hydrophilic interaction
chromatography (HILIC) technique. This method involved a mixed-mode cation
exchange solid-phase extraction of RTD and isoxsuprine, the internal standard
(IS), from serum with Waters Oasis MCX cartridges. The detection was made using a
Micromass Quattromicro API LC-MS/MS system with electrospray ionization source in
positive ion mode. The separation of the analytes was achieved within 4 min on a
silica column with a mobile phase of ammonium acetate (10 mM, pH 4.5) and
acetonitrile (10:90, v/v). Multiple reaction monitoring was utilized by
monitoring 288.2-->121.1 for RTD, 302.2-->107.0 for IS. The calibration curve for
RTD was linear over a range of 0.5-1000 ng/mL. When 50 microL serum was used for
extraction, the lower limit of quantification was 0.39 ng/mL (97.5 fg on-column).
The percent coefficient of validation for accuracy and precision (inter- and
intra-day) was less than 9.8% and the recovery was ranged from 83.5 to 94.7% for
RTD. This method enabled us to successfully determine RTD in maternal and fetal
sera.
PMID- 18054847
TI - Efficacy of doxorubicin coupled to lactosaminated albumin on rat hepatocellular
carcinomas evaluated by ultrasound imaging.
AB - BACKGROUND/AIMS: Doxorubicin was conjugated with lactosaminated human albumin, a
hepatotropic drug carrier, in order to increase its efficacy in the treatment of
hepatocellular carcinoma. In rats bearing hepatocellular carcinomas induced by
diethylnitrosamine, lactosaminated human albumin coupled doxorubicin enhanced the
drug concentrations in the tumours and lowered those in extrahepatic tissues. The
aim of the present study was to investigate the effects of lactosaminated human
albumin coupled doxorubicin on the growth of established rat hepatocellular
carcinomas induced by diethylnitrosamine. METHODS: Lactosaminated human albumin
coupled doxorubicin and the free drug were i.v. administered to rats twice a week
for 4 weeks at the single dose of 1 microg/g. Growth of individual tumours was
followed through time by ultrasonography. RESULTS: In the control animals
injected with saline the mean area of the tracked tumours significantly increased
during the whole period of treatment. In the group of rats treated with
lactosaminated human albumin coupled doxorubicin the mean area of the followed
hepatocellular carcinomas remained practically unchanged. The free drug inhibited
tumour growth only in the first period of drug administration. Lactosaminated
human albumin coupled doxorubicin also hindered the development of new neoplastic
nodules, which was unaffected by the free drug. CONCLUSIONS: The results support
lactosaminated human albumin coupled doxorubicin as a promising agent for a
systemic chemotherapy of hepatocellular carcinomas to treat noncurable patients.
PMID- 18054848
TI - Effects of n-3 polyunsaturated fatty acids in subjects with nonalcoholic fatty
liver disease.
AB - BACKGROUND: Long-chain polyunsaturated fatty acid omega-3 levels are decreased in
the hepatic tissue of patients with nonalcoholic fatty liver disease.
Polyunsaturated fatty acids are negative regulators of hepatic lipogenesis and
attenuate the inflammatory response in mice. AIM: To investigate whether
polyunsaturated fatty acid may be effective in the treatment of nonalcoholic
fatty liver disease. METHODS: Forty patients with nonalcoholic fatty liver
disease were randomized into two groups for treatment of 6 months duration. Group
DP (n=20) received an AHA recommended diet and polyunsaturated fatty acid 2g/day;
Group D (n=20) received only the AHA regular diet. Outcome measurements were
fatty liver assessed by abdominal ultrasound, liver aminotransferase and tumour
necrosis factor-alpha serum levels, and insulin resistance assessed by HOMA(IR).
RESULTS: After 6 months of treatment, the DP group displayed a decrease in
alanine aminotransferase levels (p<0.01), as well as in triglyceride levels
(p<0.01), serum tumour necrosis factor-alpha levels (p<0.05) and in HOMA(IR)
(p<0.05). In the D group, no significant modification was observed. In the DP
group, complete fatty liver regression was observed in 33.4% of the patients, and
an overall reduction in 50%. In contrast, no patient achieved complete regression
in the D group, whereas some amount of reduction occurred in 27.7% of the
patients; the remaining 72.2% did not change. CONCLUSION: Our results indicate
that alanine aminotransferase, triglyceride and serum tumour necrosis factor
alpha levels, as well as fatty liver improved after polyunsaturated fatty acid
administration.
PMID- 18054849
TI - Refractory ulcerative colitis and iatrogenic colorectal Kaposi's sarcoma.
AB - Colorectal Kaposi's sarcoma, a human herpes virus-8 associated mesenchymal
tumour, is exceedingly rare in human immunodeficiency virus-negative subjects and
almost always reported in association with severe, refractory, inflammatory bowel
disease. In this paper we report a case--the second from Italy--of a colorectal
Kaposi's sarcoma in a human immunodeficiency virus-negative, heterosexual man
with severe refractory ulcerative colitis. Kaposi's sarcoma developed after
starting glucocorticosteroid therapy, supporting the theory that colorectal
Kaposi's sarcoma associated with ulcerative colitis is iatrogenic.
PMID- 18054850
TI - Contrast-enhanced ultrasound assessment of arterial vascularization of small
nodules arising in the cirrhotic liver.
AB - BACKGROUND AND AIM: Aim of this study was to compare contrast-enhanced ultrasound
and multi-detector row computed tomography in detecting arterial hypervascularity
in small cirrhotic nodules. PATIENTS AND METHODS: Sixty-two nodules (41 measuring
1.0-2.0 cm, 21 measuring 2.1-3.0 cm) found in 55 cirrhotic patients were examined
with both methods. Lesions displaying arterial hypervascularity with washout in
the portal/venous phase on both studies were considered hepatocellular carcinomas
and treated; all other nodules were subjected to ultrasound-guided fine-needle
biopsy. RESULTS: The larger nodules (2.1-3.0 cm) included 19 hepatocellular
carcinomas (90%), 1 macroregenerative nodule type I and 1 macroregenerative
nodule type II; 35 (87%) of the smaller nodules were hepatocellular carcinomas, 2
were macroregenerative nodules type I, 2 macroregenerative nodules type II and 2
hemangiomas. The two studies yielded concordant findings for 54 nodules (87%),
including 46 hypervascular on both examinations and 8 that were consistently
hypovascular. Two of the latter nodules were hepatocellular carcinomas. The other
eight nodules displayed arterial hypervascularity on only one of the studies. Six
of these (75%) were hepatocellular carcinomas, including five that were negative
in the contrast-enhanced ultrasound study. CONCLUSION: Computed tomography and
contrast-enhanced ultrasound show high agreement in the vascular classification
of small nodules detected by ultrasound in cirrhotic livers, although the former
technique was slightly more sensitive in the detection of arterial
hypervascularization.
PMID- 18054851
TI - Early vision is early in time.
AB - Roelfsema, Tolboom, and Khayat have found that neurons in primary visual cortex,
V1, increase their spike firing rates to signal image segmentation and attention.
V1 responses were in a temporal sequence: first to image motion, next to
segmentation, last to attentional signals. The involvement of V1 with
segmentation and attention suggests modifying the hierarchical view of visual
perception.
PMID- 18054852
TI - Keeping in check painful synapses in central amygdala.
AB - Glutamatergic projections from the parabrachial nucleus to the central amygdala
are implicated in pain transmission. In this issue of Neuron, Delaney et al.
identify a new form of adrenergic modulation at these synapses, demonstrating
that noradrenaline-induced suppression of glutamate release is mediated by a
decrease in the number of sites of synaptic transmission without changes in
probability of release.
PMID- 18054853
TI - Wilder Penfield in the age of YouTube: visualizing the sequential activation of
sensorimotor areas across neocortex.
AB - Flow of electrical activity across neocortex is essential for many sensorimotor
tasks. Whether this flow is localized or spreads widely is unknown. Ferezou et
al., imaging activity across the cortical mantle in awake mice, show in this
issue of Neuron that touch by a single vibrissa leads to a rapid depolarization
of primary sensory and motor areas that subsequently spreads across most of
cortex.
PMID- 18054854
TI - Activation of GABAA receptors: views from outside the synaptic cleft.
AB - Some GABA(A) receptors (GABA(A)Rs) are activated by low transmitter levels
present in the extracellular space and generate an uninterrupted conductance
referred to as "tonic." This tonic conductance is highly sensitive to all factors
regulating the amount of GABA surrounding the neurons. Only a few GABA(A)Rs with
particular subunit combinations are well suited to mediate the tonic conductance.
These same receptors constitute important and specific targets for various
endogenous and exogenous neuroactive compounds and possible therapeutic targets.
PMID- 18054856
TI - Different processing phases for features, figures, and selective attention in the
primary visual cortex.
AB - Our visual system imposes structure onto images that usually contain a diversity
of surfaces, contours, and colors. Psychological theories propose that there are
multiple steps in this process that occur in hierarchically organized regions of
the cortex: early visual areas register basic features, higher areas bind them
into objects, and yet higher areas select the objects that are relevant for
behavior. Here we test these theories by recording from the primary visual cortex
(area V1) of monkeys. We demonstrate that the V1 neurons first register the
features (at a latency of 48 ms), then segregate figures from the background
(after 57 ms), and finally select relevant figures over irrelevant ones (after
137 ms). We conclude that the psychological processing stages map onto distinct
time episodes that unfold in the visual cortex after the presentation of a new
stimulus, so that area V1 may contribute to all these processing steps.
PMID- 18054855
TI - Inhibition and brain work.
AB - The major part of the brain's energy budget ( approximately 60%-80%) is devoted
to its communication activities. While inhibition is critical to brain function,
relatively little attention has been paid to its metabolic costs. Understanding
how inhibitory interneurons contribute to brain energy consumption (brain work)
is not only of interest in understanding a fundamental aspect of brain function
but also in understanding functional brain imaging techniques which rely on
measurements related to blood flow and metabolism. Herein we examine issues
relevant to an assessment of the work performed by inhibitory interneurons in the
service of brain function.
PMID- 18054857
TI - Tiling of r7 axons in the Drosophila visual system is mediated both by
transduction of an activin signal to the nucleus and by mutual repulsion.
AB - The organization of neuronal wiring into layers and columns is a common feature
of both vertebrate and invertebrate brains. In the Drosophila visual system, each
R7 photoreceptor axon projects within a single column to a specific layer of the
optic lobe. We refer to the restriction of terminals to single columns as tiling.
In a genetic screen based on an R7-dependent behavior, we identified the Activin
receptor Baboon and the nuclear import adaptor Importin-alpha3 as being required
to prevent R7 axon terminals from overlapping with the terminals of R7s in
neighboring columns. This tiling function requires the Baboon ligand, dActivin,
the transcription factor, dSmad2, and retrograde transport from the growth cone
to the R7 nucleus. We propose that dActivin is an autocrine signal that restricts
R7 growth cone motility, and we demonstrate that it acts in parallel with a
paracrine signal that mediates repulsion between R7 terminals.
PMID- 18054858
TI - Gating of Sema3E/PlexinD1 signaling by neuropilin-1 switches axonal repulsion to
attraction during brain development.
AB - The establishment of functional neural circuits requires the guidance of axons in
response to the actions of secreted and cell-surface molecules such as the
semaphorins. Semaphorin 3E and its receptor PlexinD1 are expressed in the brain,
but their functions are unknown. Here, we show that Sema3E/PlexinD1 signaling
plays an important role in initial development of descending axon tracts in the
forebrain. Early errors in axonal projections are reflected in behavioral
deficits in Sema3E null mutant mice. Two distinct signaling mechanisms can be
distinguished downstream of Sema3E. On corticofugal and striatonigral neurons
expressing PlexinD1 but not Neuropilin-1, Sema3E acts as a repellent. In
contrast, on subiculo-mammillary neurons coexpressing PlexinD1 and Neuropilin-1,
Sema3E acts as an attractant. The extracellular domain of Neuropilin-1 is
sufficient to convert repulsive signaling by PlexinD1 to attraction. Our data
therefore reveal a "gating" function of neuropilins in semaphorin-plexin
signaling during the assembly of forebrain neuronal circuits.
PMID- 18054860
TI - Activity-dependent plasticity in an olfactory circuit.
AB - Olfactory sensory neurons (OSNs) form synapses with local interneurons and second
order projection neurons to form stereotyped olfactory glomeruli. This primary
olfactory circuit is hard-wired through the action of genetic cues. We asked
whether individual glomeruli have the capacity for stimulus-evoked plasticity by
focusing on the carbon dioxide (CO2) circuit in Drosophila. Specialized OSNs
detect this gas and relay the information to a dedicated circuit in the brain.
Prolonged exposure to CO2 induced a reversible volume increase in the CO2
specific glomerulus. OSNs showed neither altered morphology nor function after
chronic exposure, but one class of inhibitory local interneurons showed
significantly increased responses to CO2. Two-photon imaging of the axon
terminals of a single PN innervating the CO2 glomerulus showed significantly
decreased functional output following CO2 exposure. Behavioral responses to CO2
were also reduced after such exposure. We suggest that activity-dependent
functional plasticity may be a general feature of the Drosophila olfactory
system.
PMID- 18054859
TI - Cdk5 promotes synaptogenesis by regulating the subcellular distribution of the
MAGUK family member CASK.
AB - Synaptogenesis is a highly regulated process that underlies formation of neural
circuitry. Considerable work has demonstrated the capability of some adhesion
molecules, such as SynCAM and Neurexins/Neuroligins, to induce synapse formation
in vitro. Furthermore, Cdk5 gain of function results in an increased number of
synapses in vivo. To gain a better understanding of how Cdk5 might promote
synaptogenesis, we investigated potential crosstalk between Cdk5 and the cascade
of events mediated by synapse-inducing proteins. One protein recruited to
developing terminals by SynCAM and Neurexins/Neuroligins is the MAGUK family
member CASK. We found that Cdk5 phosphorylates and regulates CASK distribution to
membranes. In the absence of Cdk5-dependent phosphorylation, CASK is not
recruited to developing synapses and thus fails to interact with essential
presynaptic components. Functional consequences include alterations in calcium
influx. Mechanistically, Cdk5 regulates the interaction between CASK and liprin
alpha. These results provide a molecular explanation of how Cdk5 can promote
synaptogenesis.
PMID- 18054863
TI - Noradrenaline modulates transmission at a central synapse by a presynaptic
mechanism.
AB - The lateral division of the central amygdala (CeAL) is the target of ascending
fibers from the pain-responsive and stress-responsive nuclei in the brainstem. We
show that single fiber inputs from the nociceptive pontine parabrachial nucleus
onto CeAL neurons form suprathreshold glutamatergic synapses with multiple
release sites. Noradrenaline, acting at presynaptic alpha2 receptors, potently
inhibits this synapse. This inhibition results from a decrease in the number of
active release sites with no change in release probability. Introduction of a
presynaptic scavenger of Gbetagamma subunits blocked the effects of
noradrenaline, and botulinum toxin A reduced its effects, showing a direct action
of betagamma subunits on the release machinery. These data illustrate a mechanism
of presynaptic modulation where the output of a large multiple-release-site
synapse is potently regulated by endogenously released noradrenaline and suggests
that the CeA may be a target for the central nociceptive actions of
noradrenaline.
PMID- 18054861
TI - Nonvesicular inhibitory neurotransmission via reversal of the GABA transporter
GAT-1.
AB - GABA transporters play an important but poorly understood role in neuronal
inhibition. They can reverse, but this is widely thought to occur only under
pathological conditions. Here we use a heterologous expression system to show
that the reversal potential of GAT-1 under physiologically relevant conditions is
near the normal resting potential of neurons and that reversal can occur rapidly
enough to release GABA during simulated action potentials. We then use paired
recordings from cultured hippocampal neurons and show that GABAergic transmission
is not prevented by four methods widely used to block vesicular release. This
nonvesicular neurotransmission was potently blocked by GAT-1 antagonists and was
enhanced by agents that increase cytosolic [GABA] or [Na(+)] (which would
increase GAT-1 reversal). We conclude that GAT-1 regulates tonic inhibition by
clamping ambient [GABA] at a level high enough to activate high-affinity GABA(A)
receptors and that transporter-mediated GABA release can contribute to phasic
inhibition.
PMID- 18054862
TI - A role for synaptic inputs at distal dendrites: instructive signals for
hippocampal long-term plasticity.
AB - Synaptic potentials originating at distal dendritic locations are severely
attenuated when they reach the soma and, thus, are poor at driving somatic
spikes. Nonetheless, distal inputs convey essential information, suggesting that
such inputs may be important for compartmentalized dendritic signaling. Here we
report a new plasticity rule in which stimulation of distal perforant path inputs
to hippocampal CA1 pyramidal neurons induces long-term potentiation at the CA1
proximal Schaffer collateral synapses when the two inputs are paired at a precise
interval. This subthreshold form of heterosynaptic plasticity occurs in the
absence of somatic spiking but requires activation of both NMDA receptors and
IP(3) receptor-dependent release of Ca(2+) from internal stores. Our results
suggest that direct sensory information arriving at distal CA1 synapses through
the perforant path provide compartmentalized, instructive signals that assess the
saliency of mnemonic information propagated through the hippocampal circuit to
proximal synapses.
PMID- 18054864
TI - Nociceptive tuning by stem cell factor/c-Kit signaling.
AB - The molecular mechanisms regulating the sensitivity of sensory circuits to
environmental stimuli are poorly understood. We demonstrate here a central role
for stem cell factor (SCF) and its receptor, c-Kit, in tuning the responsiveness
of sensory neurons to natural stimuli. Mice lacking SCF/c-Kit signaling displayed
profound thermal hypoalgesia, attributable to a marked elevation in the thermal
threshold and reduction in spiking rate of heat-sensitive nociceptors. Acute
activation of c-Kit by its ligand, SCF, resulted in a reduced thermal threshold
and potentiation of heat-activated currents in isolated small-diameter neurons
and thermal hyperalgesia in mice. SCF-induced thermal hyperalgesia required the
TRP family cation channel TRPV1. Lack of c-Kit signaling during development
resulted in hypersensitivity of discrete mechanoreceptive neuronal subtypes.
Thus, c-Kit can now be grouped with a small family of receptor tyrosine kinases,
including c-Ret and TrkA, that control the transduction properties of sensory
neurons.
PMID- 18054865
TI - Spatiotemporal dynamics of cortical sensorimotor integration in behaving mice.
AB - Tactile information is actively acquired and processed in the brain through
concerted interactions between movement and sensation. Somatosensory input is
often the result of self-generated movement during the active touch of objects,
and conversely, sensory information is used to refine motor control. There must
therefore be important interactions between sensory and motor pathways, which we
chose to investigate in the mouse whisker sensorimotor system. Voltage-sensitive
dye was applied to the neocortex of mice to directly image the membrane potential
dynamics of sensorimotor cortex with subcolumnar spatial resolution and
millisecond temporal precision. Single brief whisker deflections evoked highly
distributed depolarizing cortical sensory responses, which began in the primary
somatosensory barrel cortex and subsequently excited the whisker motor cortex.
The spread of sensory information to motor cortex was dynamically regulated by
behavior and correlated with the generation of sensory-evoked whisker movement.
Sensory processing in motor cortex may therefore contribute significantly to
active tactile sensory perception.
PMID- 18054867
TI - Breast-cancer awareness: too much of a good thing?
PMID- 18054866
TI - Disruption of large-scale brain systems in advanced aging.
AB - Cognitive decline is commonly observed in advanced aging even in the absence of
disease. Here we explore the possibility that normal aging is accompanied by
disruptive alterations in the coordination of large-scale brain systems that
support high-level cognition. In 93 adults aged 18 to 93, we demonstrate that
aging is characterized by marked reductions in normally present functional
correlations within two higher-order brain systems. Anterior to posterior
components within the default network were most severely disrupted with age.
Furthermore, correlation reductions were severe in older adults free from
Alzheimer's disease (AD) pathology as determined by amyloid imaging, suggesting
that functional disruptions were not the result of AD. Instead, reduced
correlations were associated with disruptions in white matter integrity and poor
cognitive performance across a range of domains. These results suggest that
cognitive decline in normal aging arises from functional disruption in the
coordination of large-scale brain systems that support cognition.
PMID- 18054868
TI - Breast-cancer risk in BRCA-mutation-negative women from BRCA-mutation-positive
families.
PMID- 18054869
TI - Translation, please.
PMID- 18054870
TI - Breast cancer genomics: real-time use.
PMID- 18054871
TI - Intrauterine factors and breast cancer risk.
PMID- 18054872
TI - Onto solid footing.
PMID- 18054873
TI - When drugs are worth more than gold!
PMID- 18054874
TI - Cancer survival statistics should be viewed with caution.
PMID- 18054875
TI - Cancer survival statistics should be viewed with caution.
PMID- 18054877
TI - Benign causes of increased serum CA-125 concentration.
PMID- 18054878
TI - Mitigating radiation-induced cognitive impairment.
PMID- 18054879
TI - Intrauterine factors and risk of breast cancer: a systematic review and meta
analysis of current evidence.
AB - BACKGROUND: Emerging evidence suggests an association between female prenatal
experience and her subsequent risk of developing breast cancer. Potential
underlying mechanisms include variation in amounts of maternal endogenous sex
hormones and growth hormones, germ-cell mutations, formation of cancer stem
cells, and other genetic or epigenetic events. We reviewed and summarised
quantitatively the available data on intrauterine exposures and risk of breast
cancer. METHODS: We systematically searched for studies that assessed association
between perinatal factors and risk of breast cancer. We reviewed separately each
of the perinatal factors, including birthweight, birth length, parental age at
delivery, gestational age, intrauterine exposure to diethylstilbestrol, twin
membership, maternal pre-eclampsia or eclampsia, and other factors. FINDINGS: We
identified 57 studies published between Oct 1, 1980, and June 21, 2007. Increased
risk of breast cancer was noted with increased birthweight (relative risk [RR]
1.15 [95% CI 1.09-1.21]), birth length (1.28 [1.11-1.48]), higher maternal age
(1.13 [1.02-1.25]), and paternal age (1.12 [1.05-1.19]). Decreased risk of breast
cancer was noted for maternal pre-eclampsia and eclampsia (0.48 [0.30-0.78]) and
twin membership (0.93 [0.87-1.00]). No association was noted between risk of
breast cancer and gestational age at birth (0.95 [0.71-1.26]) or maternal
diethylstilbestrol treatment (1.40 [0.86-2.28]). INTERPRETATION: The intrauterine
environment contributes to the predisposition of women to breast cancer in
adulthood. The in-utero mechanisms responsible for such predisposition need to be
elucidated.
PMID- 18054880
TI - Management of breast cancer in elderly individuals: recommendations of the
International Society of Geriatric Oncology.
AB - Breast cancer is the most commonly diagnosed cancer and the leading cause of
cancer mortality in women worldwide. Elderly individuals make up a large part of
the breast cancer population, and there are important specific considerations for
this population. The International Society of Geriatric Oncology created a task
force to assess the available evidence on breast cancer in elderly individuals,
and to provide evidence-based recommendations for the diagnosis and treatment of
breast cancer in such individuals. A review of the published work was done with
the results of a search on Medline for English-language articles published
between 1990 and 2007 and of abstracts from key international conferences.
Recommendations are given on the topics of screening, surgery, radiotherapy,
(neo)adjuvant hormone treatment and chemotherapy, and metastatic disease. Since
large randomised trials in elderly patients with breast cancer are scarce, there
is little level I evidence for the treatment of such patients. The available
evidence was reviewed and synthesised to provide consensus recommendations
regarding the care of breast cancer in older adults.
PMID- 18054881
TI - Part II: management of resistance to imatinib in chronic myeloid leukaemia.
AB - Updated findings from a randomised comparison of imatinib versus previous
standard treatment in the treatment of newly diagnosed chronic myeloid leukaemia
suggest that this first-generation tyrosine-kinase inhibitor can induce excellent
long-term responses in most patients. However, a small proportion of patients
will not respond or will lose previous responses, and, for these patients,
alternative treatments are needed. This review is the second of two parts: the
first part provided a review of the mechanisms underlying resistance to imatinib
and this second part will discuss the management of patients who are resistant to
imatinib by reviewing the many new drugs being introduced into clinical practice
and suggesting strategies for decision making.
PMID- 18054882
TI - Effects of study methods and biases on estimates of invasive breast cancer
overdetection with mammography screening: a systematic review.
AB - Estimates of breast-cancer overdetection, the detection with screening of cancer
that would not have presented clinically during the woman's lifetime (and
therefore would not be diagnosed in the absence of screening), vary widely. We
systematically reviewed estimates of overdetection to assess the extent to which
these might be biased by study methods. Primary research papers and reviews that
estimated overdetection of invasive breast cancer were eligible for inclusion.
For each paper we appraised the study design and methods to identify the extent
and effect of bias. Two reviews and six primary studies were included. We
categorised studies as being based on cumulative-incidence or incidence-rate
methods. The least biased overdetection estimates range from -4% to 7.1% for
women aged 40-49 years, 1.7% to 54% for women aged 50-59 years, and 7% to 21% for
women aged 60-69 years. Studies consistently show that cancer overdetection
occurs in screening for breast cancer; however, reported estimates are biased.
Sensitivity of mammography for both cancers that will progress and for
overdetected cancers may be increasing with time. New studies are urgently needed
to quantify the true extent of overdetection in current mammography screening
programmes. These studies should be designed to avoid the multiple sources of
bias identified in this review.
PMID- 18054883
TI - Running an ethical trial 60 years after the Nuremberg Code.
AB - The Nuremberg Code has served as a foundation for ethical clinical research since
its publication 60 years ago. This landmark document, developed in response to
the horrors of human experimentation done by Nazi physicians and investigators,
focused crucial attention on the fundamental rights of research participants and
on the responsibilities of investigators. Although the Nuremberg Code has
provided an important framework for discussions on the requirements of ethical
clinical research, and has resulted in the development of other initiatives-eg,
the Declaration of Helsinki and the Belmont Report-designed to ensure the rights
and safety of human beings taking part in medical research, knowledge of both
past events and the current complexity of research suggests further improvements
are necessary in the existing approaches to human clinical research.
PMID- 18054884
TI - A pitfall of 18-fluorodeoxyglucose-PET in a patient with a tattoo.
PMID- 18054885
TI - Optical coherence tomography features during the evolution of serous retinal
detachment in patients with diabetic macular edema.
AB - PURPOSE: To characterize the evolution of serous retinal detachment (SRD)
combined with diabetic macular edema (DME) using optical coherence tomography
(OCT). DESIGN: Observational retrospective case series. METHODS: In our
institution, 64 eyes of 40 diabetic patients who had SRD combined with DME were
studied. All patients had fluorescein angiography and several OCT3 examinations
during follow-up. Foveolar neuroretinal thickness (NRT) and SRD height were
measured. The evolution of OCT macular profiles was qualitatively assessed.
RESULTS: Mean follow-up was 11.8 months. DME was focal in 10 eyes (15.6%),
diffuse in 17 (26.6%) and both diffuse and focal in 37 (57.8%). Mean initial
decimal visual acuity (VA), NRT, and SRD height (+/- standard deviation) were
0.35 +/- 0.21, 346.88 +/- 138.61 and 199.48 +/- 139.8 microm, respectively. SRD
height did not correlate with VA (P = .23) or NRT (P = .31). In 13 eyes (20.3%),
NRT above the SRD was normal. In the 19 eyes where DME improved during follow-up,
SRD disappeared before the maximal reduction of retinal thickness in seven eyes
(36.8%) and after or simultaneously with this reduction, in 12 eyes (63.2%).
Among the 45 eyes where DME worsened during follow-up, SRD disappeared from 15
eyes (33.3%). CONCLUSION: In this series, SRD height did not correlate with
retinal thickening. The latter may appear before central neuroretinal thickening
and disappear before or after its regression. Consequently, SRD does not seem to
be related either to the severity of DME or to its resorption.
PMID- 18054886
TI - Improvement of visual acuity one-year after vitreous surgery in eyes with
residual triamcinolone acetonide at the macular hole.
AB - PURPOSE: To investigate whether triamcinolone acetonide in the macular hole after
surgery interferes with anatomic macular hole repair or visual acuity
improvement. DESIGN: Prospective, interventional case series with historical
comparison. METHODS: Pars plana vitrectomy and triamcinolone acetonide-assisted
internal limiting membrane peeling were performed in 26 eyes (24 patients) with
stage 3 or 4 idiopathic macular hole. The visual acuities one-year after surgery
were compared between eyes with and without residual triamcinolone acetonide
after surgery. RESULTS: The macular holes were closed successfully in all 26
eyes. Nine eyes (35%) had residual triamcinolone acetonide in the macular hole at
the end of the surgery and in the fovea on day 3 after surgery. The mean
preoperative logarithm of the minimum angle of resolution (logMAR) visual acuity
+/- standard deviation was 0.73 +/- 0.36 and improved significantly to 0.20 +/-
0.29 one-year after surgery (P = .010). In the nine eyes with residual
triamcinolone acetonide, the preoperative mean logMAR triamcinolone acetonide was
0.81 +/- 0.33, which improved to 0.20 +/- 0.19 one-year after surgery (P = .013).
In the remaining 17 eyes, the mean visual acuity also improved from 0.71 +/- 0.38
before surgery to 0.21 +/- 0.28 after surgery (P = .001). No significant
difference was found between the groups in preoperative and postoperative logMAR
visual acuities. CONCLUSIONS: Residual triamcinolone acetonide in the macular
hole does not interfere with anatomic or visual improvement.
PMID- 18054887
TI - Intravenous bevacizumab causes regression of choroidal neovascularization
secondary to diseases other than age-related macular degeneration.
AB - PURPOSE: To investigate the safety, tolerability, and bioactivity of intravenous
infusions of bevacizumab in patients with choroidal neovascularization (CNV)
attributable to causes other than age-related macular degeneration. DESIGN:
Nonrandomized clinical trial. METHODS: Ten patients with CNV received infusions
of 5 mg/kg of bevacizumab. The primary efficacy outcome measure was change in
visual acuity (VA; Early Treatment Diabetic Retinopathy Study letters read at 4
meters) at 24 weeks and secondary measures were changes from baseline in excess
foveal thickness (center subfield thickness), area of fluorescein leakage, and
area of CNV. RESULTS: Infusions were well tolerated and there were no ocular or
systemic adverse events. At baseline, median VA was 25.5 letters read at 4 meters
(20/80) and median foveal thickness was 346 mum. At the primary endpoint (24
weeks), median VA was 48.5 letters (20/32), representing four lines of
improvement from baseline (P = .005), median foveal thickness was 248 mum
representing a 72% reduction in excess foveal thickness (P = .007). Four of nine
patients had complete elimination of fluorescein leakage, three had near complete
elimination (reductions of 91%, 88%, and 87%), two had modest reductions, and one
had no reduction. All patients except one showed a reduction in area of CNV with
a median reduction of 43%. CONCLUSIONS: Despite the small number of patients
studied, the marked improvement in VA accompanied by prominent reductions in
foveal thickness, fluorescein leakage, and area of CNV suggest a beneficial
effect. It may be worthwhile to consider further evaluation of systemic
bevacizumab in young patients with CNV.
PMID- 18054888
TI - Comparison of central corneal thickness using anterior segment optical coherence
tomography vs ultrasound pachymetry.
AB - PURPOSE: To determine if there is a systematic difference in central corneal
thickness (CCT) measured using anterior segment optical coherence tomography (AS
OCT) as compared with ultrasound pachymetry. DESIGN: Prospective observational
study. METHODS: Consecutive subjects with clinically normal corneas underwent CCT
measurement by both ultrasound and AS-OCT while participating in a population
based study in Ghana, West Africa. One eye of each subject was randomly selected
for analysis. Two measurements were taken and averaged. Agreement and
interobserver variability were also analyzed. RESULTS: One hundred and fifty-five
subjects of African ethnicity and average age 57 years (standard deviation [SD]
12; range, 40 to 98 years) were included. Measurements by AS-OCT and US were
taken a mean of 15 days (maximum, six weeks) apart. The mean (SD) [range] US CCT
was 525.3 microm (33.5) [422, 653] and 499.0 microm (32.0) [428, 613] with AS
OCT. Measurements by the two modalities were strongly correlated (r(2) = 0.82; P
< .001), and a significant difference was observed between mean US and AS-OCT CCT
(SD) [range] of 26.3 microm (14.2) [-63, 12] (P < .001). The width of the limits
of agreement was 28 microm, about 6% of the average pachymetry reading. In 50
eyes randomly remeasured with OCT by a second observer, the intraclass
correlation coefficient was 0.91. There was a small but significant systematic
difference between observers (mean 6.9 microm, SD 10.9 microm), or 1.4% (P <
.001), increasing the difference noted above. CONCLUSION: There is a reproducible
systematic difference between CCT measurements taken with ultrasound and OCT. It
is important to note in clinical practice, that measurements acquired by these
two modalities are not directly interchangeable.
PMID- 18054889
TI - Effects of antiglaucoma drugs on refractive outcomes in eyes with myopic
regression after laser in situ keratomileusis.
AB - PURPOSE: To assess effects of antiglaucoma drugs on refractive outcomes in eyes
with myopic regression after laser in situ keratomileusis (LASIK). DESIGN:
Prospective, nonrandomized clinical trial. METHODS: We examined 27 eyes with mean
myopic regression +/- standard deviation of -1.26 +/- 0.48 diopters (D; range,
0.50 to -2.25 D) after LASIK. Nipradilol 2.5% was administered topically twice
daily to these regressive eyes. We obtained the refraction (spherical equivalent,
astigmatism), intraocular pressure (IOP) measurements, pachymetry, geometry, and
refractive power of the cornea before and three months after treatment. RESULTS:
Mean manifest refraction was improved significantly from -1.02 +/- 0.52 D to
0.44 +/- 0.39 D (P < .001). However, mean manifest astigmatism was changed from
0.55 +/- 0.30 D to -0.49 +/- 0.22 D, but the difference was not significant (P =
.23). The IOP was decreased significantly from 11.4 +/- 2.4 mm Hg to 9.4 +/- 1.3
mm Hg (P < .001). Central corneal thickness was not changed significantly from
505.2 +/- 39.3 microm to 503.6 +/- 38.7 microm (P = .61). The posterior corneal
surface was shifted posteriorly by 9.1 +/- 8.2 microm, and the total refractive
power of the cornea was decreased significantly, by 0.63 +/- 0.62 D (P < .001),
at three months after application. CONCLUSIONS: The preliminary data show that
antiglaucoma drugs are effective for the reduction of the refractive regression,
especially of the spherical errors, after LASIK. It is suggested that backward
movement of the cornea may occur, possibly flattening the corneal curvature by
lowering the IOP. Reduction of the IOP may contribute to improving regression
after keratorefractive surgery.
PMID- 18054891
TI - Ultrastructure and composition of basement membrane separating mature ameloblasts
from enamel.
AB - At a late stage of amelogenesis, a basement-membrane-like (BML) structure appears
between mature ameloblasts and the enamel surface. Although this BML structure is
known to contain certain basement membrane components, its detailed nature and
role were not well defined. As such, this study examined the BML structure using
high-resolution electron microscopy combined with immunohistochemical staining.
Mandibular rat incisors were processed for the preparation of Epon sections for
ultrastructural observations, and frozen sections were used for immunostaining
laminin, heparan sulphate proteoglycan (HSPG) and type IV collagen. The BML
structure was characterized by the presence of abundant ribbon-like 'double
tracks', 4.5-5.0 nm wide; the form known to be taken by HSPG in basal laminae.
The main ultrastructural component of basal laminae, known as 'cords', was
replaced by fine filaments of type IV collagen. Immunohistochemical staining of
the BML structure showed an intense reaction for HSPG, moderate staining for type
IV collagen and negligible staining for laminin. These observations indicate that
this structure is an atypical basement membrane in which the cord network is
replaced by type IV collagen filaments. However, the BML structure was found to
be unusually rich in HSPG, similar to kidney glomerular basement membrane. It is
likely that this specialized basement membrane mediates firm attachment of mature
ameloblasts to the enamel surface, and filters the influx and efflux of materials
to and from enamel during maturation.
PMID- 18054890
TI - Analysis of ambulatory heart failure management and its incidence on one-year
survival.
AB - AIM: To assess in a daily practice survey one-year survival in a cohort of
patients with heart failure (HF) according to their clinical profiles and the way
they were managed by cardiologists. METHODS AND RESULTS: A prospective
observational survey was conducted in 1941 patients with HF followed up for one
year. Results show high rates of prescription for ACE inhibitors, indicating that
cardiologists take into account international recommendations. ACE inhibitors are
prescribed at dosage levels approaching those recommended by the guidelines.
However, beta-blocker prescription still shows a significant deficit and the
prescribed doses are much lower than those currently recommended. The
multifactorial modeling analysis showed that global heart failure (P=0.004),
advanced NYHA class (P<0.001), renal failure (P<0.001) were predictive of poor
outcome whereas an increased survival likelihood was observed in patients given
ACE-inhibitor/beta-blocker combination compared with beta-blocker alone or ACE
inhibitor alone. CONCLUSION: The results from this study should enhance the
prescription of ACE inhibitors and beta-blockers at effective doses in compliance
with the guidelines. They also suggest that a synergic positive effect of the
combination of these two therapeutic classes is observed in real life situations.
PMID- 18054892
TI - Compressive force stimulates the expression of osteogenesis-related transcription
factors in ROS 17/2.8 cells.
AB - OBJECTIVE: To determine how compressive force affects the expression of
osteogenesis-related transcription factors in osteoblasts. DESIGN: Cells of ROS
17/2.8, a typical osteoblastic cell line, were cultured with or without
continuous compressive force (0.5-2.0 g/cm(2)). Expression of mRNA encoding the
osteogenesis-related transcription factors Runx2, Osterix, Msx2, Dlx5 and AJ18
was measured using real-time polymerase chain reaction. Protein expression of
these transcription factors was determined by Western blotting. RESULTS: A
compressive force of 1.0 g/cm(2) significantly increased mRNA and protein
expression of Runx2, Osterix, Msx2 and Dlx5, which are critical for osteoblast
differentiation. In contrast, mRNA and protein expression of AJ18, which
downregulates osteoblast differentiation, were decreased with 1.0 g/cm(2) of
compressive force. CONCLUSIONS: A compressive force of 1.0 g/cm(2), which was
considered optimal for bone formation under the present experimental conditions,
stimulates osteoblastic differentiation via the modulation of osteogenesis
related transcription factors.
PMID- 18054893
TI - Different oxidized phospholipid molecules unequally affect bilayer packing.
AB - The aim of this study was to gain more detailed knowledge about the effect of the
presence of defined oxidized phospholipid molecules in phospholipid bilayers.
After chromatographic and mass spectrometry analysis, the previously used product
of the Fenton reaction with unsaturated lecithins proved to consist of a plethora
of oxidatively modified lecithins, useless either for the detailed study of the
effects brought about in the bilayer or as the source of defined oxidized
phospholipid molecules. The latter, particularly 2-(omega-carboxyacyl)- and 2-(n
hydroperoxyacyl)-lecithins, can be more conveniently prepared by chemical or
enzymatic synthesis rather than by chemical or physical oxidation. The effect of
those molecules and of commercially available 12-hydroxy-stearic and
dodecanedioic acid was studied in planar supported phospholipid bilayers (SPBs)
by use of EPR spectrometry. The SPBs also contained 2-(5-doxylstearoyl)-lecithin
as the spin probe, and the EPR spectral anisotropy loss, indicative of bilayer
disordering, was measured as a function of the molar percentage of oxidized
lipid. Most oxidized lipid molecules examined in this study were able to induce
bilayer disordering, while hydroperoxyl group-bearing acyl chains appeared to be
much less effective. It is concluded that the effects of different oxidized
phospholipids on phospholipid bilayer structure cannot be generalized, as happens
with batch-oxidized phospholipids, and that the use of defined oxidized
phospholipid molecular species for membrane oxidative stress guarantees a more
reliable and detailed response.
PMID- 18054894
TI - The role of values with personal examples in altering the functions of pain:
comparison between acceptance-based and cognitive-control-based protocols.
AB - The purpose of the present study was twofold. First, to compare the effect of
establishing a motivational context of values on pain tolerance, believability,
and reported pain, with three experimental conditions: pain acceptance (ACT
condition), pain control (CONT condition), or no values (untrained condition).
Second, the study aimed to isolate the impact of adding the corresponding coping
strategies to both the ACT and the CONT conditions. Thirty adults were randomly
assigned to one of the three experimental conditions. The participants went
through the pain task in two occasions (Test I and Test II). In Test I, the
effects of the ACT-values protocol (which established pain as part of valued
action), the CONT-values protocol (which established high pain as opposed to
valued action), and the no-values protocol, were compared. In Test II, the effect
of adding the corresponding coping strategy to each condition (defusion for ACT
vs. suppression for CONT) was examined. Test I showed a clear superiority of the
ACT-values protocol in increasing tolerance and lowering pain believability. In
Test II, the superiority of the ACT protocol was replicated, while the CONT
protocol proved useful to reduce reported pain, in accordance with previous
studies.
PMID- 18054895
TI - SK-126, a synthetic compound, regulates the production of inflammatory cytokines
induced by LPS in antigen-presenting cells.
AB - A variety of mediators released by immune cells triggers or enhances specific
aspects of the inflammatory response. Dendritic cells (DCs) play an essential
role in the innate immune system by shaping the adaptive immune responses and by
controlling the production of cytokines in response to inflammatory stimuli. In
the present study, we investigated whether SK-126, a pyridine derivative based on
gentianine originated from a natural product, can affect the LPS-induced
inflammatory cytokine production in DC. Interestingly, treatment of mouse bone
marrow-derived dendritic cells (BMDCs) and the murine dendritic cell line, DC
2.4, with SK-126 completely suppressed LPS-induced TNF-alpha expression at both
transcriptional and protein levels. In contrast to TNF-alpha, SK-126 enhanced IL
10 expression at both transcriptional and protein levels. To determine signaling
pathways involved in the regulation of inflammatory cytokines, we examined the
involvement of MAPK and the transcription factor, NF-kappaB. SK-126 enhanced
ERK1/2 and p38 activation following LPS stimulation, but it did not induce
phosphorylation of SAPK/JNK and NF-kappaB. Also, STAT3 phosphorylation after LPS
stimulation was increased by SK-126 to a large extent. Using specific inhibitors,
we confirmed that SK-126 has dual effects in which it suppresses TNF-alpha
production and enhances IL-10 production via the up-regulation of ERK1/2 and p38.
Finally, LPS-induced inflammatory responses such as TNF-alpha production in vivo
were significantly reduced by treatment with SK-126. Therefore, our findings
suggest that SK-126 may be a useful drug candidate to treat inflammatory diseases
in which pro- or anti-inflammatory cytokines play a significant role in their
pathogenesis.
PMID- 18054896
TI - Communication call-evoked gamma-band activity in the auditory cortex of awake
bats is modified by complex acoustic features.
AB - Mustached bats emit an acoustically rich variety of calls for social
communication. In the posterior primary auditory cortex, activity of neural
ensembles measured as local field potentials (LFPs) can uniquely encode each call
type. Here we report that LFPs recorded in response to calls contain oscillatory
activity in the gamma-band frequency range (>20 Hz). The power spectrum of these
high-frequency oscillations shows either two peaks of energy (at 40 Hz and 100
Hz), or just one peak at 40 Hz. The relative power of gamma-band activity in the
power spectrum of a call-evoked LFP correlates significantly with the 'harmonic
complexity' of a call. Gamma-band activity is attenuated with reversal of
frequency-modulated calls. Amplitude modulation, even when asymmetric across call
reversals, has no significant effect on gamma-band activity. These results
provide the first experimental evidence that complex features within different
groups of species-specific calls modify the power spectrum of evoked gamma-band
activity.
PMID- 18054897
TI - Contribution by DRt descending facilitatory pathways to maintenance of spinal
neuron sensitization in rats.
AB - We investigated in different experimental rat models the potential facilitatory
contribution of the medullary dorsal reticular nucleus (DRt) descending pathway
to the expressions of the sensory spinal neuron sensitization such as increased
spontaneous and noxious evoked activities, responsivity to heterotopic afferences
stimulation and long lasting afterdischarges (ADs). We carried out experiments by
recording from ipsilateral lumbar Wide Dynamic Range (WDR) neurons and by
simultaneously monitoring the DRt neuron activity in neuropathic pain rats with
chronic constriction injury of one sciatic nerve (CCI), in sham-operated and in
"intact" rats. In particular, we recorded the spinal neuron spontaneous
activities and the activities evoked by noxious stimulations of ipsi- and
contralateral sciatic supplied areas before and during DRt activity blockade.
Additionally, in "intact rats" we modulated WDR activity by iontophoretic NMDA to
mimic CCI WDR hyperactivity without peripheral damage. We found that during DRt
activity blockade in CCI rat neurons and in "intact" rat NMDA-treated neurons,
the spontaneous activity was significantly reduced, the responses to
contralateral sciatic area stimulation were reduced or suppressed, the responses
to ipsilateral sciatic area were poorly affected (slightly reduced or
unaffected), except for the poststimulus afterdischarges that were mostly
suppressed. In sham-operated rats, the neuronal activity was not affected by DRt
blockade. The finding that during the DRt nucleus blockade some expressions of
spinal neurons sensitization, seemingly associated to sensory disorders in
neuropathic pain, fade or extinguish designates a likely facilitatory role of DRt
in the maintenance of neuronal sensitization and thus a contribution to
neuropathic pain state.
PMID- 18054898
TI - Glia maturation factor overexpression in neuroblastoma cells activates glycogen
synthase kinase-3beta and caspase-3.
AB - In the present study we report that a replication-defective adenovirus construct
of GMF cDNA (GMF-V) induced overexpression of GMF protein in neuroblastoma (N18)
cells caused cytotoxicity and loss of cell viability. A significant increase in
activation of GSK-3beta occurred after infection with GMF-V when compared with
mock and lacZ controls. Overexpression of GMF also increased caspase-3 activity,
an early marker of apoptosis. Depletion of GMF gene by introducing GMF-specific
siRNA (GsiRNA) completely blocked both activation of GSK-3beta and caspase-3
activation whereas a control scrambled siRNA (CsiRNA) had no effect. A cell
permeable peptide inhibitor of GSK-3beta, and lithium completely prevented GMF
dependent activation of caspase-3. These results demonstrate that GSK-3 mediates
activation of the death domain caspase by GMF overexpression. We also show that
the phosphorylation of GSK-3-dependent site of Tau was a consequence of GMF
overexpression in N18 cells. Taken together our results imply that GMF is
involved in the signaling leading to the activation of GSK-3beta and caspase-3 in
N18 cells and strongly suggest its involvement in neurodegeneration since GSK
3beta is known to hyperphosphorylate tau which is associated with the
neurotoxicity of neurofibrillary tangles in Alzheimer's disease.
PMID- 18054899
TI - Specific AAV serotypes stably transduce primary hippocampal and cortical cultures
with high efficiency and low toxicity.
AB - Most current methods of gene delivery for primary cultured hippocampal neurons
are limited by toxicity, transient expression, the use of immature neurons and/or
low efficiency. We performed a direct comparison of seven serotypes of adeno
associated virus (AAV) vectors for genetic manipulation of primary cultured
neurons in vitro. Serotypes 1, 2, 7, 8 and 9 mediated highly efficient, nontoxic,
stable long-term gene expression in cultured cortical and hippocampal neurons
aged 0-4 weeks in vitro; serotypes 5 and 6 were associated with toxicity at high
doses. AAV1 transduced over 90% of all cells with approximately 80% of the
transduced cells being neurons. The method was readily adapted to a high
throughput format to demonstrate neurotrophin-mediated neuroprotection from
glutamate toxicity in cultured neurons at 2 weeks in vitro. These vectors should
prove highly useful for efficient overexpression or downregulation of genes in
primary neuronal cultures at any developmental stage.
PMID- 18054900
TI - Task-dependent modulation of neglect dyslexia? Novel evidence from the viewing
position effect.
AB - Spatial neglect may involve neglect dyslexia resulting in a failure to identify
words or parts of words in the contralesional hemispace. The present study
explored a well-documented dissociation in neglect dyslexics' word processing,
i.e. impaired reading aloud in the presence of good lexical decision performance
for the same stimuli. To investigate whether this dissociation is also reflected
in the visuo-spatial characteristics of word processing, a well-established
paradigm of word processing in normal readers (technique of variable viewing
positions, [Nazir, T., Heller, D., Sussmann, C., 1992. Letter visibility and word
recognition: The optimal viewing position in printed words. Perception and
Psychophysics, 52, 315-328]) was combined with a word naming and a lexical
decision task. Compared to previous studies of neglect dyslexia, the present
study additionally entailed tachistoscopical displays of word stimuli in
different viewing positions. The word stimuli varied orthogonally along the two
linguistic dimensions of word frequency (lexical manipulation) and syllable
number (sublexical manipulation). Participants were sixteen patients with left
sided visual neglect and an age-matched control group. We expected the
dissociation in neglect dyslexia to be reflected in task-specific effects in
naming and lexical decision. This was partly confirmed by an interaction of task
demands with viewing-position-specific results. Interestingly these results
pointed to a task-dependency in word processing not only in neglect patients but
also in normal readers, when equal error rates were experimentally obtained
between control and neglect participants. Together with the finding of a task
specific effect of syllable number in the neglect group, the present results
suggest that task demands modulate the degree of neglect dyslexia from early
stages of visual word processing.
PMID- 18054901
TI - Sexual dimorphism and steroid responsiveness of the posterodorsal medial amygdala
in adult mice.
AB - The posterodorsal aspect of the medial amygdala (MePD) is sexually dimorphic in
regional volume, rostrocaudal extent, and neuronal soma size in rats. These
dimorphisms are maintained by circulating gonadal hormones, as castration of
adult male rats reduces MePD measures, while testosterone treatment of females
increases them. We now report that the MePD is also sexually dimorphic in volume,
rostrocaudal extent, and somal area in BALB/c mice. Four weeks after castration
of adult male mice, MePD regional volume and soma size are reduced, but
rostrocaudal extent is not, compared to sham-castrated males. Treatment of adult
ovariectomized females with an aromatized metabolite of testosterone, estradiol,
for 8 weeks increased MePD volume and soma size, but not rostrocaudal extent. To
probe the possible role of afferents in the steroid-induced plasticity of the
MePD, we examined the effect of removing the olfactory bulbs in gonadally intact
males and in estrogen-treated females. Bulbectomy had no effect on MePD
morphology with one exception: among gonadally intact males, neuronal soma size
was slightly smaller in the right MePD of bulbectomized males compared to males
with intact bulbs. These results indicate that the sexual dimorphism and hormone
responsiveness of the MePD that has been extensively studied in rats is also
present in mice, which offers genetic tools for future research. We detected
little or no evidence that olfactory bulb afferents play a role in maintaining
MePD morphology in adult mice.
PMID- 18054903
TI - Evolutionary changes in sensory precursor formation in arthropods: embryonic
development of leg sensilla in the spider Cupiennius salei.
AB - We describe here for the first time the development of mechanosensory organs in a
chelicerate, the spider Cupiennius salei. It has been shown previously that the
number of external sense organs increases with each moult. While stage 1 larvae
do not have any external sensory structures, stage 2 larvae show a stereotyped
pattern of touch sensitive 'tactile hairs' on their legs. We show that these
mechanosensory organs develop during embryogenesis. In contrast to insects,
groups of sensory precursors are recruited from the leg epithelium, rather than
single sensory organ progenitors. The groups increase by proliferation, and
neural cells delaminate from the cluster, which migrate away to occupy a position
proximal to the accessory cells of the sense organ. In addition, we describe the
development of putative internal sense organs, which do not differentiate until
larval stage 2. We show by RNA interference that, similar to Drosophila,
proneural genes are responsible for the formation and subtype identity of sensory
organs. Furthermore, we demonstrate an additional function for proneural genes in
the coordinated invagination and migration of neural cells during sensory organ
formation in the spider.
PMID- 18054902
TI - Dally regulates Dpp morphogen gradient formation by stabilizing Dpp on the cell
surface.
AB - Decapentaplegic (Dpp), a Drosophila homologue of bone morphogenetic proteins,
acts as a morphogen to regulate patterning along the anterior-posterior axis of
the developing wing. Previous studies showed that Dally, a heparan sulfate
proteoglycan, regulates both the distribution of Dpp morphogen and cellular
responses to Dpp. However, the molecular mechanism by which Dally affects the Dpp
morphogen gradient remains to be elucidated. Here, we characterized activity,
stability, and gradient formation of a truncated form of Dpp (Dpp(Delta N)),
which lacks a short domain at the N-terminus essential for its interaction with
Dally. Dpp(Delta N) shows the same signaling activity and protein stability as
wild-type Dpp in vitro but has a shorter half-life in vivo, suggesting that Dally
stabilizes Dpp in the extracellular matrix. Furthermore, genetic interaction
experiments revealed that Dally antagonizes the effect of Thickveins (Tkv; a Dpp
type I receptor) on Dpp signaling. Given that Tkv can downregulate Dpp signaling
by receptor-mediated endocytosis of Dpp, the ability of dally to antagonize tkv
suggests that Dally inhibits this process. Based on these observations, we
propose a model in which Dally regulates Dpp distribution and signaling by
disrupting receptor-mediated internalization and degradation of the Dpp-receptor
complex.
PMID- 18054904
TI - Changes in mercury concentrations of segmental maternal hair during gestation and
their correlations with other biomarkers of fetal exposure to methylmercury in
the Japanese population.
AB - Methylmercury (MeHg) is one of the most hazardous substances that affects the
fetus through fish consumption. The objective of this study was to evaluate the
changes in the level of exposure to MeHg by assessing the mercury (Hg)
concentrations of the segmental hair at parturition and 3 months after
parturition, and to study their correlations with the total Hg concentrations of
maternal and cord red blood cells (RBCs) and neonatal hair as biomarkers of fetal
exposure to MeHg at parturition. In total, 40 paired samples of maternal hair
from the scalp, maternal and cord RBCs, and 21 samples of neonatal hair from the
scalp were collected at parturition. In addition, 19 samples of maternal hair
from the scalp were collected at 3 months after parturition. The maternal hair
samples were cut into 1cm segments from the scalp end toward the tip. The
geometric mean of the Hg concentrations in cord RBCs was approximately 1.6 times
higher than that in the maternal RBCs, and a strong correlation coefficient
(r=0.91) was found between them. The increase or decrease in the Hg
concentrations of the segmental hair during gestation differed largely among
individuals. The correlation coefficients between the Hg concentrations of the
segmental hair and cord RBCs were the strongest (r=0.90) in the hair segment 1cm
from the scalp and decreased gradually with the distance from the scalp. The
correlation coefficients between the Hg concentrations of the segmental hair
collected at 3 months after parturition and maternal RBCs were over 0.9 in the
hair segments 5 and 6 cm from the scalp, suggesting that the time required for
the incorporation of Hg from the blood into a growing hair was very short. The
geometric mean of Hg concentrations in the neonatal hair at parturition was
similar to that in the maternal hair 1cm from the scalp at parturition, and they
exhibited a strong correlation (r=0.95). The findings of this study indicate that
maternal hair close to the scalp at parturition and neonatal hair are useful
biomarkers of fetal exposure to MeHg at parturition. In addition, the segmental
maternal hair throughout gestation is essential to obtain important information
on MeHg exposure during the different sensitive windows or bolus MeHg exposure
during gestation.
PMID- 18054905
TI - Factors related to dioxin and furan body levels among Michigan workers.
AB - We evaluated serum concentrations of five selected dioxin, furan, and
polychlorinated biphenyls (PCB) congeners among 412 workers at a Midland,
Michigan plant that manufactured trichlorophenol and pentachlorophenol (PCP) and
formulated chlorophenol-based products. We examined occupational indicators of
exposure to these chlorophenols taking into account intrinsic factors such as age
and body fat and potential environmental sources of exposure from consumption of
local game and fish and other occupations. All five congeners were significantly
associated with age and body fat. 2378-TCDD serum concentrations were associated
with trichlorophenol operations, total years employed at the plant, as well as
working as a hazardous waste worker. 123678-H(6)CDD serum concentrations were
related to occupational PCP exposure, chloracne, recent weight loss, eating local
game, and working as a hazardous waste worker. Serum concentrations of PCB126
were related to smoking (inversely), and eating local fish or local game. Other
factors such as diet and jobs outside of the chlorophenol plant exposures had
only a very minor impact on dioxin and furan concentrations in these workers.
PMID- 18054907
TI - alpha2B-adrenoceptor agonist ST-91 antagonizes beta2-adrenoceptor-mediated
relaxation in rat mesenteric artery rings.
AB - We sought an isolated vascular preparation and experimental setting where the
function of alpha2B-adrenoceptors could be demonstrated by non-recombinant
technique. ST-91 (2-[2,6-diethylphenylamino]-2-imidazoline), an alpha 2B
adrenoceptor agonist with a mixed alpha adrenergic receptor type/subtype
selection profile antagonized the relaxant effect of isoproterenol in endothelium
denuded rat mesenteric artery rings precontracted with phenylephrine. At 10(-7) M
of ST-91, the antagonism was characterized by a rightward shift of isoproterenol
dose-response curve (A50=6.81+/-1.40 e-7 (n=4) vs the control 1.29+/-0.25 e-7 M
(n=4)) with no E(max) depression. At 10(-6) M the Emax depression was prevalent
(36.1+/-7.0% (n=4) vs the control 79.9+/-5.1% (n=4)); both actions could be
antagonized by the alpha2-adrenoceptor antagonist yohimbine. The not subtype
selective alpha(2)-adrenoceptor agonist xylazine (10(-7) M) did not affect the
relaxant action of isoproterenol. Present findings are discussed in the light of
previously reported hemodynamic effects attributed to alpha2B-adrenoceptors in
receptor subtype-knockout animals.
PMID- 18054906
TI - High serum PCBs are associated with elevation of serum lipids and cardiovascular
disease in a Native American population.
AB - We have investigated the relationships among the concentration of total serum
polychlorinated biphenyls (PCBs), various PCB congener groupings, and three
pesticides to total serum lipids in humans with and without self-reported
cardiovascular disease. Blood samples were obtained from 335 adult Akwesasne
Mohawks, and were analyzed for 101 PCB congeners, mirex, dichloro-diphenyl
dichloro-ethylene (DDE), and hexachlorobenzene (HCB), as well as serum
triglycerides and cholesterol. Structural equation modeling, following the
definition of latent variables by means of confirmatory factor analysis, was used
to analyze the relationships between serum lipids with PCBs and heart disease.
There were significant associations among PCBs, lipids, age, and body mass index
(BMI), a fact which justified the application of the structural equation model.
Gender of the participant was unrelated to any of the remaining study variables.
The results of this study are consistent with a model in which age is considered
as both an exogenous explanatory variable and a biological driving mechanism for
the acquisition of PCBs. Moreover, the results of this study are consistent with
the conclusion that PCBs, acting through P450 enzymes, are directly responsible
for increased synthesis of cholesterol and triglycerides, substances known to be
major risk factors for cardiovascular disease.
PMID- 18054908
TI - Analgesic activity of metabotropic glutamate receptor 1 antagonists on
spontaneous post-operative pain in rats.
AB - Activation of metabotropic glutamate (mGlu) receptors has previously been shown
to play a role in inflammatory or neuropathic pain states. However, the role of
mGlu type 1 receptors in post-operative pain remains to be investigated. In the
present study, effects of potent and selective mGlu1 receptor antagonists A
841720, A-794282, A-794278, and A-850002 were evaluated in a skin incision
induced post-operative pain model in rats. Post-operative pain was examined 2 h
following surgery using weight-bearing difference between injured and uninjured
paws as a measure of spontaneous pain. In this model, A-841720, A-794282, A
794278, and A-850002 induced significant attenuation of spontaneous post
operative pain behavior, with ED50s of 10, 50, 50, and 65 micromol/kg i.p.,
respectively. Depending on the compound, significant motor side effects were also
observed at 3 to 10 fold higher doses. These results support the notion that
mGlu1 receptor activation plays a significant role in nociceptive transmission in
post-operative pain, though motor impairment may be a limiting factor in
developing mGlu1 receptor antagonists as novel analgesics.
PMID- 18054909
TI - Conjugated linoleic acid and cardiac health: oxidative stress and energetic
metabolism in standard and sucrose-rich diets.
AB - Studies on conjugated linoleic acid ingestion and its effect on cardiac tissue
are necessary for the safe utilization of this compound as supplement for weight
loss. Male Wistar 24-rats were divided into four groups (n=6):(C)given standard
chow, water and 0.5 ml saline, twice a week by gavage; (C-CLA)receiving standard
chow, water and 0.5 ml of conjugated linoleic acid, twice a week, by gavage;
(S)given standard chow, saline by gavage, and 30% sucrose in its drinking water;
(S-CLA)receiving standard chow, 30% sucrose in its drinking water and conjugated
linoleic acid. After 42 days of treatment S rats had obesity with increased
abdominal-circumference, dyslipidemia, oxidative stress and myocardial lower
citrate synthase(CS) and higher lactate dehydrogenase(LDH) activities than C.
Conjugated linoleic acid had no effects on morphometric parameters in C-CLA, as
compared to C, but normalized morphometric parameters comparing S-CLA with S.
There was a negative correlation between abdominal adiposity and resting
metabolic rate. Conjugated linoleic acid effect, enhancing fasting-VO(2)/surface
area, postprandial-carbohydrate oxidation and serum lipid hydroperoxide resembled
to that of the S group. Conjugated linoleic acid induced cardiac oxidative stress
in both fed conditions, and triacylglycerol accumulation in S-CLA rats.
Conjugated linoleic acid depressed myocardial LDH comparing C-CLA with C, and
beta-hydroxyacyl-coenzyme-A dehydrogenase/CS ratio, comparing S-CLA with S. In
conclusion, dietary conjugated linoleic acid supplementation for weight loss can
have long-term effects on cardiac health. Conjugated linoleic acid, isomers c9,
t11 and t10, c12c9,t11" and "t10,c12" were changed to "c9, t11" and "t10, c12",
respectively. Please check if appropriate.--> presented undesirable pro-oxidant
effect and induced metabolic changes in cardiac tissue. Nevertheless, despite its
effect on abdominal adiposity in sucrose-rich diet condition, conjugated linoleic
acid may be disadvantageous because it can lead to oxidative stress and
dyslipidemic profile.
PMID- 18054910
TI - Doxazosin induces apoptosis of cells expressing hERG K+ channels.
AB - The antihypertensive drug doxazosin has been associated with an increased risk
for congestive heart failure and cardiomyocyte apoptosis. Human ether-a-go-go
related gene (hERG) K(+) channels, previously shown to be blocked by doxazosin at
therapeutically relevant concentrations, represent plasma membrane receptors for
the antihypertensive drug. To elucidate the molecular basis for doxazosin
associated pro-apoptotic effects, cell death was studied in human embryonic
kidney cells using three independent apoptosis assays. Doxazosin specifically
induced apoptosis in hERG-expressing HEK cells, while untransfected control
groups were insensitive to treatment with the antihypertensive agent. An
unexpected biological mechanism has emerged: binding of doxazosin to its novel
membrane receptor, hERG, triggers apoptosis, possibly representing a broader
pathophysiological mechanism in drug-induced heart failure.
PMID- 18054911
TI - Effects of 15-deoxy-Delta12, 14 prostaglandin J2 and ciglitazone on human cancer
cell cycle progression and death: the role of PPARgamma.
AB - The role of PPARgamma in ciglitazone and 15-d PGJ(2)-induced apoptosis and cell
cycle arrest of Jurkat (before and after PPARgamma gene silencing), U937 (express
high levels of PPARgamma) and HeLa (that express very low levels of PPARgamma)
cells was investigated. PPARgamma gene silencing, per se, induced a G2/M cell
arrest, loss of membrane integrity and DNA fragmentation of Jurkat cells,
indicating that PPARgamma is important for this cell survival and proliferation.
Ciglitazone-induced apoptosis was abolished after knockdown of PPARgamma
suggesting a PPARgamma-dependent pro-apoptotic effect. However, ciglitazone
treatment was toxic for U937 and HeLa cells regardless of the presence of
PPARgamma. This treatment did not change the cell cycle distribution
corroborating with a PPARgamma-independent mechanism. On the other hand, 15-d
PGJ(2) induced apoptosis of the three cancer cell lines regardless of the
expression of PPARgamma. These results suggest that PPARgamma plays an important
role for death of malignant T lymphocytes (Jurkat cells) and PPARgamma agonists
exert their effects through PPARgamma-dependent and -independent mechanisms
depending on the drug and the cell type.
PMID- 18054912
TI - Elicitation of specific, Th1-biased immune response precludes skeletal muscle
damage in cruzipain-vaccinated mice.
AB - Cruzipain (Cz), the major cystein proteinase of Trypanosoma cruzi, is able to
induce protective immunity against parasite challenge. However, some concern has
arisen regarding its potential to elicit pathogenic autoimmune reactivity. To
determine whether the adverse myopathic effects of Cz-based immunization could be
prevented, we evaluated the co-administration of Cz with different adjuvants.
Mice were immunized with Cz adjuvantized by alum (Cz+alum), oligodeoxynucleotides
containing CpG motifs (Cz+ODN-CpG) or Freund's preparation (Cz+CFA). Cz triggered
a vigorous specific humoral response, irrespective of the adjuvant used. Alum
mainly drove response towards Th2 phenotype, characterized by specific IgG1
antibodies and IL-10 induction, whereas Cz+ODN-CpG mice exhibited Th1-dominant
immunity, with antibodies of the IgG2a isotype and enhanced IFN-gamma production.
Histological examination of cardiac tissue demonstrated lesions in Cz+CFA but not
in Cz+alum nor Cz+ODN-CpG immunized animals, suggesting that CFA is critical for
Cz-mediated injury. Analysis of skeletal muscle revealed that mice receiving
Cz+CFA exhibited disrupted and hyalinized myofibers, whereas [Cz+alum]-immunized
animals showed hyalinization, architecture modifications and small inflammatory
foci. Conversely, no abnormalities were observed in the striated muscle from the
Cz+ODN-CpG group. Hence, generation of specific immune response skewed towards
Th1, as that recorded for the ODN-CpG adjuvant, may preclude triggering of Cz
mediated muscle tissue damage.
PMID- 18054913
TI - Apoptosis in developmental and repair-related human tooth remodeling: a view from
the inside.
AB - Apoptosis is a key phenomenon in the regulation of the life span of odontoblasts,
which are responsible for dentin matrix production of the teeth. The mechanism
controlling odontoblasts loss in developing, normal, and injured human teeth is
largely unknown. A possible correlation between apoptosis and dental pulp volume
reduction was examined. Histomorphometric analysis was performed on intact 10 to
14 year-old premolars to follow dentin deposition and evaluate the total number
of odontoblasts. Apoptosis in growing healthy teeth as well as in mature
irritated human teeth was determined using a modified TUNEL technique and an anti
caspase-3 antibody. In intact growing teeth, the sequential rearrangement of
odontoblasts into a multi-layer structure during tooth crown formation was
correlated with an apoptotic wave that leads to the massive elimination of
odontoblasts. These data suggest that apoptosis, coincident with dentin
deposition changes, plays a role in tooth maturation and homeostasis. Massive
apoptotic events were observed after dentin irritation. In carious and injured
teeth, apoptosis was detected in cells surrounding the lesion sites, as well as
in mono-nucleated cells nearby the injury. These results indicate that apoptosis
is a part of the mechanism that regulate human dental pulp chamber remodeling
during tooth development and pathology.
PMID- 18054914
TI - Using continuous porous silicon gradients to study the influence of surface
topography on the behaviour of neuroblastoma cells.
AB - The effects of surface topography on cell behaviour are the subject of intense
research in cell biology. These effects have so far only been studied using
substrate surfaces of discretely different topography. In this paper, we present
a new approach to characterise cell growth on porous silicon gradients displaying
pore sizes from several thousands to a few nanometers. This widely applicable
format has the potential to significantly reduce sample numbers and hence
analysis time and cost. Our gradient format was applied here to the culture of
neuroblastoma cells in order to determine the effects of topography on cell
growth parameters. Cell viability, morphology, length and area were characterised
by fluorescence and scanning electron microscopy. We observed a dramatic
influence of changes in surface topography on the density and morphology of
adherent neuroblastoma cells. For example, pore size regimes where cell
attachment is strongly discouraged were identified providing cues for the design
of low-fouling surfaces. On pore size regimes more conducive to cell attachment,
lateral cell-cell interactions crosslinked the cell layer to the substratum
surface, while direct substrate-cell interactions were scarce. Finally, our study
revealed that cells were sensitive to nanoscale surface topography with feature
sizes of <20 nm.
PMID- 18054915
TI - Cyclophilin B induces integrin-mediated cell adhesion by a mechanism involving
CD98-dependent activation of protein kinase C-delta and p44/42 mitogen-activated
protein kinases.
AB - Initially identified as a cyclosporin-A binding protein, cyclophilin B (CyPB) is
an inflammatory mediator that induces adhesion of T lymphocytes to fibronectin,
by a mechanism dependent on CD147 and alpha 4 beta 1 integrins. Recent findings
have suggested that another cell membrane protein, CD98, may cooperate with CD147
to regulate beta1 integrin functions. Based on these functional relationships, we
examined the contribution of CD98 in the pro-adhesive activity of CyPB, by
utilizing the responsive promonocyte cell line THP-1. We demonstrated that cross
linking CD98 with CD98-AHN-18 antibody mimicked the responses induced by CyPB,
i.e. homotypic aggregation, integrin-mediated adhesion to fibronectin and
activation of p44/42 MAPK. Consistent with previous data, immunoprecipitation
confirmed the existence of a heterocomplex wherein CD147, CD98 and beta1
integrins were associated. We then demonstrated that CyPB-induced cell adhesion
and p44/42 MAPK activation were dependent on the participation of
phosphoinositide 3-kinase and subsequent activation of protein kinase C-delta.
Finally, silencing the expression of CD98 by RNA interference potently reduced
CyPB-induced cell responses, thus confirming the role of CD98 in the pro-adhesive
activity of CyPB. Altogether, our results support a model whereby CyPB induces
integrin-mediated adhesion via interaction with a multimolecular unit formed by
the association between CD147, CD98 and beta1 integrins.
PMID- 18054916
TI - NCX3 knockout mice exhibit increased hippocampal CA1 and CA2 neuronal damage
compared to wild-type mice following global cerebral ischemia.
AB - There is uncertainty as to whether the plasma membrane Na(+)/Ca(2+)exchanger
(NCX) has a neuroprotective or neurodamaging role following cerebral ischemia. To
address this issue we compared hippocampal neuronal injury in NCX3 knockout mice
(Ncx3(-/-)) and wild-type mice (Ncx3(+/+)) following global cerebral ischemia.
Using a bilateral common carotid artery occlusion (BCCAO) model of global
ischemia we subjected NCX3 knockout and wild-type mice to 17 and 15 minutes of
ischemia. Following the 17 minute period of ischemia, wild-type mice exhibited
approximately 80% CA1 neuronal loss and approximately 40% CA2 neuronal loss. In
contrast, NCX3 knockout mice displayed >95% CA1 neuronal loss and approximately
95% CA2 neuronal loss. Following the 15 minute period of ischemia, wild-type mice
did not exhibit any significant hippocampal neuronal loss. In contrast, NCX3
knockout mice displayed approximately 45% CA1 neuronal loss and approximately 25%
CA2 neuronal loss. The results clearly demonstrate that mice deficient in the
NCX3 protein are more susceptible to global cerebral ischemia than wild-type
mice. Our findings suggest NCX3 has a positive role in maintaining neuronal
intracellular calcium homeostasis following ischemia, and that when exchanger
function is compromised neurons are more susceptible to calcium deregulation and
cell death.
PMID- 18054918
TI - Expression profiling identifies a molecular signature of reactive astrocytes
stimulated by cyclic AMP or proinflammatory cytokines.
AB - Specialized glia, termed reactive astrocytes, accompany numerous pathologic
conditions affecting the central nervous system, including stroke, multiple
sclerosis, and neoplasia. To better define this important cell type, we employed
high-density microarray gene expression profiling using two in vitro models of
reactive gliosis (stimulation with dbcAMP or IL-1beta/IFNgamma). We identified 44
differentially expressed transcripts common to both in vitro models and
demonstrated that a subset of these genes are also differentially expressed in
response to experimental autoimmune encephalomyelitis and focal cerebral ischemia
in vivo. Moreover, this pattern of differential gene expression is not observed
in hyperproliferating or neoplastic glia.
PMID- 18054917
TI - Maladaptive effects of learning with the less-affected forelimb after focal
cortical infarcts in rats.
AB - It is common following stroke to focus early rehabilitation efforts on developing
compensatory use of the less-affected body side. Here we used a rat model of
focal cortical infarct to examine how motor skill acquisition with the less
affected ("intact") forelimb influences sensorimotor function of the infarct
impaired forelimb and neural activity in peri-infarct cortex. Rats proficient in
skilled reaching with one forelimb were given focal ischemic lesions in the
contralateral sensorimotor cortex (SMC). Recovery in this forelimb was tested
following a period of reach training focused on the intact forelimb or control
procedures. Quantitative measures of the cumulatively expressed transcription
factor, FosB/DeltaFosB, were used to assay intact forelimb training effects on
neuronal activity in remaining SMC of the infarcted hemisphere. Intact forelimb
training worsened behavioral recovery in the impaired forelimb following
unilateral focal ischemia. Furthermore, it decreased neuronal FosB/DeltaFosB
expression in layer II/III of peri-infarct SMC. These effects were not found in
sham-operated rats trained sequentially with both forelimbs or in animals
receiving bilateral forelimb training after unilateral infarcts. Thus, focused
use of the intact forelimb has detrimental effects on recovery of impaired
forelimb function following a focal ischemic injury and this is linked to reduced
neuronal activation in remaining cortex. These results suggest that peri-infarct
cortex becomes vulnerable to early post-stroke experience with the less-affected
forelimb and that this experience may drive neural plasticity here in a direction
that is maladaptive for functional outcome.
PMID- 18054919
TI - Dietary glycine does not affect physiological angiogenesis and reproductive
function, but inhibits apoptosis in endometrial and ovarian tissue by down
regulation of nuclear factor-kappaB.
AB - OBJECTIVE: To study the effect of dietary glycine on female reproductive organs.
DESIGN: Intravital microscopic, histologic, and biomolecular study. SETTING:
Institute for Clinical & Experimental Surgery, University of Saarland. ANIMAL(S):
Syrian golden hamsters. INTERVENTION(S): Endometrial fragments and ovarian
follicles were transplanted into dorsal skinfold chambers of Syrian golden
hamsters, which received glycine diet or standard pellet food (control). MAIN
OUTCOME MEASURE(S): Angiogenesis of the grafts was analyzed during 14 days using
intravital fluorescence microscopy. Protein expression of proliferating cell
nuclear antigen, cleaved caspase-3, p53, and nuclear factor-kappa B (NF-kappaB)
in the eutopic endometrium and ovary was measured by Western blot analysis.
Fertility and reproductive function of mating hamsters was assessed. RESULT(S):
Dietary glycine did not affect angiogenesis of endometrial and ovarian grafts, as
indicated by a vascularized area, microvessel density, and blood perfusion
compared with that of grafts in control animals. In addition, glycine-treated
hamsters presented with normal reproductive function. Interestingly, glycine
inhibited apoptosis in endometrial and ovarian tissue by down-regulation of NF
kappaB expression. CONCLUSION(S): Our novel data indicate that glycine can be
used as a therapeutic agent for inflammatory or angiogenic disorders without
inducing serious side effects in the female reproductive tract.
PMID- 18054920
TI - Spontaneous variation of leukocytospermia in asymptomatic infertile males.
AB - OBJECTIVE: To investigate the spontaneous variation of leukocytospermia (>1
million/mL) in semen samples from infertile men. DESIGN: Prospective cohort
study. SETTING: Andrologic clinic at university hospital. PATIENT(S): Ninety-nine
men evaluating for infertility causes. INTERVENTION(S): Two semen analyses
according the World Health Organization criteria combined with urologic
investigation without any treatment. MAIN OUTCOME MEASURE(S): Spontaneous
(downward/upward) variation in leukocyte count, sperm concentration, total
motility, and morphology between the two semen samples. RESULT(S): In the first
semen analysis, 21% of men had leukocytospermia. By the second analysis,
leukocyte concentrations were within the normal range in 9 of these 21 men,
corresponding to a downward variation of 43%. In contrast, 7 of 78 patients with
normal leukocyte levels at the first analysis had leukocytospermia at the second
analysis, corresponding to an upward variation of 9%. The upward variation rates
for sperm concentration, total motility, and morphology were 4%, 17%, and 4%,
respectively. Downward variation rates were considerably higher for total
motility and morphology (30% and 28%, respectively). CONCLUSION(S): The rate for
spontaneous downward variation of leukocytospermia in the absence of treatment
was 43% in this study. This rate should be taken into consideration when treating
infertile men with leukocytospermia, because effective medical therapy is still
lacking.
PMID- 18054921
TI - Effects of progesterone on FSH-stimulated indomethacin ulcers in rats.
AB - OBJECTIVE: To investigate whether the balance between progesterone and FSH levels
has a role in ulcer formation/inhibition. DESIGN: Animal study. SETTING: Ataturk
University, Faculty of Medicine, Laboratory of Pharmacology Department.
PATIENT(S): One hundred thirty-two female Albino Wistar rats. INTERVENTION(S):
Ovariectomy. Chronic administration of progesterone and estrogen to
ovariectomized rats. Acute administration of progesterone to ovariectomized rats.
Acute administration of progesterone and FSH to intact rats. Combined
administration of mifepristone with progesterone or FSH to intact rats.
Indomethacin administration to all rats. MAIN OUTCOME MEASURE(S): Gastric ulcer
areas as mm(2). RESULT(S): Indomethacin-induced ulcers were significantly higher
in ovariectomized rats than in intact rats. Chronic progesterone (1 mg/kg)
inhibited gastrointestinal system ulcers by 51.2%, whereas 2 and 5 mg/kg chronic
progesterone and 1, 2, and 5 mg/kg chronic estrogen were not effective. Acute
progesterone (5 mg/kg) increased indomethacin ulcers significantly in
ovariectomized rats. FSH increased indomethacin ulcers significantly. In
addition, progesterone increased indomethacin ulcers significantly in intact
rats. Mifepristone antagonized the ulcerogenic effects of FSH and progesterone.
CONCLUSION(S): Our data suggest that progesterone is not an antiulcer hormone,
and produces ulcers via its own receptor. In addition, FSH may produce
ulcerogenic effects via progesterone receptors. The low doses of progesterone
(inhibits endogenous FSH) cannot stimulate its own receptors sufficiently for
ulcer formation and prevent the ulcerogenic effects of FSH by decreasing FSH
concentration.
PMID- 18054922
TI - Dislodged Essure microinsert.
AB - A woman presented with symptoms of vaginal bleeding and pelvic pain shortly after
hysteroscopic sterilization. Sonographic and hysteroscopic images of a dislodged
Essure microinsert (Conceptus Inc., San Carlos, CA) are provided.
PMID- 18054923
TI - Polycystic ovary syndrome is related to idiopathic intracranial hypertension
according to magnetic resonance imaging and magnetic resonance venography.
AB - Magnetic resonance imaging and magnetic resonance venography findings of 30 women
with polycystic ovary syndrome and headache were studied prospectively. Our
magnetic resonance imaging and magnetic resonance venography findings support
that polycystic ovary syndrome with severe headache is a promoter of idiopathic
intracranial hypertension.
PMID- 18054924
TI - Reduced expression of 14-3-3 gamma in uterine leiomyoma as identified by
proteomics.
AB - OBJECTIVE: To use proteomic techniques, including two-dimensional electrophoresis
(2DE), Western blot, and mass spectrometry, to screen and identify proteins that
were expressed differently in patients with uterine leiomyoma versus normal
myometrium. DESIGN: First, identify proteins important for leiomyogenesis by
comparing protein expression in leiomyoma and normal myometrium. Second, examine
the expression level of selected proteins on a larger panel of leiomyomas.
SETTING: Academic research laboratory. PATIENT(S): Consenting premenopausal
patients scheduled to undergo hysterectomy for symptomatic uterine leiomyomas.
INTERVENTION(S): Paired samples of leiomyoma and adjacent myometrium were
obtained and submitted to 2DE, mass spectrometry, Western blot, reverse
transcription polymerase chain reaction (RT-PCR), and immunohistochemistry. MAIN
OUTCOME MEASURE(S): Protein expression. RESULT(S): A comparison of protein
patterns revealed 37 protein spots significantly changed, with 10 protein spots
with concordantly increased and 27 protein spots with concordantly decreased
intensity in leiomyoma compared with normal myometrium. Ten of these proteins
were identified by tandem mass spectrometry. Interestingly, one of the proteins,
which exhibited a marked down-regulation in leiomyoma tissues, was the recently
identified highly conserved cellular protein 14-3-3-gamma. The reduction of the
14-3-3 gamma in leiomyoma tissues was confirmed by comparative immunoblotting (n
= 25) and RT-PCR (n = 25) as well as by immunohistochemistry (n = 35) of
individual-matched neoplastic and normal myometrium tissue specimens.
CONCLUSION(S): The consistency of 14-3-3 gamma reduction in uterine leiomyoma
suggests that 14-3-3 protein may play a role in the origin or growth of
leiomyoma.
PMID- 18054925
TI - Effect of gold nanoparticles on spermatozoa: the first world report.
AB - OBJECTIVE: To evaluate the spermatotoxicity of gold nanoparticles. DESIGN:
Experimental study. SETTING: A university in Thailand. PATIENT(S): Single-donor
fresh semen sample. INTERVENTION(S): A mixture of gold nanoparticle solution and
semen was prepared and further analyzed. MAIN OUTCOME MEASURE(S): Spermatozoa
appearance. RESULT(S): After mixing the semen with a gold nanoparticle solution,
25% of sperm were not motile. Penetration of gold nanoparticle into the sperm
heads and tails was observed. CONCLUSION(S): Spermatotoxicity of the gold
nanoparticle can be detected.
PMID- 18054926
TI - Robot-assisted laparoscopic trachelectomy after supracervical hysterectomy.
AB - OBJECTIVE: To present a case of successful robotic assisted laparoscopic
trachelectomy. DESIGN: Case report. SETTING: Tertiary care facility. PATIENT(S):
A 40-year-old female with history of severe endometriosis and adhesions presented
with persistent pain and bleeding after abdominal supracervical hysterectomy
after failed attempt for laparoscopic-assisted vaginal hysterectomy and total
abdominal hysterectomy. INTERVENTION(S): Robot-assisted laparoscopic
trachelectomy and treatment of associated pelvic disease. MAIN OUTCOME
MEASURE(S): Successful completion of robot-assisted trachelectomy. RESULT(S):
There were no intraoperative or postoperative complications and minimal blood
loss. At 10 months' follow-up the patient was doing well with resolution of her
symptoms. CONCLUSION(S): Robotic surgery may bridge the gap between laparotomy
and laparoscopy for trachelectomy in complicated cases.
PMID- 18054927
TI - Local lymphocytic and epithelial activation in a case of autoimmune oophoritis.
AB - OBJECTIVE: To further define the immunological tissular modifications in
premature ovarian failure (POF). METHOD: The patient was followed up for
premature ovarian failure and mild endometriosis associated with serum
antiovarian antibodies. A laparoscopic ovarian biopsy was decided on to analyze
the tissue and support the onset of immunosuppressive therapy.
Immunohistochemistry was performed using monoclonal antibodies directed against T
cell membrane markers, as well as activation molecules, to define the composition
of the cellular infiltrate and the consequences on ovarian tissue. RESULT(S): A
dense infiltration of activated T lymphocytes was observed in close contact with
follicular epithelium expressing HLA-DR and CD40. CONCLUSION(S): This observation
supports the role of cellular immunity in ovarian autoimmunity with features very
similar to those reported in murine models and other human autoimmune endocrine
pathologies.
PMID- 18054928
TI - Propecia-induced spermatogenic failure: a report of two cases.
AB - OBJECTIVE: To describe the results of two cases of azoospermia and severe
oligospermia in men during and after cessation of finasteride 1 mg. DESIGN: Case
report. SETTING: Tertiary-care hospital-based clinic for andrology/male
infertility. PATIENT(S): Two patients with azoospermia and severe oligospermia
using finasteride 1 mg for hair loss. INTERVENTION(S): Discontinuation of
finasteride. MAIN OUTCOME MEASURE(S): Improvement in sperm concentration.
RESULT(S): Patient A had documented azoospermia over 1 year and was initially
booked for a testicular biopsy. Six months after discontinuation of finasteride 1
mg daily he showed improvement in sperm concentration to 5.5 x 10(6)/mL. Patient
B had severe oligospermia with a sperm concentration of 4 x 10(6)/mL. Sperm
concentration improved to 6.6 then 18.7 x 10(6)/mL at 3 and 6 months after
stopping finasteride. CONCLUSION(S): We report two cases of infertile patients
with azoospermia or severe oligospermia who showed significant improvements in
sperm concentrations 6 months after the discontinuation of finasteride. In one
case, improvement in semen parameters prevented the need for testicular biopsy
and corrected the azoospermia. Stopping finasteride in the infertility population
may improve semen parameters, and may allow for less invasive fertility
treatments.
PMID- 18054929
TI - Increased insulin-like growth factor-1 after oophorectomy in postmenopausal
women.
AB - IGF-1 levels increased, and IGF-2 and IGFBP-3 levels remained unchanged, in
postmenopausal women following oophorectomy. The increase in IGF-1 likely results
from decreased ovarian steroidogenic precursors resulting from removal of the
hormonally active ovary. This finding raises concerns, given the association
between increased IGF-1 and elevated colon cancer risk, and adds to the
literature suggesting a potential benefit from ovarian preservation.
PMID- 18054930
TI - Use of luteal estrogen supplementation in normal responder patients treated with
fixed multidose GnRH antagonist: a prospective randomized controlled study.
AB - To evaluate the effect of luteal estrogen supplementation on pregnancy rates in
normal responder IVF patients treated with recombinant FSH and fixed multidose
GnRH antagonist, patients were randomized into two groups to receive vaginal 600
mg/d micronized progesterone for luteal phase supplementation with or without
transdermal estrogen supplementation (100 microg/d). Because pregnancy rates and
ongoing pregnancy rates were similar with and without estrogen supplementation
(50.0% vs. 55.2% and 36.6% vs. 34.4%, respectively), we concluded that luteal
estrogen supplementation in fixed multidose GnRH antagonist cycles did not change
the pregnancy rates significantly.
PMID- 18054931
TI - Effect of the oxytocin antagonist antocin and agonist decomoton on baboon luteal
cell production and release of progesterone.
AB - OBJECTIVE: To evaluate the effect of oxytocin, its antagonist antocin, and
agonist decomoton on baboon luteal cell P secretion. DESIGN: Prospective study.
SETTING: Academic department of obstetrics and gynecology in a US medical school.
ANIMAL(S): Luteal-phased timed corpora lutea (CL) from a cohort of adult cycling
baboons (Papio anubis). INTERVENTION(S): Dispersed luteal cells from luteal phase
baboon CL were cultured and treated with nothing (control), oxytocin (4-400 mU),
antocin (4-400 microg), oxytocin with antocin, decomoton (4-400 microg), or
oxytocin with decomoton. MAIN OUTCOME MEASURE(S): Basal and hCG (10 U)-stimulated
P were measured in the incubate. RESULT(S): Basal P secretion did not change
significantly with all peptides studied. The hCG-stimulated P secretion was
significantly inhibited by oxytocin (4-400 mU), antocin (4-400 microg), and
antocin combined with oxytocin, and with oxytocin, decomoton, and decomoton
combined with oxytocin. Antocin (-12%) was less inhibitory than oxytocin (-25% to
-22%). Antocin combined with oxytocin enhanced the inhibition to -35% to -39%.
Decomoton had stronger (not significant) inhibition than oxytocin. Mean
inhibition was 28%-35% with all doses of oxytocin, decomoton, or combined
together. CONCLUSION(S): Although decomoton, an oxytocin agonist inhibited hCG
stimulated luteal cell P secretion in vitro, antocin also acted like an agonist,
rather than an antagonist, in inhibiting P secretion.
PMID- 18054932
TI - Effectiveness of cetrorelix for the prevention of premature luteinizing hormone
surge during controlled ovarian stimulation using letrozole and gonadotropins: a
randomized trial.
AB - OBJECTIVE: To evaluate the effectiveness of a GnRH antagonist in preventing
premature LH surge under a letrozole and gonadotropin protocol. DESIGN:
Prospective, randomized clinical trial. SETTING: A teaching hospital and tertiary
medical center. PATIENT(S): Sixty-one patients were randomly assigned into two
letrozole and gonadotropin-treated groups. These were distinguished by the
absence (group I) or presence (group II) of supplementation with 0.25 mg of
cetrorelix. INTERVENTION(S): Controlled ovarian stimulation with letrozole and
gonadotropins, cetrorelix and intrauterine insemination. MAIN OUTCOME MEASURE(S):
Rate of premature LH surge. RESULT(S): Compared with group I, the rate of
premature LH surge was statistically significantly lower for group II (43.4%
[13/30] vs. 19.4% [6/31]), but the amount of gonadotropins used was statistically
significantly higher (817.5 +/- 28.5 vs. 907.5 +/- 27.3 IU). Patients with
premature LH surge had a statistically significantly lower pregnancy rate (21.4%
[9/42] vs. 0 [0/18]) relative to their unaffected counterparts. CONCLUSION(S): A
flexible protocol of 0.25 mg of cetrorelix for IUI cycles appears to suppress the
rate of premature LH surge during ovarian stimulation with letrozole and
gonadotropins. However, the incidence of premature LH surge remains too high, and
modification will be necessary before the application of cetrorelix to IVF
treatment.
PMID- 18054933
TI - Systemic methotrexate to treat ectopic pregnancy does not affect ovarian reserve.
AB - OBJECTIVE: To evaluate whether methotrexate (MTX) compromises ovarian reserve and
future reproductive outcome in women undergoing assisted reproductive technology
(ART), when it is used as first-line treatment for ectopic pregnancy (EP).
DESIGN: Prospective, observational study. SETTING: University-affiliated private
IVF unit. PATIENT(S): Twenty-five women undergoing IVF-ICSI who were treated with
MTX (1 mg/kg IM) for an EP after ART. INTERVENTION(S): Evaluation of reproductive
outcome and serum anti-Mullerian hormone (AMH) levels. Serum AMH was evaluated
before administering MTX and >or=1 week after the resolution of the EP.
Reproductive outcome was evaluated by comparing subsequent IVF-ICSI cycles after
EP resolution. MAIN OUTCOME MEASURE(S): Serum AMH levels, cycle length,
gonadotropin dose required, peak serum E(2) level, oocytes collected, and embryos
obtained. RESULT(S): Serum AMH levels before MTX were not statistically
significantly different from those after treatment (3.7 +/- 0.3 ng/mL vs. 3.9 +/-
0.3 ng/mL). Patients undergoing a subsequent cycle after systemic treatment for
EP had similar cycle durations (10.3 vs. 10.8 d), gonadotropin requirements
(2,775 vs. 2,630.3 IU), peak E(2) levels (1,884.3 vs. 1,523.6 pg/mL), number of
oocytes retrieved (12.1 vs. 10.5), and total number of embryos obtained (7.1 vs.
6.5). CONCLUSION(S): Single-dose MTX is a safe first-treatment choice that does
not compromise future reproductive outcomes in women who are diagnosed with EP
after ART.
PMID- 18054934
TI - Low-dose acetylsalicylic acid plus prednisolone as an adjuvant treatment in IVF:
a prospective, randomized study.
AB - OBJECTIVE: To test whether adjuvant therapy with acetylsalicylic acid (ASA) and
prednisolone (ASA+Pred) could improve the outcome of IVF in good-pregnancy
prognosis patients. DESIGN: Prospective, randomized study. SETTING: University
hospital. PATIENT(S): Three hundred ninety-five infertile couples undergoing IVF.
INTERVENTION(S): Patients were randomized to receive ASA+Pred (n = 97) or nothing
(n = 298), in addition to the routinely used IVF medications. MAIN OUTCOME
MEASURE(S): The primary endpoint was implantation rate (IR). Secondary endpoints
were number of retrieved oocytes and pregnancy rate (PR). The blood flow in
uterine arteries and subendometrial vessels also was measured. RESULT(S):
Patients who received ASA+Pred had significantly more retrieved oocytes. The PR
and IR in the study group and in controls were 50.5% and 40.6% and 25.1% and
19.4%, respectively, values that were not significantly different from one
another. Uterine blood flows were not significantly different between treated and
nontreated patients. A statistically significantly lower incidence of severe
ovarian hyperstimulation syndrome was noted among treated patients who were at
high risk of developing ovarian hyperstimulation syndrome (1.7% vs. 6.5%).
CONCLUSION(S): Adjuvant treatment with ASA+Pred improves ovarian responsiveness
but does not significantly improve uterine blood fluxes, PR, and IR. It may be
effective in preventing the onset of severe ovarian hyperstimulation syndrome.
PMID- 18054935
TI - Involvement of vascular endothelial growth factor on spermatogenesis in testis
with varicocele.
AB - The expression and the role of vascular endothelial growth factor in human testes
with varicocele were examined. Vascular endothelial growth factor expression was
increased in testes with varicocele, and was inversely correlated with total
motile sperm count and testicular volume, indicating that excessive vascular
endothelial growth factor has harmful effects on spermatogenesis in testes with
varicocele.
PMID- 18054936
TI - Molecular epidemiology of Cryptosporidium in humans and cattle in The
Netherlands.
AB - The protozoan parasite Cryptosporidium is found world-wide and can cause disease
in both humans and animals. To study the zoonotic potential of Cryptosporidium in
The Netherlands we isolated this parasite from the faeces of infected humans and
cattle and genotyped those isolates for several different markers. The overall
genotyping results showed: for humans isolates, 70% Cryptosporidium hominis, 19%
Cryptosporidium parvum, 10% a combination of C. hominis and C. parvum, and 1%
Cryptosporidium felis; and for cattle isolates 100% C. parvum. Analysis of the
genetic variants detected for the HSP70, ML1 and GP60 markers showed: for human
isolates, one C. hominis and two C. parvum variants (C. parvum and C. parvum NL)
for HSP70, one C. hominis and five C. parvum variants (C1, C2, C3, and C2 NL1 and
C2 NL2) for ML1, four C. hominis (mainly IbA10G2) and four C. parvum variants
(mainly IIaA15G2R1) for GP60; and the cattle isolates only C. parvum (not C.
parvum NL1) for HSP70, C1 and C2 for ML1, and 17 different IIa sub-types (mainly
IIaA15G2R1) for GP60. Molecular epidemiological analysis of the human data showed
a C. hominis peak in autumn. The majority (80%) of the human cases were children
aged between 0 and 9 years and >70% of these were caused by C. hominis. Patients
>25 years of age were infected mainly with C. parvum. We conclude that C. hominis
IbA10G2 is found at high frequencies in autumn in humans and not in cattle. The
high prevalence of C. parvum IIaA15G2R1 in both humans and cattle indicates that
cattle may be a reservoir for this sub-type in The Netherlands.
PMID- 18054937
TI - Consumption of omega-3 fatty acids is not associated with a reduction in carotid
atherosclerosis: the Genetics of Coronary Artery Disease in Alaska Natives study.
AB - OBJECTIVE: This study was designed to evaluate the relation between omega-3 fatty
acid (FA) consumption and atherosclerosis. BACKGROUND: The hypothesis that omega
3 FAs protect against atherosclerosis has not been tested with objective measures
of atherosclerosis. METHODS: A population-based sample of 1131 Alaskan Eskimos of
age >or=18 underwent ultrasound assessment of carotid atherosclerosis. Those of
age >35 (N=686) were included in the analysis. Diet was assessed by a food
frequency questionnaire. Intimal-medial thickness (IMT) of the far wall of the
distal common carotid arteries and plaque score (number of segments containing
plaque) were assessed. RESULTS: Mean consumption of total omega-3 FAs was 4.76
g/day in those without and 5.07 g/day in those with plaque. In models adjusting
for relevant risk factors, presence and extent of plaque were unrelated to intake
of C20-22 omega-3 FAs or total omega-3 FAs. In contrast, the odds of plaque rose
significantly with quartiles of palmitic (p=0.02) and stearic acid intake
(p=0.04). The extent of plaque (or plaque score) was also associated with a
higher percentage intake of palmitic acid (p=0.01). IMT was negatively associated
with grams of C20-22 omega-3 FAs (p=0.05), total omega-3 (p=0.05), palmitate
(p=0.03), and stearate (p=0.03) consumed. CONCLUSIONS: Dietary intake of omega-3
FAs in a moderate-to-high range does not appear to be associated with reduced
plaque, but is negatively associated with IMT. The presence and extent of carotid
atherosclerosis among Eskimos is higher with increasing consumption of saturated
FAs.
PMID- 18054938
TI - Endothelial cells are protected against phagocyte-transmitted Chlamydophila
pneumoniae infections by laminar shear stress Gueinzius: Shear stress protects
from C. pneumoniae infection.
AB - The respiratory pathogen Chlamydophila pneumoniae can be detected in
atherosclerotic vessels, but the mechanism of dissemination from lung to
vasculature remains unknown. Disturbance of vascular shear stress is a risk
factor for atherosclerosis. We investigated whether polymorphonuclear neutrophils
(PMN) might serve as carriers, transmitting C. pneumoniae to endothelial cells
and how this is affected by shear stress. PMN were prepared from blood and
incubated with C. pneumoniae. Real-time PCR and Pathfinder staining showed that
after 1h, 20% of C. pneumoniae were ingested and started to form inclusions. When
infected PMN were co-incubated with HUVEC for 96h, 10% of PMN-ingested C.
pneumoniae were transmitted to HUVEC as shown by PCR and confocal microscopy.
Infection of HEp-2 cells with C. pneumoniae harvested from HUVEC resulted in C.
pneumoniae replication and confirmed that the bacteria remained infective.
Exposure to laminar shear stress in a rotating cone-and-plate apparatus did not
affect the transmission of C. pneumoniae from PMN to HUVEC, but led to a 75%
reduction of inclusion formation. This can explain the focal distribution of C.
pneumoniae in the vasculature and links two risk factors of atherosclerosis, i.e.
the lack of laminar flow and infection.
PMID- 18054939
TI - Neuropeptide Y signal peptide Pro7 substitution protects against coronary artery
atherosclerosis: the Helsinki Sudden Death Study.
AB - OBJECTIVE: Neuropeptide Y (NPY) has a single nucleotide polymorphism at T1128C,
leading to change of Leucine7 to Proline7. The Leu7Pro substitution has been
linked to cardiovascular disease, but it is unknown whether the Pro7 allele is
associated with increased or decreased risk of coronary heart disease (CHD). The
aim of the present study was to investigate the association of the Leu7Pro
polymorphism with coronary atherosclerosis and its consequences. METHODS: We
studied two autopsy series comprising 700 unselected middle-aged Caucasian men
(Helsinki Sudden Death Study) who had died suddenly out of hospital. Areas of
coronary artery atherosclerosis, narrowings of coronary arteries, and presence of
myocardial infarction and/or coronary thrombosis were analyzed. All information
including CHD risk factor data was obtained from 410 men. RESULTS: NPY genotype
distribution was Leu7/Leu7=89.8%, Leu7/Pro7=10.0% and Pro7/Pro7=0.2%). Although
the Pro7 allele was associated with reported hypertension (p=0.03), the men
carrying Pro7 allele had lower area of fatty streaks (p=0.04), fibrotic lesions
(p=0.07) and complicated lesions (p=0.004) in the left anterior descending (LAD)
coronary artery and also less severe LAD narrowings (p=0.04) than men with the
Leu7/Leu7 genotype. Supporting a protective role for the Pro7 allele against
atherosclerosis, only 1 out of 46 men (2%) with coronary thrombosis carried the
Pro7 allele (p=0.08 compared to men dying of other causes). This association
weakened (OR 0.18 for Pro7 versus Leu7/Leu7, p=0.16) when adjusted for all
available CHD risk factors. CONCLUSIONS: NPY Pro7 substitution protects middle
aged men from coronary artery atherosclerosis and might decrease the risk of
acute coronary events.
PMID- 18054940
TI - Association of ischemia-modified albumin and melatonin in patients with ST
elevation myocardial infarction.
AB - INTRODUCTION: It has been proposed that reactive oxygen species (ROS) generated
during myocardial ischemia-reperfusion modify the N-terminus of serum albumin
resulting in ischemia-modified albumin (IMA) formation. Likewise, several recent
publications provide evidence that melatonin, a circadian endogenously produced
indolamine, is a direct scavenger of ROS. We sought to investigate the
relationship between IMA and melatonin in ST- elevation myocardial infarction
(STEMI). METHODS: We compared IMA and melatonin levels in 27 patients with STEMI
undergoing primary angioplasty and 20 age- and gender-matched healthy normal
subjects. Blood samples were drawn at 02:00h (night period) and 09:00h (day
period) while patients were resting, to assess IMA and melatonin. RESULTS: In
both groups, melatonin concentrations maintained a diurnal variation, but the
difference between nocturnal and diurnal levels was less in the STEMI-patients
than in the control group (p<0.001). In contrast to findings about melatonin, IMA
levels showed no diurnal variations in control subjects. However, the STEMI group
showed a diurnal fluctuation with significantly higher levels at 02:00h (p<0.01).
The association between IMA and melatonin remained statistically significant
after adjustment for cardiovascular risk factors. An inverse correlation between
IMA and melatonin at 02:00h and at 09:00h was observed, with respective r-values
of -0.42 (p<0.03) and -0.57 (p<0.002). CONCLUSIONS: Circulating IMA is negatively
correlated to melatonin in STEMI-patients. Our results suggest that melatonin
might exert a beneficial effect as a radical scavenger in a human model of
myocardial ischemia-reperfusion.
PMID- 18054941
TI - HIV infection and high density lipoprotein metabolism.
AB - HIV infection and its treatment are associated with dyslipidemia, including
hypoalphalipoproteinemia, and increased risk of cardiovascular disease.
Parameters of HDL metabolism in HIV-positive patients were investigated in a
cross-sectional study. The following groups of subjects were selected: (i) 25
treatment-naive HIV-infected patients or HIV-infected patients on long therapy
break, (ii) 28 HIV-infected patients currently treated with protease inhibitors,
and (iii) 33 HIV-negative subjects. Compared to the HIV-negative group, all
groups of HIV-infected patients were characterized by significantly elevated
triglyceride and apolipoprotein B levels, mass and activity of lecithin
cholesterol acyl transferase and cholesteryl ester transfer protein (p<0.01).
Total and LDL cholesterol was lower in treatment-naive HIV-infected group only.
HDL cholesterol and prebeta(1)-HDL were significantly lower in all HIV-infected
groups (p<0.05), while mean levels of apolipoprotein A-I (apoA-I) and ability of
plasma to promote cholesterol efflux were similar in all groups. We found a
positive correlation between apoA-I and levels of CD4+ cells (r(2)=0.3, p<0.001).
Plasma level of phospholipid transfer protein was reduced in the group on
antiretroviral therapy. Taken together these results suggest that HIV infection
is associated with modified HDL metabolism re-directing cholesterol to the apoB
containing lipoproteins and likely reducing the functionality of reverse
cholesterol transport.
PMID- 18054943
TI - Co-activation alters the linear versus non-linear impression of the EMG-torque
relationship of trunk muscles.
AB - The use of electromyographic signals in the modeling of muscle forces and joint
loads requires an assumption of the relationship between EMG and muscle force.
This relationship has been studied for the trunk musculature and been shown to be
predominantly non-linear, with more EMG producing less torque output at higher
levels of activation. However, agonist-antagonist muscle co-activation is often
substantial during trunk exertions, yet has not been adequately accounted for in
determining such relationships. The purpose of this study was to revisit the EMG
moment relationship of the trunk recognizing the additional moment requirements
necessitated due to antagonist muscle activity. Eight participants generated a
series of isometric ramped trunk flexor and extensor moment contractions. EMG was
recorded from 14 torso muscles, and the externally resisted moment was
calculated. Agonist muscle moments (either flexor or extensor) were estimated
from an anatomically detailed biomechanical model of the spine and fit to: the
externally calculated moment alone; the externally calculated moment combined
with the antagonist muscle moment. When antagonist activity was ignored, the EMG
moment relationship was found to be non-linear, similar to previous work.
However, when accounting for the additional muscle torque generated by the
antagonist muscle groups, the relationships became, in three of the four
conditions, more linear. Therefore, it was concluded that antagonist muscle co
activation must be included when determining the EMG-moment relationship of trunk
muscles and that previous impressions of non-linear EMG-force relationships
should be revisited.
PMID- 18054942
TI - Effect of pioglitazone on progression of subclinical atherosclerosis in non
diabetic premenopausal Hispanic women with prior gestational diabetes.
AB - The Pioglitazone in the Prevention of Diabetes (PIPOD) study was a single arm 3
year open-label pioglitazone treatment to determine the effects of pioglitazone
in women with prior gestational diabetes mellitus (GDM) who had completed the
troglitazone in the Prevention of Diabetes (TRIPOD) study. Here we report the
results on progression of subclinical atherosclerosis, measured by carotid intima
media thickness (CIMT) in non-diabetic women. Data were analyzed to compare CIMT
progression rates during pioglitazone treatment to rates that had been observed
during either placebo or troglitazone treatment in the TRIPOD study. Sixty-one
women met the entry criteria with mean age of 40 years. In the 30 women who came
to PIPOD from the placebo arm of TRIPOD, the CIMT rate was 69% lower during
pioglitazone treatment than it had been during placebo (0.0031 vs. 0.0100mm/yr,
p=0.006). In the 31 women who came to PIPOD from the troglitazone arm of TRIPOD,
CIMT rate was 38% lower during pioglitazone than it had been during troglitazone,
a difference that was not statistically significant (0.0037 vs. 0.0060mm/year;
p=0.26). Adjustment for differences in baseline characteristics and potential on
trial confounders did not alter the conclusion but did increase the CIMT rates
differences slightly. We conclude that treatment with pioglitazone slowed CIMT
progression in women who had been on placebo in the TRIPOD study and maintained a
relatively low rate of progression in women who had been on troglitazone.
Pioglitazone slows progression of subclinical atherosclerosis in young Hispanic
women at increased risk for type 2 diabetes.
PMID- 18054944
TI - Preparative isolation of procyanidins from grape seed extracts by high-speed
counter-current chromatography.
AB - High-speed counter-current chromatography (HSCCC) has been applied to the
separation of grape seed procyanidins. The isolation of dimeric to tetrameric
procyanidins is achieved after removing the polymeric compounds by solvent
precipitation. An additional clean-up by solid-phase extraction on polyamide
improved the purities of the isolated compounds. The solvent systems ethyl
acetate/2-propanol/water (40:1:40, v/v/v), ethyl acetate/2-propanol/water
(20:1:20, v/v/v), and ethyl acetate/1-butanol/water (14:1:15, v/v/v) were
successfully used for the fractionation. The combination of HPLC-MS, diode array
detection, and NMR analysis, as well as phloroglucinolysis, confirmed the
structures of the isolated compounds: B1 [EC-(4beta-->8)-C], B2 [EC-(4beta-->8)
EC], B3 [C-(4alpha-->8)-C], B4 [C-(4alpha-->8)-EC], B5 [EC-4beta-->6-EC], B7 [EC
(4beta-->8)-C], [ECG-(4beta-->8)-C], trimeric procyanidin C1 [EC-4beta-->8-EC
4beta-->8-EC], and the tetrameric procyanidin cinnamtannin A2 (where C: catechin,
EC: epicatechin and ECG: epicatechin-3-O-gallate).
PMID- 18054946
TI - Performance evaluation of evaporative light scattering detection and charged
aerosol detection in reversed phase liquid chromatography.
AB - In pharmaceutical industry ultraviolet (UV) detection is often used as the
preferred detection technique in HPLC analysis since most pharmaceutical
compounds possess a UV-absorbing chromophore. However, in case the active
pharmaceutical ingredient (API) does not have a UV-absorbing chromophore, or if
some of the impurities present lack a chromophore, they will not be detected in
routine HPLC analysis employing only a UV detector and alternative detection
schemes have to be used. Refractive index detection or mass spectroscopy (MS) can
be used but these detectors have their intrinsic weaknesses, such as lack of
sensitivity or high cost. With the appearance of semi-universal techniques such
as evaporative light scattering detection (ELSD), and more recent, charged
aerosol detection (CAD), detection of non-UV-absorbing compounds became feasible
without having to resort to such complex or costly detection methods. This paper
evaluates the different performance characteristics such as sensitivity,
linearity, accuracy and precision of both the ELSD and CAD detector coupled to
HPLC. One disadvantage of this type of detector is the non-linear response
behaviour which makes direct linear regression for making calibration curves
inaccurate.
PMID- 18054945
TI - Quantitative determination of drug encapsulation in poly(lactic acid)
nanoparticles by capillary electrophoresis.
AB - Capillary electrophoretic (CE) methods were used for the quantitative
determination of model drugs [salbutamol sulphate (SS), sodium cromoglycate (SCG)
and beclomethasone dipropionate (BDP)] in poly(D,L-lactic acid) (PLA)
nanoparticles, which were prepared by the nanoprecipitation method. Zeta
potential and size distribution of the nanoparticles were determined by
electrophoretic mobility determinations and photon correlation spectroscopy,
respectively. Interactions between the drugs, the PLA nanoparticles and the fused
silica capillary were investigated by electrokinetic capillary chromatography
(EKC). A quantitative CE method was developed for salbutamol sulphate and sodium
cromoglycate, and the linearity and repeatability of migration times, peak areas
and peak heights were determined. Microemulsion electrokinetic chromatography was
used for the quantitative determination of beclomethasone dipropionate. According
to this study, the applied electromigration techniques were suitable for the
interaction, drug entrapment and dissolution studies of pharmaceutical
nanoparticles. The results suggest that even quantitation of the drug located
inside the nanoparticles was possible. Encapsulation of the more hydrophilic
model drugs (SS, SCG) in the PLA nanoparticles was less efficient than in the
case of BDP.
PMID- 18054947
TI - Supercritical fluid extraction of macrocyclic lactone mycotoxins in maize flour
samples for rapid amperometric screening and alternative liquid chromatographic
method for confirmation.
AB - A rapid and simple method for the direct screening of macrocyclic lactone
mycotoxins (zearalenone, ZON; alpha-zearalenol, alpha-ZOL; and beta-zearalenol,
beta-ZOL) in maize flour samples is proposed. The sample screening method
comprises supercritical fluid extraction (SFE) and clean-up on Florisil
adsorption cartridge of the selected toxic compounds, followed by continuous flow
electrochemical detection. Those samples for which the total concentration is
close to or above the threshold limit established by legislation (0.200 mg kg(
1)) are subjected to preconcentration on C18 chromatographic material and liquid
chromatographic separation for confirmation purposes. This confirmation method
allows the determination of ZON, alpha-ZOL and beta-ZOL in the range between 30
and 300 microg kg(-1), with a average relative standard deviation lower than 5.2
in all cases.
PMID- 18054948
TI - Quasi-flow injection analysis for rapid determination of caffeine in tea using
the sample pre-treatment method with a cartridge column filled with
polyvinylpolypyrrolidone.
AB - The sample pre-treatment method using a polyvinylpolypyrrolidone (PVPP) cartridge
column combined with a quasi-flow injection analysis (quasi-FIA) system realized
the rapid determination of caffeine in three types of tea, green, oolong and
black tea. The measurement time for each tea sample pre-treated using a PVPP
cartridge column was shortened to 20s. In the present system, the limits of
detection and quantification were 0.3 microM (1.5 pmol injected) and 0.7 microM
(3.5 pmol injected), respectively, and a linear calibration curve was afforded up
to 800 microM (4 nmol injected). Within-run precision of analysis of standard
solutions of 10 and 100 microM caffeine was 0.11 and 0.16% (n=6), respectively.
Between-run precision of analysis of the same solutions over 6 days was 0.78 and
0.74% (n=6), respectively. Comparison with the conventional HPLC method indicated
that the present quasi-FIA method using sample pre-treatment with a PVPP
cartridge column was useful for the simple and precise determination of caffeine
in green, oolong and black tea samples.
PMID- 18054949
TI - Chiral separation of selected proline derivatives using a polysaccharide-type
stationary phase by supercritical fluid chromatography and comparison with high
performance liquid chromatography.
AB - Chiral separation of selected proline derivatives was conducted on a
polysaccharide-type chiral stationary phase (CSP) using supercritical fluid
chromatography (SFC). Effect of both modifier percentage and column temperature
was studied. As modifier content decreased, the retention and selectivity
increased. However, retention was decreased as temperature increased from 30
degrees C to 40 degrees C. In comparison to high-performance liquid
chromatography (HPLC), better resolution was achieved for Boc-2-methylproline
using SFC along with a shorter run time. Selectivity is impacted less by change
of ethanol content in the mobile phase under SFC conditions than with HPLC.
Chiral recognition mechanism under SFC and HPLC conditions was also examined.
With SFC, the contribution from hydrogen bonding is most likely a dominant
interaction for retention rather than chiral recognition. This is supported by
the limited thermodynamic data and the elution order change for Boc-proline
between SFC and HPLC. Linearity, injection repeatability and limit of
quantitation were determined for Boc-2-methylproline.
PMID- 18054950
TI - Surface free energy of sulfur--revisited II. Samples solidified against different
solid surfaces.
AB - The apparent surface free energy of yellow and orange samples of sulfur
crystallized at the surface of gold, silicon, and Teflon and in air was evaluated
from the advancing and receding contact angles of water. The samples were
prepared by casting melted rhombic mineralogical specimen sulfur onto the surface
of the above-noted solids. Yellow samples were obtained when just melted sulfur
(ca. 120 degrees C) was cast onto the surfaces, and the orange ones, when the
melted sulfur was heated longer to a higher temperature (ca. 160 degrees C) and
then cast onto the surfaces. The obtained results show that the apparent surface
free energy depends on which surface it crystallized. The greatest value is for
the sample crystallized at the gold surface, which is 35% higher than that
crystallized in air. Generally, the surface free energy of orange samples is
slightly higher than that of yellow ones. It is believed that the differences in
the energy result from changes in the packing and structural orientation of
sulfur atoms on the surfaces.
PMID- 18054951
TI - Methods for functionalization of microsized polystyrene beads with titania
nanoparticles for cathodic electrophoretic deposition.
AB - Functionalization of colloidal particles based on the use of polyelectrolytes and
heterocoagulation was combined with electrophoretic deposition (EPD), with the
aim of depositing titania-polystyrene (TiO(2)-PS) composite particles on Ti6Al4V
substrates. The composite particles were obtained by heterocoagulation of TiO(2)
nanoparticles on the surface of monosized polystyrene beads of 4.6 microm in
diameter. Two alternative methods were developed for the preparation of the
TiO(2)-PS suspensions in organic fluids for cathodic electrodeposition. The first
method was carried out in alkaline aqueous medium with the use of
polyelectrolytes and intermediate control measurements of zeta potential,
conductivity, and pH; the second one was carried out directly in the organic
solvent used for EPD, typically isopropanol. Examples of deposits obtained by EPD
in both suspensions and a comparative analysis between the two methods are
presented.
PMID- 18054952
TI - Adsorption behavior of statherin and a statherin peptide onto hydroxyapatite and
silica surfaces by in situ ellipsometry.
AB - The salivary protein statherin is known to adsorb selectively onto hydroxyapatite
(HA), which constitutes the main mineral of the tooth enamel. This adsorption is
believed to be crucial for its function as an inhibitor of primary (spontaneous)
and secondary (crystal growth) precipitation of calcium phosphate salts present
in saliva. A fragment corresponding to the first 21 N-terminus amino acids of
statherin (StN21) was previously found to reduce the rate of demineralization of
HA. Therefore, the interfacial properties of this peptide and statherin onto
silica, hydrophobized silica and HA discs was studied by in situ ellipsometry.
Their reversibility induced by dilution and elutability induced by buffer and
sodium dodecyl sulfate (SDS) was also determined. The results revealed that
statherin adsorbed at a greater extent onto the HA as compared to StN21,
suggesting that the hydrogen bonding between the uncharged polar residues at the
C-terminal region of statherin and HA contributes to its adsorption. However, on
both silica surfaces the peptide adsorption appeared to proceed in a similar way.
Onto the hydrophobized silica the adsorption of both peptides was suggested to
occur either via multilayer formation or adsorption of aggregates from solution,
while onto the hydrophilic silica adsorption of peptide aggregates from solution
was the suggested mechanism. Further, both peptides were observed to be strongly
adsorbed onto HA, even after SDS treatment, in comparison to the layers adsorbed
onto hydrophobized silica. Both peptide layers were found to be weakly adsorbed
onto the hydrophilic silica surface as they were totally removed by buffer
dilution.
PMID- 18054953
TI - Electrolyte effect on mixed micelle and interfacial properties of binary mixtures
of cationic and nonionic surfactants.
AB - In the present work, the adsorption behavior at the liquid-air interface and
micellization characteristics of mixtures of cetyltrimethylammonium bromide
(CTAB) and p-(1,1,3,3-tetramethylbutyl) polyoxyethylene (TritonX-100) in aqueous
media containing different concentrations of NaBr were investigated by surface
tension and potentiometry measurements. From plots of surface tension (gamma) as
a function of solution composition and total surfactant concentration, we
determined the critical micelle concentration (CMC), minimum surface tension at
the CMC (gamma(CMC)), surface excess (Gamma(max)), and mean molecular surface
area (A(min)). On the basis of regular solution theory, the compositions of the
adsorbed film (Z) and micelles (X(M)) were estimated, and then the interaction
parameters in the micelles (beta(M)) and in the adsorbed film phase (beta(sigma))
were calculated. For all mole fraction ratios, the results showed synergistically
enhanced ability to form mixed micelles as well as surface tension reduction.
Furthermore beta was calculated by considering nonrandom mixing and head group
size effects. It was observed that, for both the planar air/aqueous interface and
micellar systems, the nonideality decreased as the amount of electrolyte in the
aqueous medium was increased. This was attributed to a decrease of the surface
charge density caused by increasing the concentration of bromide ions.
PMID- 18054954
TI - Generation and application of new rat monoclonal antibodies against synthetic
FLAG and OLLAS tags for improved immunodetection.
AB - Previously, we prepared monoclonal antibodies (mAbs) by immunizing rats with the
recombinant fusion proteins of mouse Langerin/CD207, which contained a flexible
linker sequence from E. coli OmpF and a FLAG epitope. We found many of new rat
mAbs were not reactive to mouse Langerin, and here we identify the epitopes of
two of these IgG mAbs, L2 and L5, and assess their efficacy in various
immunodetection methods. MAb L5 is a rat IgG mAb against the FLAG epitope, which
detected both N-terminal and C-terminal FLAG tagged protein 2 to 8 times better
than the conventional anti-FLAG mAb M2 by Western blot. For mAb L2, we found its
epitope to be a 14 amino acid sequence SGFANELGPRLMGK which consisted of both
sequences from the OmpF derived linker and mouse Langerin. This epitope sequence
was named OLLAS (E. coliOmpF Linker and mouse Langerin fusion Sequence), and mAb
L2 as mAb OLLA-2. When the OLLAS sequence was inserted into recombinant proteins
at N-terminal, C-terminal, or internal sites, the OLLAS tag was detected by mAb
OLLA-2 with very high sensitivity compared to other conventional epitope tags and
anti-tag mAbs. MAb OLLA-2 recognized OLLAS tagged proteins with at least 100-fold
more sensitivity than anti-FLAG M2 and anti-V5 mAbs in Western blot analyses. We
also find the OLLAS epitope to be superior in immunoprecipitation and other
immunodetection methods, such as fluorescent immunohistochemistry and flow
cytometry. In the process, we successfully utilized the OLLAS epitope sequence as
an internal linker for fusion between the engineered mAb and the antigen, and
thus achieved improved immunodetection.
PMID- 18054955
TI - Caveolin-3 expression and caveolae are required for isoflurane-induced cardiac
protection from hypoxia and ischemia/reperfusion injury.
AB - Volatile anesthetics protect the heart from ischemia/reperfusion injury but the
mechanisms for this protection are poorly understood. Caveolae, sarcolemmal
invaginations, and caveolins, scaffolding proteins in caveolae, localize
molecules involved in cardiac protection. We tested the hypothesis that caveolae
and caveolins are essential for volatile anesthetic-induced cardiac protection
using cardiac myocytes (CMs) from adult rats and in vivo studies in caveolin-3
knockout mice (Cav-3(-/-)). We incubated CM with methyl-beta-cyclodextrin
(MbetaCD) or colchicine to disrupt caveolae formation, and then exposed the
myocytes to the volatile anesthetic isoflurane (30 min, 1.4%), followed by
simulated ischemia/reperfusion (SI/R). Isoflurane protected CM from SI/R [23.2+/
1.6% vs. 71.0+/-5.8% cell death (assessed by trypan blue exclusion), P<0.001] but
this protection was abolished by MbetaCD or colchicine (84.9+/-5.5% and 64.5+/
6.1% cell death, P<0.001). Membrane fractionation by sucrose density gradient
centrifugation of CM treated with MbetaCD or colchicine revealed that buoyant
(caveolae-enriched) fractions had decreased phosphocaveolin-1 and caveolin-3
compared to control CM. Cardiac protection in vivo was assessed by measurement of
infarct size relative to the area at risk and cardiac troponin levels. Isoflurane
induced a reduction in infarct size and cardiac troponin relative to control
(infarct size: 26.5%+/-2.6% vs. 45.3%+/-5.4%, P<0.01; troponin: 27.7+/-4.4 vs.
77.7+/-11.8 ng/ml, P<0.05). Isoflurane-induced cardiac protection was abolished
in Cav-3(-/-) mice (infarct size: 53.4%+/-6.1% vs. 53.2%+/-3.5%, P<0.01;
troponin: 102.1+/-22.3 vs. 105.9+/-8.2 ng/ml, P<0.01). Isoflurane-induced cardiac
protection is thus dependent on the presence of caveolae and the expression of
caveolin-3. We conclude that caveolae and caveolin-3 are critical for volatile
anesthetic-induced protection of the heart from ischemia/reperfusion injury.
PMID- 18054956
TI - Structure of the Yersinia enterocolitica type III secretion translocator
chaperone SycD.
AB - Many Gram-negative bacteria use a type III secretion (T3S) system to directly
inject effector molecules into eucaryotic cells in order to establish a symbiotic
or pathogenic relationship with their host. The translocation of many T3S
proteins requires specialized chaperones from the bacterial cytosol. SycD belongs
to a class of T3S chaperones that assists the secretion of pore-forming
translocators and, specifically chaperones the translocators YopB and YopD from
enteropathogenic Yersinia enterocolitica. In addition, SycD is involved in the
regulation of virulence factor biosynthesis and secretion. In this study, we
present two crystal structures of Y. enterocolitica SycD at 1.95 and 2.6 A
resolution, the first experimental structures of a T3S class II chaperone
specific for translocators. The fold of SycD is entirely alpha-helical and
reveals three tetratricopeptide repeat-like motifs that had been predicted from
amino acid sequence. In both structures, SycD forms dimers utilizing residues
from the first tetratricopeptide repeat motif. Using site-directed mutagenesis
and size exclusion chromatography, we verified that SycD forms head-to-head
homodimers in solution. Although in both structures, dimerization largely depends
on the same residues, the two assemblies represent alternative dimers that
exhibit different monomer orientations and overall shape. In these two distinct
head-to-head dimers, both the concave and the convex surface of each monomer are
accessible for interactions with the SycD binding partners YopB and YopD. A SycD
variant carrying two point mutations in the dimerization interface is properly
folded but defective in dimerization. Expression of this stable SycD monomer in
Yersinia does not rescue the phenotype of a sycD null mutant, suggesting a
physiological relevance of the dimerization interface.
PMID- 18054957
TI - Projection structure of yidC: a conserved mediator of membrane protein assembly.
AB - Bacteria, mitochondria and chloroplasts harbour factors that facilitate the
insertion, folding and assembly of membrane proteins. In Escherichia coli, yidC
is required for membrane insertion, acting in both a Sec-dependent and a Sec
independent manner. There is an expanding volume of biochemical work on its role
in this process, but none so far on its structure. We present the first of this
class of membrane proteins determined by electron cryomicroscopy in the near
nativelike state of the membrane. yidC forms dimers in the membrane and each
monomer has an area of low density that may be part of the path transmembrane
segments follow during their insertion. Upon consideration of the structures of
yidC and SecYEG, we speculate on the nature of the interfaces that facilitate the
alternative pathways (Sec-dependent and -independent) of membrane protein
insertion.
PMID- 18054958
TI - Implications of the progressive self-association of wild-type human factor H for
complement regulation and disease.
AB - Factor H (FH) is a major regulator of complement alternative pathway activation.
It is composed of 20 short complement regulator (SCR) domains and is genetically
associated as a risk factor for age-related macular degeneration. Previous
studies on FH suggested that it existed in monomeric and dimeric forms. Improved
X-ray scattering and analytical ultracentrifugation methodology for wild-type FH
permitted a clarification of these oligomeric properties. Data at lower
concentrations revealed a dependence of the X-ray radius of gyration values on
concentration that corresponded to the weak self-association of FH. Global
sedimentation equilibrium fits indicated that a monomer-dimer equilibrium best
described the data up to 1.3 mg/ml with a fitted dissociation constant K(D) of 28
microM and that higher oligomers formed at increased concentrations. The K(D)
showed that about 85-95% of serum FH will be monomeric in the absence of other
factors. Size-distribution analyses in sedimentation velocity experiments showed
that monomeric FH was the major species but that as many as six oligomeric forms
co-existed with it. The data were explained in terms of two weak dimerisation
sites recently identified in the SCR-6/8 and SCR-16/20 fragments of FH with
similar K(D) values. These observations indicate a mechanism for the progressive
self-association of FH and may be relevant for complement regulation and the
formation of drusen deposits that are associated with age-related macular
degeneration.
PMID- 18054959
TI - Donor-strand exchange in chaperone-assisted pilus assembly revealed in atomic
detail by molecular dynamics.
AB - Adhesive multi-subunit fibres are assembled on the surface of many pathogenic
bacteria via the chaperone-usher pathway. In the periplasm, a chaperone donates a
beta-strand to a pilus subunit to complement its incomplete immunoglobulin-like
fold. At the outer membrane, this is replaced with a beta-strand formed from the
N-terminal extension (Nte) of an incoming pilus subunit by a donor-strand
exchange (DSE) mechanism. This reaction has previously been shown to proceed via
a concerted mechanism, in which the Nte interacts with the chaperone:subunit
complex before the chaperone has been displaced, forming a ternary intermediate.
Thereafter, the pilus and chaperone beta-strands have been postulated to undergo
a strand swap by a 'zip-in-zip-out' mechanism, whereby the chaperone strand zips
out, residue by residue, as the Nte simultaneously zips in, although direct
experimental evidence for a zippering mechanism is still lacking. Here, molecular
dynamics simulations have been used to probe the DSE mechanism during formation
of the Saf pilus from Salmonella enterica at the atomic level, allowing the
direct investigation of the zip-in-zip-out hypothesis. The simulations provide an
explanation of how the incoming Nte is able to dock and initiate DSE due to
inherent dynamic fluctuations within the chaperone:subunit complex. In the
simulations, the chaperone donor strand was seen to unbind from the pilus
subunit, residue by residue, in direct support of the zip-in-zip-out hypothesis.
In addition, an interaction of a residue towards the N-terminus of the Nte with a
specific binding pocket (P*) on the adjacent pilus subunit was seen to stabilise
the DSE product against unbinding, which also proceeded in the simulations by a
zippering mechanism. Together, the study provides an in-depth picture of DSE,
including the first atomistic insights into the molecular events occurring during
the zip-in-zip-out mechanism.
PMID- 18054960
TI - Temperament and character of suicide attempters.
AB - Temperamental features are strongly associated with suicidal behaviors both in
general population and clinical samples. In the present study we considered the
association between personality traits, measured by Temperament and Character
Inventory (TCI), and suicidal behavior. We analyzed five samples: a German
control sample of 1148 healthy individuals; 144 German suicide attempters
affected by Mood (n=101), Schizophrenia spectrum (n=20) and Borderline
Personality (n=23) Disorders; 46 Italian suicide attempters affected by Mood
Disorders (UP=15; BP=31); 76 German non-suicide Mood Disorder patients; 147
Italian non-suicide Mood Disorder patients. Suicide attempters showed higher
scores in Harm Avoidance (HA) and lower scores in Self-Directedness (SD) and
Cooperativeness (C), when compared to controls. Nevertheless, comparing the
German and the Italian suicide attempter groups with the non-suicide Mood
Disorder patient groups, no differences were detected. This could be due to the
effect of Mood Disorder on personality. In conclusion, the present study reveals
the difficulty to disentangle the personality profile of suicide attempters from
their psychopathology. Those findings may be useful for cautions in further
dissecting this complex phenotype.
PMID- 18054961
TI - Effects of estrogen on lifespan and motor functions in female hSOD1 G93A
transgenic mice.
AB - Amyotrophic lateral sclerosis (ALS) is a progressive disease which is caused by
degeneration of motor neurons in the central nervous system. The incidence of ALS
is higher in men than women, but the female advantage disappears with increased
age. Here, we report evidence that the female advantage is due to the protective
role of estrogen. In an ALS mouse model carrying the human Cu/Zn superoxide
dismutase (hSOD1) G93A transgene, ovariectomy did not alter the onset age of the
disease while reducing the female lifespan by 7 days and making it comparable to
that of the male transgenic mice. Treatment of ovariectomized females with 17beta
estradiol (E2) did not delay the onset of disease, but prevented progression of
ALS motor dysfunctions as shown by extension reflex test for a limited time
window. Importantly, E2 treatment rescued the lifespans in overiectomized
females. These findings will provide important new insights to interpretation of
disease progression in post-menopausal female ALS patients.
PMID- 18054962
TI - Retinal nerve fiber layer thickness is associated with brain MRI outcomes in
multiple sclerosis.
AB - Multiple sclerosis is characterized by the dual pathological processes of
inflammation and neurodegeneration. Conventional MRI techniques are considered
the best tools for assessing and monitoring lesion burden and inflammation but
are limited in their ability to assess axonal loss. Optical coherence tomography
(OCT) is a simple high-resolution technique that uses near infrared light to
quantify the thickness of the retinal nerve fiber layer (RNFL), which contains
only non-myelinated axons. RNFL thickness (RNFLT) was measured using OCT on
thirty consecutive MS patients (60 eyes). Eighteen patients underwent
quantitative MRI analysis including T1- and T2-lesion volumes (LV), normalized
brain volume (NBV), normalized cortical, white and gray matter volumes (NCV,
NWMV, and NGMV), and mean whole brain diffusivity (MD). There was a strong
association between NBV and average RNFL thickness (p<0.001, partial rp=0.77).
The T2-LV and NWMV were significantly associated with average RNFL thickness
(p=0.002, partial rp= -0.76 and p=0.005, partial rp=0.68, respectively) and there
were trends toward association with T1-LV (p=0.041) and NGMV (p=0.067). There was
negative correlation between average RNFL thickness (average of both eyes) and
disability as assessed by EDSS (p=0.02). The results support potential usefulness
of OCT for MS patient monitoring and research applications.
PMID- 18054963
TI - Characterization of human ASIC2a homomeric channels stably expressed in murine
Ltk- cells.
AB - ASIC2a (BNaC1 or MDEG) is distributed throughout the nervous system and
potentially involved in mechanosensation, hearing, vision, and taste functions.
However, pharmacological properties of ASIC2 homomers including the mechanism of
inhibition by amiloride remain unclear. In this study, we describe the properties
of hASIC2a stably expressed in Ltk(-) cells, the first reported stable cell line
expressing any ASICs subunit, by standard whole cell voltage clamp method. In
response to pH 4.0, at -80 mV, hASIC2a cells exhibited rapidly activating fast
transient inward current ( approximately 100 pA/pF) that was followed by a
sustained current ( approximately 13 pA/pF). In contrast, untransfected Ltk(-)
cells showed only a very small rapidly activating non-inactivating inward current
( approximately 4 pA/pF). The magnitude of hASIC2a transient current was pH
dependent with pH(50) values for activation and inactivation of approximately 4.2
and approximately 5.5, respectively. Ion substitution experiments revealed the
following rank order of permeability: Na(+)>K(+)>Ca(2+) for the transient
current. Amiloride reversibly inhibited the pH 4.0 evoked transient current with
IC(50) values of approximately 20 microM at both -30 and -80 mV holding
potentials, indicating that the interactions are voltage independent when nearly
all amiloride is protonated. Amiloride (100 microM) did not inhibit ASIC2a
transient current when pre-applied in pH 7.4 and pH 4.0 currents obtained in
absence of amiloride, but it did inhibit currents when co-applied at pH 4.0
suggesting open channel blockade. In summary, ASIC2a stable cell line serves as a
useful model system to study the pharmacological properties of ASIC2a currents,
potentially contributing to pH-evoked responses in cells of the dorsal root
ganglion and the central nervous system.
PMID- 18054965
TI - Influence of bioturbation by Hediste diversicolor on mercury fluxes from
estuarine sediments: a mesocosms laboratory experiment.
AB - Mercury, with its reactive forms being the most deleterious for the trophic
chains, has been identified as a major pollutant in a few confined bodies of the
coastal zone. Due to feeding, burrowing, and bioirrigation activities, infauna
are known to play a crucial role in the biogeochemical processes of contaminants.
The main goal of the present study is to evaluate the effects of Hediste
diversicolor bioturbation on mercury fluxes from estuarine sediments in a
mesocosms laboratory experiment. Additionally, an attempt was made to establish a
relationship between the amount of remobilised mercury to the water column and
the degree of contamination of the sediments using a mercury contamination
gradient. The present experiment demonstrated that the bioturbation activity
caused by the gallery-diffuser H. diversicolor did not influence the
remobilisation of mercury (in dissolved reactive forms) from the sediment to the
water column. The concentration of dissolved reactive mercury in the water column
also did not reflect the degree of contamination in the sediments. The results
obtained were in accordance with the sediment characteristics of the Mondego
Estuary and Ria de Aveiro (Portugal), since they are very rich in organic matter
content, iron/manganese total and hydrous oxides. These physicochemical
characteristics of the sediments may partially justify the retention of mercury
by these systems, even when they are subjected to bioturbation. In conclusion,
sediments with high organic matter content and a high concentration of
iron/manganese hydrous oxides allowed for efficient retention of mercury, and the
bioturbation process did not seem to affect the system. Another conclusion from
this study is that the remobilisation of mercury by bioturbation to the water
column is not a fast process, requiring more than 24h. This study constitutes an
important work in the area of the effects of bioturbation on mercury
remobilisation. Considering the toxicity of mercury for the biota, it is
essential to evaluate the real magnitude of mercury processes occurring in
estuarine systems in order to obtain essential information on metal behaviour.
PMID- 18054964
TI - Desensitization of herpesvirus-encoded G protein-coupled receptors.
AB - Members of the herpesvirus family, including human cytomegalovirus (HCMV) and
Kaposi's sarcoma-associated herpesvirus (KSHV/HHV-8), encode G protein-coupled
receptor (GPCR) homologs, which strongly activate classical G protein signal
transduction networks within the cell. In animal models of herpesvirus infection,
the viral GPCRs appear to play physiologically important roles by enabling viral
replication within tropic tissues and by promoting reactivation from latency.
While a number of studies have defined intracellular signaling pathways activated
by herpesviral GPCRs, it remains unclear if their physiological function is
subjected to the process of desensitization as observed for cellular GPCRs. G
protein-coupled receptor kinases (GRK) and arrestin proteins have been recently
implicated in regulating viral GPCR signaling; however, the role that these
desensitization proteins play in viral GPCR function in vivo remains unknown.
Here, we review what is currently known regarding viral GPCR desensitization and
discuss potential biological ramifications of viral GPCR regulation by the host
cell desensitization machinery.
PMID- 18054966
TI - Identification of fuel samples from the Prestige wreckage by pattern recognition
methods.
AB - A set of 34 worldwide crude oils, 12 distilled products (kerosene, gas oils, and
fuel oils) and 45 oil samples taken from several Galician beaches (NW Spain)
after the wreckage of the Prestige tanker off the Galician coast was studied. Gas
chromatography with flame ionization detection was combined with chemometric
multivariate pattern recognition methods (principal components analysis, cluster
analysis and Kohonen neural networks) to differentiate and characterize the
Prestige fuel oil. All multivariate studies differentiated between several groups
of crude oils, fuel oils, distilled products, and samples belonging to the
Prestige's wreck and samples from other illegal discharges. In addition, a
reduced set of 13 n-alkanes out of 36, were statistically selected by Procrustes
Rotation to cope with the main patterns in the datasets. These variables retained
the most important characteristics of the data set and lead to a fast and cheap
analytical screening methodology.
PMID- 18054967
TI - Comparison of benthic foraminiferal and macrofaunal responses to organic
pollution in the Firth of Clyde (Scotland).
AB - By comparing benthic foraminiferal and macrofaunal responses to sewage sludge
disposal in the Firth of Clyde (Scotland), we wanted to investigate the
possibility of using foraminifera as bio-indicators of marine environmental
degradation. Both groups present a similar distributional pattern, with poor
faunas composed of species tolerant to strong oxygen depletion near to the
disposal site, surrounded by high density of opportunistic species. Farther away,
faunal density decreases and equilibrium taxa gradually replace opportunistic
species. No more environmental impact is perceptible beyond 3 km. Nevertheless,
some differences exist: foraminifera appear to be more impacted at the disposal
site, probably as a consequence of the low pH, a supplementary stress factor for
organisms provided with a calcareous test. At 3 km west of the disposal site,
macrofauna is comparable to the reference station, whereas foraminifera still
indicate environmental degradation, suggesting their higher sensitivity to this
type of pollution. It appears that benthic foraminifera may add valuable
information to open marine environmental monitoring.
PMID- 18054968
TI - Organochlorine contaminants in bonnethead sharks (Sphyrna tiburo) from Atlantic
and Gulf estuaries on the US east coast.
PMID- 18054969
TI - The effects of a midbrain glioma on memory and other functions: a longitudinal
single case study.
AB - Our understanding of the effects of midbrain damage on cognition is largely based
on animal studies, though there have been occasional investigations of the
effects of human midbrain lesions on cognition. This investigation of a rare case
of a glioma initially confined to the dorsal midbrain explores the effects of
disease progression on IQ, memory, and choice reaction time. Extensive dorsal
midbrain damage did not appear to affect IQ and various memory functions
(including span, working memory, story recall, and remote memory). Choice
reaction time latencies increased, while verbal and spatial learning and long
term memory deteriorated with tumour growth, but it was not clear how far the
deterioration reflected midbrain damage or damage outside the midbrain.
PMID- 18054970
TI - Reduced startle reflex and aversive noise perception in patients with
orbitofrontal cortex lesions.
AB - In the present study, the primary emotional response represented by the acoustic
startle reflex was investigated in a group of six male patients, selected with
lesions of the orbitofrontal cortex, and twenty matched healthy controls.
Accurate neuropsychological assessment and lesion mapping showed relatively
spared cognitive functioning in the patient group, most of the lesions being
confined to the bilateral polar orbitofrontal cortex. Patients had significant
inhibition of startle amplitude, together with a reduced self-evaluated
perception of the unpleasantness of the acoustic probe stimulus. Results add to
current literature on the circuit of the human startle reflex, by suggesting
cortical-limbic down-regulation of the orbitofrontal cortex on the main startle
pathway, probably at the level of the activating reticular system. The
orbitofrontal cortex, together with the amygdala, is confirmed to represent the
main center organizing both primary and secondary learned aspects of emotions.
PMID- 18054972
TI - Insulin resistance in an energy-centered perspective.
AB - Insulin resistance, of which the incidence is dramatically increasing in Western
societies, is usually regarded as a pathological condition. However, arguments
can be provided that insulin resistance may be a normal physiological mechanism
to let cells and organs deal with the competition for various sources of energy,
especially under circumstances of energy stress. Here we review four different
hypotheses dealing with insulin resistance: the glucose-fatty acid cycle, the ER
and oxidative stress response, the selfish brain, and the thrifty/not-so-thrifty
genotype. Each hypothesis has a specific view on insulin resistance, but they
also can complement each other. Combining the four hypotheses supports the view
that physiological insulin resistance is indeed one of the adaptive regulation
mechanisms, which has benefit for survival of the organism by restoring and
maintaining the energy balance at the cellular and organism level. In principle
insulin resistance seems to be a reversible physiological trait implying that
there may be a specific mechanism to down-regulate insulin resistance once the
energy balance is regained. The combined model also describes several ways, by
which insulin resistance is promoted during prolonged increased energy supply. A
better understanding of the complex background of physiological insulin
resistance and of the nature of its regulatory mechanisms will be valuable for
the treatment of pathological insulin resistance and type 2 diabetes. The present
review may be helpful for this.
PMID- 18054971
TI - Medial temporal-lobe damage and memory for emotionally arousing odors.
AB - Recently, we found that healthy young adults remember odors leading to large
emotional reactions better than odors provoking smaller emotional reactions.
Because the amygdala is believed to be critically implicated in memory for
emotionally arousing information and because it is part of the primary olfactory
area, we hypothesized that patients with a unilateral medial temporal-lobe
resection including the amygdala would not show enhanced memory for arousing
compared to nonarousing odors. We tested odor memory in 19 patients (10 left, 9
right) who had undergone a unilateral medial temporal-lobe resection including
the amygdala (MTLR) for treatment of intractable epilepsy and 19 healthy control
subjects. Healthy individuals and patients with left or right MTLR showed
comparable subjective emotional reactions to odors. Similarly, healthy
individuals and patients with MTLR remembered unpleasant odors better than
pleasant ones. However, unlike healthy individuals, patients with MTLR did not
show better memory for emotionally arousing odors compared to nonarousing ones.
Patients undergoing a MTLR, whether in the left or right hemisphere, lose the
specific memory advantage that odors causing strong emotional reactions normally
have.
PMID- 18054973
TI - Six naphthylisoquinoline alkaloids and a related benzopyranone from a Congolese
Ancistrocladus species related to Ancistrocladus congolensis.
AB - From the roots of a recently discovered Ancistrocladus taxon, with close
affinities to Ancistrocladus congolensis regarding molecular ITS sequence data,
six naphthylisoquinoline alkaloids, 5'-O-demethylhamatine (2), 5'-O
demethylhamatinine (3), 6-O-demethylancistroealaine A (4), 6,5'-O,O
didemethylancistroealaine A (5), 5-epi-6-O-methylancistrobertsonine A (6), and 5
epi-4'-O-demethylancistrobertsonine C (7), have been isolated, along with a
likewise benzopyranone carboxylic acid, 8. The structural elucidation succeeded
by chemical, spectroscopic, and chiroptical methods. Their bioactivities were
tested against protozoan parasites causing severe tropical diseases. Furthermore,
eight known related alkaloids were identified.
PMID- 18054974
TI - Assessment of 17alpha-ethinylestradiol effects and underlying mechanisms in a
continuous, multigeneration exposure of the Chinese rare minnow (Gobiocypris
rarus).
AB - 17alpha-Ethinylestradiol (EE(2)) is a synthetic estrogen used primarily in birth
control pills and in hormone replacement therapy. Owing to its occurrence in
surface waters at concentrations frequently greater than 1 ng/l and its projected
future use, EE(2) is expected to pose a significant risk to aquatic organisms.
This study was conducted to obtain long-term exposure data necessary for the
establishment of water quality criteria and to investigate mechanisms associated
with toxic effects. In a multigeneration experiment, Chinese rare minnows
(Gobiocypris rarus) were constantly exposed to environmentally relevant
concentrations of the synthetic estrogen EE(2). Mortality, deformities,
reproductive parameters, plasma vitellogenin and histopathology were assessed.
The results showed that, in the F(0) generation, all endpoints were significantly
affected at concentrations higher than 0.2 ng/l EE(2). No F(1) phenotypic males
developed to maturity at 0.2 ng/l and, when adult females of this exposure group
were crossed with unexposed males, no F(2) fertile eggs were produced. Kidney
histopathology and ultrastructure suggest anomalies possibly associated with
increased vitellogenin accumulation. We concluded that the reproduction of the
F(1) minnows was completely inhibited at the lowest concentration tested, 0.2
ng/l EE(2), a concentration frequently detected in surface waters. Growth effects
may be related to increased energy requirements including the energy used in VTG
synthesis. Reproductive effects are presumably associated with male feminization
and the occurrence of testis-ova in males; however, ovarian degeneration observed
in females may also have contributed to reproductive failure.
PMID- 18054975
TI - Cigarette smoke decreases mitochondrial porin expression and steroidogenesis.
AB - Steroidogenic acute regulatory protein (StAR) facilitates the movement of
cholesterol from the outer to inner mitochondrial membrane for steroidogenesis.
Here, we investigated the effect of cigarette smoke (CS) on steroidogenesis using
adrenal mitochondria isolated from mice chronically exposed to CS.
Steroidogenesis was decreased approximately 78% in CS-exposed mitochondria, as
measured by synthesis of the steroid hormone precursor pregnenolone. This effect
was accompanied by decreased mitochondrial import of (35)S-StAR. Further
characterization of the imported (35)S-StAR by native gradient PAGE revealed the
presence of a high molecular weight complex in both control and CS-exposed
groups. Following density gradient fractionation of (35)S-StAR that had been
extracted from control mitochondria, precursor StAR could be found in fractions 2
6 and smaller-sized StAR complexes in fractions 6-13. In the CS-exposed group,
the appearance of precursor shifted from fraction 1-6 and the smaller complexes
in fractions 6-9 disappeared. Mass spectrometric analysis revealed that the (35)S
StAR-associated protein complex was composed of several resident matrix proteins
as well as the OMM resident, VDAC. VDAC expression was greatly reduced by CS, and
blockage of VDAC with Koenig's polyanion decreased pregnenolone synthesis in
isolated mitochondria. Taken together, these results suggest that VDAC may
participate in steroidogenesis by promoting StAR interaction with the OMM and
that CS may inhibit steroidogenesis by reducing VDAC-StAR interactions.
PMID- 18054976
TI - Characterization of a novel psi-conotoxin from Conus parius Reeve.
AB - The M-superfamily of conotoxins currently comprises three major groups of
peptides (the mu-, kappaMu-, and psi-families) that share a key structural
characteristic, the six-cysteine motif CC-C-C-CC, but differ with respect to
their molecular targets. The psi-family consists of M-superfamily conotoxins that
are nicotinic acetylcholine receptor (nAChR) antagonists. To date, only two psi
conotoxins, PIIIE and PIIIF, are known, both of which were isolated from a single
Conus species, Conus purpurascens. In this paper, we report the discovery and
initial characterization of a psi-conotoxin from another Conus species, Conus
parius, which we designated as PrIIIE. Its amino acid sequence, inferred from a
cloned cDNA, differed significantly from those of PIIIE and PIIIF. Its
bioactivity was investigated by using the synthetic form of the peptide in mice
and fish bioassays. At 2.5 nmol, the synthetic peptide induced flaccid paralysis
in goldfish in ca. 4 min but did not induce any remarkable behavior in mice
(after i.c. and i.p. injection of up to 10 nmol of peptide) and did not block
action potential in directly stimulated frog muscle preparations.
Electrophysiological experiments carried out to measure inhibition of ion
currents through mouse nAChR receptors expressed in oocytes revealed that PrIIIE
(IC(50) approximately 250 nM) was significantly more potent than PIIIE (IC(50)
approximately 7000 nM) and that PrIIIE showed higher inhibition potency against
the adult-type than the fetal-type nAChR. In similar electrophysiological assays,
PrIIIE showed no inhibitory effects against the mouse muscle subtype Na(+)
channel isoform Na(v) 1.4. The discovery of this psi-conotoxin from a Conus
species that belongs to the subgenus Phasmoconus, which is distinct from and
larger than the clade in which C. purpurascens belongs, suggests that greater
structural and functional diversity of psi-conotoxins remains to be discovered
from the members of this subgenus.
PMID- 18054977
TI - Functional studies of host-specific ephrin-B ligands as Henipavirus receptors.
AB - Hendra virus (HeV) and Nipah virus (NiV) are closely related paramyxoviruses that
infect and cause disease in a wide range of mammalian hosts. To determine whether
host receptor molecules play a role in species-specific and/or virus-specific
infection we have cloned and characterized ephrin-B2 and ephrin-B3 ligands from a
range of species, including human, horse, pig, cat, dog, bats (Pteropus alecto
and Pteropus vampyrus) and mouse. HeV and NiV were both able to infect cells
expressing any of the ephrin-B2 and ephrin-B3 molecules. There did not appear to
be significant differences in receptor function from different species or
receptor usage by HeV and NiV. Soluble ephrin ligands, their receptors and G
specific human monoclonal antibodies differentially blocked henipavirus
infections suggesting different receptor affinities, overlapping receptor binding
domains of the henipavirus attachment glycoprotein (G) and that the functional
domains of the ephrin ligands may be important for henipavirus binding.
PMID- 18054978
TI - In vivo alteration of humoral responses to HIV-1 envelope glycoprotein gp120 by
antibodies to the CD4-binding site of gp120.
AB - The binding of antibodies to the CD4-binding site (CD4bs) of the HIV-1 envelope
glycoprotein gp120 has been shown to induce gp120 to undergo conformational
changes that can expose and/or shield specific epitopes on gp120. Here, we study
alterations in the antigenicity and immunogenicity of gp120 when complexed with
human monoclonal antibodies (mAbs) specific for the CD4bs of gp120. The data
showed that gp120 bound by anti-CD4bs mAbs had enhanced reactivity with mAbs to
the V3 and N-terminal regions, but not with mAb to the C terminus. Moreover, mice
immunized with the gp120/anti-CD4bs mAb complexes produced higher titers of gp120
specific serum IgG and IgA than mice immunized with uncomplexed gp120 or other
gp120/mAb complexes. Notably, the enhanced antibody production was directed
against V3 and correlated with better exposure of V3 on the gp120/anti-CD4bs mAb
complexes. The higher antibody reactivity was evident against the homologous
V3(LAI) peptide, but not against heterologous V3 peptides. Potent neutralization
activity against HIV-1(LAI) was also observed in the sera from mice immunized
with gp120/anti-CD4bs mAb complexes, although the sera exhibited poor
neutralizing activities against other viruses tested. These results indicate that
the anti-CD4bs antibodies alter the antigenicity and immunogenicity of gp120,
leading to enhanced production of anti-gp120 antibodies directed particularly
against the V3 region.
PMID- 18054980
TI - Change in the accommodative force on the lens of the human eye with age.
AB - The aim of the study was to determine the age-dependence of the accommodative
force on the lens in order to make it clear whether the causes of presbyopia are
due to lenticular or extralenticular changes. A finite element model of the lens
of an 11-, 29- and 45-year-old human eye was constructed to represent the fully
accommodated state. Subsequently, the force that was needed to mould the lens
into its unaccommodated state was calculated. The force on the lens appeared to
be preserved with age, with only a slight increase to a value of approximately
0.06N. In conclusion, the preservation of the net force delivered by the
extralenticular ciliary body indicates that the causes of presbyopia must be
ascribed to lenticular changes.
PMID- 18054979
TI - Human macrophages support persistent transcription from unintegrated HIV-1 DNA.
AB - Retroviruses require integration of their RNA genomes for both stability and
productive viral replication. In HIV infection of non-dividing, resting CD4 T
cells, where integration is greatly impeded, the reverse transcribed HIV DNA has
limited biological activity and a short half-life. In metabolically active and
proliferating T cells, unintegrated DNA rapidly diminishes with cell division.
HIV also infects the non-dividing but metabolically active macrophage population.
In an in vitro examination of HIV infection of macrophages, we find that
unintegrated viral DNA not only has an unusual stability, but also maintains
biological activity. The unintegrated linear DNA, 1-LTR, and 2-LTR circles are
stable for at least 30 days. Additionally, there is persistent viral gene
transcription, which is selective and skewed towards viral early genes such as
nef and tat with highly diminished rev and vif. One viral early gene product Nef
was measurably synthesized. We also find that independent of integration, the HIV
infection process in macrophages leads to generation of numerous chemokines.
PMID- 18054981
TI - Aging disrupts the neural transformations that link facial identity across views.
AB - Healthy human aging can have adverse effects on cortical function and on the
brain's ability to integrate visual information to form complex representations.
Facial identification is crucial to successful social discourse, and yet, it
remains unclear whether the neuronal mechanisms underlying face perception per
se, and the speed with which they process information, change with age. We
present face images whose discrimination relies strictly on the shape and
geometry of a face at various stimulus durations. Interestingly, we demonstrate
that facial identity matching is maintained with age when faces are shown in the
same view (e.g., front-front or side-side), regardless of exposure duration, but
degrades when faces are shown in different views (e.g., front and turned 20
degrees to the side) and does not improve at longer durations. Our results
indicate that perceptual processing speed for complex representations and the
mechanisms underlying same-view facial identity discrimination are maintained
with age. In contrast, information is degraded in the neural transformations that
represent facial identity across views. We suggest that the accumulation of
useful information over time to refine a representation within a population of
neurons saturates earlier in the aging visual system than it does in the younger
system and contributes to the age-related deterioration of face discrimination
across views.
PMID- 18054982
TI - Visual pigment and oil droplet characteristics of the bobolink (Dolichonyx
oryzivorus), a new world migratory bird.
AB - The retinal photoreceptors of a New World migratory bird, the bobolink
(Dolichonyx oryzivorus) were examined using microspectrophotometry. Based on the
absorbance spectra of their visual pigments and oil droplets, retinal
photoreceptors include five classes of single cones, one double cone, and one
rod. The single cones contain a long-wavelength pigment (lambda(max)=564nm)
paired with a red or clear droplet, a medium-wavelength pigment
(lambda(max)=505nm) with an orange or yellow droplet, and an ultraviolet pigment
(lambda(max)=372nm) with a transparent droplet. No violet- or blue-sensitive
single cone was found. The Bobolink double cone is unique: the Accessory member
possesses the violet sensitive pigment (lambda(max)=403nm), in contrast to the
long-wavelength sensitive pigment present in the double cones of other avian
species.
PMID- 18054983
TI - Priming of Pop-out provides reliable measures of target activation and distractor
inhibition in selective attention.
AB - Recent research has demonstrated a striking role for intertrial priming in visual
search. When searching for a discrepant target, repetition of the target feature
speeds search, an effect known as Priming of Pop-out (PoP). In two experiments
involving color singletons, we identified two independent components of PoP,
target activation and distractor inhibition. Each component was reflected by two
measures, a repetition benefit and a switching cost, that were highly correlated.
Large individual differences on each component were observed and persisted when
test and retest were separated by one week. The results suggest that PoP may be a
reliable tool for assessing individual differences on target activation and
distractor inhibition in selective attention.
PMID- 18054984
TI - The function of cation-binding agents in the enzymatic treatment of municipal
sludge.
AB - Treatment of sludge with enzymes has previously been shown to efficiently release
organic matter. However, the added enzymes were partially adsorbed to, entrapped
by or bound to the sludge structure. Simultaneous decrease of enzymes activities
was observed. Reduced adsorption and more effective, lower, enzyme dose was
achieved in sludge pre-treated with three cation-binding agents. The enzymatic
solubilisation of sludge was improved by 150%, 240% and 290%, by 50mM sodium
tripolyphosphate (STPP), 25mM citric acid (CA) or 50mM
ethylenediaminetetraacetate (EDTA), respectively. With cation binders, the lower
relative enzyme dose 0.2 (13.7mg/g total solids (TS)) released 3.5 times higher
COD than enzyme dose 1 (68.5mg/g TS) alone. In the presence of 25mM CA, 75% added
protease remained soluble. In the presence of 50mM CA, EDTA or STPP, 50% of alpha
amylase and cellulase remained soluble. At 200mM STPP, alpha-amylase was
inactive, and the efficiency of enzymatic sludge hydrolysis decreased. CA was the
most effective of the three cation-binding agents tested. It is biodegradable and
can be produced endogenously by the microorganisms in sludge. CA has the greatest
potential for the practical application to enhance biogas production. This paper
reports on the possible mechanisms of enzymes adsorption to the sludge matrix and
possible methods of decreasing the adsorption. We suggest that steric hindrances
were responsible for the decreased enzymatic sludge solubilisation and that
polyvalent metal ions were directly involved in adsorption of enzymes to sludge
matrix. The addition of cation binders eliminated both phenomena and thereby
improved the enzymatic solubilisation of sludge.
PMID- 18054985
TI - Removal of mono-valent oxyanions from water in an ion exchange membrane
bioreactor: influence of membrane permselectivity.
AB - The ion exchange membrane bioreactor (IEMB) proved to be an effective technology
for the removal of nitrate and perchlorate from polluted drinking water when
using a mono-anion permselective membrane such as Neosepta ACS. Aiming at
reducing the cost of the system, this study evaluates the use of a lower-cost
anion exchange membrane, which exhibits no preferential mono-anion permselective
properties. With this purpose an Excellion I-200 membrane was tested, for the
removal of anionic micropollutants, such as nitrate and perchlorate from drinking
water supplies. The impact of the lower anion permselectivity of this membrane on
the quality of the treated water was determined. It was demonstrated that
differences between the membrane properties are responsible for the different
permselectivities observed towards multi-valent and mono-valent anions. The use
of Excellion I-200 resulted in a less selective removal of perchlorate and
nitrate, allowing anions such as sulphate and phosphate species to be
transported. When treating 3.1l/m(2)h of water contaminated with 100microg/l of
perchlorate and 60mg/l of nitrate, lower removal degrees were obtained (85% of
perchlorate and 88% of nitrate), compared with 96% of perchlorate and 99% of
nitrate achieved with the Neosepta ACS membrane, operating under the same
conditions. However, the Excellion I-200 membrane shows no target anion flux
decline during a relatively long period of operation (1 month) and no secondary
contamination of the treated water by the carbon source used. These
characteristics are essential for a membrane to be successfully used in the IEMB
system. Additionally, the selection of the membrane depends on the latter
characteristics and on the water quality requirements.
PMID- 18054987
TI - Low-temperature dechlorination of hexachlorobenzene on solid supports and the
pathway hypothesis.
AB - The dechlorination of HCB was carried out under low-temperature and oxygen
deficient conditions on different solid supports such as SiO(2), CaO, CaSiO(3),
cement and treated fly ash (tFA). All the tested supports except SiO(2) showed a
HCB dechlorination potential. The dechlorination efficiencies (D(1)) of HCB by
CaO, CaSiO(3), tFA and cement reached 64.62%, 76.15%, 79.97% and 32.21% at 350
degrees C for 4h, respectively. It was thought electrons in the vacancies
originated from the unsaturated metallic ions and O(2-) on the crystal surfaces
made the D(1) different between SiO(2), CaO and CaSiO(3). Comparing the D(1) by
tFA and cement, the high dechlorination potential of tFA was due to the more free
electrons from the crystal defects and the transition metals, and the more active
points for the gas-solid phase reaction, which both had positive effects on
dechlorination reaction. The effect of Cu addition (0.2-5.0%) on HCB
dechlorination might result from the Ullmann coupling which was not notable in
enhancing the dechlorination reaction. From the study, we can draw the conclusion
that the dechlorination potential mainly depends on the support characteristic
rather than the transition metal content. Based on this study and previous
references, the dechlorination/polymerization induced by the electron transfer
mode was thought to be the dominant pathway while the hydrogen transfer mode was
minor. The electron was originated from the crystal defects or induced by
transition metals, and the dissociation of a chloride ion happened forming a
radical, and then the polymerization of radicals led to the formation of high
molecular-weight compounds which seemed to cause the material imbalance.
PMID- 18054988
TI - Determination of zinc speciation in basic oxygen furnace flying dust by chemical
extractions and X-ray spectroscopy.
AB - There is a growing concern regarding the environmental and public health risks
associated with airborne particulate matter (PM). The basic oxygen furnace is one
of the most important atmospheric dust sources of the steel manufacturing
process. It emits dust enriched in heavy metal such as Zn, which is assumed to
contribute to the toxic potential of atmospheric PM. Dust collected before and
after the filtration system was analyzed to determine Zn speciation. To this end,
a variety of analytical tools were used and a sequential extraction protocol has
been specifically developed for iron and steel dust. The Zn speciation results
obtained by EXAFS and sequential extraction were in excellent agreement. Before
filtration, the speciation of Zn in BOF was 43% ZnFe(2)O(4), 23% ZnCO(3) and 16%
ZnO. The same species were detected after filtration with different proportions.
BOF dust after filtration contains more soluble Zn phases which may play a role
in the toxic effects of the emissions.
PMID- 18054991
TI - Telomere length inheritance and aging.
AB - Telomere shortening accompanies human aging, and premature aging syndromes are
often associated with short telomeres. These two observations are central to the
hypothesis that telomere length directly influences longevity. If true,
genetically determined mechanisms of telomere length homeostasis should
significantly contribute to variations of longevity in the human population. On
the other hand, telomere shortening is also observed in the course of many aging
associated disorders but determining whether it is a cause or a consequence is
not an easy task. Here, we review the most relevant experimental and descriptive
data relating telomere length, as a quantitative trait, to aging and longevity.
PMID- 18054990
TI - Factors impacting human telomere homeostasis and age-related disease.
AB - Loss of telomere length homeostasis has been linked to age-related disease
especially cancer. In this review, we discuss two major causes of telomere
dysfunction that potentially lead to tumorigenesis: replicative aging and
environmental assaults. Aging has long been recognized as a source for telomere
dysfunction through increasing numbers of cell divisions in the absence of
sufficient telomerase activity. However, environmental assaults that cause
telomere dysfunction are only beginning to be identified and recognized.
Environmental stressors that influence telomere length may be physical or induced
by psychological situations like stress. Knowledge of all factors, including
genetic and environmental forces, that moderate telomere length will be critical
for understanding basic mechanisms of human telomere maintenance during
development and aging as well as for disease prevention and treatment strategies.
PMID- 18054989
TI - Physiological assembly and activity of human telomerase complexes.
AB - Telomerase is a specialized reverse transcriptase conserved throughout almost all
eukaryotic life. It plays a fundamental role in genome maintenance, adding back
the telomeric DNA repeats lost from chromosome ends due to incomplete replication
or damage. The protein and RNA subunits of telomerase fold and function in a co
dependent manner to establish a high fidelity of telomeric repeat synthesis. Over
the past two decades, studies of telomerase have uncovered previously
unanticipated levels of complexity in its assembly, activity and regulation. This
review describes the current understanding of telomerase in humans, with
particular focus on telomerase biogenesis and regulation in its cellular context.
PMID- 18054992
TI - The total body burden of chromium associated with skin disease and smoking among
cement workers.
AB - BACKGROUND: Hand eczema and other skin diseases have been associated with the
exposure of chromium among cement workers. Studies on skin disease and other
factors associated with the body burden of chromium are limited. OBJECTIVE: The
present study investigated the role of skin disease and smoking in the
association with body burden of chromium among cement workers. METHODS: Forty
five workers (38 men and 7 women) were recruited for this study and interviewed
to obtain information on demographic status, lifestyle, employment history, and
affecting factors. Urine samples were collected to measure the urinary chromium
concentration to represent the body burden of chromium. RESULTS: The average
urinary chromium concentration was approximately 6 times higher in non-smoking
workers with hand eczema than in non-smoking workers with no skin disease (45.5
vs. 7.6 microg/L). The average chromium level increase to 87.0 microg/L for
smokers with the disease. Compared with workers with no hand eczema, the odds
ratio of having urinary chromium concentration exceeding the biological exposure
index level significantly increased to 11.6 (95% CI=1.3-102.2) for non-smoking
workers with skin disease, and to 48.0 (95% CI=4.5-510.8) for smoking workers
with skin disease. The multiple regression analysis showed that the use of gloves
may reduce significantly the chromium exposure. CONCLUSIONS: Inadequate
protection and personal behavior increase the internal dose of chromium in cement
workers. Total body burden of chromium are higher among cement workers with skin
disease and smoking habit. These workers deserve intervention education on
personal hygiene to reduce the exposure of chromium.
PMID- 18054993
TI - Can fluorescence spectrometry be used as a surrogate for the Biochemical Oxygen
Demand (BOD) test in water quality assessment? An example from South West
England.
AB - The fluorescence intensities of tryptophan-like, tyrosine-like and humic-like
materials were determined using excitation-emission-matrices (EEMs) for a wide
range of samples including natural surface waters, sewage and industrial
effluents and waters that have experienced known pollution events from the South
West of England (n=469). Fluorescence intensities reported in arbitrary
fluorescence units (AFU) were correlated with standard five day Biochemical
Oxygen Demand (BOD(5)) values which were used as an indicator of the amount of
biodegradable organic material present. Tryptophan-like fluorescence, which has
been found to relate to the activity of the biological community, showed the
strongest correlation with BOD(5). Fluorescence analysis of the tryptophan-like
peak (excitation/emission wavelength region 275/340 nm) is found to provide an
accurate indication of the presence, and relative proportions of bioavailable
organic material present (natural or anthropogenic). It therefore provides an
insight relating to its oxygen depleting potential. Thus fluorescence
spectroscopy is recommended as a portable or laboratory tool for the
determination of the presence of biodegradable organic matter with intrinsic
oxidising potential in natural waters. The novel application of Geographically
Weighted Regression (GWR) to the data illustrates that strong local relationships
exist between the two parameters and that site specific character may be a strong
factor in the strength of the tryptophan-like fluorescence/BOD(5) relationship.
PMID- 18054994
TI - Hepatic levels of metal and metallothioneins in two commercial fish species of
the Northern Iberian shelf.
AB - Metal levels (Cd, Pb, Hg, Cr, Fe, Cu, Zn) together with metallothioneins (MTs)
were determined in the liver of two commercial fish species collected along the
Northern Iberian coast. The four-spotted megrim (Lepidorhumbos boscii) and the
pouting (Trisopterus luscus) were selected as representative species of the
middle/outer (200-500 m) and inner shelf (70-120 m), respectively. Metal
pollution in the middle/outer shelf was mostly detected in the Asturias and
Basque Country areas, whereas in the inner shelf, pollution was widely spread
along the coast. Significantly high levels of Pb, Cd, Cr and Hg were detected in
T. luscus from different sampling sites and associated to anthropogenic pressure.
MTs were induced in fish that had higher amounts of Zn, Cd, Cr and Hg in their
livers, supporting the use of MT as a biomarker of metal exposure. The study
supports the usefulness of the selected fish species as sentinel organisms for
future biomonitoring studies.
PMID- 18054995
TI - Semi-quantitative characterisation of ambient ultrafine aerosols resulting from
emissions of coal fired power stations.
AB - Emissions from coal fired power stations are known to be a significant
anthropogenic source of fine atmospheric particles, both through direct primary
emissions and secondary formation of sulfate and nitrate from emissions of
gaseous precursors. However, there is relatively little information available in
the literature regarding the contribution emissions make to the ambient aerosol,
particularly in the ultrafine size range. In this study, the contribution of
emissions to particles smaller than 0.3 mum in the ambient aerosol was examined
at a sampling site 7 km from two large Australian coal fired power stations
equipped with fabric filters. A novel approach was employed using conditional
sampling based on sulfur dioxide (SO(2)) as an indicator species, and a
relatively new sampler, the TSI Nanometer Aerosol Sampler. Samples were collected
on transmission electron microscope (TEM) grids and examined using a combination
of TEM imaging and energy dispersive X-ray (EDX) analysis for qualitative
chemical analysis. The ultrafine aerosol in low SO(2) conditions was dominated by
diesel soot from vehicle emissions, while significant quantities of particles,
which were unstable under the electron beam, were observed in the high SO(2)
samples. The behaviour of these particles was consistent with literature accounts
of sulfate and nitrate species, believed to have been derived from precursor
emissions from the power stations. A significant carbon peak was noted in the
residues from the evaporated particles, suggesting that some secondary organic
aerosol formation may also have been catalysed by these acid seed particles. No
primary particulate material was observed in the minus 0.3 mum fraction. The
results of this study indicate the contribution of species more commonly
associated with gas to particle conversion may be more significant than expected,
even close to source.
PMID- 18054996
TI - Localization of source regions of selected hydrofluorocarbons combining data
collected at two European mountain stations.
AB - Ground-based in situ measurements of hydrofluorocarbons HFC-125, HFC-134a, and
HFC-152a, which are regulated under the Kyoto Protocol, are carried out at four
European sites within the SOGE (System of Observation of Halogenated Greenhouse
Gases in Europe) program. Concentrations measured at the high mountain stations
of Jungfraujoch (Switzerland) and Mte Cimone (Italy) together with back
trajectory statistical analysis are used in order to identify potential source
regions on a European scale. Combining concentration data recorded at the two
sites allows to reduce one of the problem which is inherent to the back
trajectory approach, i.e. the localisation of "ghost" sources in the wake of real
sources. In this way, a more reliable picture of the location of European
potential source regions is given.
PMID- 18054997
TI - Potential dermal exposure to deltamethrin and risk assessment for manual
sprayers: influence of crop type.
AB - A comparison of the Potential Dermal Exposure (PDE) of workers to the insecticide
deltamethrin was made as a function of crop type, in small agricultural
production units in Argentina. Seven experiments were done with two different
crops (maize and broccoli, treated area between 600 and 1000 m(2)) with three
different operators under typical field conditions using a lever operated
knapsack. The methodology is based on the whole body dosimetry technique,
presenting separately the data for mixing/loading and application activities.
These results indicate a higher concentration of pesticide in lower body sections
for broccoli and a wider distribution for maize. The risk inherent in these
agricultural procedures is estimated through Margin of Safety (MOS) values and
was found to be generally safe. Preliminary results of a mass balance
distribution of the pesticide between crop, soil and operator are also presented.
PMID- 18054998
TI - Co-regulation of redox processes in freshwater wetlands as a function of organic
matter availability?
AB - Wetlands have important filter functions in landscapes but are considered to be
the biggest unknowns regarding their element dynamics under global climate
change. Information on sink and source function of sulphur, nitrogen, organic
matter and acidity in wetlands is crucial for freshwater regeneration. Recent
results indicate that redox processes are not completely controlled by the
sequential reduction chain (that is electron acceptor availability) but that
electron donor availability may be an important regulator. Our hypothesis was
that only sites which are limited in their electron donor availability (low
concentrations of dissolved organic carbon (DOC)) follow the concept of the
sequential reduction chain. We compared the results of two freshwater wetland
systems: 1) three forested fens within a boreal spruce catchment in a low
mountain range in southern Germany (high DOC regime) and 2) three floodplain
soils within a groundwater enrichment area in the Rhein valley in northwest
Switzerland (low DOC regime). Micro scale investigations (a few cm(3)) with
dialyse chambers as well as soil solution and groundwater concentrations at the
forested fens (high DOC regime) indicated simultaneous consumption of nitrate and
sulphate with release of iron, manganese and methane (CH(4)) as well as an
enrichment in stable sulphur isotopes indicating a co-existence of processes
attributed to different redox gradients. Soil and aquifer gas measurements down
to 4.6 m at the groundwater enrichment site (low DOC regime and carbon
limitation) showed extreme high rates of metabolism with carbon dioxide
(CO(2))(,) dinitrous oxide (N(2)O) and CH(4) concentrations reaching fifty,
thirty and three times atmospheric concentrations, respectively. Simultaneously,
groundwater oxygen (O(2)) saturation was between 50 and 95%. We concluded that
independent of DOC regime the sequential reduction chain was not a suitable
concept in our systems. Instead of electron acceptor or donor availability micro
site variability might explain the co-existence of redox processes within our
sites.
PMID- 18054999
TI - Wetland types and wetland maps differ in ability to predict dissolved organic
carbon concentrations in streams.
AB - Three categories of digital wetland maps widely available in the United States
were used to develop models relating wetlands to DOC: (1) wetlands mapped by the
U.S. National Wetlands Inventory (NWI) (2) wetland vegetation cover mapped by the
U.S. National Land Cover Dataset (NLCD), and (3) maps of hydric soils. Data
extracted from these maps for 27 headwater catchments of the Ontonagon River in
northern Michigan, USA were used with DOC concentrations measured in catchment
streams to develop stepwise multiple regressions based on wetland area and type.
The catchments of the 27 tributaries ranged in area from 2 to 66 km(2) and
wetlands constituted 10 to 53% of their area. Although all three databases
provided regressions that were highly significant (p<0.001), the variance
explained was greater for NWI maps (R(2)=0.75) than for NLCD (R(2)=0.61) or soil
maps (R(2)=0.60). Wetland-stream relationships were strongest during September
2002, but were significant for nine out of ten dates sampled during subsequent
seasons. The individual wetland type most highly correlated (r>0.62) with stream
DOC concentrations was conifer peatland, represented on the NWI maps as
Palustrine Needle-leaved Forest, the NLCD maps as woody wetland, and the soil
maps as organic soils. For the NWI dataset, DOC was negatively correlated with
area of palustrine emergent wetlands (i.e., sedge meadows and graminoid fens) and
bog shrubs, inferring that these wetland types may be sinks for DOC. Because of
the different effects of wetland vegetation types on DOC, a GIS data source such
as the NWI which depicts those wetland types is superior for predicting landscape
contributions to stream DOC concentrations.
PMID- 18055000
TI - Long-term clinical and radiological magnetic resonance imaging outcome of abscess
associated spontaneous pyogenic vertebral osteomyelitis under conservative
management.
AB - OBJECTIVES: Management of abscess-associated spontaneous pyogenic vertebral
osteomyelitis (PVO) is controversial. The role of magnetic resonance imaging
(MRI) in follow-up and its relation with clinical outcome is often unclear. This
study evaluates the clinical and MRI outcome of abscess-associated PVO under
conservative treatment. METHODS: Prospective study and retrospective review of
patients with spontaneous PVO in whom the initial MRI showed soft-tissue
involvement (STI). Treatment according to a medical protocol, clinical and MRI
follow-up at diagnosis, and at 2 later time points: early response (ER, at the
end of antibiotic therapy) and late response (LR, >or=6 months after therapy).
MRI classified STI as soft-tissue edema (STE) or abscess. RESULTS: Of the 27
patients (20 men, 74%, age 65+/-14), all had pain, 17 (63%) had fever, and 6
(22%) had mild neurological impairment. The main etiology was Staphylococcus sp
(11, 41%). Twenty-one (81%) had bacteremia and 18 (67%) had epidural/paraspinal
abscess. Patients received antibiotics for 9 weeks, administered orally for 6
weeks. ER: Three cases failed and general improvement was seen in the remainder.
MRI showed persistent STI, which diminished in all cases except 1, whereas
bone/disc findings remained. LR: All patients were cured; 8 reported mild
sequelae (30%). MRI still revealed bone/disc abnormalities, but residual STE was
infrequent. Median follow-up was 29 months. CONCLUSION: Most patients with
abscess-associated spontaneous PVO are cured with a conservative approach. MRI
shows STI reduction at ER evaluation. Repeat MRI is probably unnecessary if
clinical and laboratory outcomes are satisfactory. The persistence of bone/disc
MRI findings alone does not represent therapeutic failure.
PMID- 18055003
TI - The future of scientific publishing.
PMID- 18055002
TI - Anti-coagulant aptamers.
AB - In the past decade development of aptamer technology is poised into several
fields with various clinical applications. With this progress, aptamer based anti
coagulant agents are evolved and continuing their applications with clinical
trials. At present several anti-coagulant aptamers are available against
different proteins from blood coagulation cascade. In this review, the mechanism
and functions of anti-coagulant aptamers, as an alternate candidate to other
available anti-coagulant agents are discussed.
PMID- 18055005
TI - Roles for hypoxia-regulated genes during cervical carcinogenesis: somatic
evolution during the hypoxia-glycolysis-acidosis sequence.
AB - OBJECTIVES: Malignant phenotypic traits are caused by microenvironmental
selection pressures during carcinogenesis. Hypoxia can drive a tumor toward a
more aggressive malignant phenotype. The objective was to better understand the
role of the hypoxia-regulated genes in cervical carcinogenesis. METHODS: We
analyzed the expression of the hypoxia-regulated genes, including hypoxia
inducible factor-1alpha (HIF-1alpha), erythropoietin (Epo), vascular endothelial
growth factor (VEGF), glucose transporter 1 (GLUT1), carbonic anhydrase IX
(CAIX), and MET, in cervical cell lines and human tissue samples of cervical
intraepithelial neoplasia (CIN I-III) and invasive squamous cell carcinoma
(ISCC). RESULTS: CAIX and MET were expressed in cervical carcinoma cell lines,
but not in normal or human papillomavirus-immortalized cervical cells. In
clinical tissue samples, Epo, VEGF, GLUT1, and CAIX were not detected in normal
squamous epithelia. GLUT1 was expressed in nearly all cases of CIN and ISCC,
however, CAIX was expressed only in CIN III and ISCC. HIF-1alpha and MET
expression was confined to the basal cells in normal squamous epithelia and was
detected in the dysplastic cells of CIN and ISCC. CONCLUSIONS: The role of HIF
1alpha and MET changes from response to proliferation to tumor progression during
cervical carcinogenesis. GLUT1 expression, a glycolytic phenotype adaptive to
glycolysis, occurs early during cervical carcinogenesis and is a specific marker
for dysplasia or carcinoma. MET and CAIX may contribute tumor progression in
later stage. CAIX expression, an acid-resistant phenotype, may be a powerful
adaptive advantage during carcinogenesis. Successful adaptation to the hypoxia
glycolysis-acidosis sequence in a microenvironment is crucial during
carcinogenesis.
PMID- 18055006
TI - Prediction of suboptimal primary cytoreduction in primary ovarian cancer with
combined positron emission tomography/computed tomography--a prospective study.
AB - OBJECTIVE: To prospectively identify combined PET/CT predictors of
incomplete/suboptimal primary cytoreduction in advanced ovarian cancer. METHODS:
From September 2004 to March 2007, 179 patients with a Risk of Malignancy Index
(RMI) >150 based on serum CA-125, ultrasound examinations and menopausal state,
underwent PET/CT within 2 weeks prior to standard surgery/debulking of a pelvic
tumor. Ten PET/CT features were identified and evaluated as predictors of
cytoreduction in 54 patients with advanced ovarian cancer. RESULTS: Complete
cytoreduction (no macroscopic residual disease) was achieved in 35% and optimal
cytoreduction (<1 cm residual disease) was achieved in 56%. Using univariate
analysis, predictors of incomplete cytoreduction were large bowel mesentery
implants (LBMI) (P<0.003), pleural effusion (P<0.009), ascites (P<0.009) and
peritoneal carcinosis (P<0.01). LBMI (P<0.03) and ascites (P<0.05) were also
predictors of suboptimal cytoreduction. Using multivariate analysis, LBMI was the
only independent predictor of incomplete cytoreduction (P=0.004) and no predictor
of suboptimal cytoreduction was found. CONCLUSION: PET/CT predictors of
cytoreduction were found. But they should not be used to withhold patients form
primary cytoreductive surgery. We suggest PET/CT as a supplementary image
modality prior to surgery in primary OC patients whenever accurate and
comprehensive preoperative evaluation of primary tumor and metastases is desired.
PMID- 18055007
TI - Transtheoretical model-based multiple behavior intervention for weight
management: effectiveness on a population basis.
AB - BACKGROUND: The increasing prevalence of overweight and obesity underscores the
need for evidence-based, easily disseminable interventions for weight management
that can be delivered on a population basis. The Transtheoretical Model (TTM)
offers a promising theoretical framework for multiple behavior weight management
interventions. METHODS: Overweight or obese adults (BMI 25-39.9; n=1277) were
randomized to no-treatment control or home-based, stage-matched multiple behavior
interventions for up to three behaviors related to weight management at 0, 3, 6,
and 9 months. All participants were re-assessed at 6, 12, and 24 months. RESULTS:
Significant treatment effects were found for healthy eating (47.5% versus 34.3%),
exercise (44.90% versus 38.10%), managing emotional distress (49.7% versus
30.30%), and untreated fruit and vegetable intake (48.5% versus 39.0%)
progressing to Action/Maintenance at 24 months. The groups differed on weight
lost at 24 months. Co-variation of behavior change occurred and was much more
pronounced in the treatment group, where individuals progressing to
Action/Maintenance for a single behavior were 2.5-5 times more likely to make
progress on another behavior. The impact of the multiple behavior intervention
was more than three times that of single behavior interventions. CONCLUSIONS:
This study demonstrates the ability of TTM-based tailored feedback to improve
healthy eating, exercise, managing emotional distress, and weight on a population
basis. The treatment produced a high level of population impact that future
multiple behavior interventions can seek to surpass.
PMID- 18055008
TI - Effects of culture conditions and nuclear transfer protocols on blastocyst
formation and mRNA expression in pre-implantation porcine embryos.
AB - This study investigated the effects of culture conditions and somatic cell
nuclear transfer (SCNT) protocols on in vitro development of porcine SCNT embryos
and on expression patterns of genes involved in stress (heat shock protein 70.2,
HSP70.2), trophoblastic function (integrin beta1, ITGB1), metabolism
(phosphoglycerate kinase 1, PGK1), apoptosis (BAX), and imprinted gene (insulin
like growth factor 2 receptor, IGF2R). In Experiment 1, supplementing modified
North Carolina State University (mNCSU) medium with 10% FBS at Day 4 of culture
increased SCNT blastocyst formation (22.9 vs. 10.7%, P<0.05), number of inner
cell mass cells (13.3+/-4.3 vs. 7.6+/-2.2, P<0.05), and total cells (57.9+/-19.5
vs. 36.3+/-8.2, P<0.05) in cloned blastocysts. In Experiment 2, using culture
medium with 10% FBS, 1.0mM calcium in fusion/activation medium (1.0C), and
7.5mug/mL cytochalasin B treatment (0.1C&CB) yielded higher rates (P<0.05) of
blastocysts (33.6 and 33.3%, respectively) relative to the control (0.1mM calcium
fusion medium, 0.1C; 18.3%). Total cell numbers of blastocysts were increased
(P<0.05) in 1.0C (77.4+/-28.9) compared to the control (58.5+/-22.6). In vitro
derived blastocysts had higher expression levels of BAX and lower levels of
HSP70.2, IGF2R compared to their in vivo-derived counterparts. Supplementing
culture medium with 10% FBS increased relative abundances of BAX mRNA in SCNT
blastocysts relative to in vivo-derived blastocysts. The transcript level of
ITGB1 in blastocyst from 0.1C&CB was lower than in vivo blastocysts. In
conclusion, different culture conditions or SCNT protocols affected in vitro
development of SCNT embryos and altered several important genes (BAX, HSP70.2,
IGTB1, and IGF2R) compared to conventional in vivo-derived blastocysts.
PMID- 18055009
TI - Osteoconduction and osteoinduction of low-temperature 3D printed bioceramic
implants.
AB - Rapid prototyping is a valuable implant production tool that enables the
investigation of individual geometric parameters, such as shape, porosity, pore
size and permeability, on the biological performance of synthetic bone graft
substitutes. In the present study, we have employed low-temperature direct 3D
printing to produce brushite and monetite implants with different geometries.
Blocks predominantly consisting of brushite with channels either open or closed
to the exterior were implanted on the decorticated lumbar transverse processes of
goats for 12 weeks. In addition, similar blocks with closed channel geometry,
consisting of either brushite or monetite were implanted intramuscularly. The
design of the channels allowed investigation of the effect of macropore geometry
(open and closed pores) and osteoinduction on bone formation orthotopically.
Intramuscular implantation resulted in bone formation within the channels of both
monetite and brushite, indicating osteoinductivity of these resorbable materials.
Inside the blocks mounted on the transverse processes, initial channel shape did
not seem to significantly influence the final amount of formed bone and
osteoinduction was suggested to contribute to bone formation.
PMID- 18055010
TI - Pharmacological characterization of desensitization in scratching behavior
induced by intrathecal administration of hemokinin-1 in the rat.
AB - Desensitization is induced by the repeated administration of high doses of
substance P (SP) or hemokinin-1 (HK-1). However, little information is available
about the mechanisms involved in the induction of desensitization by these
peptides. Thus, to characterize this desensitization, we examined the dose
dependent effect of these peptides, the effect of pretreatment with neurokinin
1(NK1) receptor antagonists, and the effect of pretreatment with inhibitors of
protein kinases such as protein kinase A (PKA), protein kinase C (PKC),
calcium/calmodulin kinase II (CaMKII) and mitogen-activated protein kinase kinase
(MEK). The number of scratchings induced by 10(-3)M SP or HK-1 decreased
following pretreatment with 10(-11)-10(-3)M SP or HK-1 with a marked reduction at
10(-3) and 10(-6)M SP or HK-1. The effect of NK1 receptor antagonists on
desensitization induced by pretreatment with 10(-6)M SP was marked, whereas there
was little effect of pretreatment with these antagonists on 10(-6)M HK-1-induced
desensitization. Additionally, 10(-6)M SP- and HK-1-induced desensitization was
attenuated by pretreatment with PKA, PKC and MEK inhibitors, except a CaMKII
inhibitor that inhibited SP-induced desensitization. These results indicate that
the receptor and kinases involved in HK-1-induced desensitization are partially
different from those of SP.
PMID- 18055011
TI - Simultaneous occurrence of the JAK2V617F mutation and BCR-ABL gene rearrangement
in patients with chronic myeloproliferative disorders.
PMID- 18055012
TI - Low viral loads and lymphoid organ spheroids are associated with yellow head
virus (YHV) tolerance in whiteleg shrimp Penaeus vannamei.
AB - Yellow head virus (YHV) is an invertebrate nidovirus that has caused mass
mortality in penaeid shrimp since 1990. Several YHV types are known, but only the
original type (YHV-type 1 or YHV-1) is highly virulent. Most studies have focused
on acute YHV-1 infections and there is limited work on YHV-1 survivors. We
compared moribund and surviving (14%) whiteleg shrimp Penaeus (Litopenaeus)
vannamei from an experimental challenge with YHV-1. Although grossly normal, all
survivors were positive for YHV-1 by specific, reverse transcriptase polymerase
chain reaction (RT-PCR) assays, histological analysis or transmission electron
microscopy (TEM), indicating that they were not resistant but tolerant to YHV-1.
On the other hand, real-time PCR analysis revealed that mean YHV-1 copies/ng
total RNA for survivors (2.8x10(4) +/- 6.9x10(4)) were approximately 40 times
lower (P<0.05) than those in moribund shrimp (1.2x10(6) +/- 6.7x10(5)copies/ng
total RNA). This was confirmed by strong positive immunohistochemical and in situ
hybridization (ISH) reactions for YHV-1 in lymphoid organ tubules (LOT) of
moribund shrimp and weak positive reaction only in lymphoid organ spheroids (LOS)
of survivors. TEM revealed morphologically complete YHV virions in both groups.
Furthermore, immuno-TEM and Western blot analysis revealed that YHV-1 structural
proteins gp116 and p20 were present at comparable reactive levels in each group.
Thus, YHV-1 tolerance was not associated with absence of gp116 as previously
reported for palaemonid shrimp. Instead, it was associated with the presence of
YHV-positive LOS and a relatively low viral load.
PMID- 18055013
TI - Lead isotopes in environmental sciences: a review.
AB - Lead (Pb) isotopic analyses proved to be a very efficient tool for tracing the
sources of local and global Pb pollution. This review presents an overview of
literature published on the use of Pb isotopic analyses of different
environmental matrices (atmospheric aerosols, lichens, tree rings, peat deposits,
lake, stream, marine sediments, soils, etc.). In order to gain more insight, the
isotopic compositions of major sources of Pb in the environment as determined by
several authors are described in detail. These include, above all, the former use
of leaded gasoline, coal combustion, industrial activities (e.g., metallurgy) and
waste incineration. Furthermore, this review summarises analytical techniques
(especially ICP-MS) used for the determination of Pb isotopes in environmental
samples.
PMID- 18055014
TI - Chemical contaminants in feedlot wastes: concentrations, effects and attenuation.
AB - Commercial feedlots for beef cattle finishing are potential sources of a range of
trace chemicals which have human health or environmental significance. To ensure
adequate protection of human and environmental health from exposure to these
chemicals, the application of effective manure and effluent management practices
is warranted. The Australian meat and livestock industry has adopted a proactive
approach to the identification of best management practices. Accordingly, this
review was undertaken to identify key chemical species that may require
consideration in the development of guidelines for feedlot manure and effluent
management practices in Australia. Important classes of trace chemicals
identified include steroidal hormones, antibiotics, ectoparasiticides,
mycotoxins, heavy metals and dioxins. These are described in terms of their
likely sources, expected concentrations and public health or environmental
significance based on international data and research. Androgenic hormones such
as testosterone and trenbolone are significantly active in feedlot wastes, but
they are poorly understood in terms of fate and environmental implications. The
careful management of residues of antibiotics including virginiamycin, tylosin
and oxytetracycline appears prudent in terms of minimising the risk of potential
public health impacts from resistant strains of bacteria. Good management of
ectoparasiticides including synthetic pyrethroids, macrocyclic lactones,
fluazuron, and amitraz is important for the prevention of potential ecological
implications, particularly towards dung beetles. Very few of these individual
chemical contaminants have been thoroughly investigated in terms of
concentrations, effects and attenuation in Australian feedlot wastes.
PMID- 18055015
TI - The bovine salivary proteins BSP30a and BSP30b are independently expressed BPI
like proteins with anti-Pseudomonas activity.
AB - The family of BPI-like proteins are thought to play a role in innate immunity of
the airways and oral cavity. They have similarities with
bactericidal/permeability-increasing protein (BPI), an important host defence
molecule in mammals, in the nucleotide sequence of their mRNAs, organisation of
exons and their predicted protein structure. We compared the expression and
function of 2 of the 13 known bovine BPI-like proteins, BSP30a and b, which
together constitute 30% of the total protein in bovine saliva. Despite their
recent divergence, we found that the two proteins have unique expression
patterns, considerable inter- and intra-animal variation in abundance and differ
in their glycosylation status. Recombinant and native BSP30a and b exhibited
growth suppression activity against Pseudomonas aeruginosa and Streptococcus
pneumoniae. Native BSP30a and b had no significant lipopolysaccharide-binding
activity. These data provide functional evidence supporting a role for the BPI
like proteins in host defence and suggest that BSP30a and b contribute to the
growth suppression function of bovine saliva through a mechanism independent of
LPS opsonisation.
PMID- 18055016
TI - Synthesis, structure and interactions with DNA of novel tetranuclear,
[Mn4(II/II/II/IV)] mixed valence complexes.
AB - Reaction of Mn(II) with phenoxyalkanoic acids and di-2-pyridyl ketone oxime
(Hpko) leads to neutral tetranuclear complexes of the general formula
Mn(4)(O)(pko)(4)(phenoxyalkanoato)(4) (phenoxyalkanoic acids: H-mcpa=2-methyl-4
chloro-phenoxy-acetic acid, H-2,4,5-T=2,4,5-trichloro-phenoxy-acetic acid or H3,4
D=3,4-dichloro-phenoxy-acetic acid). The compounds were synthesized by adding di
2-pyridyl ketone oxime to MnCl(2) in the presence of the sodium salts of the
alkanoic acids in methanol. The crystal structure of
Mn(4)(II/II/II/IV)(O)(pko)(4)(2,4,5-T)(4).2.5CH(3)OH.0.25H(2)O 1 shows that the
complex consists of a [Mn(4)(mu(4)-O)](8+) core with a Mn(IV) and 3 Mn(II) ions
in octahedral environment and a mu(4)-O atom bridging the four manganese ions.
Spectroscopic studies of the interaction of these tetranuclear clusters with DNA
showed that these compounds bind to dsDNA. The binding strength of the
Mn(4)(II/II/II/IV)(O)(pko)(4)(2,4,5-T)(4) complex for calf thymus DNA is equal to
1.1x10(4)M(-1). Among the deoxyribonucleotides they bind preferentially to
deoxyguanylic acid (dGMP). Competitive studies with ethidium bromide (EthBr)
showed that the Mn(4)(II/II/II/IV)(O)(pko)(4)(2,4,5-T)(4) complex exhibited the
ability to displace the DNA-bound EthBr indicating that the complex binds to DNA
via intercalation in strong competition with EthBr for the intercalative binding
site. Additionally, DNA electrophoretic mobility experiments showed that all
three complexes, at low cluster concentration, are obviously capable of binding
to pDNA causing its cleavage (relaxation) at physiological pH and temperature. At
higher cluster concentration, catenated dimer forms of pDNA was formed.
PMID- 18055017
TI - The dichotomy in the DNA-binding behaviour of ruthenium(II) complexes bearing
benzoxazole and benzothiazole groups.
AB - The substituted tris(bipyridine)ruthenium(II) complexes {[Ru(bpy)(2)(4,4'
bbob)](2+) and [Ru(bpy)(2)(5,5'-bbob)](2+) [where bpy=2,2'-bipyridine and
bbob=bis(benzoxazol-2-yl)-2,2'-bipyridine] have been prepared and compared to the
previously studied complex [Ru(bpy)(2)(4,4'-bbtb)](2+) [where
bbtb=bis(benzothiazol-2-yl)-2,2'-bipyridine]. From the UV/VIS titration studies,
Delta-[Ru(bpy)(2)(4,4'-bbob)](2+) displays a stronger association than the Lambda
isomer with calf-thymus DNA (ct-DNA). For [Ru(bpy)(2)(5,5'-bbob)](2+), there
appears to be minimal interaction with ct-DNA. The results of fluorescence
titration studies suggest that [Ru(bpy)(2)(4,4'-bbob)](2+) gives an increase in
emission intensity with increasing ct-DNA concentrations, with an
enantiopreference for the Delta isomer, confirmed by membrane dialysis studies.
The fluorescent intercalation displacement studies revealed that [Ru(bpy)(2)(4,4'
bbob)](2+) and [Ru(bpy)(2)(5,5'-bbob)](2+) display a preference for more open DNA
structures such as bulge and hairpin sequences. While Lambda-[Ru(bpy)(2)(4,4'
bbtb)](2+) has shown the most significant affinity for all the oligonucleotides
sequences screened in previous studies, it is the Delta isomer of the comparable
benzoxazole ruthenium(II) complex (Delta-[Ru(bpy)(2)(4,4'-bbob)](2+)) that
preferentially binds to DNA.
PMID- 18055018
TI - What is reinforced by phasic dopamine signals?
AB - The basal ganglia have been associated with processes of reinforcement learning.
A strong line of supporting evidence comes from the recording of dopamine (DA)
neurones in behaving monkeys. Unpredicted, biologically salient events, including
rewards cause a stereotypic short-latency (70-100 ms), short-duration (100-200
ms) burst of DA activity - the phasic response. This response is widely
considered to represent reward prediction errors used as teaching signals in
appetitive learning to promote actions that will maximise future reward
acquisition. For DA signalling to perform this function, sensory processing
afferent to DA neurones should discriminate unpredicted reward-related events.
However, the comparative response latencies of DA neurones and orienting gaze
shifts indicate that phasic DA responses are triggered by pre-attentive sensory
processing. Consequently, in circumstances where biologically salient events are
both spatially and temporally unpredictable, it is unlikely their identity will
be known at the time of DA signalling. The limited quality of afferent sensory
processing and the precise timing of phasic DA signals, suggests that they may
play a less direct role in 'Law of Effect' appetitive learning. Rather, the 'time
stamp' nature of the phasic response, in conjunction with the other signals
likely to be present in the basal ganglia at the time of phasic DA input,
suggests it may reinforce the discovery of unpredicted sensory events for which
the organism is responsible. Furthermore, DA-promoted repetition of preceding
actions/movements should enable the system to converge on those aspects of
context and behavioural output that lead to the discovery of novel actions.
PMID- 18055019
TI - Detecting perinatal common mental disorders in Ethiopia: validation of the self
reporting questionnaire and Edinburgh Postnatal Depression Scale.
AB - BACKGROUND: The cultural validity of instruments to detect perinatal common
mental disorders (CMD) in rural, community settings has been little-investigated
in developing countries. METHODS: Semantic, content, technical, criterion and
construct validity of the Edinburgh Postnatal Depression Scale (EPDS) and Self
Reporting Questionnaire (SRQ) were evaluated in perinatal women in rural
Ethiopia. Gold-standard measure of CMD was psychiatric assessment using the
Comprehensive Psychopathological Rating Scale (CPRS). Community-based,
convenience sampling was used. An initial validation study (n=101) evaluated both
EPDS and SRQ. Subsequent validation was of SRQ alone (n=119). RESULTS: EPDS
exhibited poor validity; area under the receiver operating characteristic (AUROC)
curve of 0.62 (95%CI 0.49 to 0.76). SRQ-20 showed better validity as a
dimensional scale, with AUROC of 0.82 (95%CI 0.68 to 0.96) and 0.70 (95%CI 0.57
to 0.83) in the two studies. The utility of SRQ in detecting 'cases' of CMD was
not established, with differing estimates of optimal cut-off score: three and
above in Study 1 (sensitivity 85.7%, specificity 75.6%); seven and above in Study
2 (sensitivity 68.4%, specificity 62%). High convergent validity of SRQ as a
dimensional measure was demonstrated in a community survey of 1065 pregnant
women. LIMITATIONS: Estimation of optimal cut-off scores and validity
coefficients for detecting CMD was limited by sample size. CONCLUSIONS: EPDS
demonstrated limited clinical utility as a screen for perinatal CMD in this
rural, low-income setting. The SRQ-20 was superior to EPDS across all domains for
evaluating cultural equivalence and showed validity as a dimensional measure of
perinatal CMD.
PMID- 18055020
TI - Diminished perception of light as a symptom of depression: further studies.
AB - BACKGROUND: In a previous preliminary report, the perception of a decrease in
ambient light intensity appeared to be correlated with depression. We
prospectively studied this potential link in a controlled study. METHODS: The
question, "I've noticed that the lights in my surroundings seem dimmer than
usual", was added to the Center for Epidemiologic Studies Depression Scale (CES
D) questionnaire and administered prospectively to 213 subjects 50-80 years of
age participating in the Age-Related Eye Disease Study (AREDS). All had visual
acuity of 20/32 or better in at least one eye. Main outcome measures were the
relationship between the dimness question answer and severity of depression, and
the likelihood that patients reporting dimness were depressed. RESULTS: Subjects
endorsing their surroundings as being dimmer than usual at least some of the time
had a mean CES-D score of 10.6 (SD=7.0) compared to a mean of 5.5 (SD=5.4) for
subjects who never noted dimness (t=-4.22, p=.0001). Depressed individuals (CES-D
> or = 16) were significantly more likely to report dimness than non-depressed
(CES-D<16) subjects (chi(2)=15.6, p<0.0001). The total CES-D score and the degree
of reported dimness (0-3) were significantly associated (r=0.31, p<.0001). Using
a stepwise regression analysis, subjects who reported any dimness were more
likely to be depressed. LIMITATIONS: A relatively small number of subjects, 38
(18%), reported dimness requiring us to dichotomize their dimness level in some
analyses. CONCLUSIONS: Perceived dimness of one's ambient surroundings and
clinical depression are linked. Health care professionals should inquire about
this symptom in potentially depressed patients.
PMID- 18055021
TI - Fetal tolerance in human pregnancy--a crucial balance between acceptance and
limitation of trophoblast invasion.
AB - During human pregnancy the semi-allogeneic/allogeneic fetal graft is normally
accepted by the mother's immune system. Initially the contact between maternal
and fetal cells is restricted to the decidua but during the 2nd trimester it is
extended to the entire body. Two contrary requirements influence the extent of
invasion of extravillous fetal trophoblast cells (EVT) in the maternal decidua:
anchorage of the placenta to ensure fetal nutrition and protection of the uterine
wall against over-invasion. To establish the crucial balance between tolerance of
the EVT and its limitation, recognition of the semi-allogeneic/allogeneic fetal
cell by maternal leukocytes is prerequisite. A key mechanism to limit EVT
invasion is induction of EVT apoptosis. Apoptotic bodies are phagocytosed by
antigen-presenting cells (APC). Peptides from apoptotic cells are presented by
APC cells and induce an antigen-specific tolerance against the foreign antigens
on EVT cells. These pathways, including up-regulation of the expression of IDO,
IFNgamma and CTLA-4 as well as the induction of T(regulatory) cells, are general
immunological mechanisms which have developed to maintain peripheral tolerance to
self-antigens. Together these data suggest that the mother extends her
"definition of self" for 9 months on the foreign antigens of the fetus.
PMID- 18055022
TI - Inhibitor of apoptosis protein (IAP) profiling in experimental autoimmune
encephalomyelitis (EAE) implicates increased XIAP in T lymphocytes.
AB - In multiple sclerosis (MS) and its widely accepted animal model, experimental
autoimmune encephalomyelitis (EAE), the failure of autoreactive immune cells to
undergo apoptosis is thought to contribute to CNS tissue damage and disease
progression. Promoting apoptosis of myelin-reactive immune cells in diseases such
as MS, may delay disease progression and decrease the frequency and severity of
relapses. X-linked inhibitor of apoptosis (XIAP) is a potent anti-apoptotic
protein that inhibits intrinsic, extrinsic, and c-Jun amino-terminal kinase
mediated apoptosis and was the only member of the inhibitor of apoptosis (IAP)
family upregulated in whole blood from EAE mice. Similar increases in XIAP were
also observed in both peripheral and encephalitogenic T lymphocytes. Increased
XIAP expression in T cells within areas of demyelination in the CNS suggests that
XIAP may be enhancing cell survival and thereby contributing to disease
pathology.
PMID- 18055023
TI - Presence of otitis media with effusion and its risk factors affect serum cytokine
profile in children.
AB - Otitis media with effusion (OME) is a condition that has significant impact on
the quality of life of children. Although the etiology is multi-factorial,
certain risk factors such as an allergic predisposition, daycare, and cigarette
smoke exposure contribute to its pathogenesis. OBJECTIVE: (1) To determine
whether there is a tendency for children with chronic or recurrent OME (cases) to
have higher serum levels of the T-helper 2 cell (Th-2) allergenic-type cytokines,
interleukin-4 (IL-4), and IL-5, or the T-helper 1(Th-1) infectious-type
cytokines, interferon-gamma (IFN-gamma), and tumor necrosis factor-alpha (TNF
alpha), compared to children without a history of recurrent OME (controls) and
(2) to determine any possible correlations between the cytokine levels and risk
factors associated with OME. METHODS: We analyzed serum levels of these four
cytokines by enzyme-linked immunosorbent assays of 19 cases and 17 controls.
RESULTS: Cases, independent of age, had increased levels of serum IL-5 compared
to controls (p=0.014). While a significant difference in serum IL-4 levels did
not exist between cases and controls, children exposed to cigarette smoke had
significantly higher levels of serum IL-4 (p=0.003). While serum levels of IFN
gamma were statistically significantly higher in cases than controls with
univariate analysis (p=0.011), when controlling for age and smoke exposure with
multivariate analyses, the difference did not reach significance (p=0.086).
CONCLUSION: These results suggest that patients with chronic or recurrent OME and
those exposed to cigarette smoke mount a Th-2 allergic-like response, as
demonstrated by their serum cytokines.
PMID- 18055024
TI - Connecting chemosensitivity, gene expression and disease.
AB - Omics-based investigations offer potentially powerful readouts that might be
useful for probing the underlying biology of normal and diseased states,
identifying novel therapeutic targets and proposing relevant markers for
designing treatment strategies. A vital component of these investigations
involves a systematic analysis of gene expression and chemosensitivity data in
the context of disease states and small molecule probes into the function of
targets responsible for a disease phenotype. Systematic analysis of chemical and
pharmacogenetics data offers a possible means to identify novel, small-molecule,
potentially therapeutic, agents that affect the phenotype of a particular target.
Elegantly simple in concept, the covariation of genetic and chemosensitivity
readouts provide a hypothetical link for relating compounds through genomic
expression profiles to underlying biology.
PMID- 18055025
TI - The emerging role of TRPV1 in diabetes and obesity.
AB - The capsaicin receptor transient receptor potential vanilloid subfamily member 1
(TRPV1) is highly expressed on sensory nerve fibers innervating the pancreas.
Indeed, the role of TRPV1 in mediating pain during pancreatitis is well
established. The initial excitation of these nerves by capsaicin is followed by a
reversible refractory state (desensitization) or, under certain conditions such
as neonatal treatment, neurotoxicity. Interestingly, ablation of TRPV1-positive
fibers by subcutaneous capsaicin treatment not only ameliorates pancreatitis pain
but also diminishes aging-associated weight gain and improves glucose tolerance
both in mice on a high-fat diet and in rat models of type 2 diabetes. New
evidence implies an unexpected, pivotal role for TRPV1 in type 1 (autoimmune)
diabetes. Non-obese diabetic (NOD) mice carry a hypofunctional TRPV1 mutant.
Ablation of nerves carrying this mutant TRPV1 by capsaicin prevents immune
mediated destruction of islet beta cells despite the persistence of diabetogenic
T cells. Collectively, these findings establish a crucial link among sensory
nerves, obesity and diabetes and identify pharmacological TRPV1 blockade as a
novel therapeutic approach for diabetes prevention and weight control.
PMID- 18055026
TI - Inhibition of human coronavirus 229E infection in human epithelial lung cells
(L132) by chloroquine: involvement of p38 MAPK and ERK.
AB - The antiviral effects of chloroquine (CQ) on human coronavirus 229E (HCoV-229E)
infection of human fetal lung cell line, L132 are reported. CQ significantly
decreased the viral replication at concentrations lower than in clinical usage.
We demonstrated that CQ affects the activation of p38 mitogen-activated protein
kinase (MAPK) and extracellular signal-regulated kinase (ERK). Furthermore, p38
MAPK inhibitor, SB203580, inhibits CPE induced by HCoV-229E infection and viral
replication. Our findings suggest that CQ affects the activation of MAPKs,
involved in the replication of HCoV-229E.
PMID- 18055027
TI - In vivo administration of corticotropin-releasing hormone at remote intervals
following ischemia enhances CA1 neuronal survival and recovery of spatial memory
impairments: a role for opioid receptors.
AB - The contribution of corticotropin-releasing hormone (CRH) in the modulation of
ischemia-induced cell death in vivo remains unclear. We characterized the impact
of pre-ischemic administration of CRH (0, 0.1, 1, 5 microg, i.c.v., 15 min prior
to vessel occlusion) on neuronal damage following global ischemia in rats. The
injection of 5 microg CRH led to a 37% increase in CA1 neuronal survival compared
to vehicle-treated ischemic animals, while pre-treatment with alpha-helical CRH
(9-41) abolished this neuronal protection. A second objective aimed to determine
whether CRH protection is maintained over weeks when the peptide is administered
at remote time intervals following ischemia. Compared to vehicle-treated ischemic
animals, administration of CRH 8h following global ischemia led to a 61% increase
in CA1 neuronal survival observed 30 days post-ischemia. Neuronal protection
translated into significant improvement of ischemia-induced spatial memory
deficits in the radial maze. Finally, our findings demonstrated that selective
blockade of kappa- and delta-opioid receptors (using nor-binaltorphimine and
naltrindole, respectively) prior to CRH administration significantly reduced CA1
neuronal protection. These findings represent the first demonstration of enhanced
neuronal survival following in vivo CRH administration in a global model of
ischemia in rats. They also support the idea that CRH-induced neuroprotection
involves opioid receptors activation.
PMID- 18055028
TI - Factors affecting the hippocampal BOLD response during spatial memory.
AB - The hippocampus has long been implicated in spatial memory, from work in rodents
to imaging and brain lesion studies in humans. However, recent evidence has
pointed to the recruitment of areas outside the hippocampus proper on spatial
memory tasks, including the parahippocampal gyrus and precuneus, possibly
suggesting a more focused role for the hippocampus proper. In this study, a
virtual version of the standard rodent spatial memory assessment, the Morris
water task, has been employed during fMRI to investigate the differential
involvement of these distinct brain areas. Twenty-eight healthy participants
completed a block designed version of the virtual Morris water task (vMWT) which
consisted of three conditions: (1) a hippocampal dependent condition during which
the participants were forced to use distal room cues in the virtual environment
to navigate to a hidden platform; (2) a non-hippocampal dependent condition
during which participants were to navigate to a visible platform; (3) a fixation
period. Activations of the BOLD signal were evident in the hidden condition as
compared to the visible condition in the parahippocampal gyrus, precuneus, and
fusiform when analyzed using to a blocked analysis. Moreover, this blocked
analysis revealed increases in the right hippocampal BOLD signal during fixation.
However, when hidden trials were compared to visible trials using a post hoc
event-related analysis focused on the beginning of each trial, activations of the
right hippocampus are evident. These results support the theory that extra
hippocampal structures contribute to spatial memory behavior and identify a
temporally specific involvement of the hippocampus. Furthermore, they
substantiate previous results reporting hippocampal BOLD increases during
fixation.
PMID- 18055029
TI - Are benzodiazepines really anxiolytic? Evidence from a 3D maze spatial navigation
task.
AB - The effects of diazepam and chlordiazepoxide were assessed in a 3D maze which is
a modification of an 8-arm radial maze. Each arm of the maze is attached to a
bridge radiating from a central platform. Animals exposed for the first time to
the maze do not venture beyond the line that separate a bridge from an arm. The
prime criteria set for an anxiolytic effect is whether mice would increase the
frequency of entries onto arms and increase arm/bridge entries ratio. C57 mice
readily cross the line on first exposure and make more than 8 arm visits onto
arms on second exposure, while other strains (CD-1 and Balb/c) hold back and
rarely cross the line on first exposure and require more sessions to make more
than 8 arm entries. An anxiolytic drug is expected to encourage intermediate (CD
1) and high (Balb/c) anxiety mice to adventure onto the arms of the maze and make
more visits to the arms to comparable levels seen with low anxiety c57 mice. In
the present report, administration of different doses of diazepam (0.625, 1.25,
2.5 and 5 mg kg(-1) i.p.) and chlordiazepoxide (5, 10 and 15 mg kg(-1) i.p.) did
not reduce anxiety in animals, with the lowest dose of diazepam increasing motor
activity in Balb/c and increasing anxiety in c57 mice while the highest doses of
both diazepam (2.5 and 5 mg kg(-1) i.p.) and chlordiazepoxide (15 mg kg(-1) i.p.)
induced mild sedation. Our results raise some concerns about the methodological
foundations in the current assessment of anxiety and anxiolytic compounds both in
animal and human studies.
PMID- 18055030
TI - Development of a marine fish model for studying in vivo molecular responses in
ecotoxicology.
AB - A protocol for fixation and processing of whole adult marine medaka (Oryzias
melastigma) was developed in parallel with in situ hybridization (ISH) and
immunohistochemistry (IHC) for molecular analysis of in vivo gene and protein
responses in fish. Over 200 serial sagittal sections (5microm) can be produced
from a single adult medaka to facilitate simultaneous localization and
quantification of gene-specific mRNAs and proteins in different tissues and
subcellular compartments of a single fish. Stereological analysis (as measured by
volume density, V(v)) was used to quantify ISH and IHC signals on tissue
sections. Using the telomerase reverse transcriptase (omTERT) gene, omTERT and
proliferating cell nuclear antigen (PCNA) proteins as examples, we demonstrated
that it is possible to localize, quantify and correlate their tissue expression
profiles in a whole fish system. Using chronic hypoxia (1.8+/-0.2 mgO(2)L(-1) for
3 months) as an environmental stressor, we were able to identify significant
alterations in levels of omTERT mRNA, omTERT protein, PCNA (cell proliferation
marker) and TUNEL (apoptosis) in livers of hypoxic O. melastigma (p<0.05).
Overall, the results suggest that O. melastigma can serve as a model marine fish
for assessing multiple in vivo molecular responses to stresses in the marine
environment.
PMID- 18055031
TI - A case of very late stent thrombosis after bare metal coronary stent
implantation: a neglected complication.
PMID- 18055032
TI - Predictors of outcome in patients undergoing PCI. Results of the RIVIERA study.
AB - BACKGROUND: Limited information is available about clinical outcomes following
routine percutaneous coronary intervention (PCI) in the current era. This study
aimed to identify predictors of adverse clinical or angiographic complications
following PCI in patients from many different countries. METHODS: RIVIERA is a
multinational, prospective, observational study in patients undergoing elective
or primary PCI. Consecutive patients (n=7962) were enrolled in 144 hospitals from
23 countries in four different continents. Primary outcome was death or
myocardial infarction (MI). The mean age of the patients was 59 years and 77%
were men; 92% of patients underwent elective and 8% primary PCI. RESULTS: The
rate of in-hospital outcomes was low: death 0.3%, MI 1%, any bleeding 3.4%.
Angiographic complications occurred in 8.7% of patients, mainly coronary
dissection (3.7%) and no reflow (2%). After multivariable analysis, the strongest
independent predictors of death or MI were clinical presentation with non-ST
segment elevation acute coronary syndrome or ST-segment elevation myocardial
infarction and administration of a glycoprotein (GP) IIb/IIIa inhibitor. Radial
access, thienopyridine pretreatment and anticoagulation with enoxaparin were
associated with a lower risk of death or MI. Female gender, PCI of coronary
artery bypass graft, administration of a GP IIb/IIIa inhibitor and combined use
of enoxaparin and unfractionated heparin were significantly associated with more
bleeding. Radial access was the only variable associated with less bleeding.
CONCLUSIONS: Routine PCI appears to be a relatively safe revascularization
procedure. Many of the variables identified as predictors of adverse cardiac
outcomes confirm results obtained in recent randomized PCI trials and are
modifiable, suggesting that further improvements can be made.
PMID- 18055033
TI - Post coital hemoptysis.
AB - Ischemic mitral regurgitation is a complication of coronary artery disease
specifically in the settings of prior myocardial infarction. It is a dynamic
lesion and its severity may increase with different forms of exercise leading to
flash pulmonary edema. We present the case of an 81-year-old man with ischemic
mitral regurgitation who presented with long standing history of hemoptysis
limited to sexual intercourse only. After a thorough negative work-up for the
usual causes of hemoptysis, comprehensive right and left cardiac catheterization
studies revealed marked increase in baseline mitral regurgitation and pulmonary
capillary wedge pressure with isometric exercise. This case illustrates that
sexual intercourse, a form of isometric exercise, can cause severe increase in
ischemic mitral regurgitation and more so compared to other forms of exercise due
to heightened sympathetic activity, leading to flash pulmonary edema and
hemoptysis.
PMID- 18055034
TI - Identification and differentiation of magnetically labeled mesenchymal stem cells
in vivo in swines with myocardial infarction.
AB - We aim to track mesenchymal stem cells (MSCs) after magnetically labeling and
test the ability of these cells differentiate into cardiomyocytes in vivo.
Therefore, 20 swines were divided into four groups, sham-operated group (n=3);
acute myocardial infarction (AMI) transplanted with PBS (n=3); labeled MSCs (n=7)
and unlabeled MSCs (n=7) group. 10(7) labeled or unlabeled cells were
intracoronary delivered after MI (4.8+/-1.3 days), and serial cardiac MR (3.0T)
imaging studies were performed at 0, 4 and 8 weeks after transplantation, then
the results were confirmed by histological and western blot analysis. We
demonstrated that labeled MSCs can be reliably detected and tracked in vivo using
MR imaging. In particular, we provided the evidence of regeneration of labeled
MSCs in vivo by histological examination and western blot analysis.
PMID- 18055035
TI - Should dental treatment be considered for lowering inflammatory markers in
hypertensive patients?
AB - BACKGROUND: While dental treatment has been reported to lower inflammatory marker
levels, such studies were small and did not involve subjects with cardiovascular
diseases. The present prospective study examined the effect of interventional
dental treatment on serum C-reactive protein (CRP) and fibrinogen levels in
patients with essential hypertension. METHODS: The study enrolled 50 subjects
(age: 53.1+/-7; 23 men and 27 women) diagnosed with moderate or severe essential
hypertension. Patient clinical characteristics were as follows: 80% had
hypercholesterolemia, 72% were obese/overweight, 6% had diabetes mellitus, 16%
were current smokers, 40% had target organ damage, and the overall general dental
health status was poor. CRP and fibrinogen levels were assessed prior to
treatment and again after 6 months. Dental treatment was mainly for periodontal
disease and dental caries and its complications, and consisted of extractions of
hopeless teeth, supragingival scaling, subgingival curettage, anti-inflammatory
rinses and metronidazole treatment. There was a mean 4 treatment sessions per
patient over 11 weeks. RESULTS: Dental treatment resulted in improved sulcus
bleeding index (51+/-19 vs. 42+/-17, p<0.001) and approximal plaque index (50+/
23 vs. 42+/-13, p<0.001) scores, but had no effect on CRP (1.66 vs. 1.2 mg/l,
p=0.44) or fibrinogen (3.27 vs. 3.22 g/l, p=0.08) levels. CONCLUSIONS: We suggest
that the lack of effect of dental treatment on CRP and fibrinogen levels could
have resulted from smaller impact of dental disease on the total inflammatory
burden in the presence of hypertension and other cardiovascular risk factors.
PMID- 18055036
TI - Fractional flow reserve--the influence of the collateral circulation.
AB - Fractional flow reserve (FFR) is a widely used invasive index of coronary artery
stenosis. FFR is not influenced by haemodynamic parameters (such as blood
pressure and pulse rate) or by the vessel studied. However, true FFR value may be
influenced by the presence of major collateral vessels. In this article we
describe a case demonstrating this observation and discuss a potential
physiological mechanism to account for this finding.
PMID- 18055037
TI - Facilitated PCI by combination fibrinolysis or upstream tirofiban in acute ST
segment elevation myocardial infarction: results of the Alteplase and Tirofiban
in Acute Myocardial Infarction (ATAMI) trial.
AB - Direct percutaneous coronary intervention is the generally accepted superior
strategy in acute ST-segment myocardial infarction. The concept of facilitating
PCI in order to overcome delay by door-to-balloon time or transport is
nevertheless of interest. Combination fibrinolysis guarantees higher rates of
open infarct-related vessels and reduced reocclusion but without reduction of
mortality. After a pilot trial of facilitated PCI by combination fibrinolysis in
39 patients with excellent efficacy and high safety we prospectively randomised
151 patients (96 males, mean age 67.4+/-8.7 years) to combination fibrinolysis
with 50 mg alteplase and tirofiban and 162 patients (103 males, mean age 65.6+/
9.4 years) to upstream tirofiban before invasive approach including PCI. TIMI 2
or 3 flow of infarct-related vessel before intervention as the primary endpoint
and 30-day mortality, bleeding complication and angiographic proven stent
thrombosis as secondary endpoints were assessed. 160 matched patients with acute
PCI and provisional abciximab served as a control group. RESULTS: TIMI 2 or 3
flow in the infarct-related vessel could be demonstrated in 87% in the
combination fibrinolysis group, in 42% in the upstream tirofiban group (p<0.0001)
and 29% in the control group. 30-day mortality was 0.7% versus 5.5% (p<0.02) and
6.3% in the control group. No differences could be assessed in severe or moderate
(1.3% vs 1.2% vs 1.2%) and mild bleeding complications (2% vs 1.9% vs 2.5%).
Stent thrombosis occurred in none of the patients with combination fibrinolysis,
in 2 patients (1.5%) in the upstream tirofiban group and in 7 cases (4.4%) in the
control group. CONCLUSIONS: Combination fibrinolysis before PCI leads to
significantly higher TIMI flow rates of the infarct-related vessel without
increase in 30-day mortality or in bleeding complications. This strategy needs to
be further investigated in larger trials and could optimise acute myocardial
infarction management even without 24-h service of catheter laboratories.
PMID- 18055040
TI - Altered autonomic neural control of the cardiovascular system in patients with
polycystic ovary syndrome.
AB - PURPOSE: Polycystic ovary syndrome (PCOS) is frequently accompanied by the
presence of cardiovascular risk factors. It has also been recognized that there
is a significant relationship between the autonomic nervous system and adverse
cardiac events. Heart rate recovery (HRR) after exercise is a marker of
parasympathetic activity and attenuation of this parameter has been shown to be
associated with increased cardiac mortality. A delayed recovery of systolic blood
pressure (SBP) after peak exercise has been found to have diagnostic value and
might reflect sympathetic hyperactivity. The analysis of variations in heart rate
has also been used to determine the balance between sympathetic and vagal nerve
activities in the heart. Our objective was to determine HRR, the SBP response to
exercise and heart rate variability (HRV) in patients with PCOS. METHODS: The
study population consisted of 26 untreated patients with PCOS and 24 healthy
controls who were matched with respect to age, body mass index and physical
activity. All subjects underwent symptom-limited exercise tolerance test
according to a modified Bruce protocol. Following peak exercise, subjects walked
a 2-min cool-down period. Heart rate recovery was calculated as the difference
between heart rate at peak exercise and heart rate at the relevant minute of
recovery. Blood pressure recovery indexes were determined by dividing the
systolic blood pressure at 1, 2 and 3 min in recovery to the systolic blood
pressure at peak exercise. RESULTS: HRR at 1 min (HRR1) of the patients with PCOS
were significantly lower than that of controls (20+/-4 vs 28+/-8 bpm, p<0.0001).
Although, resting SBP of the two groups were similar (117+/-7 vs 117+/-10 mmHg,
p=0.663), the SBP of the patients with PCOS at peak exercise were significantly
higher when compared to controls (172+/-12 vs 156+/-14 mmHg, p<0.0001). In
addition, the SBP of the patients with PCOS remained significantly elevated when
compared to controls at the first, second and third minute of recovery (168+/-13
vs 148+/-15 mmHg, 162+/-13 vs 136+/-16 mmHg, 152+/-17 vs 127+/-15 mmHg,
respectively, p<0.0001 for all three). The SBP recovery index at 2 and 3 min of
the patients with PCOS were significantly higher than that of controls (0.93+/
0.04 vs 0.87+/-0.07, p<0.0001 and 0.87+/-0.07 vs 0.82+/-0.09, p=0.017,
respectively). Both time domain and frequency domain parameters of patients with
PCOS were significantly lower than that of controls. CONCLUSION: This study shows
that the patients with PCOS have attenuated HRR1, exaggerated SBP response to
exercise which is delayed to recover and a depressed HRV. These findings might
also suggest alterations in autonomic neurol control of the cardiovascular system
in this disorder.
PMID- 18055041
TI - Diagnostic and prognostic value of N-terminal pro B-type natriuretic peptide (NT
proBNP) in patients with chronic aortic regurgitation.
AB - BACKGROUND: BNP and its N-terminal fragment NT-proBNP have proven to be of
diagnostic and prognostic value in patients with valvular aortic stenosis. Data
regarding those biomarkers in patients with chronic aortic regurgitation (AR) are
sparse. Thus it was the aim of the present study to evaluate the diagnostic and
the long term prognostic value of NT-proBNP in patients presenting with AR.
METHODS AND RESULTS: This study included 60 patients with isolated AR of varying
severity (AR I mild, AR II moderate and AR III severe) and preserved left
ventricular function. Patients were followed over a median period of 824 (770
921) days. NT-proBNP at baseline was related to disease severity and to
functional status (161 (70-456) pg/ml in AR I, 226 (100-666) pg/ml in AR II and
1268 (522-5446) pg/ml in AR III (p=0.003)). Patients (n=6) experiencing an
adverse event had higher NT-proBNP values at baseline as event free survivors
(1271 (613-2992) pg/ml vs. 215 (92-534) pg/ml; p=0.034). The AUC of the ROC curve
for NT-proBNP as a predictor for an adverse event was 0.76 (p<0.036) with an
optimised cut-off value of 602 pg/ml. Consequently, in Kaplan-Meier analysis NT
proBNP values dichotomised at this cut-off were able to discriminate patients
with an adverse outcome in the entire study group (Log rank 9.98, p=0.0016) and
even better in the conservative group (Log rank 26.92, p<0.001). CONCLUSION: NT
proBNP is linked to disease severity in patients with chronic aortic
regurgitation reflecting hemodynamic stress due to volume overload. It provides
prognostic information for the clinical outcome and thus might be a useful
biomarker for risk stratification.
PMID- 18055042
TI - Another battlefield for drug-eluting coronary stents: chronic kidney disease.
PMID- 18055043
TI - Progressive coronary artery aneurysm presenting as acute myocardial infarction.
AB - Coronary artery aneurysms (CAA) are commonly of atherosclerotic origin and
asymptomatic. The natural history is uncertain given its uncommon occurrence and
lack of longitudinal data. We report a case of CAA, presenting with myocardial
infarction. Follow-up coronary angiography revealed enlargement of the CAA,
suggesting a progressive nature.
PMID- 18055044
TI - Significance of CK-elevation in noncompaction with regard to cardiac and
neuromuscular disease.
AB - OBJECTIVES: This retrospective study aimed to find out how often CK is elevated
in left-ventricular hypertrabeculation/noncompaction (LVHT), how often CK
elevation is due to neuromuscular disorders (NMDs), other cardiac disease, or
causes other than cardiac or NMD, and how often CK-elevation is associated with
troponin-T-positivity. METHODS: Electronic records from all LVHT-patients
diagnosed between 1995-2005 were reviewed for CK, CK-MB, and troponin-T-values,
frequency of CK-elevation, and causes of CK-elevation. RESULTS: Among 100 LVHT
patients, electronic records with laboratory data and final reports were
available in 88. The highest CK-values ranged between 9-5547U/l. In 40 patients
at least one CK-value was elevated (46%). Only 8 patients were troponin-T
positive. There was no significant difference of the clinical cardiologic, ECG,
and echocardiographic parameters between patients with normal and elevated CK.
Seventy of the 88 patients were seen by a neurologist. A NMD was diagnosed in 57
patients (81%). CK-elevation was attributed to NMD in 31 patients (79%), to
causes other than cardiac or NMD in 6 patients (15%), and to cardiac causes in 4
patients (10%). CONCLUSIONS: CK-elevation occurs in half of the LVHT-patients. CK
elevation in LVHT is most frequently attributable to NMDs but hardly to cardiac
disease. CK-elevation in LVHT suggests NMD and prompts neurological
investigations.
PMID- 18055045
TI - Bayesian estimation of flock-level sensitivity of detection of Salmonella spp.,
Enteritidis and Typhimurium according to the sampling procedure in French laying
hen houses.
AB - A study was carried out to estimate the prevalence of flocks infected by
Salmonella spp., S. Enteritidis and S. Typhimurium in 521 French laying-hen farms
from October 1st 2004 to September 30th 2005 as part of a European Union-wide
baseline study to define targets for Salmonella reduction in member states. The
sampling scheme prescribed and financed by the European Commission to detect
Salmonella in laying-hen flocks was based on 2 dust-samples and 5 faeces-samples
per farm. A latent-class Bayesian approach for correlated tests was used to
estimate the sensitivity of detection of reduced sampling schemes corresponding
to the 16 combinations of 2 dust- and 5 faeces-samples. For each model the full
sampling scheme (7 samples) and the reduced protocol were considered as two
correlated tests, the biological principle being identical and the reduced
protocol being a subset of the full sampling scheme. As the observed apparent
prevalence in cage flocks was higher than in other systems (barns, outdoor, or
organic) these two sub-populations were considered separately. Bayesian
estimation of posterior medians with 95% probability intervals for true
prevalence in cage flocks were 0.34 (0.29; 0.39) and 0.13 (0.10; 0.18) for
Salmonella spp. and Salmonella Enteritidis+Typhimurium respectively. In
alternative flocks posterior medians with 95% probability intervals for true
prevalence were 0.09 (0.06; 0.13) and 0.05 (0.03; 0.08) for Salmonella spp. and
Salmonella Enteritidis+Typhimurium, respectively. In cage flocks Bayesian
estimation of posterior distributions for sensitivity indicated that at least 5
samples, including 2 dust samples were necessary to attain comparable sensitivity
levels to the full sampling scheme. In alternative flocks and for Salmonella spp.
6 samples were required to ensure a comparable sensitivity level to the full
sampling scheme. Detection sensitivity was improved by increasing the number of
dust samples in cage farms and by increasing the total number of samples whatever
their type in alternative farms.
PMID- 18055046
TI - Free-roaming dogs and cats in central Italy: public perceptions of the problem.
AB - A cross-sectional telephone survey of randomly selected households examined the
extent and types of problems associated with free-roaming dogs and cats in the
Teramo province of Italy. The households were sampled randomly within each
municipality; municipalities were combined into coastal, central hills and
mountain regions for analysis. The survey was conducted in May and June of 2004
with a response rate of 74% (397/536). Ninety percent of respondents (N=356)
believed that free-roaming dogs and cats were a problem. They were most commonly
concerned about personal safety, followed by animal welfare, public health and
environmental sanitation. Sixty-nine percent of respondents (274) actually saw
free-roaming dogs or cats where they live. While dogs were most commonly seen,
cats were seen in greater numbers. Overall, 10% (39/297) and 5% (21/397) of
respondents cared for free-roaming cats and dogs, respectively. Two-thirds of the
respondents (251/397) believed that animals were abandoned because the owners
lost interest. About 2/3 of respondents (251/397) reported that the community
government should have the responsibility for dealing with free-roaming dogs and
cats. The respondents supported the idea of building more shelters and
controlling the birth rate as control measures rather than euthanizing dogs and
cats. The results suggest that free-roaming dogs and cats are a very common sight
in this part of Italy with substantial concerns by the residents. However,
concerns about the animals' welfare were clearly raised, supporting the laws that
make it illegal to euthanize a healthy dog or cat in Italy. Using the information
from this study, research on the underlying causes of abandonment of dogs and
cats or failing to sterilize them should be undertaken to begin to address this
problem. Further, national and regional funding must be provided to support
existing laws which should help protect and eventually decrease the numbers of
free-roaming and homeless dogs and cats.
PMID- 18055047
TI - Rapid lab-on-a-chip profiling of human gut bacteria.
AB - The human gut microbiota has a substantial impact on human health. Different
factors such as disease, diet and drug use can have significant impacts on the
gut microbiota. Therefore, it is of interest to have simple, rapid methods for
analysis of the composition of the gut microbiota for clinical diagnostic
purposes. Since only a minor fraction of the gastrointestinal bacterial community
is presently possible to cultivate, molecular approaches are currently the best
suited to investigate its composition. However, most of these molecular
approaches require technical expertise and expensive equipment to run and they
are not routinely available. Ideally, the analyses should be point-of-care
options that can be run on a chip. In this study, an existing lab-on-chip (LOC)
system for sizing/quantifying DNA was combined with length heterogeneity PCR (LH
PCR), a PCR-based profiling method targeting bacterial 16S rRNA gene sequences,
to develop a fast, straightforward, reproducible, and economical method for
profiling bacterial communities. The LOC LH-PCR method was first evaluated using
a standardized gut cocktail containing genomic DNA from eight different bacterial
species representing different genera of relevance for human health. The method
was also tested on DNA that was directly extracted from human faecal samples and
it was consistently capable of detecting alterations in the bacterial samples
before and after antibiotic treatment. Although the resolution of the method
needs improvement, this study represents the first step towards development of a
diagnostic LOC for profiling gut bacterial communities.
PMID- 18055048
TI - Identification of molecules preferentially expressed beneath the marginal zone in
the developing cerebral cortex.
AB - During cerebral cortical development, the majority of excitatory neurons are born
near the ventricle and migrate radially toward the marginal zone (MZ). Since the
cells invariably stop migrating beneath the MZ, neurons are aligned in an "inside
out" manner in the cortical plate (CP); that is, the early-born and late-born
neurons are ultimately positioned in the deep and superficial layers,
respectively. Since dramatic morphological changes occur in cells beneath the MZ,
several events critical for proper neuronal maturation and layer formation must
take place. In this study, we screened for molecules strongly expressed beneath
the MZ, and identified 28 genes that are preferentially expressed in the upper
half of the mouse CP on both embryonic day (E) 16.5 and E18.5. Expression
analyses in reeler and yotari mice, in which neurons terminate migration
throughout the CP, suggested that these genes were indeed related to the events
beneath the MZ rather than unrelatedly induced by the structures near the brain
surface. Pathway analyses suggested calcium signaling to have an important role
in cells beneath the MZ. The gene list presented here will be useful for
clarifying the molecular mechanisms that control cortical development.
PMID- 18055050
TI - Effects of sub-lethal concentrations of hexanal and 2-(E)-hexenal on membrane
fatty acid composition and volatile compounds of Listeria monocytogenes,
Staphylococcus aureus, Salmonella enteritidis and Escherichia coli.
AB - In recent years, the interest in the possible use of natural alternatives to food
additives to prevent bacterial and fungal growth has notably increased. Plants
and plant products can represent a source of natural alternatives to improve the
shelf-life and the safety of food. Some of these compounds, i.e. hexanal,
hexanol, 2-(E)-hexenal and 3-(Z)-hexenol, produced throughout the lipoxygenase
pathway have important roles in plant defence with a protective action towards
microbial proliferation in wounded areas. Otherwise, hexanal and 2-(E)-hexenal
have evidenced a marked antimicrobial activity against food spoilage and
pathogenic microbial species both in model and real systems. The precise
mechanisms of action of all these antimicrobial compounds are not yet clear.
Because the usage of these compounds as antimicrobials in foods has to be
supported by the comprehension of mechanisms of action of these compounds, the
overall purpose of this work was to study the modifications of the cell membrane
and volatile compounds of Listeria monocytogenes, Staphylococcus aureus,
Salmonella enteritidis and Escherichia coli during the growth in the presence of
sub-lethal doses of these two aldehydes. The results obtained evidenced that the
tested molecules induced noticeable modifications of the composition of cell
membrane and the volatile compounds produced during the growth. Although specific
differences in relation to the species considered were identified, 2-(E)-hexenal
and hexanal induced a marked increase of some membrane associated fatty acids,
both linear and branched fatty acids as well as unsaturated fatty acids, and
released free fatty acids.
PMID- 18055049
TI - Effect of oral administration of heat-killed Lactobacillus brevis SBC8803 on
total and ovalbumin-specific immunoglobulin E production through the improvement
of Th1/Th2 balance.
AB - We examined the effect of 59 strains of heat-killed Lactobacillus brevis on
interleukin (IL)-12 and interferon (IFN)-gamma production from mouse Peyer's
patch (PP) cells. L. brevis has a great variety of strains that induce the
production of these cytokines. Some L. brevis strains, which were selected for
their ability to induce a strong Th1 immune response, inhibited both total
immunoglobulin E (IgE) and antigen specific IgE production, and improved the
Th1/Th2 balance by enhancing IL-12 and IFN-gamma and inhibiting IL-4 production
from ovalbumin (OVA)-sensitized mouse splenocytes. Based on the results of this
screening, we selected L. brevis SBC8803 as a potent inhibitor of IgE production,
and investigated the effect of oral administration of heat-killed SBC8803 on IgE
production in OVA-sensitized mice. OVA-sensitized mice were fed SBC8803 0%
(control), 0.05%, or 0.5% added diet for 4 weeks during the period of the
experiment. Total and OVA-specific IgE in the serum of mice, which were fed the
0.5% added diet, was significantly lower than that of the control diet fed mice.
The IFN-gamma/IL-4 value, which represents the Th1/Th2 balance, from the 0.5%
added diet fed mice splenocytes was also significantly higher than that of the
control diet fed mouse splenocytes. Histamine release from OVA-sensitized mice
into sera that were induced by the intraperitoneal antigen challenge decreased
following the oral administration of SBC8803. The inhibition of IgE production
and histamine secretion by the oral administration of heat-killed SBC8803 was
probably due to the improvement of the Th1/Th2 balance toward Th1 dominance.
PMID- 18055051
TI - Behaviour of Saccharomyces cerevisiae wine strains during adaptation to
unfavourable conditions of fermentation on synthetic medium: cell lipid
composition, membrane integrity, viability and fermentative activity.
AB - During must fermentation wine strains are exposed to a variety of biotic and
abiotic stresses which, when prevailing over the cellular defence systems, can
affect cell viability with negative consequences on the progression of the
fermentative process. To investigate the ability of wine strains to survive and
adapt to unfavourable conditions of fermentation, the lipid composition, membrane
integrity, cell viability and fermentative activity of three strains of
Saccharomyces cerevisiae were analysed during hypoxic growth in a sugar-rich
medium lacking lipid nutrients. These are stressful conditions, not unusual
during must fermentation, which, by affecting lipid biosynthesis may exert a
negative effect on yeast viability. The results obtained showed that the three
strains were able to modulate cell lipid composition during fermentation.
However, only two of them, which showed highest viability and membrane integrity
at the end of the fermentation process, reached a fatty acid composition which
seemed to be optimal for a successful adaptation. In particular, C16/TFA and
UFA/TFA ratios, more than total lipid and ergosterol contents, seem to be
involved in yeast adaptation.
PMID- 18055052
TI - Evaluation of standard and new chromogenic selective plating media for isolation
and identification of Bacillus cereus.
AB - In this study, the performance of two new chromogenic plating media (CBC and BCM)
was compared with two standard selective plating media (PEMBA and MYP)
recommended by food authorities for isolation, identification and enumeration of
Bacillus cereus. The four media types were challenged with a strain set
comprising 100 B. cereus isolates from different origins and with different
toxigenic potentials (40 food isolates, 40 isolates from food borne outbreaks and
20 clinical isolates). Additionally, the performance of the plating media for
analysis of complex samples was assessed using naturally contaminated foods. Our
survey showed that the new chromogenic media represent a good alternative to the
conventional standard media. Especially, if laboratory staff are not highly
trained in identification of B. cereus, the conventional media could lead to
substantial misidentification and underestimation of food borne illness caused by
B. cereus. However, there are some B. cereus strains that could not even be
detected with this new type of chromogenic media. After the fatal
misidentification of a highly toxic strain, other methods for a conclusive
identification of B. cereus are needed. Sequence analysis of the plcR gene, a
pleiotropic regulator of various virulence factors and B. cereus specific
enzymes, revealed a significant correlation between atypical colony appearance
and specific variances within the plcR gene sequences of those strains. The
current concept of selective plating media, utilising PlcR regulated enzyme
activities for differentiation purposes, should therefore be reconsidered and
research should be geared towards culture independent methods.
PMID- 18055053
TI - Selection and screening for enzymes of nitrile metabolism.
AB - This work critically reviews the assays of nitrile-converting and nitrile-forming
enzymes (nitrilases, nitrile hydratases, amidases, aldoxime dehydratases). Most
of the strains producing such enzymes were obtained by selection on media with
nitriles, amides or aldoximes as nitrogen sources. Activity and
enantioselectivity of the enzymes was usually assayed by time-consuming
chromatographic analysis of substrates and the corresponding reaction products.
Attempts at introducing faster assays resulted in several spectrophotometric
methods for reaction product (ammonia, hydroxamate, methacrylamide, benzamide,
etc.) determination. Recently, new methods for colorimetric and fluorimetric
determination of ammonia have been developed, which appear promising for high
throughput assays. Alternatively, methods consisting in determination of NADH
consumed in a coupled amination reaction or pH-responsive methods are promising
for this purpose. All the above selection and screening methods establish
fundamental conditions for the design of hierarchical screening projects.
However, the potential of these principles, in particular spectrophotometric and
fluorimetric methods, will be probably further exploited and adapted to multiwell
plate and robotic systems.
PMID- 18055054
TI - A yeast strain biosensor to detect cell wall-perturbing agents.
AB - The cell wall is an essential, unique and highly conserved structure in fungi,
thus representing an ideal set of targets for antifungal drugs. In the model
yeast S. cerevisiae, the Pkc1-mediated cell integrity signalling pathway is
essential for maintenance of the cell wall. Adaptation to cell wall stress
involves the transcriptional activation of genes functionally relevant for cell
wall remodelling. One of these activated genes, namely MLP1/YKL161c, is an ideal
indicator of cell wall perturbations, Mlp1p, being almost undetectable under
normal growth conditions, accumulated in large amounts when cell wall integrity
was compromised. We have developed a reporter system based on the expression of
the nourseothricin resistance gene under the control of the regulatory sequences
of MLP1. Yeast cells transformed with this reporter construct, subjected to a
cell wall stress, by chemical agents present in the culture medium, attained a
high level of nourseothricin-resistance with respect to non-stressed cells, as a
consequence of increased MLP1 expression. A genetically modified S. cerevisiae
strain (AT-1) including the reporter system integrated into the native MLP1
chromosomal locus was also developed. This strain was tested against several
compounds, grouping different mechanisms of yeast growth inhibition, responding
specifically to cell wall-perturbing agents. Our results demonstrate the
usefulness and feasibility of the AT-1 strain as a biosensor to perform high
throughput antifungal screenings for the identification of antifungal compounds
active on the cell wall.
PMID- 18055055
TI - Cloning of complete genes for novel hydrolytic enzymes from Antarctic sea water
bacteria by use of an improved genome walking technique.
AB - The increased demand for enzymes with new properties makes indispensable the
development of easy and rapid strategies to obtain complete genes of new enzymes.
Here a strategy is described which includes screening by PCR of new subtilases
mediated by Consensus-Degenerate Hybrid Oligonucleotide Primers (CODEHOP) and an
improved genome walking method to obtain the complete sequence of the identified
genes. Existing methods of genome walking have many limitations, which make them
inefficient and time consuming. We have developed an improved genome walking
method with novel advances to get a simple, rapid and more efficient procedure
based on cassette-ligation. Improvements consist basically in the possibility of
a genomic DNA digestion with any restriction enzyme, blunting and 3' adenylation
of digested DNA by Taq DNA polymerase to avoid self-circularization, followed by
TA ligation of the adenine 3' overhanging end to the same unphosphorylated oligo
cassette. The efficiency of the genome walking method was demonstrated by finding
the unknown ends of all gene fragments tested, previously obtained by CODEHOP
mediated PCR, including three subtilases (P4, P6 and P7), one xylanase and one
lipase, from different strains of Antarctic marine bacteria.
PMID- 18055056
TI - Brain targeting using novel lipid nanovectors.
AB - The present study shows the potential of novel nanovectors for the delivery of
lipophilic radionuclides and therapeutic molecules to the brain. Lipid
nanocapsules (LNC) inhibiting the P-gp pump efflux, were conjugated to OX26
monoclonal antibodies (OX26 MAb) and Fab' fragments. The OX26 MAb is directed
against the transferrin receptor (TfR) highly expressed on the cerebral
endothelium. The specific association of immunonanocapsules to rat brain
capillary endothelial cells was demonstrated. Biodistribution of
immunonanocapsules, labeled with a (188)Re lipophilic complex, was determined in
healthy rats. At 24 h post-injection, the brain concentrations of Fab'
immunonanocapsules and OX26-immunonanocapsules were, respectively, 1.5 and 2-fold
higher than non-targeted nanocapsules. In addition, Fab' fragments helped prolong
the vascular residence time of the nanovectors but their affinity to TfR was
lower than whole antibodies. The ability of immunonanocapsules to specifically
target cerebral tissues in addition to the promising features of LNC is of
importance to the field of nanomedicine.
PMID- 18055057
TI - Efficient delivery of siRNA using dendritic poly(L-lysine) for loss-of-function
analysis.
AB - RNA interference (RNAi) is a valuable tool for the validation of gene
identification and functional genomics. Previously, it was reported that 6th
generation dendritic poly(L-lysine) (KG6) transfected DNA into several cultivated
cell lines with high efficiency and without any cytotoxic effects. In this study,
the potential of KG6 to be an efficient siRNA carrier is investigated. KG6 showed
effective knockdown of GAPDH with low cytotoxicity in combination with the weak
base amphiphilic peptide, Endo-Porter. In addition, the knockdown of PEPCK, which
is the rate-limiting enzyme for gluconeogenesis, led to a reduction in glucose
production in rat hepatoma H4IIEC3 cells. Knockdown of organic cation transporter
1 (OCT1), which is thought to be the gene that influences metformin action, was
shown to successfully diminish the ability of metformin to inhibit
gluconeogenesis in H4IIEC3 cells. In conclusion, using a combination of KG6 and
Endo-Porter, a model system in which genes that influence metformin action can be
identified was successfully constructed.
PMID- 18055058
TI - Personality characteristics in adolescence predict long-term changes in body
fatness.
AB - Five personality characteristics were assessed in 312 adolescent boys and girls,
and investigated in relation to the change in body fat percentage over 22 years
of follow up. Boys with low levels of Social Inadequacy and girls with high
levels of Recalcitrance showed relatively large gains in body fat percentage.
PMID- 18055059
TI - Incidence and trends of childhood Type 1 diabetes in Croatia from 1995 to 2003.
AB - AIMS/HYPOTHESIS: The aim of this study was to examine incidence and trends of
Type 1 diabetes in children aged 0-14 years in Croatia from 1995 to 2003.
METHODS: The incidence data were obtained from two sources. The incidence was
calculated as the number of newly diagnosed Type 1 diabetes patients per 100,000
person-years for the age group 0-14 years, and subgroups 0-4, 5-9, and 10-14
years. Standardized incidence was calculated using the method of direct
standardization to the world standard population for the age group 0-14 years.
The ascertainment was estimated with capture-recapture method. Trends in the
incidence of Type 1 diabetes for period from 1995 to 2003 in Croatia were
analyzed using Poisson regression model. RESULTS: The standardized incidence of
Type 1 diabetes for the whole age group was 8.87 per 100,000 person-years (95%
CI: 5.07-12.68), for girls 8.47 (95% CI: 7.54-9.41) and for boys 9.26 (95% CI:
8.30-10.21). During the studied period, the trend in incidence raised
significantly for the whole age group (chi(2)=32.6, p<0.001). The average annual
increase in incidence was 9% (95% CI: 5.8-12.2). CONCLUSIONS/INTERPRETATION: This
rate of incidence places Croatia in a group of countries with moderate risk for
development of Type 1 diabetes. The average annual increase in incidence of 9% is
markedly higher than in most European countries, and probably reflects lifestyle
changes upon economic recovery of the country.
PMID- 18055060
TI - Drug delivery applications of supercritical fluid technology. Preface.
PMID- 18055061
TI - Prognostic markers for stage I non-small cell lung cancer.
PMID- 18055062
TI - Peripheral lung carcinoma: correlation of angiogenesis and first-pass perfusion
parameters of 64-detector row CT.
AB - PURPOSE: To investigate the value of 64-detector row CT first-pass perfusion
imaging in the evaluation of tumor perfusion in patients with lung carcinoma, and
to assess the correlation between the perfusion parameters and tumor
angiogenesis. MATERIALS AND METHODS: Forty-six surgically peripheral lung
carcinomas were examined with 64-detector row CT. First-pass CT perfusion study
comprised of 12 repeated spiral acquisitions over 60s following a 50-ml
intravenous bolus of contrast medium at 6-7 ml/s. Tumor specimens were assessed
for microvessel density (MVD). Perfusion, peak enhancement intensity (PEI), time
to peak (TTP), and blood volume (BV) and MVD of the tumor were compared by means
of one-way ANOVA analysis of variance among histological type, size, metastasis
and necrosis. Pearson correlation coefficients were conducted to represent the
relationships between the perfusion parameters and MVD of the tumor. RESULTS:
Mean values for perfusion, PEI, TTP, and BV of the 46 tumors were 70.3+/-39.4
ml/min/ml, 67.0+/-37.6 HU, 36.9+/-11.2s, and 34.9+/-17.9 ml/100g, respectively.
No statistically significant differences in perfusion parameters were found among
different histological types (p>0.05). Considerable differences with higher
perfusion, PEI and BV were noted in tumor < or = 3.0 cm than in tumor>3.0 cm
(p<0.05). No statistically significant differences were found between nodule
metastasis positive and negative groups (p>0.05). The necrotic tumors showed
significantly lower perfusion, PEI and BV compared with non-necrotic tumors
(p<0.05). Perfusion, PEI, and BV of the necrotic part manifested significantly
lower, but TTP longer, than those of non-necrotic part of the necrotic tumors
(p<0.05). Perfusion, PEI and BV were positively correlated with extent of MVD
(r=0.715, 0.681, 0.762, respectively, all p<0.001), whereas no significant
correlation was found between TTP and MVD (r=-0.154, p>0.05). CONCLUSION: 64
detector row CT first-pass perfusion imaging is a valuable noninvasive method in
evaluating tumor perfusion of peripheral lung carcinoma. CT perfusion parameters
can be indicators for evaluating tumor necrosis and angiogenesis.
PMID- 18055063
TI - Diagnosis of peripheral pulmonary lesions using a bronchoscope insertion guidance
system combined with endobronchial ultrasonography with a guide sheath.
AB - We developed a bronchoscope insertion guidance system that produces virtual
images by extracting the bronchi by automatic threshold adjustment, and searching
for the bronchial route to the determined target. We used this system in
combination with a thin bronchoscope and endobronchial ultrasonography with a
guide sheath (EBUS-GS), and evaluated its practicability, usefulness and safety.
The subjects were 31 patients with 32 peripheral pulmonary lesions. Computed
tomography (CT) data were transferred into this system, and virtual bronchial
images were automatically produced by setting the lesion as the target. While
virtual images with the target were displayed for comparison with real images by
the system, a thin bronchoscope was advanced to the target bronchus.
Transbronchial biopsy (TBB) was then performed by EBUS-GS. The system
automatically produced virtual images to a median of fifth- (third- to seventh-)
order bronchi. In all patients, the thin bronchoscope could be guided along the
planned route, and observation to a median of fifth- (third- to seventh-) order
bronchi was possible. Thirty lesions (93.8%) were successfully visualized by
EBUS, and 27 (84.4%) could be pathologically diagnosed. In lesions < or =30mm in
size, the EBUS visualization yield was 91.7% (22/24), and the diagnostic yield
was 79.2% (19/24). The median total examination time was 22.3 (9.8-41.5) min. In
summary, using the bronchoscope insertion guidance system, virtual images can be
readily produced, and the bronchoscope can be successfully guided to the target.
This method is promising as a routine examination method in the biopsy of
peripheral pulmonary lesions.
PMID- 18055064
TI - Endoscope drying/storage cabinet: interest and efficacy.
AB - Inadequate drying of endoscope channels is a possible cause of microbial
proliferation during storage. This risk could be reduced by any procedure or
process used to dry endoscope channels and control storage conditions. The
efficacy of a drying and storage cabinet (Hysis Medical) was tested on three
different endoscopes: a colonoscope (Olympus); duodenoscope (Fujinon) and an
enteroscope (Pentax), all of which had been artificially contaminated with a
suspension of Pseudomonas aeruginosa CIP 103467. Changes to the residual internal
contamination level of these endoscopes when stored inside or outside the drying
cabinet for 12, 24, 48 or 72 h were compared. When stored in the drying and
storage cabinet, microbial contamination levels on endoscopes were lower than the
number of bacteria initially introduced and could decrease considerably
thereafter. For endoscopes stored outside the drying storage cabinet, microbial
numbers were stable or even increased. These data demonstrate the advantages of
such endoscope drying/storage cabinets that limit the risk of bacterial
proliferation in the internal channels of endoscopes during storage, and which
ensure that the disinfection level reached at the end of the reprocessing
procedure is maintained.
PMID- 18055065
TI - Financial consequences of hospital-acquired bacteraemia in three Belgian
hospitals in 2003 and 2004.
AB - The financial and human costs of hospital-acquired infections are increasingly
recognised in many healthcare systems. This study seeks to quantify excess
expenditures on hospital-acquired bacteraemia (HAB) in three Belgian general
hospitals in 2003 and 2004. Patients with HAB were compared with patients in the
same All Patient Refined Diagnosis Related Groups (APR-DRGs) without HAB. Patient
level costs were estimated using a hospital costing system developed by the
'Universite Libre de Bruxelles', and compared with DRG-based funding for the
three hospitals. HAB incidence was consistent with the national rate for two of
the three hospitals, but considerably higher for the third. Both severity of
illness and mortality were higher in the HAB group. Nosocomial bacteraemia was
associated with an increased length of stay of 30 days and of 6.1 days in
intensive care units. When compared with uninfected patients in the same DRG,
treatment of HAB patients cost an additional euro 16,709. At current funding
rates, hospitals made a mean profit of euro 446 for uninfected patients, but a
mean loss of euro 2,431 for patients with HAB. Our findings suggest that
hospitals have a financial interest in reducing the rate of HAB, even in a system
which funds such complications through severity adjustments in the APR-DRG
system. Growing international interest in pay for performance and other funding
schemes will only strengthen these financial incentives.
PMID- 18055066
TI - Pulmonary peptidergic innervation remodeling and development of airway
hyperresponsiveness induced by RSV persistent infection.
AB - Respiratory syncytial virus (RSV) infection causes bronchiolitis in infants and
children, which is an important risk factor for the development of chronic
asthma. To probe the underlying mechanisms that RSV infection increases the
susceptibility of asthma, this present study was designed to establish a RSV
persistent infection animal model by cyclophosphamide (CYP) pretreatment that
more closely mimic human RSV infection. CYP is an immunosuppressant, which
induced deficiency in cellular and humoral immunity. Pulmonary RSV titers, airway
function and peptidergic innervation were measured on 7d, 28 d, 42 d and 60 d
postinfection. The results showed that during RSV persistent infection, the lungs
of RSV-inoculated animals pretreated with CYP showed higher RSV titers and
exhibited obvious chronic inflammation. The results also showed that protein gene
product 9.5 (PGP9.5), substance P (SP) and calcitonin gene-related peptide (CGRP)
immunoreactive fibers increased and vasoactive intestinal peptide (VIP)
immunoreactive fibers decreased during RSV persistent infection. These results
demonstrate that RSV persistent infection induces significant alterations in the
peptidergic innervation in the airways, which may be associated with the
development of altered airway function.
PMID- 18055067
TI - Neurosteroid modulation of GABAA receptors: molecular determinants and
significance in health and disease.
AB - Over the past 20 years it has become apparent that certain steroids, synthesised
de novo in the brain, hence named neurosteroids, produce immediate changes
(within seconds) in neuronal excitability, a time scale that precludes a genomic
locus of action. Identified molecular targets underlying modulation of brain
excitability include both the inhibitory GABA(A) and the excitatory NMDA
receptor. Of particular interest is the interaction of certain neurosteroids with
the GABA(A) receptor, the major inhibitory receptor in mammalian brain. During
the last decade, compelling evidence has accrued to reveal that locally produced
neurosteroids may selectively "fine tune" neuronal inhibition. A range of
molecular mechanisms including the subunit composition of the receptor(s),
phosphorylation and local steroid metabolism, underpin the region- and neuronal
selectivity of action of neurosteroids at synaptic and extrasynaptic GABA(A)
receptors. The relative contribution played by each of these mechanisms in a
variety of physiological and pathophysiological scenarios is currently being
scrutinised at a cellular and molecular level. However, it is not known how such
mechanisms may act in concert to influence behavioural profiles in health and
disease. An important question concerns the identification of the anatomical
substrates mediating the repertoire of behaviours produced by neurosteroids.
"Knock-in" mice expressing mutant GABA(A) subunits engineered to be insensitive
to benzodiazepines or general anaesthetics have proved invaluable in evaluating
the role of GABA(A) receptor subtypes in complex behaviours such as sedation,
cognition and anxiety [Rudolph, U., Mohler, H., 2006. GABA-based therapeutic
approaches: GABA(A) receptor subtype functions. Curr. Opin. Pharmacol. 6, 18-23].
However, the development of a similar approach for neurosteroids has been
hampered by the limited knowledge that, until recently, has surrounded the
identity of the amino acid residues contributing to the neurosteroid binding
pocket. Here, we will review recent progress in identifying the neurosteroid
binding site on the GABA(A) receptor, and discuss how these discoveries will
impact on our understanding of the role of neurosteroids in health and disease.
PMID- 18055068
TI - Antitumor studies -- part 2: structure-activity relationship study for flavin
analogs including investigations on their in vitro antitumor assay and docking
simulation into protein tyrosine kinase.
AB - Various analogs of flavins, 5-deazaflavins, and flavin-5-oxides were docked into
the binding site of protein tyrosine kinase pp60(c-src), and some of them were
assayed for their potential antitumor and PKC (protein kinase C) inhibitory
activities in vitro. The results considering SAR (structure-activity
relationship) revealed that the higher binding affinities obtained include
compounds with the structure modifications on the flavin or 5-deazaflavin
skeleton, namely, NH(2) or Ph (phenyl-) group at the C-2 position and so on.
Computationally designed compounds 4a, 6a, b, 7, 11b, c, 12, 15, and 22c
exhibited good docking results suggesting that they are potentially active
antitumor agents. These compounds have 1-3 phenyl moieties, which are thought to
be responsible for the planar aromatic fitting or electrostatic attraction onto
the groove of the binding pocket.
PMID- 18055069
TI - Should nurse academics engage in clinical practice?
AB - The education of nurses has traditionally been conducted in the hospital based
setting. This changed over the last few decades, with nursing education now being
a tertiary based course in many countries. There were numerous reasons for this
move, the main goal being to improve the educational experience of students and
thus the competence of graduates. Nurse academics whose role is to educate
students are faced with the challenge of ensuring their teaching reflects the
contemporary nursing environment. One way of doing this is by actively engaging
in clinical practice. However there are arguments for and against (as well as
barriers to) them doing so and little empirical evidence to support either
argument. Individually, nurse academics must make a decision about whether
engaging in clinical practice is beneficial to their career and the students they
teach.
PMID- 18055070
TI - Evaluation of protective immunity of Leptospira immunoglobulin like protein A
(LigA) DNA vaccine against challenge in hamsters.
AB - We demonstrated earlier that immunization with recombinant Leptospira
immunoglobulin like protein A (LigA) induced significant protection against
virulent Leptospira interrogans serovar Pomona challenge in hamsters. However,
the protective immune mechanism remains unclear. In the present study we
demonstrated the protective efficacy of a LigA DNA vaccine and evaluated the
immune mechanism underlying the protection against leptospirosis in hamsters. The
LigA DNA vaccine was constructed in two truncated forms as the conserved portion
(LigAcon) and a variable portion (LigAvar). Four-week-old hamsters were immunized
three times at two-week intervals with vector alone or an equal amount of a
recombinant construct containing either LigAcon or LigAvar. All animals were
challenged intraperitoneally 2 weeks after the last immunization with a dose
(LD50=10(8)) of virulent L. interrogans serovar Pomona. Prior to challenge, four
animals were sacrificed, the spleen was removed aseptically, and splenocytes were
assayed for lymphocyte proliferation and cytokine profiles in response to recall
antigen. The protective efficacy was evaluated on the basis of survival and
histopathological lesions in the kidney. The immuno-protective mechanism was
assessed on the basis of Th1/Th2 profile of cytokines in immunized animals. Our
results indicate that immunization with LigA DNA vaccine provides significant
protection against leptospirosis. We suggest that immuno-protection is conferred
by both humoral and cellular immunity as revealed by an increase in antibody
titers during subsequent boosters, significant proliferation of lymphocytes and
enhancement of both Th1 and Th2 cytokines. Taken together, the present study
suggests that a LigA DNA vaccine is a promising candidate for prevention of
leptospirosis.
PMID- 18055071
TI - Safety and immunogenicity of a live-attenuated auxotrophic candidate vaccine
against the intracellular pathogen Rhodococcus equi.
AB - Rhodococcus equi causes serious pneumonia in neonatal foals and is an
opportunistic pathogen of people with compromised cellular immunity. No effective
vaccine against R. equi disease in foals is available. We tested the safety and
immunogenicity of a live, fully attenuated riboflavin auxotrophic candidate
vaccine strain of R. equi (R. equi rib-). We demonstrated that R. equi rib- is
immunogenic and capable of inducing IFN-gamma responses in immunocompetent BALB/c
mice, yet it is safe even in an immunocompromised SCID mouse infection model.
Moreover, it protects immunocompetent mice against virulent R. equi challenge. In
foals, R. equi rib- was likewise safe and stimulated serum R. equi-specific
immune responses. A preliminary immunization strategy did not afford protection
against virulent R. equi challenge and therefore, optimization of the vaccine
formulation and or vaccination protocol will be necessary.
PMID- 18055072
TI - Safety and immunogenicity of cytotoxic T-lymphocyte poly-epitope, DNA plasmid (EP
HIV-1090) vaccine in healthy, human immunodeficiency virus type 1 (HIV-1)
uninfected adults.
AB - We evaluated EP HIV-1090 vaccine, a DNA plasmid encoding 21 cytotoxic T
lymphocyte (CTL) epitopes of human immunodeficiency virus type 1 (HIV-1) and the
pan-DR helper T-lymphocyte epitope (PADRE), in a dose escalation, randomized,
double-blinded, placebo-controlled Phase 1 trial. Vaccine, at 0.5, 2.0, or 4.0mg
doses, or placebo was injected four times over 6 months. Forty-two healthy, HIV-1
uninfected adults were enrolled. Using an interferon-gamma ELISPOT assay, a
response to PADRE was detected in one vaccine recipient. Three vaccine recipients
raised anti-HIV-1 CD8+ CTL measured by chromium-release assay. The vaccine was
safe and well-tolerated, but only weakly immunogenic.
PMID- 18055073
TI - Evidence for enhanced central memory priming by live Mycobacterium bovis BCG
vaccine in comparison with killed BCG formulations.
AB - Development of cattle vaccines against bovine tuberculosis is a GB research
priority. Recently, it has been shown that formalin-killed Bacille Calmette
Guerin (BCG) delivered with the liposomal adjuvant NAX687 imparted significant
protection against Mycobacterium bovis infection in the guinea pig aerosol
infection model. Extending these studies, we inoculated calves with live BCG,
formalin-killed BCG and formalin-killed BCG formulated in NAX687. Live and killed
BCG vaccine formulations induced primary effector T-cell populations comparably,
both killed BCG formulations also induced potent humoral immune responses. In
contrast, live BCG generated enhanced central memory responses against the
protective antigen Ag85A whilst killed BCG-induced such responses only poorly.
However, the poor capacity of killed BCG to generate central memory could be
partially overcome by formulation with NAX687. Measurement of central memory
responses induced by TB vaccine candidates in cattle may provide a useful
correlate of protection and warrants further investigation in challenge
experiments.
PMID- 18055074
TI - Vectors encoding carcinoembryonic antigen fused to the B subunit of heat-labile
enterotoxin elicit antigen-specific immune responses and antitumor effects.
AB - Vectors encoding CEA fused to the A (CEA-LTA) or B (CEA-LTB) subunits of the heat
labile enterotoxin were constructed and their immunogenicity was compared. The
CEA-LTB fusion was shown to elicit a greater CEA-specific antibody and CD8+ T
cell response. Plasmid DNA and Adenovirus vectors encoding CEA-LTB proved to be
immunogenic in CEA transgenic (CEA.tg) mice. CEA.tg mice immunized with repeated
injections of plasmid pV1J/CEA-LTB followed by Ad/CEA-LTB were protected from
tumor growth, but the adjuvant activity of the LTB protein was lost upon mutation
of the LTB sequence. Depletion of T-regulatory cells increased the vaccine
antitumor effect. Tumor protection was abrogated if the NK or CD8+ cell
population was depleted before tumor challenge. Passive transfer studies
demonstrated that CD8+ T cells contribute to the antitumor effect, thus
suggesting that a genetic vaccine based on plasmid DNA and adenoviral vectors
encoding CEA-LTB augments CEA-specific immune responses and significantly
protects from tumor development.
PMID- 18055075
TI - Cost-effectiveness of quadrivalent human papillomavirus (HPV) vaccination in
Mexico: a transmission dynamic model-based evaluation.
AB - We examined the potential health outcomes and cost-effectiveness of quadrivalent
human papillomavirus (HPV) 6/11/16/18 vaccination strategies in the Mexican
population using a multi-HPV type dynamic transmission model. Assuming similar
cervical screening practices, with or without vaccination, we examined the
incremental cost-effectiveness of vaccination strategies for 12 year-old females,
with or without male vaccination, and temporary age 12-24 catch-up vaccination
for females or both sexes. The most effective strategy therein was vaccination of
12-year-olds, plus a temporary 12-24-year-old catch-up program covering both
sexes; whereby HPV 6/11/16/18-related cervical cancer, high-grade cervical
precancer, and genital wart incidence was reduced by 84-98% during year 50
following vaccine introduction. Incremental cost-effectiveness ratios in the
primary analyses ranged from approximately 3000 dollars (U.S.) per quality
adjusted life year (QALY) gained for female vaccination strategies to
approximately 16000 dollars /QALY for adding male vaccination with catch-up.
PMID- 18055077
TI - Role and influence of mycorrhizal fungi on radiocesium accumulation by plants.
AB - This review summarizes current knowledge on the contribution of mycorrhizal fungi
to radiocesium immobilization and plant accumulation. These root symbionts
develop extended hyphae in soils and readily contribute to the soil-to-plant
transfer of some nutrients. Available data show that ecto-mycorrhizal (ECM) fungi
can accumulate high concentration of radiocesium in their extraradical phase
while radiocesium uptake and accumulation by arbuscular mycorrhizal (AM) fungi is
limited. Yet, both ECM and AM fungi can transport radiocesium to their host
plants, but this transport is low. In addition, mycorrhizal fungi could thus
either store radiocesium in their intraradical phase or limit its root-to-shoot
translocation. The review discusses the impact of soil characteristics, and
fungal and plant transporters on radiocesium uptake and accumulation in plants,
as well as the potential role of mycorrhizal fungi in phytoremediation
strategies.
PMID- 18055078
TI - On seasonal variations of radiocesium speciation in the surface sediments of Lake
Juodis, Lithuania.
AB - Data on radiocesium speciation measured seasonally in black silt surface
sediments in the shallow terrace and in the deepest southern part of Lake Juodis
(Lithuania) in 2004-2005 are presented. It is shown that seasonal variations of
radiocesium exchangeable fractions in the sediments in the shallow terrace are
mainly related to its redistribution due to the vital cycle of green algae
covering bottom surfaces - processes of their growth and decomposition. A
seasonal course of those fractions in surface sediments of the deepest bottom
areas of the lake follows a distinct pattern and is annually recycling. It is
maximum in winter and declines due to stagnant bottom water zone disruption in
summer. It is shown that surface sediments in the deepest bottom areas of the
lake are not a radiocesium source during the formation of the anaerobic zone in
bottom water in winter, and act in that case as its acceptor. The radiocesium
remobilization to the bottom water is seasonal and site-specific. It is suggested
to be due to three main processes: its diffusion from deeper and more active
sediments, its redistribution during decomposition of organics freshly
accumulated in surface sediments and a radiocesium flux induced by the mechanism
of the seasonal anaerobic zone formation in near-bottom water.
PMID- 18055079
TI - Organohalogen pollutants in herring from the northern Baltic Sea: concentrations,
congener profiles and explanatory factors.
AB - Organohalogen contaminants were investigated in Baltic herring caught from three
catchment areas in the Baltic Sea, off the coasts of Finland. Pools of both small
and large herring were analysed for polychlorinated biphenyls (PCB), dibenzo-p
dioxins, dibenzofurans, naphthalenes, camphenes (toxaphene), polybrominated
diphenyl ethers and the pesticide DDT and its metabolites. PCB concentrations per
fresh weight in small herring were at the same level in all catchment areas, i.e.
the Bothnian Bay, the Bothnian Sea and the Gulf of Finland, revealing no hot
spots and reflecting most likely long term emissions and atmospheric deposition.
Differences in the levels and/or congener profiles of other contaminants between
catchment areas may be explained by point sources. Similar concentrations in
small and large herring in the Gulf of Finland were possibly due to their common
nutrition. In the other areas, differences between small and large herring most
likely reflected their different food sources.
PMID- 18055080
TI - The relative efficacy of bona fide psychotherapies for treating post-traumatic
stress disorder: a meta-analysis of direct comparisons.
AB - Psychotherapy has been found to be an effective treatment of post-traumatic
stress disorder (PTSD), but meta-analyses have yielded inconsistent results on
relative efficacy of psychotherapies in the treatment of PTSD. The present meta
analysis controlled for potential confounds in previous PTSD meta-analyses by
including only bona fide psychotherapies, avoiding categorization of
psychotherapy treatments, and using direct comparison studies only. The primary
analysis revealed that effect sizes were homogenously distributed around zero for
measures of PTSD symptomology, and for all measures of psychological functioning,
indicating that there were no differences between psychotherapies. Additionally,
the upper bound of the true effect size between PTSD psychotherapies was quite
small. The results suggest that despite strong evidence of psychotherapy
efficaciousness vis-a-vis no treatment or common factor controls, bona fide
psychotherapies produce equivalent benefits for patients with PTSD.
PMID- 18055081
TI - The origins of public concern with taconite and human health: Reserve Mining and
the asbestos case.
AB - Asbestos first became an issue to Minnesota's iron industry when it was revealed
that mineral fibers similar to those in Reserve Mining's tailings were being
found in drinking water for several communities that used Lake Superior as their
primary water source. This discovery turned what had largely been an
environmental court battle into a case concerning public health. The courts
listened to much conflicting and uncertain scientific testimony on the size and
distribution of the mineral fibers and on the potential health effects imposed by
them. In April 1974, the plant was ordered to shut down by a federal judge but
the company quickly appealed the decision. The appeals court granted a stay and
ultimately ruled that the plant's closure could not be justified based on the
unknown health effects of the mineral fibers since the consequences of such an
action would have immediate and severe social and economic impacts. The plant was
allowed to continue operation, but ordered to abate emissions to air around the
plant and to switch to a land-based tailings disposal system. Much of the
scientific uncertainty and public concern over mineral fibers in Minnesota's
taconite industry remain today.
PMID- 18055082
TI - Protective effects of olanzapine and haloperidol on serum withdrawal-induced
apoptosis in SH-SY5Y cells.
AB - PURPOSE: Recent clinical studies have suggested that treatment with second
generation antipsychotic drugs such as olanzapine may prevent progressive
alterations of brain structure in patients with schizophrenia. However, the
molecular mechanisms underlying these different effects remain to be determined.
We investigated the mechanisms of action of olanzapine and haloperidol, on serum
withdrawal apoptosis in human neuroblastoma SH-SY5Y cells. METHODS: SH-SY5Y cells
were cultured with olanzapine and haloperidol in medium with or without serum. We
determined the effects of the drugs on cell viability against serum withdrawal by
3-[4,5-dimethylthiazol-2-yl]-2,5-diphenyltetrazolium bromide (MTT) assay.
Additionally, to explore the drugs' actions, Western blot was performed to
examine the expression of key genes involved in GSK-3beta-mediated signaling,
notably GSK-3beta, beta-catenin, and Bcl-2. RESULTS: SH-SY5Y cells suffered about
a 38% loss in cell number under serum-free conditions for 48 h. Olanzapine (10
200 muM) up to 100 muM significantly attenuated serum withdrawal-induced cell
loss (p<0.01), and a dose of 100 muM also increased cell viability (p<0.05). In
contrast, haloperidol (0.01-10 muM) did not affect cell viability but exacerbated
cell death at 10 muM under serum-free conditions (p<0.01). Western blot analysis
showed that olanzapine, but not haloperidol, prevented the serum withdrawal
induced decrease in levels of neuroprotective proteins such as p-GSK-3beta, beta
catenin, and Bcl-2 (p<0.01), whereas haloperidol robustly reduced the levels of
these proteins at a 10 muM dose in serum-starved cells (p<0.05). Moreover,
olanzapine alone significantly increased phosphorylation of GSK-3beta under
normal conditions (p<0.05). CONCLUSIONS: This study showed that olanzapine may
have neuroprotective effects, whereas haloperidol was apparently neurotoxic. The
actions of signaling systems associated with GSK-3beta may be key targets for
olanzapine and haloperidol, but their effects are distinct. These differences
suggest different therapeutic effects of first and second generation
antipsychotic drugs in patients with schizophrenia.
PMID- 18055083
TI - Effects of divalproex on disruptive behavior of jail inmates.
PMID- 18055084
TI - Platelet serotonin concentration and suicidal behavior in combat related
posttraumatic stress disorder.
AB - Posttraumatic stress disorder (PTSD) is a serious and global problem, a
psychiatric disorder that frequently occurs with different comorbidities, and is
associated with a high suicide rate. Pathophysiologically, both PTSD and suicidal
behavior are related to disturbances in the central serotonergic system.
Serotonin (5-hydroxytryptamine, 5-HT) controls emotional behavior, anxiety,
impulsivity and aggression, and nearly all known antidepressants and antianxiety
drugs affect 5-HT transmission. Platelet 5-HT can be used as a limited peripheral
marker of the central serotonergic synaptosomes, since it is related to
particular basic psychopathological characteristics of several psychiatric
disorders. Platelet 5-HT concentration has been reported to be similar in PTSD
subjects and healthy controls, but suicidal patients across different psychiatric
diagnoses have reduced platelet 5-HT concentration. This study examined platelet
5-HT concentration by the spectrofluorimetric method in male subjects: 73
suicidal and 47 non-suicidal veterans with current and chronic combat related
PTSD, 45 suicidal and 30 non-suicidal comparative non-PTSD subjects and 147
healthy men. The presence of suicidal behavior (score=0, non-suicidal; scores >
or =1, suicidal) was assessed with the Hamilton Depression Rating Scale-17
(HDRS). Platelet 5-HT concentration was significantly lower in suicidal PTSD and
non-PTSD patients compared to non-suicidal patients or healthy controls. Since
the majority of patients scored very low on item 3 of HDRS, no significant
correlation between suicidal scores and platelet 5-HT concentration was found.
These results show that reduced platelet 5-HT concentration is related to
suicidal behavior in PTSD, and suggest that platelet 5-HT concentration might be
used as a peripheral marker to predict suicidal behavior across psychiatric
diagnoses.
PMID- 18055085
TI - Indications of hepatic and cardiac toxicity caused by subchronic Tricholoma
flavovirens consumption.
AB - The yellow tricholoma (Tricholoma flavovirens or Tricholoma equestre) is a wild
mushroom species that was previously considered edible and tasty. Recently, it
caused several cases of delayed rhabdomyolysis in humans and elevated serum
creatine kinase (CK) activities in laboratory mice (Mus musculus) in a dose
response study. The present study continued to examine the effects of prolonged
T. flavovirens consumption at 12 g freshly frozen mushroom kg(-1)d(-1) on the
plasma clinical chemistry and organ histology of mice. The plasma CK and CK-MB
activities and the plasma bilirubin concentrations were higher in the exposed
mice than in the controls. In addition, pericardial lymphocyte infiltrates were
present in the mice that had consumed the mushroom. The results indicate myo-,
cardio- and hepatotoxic effects of T. flavovirens.
PMID- 18055086
TI - [Radiological evaluation after lipomodelling for correction of breast
conservative treatment sequelae].
AB - Breast lipomodelling has been used in our unit since 2002 to correct the sequelae
of conservative treatment of cancer. Morphologically, satisfactory results have
been recorded and the method is likely to develop considerably. However, the
technique has also been questioned because of the possible deleterious
radiological impact of injecting fat into the breast. The present work
investigated the radiological aspect of conserved breast reconstructed by
lipomodelling in a series of 21 patients undergoing ultrasound examination,
mammography and MRI, before and after the procedure. Benign-looking
microcalcifications were detected on 19% of the mammographies, small (<1cm) oily
cysts and complex cysts were visible on respectively 57 and 19% of ultrasound
images, whereas 47% of the MRI scans indicated cytosteatonecrotic lesions. Even
though multiple events could be observed, their frequency is close to that
observed following other conventional breast surgery. Besides, there is clear
radiological evidence of benignity. The conclusion of the study is that images
obtained after lipomodelling are satisfactory and in no way suggestive of
recurrence of breast cancer. Provided that radiologists and experts are aware of
this pattern, there is no impact on the radiological follow-up of the patients.
PMID- 18055087
TI - [Free-flap reconstruction in the elderly patient].
AB - The objective of the study was to evaluate the safety and the efficacity of
microsurgery in the elderly patient population. Between 2003 and 2005, 10 free
flaps were performed in 10 patients who were aged 70 years or older. One flap
underwent reexploration, for an overall success rate of 100%. Medical
complications in the postoperative period were further evaluated. Effects of
American Society of Anesthesiologists (ASA) status, operation time, and age on
complication rate were evaluated. Only ASA status was significant for the
occurrence of postoperative medical complications; class 3 and 4 patients were at
higher risk than class 1 and 2. Neither operation time nor age was predictive of
postoperative complications. Microvascular free-tissue transfer is a safe and
reliable option in the elderly population. The success rate of free-flaps is not
different from that for other age groups. The rate of postoperative medical
complications was 20%: most complications were in ASA class 3 and 4 patients.
PMID- 18055088
TI - [Solitary subcutaneous hydatid cyst of gluteal area: an unusual localisation. A
case report].
AB - Subcutaneous localization of hydatid cyst is uncommon even in endemic zone.
Symptoms are often discrete. Diagnosis is confirmed by imaging: ultrasonography
and/or magnetic resonance imaging, thus avoiding any untimely gesture. The
treatment is surgery. Authors report a case of unusual subcutaneous localization
of solitary hydatid cystis in the gluteal area.
PMID- 18055089
TI - [Prophylactic mastectomies and immediate breast reconstruction in patients at
very high genetic risk: our experience with 14 cases].
AB - AIMS: Prophylactic mastectomy has been the subject of major publications by
international groups. Its oncology benefit is undisputed in patients with a
genetic mutation. Nevertheless, its impact on quality of life, its psychological,
esthetic, sexual, functional and pain repercussions are such that it should not
and must never be programmed in an emergency situation. We report our experience
with 14 patients at very high genetic risk having undergone a bilateral
prophylactic mastectomy with immediate breast reconstructive surgery. METHODS:
From 1 March 2001 to 31 March 2006, 14 patients at very high genetic risk, seven
of whom had a history of breast cancer, underwent immediate breast reconstruction
with skin sparing mastectomy and preservation of the nipple-areolar complex.
RESULTS: In 71.5% of the cases, we obtained a definitive conservation of the
nipple-areolar complex, with esthetic results judged very satisfying. CONCLUSION:
Immediate bilateral breast reconstruction by provisional or definitive implant
with conservation of the skin flap and the nipple-areolar complex may constitute
a positive radical issue for requesting and motivated patients at high genetic
risk, managed by a multidisciplinary team.
PMID- 18055090
TI - Seroma treatment after dermo-lipectomy.
AB - We can consider the seroma as a rather common complication in abdominal dermo
lipectomy surgery. In our case, the possibility has arisen to successfully reduce
a remarkable accumulation of liquid using a simple but efficient protocol
consisting on cortisone infiltrations.
PMID- 18055092
TI - Synaptosomal GABA uptake decreases in paraoxon-treated rat brain.
AB - A synaptosomal model was used to evaluate in vivo effects of paraoxon on the
uptake of [(3)H]GABA in rat cerebral cortex and hippocampus. Male Wistar rats
were given a single intraperitoneal injection of one of three doses of paraoxon
(0.1, 0.3, or 0.7 mg/kg) and acetylcholinesterase (AChE) activity in the plasma,
cerebral cortex, and hippocampus was measured at 30 min, 4h, and 18 h after
exposure. [(3)H]GABA uptake in synaptosomes was also studied in another series of
animals. Paraoxon administration (0.3 and 0.7 mg/kg) caused significant
inhibition of AChE activity in the plasma and both brain areas at all time
points. 0.1 mg/kg paraoxon significantly inhibited AChE activity but only in the
plasma for 4h, the activity was completely recovered at 18 h. GABA uptake was
significantly (p<0.001) reduced in both cerebral cortex (18-32%) and hippocampal
(16-23%) synaptosomes at all three time points after administering 0.7 mg/kg of
paraoxon, a dose that seems to be sufficient to induce seizure activity. L-DABA,
an inhibitor of neuronal GABA transporter, allowed us to conclude that the uptake
was mediated primarily by neuronal GABA transporter GAT-1. In conclusion, present
data suggests that GABA uptake by synaptosomes decreases probably secondary to
paraoxon-induced seizure activity.
PMID- 18055091
TI - Drug metabolism enzyme expression and activity in primary cultures of human
proximal tubular cells.
AB - We previously catalogued expression and activity of organic anion and cation,
amino acid, and peptide transporters in primary cultures of human proximal
tubular (hPT) cells to establish them as a cellular model to study drug transport
in the human kidney [Lash, L.H., Putt, D.A., Cai, H., 2006. Membrane transport
function in primary cultures of human proximal tubular cells. Toxicology 228, 200
218]. Here, we extend our analysis to drug metabolism enzymes. Expression of 11
cytochrome P450 (CYP) enzymes was determined with specific antibodies. CYP1B1,
CYP3A4, and CYP4A11 were the only CYP enzymes readily detected in total cell
extracts. These same CYP enzymes, as well as CYP3A5 and possibly CYP2D6, were
detected in microsomes from confluent hPT cells, although expression levels
varied among kidney samples. In agreement with Western blot data, only activity
of CYP3A4/5 was detected among the enzyme activities measured. Expression of all
three glutathione S-transferases (GSTs) known to be found in hPT cells, GSTA,
GSTP, and GSTT, was readily detected. Variable expression of three
sulfotransferases (SULTs), SULT1A3, SULT1E, and SULT2A1, and three UDP
glucuronosyltransferases (UGTs), UGT1A1, UGT1A6, and UGT2B7, was also detected.
When examined over the course of cell growth to confluence, expression of all
enzymes was generally maintained at readily measurable levels, although they were
often lower than in fresh tissue. These results indicate that primary cultures of
hPT cells possess significant capacity to metabolize many classes of drugs, and
can be used as an effective model to study drug metabolism.
PMID- 18055093
TI - Reconstitution of immunodeficient SCID/beige mice with human cells: applications
in preclinical studies.
AB - Experimental studies of the in vivo behaviour of human cells and tissues have
become possible with the development of immunodeficient mice strains. Such mice
accept readily allogeneic or xenogeneic grafts, including grafts of human cells
or tissues, without rejection. In this review we describe different
immunodeficient mouse strains that have been used for reconstitution by human
immune cells. We subsequently go through the experience that we and others have
had with reconstitution, and mention the adverse effects, in particular
xenogeneic graft versus host reactions. The use of haematopoietic stem cells
avoids such reactions but the immunological reconstitution may take several
months. We then report the use of immunodeficient mice for the study of chronic
vascular rejection of human mesenteric arteries due to cellular or humoral
alloreaction. We have shown that SCID/beige mice grafted with a human artery at
the place of the aorta developed a thickening of the intima of the human artery
after 5-6 weeks, when they were reconstituted with spleen cells from another
human donor. The thickening is mainly due to a proliferation of smooth muscle
cells. The same type of lesion developed if they received injection of antibodies
towards HLA class I antigens. The arteries of the mouse did not develop any
lesion. The arterial lesions closely resembled those seen after clinical organ
transplantation. Mice that received spleen cells from the same human donor
developed little or no lesions. An important aspect of this experimental
transplantation model is the possibility to test drugs that may be used in
clinical transplantation. In recent experiments we have shown that novel
immunosuppressive drugs can inhibit the hyperproliferation of smooth muscle cells
in vitro. Preclinical testing in reconstituted SCID/beige mice grafted with human
arteries will permit the evaluation of the potential use of these drugs to
prevent chronic vascular rejection. The model also allows pharmacodynamic studies
that give information on the biological impact of different drugs that may be
used in experimental or clinical transplantation.
PMID- 18055094
TI - Financial strain is a significant correlate of sleep continuity disturbances in
late-life.
AB - Although psychological stress has been associated with disturbed sleep in younger
populations, little is known about the stress-sleep relationship in late-life. In
the present study, we evaluated relationships among a chronic stressor, ongoing
financial strain, and sleep in a heterogenous sample (n=75) of community-dwelling
elders (mean age=74.0 years). Self-report measures included ongoing financial
strain, mental health, physical health and subjective sleep quality. Sleep
duration, continuity, and architecture were measured by polysomnography (PSG).
Analysis of variance and regression were used to test the hypothesis that ongoing
financial strain is a significant correlate of disturbed sleep in the elderly.
Covariates included age, sex, mental health and physical health. Analyses
revealed that ongoing financial strain is a significant correlate of PSG-assessed
sleep latency, wakefulness after sleep onset, and sleep efficiency. After
adjusting for the effects of age, sex, mental health, and physical health on
sleep, ongoing financial strain was associated with lower sleep efficiency
(p<.01). Our results show that chronic stress, as measured by ongoing financial
strain, is a significant correlate of sleep disturbances in the elderly, even
after adjusting for factors known to impact sleep in late-life.
PMID- 18055095
TI - Early onset vulvar Lichen Sclerosus in premenopausal women and oral
contraceptives.
AB - OBJECTIVE: For vulvar Lichen sclerosus (LS) immunological factors, genetic
predisposition, and decreased 5 alpha-reductase activity have been discussed as
aetiological factors. During the last decade an increase of LS in young women has
been suspected. Aim of this study was to evaluate data of premenopausal women
with early onset LS to find potential risk factors focussing on the use of oral
contraceptives. STUDY DESIGN: We retrospectively analyzed the data of 40
premenopausal patients with early onset LS regarding use of oral contraceptives
(OCPs), and first occurrence of LS. To compare these data in a case-control study
we analyzed a matched control group of 110 healthy women. RESULTS: All our LS
patients were using OCPs compared to 73 women (66.4%) in the control group. OCPs
with anti-androgenic activity (chlormadinone acetate, cyproterone acetate,
dienogest, and drospirenone) were used by 28 (70%) of the LS patients and by 35
(47.9%) of the 73 women using OCPs in the control group. Thus, the odds ratio for
early onset LS for women using anti-androgenic OCPs was 2.53 (95% CI: 1.12-5.75).
CONCLUSION: Our data suggest that disturbance of the androgen dependent growth of
the vulvar skin by OCPs and especially by OCPs with anti-androgenic properties
might trigger the early onset of LS in a subgroup of susceptible young women.
PMID- 18055096
TI - Electronic and vibrational polarizabilities of the twenty naturally occurring
amino acids.
AB - The geometries, relative energies, gas-phase static and dynamic dipole
polarizabilities of the two most stable neutral forms and of the zwitterionic
form of the twenty naturally occurring amino acids have been obtained by Density
Functional and conventional ab initio Hatree-Fock theories using correlation
consistent basis sets. Mean electronic polarizabilities (s) are
encompassed in the 40-160 a.u. range and are little dependent on the amino acid
framework conformation and structure. The relation between and the
number of electrons in the molecule makes to classify the amino acids as one of
the most polarizable family of compounds. Calculated values of the
neutral forms linearly relate to the molecular volume and molecular hardness as
well as, rather unexpectedly, with the experimental values in water solution,
where amino acids are known to be in a zwitterionic form. Vibrational
polarizabilities amount to 15-45 a.u.. They come essentially from the low
frequency angular deformation modes of the -OH and -NH(2) groups.
PMID- 18055097
TI - Predicting fetal growth restriction with renal volume using 3-D ultrasound:
efficacy evaluation.
AB - Early detection and management of fetal growth restriction (FGR) is very
important in prenatal care and daily practice, because FGR fetuses may suffer
increased risk of perinatal morbidity and mortality. Renal volume (RV) might be
one of the important parameters of fetal growth. Yet, no prenatal assessment of
fetal RV in FGR fetuses by 3-D ultrasound (US) has been reported. We undertook a
prospective and cross-sectional study using quantitative 3-D US to assess the
efficacy of fetal RV in predicting FGR. All fetuses were singletons and were
followed-up to delivery to determine whether they had FGR complications. In
total, 221 fetuses without FGR and 28 fetuses with FGR were included. Our results
showed fetal RV assessed by 3-D US can differentiate fetuses with FGR from
fetuses without FGR. The best predicting threshold for FGR is at the tenth
percentile of fetal RV. Using the tenth percentile as the cutoff, the efficacy of
fetal RV in predicting FGR was sensitivity 96.4%, specificity 95.9%, positive
predictive value 75.0%, negative predictive value 99.5% and accuracy 96.0%,
respectively. Fetal RV assessed by 3-D US can be applied to detect FGR
prenatally. We believe fetal RV assessment using 3-D US is a useful test in
detecting fetuses with FGR.
PMID- 18055098
TI - High frequency ultrasound prediction of mechanical properties of cortical bone
with varying amount of mineral content.
AB - In this study, we evaluate if high frequency ultrasound impedance measurements
can predict the mechanical properties of bones where the amount of bone mineral
is varied. The motivation stems from the potential utility of ultrasound as a
noninvasive technique to evaluate and monitor the mechanical properties of bone
during treatment of diseased states where the ratio of mineral content to organic
matrix content could change (e.g., metabolic bone diseases, osteoarthritis,
osteogenesis imperfecta, fracture healing). Eleven cortical bovine femur samples,
which were taken along the long axis of femur, were used in each group. Bone
samples with reduced mineral content (estimated to be 21% and 35% less than the
control) were obtained by immersing samples into fluoride ion solution for 3 and
12 d. Control and fluoride treated samples were first tested mechanically in
tension. Acoustic impedances of the mechanically tested samples were obtained by
using scanning acoustic microscopy (SAM). Results from mechanical tests indicate
that the tensile elastic modulus of the samples was highly correlated to the
yield strength (r(2) = 0.94, p < 0.01) and to the ultimate strength (r(2) = 0.75,
p < 0.01). SAM results indicate that the acoustic impedances were significantly
correlated to the elastic modulus (r(2) = 0.85, p < 0.01), yield strength (r(2) =
0.86, p < 0.01) and ultimate strength (r(2) = 0.70, p < 0.01). These results show
that ultrasonic techniques could potentially be used to predict the in vivo
ultimate strength of bone tissue caused by changes in mineral content.
PMID- 18055099
TI - Dual-mode ultrasound transducer for image-guided interstitial thermal therapy.
AB - Deep-seated tumors can be treated by minimally invasive interstitial ultrasound
thermal therapy. A miniature transducer emitting high-intensity acoustic waves is
placed in contact with the targeted area to induce local thermal necrosis.
Accurate positioning of the probe and treatment monitoring must be achieved for
the technique to be effective. A piezocomposite technology was used for obtaining
both high-quality imaging and effective treatment with the same transducer.
Prototypes were designed and built to be compatible with an endoscopic approach
for treating cholangiocarcinomas in the biliary ducts. The transducer had
dimensions of 2.5 x 7.5 mm(2), it was cylindrically focused at 10 mm and it was
operated at a center frequency of 11 MHz. Transducer efficiency was measured at
71%, and the impulse response corresponded to an axial resolution of 0.2 mm. In
vitro tests were conducted on samples of pig liver in which lesions up to 10 mm
in depth were induced. B-mode images were obtained by mechanically rotating the
transducer. Treatments were monitored in three ways: (i) classical M-mode images,
(ii) images of local deformation of ultrasound lines during heating and (iii)
comparison of the displacements induced in the tissue by radiation force, before
and after treatments. The successful use of piezocomposite materials to
manufacture dual-mode transducers opens new perspectives for interstitial
ultrasound thermal therapy.
PMID- 18055100
TI - Tacrolimus-related polyneuropathy: case report and review of the literature.
AB - Patients, in particular recipients of orthotopic liver transplants, receiving the
immunosuppressant tacrolimus (FK-506), are at risk for developing central
neurotoxic adverse events. We report the occurrence of a tacrolimus-induced
peripheral neurotoxic event, i.e. pure motor axonal polyneuropathy of the lower
limbs in a 44-year-old woman, 9 days after combined orthotopic liver and pancreas
transplantation. She was treated for 5 days with intravenous immunoglobulins.
Partial recovery followed over months to years. An overview of all 11 reported
FK506-associated polyneuropathies is given.
PMID- 18055101
TI - Orbital myositis after delivery.
PMID- 18055102
TI - Plasma glutamate and glycine levels in patients with amyotrophic lateral
sclerosis: the effect of riluzole treatment.
AB - OBJECTIVES: Defective glutamate (glu) metabolism and excitotoxicity have been
implicated in the pathogenesis of amyotrophic lateral sclerosis (ALS). Moreover,
glycine (gly) has been shown to potentiate excitatory transmission. The
"antiglutamatergic" agent riluzole has been shown to prolong survival in ALS. The
aim of the study was to investigate a possible effect of riluzole on plasma glu
and gly levels, correlating with clinical response to treatment. PATIENTS AND
METHODS: Plasma concentrations of glu and gly were measured in 20 healthy
volunteers and 22 ALS patients before treatment and after 6 months on riluzole.
RESULTS: At baseline, increased plasma glu correlated with spinal onset and male
gender whereas gly levels did not differ between patients and controls. No
significant change was observed for both amino acids post-treatment, despite a
lower rate of disease progression. CONCLUSION: These results suggest that
riluzole may affect disease progression without a significant impact on plasma
glu and gly levels, possibly indicating different mechanisms of drug action.
PMID- 18055103
TI - Association between metabolic syndrome and previous ischemic lesions in patients
with intracranial atherosclerotic stroke.
AB - OBJECTIVES: To elucidate the relationship between MetS and ischemic stroke, we
evaluated the association of MetS and individual components with frequency of
ischemic stroke lesions and investigated the independent associations between
them in acute ischemic stroke patients. PATIENTS AND METHODS: We evaluated 370
acute ischemic stroke patients who underwent brain magnetic resonance (MR)
imaging and MR angiography. The stroke subgroups were categorized as intracranial
large artery atherosclerosis (IC-LAA, n=151), extracranial large artery
atherosclerosis (EC-LAA, n=35), and nonatherosclerosis (NA, n=184). MetS was
defined using the criteria of the International Diabetes Federation. RESULTS:
Patients with IC-LAA group showed a higher rate of MetS and previous ischemic
lesions (predominantly deep gray/white matter) than those with EC-LAA and NA (all
P<0.001). The number of previous ischemic lesions showed a tendency to increase
as the number of MetS components increased in the IC-LAA group (P=0.004). In the
IC-LAA group, age (OR, 1.04) and MetS (OR, 3.28) were independently associated
with previous ischemic lesions (all P<0.001), which was prominent with more
severe MetS components after adjustment for risk factors (P<0.001). Among the
component conditions, high blood pressure, impaired fasting glucose, and
abdominal obesity were more associated with previous ischemic lesions (all
P<0.001) than low high-density lipoprotein and high triglyceride levels (P=0.010
and 0.028, respectively). CONCLUSION: Our study showed a strong association
between MetS and previous ischemic lesions, more in patients with IC-LAA.
PMID- 18055104
TI - A huge occipital osteoblastoma accompanied with aneurysmal bone cyst in the
posterior cranial fossa.
AB - Osteoblastoma is an infrequent benign tumor and skull involvement is extremely
rare. The occipital bone is much less frequently involved. We report an unusual
case of a huge occipital osteoblastoma with aneurysmal bone cyst in the posterior
cranial fossa of a 20-year-old young man. MRI scan and CT three-dimensional
reconstruction revealed that the tumor (approximately 8.5 cm x 6 cm x 5 cm)
occupied nearly half volume of the posterior cranial fossa. The cerebellum, the
forth ventricle and brain stem were compressed remarkably and hydrocephalus was
noted. The tumor mass was multiloculated cystic and highly vascular. Excessive
bleeding occurred during operation and total removal was achieved. The patient
was symptom-free and MRI scan revealed no evidence of recrudescence after a
follow-up period of 18 months. Pathological examination revealed that the lesion
was a benign osteoblastoma with secondary aneurysmal bone cyst (ABC). ABC
constitutes a highly vascular lesion while complete surgical resection is often
impeded by excessive intraoperative bleeding. Preoperative embolization may
reduce the risk. Despite the benign nature of osteoblastoma, local recurrence
after incomplete resection is not rare and malignant transformation during
recurrence may occur even after adequate surgery. Our case confirms the findings
of previous investigators that if osteoblastoma is removed completely, no
recurrence is expected even without adjuvant irradiation and chemotherapy.
PMID- 18055105
TI - Methylphenidate and chromosome damage.
PMID- 18055106
TI - The role of pH in nanofiltration of atrazine and dimethoate from aqueous
solution.
AB - This study examined the performance of nanofiltration membranes to retain
atrazine and dimethoate in aqueous solution under different pH conditions. Four
nanofiltration membranes, NF90, NF200, NF270 and DK are selected to be examined.
The operating pressure, feed pesticide and stirring rate were kept constant at
6x10(5) Pa, 10 mg/L and 1000 rpm. It was found that increasing the solution's pH
increased atrazine and dimethoate rejection but reduced the permeate flux
performance for NF200, NF270 and DK. However, NF90 showed somewhat consistent
performance in both rejection and permeate flux regardless of the solution's pH.
NF90 maintained above 90% of atrazine rejection and approximately 80% of
dimethoate rejection regardless of the changes in solution's pH. Thus, NF90 is
deemed the more suitable nanofiltration membrane for atrazine and dimethoate
retention from aqueous solution compared to NF200, NF270 and DK.
PMID- 18055107
TI - Rapid assessment of the latent hazard posed by dissolved mercaptans within
aqueous effluent.
AB - The presence of mercaptans (RSH) can usually be detected by their inherent
noxious odour but there is a need to quantify the concentration within effluent
and hence allow an assessment of the latent hazard to be made prior to disposal.
The versatility of using naphthoquinone as a rapid derivatising agent through
which to trap such species has been evaluated. The quinone moiety provides a
label that can be quantified using colorimetric, electrochemical and
chromatographic means and offers a significant advantage over conventional thiol
labelling agents. The analytical characteristics of each approach have been
investigated and the selectivity, sensitivity and applicability of the reaction
system critically assessed for a range of model compounds. The naphthoquinone
system has a detection limit in the low micromolar range with little interference
from other components common to discharge water with 96% recovery of
mercaptopropionate. The reaction to sulfide (HS-) has also been assessed and a
disparity in response between the detection methods observed and a possible
reaction pathway outlined.
PMID- 18055108
TI - Extraction of heavy metal ions from leachate of cement-based stabilized waste
using purpurin functionalized resin.
AB - A new chelating resin was synthesized by functionalization of a polymer support,
Amberlite XAD-2 with purpurin through an azo linkage (NN). The products were
characterized by scanning electron microscopy, elemental analysis, Fourier
transform infrared spectroscopy and thermogravimetric analysis. The optimum
conditions for the extraction of Cd(II), Cr(III) and Pb(II) in two matrices;
leachate from cement-based material and de-ionized water, were studied by batch
and column methods. The determination of the metal ions was carried out by flame
atomic absorption spectrometry. The optimum pH for the extraction of all metal
ions in both matrices were at 4.0. Their sorption equilibrium was reached within
1h. The sorbed Cd(II) and Pb(II) were eluted by 1% HNO3 within 10 min with the
desorption recovery of >90%. The elution of Cr(III) by 3% H2O2 in 0.1 M NaOH was
achieved within 30 min with the desorption recovery of >80%. The sorption
capacity of Cd(II), Cr(III) and Pb(II) onto the resin was 75.0, 68.2, 82.7
micromol g(-1) resin in DI water and 54.1, 46.5 and 55.7 micromol g(-1) resin in
leachate, respectively. The extraction efficiency in the column method can be
improved using the recirculation system. This new method gave a good accuracy in
batch system with the recovery of 86.5 and 89.9% for Cd(II) and Pb(II) and R.S.D.
less than 2.3% (n=14).
PMID- 18055109
TI - Effect of Cu(II), Cd(II) and Zn(II) on Pb(II) biosorption by algae Gelidium
derived materials.
AB - Biosorption of Pb(II), Cu(II), Cd(II) and Zn(II) from binary metal solutions onto
the algae Gelidium sesquipedale, an algal industrial waste and a waste-based
composite material was investigated at pH 5.3, in a batch system. Binary
Pb(II)/Cu(II), Pb(II)/Cd(II) and Pb(II)/Zn(II) solutions have been tested. For
the same equilibrium concentrations of both metal ions (1 mmol l(-1)),
approximately 66, 85 and 86% of the total uptake capacity of the biosorbents is
taken by lead ions in the systems Pb(II)/Cu(II), Pb(II)/Cd(II) and Pb(II)/Zn(II),
respectively. Two-metal results were fitted to a discrete and a continuous model,
showing the inhibition of the primary metal biosorption by the co-cation. The
model parameters suggest that Cd(II) and Zn(II) have the same decreasing effect
on the Pb(II) uptake capacity. The uptake of Pb(II) was highly sensitive to the
presence of Cu(II). From the discrete model it was possible to obtain the
Langmuir affinity constant for Pb(II) biosorption. The presence of the co-cations
decreases the apparent affinity of Pb(II). The experimental results were
successfully fitted by the continuous model, at different pH values, for each
biosorbent. The following sequence for the equilibrium affinity constants was
found: Pb>Cu>Cd approximately Zn.
PMID- 18055110
TI - Photocatalytic degradation of methyl red dye by silica nanoparticles.
AB - Silica nanoparticles (SiO2 NPs) were found to be photocatalytically active for
degradation of methyl red dye (MR). The SiO2 NPs and SiO2 NPs doped with silver
(and or) gold nanoparticles were prepared. From the transmission electron
microscopy (TEM) images the particle size and particle morphology of catalysts
were monitored. Moreover, SiO2 NPs doped with silver and gold ions were used as a
photocatalyst for degradation of MR. The rate of photocatalytic degradation of MR
was found to be increased in the order of SiO2 NPs, SiO2 NPs coated with gold
nanoparticles (Au NPs) and silver nanoparticles (Ag NPs), SiO2 NPs coated with Ag
NPs, SiO2 NPs coated with Au NPs, Ag+-doped SiO2 NPs, and Au3+-doped SiO2 NPs.
The kinetic and mechanism of photocatalytic reaction were studied and accorded
well with experimental results.
PMID- 18055111
TI - Equilibrium and kinetic data and process design for adsorption of Congo Red onto
bentonite.
AB - The adsorption of Congo Red onto bentonite in a batch adsorber has been studied.
Four kinetic models, the pseudo first- and second-order equations, the Elovich
equation and the intraparticle diffusion equation, were selected to follow the
adsorption process. Kinetic parameters; rate constants, equilibrium adsorption
capacities and correlation coefficients, for each kinetic equation were
calculated and discussed. It was shown that the adsorption of Congo Red onto
bentonite could be described by the pseudo second-order equation. The
experimental isotherm data were analyzed using the Langmuir, Freundlich and
Temkin equations. Adsorption of Congo Red onto bentonite followed the Langmuir
isotherm. A single stage batch adsorber was designed for different adsorbent
mass/treated effluent volume ratios using the Langmuir isotherm.
PMID- 18055112
TI - Temporal recalibration to tactile-visual asynchronous stimuli.
AB - Here we demonstrate that the perceptual system adapts to tactile-visual temporal
asynchronies (i.e., temporal recalibration). Participants were exposed to a train
of tactile and visual stimuli with a constant time lag (either -100ms, 0ms, or
100ms; with negative values indicating that the tactile stimulus came first).
Following exposure, they were presented tactile-visual test stimulus pairs and
judged whether the tactile or the visual stimulus was presented first (Temporal
Order Judgement). Results show that subjective simultaneity (the PSS) was shifted
in the direction of the exposure lag. The results fit reports on auditory-visual
temporal recalibration and indicate that the brain adapts to temporal
incongruencies between modalities in general.
PMID- 18055113
TI - SOD1A4V-mediated ALS: absence of a closely linked modifier gene and origination
in Asia.
AB - Familial amyotrophic lateral sclerosis (ALS) accounts for 10% of all ALS.
Approximately 20% of cases are due to mutations in the Cu/Zn superoxide dismutase
gene (SOD1). In North America, SOD1(A4V) is the most common SOD1 mutation.
Carriers of the SOD1(A4V) mutation share a common phenotype with rapid disease
progression and death on average occurring at 1.4 years (versus 3-5 years with
other dominant SOD1 mutations). Previous studies of SOD1(A4V) carriers identified
a common haplotype around the SOD1 locus, suggesting a common founder for most
SOD1(A4V) patients. In the current study we sequenced the entire common
haplotypic region around SOD1 to test the hypothesis that polymorphisms in either
previously undescribed coding regions or non-coding regions around SOD1 are
responsible for the more aggressive phenotype in SOD1(A4V)-mediated ALS. We
narrowed the conserved region around the SOD1 gene in SOD1(A4V) ALS to 2.8Kb and
identified five novel SNPs therein. None of these variants was specifically found
in all SOD1(A4V) patients. It therefore appears likely that the aggressive nature
of the SOD1(A4V) mutation is not a result of a modifying factor within the region
around the SOD1 gene. Founder analysis estimates that the A4V mutation occurred
540 generations (approximately 12,000 years) ago (95% CI 480-700). The conserved
minimal haplotype is statistically more similar to Asian than European population
DNA sets, suggesting that the A4V mutation arose in native Asian-Americans who
reached the Americas through the Bering Strait.
PMID- 18055114
TI - Local administration of a cannabinoid agonist alters norepinephrine efflux in the
rat frontal cortex.
AB - Delta(9)-tetrahydrocannabinol, the main psychoactive ingredient in marijuana,
activates specific cannabinoid (CB) receptors to exert complex actions on
modulatory neurotransmitters involved in attention and cognition. Previous
research has demonstrated that systemic administration of the synthetic
cannabinoid agonist, WIN 55,212-2, increases norepinephrine efflux in the frontal
cortex. The distribution of CB1 receptors on noradrenergic fibers in the frontal
cortex suggests this may be one potential site for the regulation of
norepinephrine release. In the present study, we first examined the ability of a
CB1 antagonist, applied locally in the frontal cortex of adult male Sprague
Dawley rats, to block the actions of systemic WIN 55,212-2. Pretreatment with SR
141716A (300 microM) significantly attenuated the excitatory effects of WIN
55,212-2 (15 mg/kg, i.p.). Next, the impact of direct perfusion of WIN 55,212-2
into the frontal cortex on extracellular norepinephrine efflux was measured.
Direct application of WIN 55,212-2 (100 microM) into the frontal cortex elicited
a significant increase in extracellular norepinephrine efflux suggesting that
activation of cortical cannabinoid receptors contributes to alterations in
norepinephrine levels in this brain region. Finally, local administration of SR
141716A followed by local administration of WIN 55,212-2 revealed a paradoxical
inhibition of norepinephrine efflux.
PMID- 18055115
TI - Unilateral lesion of the subthalamic nucleus transiently provokes bilateral
subacute glial cell proliferation in the adult rat substantia nigra.
AB - Ablative or functional lesions of the subthalamic nucleus (STN) lead to
significant improvements of motor deficits and major levodopa associated motor
complications in patients with Parkinson's disease. The biological mechanisms
underlying the clinical effectiveness still remain largely unknown. It has been
demonstrated previously that the adult substantia nigra (SN) bears the capacity
for cellular plasticity throughout adulthood and that this property can be
influenced by external stimuli. In the present study we investigated the subacute
and chronic effects of unilateral STN-lesion on newly generated neural cells in
the adult healthy SN of the rat. With this experimental design we demonstrate a
bilateral transient increase in the total numbers of newborn nigral cells
following STN-lesion. Additionally, we show a transient bilateral decrease in the
number of newborn neuro-glial antigen 2 (NG2)-positive and in the number of new
microglia cells. No newborn neurons, however, were detected. Thus, we conclude
that unilateral ablative STN lesion transiently changes plasticity of neural cell
subpopulations in the healthy adult SN of the rat.
PMID- 18055116
TI - Caspase-3 is related to infarct growth after human ischemic stroke.
AB - Our aim was to investigate caspase-3 plasma levels after stroke, its correlation
with infarct expansion and neurological outcome. Caspase-3 plasma levels were
determined by ELISA at different time points after stroke in 116 t-PA-treated
patients and a control group of 40 healthy controls. Neurological status was
evaluated by NIHSS scores and functional outcome by modified Rankin Scale. To
assess brain infarct growth, serial brain magnetic resonance imaging scans
including diffusion- (DWI) and perfusion-weighted (PWI) images were performed in
a subgroup of 58 patients. Plasma caspase-3 levels were higher in stroke patients
versus the control group throughout the acute phase of stroke. Furthermore,
caspase-3 level at 24h was associated with poorer short- and long-term
neurological outcome and positively correlated with infarct growth assessed by
diffusion-weighted images. Our data suggest that caspase-3 could be involved in
recruitment of ischemic brain tissue being a marker of infarct growth.
PMID- 18055117
TI - Treating chronic pain in the context of comorbid depression.
PMID- 18055118
TI - Experimental transmission of Hepatozoon americanum to rodents.
AB - Laboratory-raised cotton rats (Sigmodon hispidus), outbred white mice (Mus
musculus), and C57BL/6J-Lystbg-J/J mice (M. musculus) that were administered
approximately 50 sporulated oocysts of Hepatozoon americanum (AF176836) by gavage
developed inflammatory lesions containing parasitic cystozoites in cardiac and
skeletal muscle, kidney, and lung. Sprague-Dawley rats (Rattus norvegicus)
similarly exposed showed no evidence of infection. Cystozoites were first
detected by histopathologic examination four weeks after exposure to oocysts.
Globular, PAS-positive material accumulated around the cystozoites as the
duration of infection lengthened. Nested PCR analysis of tissues collected 16
weeks post-exposure was positive for the 18S rRNA Hepatozoon sp. gene and the DNA
sequence of the fragment amplified was 99.6% and 99.8% identical to H. americanum
sequences previously reported from naturally-infected dogs (AF176836 and
AY864676, respectively). Merogonous and gamontogonous stages of the parasite were
not detected in any of the cystozoite-infected rodents.
PMID- 18055119
TI - National study of the gastrointestinal parasites of dogs and cats in Australia.
AB - The prevalence of gastrointestinal parasites in Australian pet dogs and cats was
largely unknown prior to this study. Current recommendations for the prophylactic
treatment of parasites in Australia are based on studies conducted 20-30 years
ago. A total of 1400 canine and 1063 feline faecal samples were collected from
veterinary clinics and refuges from across Australia. The overall prevalence of
gastrointestinal parasites in dogs and cats was 23.9% (CI 21.7-26.1) and 18.4%
(CI 16.1-20.7), respectively. Overall Giardia was the most prevalent parasite in
dogs (9.3% CI 7.8-10.8) followed by hookworm (6.7% CI 5.4-8.0). Isospora felis
was the most prevalent parasite in cats (5.6% CI 4.2-7.0), followed by Toxocara
cati (3.2% CI 2.1-4.3). In conclusion the prevalence of intestinal helminths in
Australian pet dogs and cats is low. Consequently, consideration should be given
to the future use of anthelmintics and continued emphasis on pet owner education
as means of preventing infection.
PMID- 18055120
TI - Conventional and novel PET tracers for imaging in oncology in the era of
molecular therapy.
AB - In the last ten years, the development of several novel targeted drugs and the
refinement of state of the art technologies such as the genomics and proteomics
and their introduction to clinical practice have revolutionized the management of
patients affected by cancer. However, everyday practice points out several
clinical questions: the difficulty of response assessment to new drugs especially
using standard RECIST criteria that do not provide information on biological,
vascular or metabolic variations; the inadequate selection of patients who are
likely to benefit from a targeted therapy excluding those with breast cancer and
gastrointestinal stromal tumours; the need to know the global biological
background of diseases especially in metastatic setting using repeatable non
invasive procedures. Molecular imaging could provide information on in vivo
distribution of biological markers in response to targeted therapy and could
improve the selection of patients before therapies. The aim of this review is to
analyze the current role of conventional and innovative positron emission
tomography (PET) radiotracers in clinical practice and to explore the promising
perspectives of molecular imaging in cancer research.
PMID- 18055121
TI - Are opioid-sensitive neurons in the rostral ventromedial medulla inhibitory
interneurons?
AB - mu-Opioid agonists frequently activate output neurons in the brain via
disinhibition, that is, by inhibiting "secondary cells," which results in
disinhibition of "primary cells," considered to be output neurons. Secondary
cells are generally presumed to be inhibitory interneurons that serve only to
regulate the activity of the output neurons. However, studies of the opioid
sensitive neurons in the rostral ventromedial medulla, a region with a well
documented role in nociceptive modulation, indicate that the opioid-inhibited
neurons in this region (termed "on-cells" when recorded in vivo) have a distinct
functional role that parallels and opposes the output of the subset of RVM
neurons that are activated following opioid administration, the "off-cells." The
aim of the present study was to analyze the relative timing of on- and off-cell
reflex-related firing in the rostral ventromedial medulla to help determine
whether on-cells are likely to function as inhibitory interneurons in this
region. On- and off-cells display complementary firing patterns during noxious
evoked withdrawal: off-cells stop firing and on-cells show a burst of activity.
If on-cells are inhibitory interneurons mediating the off-cell pause, the on
cells would be expected to begin their reflex-related discharge before the off
cells cease firing. To examine this we recorded activity of on- and off-cell
pairs during heat-evoked paw or tail withdrawal in lightly anesthetized rats. For
each cell pair, we measured the onsets of the off-cell pause and the on-cell
burst. Contrary to what would be expected if on-cells were inhibitory
interneurons, off-cells typically ceased firing before on-cells began reflex
related firing, with a mean 481 (+/-69) ms lag between the final off-cell spike
and the first on-cell spike. This suggests that on-cells do not mediate the off
cell pause, and points instead to presynaptic mechanisms in opioid-mediated
disinhibition of medullary output neurons. These data also support an independent
role for on-cells in pain modulation.
PMID- 18055123
TI - The reinstatement of amphetamine-induced place preference is long-lasting and
related to decreased expression of AMPA receptors in the nucleus accumbens.
AB - A great deal of effort has been devoted to elucidating the psychopharmacology
underlying addiction and relapse. Long-term neuroadaptations in glutamate
transmission seem to be of great relevance for relapse to stimulant abuse. In
this study, we investigated amphetamine-induced conditioned place preference
during adolescence and the reinstatement of the conditioned behavior following a
priming injection of the drug 1 day (adolescence), 30 days (early adulthood) and
60 days (adulthood) after the extinction test. The nucleus accumbens was
dissected immediately after the reinstatement test to examine alterations in
GluR1 and NR1 subunits of glutamatergic receptors. Our results showed that a
priming injection of amphetamine was able to reinstate the CPP 1 and 30 days
after extinction. However, it failed to reinstate the conditioned response after
60 days. GluR1 levels were decreased on days 1 and 30 but not on day 60 while NR1
levels were unaltered in the reinstatement test. Using a relapse model we found
that reinstatement of amphetamine-induced conditioning place preference during
adolescence is long lasting and persists through early adulthood. Decreased
levels of GluR1 in the nucleus accumbens might be related to the reinstatement of
amphetamine-induced conditioning place preference.
PMID- 18055122
TI - Impaired nerve regeneration and enhanced neuroinflammatory response in mice
lacking pituitary adenylyl cyclase activating peptide.
AB - Peripheral nerve injury models are used to investigate processes that can
potentially be exploited in CNS injury. A consistent change that occurs in
injured peripheral neurons is an induction in expression of pituitary adenylyl
cyclase activating peptide (PACAP), a neuropeptide with putative neuroprotective
and neuritogenic actions. PACAP-deficient mice were used here to investigate
actions of endogenous PACAP after facial nerve injury. Although motor neuron
survival after axotomy was not significantly different in PACAP deficient vs.
wild type mice, recovery of axon regeneration after crush injury was
significantly delayed. The impaired regeneration was associated with 8- to 12
fold increases in gene expression of proinflammatory cytokines tumor necrosis
factor-alpha, interferon-gamma, interleukin (IL) -6, and a 90% decrease in the
anti-inflammatory cytokine IL-4 at the injury site. Similar cytokine changes and
an increased microglial response were observed in the brainstem facial motor
nucleus. Because immunocompromised animals such as SCID mice are known to exhibit
peripheral nerve regeneration defects, the observations raise the novel
hypothesis that PACAP is critically involved in a carefully controlled immune
response that is necessary for proper nerve regeneration after injury.
PMID- 18055124
TI - Calcium influx through N-methyl-D-aspartate receptors triggers GABA release at
interneuron-Purkinje cell synapse in rat cerebellum.
AB - Ca(2+)-dependent neurotransmitter release was originally thought to occur only
following activation of presynaptic voltage-gated calcium channels after a
presynaptic action potential. Recent evidence suggests that not only opening of
voltage-gated but also ligand-gated ion channels, such as neurotransmitter
receptors, can trigger exocytosis, as well as Ca(2+) release from intracellular
Ca(2+) stores. It was shown that activation of N-methyl-d-aspartate (NMDA)
receptors on presynaptic interneurons led to increases in GABA release from these
neurons onto postsynaptic Purkinje cells in rat cerebellum in the presence of
tetrodotoxin (TTX), suggesting a presynaptic location for the underlying NMDA
receptors. However, the mechanism for the NMDA-induced increase in GABA release
remained unclear. The present study addresses the question whether Ca(2+) influx
through presynaptic NMDA receptors alone is sufficient to trigger presynaptic
GABA release at this synapse or whether activation of presynaptic NMDA receptors
leads to opening of voltage-gated Ca(2+) channels, thereby increasing exocytosis.
The results suggest that the NMDA-induced increase in presynaptic GABA release
neither requires activation of presynaptic voltage-gated Ca(2+) channels nor
Ca(2+) release from presynaptic Ca(2+) stores. It is concluded that Ca(2+) influx
through the NMDA receptor alone is sufficient to drive presynaptic GABA release
at the rat interneuron-Purkinje cell synapse.
PMID- 18055125
TI - Electrophysiological characteristics of vasomotor preganglionic neurons and
related neurons in the thoracic spinal cord of the rat: an intracellular study in
vivo.
AB - Sympathetic preganglionic neurons (SPN) represent the final central neurons in
the sympathetic pathways which regulate vasomotor tone; they therefore play a
pivotal role in the re-distribution of cardiac output to different vascular beds
in response to environmental challenges. While the consensus view is that
activity in these neurons is due mainly to supraspinal inputs, the possibility
that some activity may be generated intrinsically and modified by synaptic inputs
cannot be excluded. Therefore, in order to distinguish between these two
possibilities, the electrophysiological properties of cardiovascular-like SPN in
the upper thoracic spinal cord of the anesthetized rat were examined and their
response to activation of vasodepressor inputs was investigated. Intracellular
recordings were made from 22 antidromically identified SPN of which 17 displayed
irregular, but maintained, spontaneous activity; no evidence of bursting behavior
or pacemaker-like activity was observed. Stimulation of the aortic depressor
nerve or a vasodepressor site within the nucleus tractus solitarius (NTS)
resulted in a membrane hyperpolarization, decrease in cell input resistance and
long-lasting cessation of neuronal firing in SPN including a sub-population which
had cardiac-modulated patterns of activity patterns. Recordings were also
undertaken from 80 non-antidromically-activated neurons located in the vicinity
of SPN; 23% of which fired in phase with the cardiac cycle, with this peak of
activity occurring before similar increases in cardiac-modulated SPN. Stimulation
of vasodepressor regions of the NTS evoked a membrane hyperpolarization and
decrease in cell input resistance in cardiac-modulated but not non-modulated
interneurons. These studies show that activity patterns in SPN in vivo are
determined principally by synaptic inputs. They also demonstrate that spinal
interneurons which exhibit cardiac-modulated patterns of activity are
postsynaptically inhibited following activation of baroreceptor pathways.
However, the question as to whether these inhibitory pathways and/or
disfacilitation of tonic excitatory drive underlies the baroreceptor-mediated
inhibition of SPN remains to be determined.
PMID- 18055126
TI - Aggrecan-based extracellular matrix is an integral part of the human basal
ganglia circuit.
AB - The extracellular matrix is known to be involved in neuronal communication and
the regulation of plastic changes, and also considered to protect neurons and
synapses against damage. The goal of this study was to investigate how major
extracellular matrix components (aggrecan, link protein, hyaluronan) constitute
the pathways of the nigral system in the human basal ganglia circuit affected by
neurodegeneration in Parkinson's disease. Here we show that aggrecan- and link
protein-related components form clear regional distribution patterns, whereas
hyaluronan is widely distributed in gray and white matter. Two predominant
phenotypes of the aggrecan-based matrix can be discriminated: (1) perineuronal
nets (PNs) and (2) axonal coats (ACs) encapsulating preterminal fibers and
synaptic boutons. Clearly contoured PNs are associated with GABAergic projection
neurons in the external and internal division of the globus pallidus, the lateral
and reticular part of the substantia nigra, as well as subpopulations of striatal
and thalamic inhibitory interneurons. Dopaminergic nigral neurons are devoid of
PNs but are contacted to a different extent by matrix-coated boutons forming
subnucleus-specific patterns. A very dense network of ACs is characteristic
especially of the posterior lateral cell groups of the compact substantia nigra
(nigrosome 1). In the subthalamic nucleus and the lateral thalamic nuclei
numerous AC-associated axons were attached to principal neurons devoid of PNs. We
conclude from the region-specific patterns that the aggrecan-based extracellular
matrix is adapted to the fast processing of sensorimotor activities which are the
therapeutic target of surgery and deep brain stimulation in the treatment of
advanced stages of Parkinson's disease.
PMID- 18055127
TI - Administration of the protein synthesis inhibitor, anisomycin, has distinct sleep
promoting effects in lateral preoptic and perifornical hypothalamic sites in
rats.
AB - Although a robust relationship between sleep and increased brain protein
synthesis is well-documented, there have been few reports of the effects of local
application of a protein synthesis inhibitor (PSI) on sleep. In this study, we
compared the effects of local microdialytic administration of the protein
synthesis inhibitor, anisomycin (ANI) into the lateral preoptic area (LPOA), a
sleep promoting area vs. the perifornical/lateral hypothalamus (PF/LH), a wake
and rapid eye movement (REM) sleep-promoting area. ANI administered to the LPOA
at night resulted in an increase in stage 2 of rat non-REM sleep, whereas ANI
delivered into the PF/LH during the daytime increased REM sleep. ANI
microdialysis into hippocampus did not affect sleep or waking. These differential
effects of local protein synthesis inhibition on sleep support a hypothesis that
mechanisms controlling protein synthesis are critically involved in the
regulation of both NREM sleep and REM sleep.
PMID- 18055128
TI - Characterization of some morphological parameters of orbicularis oculi motor
neurons in the monkey.
AB - The primate facial nucleus is a prominent brainstem structure that is composed of
cell bodies giving rise to axons forming the facial nerve. It is musculotopically
organized, but we know little about the morphological features of its motor
neurons. Using the Lucifer Yellow intracellular filling method, we examined 11
morphological parameters of motor neurons innervating the monkey orbicularis
oculi (OO) muscle, which plays an important role in eyelid closure and voluntary
and emotional facial expressions. All somata were multipolar and remained
confined to the intermediate subnucleus, as did the majority of its aspiny
dendritic branches. We found a mean maximal cell diameter of 54 microm in the
transverse dimension, cell diameter of 60 microm in the rostrocaudal dimension,
somal surface area of 17,500 microm(2) and somal volume of 55,643 microm(3).
Eight neurons were used in the analysis of dendritic parameters based upon
complete filling of the distal segments of the dendritic tree. We found a mean
number of 16 dendritic segments, an average dendritic length of 1036 microm,
diameter of 7 microm, surface area of 12,757 microm(2) and total volume of 16,923
microm(3). Quantitative analysis of the dendritic branch segments demonstrated
that the average number, diameter and volume gradually diminished from proximal
to distal segments. A Sholl analysis revealed that the highest number of
dendritic intersections occurred 60 microm distal to the somal center with a
gradual reduction of intersections occurring distally. These observations advance
our understanding of the morphological organization of the primate facial nucleus
and provide structural features for comparative studies, interpreting afferent
influence on OO function and for designing studies pinpointing structural
alterations in OO motor neurons that may accompany disorders affecting facial
movement.
PMID- 18055129
TI - Brain-derived neurotrophic factor activation of extracellular signal-regulated
kinase is autonomous from the dominant extrasynaptic NMDA receptor extracellular
signal-regulated kinase shutoff pathway.
AB - NMDA receptors bidirectionally modulate extracellular signal-regulated kinase
(ERK) through the coupling of synaptic NMDA receptors to an ERK activation
pathway that is opposed by a dominant ERK shutoff pathway thought to be coupled
to extrasynaptic NMDA receptors. In the present study, synaptic NMDA receptor
activation of ERK in rat cortical cultures was partially inhibited by the highly
selective NR2B antagonist Ro25-6981 (Ro) and the less selective NR2A antagonist
NVP-AAM077 (NVP). When Ro and NVP were added together, inhibition appeared
additive and equal to that observed with the NMDA open-channel blocker MK-801.
Consistent with a selective coupling of extrasynaptic NMDA receptors to the
dominant ERK shutoff pathway, pre-block of synaptic NMDA receptors with MK-801
did not alter the inhibitory effect of bath-applied NMDA on ERK activity. Lastly,
in contrast to a complete block of synaptic NMDA receptor activation of ERK by
extrasynaptic NMDA receptors, activation of extrasynaptic NMDA receptors had no
effect upon ERK activation by brain-derived neurotrophic factor. These results
suggest that the synaptic NMDA receptor ERK activation pathway is coupled to both
NR2A and NR2B containing receptors, and that the extrasynaptic NMDA receptor ERK
inhibitory pathway is not a non-selective global ERK shutoff.
PMID- 18055130
TI - An application of the Theory of Planned Behavior to sorority alcohol consumption.
AB - Greek-affiliated college students have been found to drink more heavily and
frequently than other students. With female student drinking on the rise over the
past decade, sorority women may be at particular risk for heavy consumption
patterns. The current study is the first to apply the Theory of Planned Behavior
(TPB) to examine drinking patterns among a sorority-only sample. Two-hundred and
forty-seven sorority members completed questionnaires measuring TPB variables of
attitudes, norms, perceived behavioral control, and intentions, with drinking
behaviors measured one month later. Latent structural equation modeling examined
the pathways of the TPB model. Intentions to drink mediated the relationship
between attitudes and norms on drinking behavior. Subjective norms predicted
intentions to drink more than attitudes or perceived behavioral control.
Perceived behavioral control did not predict intentions but did predict drinking
behaviors. Interpretation and suggestions from these findings are discussed.
PMID- 18055131
TI - Tobacco and hazardous or harmful alcohol use in Thailand: joint prevalence and
associations with socioeconomic factors.
AB - This study estimates the individual and joint prevalence of cigarette smoking and
alcohol misuse, and examines the association between these risks and
socioeconomic factors in Thailand. The self-reported data on cigarette and
alcohol use are from a 2004 nationally representative cross-sectional survey of
39290 individuals aged 15 and over. Substantially more men than women were
current smokers (45.8% vs. 2.3%; p<0.001) as well as harmful (5.4% vs. 0.9%,
p<0.0001) and hazardous alcohol users (11.2% vs. 1.2%, p<0.001). The strongest
predictor of alcohol misuse was smoking, and the strongest predictor of smoking
was alcohol misuse in both sexes. There was an inverse relationship between
education and family income with the odds of current smoking, whereas average
levels of family income (not low or high) were associated with higher odds of
harmful or hazardous alcohol use. Tobacco and alcohol misuse could be more
effectively addressed by targeting and tailoring programs towards those who are
most at risk - joint tobacco and harmful or hazardous alcohol users, and those of
lower socioeconomic status.
PMID- 18055132
TI - Hibernating mammals in sudden cardiac death in epilepsy: what do they tell us?
AB - Epilepsy is the most common neurological disorder; approximately 1% of the
population worldwide have epilepsy. Moreover, sudden unexpected death in epilepsy
(SUDEP) is the most important direct epilepsy-related cause of death. Information
concerning risk factors for SUDEP is conflicting, but potential risk factors
include: age, early onset of epilepsy, duration of epilepsy, uncontrolled
seizures, seizure frequency and AED number. Additionally, the cause of SUDEP is
still unknown; however, the most commonly suggested mechanisms are cardiac
abnormalities during and between seizures. Very recently, our research group was
the first to annunciate that winter temperatures may lead a cardiac abnormalities
and hence sudden death, become a new potential risk factor to SUDEP. Quite
interesting, several mammalian species have evolved to develop a physiological
phenomenon called hibernation as a strategy for survival under adverse cold
conditions. From cardiovascular point of view, it has been established that
hibernating mammals inherited a stable cardiovascular function as a result of
adaptation to extreme external and internal environments during hibernation. For
instance, hibernating mammals show resistance to hypothermia at a cellular level,
the membrane potentials and excitability are more stable in the cardiac cells of
these animals (action potentials (60 mV) have been recorded in hibernators
myocardium at -5 degrees C), the aortic smooth muscle cells from hibernators are
able to maintain ionic gradients upon prolonged exposure to low temperatures, and
cardiac myocytes from hibernating mammals maintain constant levels of
intracellular free calcium and forceful contractility at 10 degrees C or lower.
Taken together, in this paper we postulate that hibernators have some
cardiovascular particularities that confer heart protection that could positively
influence the cardiovascular system of patients with epilepsy.
PMID- 18055133
TI - Alpha synuclein protein levels are increased in serum from recently abstinent
cocaine abusers.
AB - Alpha synuclein is increased in dopamine neurons of cocaine abusers and in rats
whose alcohol preference is inbred. Recent studies have shown increased alpha
synuclein protein expression in serum of alcoholic patients that is linked with
severity of alcohol craving. The aim of this study was to analyze the serum
levels of alpha synuclein in healthy subjects and in recently abstinent cocaine
abusers. Alpha synuclein protein expression was measured by enzyme-linked
immunosorbent assay in serum specimens obtained from 38 recently abstinent
cocaine dependent patients and 14 control subjects. The presence of cocaine
dependence disorder was based on the Structured Clinical Interview (DSM-IV). Drug
severity was assessed by the Addiction Severity Index ratings and composite
measures. Scores of the intensity and frequency of cocaine craving episodes were
obtained from the Minnesota Cocaine Craving Questionnaire. The serum
concentrations of alpha synuclein in cocaine dependent patients were
significantly higher as compared with age-matched drug-free controls (p<0.001).
Alpha synuclein levels in blood were significantly correlated with the intensity
(r=0.60, p<0.001) and frequency (r=0.64, p<0.001) of cocaine craving and with 30
days of cocaine use in the prior month before entry to treatment (r=0.56,
p<0.005). However, there was no correlation between the serum protein levels of
alpha synuclein and age in either group. This report is the first demonstration
of altered alpha synuclein levels in peripheral blood from cocaine abusers. These
data agree with previous reports in postmortem brain of cocaine abusers and
provide support for an association between alpha synuclein and cocaine
dependence.
PMID- 18055134
TI - Changes in smoking behaviours from late childhood to adolescence: 4 years later.
AB - The purpose of this short report is to estimate trajectories documenting changes
in the frequency of cigarette smoking between 10-11 and 20-21 years of age for
3959 participants to the Canadian National Longitudinal Survey of Children and
Youth. This study is a follow up to Maggi, Hertzman, and Vaillancourt [Maggi, S.,
Hertzman, C., Vaillancourt, T., 2007. Changes in smoking behaviours from late
childhood to adolescence: insights from the Canadian National Longitudinal Survey
of Children and Youth. Health Psychol. 26, 232-240] who found that there are five
distinct trajectories of acquisition of smoking from 10-11 to 16-17 years of age.
While findings from this study replicate those reported in Maggi, Hertzman, and
Vaillancourt [Maggi, S., Hertzman, C., Vaillancourt, T., 2007. Changes in smoking
behaviours from late childhood to adolescence: insights from the Canadian
National Longitudinal Survey of Children and Youth. Health Psychol. 26, 232-240],
they provide a more accurate representation of the possible outcomes of the
smoking acquisition process by indicating what specific trajectories of
experimentation may lead to daily smoking, occasional smoking or non-smoking.
PMID- 18055135
TI - Deciphering the cis-regulatory elements of co-expressed genes in PCOS by in
silico analysis.
AB - In recent times, the focus of research in polycystic ovary syndrome (PCOS) has
shifted from candidate gene(s) approach to whole genome analysis for deciphering
its molecular pathophysiology. In this regard, several microarray studies have
been published, showing differential expression of genes between normal and PCOS
states. Co-expression of genes as obtained in microarray experiments can also
imply co-regulation at the transcriptional level by various transcription
factors. In order to identify such transcription factors, the in silico
elucidation of Transcription Factor Binding Sites (TFBS) is emerging as an
important tool. With this hypothesis, we looked for TFBS over-representation in a
PCOS microarray gene set (n=130) using in silico tools. We extracted 1000 bps
upstream and 200 bps downstream regions from all these genes and identified 4
different TFBS, which were over-represented as compared to a human promoter
background model. These four transcription factors are Staf, E47, CCAAT and CRE
BP1/c-jun. The role of these transcription factors and their compatible members
in PCOS pathophysiology is described in details in the text. The factors might
provide a novel insight into the pathophysiology of PCOS.
PMID- 18055136
TI - Fleece rot and dermatophilosis in sheep.
AB - Fleece rot and dermatophilosis reduce health and production of sheep and
predispose them to blow fly strike. This paper reviews aetiology, prevalence,
pathogenesis, resistance, attempts to develop vaccines and prospects for new
control strategies to these important skin diseases. Although the severity of
fleece rot is associated with the abundance of Pseudomonas aeruginosa on skin,
microbial ecology studies are providing new insights into the contribution of
other bacteria to the disease. Wool traits and body conformation traits that
predispose sheep to fleece rot and dermatophilosis are heritable and have been
used as indirect selection criteria for resistance for many years. Selection
against BoLA-DRB3-DQB class II haplotype in cattle can substantially reduce the
prevalence of dermatophilosis and holds promise for identification of gene
markers for resistance to these bacterial diseases in sheep. Immune responses in
skin and systemic antibody responses to bacterial antigens are acquired through
natural infection and contribute to resistance; however, prototype antibacterial
vaccines have to date failed to provide protection against the diversity of
isolates of Dermatophilus congolensis and Pseudomonas species present in the
field. Opportunities for future control through breeding for resistance, vaccines
and non-vaccine strategies for controlling the microbial ecology of fleece are
discussed. In combination, control strategies need to reduce the risk of
transmission, minimise exposure of animals to stressors that enhance the risk of
infection, and enhance resistance though genetics or vaccines.
PMID- 18055137
TI - Familial associations with paratuberculosis ELISA results in Texas Longhorn
cattle.
AB - The objective of this cross-sectional study was to estimate familial associations
with paratuberculosis ELISA status in beef cattle. Texas Longhorn cattle (n=715)
greater than 2years of age were sampled for paratuberculosis testing using ELISA
and fecal culture. Diagnostic test results were indicative of substantial numbers
of false-positive serological reactions consistent with environmental exposure to
non-MAP Mycobacterium spp. Associations between ancestors and paratuberculosis
ELISA status of offspring were assessed using conditional logistic regression.
The association between ELISA status of the dam and her offspring was assessed
using linear mixed-effect models. Significant associations were identified
between some ancestors and offspring ELISA status. The odds of being classified
as "suspect" or greater based on ELISA results were 4.6 times greater for
offspring of dams with similarly increased S:P ratios. A significant positive
linear association was also observed between dam and offspring log-transformed
S:P ratios. Results indicate that there is familial aggregation of
paratuberculosis ELISA results in beef cattle and suggest that genetic selection
based on paratuberculosis ELISA status may decrease seroprevalence. However,
genetic selection may have minimal effect on paratuberculosis control in herds
with exposure to non-MAP Mycobacterium spp.
PMID- 18055139
TI - Follicular and steroid hormone changes in Arabian mares in the postpartum period.
AB - In this study, the aim has been to characterize the profiles of progesterone,
estradiol and follicular changes during postpartum period from parturition to
first postpartum ovulation. Jugular venous blood was taken daily for progesterone
and estradiol assay until first postpartum ovulation. The diameters of the
follicles of the ovaries were recorded. The mean progesterone and estrogen
concentrations markedly decreased (p<0.05) during the 48h after parturition. No
correlation was observed between estradiol concentrations and the diameter of the
largest follicle whereas a negative correlation was present between the number of
follicles smaller than 20mm and the number of follicles larger than 20mm on the
third and fourth days after postpartum.
PMID- 18055138
TI - High inter-species and low intra-species variation in 16S-23S rDNA spacer
sequences of pathogenic avian mycoplasmas offers potential use as a diagnostic
tool.
AB - In order to investigate its value for phylogenetic analysis, species
characterisation and diagnosis, the 16S-23S rDNA intergenic spacer regions (ISRs)
of the type strain of 23 avian Mycoplasma species were amplified and the
sequences determined. Also sequenced were the reference strains of Mycoplasma
iowae serotypes J, K, N, Q and R and a number of field strains of Mycoplasma
synoviae, Mycoplasma gallisepticum, Mycoplasma meleagridis and M. iowae. The ISRs
demonstrated a high level of size variation (178-2488bp) between species and did
not include tRNA genes. Phylogenetic analysis performed using the information
conflicted with that based on the 16S rDNA and was therefore not helpful for
phylogenetic studies. However, the ISR did appear to be of value for determining
species since there was high inter-species variation between all 23 avian
Mycoplasma species, and in addition there was low intra-species variation, at
least in the four pathogenic species. It could also be very useful as additional
information in the description of a new species and as a target for species
specific PCRs.
PMID- 18055140
TI - PLGA-based drug delivery systems: importance of the type of drug and device
geometry.
AB - Different types of ibuprofen- and lidocaine-loaded, poly(lactic-co-glycolic acid)
(PLGA)-based microparticles and thin, free films of various dimensions were
prepared and physico-chemically characterized in vitro. The obtained experimental
results were analyzed using mathematical theories based on Fick's second law of
diffusion. Importantly, the initial drug loadings were low in all cases (4%,
w/w), simplifying the mathematical treatment and minimizing potential effects of
the acidic/basic nature of the two model drugs on polymer degradation.
Interestingly, the type of drug and device geometry strongly affected the
resulting release kinetics and relative importance of the involved mass transport
mechanisms. For instance, the relative release rate was almost unaffected by the
system size in the case of spherical microparticles, but strongly depended on the
thickness of thin, free films, irrespective of the type of drug. Ibuprofen and
lidocaine release was found to be primarily diffusion controlled from the
investigated PLGA-based microparticles for all system sizes, whereas diffusion
was only dominant in the case of the thinnest free films. Interestingly, the type
of drug did not significantly affect the resulting polymer degradation kinetics.
However, ibuprofen release was always much faster than lidocaine release for all
system geometries and sizes. This can probably be attributed to attractive ionic
interactions between protonated, positively charged lidocaine ions and negatively
charged, deprotonated carboxylic end groups of PLGA, hindering drug diffusion.
The determined apparent diffusion coefficients of the drugs clearly point out
that the mobility of an active agent in PLGA-based delivery systems does not only
depend on its own physico-chemical properties and the type of PLGA used, but also
to a large extent on the size and shape of the device. This has to be carefully
taken into account when developing/optimizing this type of advanced drug delivery
systems.
PMID- 18055141
TI - Methacholine dry powder inhaler as a new tool for bronchial challenge test.
AB - BACKGROUND: The methacholine (MCH) challenge test is performed to detect
bronchial hyperresponsiveness in subjects suffering from asthma. It is conducted
by inhaling spasmogen substances at increasing doses and measuring FEV1-PD20
variation following the bronchoconstriction evoked. AIM: This paper describes a
new method for MCH challenge test using pre-metered respirable powders of MCH at
different doses for facilitating test execution. The availability of a series of
pre-metered doses gives higher control over aerosolized dose and fine particle
fraction (respirable dose), improving the accuracy and repeatability of the test.
Dosimetric tests with MCH solution and pre-dosed powder challenge tests were
clinically compared. METHODS AND MATERIALS: The inhalation powders were prepared
by spray drying of solutions of methacholine, mannitol and
hydroxypropylmethylcellulose in which different concentrations of MCH were
included. The methacholine powders prepared were carefully characterized in terms
of aerodynamic properties. RESULTS: Inhalation powders containing methacholine
from 12.5 to 200 microg per metered dose, having a fine particle fraction between
40 and 60%, were prepared using mannitol and cellulose polymer. Eighteen subjects
(12 hyperresponsive and six normal) were subjected to both the MCH solution and
powder tests in random sequence. No significant differences in FEV1 and PD20
values were found between the challenge tests performed with liquid and powder
formulations of methacholine. CONCLUSIONS: Powders of MCH having high
respirability of the delivered doses can be prepared by spray drying. They allow
for the performance of a challenge test using a dry powder inhaler. The powder
dose series can be an alternative to the current dosimetric test with MCH
solutions.
PMID- 18055143
TI - Frog intestinal sac as an in vitro method for the assessment of intestinal
permeability in humans: Application to carrier transported drugs.
AB - The aim of this study was to investigate the presence of pharmaceutically
relevant drug transporters in frog intestine which has been proposed as model for
intestinal permeability screening assays of passively absorbed drugs in humans
[Trapani, G., Franco, M., Trapani, A., Lopedota, A., Latrofa, A., Gallucci, E.,
Micelli, S., Liso, G., 2004. Frog intestinal sac: a new in vitro method for the
assessment of intestinal permeability. J. Pharm. Sci. 93, 2909-2919]. The
expression of transporters in frog intestine was supported by the following
observations: (i) the involvement of purine nucleobase transport system was
deduced by inhibition of acyclovir transport in the presence of adenine; (ii)
baclofen or l-dopa transport was inhibited by the digitalis steroid ouabain and
it may be related to the Na(+) electrochemical potential difference, presumably
involving amino acid transporters; (iii) the presence of proton-dependent peptide
transporters was argued evaluating the effect of the pH change (from pH 5.9 to pH
7.4) on the transport of glutathione; (iv) the possible expression in the frog
intestine of an efflux system distinct from P-glycoprotein (Pgp) in the
benzylpenicillin transport was deduced using a glucose enriched frog Ringer with
or without the known Pgp inhibitor verapamil; (v) the contribution of Pgp
mediated efflux system in determining the frog intestinal absorption of drugs was
supported by the specific inhibition of cimetidine or nadolol transport in the
presence of verapamil. These results indicate that pharmaceutically relevant drug
transporters should be also expressed in frog intestine. In this work, an attempt
was also made to compare the measured P(app) values in the frog intestinal model
for the aforementioned series of actively/effluxed transported drugs in humans to
the corresponding literature values for the fraction absorbed. The P(app) values
used in these comparisons were obtained at high concentrations of drugs at which
probably saturation of the carrier occurs. Interestingly, it was found that drugs
that are completely absorbed had P(app) values >3 x 10(-6)cm/s, while drugs
absorbed <90% had P(app) values lower than 1 x 10(-6)cm/s. In these cases,
indeed, a borderline region characterized by the apparent permeability
coefficient P(app) value between 1 x 10(-6) and 3 x 10(-6)cm/s should be
considered for which the prediction of the absorbed fraction after oral
administration in humans become more uncertain by the frog intestinal sac system.
PMID- 18055142
TI - A novel system for three-pulse drug release based on "tablets in capsule" device.
AB - The objective of the present study was to obtain programmed drug delivery from a
novel system, which contains a water-soluble cap, impermeable capsule body, and
two multi-layered tablets. Types of materials for the modulating barrier and its
weight can significantly affect the lag time (defined as the time when drug
released 8% of the single pulse dosage). We chose sodium alginate and hydroxy
propyl methyl cellulose (HPMC E5) as the candidate modulating barrier material.
Through adjusting ratio of sodium alginate and lactose, lag time was controllable
between the first two pulsatile release. Linear relationship was observed between
the ratio and the lag time. Through adjusting the ratio of HPMC E5/lactose, lag
time between the second and the third pulse can be successfully modulated. In
further studies, drug release rate of the second pulsatile dose can be improved
by adding a separating layer between the third and the modulating barrier layer
in the three-layered tablet. To evaluate contribution of bulking agent to drug
release rate, lactose, sodium chloride, and effervescent blend were investigated.
No superiority was found using sodium chloride and effervescent blend. However,
lactose favored it. The results reveal that programmed drug delivery to achieve
pulsatile drug release for three times daily can be obtained from these tablets
in capsule system by systemic formulation approach.
PMID- 18055144
TI - Psyllium and copolymers of 2-hydroxylethylmethacrylate and acrylamide-based novel
devices for the use in colon specific antibiotic drug delivery.
AB - In order to utilize the psyllium husk, a medicinally important natural
polysaccharide, to develop the hydrogels meant for the drug delivery, we have
prepared psyllium 2-hydroxylethylmethacrylate (HEMA) and acrylamide (AAm)-based
polymeric networks by using N,N'-methylenebisacrylamide (N,N'-MBAAm) as
crosslinker and ammonium persulfate (APS) as initiator. The polymeric networks
thus formed [psy-cl-poly(HEMA-co-AAm)] were characterized with FTIR and swelling
studies which were carried out as a function of crosslinker concentration, time,
pH and [NaCl] of the swelling medium. The swelling kinetics of the hydrogels and
in vitro release dynamics of model drug (tetracycline hydrochloride) from these
hydrogels has been studied for the evaluation of swelling mechanism and drug
release mechanism from the hydrogels. The values of the diffusion exponent 'n'
have been obtained 0.5 for both swelling kinetics and drug release dynamics. This
value shows that the Fickian type diffusion mechanism has occurred for the
swelling of the polymers and for the release of drug from the polymers in
different release mediums. The values of the initial diffusion coefficients (10.6
x 10(-4), 13.1 x 10(-4), 14.0 x 10(-4))cm(2)/min, average diffusion coefficients
(22.2 x 10(-4), 25.7 x 10(-4), 27.0 x 10(-4))cm(2)/min and late diffusion
coefficients (1.68 x 10(-4), 2.15 x 10(-4), 2.28 x 10(-4))cm(2)/min for the
release of tetracycline HCl respectively in distilled water, pH 2.2 buffer and pH
7.4 buffer from the drug loaded samples shows that in the initial stages, the
rate of release of drug from the hydrogels is slow and rate of diffusion of drug
increases with time.
PMID- 18055145
TI - Hypoglycemic and hypolipidemic effects of the aqueous fresh leaves extract of
Clerodendrum capitatum in Wistar rats.
AB - Diabetes mellitus, the most common endocrine disorder of carbohydrate metabolism,
is treated in the African traditional phytotherapies with the cold water
decoction of Clerodendrum capitatum (CC). In the current study, the hypoglycemic
and hypolipidemic effects of fresh leaves aqueous extract of CC were studied in
four groups of six adult Wistar rats per group and weighting 120-150 g, by
administering graded oral doses (100, 400 and 800 mg/kg/day) of the extract for
14 days. On the 15th day, the fasted rats were anesthetized under inhaled
halothane and blood samples obtained through cardiac puncture. Phytochemical
analysis of CC extract was conducted using standard procedures while the
preliminary acute oral toxicity study was also conducted using limit dose test of
Up and Down Procedure at a limit dose of 5000 mg/kg body weight/oral route.
Results of the study showed CC to cause significant (p<0.05, p<0.001) dose
dependent hypoglycemic and hypolipidemic effects but had no effect on the pattern
of weight gain in the treated rats. Although no lethal effect was recorded with
CC oral administration for up to 5000 mg/kg body weight/oral route, but there was
an associated transient somatomotor and behavioral toxicities. Phytochemical
results revealed the presence of saponins, flavonoids, alkaloids, tannin,
glycosides and reducing sugars in the extract. Thus, the folkloric use of
Clerodendrum capitatum in the treatment of suspected type 2 diabetics has a
positive correlation with scientific data generated in this study.
PMID- 18055146
TI - Ethnobotanical survey of folk plants for the treatment of snakebites in Southern
part of Tamilnadu, India.
AB - Ethnobotanical surveys were conducted in four different indigenous groups in
Southern parts of Tamilnadu, India, using a questionnaire. The herbal
practitioners in the study area were interviewed, and information on medicinal
plants was collected from the traditional healers called "Vaidyars". This survey
covers 72 medicinal plants belonging to 53 families that are used for the
treatment of snakebite in a traditional way. Traditional approach was evaluated
scientifically with some selected plant extracts (7.2 mg/kg bw) and partially
purified fractions (2.4 mg/kg bw) were orally administered to mice experimentally
envenomed with rattlesnake venom s.c. injection (2.5-15 microg/kg bw). Tested
fractions (Aristolochia indica, Hemidesmus indicus, Gloriosa superba, Strychnos
nux-vomica, Eclipta prostrata, and Andrographis paniculata) showed potent
neutralizing effect against the venom. Compared to the extracts, administration
of purified fractions was more effective in increasing the body weight. Control
mice injected with the venom alone showed weight loss and severe toxicity at 15
microg/kg bw. The purified fractions (2.4 mg/kg bw) produced significant
protection against venom induced changes in serum SOD and LPx levels. The
isolated fractions effectively inhibited the toxic effect of snake venoms in
vitro than in vivo. The above observations confirmed the protective activity of
plants-Aristolochia indica, Hemidesmus indicus, Gloriosa superba, Strychnos nux
vomica, Eclipta prostrata, and Andrographis paniculata against the lethal action
of snake venom and need further investigation.
PMID- 18055147
TI - Acute and subchronic oral toxicity of Galega officinalis in rats.
AB - Galega officinalis L. (Papilionaceae) is widely used in folk medicine as
antidiabetic or for increasing lactation. There is a little information about its
possible toxicity. In this study, acute and subchronic toxicity of aerial parts
of Galega officinalis in Wistar rats have been evaluated. For the acute toxicity
study, the animals received orally four different single dose of plant suspension
and were kept under observation for 14 days. The results indicated that LD50 of
Galega officinalis is higher than 5 g/kg. In the subchronic study, 48 rats were
divided into four groups and were fed a diet containing 0%, 0.15%, 1.5% and 3%
(w/w) of Galega officinalis. After 90 days blood and tissue samples were taken
for hematological, biochemical and histopathological determinations. An increase
in serum levels of cholesterol, creatine phosphokinase, lactate dehydrogenase and
total and conjugated bilirubin was observed. Some parameters such as calcium,
albumin, albumin/globulin ratio, hematocrit, WBC and platelet counts were
decreased. In microscopic examination, sinusoidal congestion in liver and
alveolar hemorrhage was observed. Other parameters showed non-significant
difference between treatment and control groups. Present data suggest that liver
and lung could serve as target organs in oral toxicity of this plant.
PMID- 18055148
TI - An investigation into the relationship between edge counts and the variability of
the refractive index of glass. Part I: Edge morphology.
AB - The effect of glass fragment morphology on the variability of refractive index
values in five different glass objects was investigated. Data are presented that
suggest that the variability of refractive index values is increased when
fragment edge morphology becomes unsuitable for phase contrast microscopy.
PMID- 18055149
TI - [Retrospective census of cancers between 1994 and 2002 around the municipal solid
waste incinerator of Gilly-sur-Isere].
AB - BACKGROUND: The retrospective cancer incidence study carried out around the
municipal solid waste incinerator of Gilly-sur-Isere (Savoie, France) was ordered
in a context of crisis during its closing in the late 2001. Its purpose was to
determine whether or not there was an excessive number of cancers around the
incinerator. In the absence of cancer registry in Savoie, this study consisted in
counting as exhaustively as possible the cancers that occurred between 1994 and
2002 in the study area, which was exposed to the atmospheric fallouts from the
incinerator. Thus, it was planned to compare the observed cancer incidence to the
French cancer registries'. This work describes the main difficulties encountered
as well as the solutions found during the census of cancer cases; the results of
the incidence study are not included. METHODS: The collection of medical data was
carried out thanks to multiple sources of information: pathology and hematology
laboratories, hospitals' and clinics' departments of medical information, health
insurance funds, liberal practitioners or specialised cancer registries. The
collected medical data files were dealt with: looking for the missing addresses,
selecting patients from the study area, homogenizing cancers coding, merging
files into a single database, analysing available information on each cancer and
de-duplicating the database. Most cancers were validated by consulting medical
folders so as to exclude the false cases like metastasises of a known primary
cancer or recurrences. RESULTS: Two thousand eight hundred and forty-five cancers
were initially collected, and 28% of them were excluded because they did not
correspond to the case definition (no proof of cancer, diagnosis date before the
study period...); the final database was made of 2055 cancer cases. Quality
indicators showed that the database could be considered as exhaustive and valid
as a registry's. Three types of sources allowed to identify 94% of cases:
laboratories, hospitals' departments of medical information and health insurance
funds. CONCLUSION: Using administrative data and consulting medical folders
turned out to be necessary considering uncertainties about: the patients'
residence at the time of the diagnosis, errors in coding cancers in some
databases that were collected and difficulties to identify false cases. This
census required very important means.
PMID- 18055150
TI - Value of conventional chest radiography for the detection of coronary
calcifications: comparison with MSCT.
AB - PURPOSE: To evaluate if computed tomography (CT) coronary calcium scoring is
needed after detection of coronary calcifications on conventional chest
radiographs. MATERIALS AND METHODS: One hundred and five patients (67 men; 57.2+/
12.8 years) with suspected coronary artery disease underwent conventional chest
radiography and non-enhanced, retrospectively ECG-gated multislice spiral CT
(MSCT) of the heart (4 mm x 2.5 mm, 120 kV, 133 mAs(eff.)). Chest radiographs
were assessed independently by two radiologists. Detection of coronary
calcifications was compared between both methods. Sensitivity, specificity,
negative and positive predictive values, median, 25% and 75% percentiles for the
detection of coronary calcifications were calculated. Receiver operating
characteristics (ROC) analyses were computed. RESULTS: In 90 patients, MSCT
revealed coronary calcifications. The mean coronary calcium score was 526.2 (0
4784.5). On chest radiographs, coronary calcifications were correctly detected in
46 (61) patients by observer 1 (observer 2). The corresponding sensitivity was
51.1% in observer 1 and 67.8% in observer 2. Median of detected coronary
calcifications was 361.9 (426.4) for observer 1 (observer 2). Corresponding 25%
und 75% percentiles were 109.6 (109.6) and 798.5 (898.5). The area under the ROC
curve was 0.636 for observer 1 and 0.715 for observer 2. There was no correlation
between image quality and the detection of coronary calcifications on plain film
radiographs. CONCLUSION: As coronary calcifications of various extents are
inconsistently detected on plain chest radiographs, CT calcium scoring may not be
omitted even if coronary artery calcifications were detected on conventional
chest radiographs.
PMID- 18055151
TI - The prevalence and clinical predictors of incidental atherosclerotic renal artery
stenosis.
AB - OBJECTIVE: To evaluate the prevalence of incidental renal artery stenosis due to
atherosclerosis and associated risk factors in patients with peripheral arterial
disease (PAD). MATERIALS AND METHODS: To determine renal artery stenosis,
aortofemoropopliteal digital substraction angiographies (DSA) of 629 consecutive
patients with PAD were prospectively reviewed. Angiographies were performed as
catheter angiography with automated pump injection. Of the patients, 540 were
male (86%) and 89 female (14%) (mean age+/-S.D.: 61.5+/-11.1 years). Statistical
analysis was performed to determine the association of significant renal artery
stenosis (> or =60% diameter stenosis) with patient demographics (age, sex,
reason for angiography and smoking status), medical history (diabetes mellitus,
hypertension and coronary artery disease), laboratory values (blood creatinine,
fasting glucose, triglycerides, LDL, HDL and total cholesterol) and distribution
of PAD (aortoiliac, femoropopliteal and crural diseases and multisegment
involvement). RESULTS: Renal artery disease was found in 33% (207 of 629) of all
patients with peripheral arterial disease, and 9.6% of patients (n=60) had
significant (> or =60%) renal artery stenosis. Only age and hypertension (blood
pressure systolic >140 mmHg or diastolic >90 mmHg) were independent risk factors
for significant renal artery stenosis on multivariate analysis. Mean age of
patients with RAS was 66.5+/-8.9 years compared with 61+/-11.2 years for patients
without RAS (p<0.001). Hypertension was found in 41% of the patients in control
group and in 63% of the patients in RAS group (p=0.01). CONCLUSION: Incidental
renal artery stenosis which can be mild or significant is a relatively common
finding among patients with peripheral arterial disease. Advance age and
hypertension are closely associated with significant renal artery stenosis.
PMID- 18055153
TI - Study of equilibrium solubility measurement by saturation shake-flask method
using hydrochlorothiazide as model compound.
AB - The experimental conditions that affect equilibrium solubility values measured by
the classical saturation shake-flask method have been examined, using
hydrochlorothiazide as a model compound. Modifications in temperature,
sedimentation time, composition of aqueous buffer and the technique of separation
of solid and liquid phases were all found to influence the equilibrium solubility
results strongly. However, variations in the amount of solid excess and stirring
time were found to have less influence. In the light of these observations, a
new, shorter protocol has been developed for measurements of equilibrium
solubility, together with recommendations for good analytical practice. The
equilibrium solubilities of five other drugs were measured to verify the new
protocol.
PMID- 18055152
TI - Renal transplant failure due to urologic complications: Comparison of static
fluid with contrast-enhanced magnetic resonance urography.
AB - PURPOSE: Postrenal reasons of renal transplant failure can be assessed by
magnetic resonance urography. This study was designed to retrospectively compare
the diagnostic accuracy of static fluid (T2-)MRU compared to contrast enhanced
(CE-)MRU in patients with renal transplant failure. MATERIAL AND METHODS: Thirty
five consecutive patients (14 female, 21 men; mean age 48.6 years) with renal
transplant failure and sonographically detected hydronephrosis were examined both
with T2-MRU as well as CE-MRU resulting in 39 MRU examinations. MRU was performed
both using T2-weighted HASTE-sequence (T2-MRU) as well as Gadolinium-enhanced 3D
FLASH-sequence (CE-MRU) on a 1.5-T clinical MRI scanner (Magnetom Vision, Siemens
Medical Solutions). Subjective image quality of resulting maximum intensity
projection was assessed in consensus by two readers blinded to the final
diagnosis, using a five point scale. MRU findings were correlated to sonography,
operative results or clinical follow up. RESULTS: CE-MRU yielded a sensitivity of
85.7% (T2-MRU 76.2%), and a specificity of 83.3% (T2-MRU: 73.7%), however
statistical significance was not reached. The subjective image quality was
significantly better in CE-MRU. CONCLUSIONS: Only concerning subjective image
quality CE-MRU proved superior to T2-MRU. Yet, there was no significant
difference in diagnostic accuracy between T2- and CE-MRU. Thinking of incipient
nephrogenic systemic fibrosis, T2-MRU can be used as reliable alternative in
patients with decreased renal transplant function due to urological
complications.
PMID- 18055154
TI - Capillary electrophoresis for short chain organic acids in faeces Reference
values in a Mediterranean elderly population.
AB - There is increasing evidence that gut microflora and fermentation processes in
the large intestine are important for health, and that health-promoting effects
are mediated by fermentation products. Usually analytical methods for these
compounds are tedious. A simple and rapid procedure of aqueous extraction from
the stools has been optimized. After extraction, an aliquot of the aqueous layer
was directly injected into the capillary electrophoresis equipment. Oxalic,
formic, fumaric, 2-ketoglutaric, succinic, citric, acetic, propionic, 2
ketoisovaleryc, butyric, isovaleric lactic, glyceric 2-hydroxybutyric, and
valeric acids were separated and identified. Electrophoretic conditions were:
phosphate buffer 234 mM pH 6.10 with 12% (v/v) methanol with a coated capillary
at -10 kV of applied potential. The method was validated for a representative
group of compounds: acetic, propionic butyric, 2-hydroxybutiric, isovaleric, and
oxalic acids, including the comparison of results with ionic chromatography.
Finally 136 samples from healthy humans aged 60-80, both male and female living
in Spain, were measured. They could be used as reference values for further
studies.
PMID- 18055155
TI - HPLC determination of rifampicin and related compounds in pharmaceuticals using
monolithic column.
AB - A rapid, sensitive and reproducible HPLC method using C18 monolithic column was
developed and validated for the analysis of rifampicin (RIF) and its four related
compounds, including rifampicin quinone (RQ), rifamycin SV (SV), rifampicin N
oxide (RNO) and 3-formylrifamycin SV (3-FR). Chromatographic separation was
achieved by using the mixture of methanol-acetonitrile-monopotassium phosphate
(0.075 M)-citric acid (1.0M) (28:30:38:4, v/v) as the mobile phase at a flow rate
of 2 mL/min and with UV detection at 254 nm. Calibration curves were obtained in
the concentration ranges of 1-40 microg/mL for SV, RNO and 3-FR, 1.5-60 microg/mL
for RQ and 5-200 microg/mL for RIF. Limit of quantitation (LOQ) was determined to
be 1 microg/mL and the limit of detection (LOD) was 0.2 microg/mL for all studied
compounds with a 10 microL injection. The intra-day R.S.Ds. and inter-day R.S.Ds.
for the above five compounds were all less than 2.5%. The recoveries of
rifampicin from placebo tablets were from 99.7% to 100.5%. The total run time was
less than 11 min, as opposed to around 60 min by using C18 particle-packed
column. In conclusion, by this developed method, RIF and its related compounds
can be determined rapidly with good precision and accuracy in pharmaceuticals.
PMID- 18055156
TI - Advances in development of transgenic pulse crops.
AB - It is three decades since the first transgenic pulse crop has been developed.
Todate, genetic transformation has been reported in all the major pulse crops
like Vigna species, Cicer arietinum, Cajanus cajan, Phaseolus spp, Lupinus spp,
Vicia spp and Pisum sativum, but transgenic pulse crops have not yet been
commercially released. Despite the crucial role played by pulse crops in tropical
agriculture, transgenic pulse crops have not moved out from laboratories to large
farm lands compared to their counterparts - 'cereals' and the closely related
leguminous oil crop - 'soybean'. The reason for lack of commercialization of
transgenic pulse crops can be attributed to the difficulty in developing
transgenics with reproducibility, which in turn is due to lack of competent
totipotent cells for transformation, long periods required for developing
transgenics and lack of coordinated research efforts by the scientific community
and long term funding. With optimization of various factors which influence
genetic transformation of pulse crops, it will be possible to develop transgenic
plants in this important group of crop species with more precision and
reproducibility. A translation of knowledge from information available in
genomics and functional genomics in model legumes like Medicago truncatula and
Lotus japonicus relating to factors which contribute to enhancing crop yield and
ameliorate the negative consequences of biotic and abiotic stress factors may
provide novel insights for genetic manipulation to improve the productivity of
pulse crops.
PMID- 18055157
TI - Interleukin-4 increases cortisol release and decreases adrenal androgen release
from bovine adrenal cells.
AB - ACTH is the primary regulator of adrenal function during acute stress. However,
during chronic inflammatory stress additional factors play a major role in the
regulation of adrenal secretion. Many cytokines circulate in the blood and are
synthesized and released from adrenal tissue. Furthermore, these peptides modify
adrenal function. Recently, interleukin-4 (IL-4) was demonstrated to be released
from a human adrenal tumor cell line. Therefore, we hypothesized that normal
bovine adrenocortical cells could express IL-4 and that this cytokine may modify
adrenal function. We determined that IL-4 and IL-4 receptors (IL-4R) are
expressed in the bovine adrenal cortex whereas the expression of IL-4 and IL-4R
in the adrenal medulla was not apparent. Exposure of dispersed bovine
adrenocortical cells isolated from the zona fasciculate to IL-4 did not modify
basal release of cortisol. However, the ACTH-stimulated release of cortisol from
the bovine adrenal cells was augmented by IL-4. IL-4 exposure had no affect on
adrenal androgen release from bovine zona reticularis cells, but IL-4 inhibited
the ACTH-stimulated release of adrenal androgens from these cells. The effects of
IL-4 on ACTH-stimulated cortisol and adrenal androgen release were dependent upon
the IL-4 incubation interval and the IL-4 concentration. Because communication
between the immune and endocrine systems is important in inflammatory conditions,
IL-4 may play a role in coordinating the adrenal response to inflammatory stress.
PMID- 18055158
TI - Thermal ablation of hepatic malignancy: useful but still not optimal.
AB - The mortality associated with primary and metastatic hepatic malignancies remains
high because few patients are candidates for hepatic resection or
transplantation. Resection is the most effective treatment for liver tumors but
may be contraindicated by factors such as the tumor's location; hepatic
transplantation can cure primary hepatocellular carcinoma and underlying
cirrhosis, but a donor may not be immediately available. When resection or
transplantation is not possible, thermal ablation is a reasonable therapeutic
option. Effective destruction of tumors can be achieved with low recurrence rates
and minimal complications or risk of death. In patients with primary hepatic
malignancy, ablation treatment does not preclude subsequent transplantation.
Although radiofrequency ablation is currently the most widely used thermal
ablative technique for hepatic malignancy, microwave ablation is gaining
popularity and eventually may prove to be more effective.
PMID- 18055159
TI - [Surveillance during the postoperative period].
PMID- 18055160
TI - Lifestyle-related factors and environmental agents causing cancer: an overview.
AB - The increasing incidence of a variety of cancers after the Second World War
confronts scientists with the question of their origin. In Western countries,
expansion and ageing of the population as well as progress in cancer detection
using new diagnostic and screening tests cannot fully account for the observed
growing incidence of cancer. Our hypothesis is that environmental factors play a
more important role in cancer genesis than it is usually agreed. (1) Over the
last 2-3 decades, alcohol consumption and tobacco smoking in men have
significantly decreased in Western Europe and North America. (2) Obesity is
increasing in many countries, but the growing incidence of cancer also concerns
cancers not related to obesity nor to other known lifestyle-related factors. (3)
There is evidence that the environment has changed over the time period preceding
the recent rise in cancer incidence, and that this change, still continuing,
included the accumulation of many new carcinogenic factors in the environment.
(4) Genetic susceptibility to cancer due to genetic polymorphism cannot have
changed over one generation and actually favours the role of exogenous factors
through gene-environment interactions. (5) Age is not the unique factor to be
considered since the rising incidence of cancers is seen across all age
categories, including children, and adolescents. (6) The fetus is specifically
vulnerable to exogenous factors. A fetal exposure during a critical time window
may explain why current epidemiological studies may still be negative in adults.
We therefore propose that the involuntary exposure to many carcinogens in the
environment, including microorganisms (viruses, bacteria and parasites),
radiations (radioactivity, UV and pulsed electromagnetic fields) and many
xenochemicals, may account for the recent growing incidence of cancer and
therefore that the risk attributable to environmental carcinogen may be far
higher than it is usually agreed. Of major concern are: outdoor air pollution by
carbon particles associated with polycyclic aromatic hydrocarbons; indoor air
pollution by environmental tobacco smoke, formaldehyde and volatile organic
compounds such as benzene and 1,3 butadiene, which may particularly affect
children and food contamination by food additives and by carcinogenic
contaminants such as nitrates, pesticides, dioxins and other organochlorines. In
addition, carcinogenic metals and metalloids, pharmaceutical medicines and some
ingredients and contaminants in cosmetics may be involved. Although the risk
fraction attributable to environmental factors is still unknown, this long list
of carcinogenic and especially mutagenic factors supports our working hypothesis
according to which numerous cancers may in fact be caused by the recent
modification of our environment.
PMID- 18055161
TI - [Severe asthma].
AB - Severe asthma is diagnosed in patients with refractory asthma that is difficult
to control despite a thorough reevaluation of the diagnosis and management and
after more than 6 months of follow-up by a physician specializing in asthma.
Respiratory function measurements and reversibility and bronchial provocation
tests (except when contraindicated) are essential in the reevaluation of an
asthma diagnosis. Factors that can influence asthma control, such as
environmental exposures, comorbid conditions, treatment adherence, and in
particular inhalation technique must be recognized and considered appropriately
before confirming the diagnosis of severe asthma. Objective criteria are
important in the follow-up evaluation of severe asthma. They must include the
measurement of some disease components. During follow-up, it is recommended that
patients be monitored by validated questionnaires about quality of life and
asthma control and by pulmonary function measurements, airway inflammation
assessment, and recording the frequency of exacerbations and healthcare system
use (including whether planned or unexpected). Severe asthma is a heterogeneous
condition that includes several phenotypes. Determining the phenotype of each
case of severe asthma will improve our understanding of its underlying
mechanisms, natural history and prognosis, will help guide the choice of current
and future treatments and will provide useful indications for new therapeutic
interventions.
PMID- 18055162
TI - [Severe hard-to-treat refractory asthma: the only asthma issue of the 21st
century?].
PMID- 18055163
TI - Using a clinically aggressive sample to examine the association between
impulsivity, executive functioning, and verbal learning and memory.
AB - Impulsive behavior has been conceptualized from several vantage points including
biological, sociological and psychological phenomenon. A comprehensive review of
the empirical literature revealed that there is a paucity of research examining
the association between working memory, executive functioning and impulsivity. A
total sample of 170 aggressive outpatient participants was recruited for the
study. Participants were administered a comprehensive neuropsychological battery.
Principal components analysis of the 19 CVLT indices revealed five factors,
accounting for 68% of the total variance. Results from the canonical correlation
revealed one significant canonical variate with loadings from three CVLT factors
(General Verbal Learning, Response Discrimination, and Proactive Interference),
two executive functioning measures (Trail Making Test and Controlled Oral Word
Association Test), and one impulsivity subscale (Attentional Impulsiveness). The
findings of this study underscore the importance of memory functioning in
determining impulsive aggressive behavior.
PMID- 18055164
TI - Origin and spread of the SRY gene on the X and Y chromosomes of the rodent
Microtus cabrerae: role of L1 elements.
AB - In the rodent species Microtus cabrerae, males as well as females present several
copies of the SRY gene, a single-copy gene located on the Y chromosome in most
mammals. Using different PCR approaches, we have characterized the sequence,
structure, and organization of the SRY copies and their flanking regions
distributed on the X and Y chromosomes of this species. All copies of SRY
analyzed, including those from the Y chromosome, proved to be nonfunctional
pseudogenes, as they have internal stop codons. In addition, we demonstrated the
association of SRY pseudogenes with different fragments of L1 and LTR
retroelements in both sex chromosomes of M. cabrerae. Examining the possible
origin of SRY pseudogene and retroposons association, we propose that retroposons
could have been involved in the mechanism of SRY gene amplification on the Y
chromosome and in the transference of the Y-linked SRY copies to the X-chromosome
heterochromatin.
PMID- 18055167
TI - Aortoiliac occlusive disease in the presence of a horseshoe kidney: a case
report.
AB - A case of aortoiliac occlusive disease in the presence of a horseshoe kidney
anomaly is presented. The importance of careful preoperative planning by way of
specialized investigations is stressed and the merits of both the anterior
transperitoneal and retroperitoneal approaches to aortoiliac reconstruction in
the presence of a horseshoe kidney are outlined.
PMID- 18055165
TI - Expression profiling identifies novel Hh/Gli-regulated genes in developing
zebrafish embryos.
AB - The Hedgehog (Hh) signaling pathway plays critical instructional roles during
embryonic development. Misregulation of Hh/Gli signaling is a major causative
factor in human congenital disorders and in a variety of cancers. The zebrafish
is a powerful genetic model for the study of Hh signaling during embryogenesis,
as a large number of mutants that affect different components of the Hh/Gli
signaling system have been identified. By performing global profiling of gene
expression in different Hh/Gli gain- and loss-of-function scenarios we identified
known (e.g., ptc1 and nkx2.2a) and novel Hh-regulated genes that are
differentially expressed in embryos with altered Hh/Gli signaling function. By
uncovering changes in tissue-specific gene expression, we revealed new
embryological processes that are influenced by Hh signaling. We thus provide a
comprehensive survey of Hh/Gli-regulated genes during embryogenesis and we
identify new Hh-regulated genes that may be targets of misregulation during
tumorigenesis.
PMID- 18055166
TI - Social networks and immunosuppression during stress: relationship conflict or
energy conservation?
AB - Despite the apparent health benefits of social relationships, some studies
indicate that larger social networks can be associated with greater vulnerability
to infectious disease, particularly if stressors are also present. Two
possibilities for such effects are, first, that more social contacts lead to more
negative affect and social conflict during stressors, or second, that maintaining
more social contacts is an energetically costly activity, and ecologically
motivated immunosuppression is one means of providing energy to maintain social
resources. First-year law students (N=76) completed questionnaires and had
delayed-type hypersensitivity skin tests at five time points during their first 6
months of law school. Both moving away from home and a smaller social network
associated with larger DTH responses (both p<0.05) across all time points.
However, negative affect, either broadly defined or as specific affects
(hostility, sadness, guilt), did not mediate social network effects, suggesting
that negative affect and social conflict are less plausible explanations than
ecological immunosuppression. Ecological models would predict that temporary
immunosuppression is less harmful to health in the long run than loss of social
resources.
PMID- 18055168
TI - Improved outcome after rupture of abdominal aortic aneurysm over an 18-year
period.
AB - The purpose of the study was to analyze changes in in-hospital mortality of
patients with ruptured abdominal aortic aneurysm (RAAA) during an 18-year period.
A retrospective analysis of 246 patients with RAAA in the years 1987-2005 was
performed. The patients were divided into groups that consisted of 111 patients
treated in the years 1987-2000 (group I) and 135 patients treated in the years
2001-2005 (group II). The in-hospital mortality rates of all patients and of
operated patients in both groups were analyzed. Preoperative variables such as
age, gender, size of the aneurysm, duration of symptoms, distance to the vascular
surgery department, full blood count, serum creatinine and urea concentrations,
and systolic and diastolic blood pressures, as well as the number of all AAAs and
RAAAs treated per year, were compared between the groups. The Mann-Whitney U-test
and Fisher exact test were used to analyze differences in continuous and
categorical variables, respectively. The in-hospital mortality of all patients
was significantly lower in group II (p = 0.006) The difference in in-hospital
mortality of operated patients was of borderline statistical significance (p =
0.07). The proportion of nonoperated patients decreased from 21% to 6% (p =
0.0008). The patients from group II had significantly higher preoperative levels
of hemoglobin, hematocrit, erythrocytes, and platelets, as well as higher
systolic and diastolic blood pressure and smaller diameter of aneurysm. The
number of both all aneurysms and RAAAs per year was significantly higher in group
II. The improved preoperative status of the patients and more aggressive surgical
approach are associated with reduction in in-hospital mortality of patients with
RAAA. The increased experience of the center may also improve outcome of RAAA.
PMID- 18055169
TI - Positional symptomatic occlusion of the internal carotid artery: evaluation and
surgical management.
AB - A 42-year-old woman developed right arm and right leg weakness when turning her
head to the left. A carotid angiogram, in the neutral position, demonstrated
anterior deviation of the left internal carotid artery and complete occlusion of
the left internal carotid artery when the head was rotated to the left. During
surgery, our patient had redundant left internal carotid artery and was treated
by resection and reanastomosis of the internal carotid artery. The patient's
Doppler 4 months postoperatively showed widely patent arteries, and she has
subsequently been asymptomatic.
PMID- 18055170
TI - Ultrasound screening for abdominal aortic aneurysm in medicare beneficiaries.
AB - Ultrasound screening for abdominal aortic aneurysm (AAA) has been shown to be
beneficial and cost-effective for men aged 65-74. However, most screening studies
have been conducted in Europe and Australia, where attendance for screening was
higher than the single large U.S. study involving only veterans. The prevalence
of AAA in the U.S. general population is not well defined, nor is the best method
of recruitment for screening. Letters of invitation for a free screening
ultrasound for AAA were sent to 30,000 randomly selected Medicare beneficiaries
from the hospital referral region of three university-affiliated hospitals
without restriction by age, gender, or comorbidity. Attendance for screening was
calculated by age, gender, and travel distance to the screening center. Telephone
calls to a random sample of nonresponders were made to determine the reason for
failure to attend. Prevalence of AAA by ultrasound and known risk factors for AAA
(e.g., age, gender, smoking status) were determined. The attendance rate was 7%
(2,005). Attendance was greater with male gender (p < 0.01), younger age (p <
0.05), and decreased travel distance to the screening center (p < 0.05). The
primary reasons for failure to attend included incorrect address or vital status,
poor health, and lack of interest. Prevalence of previously undetected AAA was
2.8% in men and 0.2% in women. AAA was predicted by smoking status and male
gender (p < 0.01 for each). Unselected invitation of Medicare beneficiaries for
ultrasound screening for AAA results in a low attendance and low yield of AAA.
The prevalence estimates from this study may not reflect the entire Medicare
population given the low attendance and may reflect the healthy habits of those
most interested in screening. Patients should be selected for screening based on
their suitability for repair if an AAA is found as well as their risk factors for
AAA. The best method of recruitment for screening of those most at risk for AAA
in the United States remains to be determined.
PMID- 18055171
TI - The effect of systemic anticoagulation in patients undergoing angioaccess
surgery.
AB - The benefit of intravenous heparin as an anticoagulant to avoid thrombotic
complications during angioaccess surgery for hemodialysis is unknown. We
prospectively randomized 115 consecutive patients referred to our institution for
permanent hemodialysis access to receive systemic anticoagulation or no
anticoagulation during angioaccess surgery. Patient demographics, comorbid
conditions, procedure time, complications, and patency were recorded in
accordance with standards recommended by the Society for Vascular Surgery. Of the
115 patients randomized, 58 received no anticoagulation and 57 received systemic
anticoagulation with intravenous heparin. Arteriovenous fistulas were created in
84 patients and 31 arteriovenous grafts were inserted. Operative times were
longer for grafts compared to fistulas, but there were no significant differences
in operative times between patients receiving anticoagulation and those not (p =
0.31). Perioperative bleeding complications were more common in patients
receiving heparin (p = 0.008). The primary 30-day patency was 84% for patients
receiving heparin and 86% for those not (p = 0.79). The 3-month functional
patency was 68% for both groups (p = 0.99). Age, gender, operative time, and
incidence of bleeding complications had no impact on patency. In our experience,
systemic anticoagulation for angioaccess surgery is associated with an increased
incidence of bleeding complications and offers no advantage in terms of early
patency.
PMID- 18055172
TI - Femororenal arteriovenous graft: a viable option for hemodialysis access.
AB - There has been a significant increase in the number of patients with end-stage
renal disease. The limited number of kidney transplants necessitates that most
patients become dependent upon chronic dialysis. Due to the numerous
complications associated with temporary access catheters, permanent arteriovenous
access is more beneficial for long-term vascular access. However, with the
restricted availability of sites for permanent vascular access, it is important
to have a variety of possibilities. In this case report, we present an
alternative choice for an arteriovenous graft, left common femoral artery to left
renal vein, in a patient with limited vascular access options.
PMID- 18055173
TI - Renal response to open and endovascular repair of abdominal aortic aneurysm: a
prospective study.
AB - Because of incompatible reports about the renal impairment to abdominal aortic
aneurysm (AAA) repair, we conducted a prospective study to determine the
differences in renal response between open (OR) and endovascular (EVAR) aneurysm
repair. In a prospective, nonrandomized, single-center study, we evaluated 485
patients with AAAs undergoing OR or EVAR between January 2000 and December 2005.
Only electively performed procedures were analyzed in detail. The OR group
included 229 patients (males/females 203/26, median age 69.8 [range 43-90] years,
aneurysm diameter in median 57 [26-95] mm), and the EVAR group integrated 144
patients (males/females 129/15, 73.1 [49-90] years [p=.001], 55 [33-100] mm).
Renal function was assessed by determinating the preoperative serum creatinine
(SCr) level and SCr clearance according to Cockcroft-Gault. Postoperatively, SCr
level and SCr clearance were determined at defined intervals, reported as highest
postoperative SCr level, SCr level at time of discharge, lowest postoperative SCr
clearance, and SCr clearance at time of discharge. The parameters of height,
weight, diabetes, smoking habit, serum cholesterol level, and hemoglobin were not
different between the groups. Significantly different were the American Society
of Anesthesiologists classification, the Society for Vascular Surgery Comorbidity
Score, and the exposure to contrast dye. Moreover, significantly different were
intraoperatively measured median blood loss (1,200 vs. 400 mL) and the median
time of operation (164 vs. 135 min). Although, the preoperative SCr levels
between the groups were not statistically different (OR group 1.0 [0.87-1.23]
mg/dL [median, interquartile range], EVAR group 1.0 [0.9-1.3]; p > 0.05), the SCr
clearance was (OR group 72.8 [58.2-98.8] mL/min, EVAR group 67.6 [51.3-85.1]
mL/min; p = 0.007). In the postoperative period, SCr level did not change
significantly in the OR group but did in the EVAR group to a level of 1.08 (0.9
1.36) mg/dL (p = 0.007). Similarly, SCr clearance decreased significantly in the
EVAR group to a level of 66.7 (49.9-81.4) mL/min. These results were influenced
by the stent graft design (deployment under the renal arteries vs. covering the
renals with bared stents). Mortality was 3/229 in the OR group and 4/144 in the
EVAR group. Acute renal impairment occurred in a subset of patients with AAAs
with regard to the type of repair. EVAR showed a slight deterioration of renal
function, but the evaluated tests are insensitive and without prognostic value
concerning mortality or hospitalization. More sensitive markers of the
differentiated renal functions (cystatin C for renal glomerular function, N
acetyl-ss-d-glucosamidase for proximal tubular function) should be evaluated in
future studies.
PMID- 18055175
TI - Missing data: implications for analysis.
PMID- 18055174
TI - A novel method for automatic determination of different stages of multiple
sclerosis lesions in brain MR FLAIR images.
AB - It is very important to detect stages of multiple sclerosis (MS) lesions in order
to exactly quantify involved voxels. In this paper, a novel method is proposed
for automatic detection of different stages of MS lesions in the brain magnetic
resonance (MR) images, in fluid attenuated inversion recovery (FLAIR) studies. In
the proposed method, firstly, MS lesion voxels are segmented in FLAIR images
based on adaptive mixtures method (AMM) and Markov Random Field (MRF) model.
Then, signal intensity of each lesion voxel is modeled as a linear combination of
signals related to the normal and also abnormal parts, in the voxel. By applying
an optimal threshold, voxels with new intensities are primarily classified into
two stages: previously destructed (chronic) and on going destruction (acute)
lesions. Finally, the acute lesions, according to their activities, are
classified, by another optimal threshold, into two new stages, early and recent
acute. Evaluation of the proposed method was performed by manual segmentation of
chronic and enhanced (early) acute lesions in gadolinium enhanced T1-weighted
(Gad-E-T1-w) images by studying T1-weighted (T1-w) and T2-weighted (T2-w) images,
using similarity criteria. The results showed a good correlation between the
lesions segmented by the proposed method and by experts manually. Thus, the
suggested method is useful to reduce the need for paramagnetic materials in
contrast enhanced MR imaging which is a routine procedure for separation of acute
and chronic lesions.
PMID- 18055176
TI - Deficits in facial affect recognition in unaffected siblings of Xhosa
schizophrenia patients: evidence for a neurocognitive endophenotype.
AB - The present study in an African Xhosa sample examined whether familial
vulnerability to schizophrenia is associated with deficits in facial affect
recognition. Healthy comparison subjects, unaffected siblings of schizophrenia
patients, and schizophrenia patients were tested with a task requiring rapid
recognition of matched positive (happy), negative (angry), and neutral facial
expressions. Siblings and patients demonstrated impaired recognition of negative
relative to positive facial expressions whereas comparison subjects recognized
negative and positive expressions at an equal level of accuracy. These results
suggest that deficits in the processing negative affect from social cues are
transmitted in families and may represent a heritable endophenotype of
schizophrenia.
PMID- 18055177
TI - Depression in schizophrenia: comparison of first- and second-generation
antipsychotic drugs.
AB - The aim of this study was to compare the effects of different antipsychotics on
depressive symptoms in schizophrenic patients. The data were drawn from a
retrospective, naturalistic, observational study in which 222 subjects diagnosed
as being affected by schizophrenia during a re-exacerbation phase received 6
weeks of monotherapy with fluphenazine decanoate, haloperidol decanoate,
haloperidol, clozapine, olanzapine, quetiapine, risperidone or l-sulpiride. The
Brief Psychiatric Rating Scale (BPRS), Extrapyramidal Side Effects Rating Scale
(EPSE) and Anticholinergic Rating Scale (ACS) were administered at baseline and
six weeks after the beginning of the study; depressive symptoms were evaluated
using the BPRS items "depressive mood" and "guilt feelings". All of the
antipsychotic drugs led to improvements in the depressive dimension, but this was
statistically significant only in the case of fluphenazine decanoate,
haloperidol, olanzapine, risperidone and l-sulpiride. A clinical improvement in
the depressive dimension significantly correlated with the severity of the
psychotic picture and its amelioration. Female patients were significantly more
likely to show an improvement in depressive symptoms. In conclusion, our findings
suggest that atypical antipsychotics as a class do not seem to be more effective
on the depressive dimension during the course of schizophrenia than typical ones,
at least as far as the collected BPRS data are concerned. The only factor that
seemed to influence the improvement in depressive symptoms during our study was
gender, as females were significantly more likely to improve although there were
no between-gender differences in the baseline severity of the clinical picture.
PMID- 18055178
TI - Neuropsychological substrates and everyday functioning implications of
prospective memory impairment in schizophrenia.
AB - Individuals with schizophrenia demonstrate impairment in prospective memory
(ProM), which describes the multifaceted ability to execute a future intention.
Despite its clear implications for everyday functioning, the neuropsychological
substrates and functional correlates of ProM impairment in schizophrenia remain
poorly understood. In this study, the Memory for Intentions Screening Test
(MIST), a standardized measure of ProM, was administered to 72 outpatients with
schizophrenia or schizoaffective disorder as part of a comprehensive
neuropsychological and psychiatric research evaluation. Results showed that ProM
was positively correlated with standard clinical tests of attention, working
memory, processing speed, learning, and executive functioning, but not delayed
recall. In the context of multiple neuropsychological predictors, learning
ability was the only domain that independently contributed to ProM. Importantly,
better ProM was predictive of higher functional capacity (as measured by the UCSD
Performance-Based Skills Assessment-Brief Version), above and beyond the
variability explained by demographic and disease factors. Analysis of component
processes revealed that event-based ProM, as well as no response (i.e., omission)
and task substitution errors were the strongest predictors of everyday
functioning. Overall, these findings suggest that ProM impairment in
schizophrenia is associated with multiple cognitive substrates, particularly
episodic learning deficits, and plays an important role in everyday living
skills. Studies regarding the potential effectiveness of ProM-based remediation
strategies to improve functional outcomes in schizophrenia are indicated.
PMID- 18055179
TI - Pathways to psychosis: a comparison of the pervasive developmental disorder
subtype Multiple Complex Developmental Disorder and the "At Risk Mental State".
AB - BACKGROUND: The comparison of high-risk populations with different developmental
pathways to psychosis may lend more insight into the heterogeneity of the
manifestation of the psychotic syndrome, and possible differing etiological
pathways. AIM: To compare high-risk traits and symptoms in two populations at
risk for psychosis, i.e. (1) help-seeking adolescents presenting with prodromal
symptoms meeting the criteria for At Risk Mental State (ARMS), and (2)
adolescents with Multiple Complex Developmental Disorder (MCDD), a PDD-NOS
subtype characterized by severe, early childhood-onset deficits in affect
regulation, anxieties, disturbed social relationships, and thought disorder.
METHOD: 80 ARMS- and 32 MCDD-adolescents (12-18 years) were compared on prodromal
symptoms (Structured Interview of Prodromal Symptoms, and Bonn Scale for the
Assessment of Basic Symptoms-Prediction list), and autism traits (Social
Communication Questionnaire). In addition, both high-risk groups were compared
with 82 healthy controls on schizotypal traits (Schizotypal Personality
Questionnaire-Revised). RESULTS: Although the high-risk groups clearly differed
in early developmental and treatment histories as well as autism traits, they did
not differ with regard to schizotypal traits and basic symptoms, as well as
disorganized and general prodromal symptoms. There were, however, group
differences in positive and negative prodromal symptoms. Interestingly, 78% of
the adolescents with MCDD met criteria for ARMS. CONCLUSION: These findings
suggest that children diagnosed with MCDD are at high risk for developing
psychosis later in life, and support the notion that there are different
developmental pathways to psychosis. Follow-up research is needed to compare the
rates of transition to psychosis in both high-risk groups.
PMID- 18055180
TI - Evolution of neuroleptic-induced extrapyramidal syndromes under long-term
neuroleptic treatment.
AB - BACKGROUND: The long-term evolution of neuroleptic-induced extrapyramidal
syndromes (EPS) of Parkinsonism, akathisia and tardive dyskinesia (TD) is still a
controversial issue worth exploring. METHOD: A total of 200 inpatients on regular
typical neuroleptics (NL) and/or clozapine were assessed in 1995 with regard to
the prevalence of EPS. Altogether, 83 patients could be reassessed in 2003/04 (63
had died) using the same methods. Strict definitions of EPS were used. The
complete account of NL therapy the patients were prescribed between 1995 and
2003/04 (including atypical NL other than clozapine) was considered. RESULTS: The
prevalences found in 1995 and 2003/04 were 17% and 29% for Parkinsonism, 14% and
14% for akathisia, and 24% and 13% for TD. There were considerable intra
individual fluctuations in EPS occurrence even when the overall prevalence rate
remained the same. In intra-individual comparisons of EPS ratings on both
assessments, there was a tendency for worsening of Parkinsonism to be associated
with a current (2003/04) therapy with typical NL; worsening of akathisia was
associated with a current therapy with atypical NL other than clozapine,
amelioration of akathisia with a current therapy with clozapine; and, basically,
there were no significant associations found between the changes in TD ratings
and the long-term therapy with typical NL, clozapine, and other atypical NL,
considering cumulative doses of all these drugs. In a multivariate analysis,
there was a tendency for the long-term evolution of TD to depend on illness
duration as the only variable. CONCLUSIONS: There are intra-individual
fluctuations in all EPS over longer time periods. The choice of current NL
therapy has an impact on Parkinsonism and akathisia. The long-term evolution of
TD appears independent of NL prescriptions.
PMID- 18055181
TI - Genetic analysis of the gene coding for DARPP-32 (PPP1R1B) in Japanese patients
with schizophrenia or bipolar disorder.
AB - Several lines of evidence, including genome-wide linkage scans and postmortem
brain studies of patients with schizophrenia or bipolar disorder, have suggested
that DARPP-32 (dopamine- and cAMP-regulated phosphoprotein, 32 kDa), a key
regulatory molecule in the dopaminergic signaling pathway, is involved in these
disorders. After evaluating the linkage disequilibrium pattern of the gene
encoding DARPP-32 (PPP1R1B; located on 17q12), we conducted association analyses
of this gene with schizophrenia and bipolar disorder. Single-marker and
haplotypic analyses of four single nucleotide polymorphisms (SNPs; rs879606,
rs12601930, rs907094, and rs3764352) in a sample set (subjects with
schizophrenia=384, subjects with bipolar disorder=318, control subjects=384)
showed that PPP1R1B polymorphisms were not significantly associated with
schizophrenia, whereas, even after Bonferroni corrections, significant
associations with bipolar disorder were observed for rs12601930 (corrected
genotypic p=0.00059) and rs907094 (corrected allelic p=0.040). We, however, could
not confirm these results in a second independent sample set (subjects with
bipolar disorder=366, control subjects=370). We now believe that the significant
association observed with the first sample set was a result of copy number
aberrations in the region surrounding these SNPs. Our findings suggest that
PPP1R1B SNPs are unlikely to be related to the development of schizophrenia and
bipolar disorder in the Japanese population.
PMID- 18055182
TI - Genetic transformation of the dermatophyte, Trichophyton mentagrophytes, based on
the use of G418 resistance as a dominant selectable marker.
AB - BACKGROUND: Dermatophytes are closely related keratinophilic fungal pathogens and
are the causative agents of a superficial cutaneous infection called
dermatophytosis (ringworm). A lack of gene manipulation techniques has prevented
detailed analyses of the mechanisms of host invasion by dermatophytes. We have
introduced the tetracycline-regulatable (TR) gene expression system into
dermatophytes to facilitate functional analyses of genes essential for growth and
virulence. As the TR gene expression system consists of two plasmid vector
components, two dominant selectable markers are required for genetic
transformation. In dermatophytes, only the hygromycin B phosphotransferase gene
(hph) is available as a selectable marker. OBJECTIVE: We investigated the
possibility of G418 resistance as a secondary selectable marker for genetic
transformation in dermatophytes. METHODS: A series of plasmid vectors carrying
the neomycin phosphotransferase gene (nptII) were introduced into the protoplasts
of Trichophyton mentagrophytes, one of the most clinically important dermatophyte
species, by polyethylene glycol (PEG)-mediated transformation. Transformants were
selected on selective medium containing G418 at 300-500 microg/ml. RESULTS:
Molecular biological analyses indicated that colonies appearing on the selective
medium harbored nptII in their chromosomes. Colonies produced from protoplasts
transformed with the enhanced green fluorescent protein (eGFP) gene-T.
mentagrophytes cyclophilin cDNA (TmcypB) fusion vector also exhibited GFP
fluorescence throughout their mycelia, but accumulation of the GFP-TmCYPB fusion
protein in specific intracellular compartments was not observed. CONCLUSIONS:
This study has provided a new selectable marker for genetic transformation in
dermatophytes.
PMID- 18055183
TI - Linezolid pharmacokinetic/pharmacodynamic profile in critically ill septic
patients: intermittent versus continuous infusion.
AB - Pharmacokinetics and pharmacodynamics are significantly altered in critically ill
septic patients and the risk of prolonged periods with concentrations below the
minimum inhibitory concentration (MIC) and of low area under the serum
concentration-time curve/MIC (AUC/MIC) ratios is of concern. We compared the
pharmacokinetic/pharmacodynamic (PK/PD) profile of linezolid administered by
intermittent or continuous infusion in critically ill septic patients. Patients
were divided into two groups: intermittent infusion (Group I) (600mg/12h); or
continuous infusion (Group C) (300mg intravenous loading dose +900mg continuous
infusion on Day 1, followed by 1200mg/daily from Day 2). Linezolid serum levels
were monitored for 72h and microbiological data were collected. The clinical
outcome was monitored. Sixteen patients completed the study. MICs of susceptible
pathogens were 2mg/L for 80% of the isolates. In Group I, linezolid trough serum
levels (C(min)) varied widely and were below the susceptibility breakpoint
(4mg/L) during the study period; in 50% of patients C(min) was <1mg/L. In Group
C, mean linezolid serum levels were more stable and, starting from 6h, were
significantly higher than C(min) levels observed in Group I and were always above
the susceptibility breakpoint. Time that the free drug concentration was above
the MIC (T(free)>MIC) of>85% was more frequent in Group C than in Group I
(P<0.05). Finally, with continuous infusion it was possible to achieve AUC/MIC
values of 80-120 more frequently than with intermittent infusion (P<0.05).
According to PK/PD parameters, continuous infusion has theoretical advantages
over intermittent infusion in this population of patients.
PMID- 18055184
TI - Brain response abnormalities during verbal learning among patients with
schizophrenia.
AB - Patients with schizophrenia often show verbal learning deficits that have been
linked to the pathophysiology of the disorder and result in functional
impairment. This study examined the biological basis of these deficits by
comparing the brain response of patients with schizophrenia (n=17) to that of
healthy comparison participants (n=14) during a verbal paired-associates learning
task using functional magnetic resonance imaging (fMRI). Brain response during
new word learning was examined within and between groups in two a priori regions
of interest, the inferior frontal gyrus and hippocampus, and across the whole
brain. In regions of group difference, we also examined the relationship of brain
response during learning to later recall of the word pairs. Despite successful
matching of levels of word-pair recall, patients' brain response during new
learning was abnormal in bilateral regions within the inferior frontal gyrus, a
small region in left posterior hippocampus, and other areas within the frontal,
parietal and temporal cortex compared with healthy individuals. In some regions,
but not in the hippocampus, patients with the most normal brain response also
remembered the most word pairs following scanning. Thus, verbal learning deficits
found among patients with schizophrenia appear to be related to hypofunction of
distributed brain networks.
PMID- 18055185
TI - Experimental determination of orientations for the 17 O electric-field-gradient
and chemical shielding tensors in L-alanine.
AB - We have presented a solid-state 17 O NMR study of [13C, 17 O]-L-alanine. Using
the experimental results for the 13C-17 O dipolar vector and Euler angles, the
absolute orientations of 17 O chemical shielding (CS) and electric-field-gradient
(EFG) tensors with respect to the molecular frame can be determined for L
alanine. The present results suggest that the intermediate EFG tensor components,
VYY, lie in the carboxylate plane and parallel to the C-O bond directions, while
the least shielded components, delta11, and the intermediate CS tensor
components, delta22, roughly lie in the molecular plane and the direction of
delta22 components are approximately 38 degrees and 25 degrees off the C-O bonds
for O1 and O2, respectively. These results are in reasonable agreement with those
of our quantum chemical calculations reported previously.
PMID- 18055186
TI - Covalent immobilization of antibody fragments on well-defined polymer brushes via
site-directed method.
AB - Well-defined polymer brushes and block copolymer brushes consisting of 2
methacryloyloxyethyl phosphorylcholine (MPC) and glycidyl methacrylate (GMA) were
prepared by surface-initiated atom transfer radical polymerization (ATRP). The
polymer brushes were used for the immobilization of antibody fragments in a
defined orientation. Pyridyl disulfide moieties were introduced to the polymer
brushes via a reaction of epoxy groups in GMA units. Fab' fragments were then
immobilized onto these surfaces via a thiol-disulfide interchange reaction and
the reactivity of antibodies with antigens was investigated. Antigen/antibody
binding on the polymer brushes was more preferable than that on epoxysilane films
as a control surface. Furthermore, the activity of the antibodies immobilized on
the block copolymer brushes having biocompatible PMPC was greater than that on
other surfaces that did not have PMPC in their structures.
PMID- 18055187
TI - Binding and degradation of DNA on montmorillonite coated by hydroxyl aluminum
species.
AB - Adsorption, desorption and degradation by DNase I of DNA on montmorillonite (M)
and different hydroxyaluminum-M complexes (Al(OH)(x)-M) containing 2.5, 10.0 and
20.0 mmol coated Al/g clay (AM(2.5), AM(10) and AM(20)) were studied. The
adsorption isotherms of DNA on montmorillonite and Al(OH)(x)-M complexes
conformed to the Langmuir equation. The amount of DNA adsorbed followed the
sequence of montmorillonite>AM(20)>AM(10)>AM(2.5). A marked decrease in the
adsorption of DNA on montmorillonite and Al(OH)(x)-M complexes was observed with
the increase of pH from 4.0 to 9.0. Calcium ion significantly promoted DNA
adsorption. The adsorption enthalpy of DNA on montmorillonite was endothermic,
whereas that on Al(OH)(x)-M complexes was exothermic. The percent desorption of
DNA from clays was in the order of montmorillonite>AM(2.5)>AM(10)>AM(20),
suggesting that OH-Al loading on montmorillonite surface increased the binding
affinity of DNA. Fourier transform infrared (FTIR) spectra showed that the
binding of DNA on AM(10) and AM(20) changed its conformation from the B-form to
the Z-form. The presence of montmorillonite and Al(OH)(x)-M complexes provided
protection for DNA against degradation by DNase I. The higher level of protection
was found with Al(OH)(x)-M complexes compared to montmorillonite. The higher
stability of DNA in the system of Al(OH)(x)-M complexes seemed to be attributed
mainly to the conformational change of bound DNA and their greater adsorption
capacity for DNase I. The information obtained in this study is of fundamental
significance for understanding the behavior of extracellular DNA in soil
environments.
PMID- 18055188
TI - Characterization of the in vitro metabolic profile of amlodipine in rat using
liquid chromatography-mass spectrometry.
AB - In the present study, the metabolic profile of amlodipine, a well-known calcium
channel blocker, was investigated employing liquid chromatography-mass
spectrometric (LC/MS) techniques. Two different types of mass spectrometers - a
triple-quadrupole (QqQ) and a quadrupole time-of-flight (Q-TOF) mass spectrometer
- were utilized to acquire structural information on amlodipine metabolites. The
metabolites were produced by incubation of amlodipine with primary cultures of
rat hepatocytes. Incubations from rat hepatocytes were analyzed with LC-MS/MS,
and 21 phase I and phase II metabolites were detected. Their product ion spectra
were acquired and interpreted, and structures were proposed. Accurate mass
measurement using LC-Q-TOF was used to determine the elemental composition of
metabolites and thus to confirm the proposed structures of these metabolites.
Mainly phase I metabolic changes were observed including dehydrogenation of the
dihydropyridine core, as well as reactions of side chains, such as hydrolysis of
ester bonds, hydroxylation, N-acetylation, oxidative deamination, and their
combinations. The only phase II metabolite detected was the glucuronide of a
dehydrogenated, deaminated metabolite of amlodipine. We propose several in vitro
metabolic pathways of amlodipine in rat, based on our analysis of the metabolites
detected and characterized.
PMID- 18055189
TI - Hepatocellular toxicity of kava leaf and root extracts.
AB - Kava extracts are used widely for different purposes and were thought to be safe.
Recently, several cases of hepatotoxicity have been published. To explore
possible mechanisms of kava hepatotoxicity, we prepared and analyzed three
different kava extracts (a methanolic and an acetonic root and a methanolic leaf
extract), and investigated their toxicity on HepG2 cells and isolated rat liver
mitochondria. All three extracts showed cytotoxicity starting at a concentration
of 50 microg/ml (lactate dehydrogenase leakage) or 1 microg/ml (MTT test). The
mitochondrial membrane potential was decreased (root extracts starting at 50
microg/ml) and the respiratory chain inhibited and uncoupled (root extracts) or
only uncoupled (leaf extract) at 150 microg/ml, and mitochondrial beta-oxidation
was inhibited by all extracts starting at 100 microg/ml. The ratio oxidized to
reduced glutathione was increased in HepG2 cells, whereas the cellular ATP
content was maintained. Induction of apoptosis was demonstrated by all extracts
at a concentration of 150 microg/ml. These results indicate that the kava
extracts are toxic to mitochondria, leading to inhibition of the respiratory
chain, increased ROS production, a decrease in the mitochondrial membrane
potential and eventually to apoptosis of exposed cells. In predisposed patients,
mitochondrial toxicity of kava extract may explain hepatic adverse reactions of
this drug.
PMID- 18055190
TI - Pemphigoid gestationis autoantigen, transmembrane collagen XVII, promotes the
migration of cytotrophoblastic cells of placenta and is a structural component of
fetal membranes.
AB - In pemphigoid gestationis (PG), autoantibodies target collagen XVII, a
hemidesmosomal transmembrane protein, which is an important element in cutaneous
epithelial adhesion and signalling. We report that collagen XVII is expressed in
the first trimester and term syncytial and cytotrophoblastic cells of normal
placenta and in epithelial cells of amniotic membrane. Immunoelectron microscopy
confirmed the localization of collagen XVII to the hemidesmosomes of amniotic
epithelium. Examination of three PG placentas showed mild villitis, but there
were no differences between collagen XVII expression levels or immunostaining
signals as compared to normal placenta. Collagen XVII expression was also
detected in cultured extravillous trophoblast HTR-8/SVneo cells, where collagen
XVII expression was upregulated by PMA and TGF-beta1. Interestingly, the presence
of Col15, the cell migration domain of collagen XVII, induced the migration of
HTR-8/SVneo cells in transmigration assay. Analysis of amniotic fluid samples at
different gestational weeks revealed that a large quantity of collagen XVII
ectodomain was shed into amniotic fluid throughout pregnancy. Biochemical and
immunoblotting analysis indicated that the ectodomain in amniotic fluid is
structurally very similar to the ectodomain produced by cultured keratinocytes.
Cultured cells from amniotic fluid samples also expressed collagen XVII. Our
results suggest that collagen XVII may contribute to the invasion of extravillous
trophoblasts during placental development and is also required for the integrity
of amniotic basement membrane. Although the exact pathomechanism of PG is still
largely unknown, the clinical symptoms of PG are initiated after the expression
of collagen XVII in placenta during the first trimester of pregnancy.
PMID- 18055191
TI - Using exonuclease III to enhance electrochemical detection of natural DNA damage
in layered films.
AB - The natural double-stranded DNA (dsDNA) was immobilized on electrode surface by
layer-by-layer assembly, forming PSS/PDDA/dsDNA films (PSS, poly(styrene
sulfonate); PDDA, poly(diallyldimethylammonium)), and used to detect DNA damage
electrochemically. The DNA lesion induced by the alkylating agent methyl
methanesulfonate (MMS) could be detected by cyclic voltammetry with ruthenium(II)
tris(2,2'-bipyridyl) (Ru(bpy)(3)(2+)) in solution. After treated by E. coli
exonuclease III enzyme, the electrocatalytic oxidation peak of the films was
further amplified and greatly enhanced because the enzyme could convert those
apurinic sites caused by MMS in the damaged dsDNA into single-stranded DNA
regions and make more guanines in the DNA become exposed. This approach provided
a novel idea for constructing DNA biosensor in sensitive screening of genetoxic
chemicals in vitro.
PMID- 18055192
TI - Development of a mast cell-based biosensor.
AB - A mast cell-based biosensor has been developed to enable the use of these cells
in numerous applications including pharmaceutical screening, environmental
monitoring, clinical diagnosis and homeland security. Rat basophilic leukemia
(RBL) mast cells offer excellent potential for biosensor applications because
they are robust and undergo a dramatic exocytotic response within minutes of
antigen addition. To monitor mast cell activation, fluorescent dyes were loaded
into the cells and used as indicators of alkalinization of secretory granules,
calcium fluxes or generation of reactive oxygen species. These fluorescence
assays efficiently measure activation of antigen-stimulated RBL mast cells,
detecting the antigen with picomolar sensitivity. To demonstrate the utility of
this mast cell-based biosensor for detection of microbial pathogens, an IgE
chimeric protein was created by fusing the Fc region of the IgE antibody to CD14,
a receptor for lipopolysaccharide. This chimeric protein has the capacity to bind
to Escherichia coli and Listeria monocytogenes and also to IgE receptors on the
mast cells, thereby stimulating a signaling response to bacteria. RBL mast cells
labeled with the calcium indicator Fluo-4 are shown to be responsive to E. coli,
only when sensitized with the chimeric protein, thus demonstrating a highly
versatile biosensor for bacterial contamination.
PMID- 18055193
TI - Metabolic syndrome and cancer risk.
AB - OBJECTIVE: The purpose of this population-based study is to explore for the first
time the link between metabolic syndrome and cancer risk using information from
the health information system of the Cancer Registry. METHODS: Referring to all
pharmaceutical prescriptions between 1 January 1999 and 31 December 2005, coded
with the Anatomical Therapeutic Chemical classification, all subjects aged 40 and
over resident in Milan, which were simultaneously prescribed with
antihypertensive, hypolypemic and hypoglycaemic drugs, were considered affected
by metabolic syndrome. New cancer cases among cohort subjects were identified
trough the local Cancer Registry and standardised incidence ratios (and
corresponding 95% exact confidence intervals) were computed. RESULTS: Overall
16,677 subjects were identified corresponding to 45,828 person-years; among them
823 incidents cancers occurred. Significantly increased risks for pancreatic
cancer in males--SIR 178 (114-266)--and colorectal cancer in females--SIR 133
(101-170)--were observed. Non-significantly increased risks were also observed in
women for liver, gallbladder and biliary tract, breast and endometrial cancers.
CONCLUSIONS: Our study suggests that the risk for several cancers increases in
subjects affected by metabolic syndrome. The pharmacological control of the
syndrome seems to be inadequate for reducing cancer risk, even though both a high
competitive mortality effect and short duration of follow up have to be
considered.
PMID- 18055194
TI - Risk factors in germ cell tumour patients with relapse or progressive disease
after first-line chemotherapy: evaluation of a prognostic score for survival
after high-dose chemotherapy.
AB - PURPOSE: To retrospectively re-evaluate a published prognostic score for response
to salvage treatment in patients with germ-cell tumours relapsing or progressing
after cisplatin-based first-line chemotherapy. PATIENTS AND METHODS: From a
database of 257 germ cell tumour (GCT) patients treated with salvage high-dose
chemotherapy (HDCT) we identified 176 patients (67%) with relapse or progression
after first-line conventional-dose chemotherapy (CDCT). Patients were
retrospectively grouped according to a published prognostic score defined by
Fossa and colleagues [Fossa SD, Stenning SP, Gerl A, et al. Prognostic factors in
patients progressing after cisplatin-based chemotherapy for malignant non
seminomatous germ cell tumors. Br J Cancer 1999; 80:1392-9]. Overall survival
(OS) and event free survival (EFS) after HDCT were retrospectively evaluated in
each prognostic group. RESULTS: After a median follow-up of 9 years the OS
probability for all 176 patients was 38% and the EFS probability was 35%. The
respective survival probability at 5 years in 100/176 (57%) good prognosis
patients and 76/176 (43%) poor prognosis patients were 47% versus 28% for OS
(p<0.001) and 41% versus 26% for EFS (p<0.005). Whereas survival probabilities
did not differ in good prognosis patients, OS and EFS in poor prognosis patients
were substantially better in the current series of patients treated with HDCT
compared to the ones reported by Fossa treated with CDCT. CONCLUSION: This
retrospective analysis confirms the impact of prognostic factors on the results
of salvage treatment in patients with GCT and suggests a clinical benefit for
patients with poor prognosis features receiving a single course of HDCT.
PMID- 18055195
TI - Stool test for colorectal cancer screening: what is going on?
AB - Given the increasing incidence of colorectal cancer (CRC), performing new and
cost-effective stool tests is of particular importance for early diagnosis and
treatment. In the present review, we describe the main characteristics, and the
performance of the most recently developed stool tests in the screening setting
of colorectal tumoral diseases. Most of the studies reported high sensitivity
both for adenomas and CRC diagnosis; less than half studies reported also high
specificity with respect to stage and localization of the tumor. However, the
performance of every single test was extremely variable, reaching >95%
specificity for most of DNA-based markers, although lacking sensitivity even in
case of invasive CRC. A new potential stool marker of colon cancer is clusterin,
a protein of particular interest for its high sensitivity and positive predictive
value in patients with highly aggressive CRC.
PMID- 18055196
TI - Cryosurgical ablation of hepatic colorectal metastases.
AB - Hepatic resection is the treatment of choice for primary and secondary hepatic
tumors but only 15-25% of patients with hepatic colorectal metastases are
eligible for a curative hepatic resection. Cryosurgical ablation (CSA) is
employed when curative resection of metastases cannot be obtained. Sixty-four
patients (35 males, 29 females, mean age 58.8 years, range 30-79 years) with
hepatic colorectal metastases underwent CSA, under laparoscopic control (15
cases) or with open surgery (49 cases), with subsequent close follow-up.
Intraoperative bleeding occurred in 32 out of 49 patients in the open group and
only in 2 patients in the laparoscopic group. Minor morbidity that resolved with
conservative treatment was 54.8% in the open group and 53.3% in the laparoscopic
group. Major morbidity occurred in 11 cases (26.2%) in the open group and in 1
case (6.7%) in the laparoscopic group. Mortality occurred in two patients, both
in the open group, from renal insufficiency in one case and from liver failure in
the other case. Mean hospital stay was 16.7 days in the open group (range 8-72
days) and 10.6 days in the laparoscopic group (range 3-18 days). No patient was
lost to follow-up. At a mean follow-up of 87.1 months (range 52.2-125.2 months),
selected patients undergoing laparoscopic CSA had an overall survival rate of
66.7% (10 patients), with 30% of patients (3) who are disease-free. Median
survival was 94.2 months. Recurrence was observed in seven patients. None of the
intrahepatic recurrences was at the cryoablation site. In the open group, median
survival was 22.9 months with a survival rate of 30.9% (13 patients) at a mean
follow-up of 39.3 months (range 1.9-124.5 months); 9 patients (19.1%) are disease
free. In selected patients, laparoscopic CSA is associated with survival rates
which are similar to those after hepatic resection. In patients with a larger
tumor burden, CSA offers a curative treatment to patients with otherwise a dismal
prognosis and it improves survival as compared to patients receiving chemotherapy
alone. However, the procedure is associated with substantial morbidity,
particularly bleeding, and therefore careful patient selection is recommended.
PMID- 18055197
TI - Investigation of the sorption mechanisms of metal-complexed dye onto Posidonia
oceanica (L.) fibres through kinetic modelling analysis.
AB - This research deals with the exploitation of highly available and renewable
marine biomass, Posidonia oceanica (L.) fibres as low cost biosorbent for the
removal of metal-complexed textile dyestuff from aqueous medium, and the
investigation of the probably involved physiochemical mechanisms. Experiments
were carried out in batch reactor. Firstly, the adsorption process was studied as
a function of initial solution pH and contact time under different initial dye
concentration. The results showed that the highest dye adsorption capacity was
found at pH 2 under a constant temperature of 30 degrees C, and the equilibrium
state was reached within 48 h of exposure time. Secondly, several adsorption
kinetic models were applied to fit the experimental data, namely Lagergren
irreversible first-order, Reversible first-order, Pseudo-second-order, Elovich,
Ritchie and intraparticle diffusion models. The proposed explanations were
deduced from the theoretical assumptions behind the most appropriate model(s),
which could satisfactorily describe the present biosorption phenomenon. The
interpretation of the related results have shown that, with R(2) of about 99%,
the pseudo-second order model is the most suitable dynamic theory describing the
biosorption of metal complex dye onto P. oceanica fibres, predicting therefore a
chemisorption process.
PMID- 18055198
TI - Parameter and process significance in mechanistic modeling of cellulose
hydrolysis.
AB - A process-based model relevant to landfill and anaerobic digesters was developed,
which included a novel approach to biomass transfer between a cellulose-bound
biofilm and biomass in the bulk liquid. Model results highlighted the
significance of the bacterial colonization of cellulose particles by attachment
through contact in solution. Simulations revealed that both enhanced colonization
and cellulose degradation are associated with reduced cellulose particle size,
increased biomass populations in solution and increased cellulose-binding ability
of the biomass. This suggests that transportation of biomass into the system from
elsewhere and/or bacterial inoculation of such systems could enhance degradation
significantly. A sensitivity analysis of the system parameters revealed the
biological rate and yield properties of the hydrolyzing bacteria are most
significant with regard to cellulose degradation in the system.
PMID- 18055199
TI - Eco-friendly and efficient one-pot synthesis of alkyl- or aryl-14H
dibenzo[a,j]xanthenes in water.
AB - Alkyl- or aryl-14H-dibenzo[a,j]xanthene derivatives are synthesized efficiently
by the reaction of beta-naphthol and aliphatic and aromatic aldehydes in the
presence of KAl(SO4)2 x 12 H2O (alum) under aqueous condition at 100 degrees C.
Different types of aromatic and aliphatic aldehydes are used in the reaction and
in all cases the products synthesized successfully. Several solvents were
examined for this reaction; however, in terms of reaction yield and time, water
was found to be the optimum solvent.
PMID- 18055200
TI - A method for the synthesis of an oseltamivir PET tracer.
AB - A protocol applicable for the synthesis of an oseltamivir positron emission
tomography (PET) tracer was developed. Acetylation of amine 3 with CH(3)COCl,
followed by deprotection and aqueous workup, produced oseltamivir 4 from 3 within
10 min. The obtained 4 was sufficiently pure for PET studies. This method can be
extended to PET tracer synthesis using CH(3)(11)COCl.
PMID- 18055201
TI - Preparation of C60-based active esters and coupling of C60 moiety to amines or
alcohols.
AB - We report the syntheses of C(60)-based active esters and the coupling of their
C(60) moiety to various amines or alcohols. Methano[60]fullerene carboxylic acid
was activated by esterification with N-hydroxysuccinimide (NHS) or
pentafluorophenol (PFP) and the active esters were isolated. Reactions of the
active esters with amines or alcohols proceeded easily to give a variety of
compounds having the C(60) moiety.
PMID- 18055202
TI - (Phenylpiperazinyl)cyclohexylureas: discovery of alpha1a/1d-selective adrenergic
receptor antagonists for the treatment of benign prostatic hyperplasia/lower
urinary tract symptoms (BPH/LUTS).
AB - Benign prostatic hyperplasia/lower urinary tract symptoms (BPH/LUTS) can be
effectively treated with alpha(1) adrenergic receptor antagonists. Unfortunately,
currently marketed alpha(1) blockers produce CV-related side effects that are
caused by the subtype non-selective nature of the drugs. To overcome this
problem, it was postulated that an alpha(1a/1d) subtype-selective antagonist
would bring more benefit for the treatment of BPH/LUTS. As a continuation of our
effort to develop selective alpha(1a/1d) ligands, a series of
(phenylpiperazinyl)cyclohexylureas was synthesized and evaluated for the ability
to bind to three cloned human alpha(1)-adrenergic receptor subtypes. Several
trans isomers were shown to have equal affinity for both alpha(1a), and alpha(1d)
subtypes, with 14- to 47-fold selectivity versus the alpha(1b) subtype and >15
fold selectivity versus dopamine D(2).
PMID- 18055203
TI - Identification of 2-amino-5-(thioaryl)thiazoles as inhibitors of nerve growth
factor receptor TrkA.
AB - 2-Amino-5-(thioaryl)thiazoles are potent inhibitors of TrkA (e.g., 20h, TrkA
IC(50)=0.6 nM) that show anti-proliferative effect in cellular assays. A proposed
inhibitor binding mode to TrkA active site is consistent with key SAR
observations.
PMID- 18055204
TI - Potency and selectivity of P2/P3-modified inhibitors of cysteine proteases from
trypanosomes.
AB - A systematic study of P2 and P3 substitution in a series of vinyl sulfone
cysteine protease inhibitors is described. The introduction of a methyl
substituent in the P2 phenylalanine aryl ring had a favorable effect on protease
inhibition and conferred modest selectivity for rhodesain over cruzain. Rhodesain
selectivity could be enhanced further by combining these P2 modifications with
certain P3 amide substituents.
PMID- 18055205
TI - Block of cyclic nucleotide-gated channels by tetracaine derivatives: role of
apolar interactions at two distinct locations.
AB - A series of new tetracaine derivatives was synthesized to explore the effects of
hydrophobic character on blockade of cyclic nucleotide-gated (CNG) channels.
Increasing the hydrophobicity at either of two positions on the tetracaine
scaffold, the tertiary amine or the butyl tail, yields blockers with increased
potency. However, shape also plays an important role. While gradual increases in
length of the butyl tail lead to increased potency, substitution of the butyl
tail with branched alkyl or cyclic groups is deleterious.
PMID- 18055206
TI - Structure-based design and synthesis of novel macrocyclic pyrazolo[1,5-a]
[1,3,5]triazine compounds as potent inhibitors of protein kinase CK2 and their
anticancer activities.
AB - A series of macrocyclic derivatives has been designed and synthesized based on
the X-ray co-crystal structures of pyrazolo[1,5-a] [1,3,5]triazines with corn CK2
(cCK2) protein. Bioassays demonstrated that these macrocyclic pyrazolo[1,5-a]
[1,3,5]triazine compounds are potent CK2 inhibitors with K(i) around 1.0 nM and
strongly inhibit cancer cell growth with IC(50) as low as approximately 100 nM.
PMID- 18055207
TI - Bone union of painful bipartite patella after treatment with low-intensity pulsed
ultrasound: report of two cases.
AB - We report two cases of painful bipartite patella in which bone union was achieved
after treatment with low-intensity pulsed ultrasound. Both patients were 13-year
old males. Each received ultrasound (SAFHS, EXOGEN) for 20 min a day. The
interval between the onset of pain and ultrasound treatment was between 2 and 4
months. The patellar pain disappeared within two months after treatment in both
cases. Bone union was seen radiographically at 4 months. Low-intensity pulsed
ultrasound is a non-invasive treatment that appeared to be helpful in the
management of two patients with painful bipartite patella.
PMID- 18055208
TI - Biomimetic synthesis of xuxuarines Ealpha and Ebeta: structure revision of
Rzedowskia bistriterpenoids.
AB - Reaction of pristimerin with 2,3-dichloro-5,6-dicyano-1,4-benzoquinone (DDQ)
resulted in a biomimetic-type coupling leading to xuxuarines Ealpha and Ebeta and
not the previously reported Rzedowskia bistriterpenoids I and II suggesting that
the structures proposed for these natural products need revision. A product
obtained in this reaction by an unusual Diels-Alder addition followed by retro
Diels-Alder-type elimination was characterized as pristimerin
dicyanophenalenedione. Complete 1H, and 13C NMR spectral assignments of
xuxuarines Ealpha and Ebeta have been made by the application of 1D and 2D NMR
techniques.
PMID- 18055210
TI - The use of dendritic cells in cancer immunotherapy.
AB - Cancer immunotherapy aims at eliciting an immune response directed against tumor
antigens to help fight off residual tumor cells and thereby improve survival and
quality of life of cancer patients. Different immunotherapeutic approaches share
the use of dendritic cells (DCs) to present tumor-associated antigens to T
lymphocytes. Ex vivo generated DCs can be loaded with antigens and re-infused to
the patients, or they can be used for ex vivo expansion of antitumor lymphocytes.
Alternatively, methods exist to target antigens to DCs in vivo without need for
ex vivo cell manipulations. The clinical studies have shown that DC
administration to patients is safe and induces antigen-specific immunity.
However, it seldom elicits objective clinical responses in patients with advanced
stage malignancies. Novel insights into DC and lymphocyte regulation are expected
to lead to more effective vaccines in the near future. Meanwhile, efforts are
directed at identifying the most appropriate clinical targets for active specific
immunotherapies. Data suggests that vaccinations may indeed be beneficial when
given in the adjuvant setting rather than to treat metastatic cancers. These
issues are discussed here together with an overview of the DC-based antitumor
immunotherapy studies.
PMID- 18055209
TI - CD40L disruption enhances Abeta vaccine-mediated reduction of cerebral
amyloidosis while minimizing cerebral amyloid angiopathy and inflammation.
AB - Amyloid-beta (Abeta) immunization efficiently reduces amyloid plaque load and
memory impairment in transgenic mouse models of Alzheimer's disease (AD). Active
Abeta immunization has also yielded favorable results in a subset of AD patients.
However, a small percentage of patients developed severe aseptic
meningoencephalitis associated with brain inflammation and infiltration of T
cells. We have shown that blocking the CD40-CD40 ligand (L) interaction mitigates
Abeta-induced inflammatory responses and enhances Abeta clearance. Here, we
utilized genetic and pharmacologic approaches to test whether CD40-CD40L blockade
could enhance the efficacy of Abeta(1-42) immunization, while limiting
potentially damaging inflammatory responses. We show that genetic or
pharmacologic interruption of the CD40-CD40L interaction enhanced Abeta(1-42)
immunization efficacy to reduce cerebral amyloidosis in the PSAPP and Tg2576
mouse models of AD. Potentially deleterious pro-inflammatory immune responses,
cerebral amyloid angiopathy (CAA) and cerebral microhemorrhage were reduced or
absent in these combined approaches. Pharmacologic blockade of CD40L decreased T
cell neurotoxicity to Abeta-producing neurons. Further reduction of cerebral
amyloidosis in Abeta-immunized PSAPP mice completely deficient for CD40 occurred
in the absence of Abeta immunoglobulin G (IgG) antibodies or efflux of Abeta from
brain to blood, but was rather correlated with anti-inflammatory cytokine
profiles and reduced plasma soluble CD40L. These results suggest CD40-CD40L
blockade promotes anti-inflammatory cellular immune responses, likely resulting
in promotion of microglial phagocytic activity and Abeta clearance without
generation of neurotoxic Abeta-reactive T-cells. Thus, combined approaches of
Abeta immunotherapy and CD40-CD40L blockade may provide for a safer and more
effective Abeta vaccine.
PMID- 18055211
TI - Electron detachment dissociation of dermatan sulfate oligosaccharides.
AB - The structural characterization of glycosaminoglycans (GAG) oligosaccharides has
been a long-standing challenge in the field of mass spectrometry. In this work,
we present the application of electron detachment dissociation (EDD) Fourier
transform mass spectrometry to the analysis of dermatan sulfate (DS)
oligosaccharides up to 10 residues long. The EDD mass spectra of DS
oligosaccharides were compared with their infrared multiphoton dissociation
(IRMPD) mass spectra. EDD produces more abundant fragmentation than IRMPD with
far less loss of SO3 from labile sulfate modifications. EDD cleaves all
glycosidic bonds, yielding both conventional glycosidic bond fragmentation as
well as satellite peaks resulting from the additional loss of 1 or 2 hydrogen
atoms. EDD also yields more cross-ring fragmentation than IRMPD. For EDD,
abundant cross-ring fragmentation in the form of A- and X-ions is observed, with
1,5Xn cleavages occurring for all IdoA residues and many of the GalNAc4S
residues, except at the reducing and nonreducing ends. In contrast, IRMPD
produces only A-type cross-ring fragmentation for long oligosaccharides (dp6
dp10). As all the structurally informative fragment ions observed by IRMPD appear
as a subset of the peaks found in the EDD mass spectrum, EDD shows great
potential for the characterization of GAG oligosaccharides using a single tandem
mass spectrometry experiment.
PMID- 18055212
TI - Detection of ATP-binding to growth factors.
AB - It was shown in previous work that the interaction of growth factors (GFs) with
adenosine triphosphate (ATP) is essential for their neuroprotective effect. Here
we investigated the nature of the association of human basic fibroblast growth
factor (bFGF), nerve growth factor (NGF), and brain-derived neurotrophic factor
(BDNF) with ATP. It was demonstrated that this interaction involves the formation
of non-covalent ATP-GF complexes that are labile at low pH and that could be
selectively purified and subjected to electrospray and MALDI-TOF mass
spectrometry. The results obtained with these techniques indicated that the
stability of the complexes is high. Main features of the procedure used here are:
(1) reversed-phase purification of nucleotide-protein non-covalent complexes, (2)
their detection with MALDI-TOF-MS using acid-free matrix, and/or (3) their
measurement with ESI-MS using soft desolvation conditions. The methodology was
successful in providing proof for the presence of various nucleotide-GF
complexes. It was extended to other nucleotide-binding proteins (ribonuclease A)
as well as proteins that do not exhibit nucleotide binding (lysozyme) as positive
and negative control, respectively. Thus, the method demonstrated its general use
for the investigation of a wide range of proteins interacting with nucleotides as
long as their complexes are sufficiently stable to accommodate the experimental
conditions.
PMID- 18055213
TI - Actin is where it's at.
PMID- 18055214
TI - Palmitoylation of the C-terminal fragment of p75(NTR) regulates death signaling
and is required for subsequent cleavage by gamma-secretase.
AB - It has recently been shown that the p75 neurotrophin receptor (p75(NTR)), which
is known to mediate neural cell death during development of the nervous system
and in a range of adult neurodegenerative conditions, undergoes a regulated
process of cell surface receptor cleavage, regulated intramembrane proteolysis
(RIP). Here we show that neuronal death signaling occurs only following
extracellular metalloprotease cleavage of p75(NTR) and palmitoylation of the
resultant C-terminal fragment, causing its translocation to cholesterol-rich
domains of the plasma membrane. Furthermore, death signaling is promoted by
inhibition of intracellular gamma-secretase cleavage, a process which also occurs
within the cholesterol-rich domains. In the presence of TrkA signaling, C
terminal fragment localization in these cholesterol-rich domains is prevented,
thereby blocking neuronal death. Thus p75(NTR) activates neuronal death pathways
in conditions where the balance of normal RIP is shifted toward extracellular
domain cleavage due to increased metalloprotease activity, decreased TrkA
activity or compromised gamma-secretase activity, all of which are features of
neurodegenerative conditions such as Alzheimer's disease.
PMID- 18055216
TI - How has DISC1 enabled drug discovery?
AB - Growing genetic and clinical evidence has shown that disrupted-in-schizophrenia 1
(DISC1) is one of the most compelling risk genes for schizophrenia and other
major mental disorders. The understanding of the role that DISC1 plays in
neuronal development and cell signaling has been greatly enhanced by the
identification of DISC1 binding partners, an appreciation of its expression
during development and functional studies using RNA interference. But what is the
impact of this explosion of data for psychiatric drug discovery? Though we are at
a very early stage of our understanding of DISC1 biology, it is an important time
to review what has already been achieved and to discuss its impact. DISC1 biology
has enabled the identification of new therapeutic targets in the form of DISC1
binding partners and other molecules found within a large DISC1 interaction
network, the so-called 'DISC1 interactome'. We will review the better
characterized of these interactions and also emphasize the richness of potential
targets in the more poorly studied areas of the interactome. Furthermore, DISC1
has encouraged the development of new animal models for psychiatric disorders,
which is critical for the study of disease biology. Thus, DISC1 may have the
potential to not only point us in the direction of novel drug targets but also
provide more relevant animal models for compound testing.
PMID- 18055215
TI - Membrane depolarization inhibits spiral ganglion neurite growth via activation of
multiple types of voltage sensitive calcium channels and calpain.
AB - The effect of membrane electrical activity on spiral ganglion neuron (SGN)
neurite growth remains unknown despite its relevance to cochlear implant
technology. We demonstrate that membrane depolarization delays the initial
formation and inhibits the subsequent extension of cultured SGN neurites. This
inhibition depends directly on the level of depolarization with higher levels of
depolarization causing retraction of existing neurites. Cultured SGNs express
subunits for L-type, N-type, and P/Q type voltage-gated calcium channels (VGCCs)
and removal of extracellular Ca(2+) or treatment with a combination of L-type, N
type, and P/Q-type VGCC antagonists rescues SGN neurite growth under depolarizing
conditions. By measuring the fluorescence intensity of SGNs loaded with the
fluorogenic calpain substrate t-butoxy carbonyl-Leu-Met-chloromethylaminocoumarin
(20 microM), we demonstrate that depolarization activates calpains. Calpeptin (15
microM), a calpain inhibitor, prevents calpain activation by depolarization and
rescues neurite growth in depolarized SGNs suggesting that calpain activation
contributes to the inhibition of neurite growth by depolarization.
PMID- 18055217
TI - SOCS3 suppresses AP-1 transcriptional activity in neuroblastoma cells through
inhibition of c-Jun N-terminal kinase.
AB - Transduction and activation of an inducible form of STAT3 (signal transducer and
activator of transcription) sufficed to increase VIP (vasoactive intestinal
protein) mRNA concentrations in neuroblastoma cells. Overexpression of SOCS3
(suppressor of cytokine signaling) inhibited and mutant SOCS3 (with an
inactivating point mutation in amino acid 25) enhanced the induction of VIP mRNA
by CNTF (ciliary neurotrophic factor). Because mutant SOCS3 did not augment the
increase in STAT transcriptional activity following CNTF stimulation, the
enhancement by mutant SOCS3 of the actions of CNTF cannot be attributed to
changes in STAT3 signaling. Mutant SOCS3 increased AP-1 (activator protein)
transcriptional activity and JNK (c-Jun N-terminal kinase) activity and SOCS3
bound to the scaffolding protein, JNK-interacting protein-1: these observations
provide a plausible explanation for the enhancement by mutant SOCS3 of the
actions of CNTF. We conclude that endogenous SOCS3 inhibits AP-1 activity through
blocking of JNK phosphorylation.
PMID- 18055218
TI - GABAergic drugs become neurotoxic in cortical neurons pre-exposed to brain
derived neurotrophic factor.
AB - A 24-h pretreatment with BNDF enhanced excitotoxic neuronal death in cultured
mouse cortical cells challenged with NMDA in the presence of extracellular
Mg(2+). The GABA(A) receptor antagonist, bicuculline, enhanced NMDA toxicity in
control cultures but, unexpectedly, became neuroprotective in cultures pretreated
with BDNF. In contrast, drugs that activate GABA(A) receptors (e.g. muscimol,
benzodiazepines, or phenobarbital) or drugs that indirectly enhance GABAergic
transmission were protective in control cultures but amplified NMDA toxicity
after pretreatment with BDNF. The atypical behaviour of GABAergic drugs in
cultures pretreated with BDNF depended on changes in the anion reversal potential
because (i) increases in extracellular Cl(-) concentrations abolished the
neurotoxic action of muscimol; (ii) muscimol stimulated (36)Cl(-) efflux after
pretreatment with BDNF; and (iii) exposure to BDNF reduced the expression of the
neuronal K(+)/Cl(-) co-transporter, KCC2. Our data raise the concern that
GABAergic drugs may become neurotoxic under conditions associated with increases
in brain BDNF levels.
PMID- 18055219
TI - A simple two step procedure for purification of the catalytic domain of chicken
tryptophan hydroxylase 1 in a form suitable for crystallization.
AB - Tryptophan hydroxylase (TPH) [EC 1.14.16.4] catalyzes the conversion of
tryptophan to 5-hydroxytryptophan, which is the first and rate-determining step
in the biosynthesis of the neurotransmitter serotonin. We have expressed the
catalytic domain of chicken (Gallus gallus) TPH isoform 1 in Escherichia coli in
high yield. The enzyme was highly purified using only one anion exchange and one
gel filtration, with a yield of 11 mg/L culture and a specific activity of 0.60
micromol/min/mg. The K(m) values were determined to K(m, tryptophan)=7.7+/-0.7
microM, K(m, BH4)=324+/-10 microM and K(m, O2)=39+/-2 microM. Substrate
inhibition by tryptophan was observed at concentrations above 15 microM.
Furthermore, the purified enzyme has been crystallized without 7,8-dihydro-L
biopterin and a data set to 3A resolution has been collected.
PMID- 18055220
TI - The effect of an on-body personal lift assist device (PLAD) on fatigue during a
repetitive lifting task.
AB - Occupations demanding frequent and heavy lifting are associated with an increased
risk of injury. A personal lift assist device (PLAD) was designed to assist human
muscles through the use of elastic elements. This study was designed to determine
if the PLAD could reduce the level of general and local back muscle fatigue
during a cyclical lifting task. Electromyography of two erector spinae sites (T9
and L3) was recorded during a 45-min lifting session at six lifts/lowers per
minute in which male participants (n=10) lifted a box scaled to represent 20% of
their maximum back extensor strength. The PLAD device reduced the severity of
muscular fatigue at both muscle sites. RMS amplitude increased minimally (22% and
26%) compared to the no-PLAD condition (104% and 88%). Minimal median frequency
decreases (0.33% and 0.41%) were observed in the PLAD condition compared to drops
of 12% and 20% in the no-PLAD condition. The PLAD had an additional benefit of
minimizing pre-post changes in muscular strength and endurance. The PLAD also
resulted in a significantly lower rate of perceived exertion across the lifting
session. It was concluded that the PLAD was effective at decreasing the level of
back muscular fatigue.
PMID- 18055221
TI - The interpretation of abdominal wall muscle recruitment strategies change when
the electrocardiogram (ECG) is removed from the electromyogram (EMG).
AB - The purpose of this study was to determine the effect of the ECG artifact on low
level trunk muscle activation amplitudes and assess the effectiveness of two
methods used to remove the ECG. Simulations were performed and percent error in
root mean square (RMS) amplitudes were calculated from uncontaminated and
contaminated EMG signals at various ECG to EMG ratios. Two methods were used to
remove the ECG: (1) filtering by adaptive sampling (FAS) and (2) Butterworth high
pass filter at 30 Hz (BW-30 Hz HPF). The percent error was also calculated
between the ECG removed and the uncontaminated EMG RMS amplitudes. Next, the BW
30 Hz HPF method was used to remove the ECG from 3-bilateral external oblique
(EO) muscle sites collected from 30 healthy subjects performing a one handed lift
and replace task. Two separate ANOVA models assessed the effects of ECG on the
statistical interpretation of EO recruitment strategies. One model included EMG
data that contained the ECG and the other model included EMG data after the ECG
was removed. Large percent errors were observed when the ECG was not removed.
These errors increased with larger ECG to EMG ratios. Both removal methods
reduced the errors to below 10%, but the BW-30 Hz HPF method was more time
efficient in removing the ECG artifact. Different statistical findings were
observed among the muscle sites for the ECG contaminated model compared to the
ECG removed model, which resulted in different conclusions concerning
neuromuscular control.
PMID- 18055223
TI - Does physical interstimulus variance account for early electrophysiological face
sensitive responses in the human brain? Ten lessons on the N170.
AB - A recent event-related potential (ERP) study (Thierry G., Martin, C.D., Downing,
P., Pegna, A.J. 2007. Controlling for interstimulus perceptual variance abolishes
N170 face selectivity. Nature Neuroscience, 10, 505-11) claimed that the larger
occipito-temporal N170 response to pictures of faces than other categories -- the
N170 effect -- is due to a methodological artifact in stimulus selection,
specifically, a greater interstimulus physical variance between pictures of
objects than faces in previous ERP studies which, when controlled, eliminates
this N170 effect. This statement casts doubts on the validity of the conclusions
reached by a whole tradition of electrophysiological experiments published over
the past 15 years and questions the very interest of using the N170 to probe the
time course of face processes in the human brain. Here we claim that this
physical variance factor is ill-defined by Thierry et al. and cannot account for
previous observations of a smaller N170 amplitude to nonface objects than faces
without latency increase and component "smearing". Most importantly, this factor
was controlled in previous studies that reported robust N170 effects. We
demonstrate that the absence of N170 effect in the study of Thierry et al. is due
to methodological flaws in the reported experiments, most notably measuring the
N170 at the wrong electrode sites. Moreover, the authors attributed a modulation
of N170 amplitude in their study to a differential interstimulus physical
variance while it probably reflects a biased comparison of different quality sets
of individual images. Here, by taking Thierry et al.'s study as an exemplar case
of what should not be done in ERP research of visual categorization processes, we
provide clarifications on a number of methodological and theoretical issues about
the N170 and its largest amplitude to faces. More generally, we discuss the
potential role of differential visual homogeneity of object categories as well as
low-level visual properties versus high-level visual processes in accounting for
early face-preferential responses and the question of the speed at which visual
stimuli are categorized as faces. This survey of the literature points to the
N170 as a critical event in the time course of face processes in the human brain.
PMID- 18055222
TI - Accurate prediction of V1 location from cortical folds in a surface coordinate
system.
AB - Previous studies demonstrated substantial variability of the location of primary
visual cortex (V1) in stereotaxic coordinates when linear volume-based
registration is used to match volumetric image intensities [Amunts, K.,
Malikovic, A., Mohlberg, H., Schormann, T., and Zilles, K. (2000). Brodmann's
areas 17 and 18 brought into stereotaxic space-where and how variable?
Neuroimage, 11(1):66-84]. However, other qualitative reports of V1 location
[Smith, G. (1904). The morphology of the occipital region of the cerebral
hemisphere in man and the apes. Anatomischer Anzeiger, 24:436-451; Stensaas,
S.S., Eddington, D.K., and Dobelle, W.H. (1974). The topography and variability
of the primary visual cortex in man. J Neurosurg, 40(6):747-755; Rademacher, J.,
Caviness, V.S., Steinmetz, H., and Galaburda, A.M. (1993). Topographical
variation of the human primary cortices: implications for neuroimaging, brain
mapping, and neurobiology. Cereb Cortex, 3(4):313-329] suggested a consistent
relationship between V1 and the surrounding cortical folds. Here, the
relationship between folds and the location of V1 is quantified using surface
based analysis to generate a probabilistic atlas of human V1. High-resolution
(about 200 microm) magnetic resonance imaging (MRI) at 7 T of ex vivo human
cerebral hemispheres allowed identification of the full area via the stria of
Gennari: a myeloarchitectonic feature specific to V1. Separate, whole-brain scans
were acquired using MRI at 1.5 T to allow segmentation and mesh reconstruction of
the cortical gray matter. For each individual, V1 was manually identified in the
high-resolution volume and projected onto the cortical surface. Surface-based
intersubject registration [Fischl, B., Sereno, M.I., Tootell, R.B., and Dale,
A.M. (1999b). High-resolution intersubject averaging and a coordinate system for
the cortical surface. Hum Brain Mapp, 8(4):272-84] was performed to align the
primary cortical folds of individual hemispheres to those of a reference template
representing the average folding pattern. An atlas of V1 location was constructed
by computing the probability of V1 inclusion for each cortical location in the
template space. This probabilistic atlas of V1 exhibits low prediction error
compared to previous V1 probabilistic atlases built in volumetric coordinates.
The increased predictability observed under surface-based registration suggests
that the location of V1 is more accurately predicted by the cortical folds than
by the shape of the brain embedded in the volume of the skull. In addition, the
high quality of this atlas provides direct evidence that surface-based
intersubject registration methods are superior to volume-based methods at
superimposing functional areas of cortex and therefore are better suited to
support multisubject averaging for functional imaging experiments targeting the
cerebral cortex.
PMID- 18055225
TI - Molecular phylogeny and biogeography of the Neotropical cichlid fish tribe
Cichlasomatini (Teleostei: Cichlidae: Cichlasomatinae).
AB - We have conducted the first comprehensive molecular phylogeny of the tribe
Cichlasomatini including all valid genera as well as important species of
questionable generic status. To recover the relationships among cichlasomatine
genera and to test their monophyly we analyzed sequences from two mitochondrial
(16S rRNA, cytochrome b) and one nuclear marker (first intron of S7 ribosomal
gene) totalling 2236 bp. Our data suggest that all genera except Aequidens are
monophyletic, but we found important disagreements between the traditional
morphological relationships and the phylogeny based on our molecular data. Our
analyses support the following conclusions: (a) Aequidens sensu stricto is
paraphyletic, including also Cichlasoma (CA clade); (b) Krobia is not closely
related to Bujurquina and includes also the Guyanan Aequidens species A.
potaroensis and probably A. paloemeuensis (KA clade). (c) Bujurquina and
Tahuantinsuyoa are sister groups, closely related to an undescribed genus formed
by the 'Aequidens'pulcher-'Aequidens'rivulatus groups (BTA clade). (d) Nannacara
(plus Ivanacara) and Cleithracara are found as sister groups (NIC clade).
Acaronia is most probably the sister group of the BTA clade, and Laetacara may be
the sister group of this clade. Estimation of divergence times suggests that the
divergence of Cichlasomatini started around 44Mya with the vicariance between
coastal rivers of the Guyanas (KA and NIC clades) and remaining cis-andean South
America, followed by evolution of the Acaronia-Laetacara-BTA clade in Western
Amazon, and the CA clade in the Eastern Amazon. Vicariant divergence has played
importantly in evolution of cichlasomatine genera, with dispersal limited to
later range extension of species within genera.
PMID- 18055226
TI - Maternal smoking, alcohol drinking, and febrile convulsion.
AB - BACKGROUND: Previous studies have suggested that maternal cigarette smoking and
alcohol drinking during pregnancy may increase risk for febrile convulsion during
childhood. We evaluated the relationship of maternal smoking, alcohol drinking,
and their interaction on febrile convulsion. METHOD: Pregnant women (n=10,108)
enrolled in the Child Health and Development Studies in California between 1959
and 1966 were interviewed about their habits during pregnancy and febrile
convulsions of the child were ascertained soon after an event. RESULTS: Febrile
convulsions were reported in 2.9% of children of mothers who both smoked and
drank alcohol, 2.0% for children of mothers who smoked but did not drink, 1.5%
for children of mothers who drank but did not smoke and 2.1% of children of
mothers who neither smoked nor drank. After adjusting for covariates, children of
smokers who did not drink and children of drinkers who did not smoke did not have
a significant hazard for febrile convulsion, compared to children of non-smokers
non-drinkers. However, children of smokers who drank had a 30% increased hazard
of febrile convulsion (95% CI=1.0, 1.9). The interaction term for smoking and
alcohol drinking was significant in the multivariable model (p=0.02). CONCLUSION:
These results suggest that children of mothers who both smoke and drink alcohol
during pregnancy may have a higher risk for febrile convulsions.
PMID- 18055224
TI - Phylogeography of Diadophis punctatus: extensive lineage diversity and repeated
patterns of historical demography in a trans-continental snake.
AB - Dynamic climatic oscillations during the Pleistocene had profound effects on the
distributions of species across North America. Although the role of historical
climate change on speciation remains controversial, the impact on genetic
variation within species has been well documented. We examined mtDNA sequences
from the cytochrome b gene (1117 bp) and a portion of the NADH-4 gene (659 bp)
for 286 individuals of Diadophis punctatus to infer phylogeographic patterns and
population structure and to examine historical demographic patterns in both
glaciated and unglaciated regions of North America. We inferred 14 lineages that
replace each other geographically across the United States. Several of these
lineages appear to be confined to specific habitats (floodplains, grasslands,
montane environments) and traverse previously identified genetic barriers for
terrestrial vertebrates including the Mississippi and Apalachicola Rivers, the
Appalachian Mountains, and the western continental divide. We also observed
overlapping ranges between some haplotype groups and several instances of
secondary contact associated with ecological transition zones in eastern South
Carolina, southern Oklahoma and central California. Within the US,
diversification began during the late Miocene and continued into the mid
Pleistocene, suggesting these lineages pre-dated the last glacial maximum.
Coalescent and non-coalescent demographic analyses indicate that independent
lineages currently occupying previously glaciated or unsuitable areas in eastern,
central and western US underwent post-glacial population expansion likely from
southern refugia during the late Pleistocene/early Holocene. Conversely, southern
lineages display patterns consistent with long-term population stability. Such
long-term persistence of genetic structure may be due to the competitive effects
between lineages or ecosystem stability in more southern latitudes.
PMID- 18055227
TI - Should we reconsider epilepsy surgery? The motivation of patients once rejected.
AB - The pre-surgical work-up of patients with medically refractory epilepsy changes
with the availability of new diagnostic procedures. New diagnostic investigations
may also open up prospects for patients rejected in the past. A cohort of 71
Dutch patients rejected for epilepsy surgery 0.5-5 years earlier were approached
to evaluate their willingness to undergo novel techniques. 64 (90%) responded to
a questionnaire evaluating social and medical status, quality of life (QoL) and
motivation to be reconsidered for epilepsy surgery. Four patients (6%) did not
have seizures during the last 6 months. 56 patients (88%) were highly motivated
to undergo new diagnostic procedures. Inability to localize the seizure focus had
been the reason for rejection in 70% of these. We conclude that most patients
once rejected for epilepsy surgery would like to benefit from novel techniques.
PMID- 18055228
TI - Does assimilation into schemas involve systems or cellular consolidation? It's
not just time.
AB - A comment by Rudy and Sutherland [Rudy, J. R., & Sutherland, R. J. (2008). Is it
systems or cellular consolidation? Time will tell. An alternative interpretation
of the Morris Group's recent Science Paper. Neurobiology of Learning and Memory]
has suggested an alternative account of recent findings concerning very rapid
systems consolidation as described in a recent paper by Tse et al [Tse, D.,
Langston, R. F., Kakeyama, M., Bethus, I., Spooner, P. A., & Wood, E. R., et al.
(2007). Schemas and memory consolidation. Science, 316, 76-82]. This is to
suppose that excitotoxic lesions of the hippocampus cause transient disruptive
neural activity outside the target structure that interferes with cellular
consolidation in the cortex. We disagree with this alternative interpretation of
our findings and cite relevant data in our original paper indicating why this
proposal is unlikely. Various predictions of the two accounts are nonetheless
outlined, together with the types of experiments needed to resolve the issue of
whether systems consolidation can occur very rapidly when guided by activated
neural schemas.
PMID- 18055229
TI - Human leukocyte antigen-B-associated transcript 3 is released from tumor cells
and engages the NKp30 receptor on natural killer cells.
AB - The activity of natural killer (NK) cells is regulated by surface receptors,
which direct target cell recognition. NKp30 (Natural Cytotoxicity Receptor 3)
induces target cell lysis and is also crucial for the interaction with dendritic
cells. So far, the cellular ligands for NKp30 have remained elusive. Here we show
that the nuclear factor HLA-B-associated transcript 3 (BAT3) was released from
tumor cells, bound directly to NKp30, and engaged NKp30 on NK cells. BAT3
triggered NKp30-mediated cytotoxicity and was necessary for tumor rejection in a
multiple myeloma model. These data identify BAT3 as a cellular ligand for NKp30.
We propose a concept for target cell recognition by NK cells beyond "missing
self" and "induced self," mediated through a tumor cell-derived extracellular
factor.
PMID- 18055231
TI - The nadA gene of Aspergillus nidulans, encoding adenine deaminase, is subject to
a unique regulatory pattern.
AB - The adenine deaminase of A. nidulans, encoded by nadA, can be considered both as
a catabolic and a purine salvage enzyme. We show that its transcriptional
regulation reflects this double metabolic role. As all other genes involved in
purine utilisation it is induced by uric acid, and this induction is mediated by
the UaY transcription factor. However, it is also independently and
synergistically induced by adenosine by a UaY-independent mechanism. At variance
with all other enzymes of purine catabolism it is not repressed but induced by
ammonium. This is at least partly due to the ammonium responsive GATA factor,
AreA, acting in the nadA promoter as a competitor rather than in synergy with
UaY. The adB gene, encoding adenylo-succinate synthetase, which can be considered
both a biosynthetic and a salvage pathway enzyme, shares with nadA both ammonium
and adenosine induction.
PMID- 18055230
TI - microRNA-155 regulates the generation of immunoglobulin class-switched plasma
cells.
AB - microRNA-155 (miR-155) is expressed by cells of the immune system after
activation and has been shown to be required for antibody production after
vaccination with attenuated Salmonella. Here we show the intrinsic requirement
for miR-155 in B cell responses to thymus-dependent and -independent antigens. B
cells lacking miR-155 generated reduced extrafollicular and germinal center
responses and failed to produce high-affinity IgG1 antibodies. Gene-expression
profiling of activated B cells indicated that miR-155 regulates an array of genes
with diverse function, many of which are predicted targets of miR-155. The
transcription factor Pu.1 is validated as a direct target of miR155-mediated
inhibition. When Pu.1 is overexpressed in wild-type B cells, fewer IgG1 cells are
produced, indicating that loss of Pu.1 regulation is a contributing factor to the
miR-155-deficient phenotype. Our results implicate post-transcriptional
regulation of gene expression for establishing the terminal differentiation
program of B cells.
PMID- 18055232
TI - Factors influencing diagnosis delay in children with Tourette syndrome.
AB - BACKGROUND: Tourette syndrome (TS) is a chronic disorder characterized by motor
and vocal tics. Previous studies reported a substantial lag period between
disease onset and diagnosis ranging from 3 to 11.9 years. AIMS: To determine the
lag period and factors associated with diagnosis delay of TS. METHODS: All files
of 185 children with TS attending one neuropediatric unit in Jerusalem were
reviewed. Lag time between disease onset, according to DSM criteria, and
diagnosis was determined and the contributions of the disease course,
comorbidities and epidemiological factors were assessed. RESULTS: A relatively
short lag to diagnosis following the onset of diagnosable TS was documented (mean
13.2+/-15.9 months, median 6 months). A relatively longer gap was associated with
older age at TS onset (r=0.161, p<0.05) and vocal tics as the first manifestation
rather than motor or combined motor and vocal tics (mean=20.3+16.3 months vs
11.9+16.5 and 12.6+15.2, respectively, p<0.05). A relatively shorter gap was
associated with tic severity (r=0.13, p<0.05) and presence of comorbid obsessive
compulsive disorder (OCD) (9.5+14.7 months vs. 14.1+16 without OCD, p<0.05).
CONCLUSIONS: Lag time to diagnosis is relatively short in our population. Factors
associated with a shorter lag (early age of TS onset, motor tics as the first
manifestation, greater tics severity and the presence of OCD) may be perceived as
disruptive, prompting patient and families to seek medical care. Conversely,
vocal tics as the first manifestation, associated with a longer lag, may be
misdiagnosed as features of common pediatric conditions, thus delaying diagnosis.
PMID- 18055233
TI - Swallowing difficulties in Duchenne muscular dystrophy: indications for feeding
assessment and outcome of videofluroscopic swallow studies.
AB - Feeding difficulties are known to occur with advancing age in Duchenne muscular
dystrophy (DMD). We evaluated the role of videofluoroscopy swallow study (VFSS)
in a group of 30 DMD patients with feeding difficulties. Indications for feeding
assessment were: respiratory infections potentially attributable to aspiration
(n=10) and/or episodes of choking (n=24) subdivided into isolated choking events
(n=8) and regular choking during swallowing (n=16). Indications for assessment
were analysed in relation to the VFSS results. Median age at assessment was 17.13
years (range 6-31.4). Twenty-four VFSS were performed. Prolonged chewing and
effortful bolus transport for solids increased with age. Swallow trigger was
normal in the majority of cases. All patients had some post-swallow pharyngeal
residue around the laryngeal inlet increasing in volume with age. Although this
residue did not result in aspiration, it was worse in patients that were
frequently choking. Three patients intermittently had penetration of the
supraglottic space that did not reach the vocal folds during the swallow. Our
results suggest that reported swallowing problems when assessed are not always
associated with difficulties on VFSS. It is the oral phase of swallowing that is
most significantly affected in DMD. The pharyngeal phase is well triggered but is
weak with incomplete pharyngeal clearance leaving pharyngeal residue.
Insufficient or effortful chewing coupled with weak clearance may predispose them
to choking episodes either as a one off event or with increasing frequency with
age. This study suggests that VFSS may not be of additional benefit to careful
feeding history and observation in DMD with feeding difficulties.
PMID- 18055234
TI - Tumor necrosis factor-alpha and interleukin-6 are not correlated with the
characteristics of Complex Regional Pain Syndrome type 1 in 66 patients.
AB - It was previously shown in a group of 9 patients with complex regional pain
syndrome type 1 (CRPS1) that levels of the proinflammatory cytokines tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6) are higher in blister
fluid from the involved side. We hypothesize that local inflammation is
responsible for the characteristics of CRPS1. The aim of this study was to
confirm the previous observation in a large group of CRPS1 patients, repeating
the measurement of TNF-alpha and IL-6 in blister fluid. Furthermore, we sought to
determine whether these cytokines are responsible for the characteristics of
CRPS1 and characterize the relationship between cytokine levels and duration of
the disease. Sixty-six patients with CRPS1 participated. Skin blisters were
artificially induced for measurement of cytokines in both extremities. The
following disease characteristics were assessed: pain and differences in
temperature, volume, and mobility between the extremities. TNF-alpha and IL-6
levels were significantly higher in blister fluid from the involved side.
However, cytokine levels did not correlate with the characteristics or duration
of the disease. Our findings confirm the presence of local inflammation in a
population of 66 patients in the first 2 years of CRPS1. Proinflammatory
cytokines seem to be only partly involved in the pathophysiology of CRPS1, as
indicated by the lack of coherence between TNF-alpha and IL-6 levels and the
signs and symptoms of inflammation and disease duration. Other inflammatory
mediators and mechanisms, such as central sensitization, are probably involved in
the early stages of CRPS1.
PMID- 18055235
TI - Lycopene attenuates thermal hyperalgesia in a diabetic mouse model of neuropathic
pain.
AB - Diabetic neuropathic pain, an important microvascular complication of diabetes
mellitus is recognized as one of the most difficult types of pain to treat. The
development of tolerance, inadequate relief and potential toxicity of classical
antinociceptives warrant the investigation of the newer agents to relieve this
pain. The aim of the present study was to explore the antinociceptive effect of
lycopene and its effect on tumour necrosis factor-alpha (TNF-alpha) and nitric
oxide (NO) release in streptozotocin induced diabetic mice. Four weeks after a
single intraperitoneal injection of streptozotocin (200 mg/kg), mice were tested
in the tail immersion and hot-plate assays. Diabetic mice exhibited significant
hyperalgesia alongwith increased plasma glucose and decreased body weights as
compared with control mice. Lycopene (1, 2 and 4 mg/kg body weight; per oral)
treatment, from the 4th to 8th week after streptozotocin injection, significantly
attenuated thermal hyperalgesia and the hot-plate latencies. Lycopene also
inhibited the TNF-alpha and NO release in a dose dependent manner. These results
indicate an antinociceptive activity of lycopene possibly through its inhibitory
action on NO and TNF-alpha release and point towards its potential to attenuate
diabetic neuropathic pain.
PMID- 18055236
TI - Application of biselective refocusing soft pulses to the simplification of
heteronuclear correlation spectra.
AB - The biselective spin echo technique allows the signals of coupled proton pairs to
be extracted from crowded liquid state proton NMR spectra. Its use as a
preparation sequence in heteronuclear chemical shift correlation experiments
requires the removal of the heteronuclear coupling interaction during the
biselective echo time. The discrimination between coupled and uncoupled protons
signals is achieved by double quantum filtration, which delivers antiphase
magnetization states. The latter are not directly compatible with the design of
an HSQC-like pulse sequence. The conversion of antiphase to in-phase
magnetization states by a second biselective echo sequence solves this problem.
The optimization of spin echo delays is also discussed. Lastly, the article
presents modified HSQC and HMBC pulses sequences in which information is obtained
solely for the biselectively selected proton pairs. A peracetylated trisaccharide
was used as a test molecule.
PMID- 18055237
TI - Cryogenic Q-band (35GHz) probehead featuring large excitation microwave fields
for pulse and continuous wave electron paramagnetic resonance spectroscopy:
performance and applications.
AB - The construction and performance of a Q-band (35GHz) cryogenic probehead for
pulse electron paramagnetic resonance and continuous wave electron paramagnetic
resonance measurements with down-scaled loop gap resonators (LGRs) is presented.
The advantage of the LGR in comparison to TE(012) resonators lies in the large
B(1) microwave (mw) fields that can be generated with moderate input mw power. We
demonstrated with several examples that this allows optimal performance for
double-quantum electron coherence, HYSCORE, and hyperfine decoupling experiments
employing matched and high turning angle mw pulses with high B(1)-fields. It is
also demonstrated that with very low excitation power (i.e. 10-40 mW), B(1)
fields in LGRs are still sufficient to allow short mw pulses and thus experiments
such as HYSCORE with high-spin systems to be performed with good sensitivity. A
sensitivity factor Lambda(rs) of LGRs with different diameters and lengths is
introduced in order to compare the sensitivity of different resonant structures.
The electromagnetic field distribution, the B(1)-field homogeneity, the E(1)
field strength, and the microwave coupling between wave guide and LGRs are
investigated by electromagnetic field calculations. The advantage and application
range using LGRs for small sample diameters is discussed.
PMID- 18055238
TI - 3D-QSAR CoMFA analysis of C5 substituted pyrrolotriazines as HER2 (ErbB2)
inhibitors.
AB - Human cancers are characterized by an up-regulation of some of the RTKs (EGFR and
HER2) and have been clinically validated as targets for cancer therapy. C4 and C5
substituted pyrrolotriazines showed dual inhibition of HER2 and EGFR protein
tyrosine kinases. To explore the relationship between the structures of the
aforementioned classes of molecules and their HER2 inhibition, 3D-QSAR CoMFA
analysis have been performed. The developed CoMFA model showed statistically
significant results with good predictive ability.
PMID- 18055239
TI - Depletion of high energy phosphates implicates post-exercise mortality in carp
and trout; an in vivo 31P-NMR study.
AB - As in vivo 31P-Nuclear Magnetic Resonance spectroscopy is currently the state of
the art method to measure continuously intracellular pH (pH(i)) and energy status
of muscle tissue, we used this method to study the recovery from exhaustive
exercise. The biochemical changes during recovery are not well understood and it
was suggested that post-exercise mortality could be caused by low pH(i); other
studies however indicate that energy depletion might be more important. To
analyse the mechanism of post-exercise recovery pH(i), ATP, P(i), and PCr must be
measured at the same time, which is possible using in vivo 31P-NMR. Common carp
and rainbow trout of about 100 g were exercised to exhaustion in a swim tunnel.
After swimming 10 h at 1.5 body lengths (BL)/s (aerobic control), 50% of the fish
were forced to swim at 6 BL/s until exhaustion. Recovery of energy rich
phosphates was found to be faster in carp (1.2-1.9 h) than in trout (1.5-2.3 h).
The same applied for the recovery from acidosis, which took 1.75 h in carp and
5.75 h in trout. In parallel experiments the energy phosphates and lactate levels
were measured in liver, red muscle, and white muscle. Exhaustion caused a
significant drop in the energy status of red and white muscle tissue of trout and
carp (corroborates NMR data), while no change at all was observed in liver
tissue. The lactate levels were increased in the muscle but not in liver and
blood. While all experimental animals looked healthy after exhaustion, 40-50% of
the carp as well as trout died during the recovery phase. The energy status of
those individuals measured by 31P-NMR was much lower than that of the survivors,
while in contrast there was no difference in pH(i). Thus, it appears that not
acidosis but depletion of high energy phosphates disabled muscle function and
therefore may have been the cause of death of the non-survivors.
PMID- 18055240
TI - Goat vitronectin: characterization and binding to Staphylococcus aureus.
AB - Vitronectin (Vn) is a multifunctional protein present in plasma and in the
extracellular matrix. Previous studies have demonstrated binding of many bacteria
to human Vn. In this study, we have characterized goat Vn and studied its
interaction with S. aureus considering the importance of this bacterium in animal
husbandry. Goat Vn possesses two RGD motifs, at positions 45 and 106, and two
multimerization sites that were identified from the recombinant fragments of the
protein. The first site was localized at the N-terminus of the protein and the
second at the C-terminus that did not require a full heparin-binding region, as
partial deletion of this site did not affect multimerization. The 40 kDa N
terminal fragment, Vn1-200, supported S. aureus binding. Similarly, two fragments
representing the C-terminus of the protein (35 kDa Vn183-444 and the 22 kDa Vn323
444) with complete heparin-binding site also supported S. aureus binding whereas
the 14 kDa fragment, Vn363-444, with truncated heparin-binding site did not.
Thus, a complete heparin-binding site at the C-terminus of Vn is essential for S.
aureus binding. Maximum S. aureus binding was observed with Vn isolated by
immunoaffinity chromatography, which predominantly consisted of multimers. This
observation is significant considering the fact that the multimeric Vn is a
component of the matrix surrounding the cells and may play an important part in
initial bacterial adhesion and subsequent colonization.
PMID- 18055241
TI - cDNA cloning and characterization of temperature-acclimation-associated light
meromyosins from grass carp fast skeletal muscle.
AB - The three types of cDNA clones, previously defined as the 10 degrees C,
intermediate and 30 degrees C-types [Tao, Y., Kobayashi, M., Liang, C.S.,
Okamoto, T., Watabe, S., 2004. Temperature-dependent expression patterns of grass
carp fast skeletal myosin heavy chain genes. Comp. Biochem. Physiol. B 139, 649
656], were determined for their 5'-regions which encoded at least the C-terminal
half of myosin rod, light meromyosin (LMM), in fast skeletal muscles of grass
carp Ctenopharyngodon idella. The deduced amino acid sequence identity was 91.1%
between the 10 degrees C and 30 degrees C-types and 91.4% between the 10 degrees
C and intermediate-types, whereas a high sequence identity of 97.8% was found
between the intermediate and 30 degrees C-types. These three grass carp LMMs all
had a characteristic seven-residue (heptad) repeat (a, b, c, d, e, f, g)(n),
where positions a and d were normally occupied by hydrophobic residues, and
positions b, c and f by charged residues. However, the ratios of hydrophobic
residues to the total were higher for the intermediate- and 30 degrees C- than 10
degrees C-type LMM, suggesting that the former both types may form more stable
coiled-coils of alpha-helices than the latter type. These differences in the
primary structures of LMM isoforms might be partially implicated in differences
in the thermostabilities and gel-forming profiles of myosins from grass carp in
different seasons reported previously [Tao, Y., Kobayashi, M., Fukushima, H.,
Watabe, S., 2005. Changes in enzymatic and structural properties of grass carp
fast skeletal myosin induced by the laboratory-conditioned thermal acclimation
and seasonal acclimatization. Fish. Sci. 71, 195-204; Tao, Y., Kobayashi, M.,
Fukushima, H., Watabe, S., 2007. Changes in rheological properties of grass carp
fast skeletal myosin induced by seasonal acclimatization. Fish. Sci. 73, 189
196].
PMID- 18055242
TI - Screening of hibernation-related genes in the brain of Rhinolophus ferrumequinum
during hibernation.
AB - The greater horseshoe bat (Rhinolophus ferrumequinum) is a widely distributed
small mammal that hibernates annually. A systematic study was initiated to
identify differentially expressed genes in hibernating and aroused states of the
greater horseshoe bat brain by using suppressed subtractive hybridization
technique and dot blot. Forty-one over-expressed ESTs in the hibernating state
were found and 17 were known genes reported in NCBI. Among these 17 genes, three
were further checked by real time PCR. The bioinformatics analysis suggests that
the major over-expressed ESTs may be responsible for the regulation of cell cycle
and apoptosis, the growth of neurons, signal transduction and neuroprotection,
gene expression regulation, and intracellular trafficking.
PMID- 18055243
TI - Antibacterial action of L-amino acid oxidase from the skin mucus of rockfish
Sebastes schlegelii.
AB - L-amino acid oxidase (LAO) shows broadly antibacterial activity against Gram
positive and Gram-negative bacteria by H(2)O(2) generated in the oxidative
process of L-amino acids. However, LAO (termed SSAP) isolated from the rockfish
Sebastes schlegelii skin mucus acted selectively on Gram-negative bacteria.
Therefore, this study was undertaken to clarify the antibacterial action of SSAP
as compared with H(2)O(2). SSAP inhibited potently the growth of Aeromonas
salmonicida, Photobacterium damselae subsp. piscicida and Vibrio parahaemolyticus
with a minimum inhibitory concentration (MIC) of 0.078, 0.16 and 0.63 microg/mL,
respectively. H(2)O(2) inhibited the growth of both Gram-positive and Gram
negative bacteria with an MIC ranging from 0.31 to 2.5 mM. When SSAP was
incubated with P. damselae subsp. piscicida and Escherichia coli, SSAP was
demonstrated to bind to P. damselae subsp. piscicida but not to E. coli by
Western blotting and LAO activity measurement. These results show that the
bacteria binding activity may be involved in the bacterial cell selectivity of
SSAP. Electron microscopic observation of A. salmonicida, P. damselae subsp.
piscicida and V. parahaemolyticus revealed that the treatments with SSAP and
H(2)O(2) induced cell surface damage to A. salmonicida, remarkable elongation of
P. damselae subsp. piscicida bodies and pores into V. parahaemolyticus cells.
PMID- 18055244
TI - Variants in the FTO gene are associated with common obesity in the Belgian
population.
AB - Three independent studies, of which two genome-wide scans, have reported an
association between SNPs in the FTO (Fat mass and obesity associated) gene and
obesity, in different European cohorts. We selected the SNPs with the strongest
evidence for association from the first two studies and genotyped 1099 obese
patients and 268 healthy control individuals. Both SNPs were significantly
associated with obesity, enabling us to replicate earlier findings from Caucasian
cohorts in a Belgian population sample.
PMID- 18055245
TI - The globalization of leptospirosis: worldwide incidence trends.
AB - OBJECTIVES: Leptospirosis continues to be a significant zoonosis of the
developing world. Globalization, in the context of international travel,
particularly for recreational activities and military expeditions, has led to
increased exposure of individuals from the developed world to the disease, as
recent outbreaks show. METHODS: We evaluated the trends in annual leptospirosis
incidence for individual countries worldwide through reports from national and
international organizations, the published medical literature on the subject, and
web searches with the terms 'leptospirosis' and the individual country names.
Inter-country variations in leptospirosis incidence, when relevant official data
were available, were also analyzed. RESULTS: The Caribbean and Latin America, the
Indian subcontinent, Southeast Asia, Oceania, and to a lesser extent Eastern
Europe, are the most significant foci of the disease, including areas that are
popular travel destinations. CONCLUSIONS: Leptospirosis is a re-emerging zoonosis
of global importance and unique environmental and social correlations. Attempts
at global co-ordination and recognition of the true burden of an infectious
disease with significant mortality should be encouraged.
PMID- 18055246
TI - Folate and vitamin B12 levels in levodopa-treated Parkinson's disease patients:
their relationship to clinical manifestations, mood and cognition.
AB - We tested the hypothesis that mood, clinical manifestations and cognitive
impairment of levodopa-treated Parkinson's disease (PD) patients are associated
with vitamin B12 and folate deficiency. To this end, we performed this cross
sectional study by measuring serum folate and vitamin B12 blood levels in 111
consecutive PD patients. Levodopa-treated PD patients showed significantly lower
serum levels of folate and vitamin B12 than neurological controls, while
depressed patients had significantly lower serum folate levels as compared to non
depressed. Cognitively impaired PD patients exhibited significantly lower serum
vitamin B12 levels as compared to cognitively non-impaired. In conclusion, lower
folate levels were associated with depression, while lower vitamin B12 levels
were associated with cognitive impairment. The effects of vitamin supplementation
merit further attention and investigation.
PMID- 18055247
TI - Emerging scholarship in the geographies of disability.
AB - A recent symposium on the geographies of disability at the 2007 Association of
American Geographers meeting attracted papers and panel contributions from 46
researchers. In this commentary, we draw on the content of the symposium to
discuss recent developments in disability geography scholarship. We focus on
three broad themes that ran through many of the contributions. These are: the
evolving parameters of disability and chronic illness; the complex relationship
between disabilities and technologies; and the struggle for citizenship.
PMID- 18055248
TI - Spherical harmonics-based parametric deconvolution of 3D surface images using
bending energy minimization.
AB - Numerical deconvolution of 3D fluorescence microscopy data yields sharper images
by reversing the known optical aberrations introduced during the acquisition
process. When additional prior information such as the topology and smoothness of
the imaged object surface is available, the deconvolution can be performed by
fitting a parametric surface directly to the image data. In this work, we
incorporate such additional information into the deconvolution process and focus
on a parametric shape description suitable for the study of organelles, cells and
tissues. Such membrane-bound closed biological surfaces are often topologically
equivalent to the sphere and can be parameterized as series expansions in
spherical harmonic functions (SH). Because image data are noisy and the SH
parameterization is prone to the formation of high curvatures even at low
expansion orders, the parametric deconvolution problem is ill-posed and must be
regularized. We use the shape bending energy as a regularizing (smoothing)
function, and determine the regularization parameter graphically with the help of
the L-curve method. We demonstrate the complete deconvolution scheme, including
the initial image segmentation, the calculation of a good starting surface and
the construction of the L-curve, using real and synthetic image data.
PMID- 18055249
TI - Chemometrics-assisted spectrophotometric methods for simultaneous determination
and complexation study of Fe(III), Al(III) and V(V) with morin in micellar media.
AB - Evolutionary factor analysis (EFA) and rank annihilation factor analysis (RAFA)
were applied to resolve the two-way equilibrium spectrophotometric data belonging
to the complexes of Fe(III), Al(III) and V(V) with morin (3,5,7,20,40-penta
hydroxy flavone) as chelating agent in triton X-100 micellar media. Then, partial
least square regression combined with genetic algorithm for wavelength selection
(GA-PLS) was used for simultaneous determination of the metal ions. The
parameters controlling behavior of the system were investigated and optimum
conditions were selected. The predictive abilities of partial least squares
regression (PLS) and genetic algorithm-partial least squares regression (GA-PLS)
were examined in simultaneous determination of ternary mixtures of metal ions
over the concentration range of 17.0-170.0ngml(-1), 25.0-180.0ngml(-1) and 40.0
325.0ngml(-1) for Fe(III), Al(III) and V(V), respectively. The relative standard
errors for prediction of the ions in synthetic mixtures were lower than 5% and
the mean recoveries in the tap water spiked samples were 104.2 and 101.7% for PLS
and GA-PLS, respectively.
PMID- 18055250
TI - Synthesis, spectroscopic and electrochemical studies on bis-[1,3-substituted (Cl,
Br) phenyl-5-phenyl formazanato]nickel(II) complexes.
AB - In this study, new 1:2 Ni complexes of 1,3-substituted phenyl-5-phenylformazans
were synthesized with -Cl, -Br substituents in the o-, m-, p-positions of the 1
phenyl ring and -NO2 group in the m-position of the 3-phenyl ring. Their
structures were elucidated and spectral behaviors were investigated with the use
of elemental analysis, GC-Mass, 1H NMR, 13C NMR, FTIR, UV-vis spectra.
Furthermore electrochemical properties such as number of electrons transferred
(n), diffusion coefficients (D) and possible reaction mechanism of the compounds
were determined with the use of cyclic voltammetry, ultramicrodisc electrode and
chronoamperometry. The relation between their absorption properties and
electrochemical properties was examined. A linear correlation was obtained
between Hammett substituent coefficients with lambda(max) values.
PMID- 18055251
TI - HIV-1 seroconversion during pregnancy resulting in vertical transmission.
AB - The uptake of antenatal HIV testing in England and Scotland improved from 33% in
1998 to 92% in 2004 after implementing an opt-out policy. However, there is the
potential for missing HIV seroconversion during pregnancy unless a further test
is carried out between antenatal booking, which mostly occurs between 12-14
weeks, and delivery. We report a 32-year old Caucasian woman who developed a
primary symptomatic HIV infection late in pregnancy. Unfortunately, despite
antiretroviral treatment, caesarean section and formula feeding to reduce the
risk of mother to child transmission (MCT), the baby was found to be infected by
12 weeks of age. Despite a 95% uptake rate at King's College Hospital, another
HIV seroconversion during late pregnancy was detected after the partner was
admitted with AIDS defining diagnoses. In the absence of national data on HIV
seroconversion rates in pregnancy, further maternal HIV testing later in
pregnancy, especially for women at-risk in an ethnically diverse area such as
London, should be considered.
PMID- 18055252
TI - Human bocavirus.
AB - Human bocavirus (HBoV) was first described in 2005 in nasopharyngeal aspirates of
children with respiratory tract infection. Multiple studies have confirmed the
presence of HBoV in respiratory tract samples of children world-wide. HBoV has
recently also been detected in blood and fecal samples. Most studies so far have
studied virus prevalence, and only a few reports provide data regarding the
linkage of HBoV to disease. These reports indicate that HBoV infection is indeed
associated with acute respiratory tract symptoms, but also that HBoV may persist
in the respiratory tract for a longer time than other respiratory agents,
resulting in frequent detection of low load HBoV carriage. This phenomenon has
complicated the use of PCR diagnostics, which has been the only available
diagnostic method. Development of alternative diagnostic strategies such as
serology will be important for future studies of HBoV and its association with
disease.
PMID- 18055253
TI - Detection of human influenza A (H1N1) and B strains with reduced sensitivity to
neuraminidase inhibitors.
AB - BACKGROUND: Neuraminidase inhibitors (NAIs) have been used since 2005 in France.
OBJECTIVE: Influenza viruses isolated in hospital and community cases in winter
2005-2006 were evaluated for their sensitivity to NAIs. STUDY DESIGN: Isolates
were tested in duplicate with a fluorescence-based neuraminidase assay. The IC50
for oseltamivir or zanamivir was calculated for each strain. Mean IC50 (+/-S.D.)
are expressed in nM. Viruses with IC50 superior or very superior to the upper
limit (mean IC50+2.5 S.D.) were considered as outliers or resistant viruses,
respectively. HA and NA genes for outliers, resistant strains and for a few
sensitive strains were sequenced. RESULTS: Out of 225 B isolates, one was found
resistant to both oseltamivir and zanamivir with a D197Y mutation in NA and eight
isolates were outliers for oseltamivir and/or zanamivir. Out of 151 A (H1N1)
isolates, one was found resistant to oseltamivir but sensitive to zanamivir with
a H275Y mutation in NA, two isolates were resistant to zanamivir and three
isolates were outliers for oseltamivir and/or zanamivir. New mutations were
detected in outliers compared to sensitive viruses. CONCLUSION: Resistant
influenza strains to NAIs are circulating at a stable and low level of 1% since
the introduction of NAIs in clinical practice.
PMID- 18055254
TI - Mutations of neuraminidase implicated in neuraminidase inhibitors resistance.
AB - Influenza constitutes one of the most important upper respiratory tract
infections regarding morbidity, and mortality. Prevention and treatment of
influenza rely on inactivated vaccines and antiviral drugs. Zanamivir and
Oseltamivir, the currently available influenza neuraminidase inhibitors (NAI) can
be used in clinical practice for the treatment of influenza infection. These
drugs have also shown their efficacy against highly pathogenic avian influenza.
Recent transmission of avian H7N7 and H5N1 influenza virus to human emphasized
the need for active antiviral against emerging influenza viruses. Since their
introduction in clinical practice, numerous studies have been implemented to
determine the rate of emergence of NAI resistant isolates. These studies describe
mechanisms of resistance associated to mutations in the neuraminidase protein,
and their consequence in virus fitness and transmission. This review is
summarizing the mutations described in human and avian influenza neuraminidases
that are associated to resistance or reduction in sensitivity.
PMID- 18055256
TI - Impaired cerebral oscillatory processing in hepatic encephalopathy.
AB - OBJECTIVE: Hepatic encephalopathy (HE) is characterized by neuropsychological and
motor deficits. The present study tested the hypothesis that worsening of motor
and sensory symptoms of HE results from a common basic deficit in the cerebral
oscillatory processing within the human motor and visual system. METHODS: We
investigated in 32 patients with liver cirrhosis and HE grades 0-2 critical
flicker frequency (CFF) and cortico-muscular (M1-EMG) coherence as a measure of
coupling between the surface EMGs of hand muscles and primary motor cortex (M1)
activity recorded non-invasively with magnetoencephalography (MEG) during forearm
elevation. RESULTS: Patients with HE-grade 2 developed excessive M1-EMG coherence
at low frequencies. In contrast, maximum M1-EMG coherence in patients with no HE
showed frequency and amplitude in the physiological range. CFF was continuously
reduced with worsening grades of HE. Correlation analysis revealed significant
correlation between the frequency of M1-EMG coherence and CFF. CONCLUSIONS: Taken
together, we demonstrate that increased grades of HE lead to a pathological M1
EMG drive which is reduced in frequency. These effects are correlated with an
impaired perception of oscillatory visual stimuli. SIGNIFICANCE: The results
suggest that pathological oscillatory neural processing in different human
cerebral systems may represent a basic mechanism for the clinical manifestation
of HE.
PMID- 18055255
TI - The auditory P50 component to onset and offset of sound.
AB - OBJECTIVE: The auditory Event-Related Potentials (ERP) of component P50 to sound
onset and offset have been reported to be similar, but their magnetic homologue
has been reported absent to sound offset. We compared the spatio-temporal
distribution of cortical activity during P50 to sound onset and offset, without
confounds of spectral change. METHODS: ERPs were recorded in response to onsets
and offsets of silent intervals of 0.5 s (gaps) appearing randomly in otherwise
continuous white noise and compared to ERPs to randomly distributed click pairs
with half second separation presented in silence. Subjects were awake and
distracted from the stimuli by reading a complicated text. Measures of P50
included peak latency and amplitude, as well as source current density estimates
to the clicks and sound onsets and offsets. RESULTS: P50 occurred in response to
noise onsets and to clicks, while to noise offset it was absent. Latency of P50
was similar to noise onset (56 ms) and to clicks (53 ms). Sources of P50 to noise
onsets and clicks included bilateral superior parietal areas. In contrast, noise
offsets activated left inferior temporal and occipital areas at the time of P50.
Source current density was significantly higher to noise onset than offset in the
vicinity of the temporo-parietal junction. CONCLUSIONS: P50 to sound offset is
absent compared to the distinct P50 to sound onset and to clicks, at different
intracranial sources. P50 to stimulus onset and to clicks appears to reflect
preattentive arousal by a new sound in the scene. Sound offset does not involve a
new sound and hence the absent P50. SIGNIFICANCE: Stimulus onset activates
distinct early cortical processes that are absent to offset.
PMID- 18055257
TI - Auditory event-related potentials in non-demented patients with sporadic
amyotrophic lateral sclerosis.
AB - OBJECTIVE: To investigate the presence of sub-clinical cognitive dysfunction in
non-demented patients with amyotrophic lateral sclerosis (ALS) using auditory
event-related potentials (ERPs). METHODS: Ten subjects with ALS and 10 age- and
sex-matched controls performed a passive three-stimulus paradigm with standard
(80%), deviant (16%) and distracter (4%) stimuli. To quantify the mismatch
component, the evoked response to the standard tones was subtracted from the
corresponding deviant stimuli and novel response; the P3a component was obtained
by subtracting the response to the standard tone from that to the novel stimuli.
The amplitude and latency for the N1 component obtained with the standard stimuli
were also measured. Clinical features, disability, cognitive status and
depression were evaluated with standardised scales. RESULTS: There were no
significant differences between patients and controls for latencies, while the
N1, P3a and MMN (obtained by the subtraction Novel-Standard) were of lower
amplitude in patients than in controls. In the patient group, the P3a latency
correlated with months from disease onset and symptoms severity, measured with
the amyotrophic lateral sclerosis severity scale. CONCLUSIONS: Our findings
confirm the hypothesis of a sub-clinical cognitive impairment in non-demented ALS
patients, suggesting pathological involvement beyond the motor areas.
SIGNIFICANCE: ERPs seem to be a promising technique to detect the possible
impairment of extra-motor sub-clinical dysfunction in ALS, and an appropriate
technique for the cognitive follow-up, as passive tasks, not requiring motor
responses, are particularly adequate in a disorder leading to severe loss of
motor function.
PMID- 18055259
TI - Physiological and anthropometric characteristics of junior elite and sub-elite
rugby league players, with special reference to starters and non-starters.
AB - While several studies have documented the physiological and anthropometric
characteristics of senior rugby league players, investigations of the physical
qualities of junior rugby league players are limited. The purpose of this study
was to investigate the physical qualities of junior rugby league players
competing at the elite and sub-elite level, and determine if pre-season fitness
measures were significantly different for the players selected to play in the
first game of the season (i.e. starters) compared to the players not selected
(i.e. non-starters). Thirty-six junior sub-elite and 28 junior elite rugby league
players participated in this study. All sub-elite players were registered with
the same junior recreational rugby league club, while elite players were members
of a National Rugby League club junior development program. Subjects underwent
measurements of anthropometry (height, body mass, and sum of seven skinfolds),
speed (10m, 20m, and 40m sprint), change of direction speed (505 test), estimated
lower body power (vertical jump), and estimated maximal aerobic power (multi
stage fitness test) at the beginning of the competitive season. Elite players had
better developed speed, change of direction speed, vertical jump, and maximal
aerobic power than sub-elite players. Elite starters were taller and heavier than
non-starters, while sub-elite starters were taller and had greater change of
direction speed than non-starters. A high estimated maximal aerobic power was a
common discriminator between starters and non-starters for both elite and sub
elite competitors. These findings demonstrate that some physical qualities can
discriminate starters and non-starters in elite and sub-elite junior rugby league
teams.
PMID- 18055258
TI - Leg sympathetic response to noxious skin stimuli is similar in high and low level
human spinal cord injury.
AB - OBJECTIVE: To determine if sympathetically mediated vasoconstriction in the lower
extremities is injury level dependent. Although sympathetic responses have been
measured in the limbs of people with high and low level SCI using blood flow
measurements, including Doppler ultrasound and venous plethysmography, a direct
comparison between injury levels has not been made. METHODS: Volunteers with
chronic SCI were grouped according to injury level. Above T6: high level (HL,
n=7), and T6 and below: low level (LL, n=6). All subjects had complete motor and
sensory loss. Leg arterial flows were recorded by venous occlusion
plethysmography, and continuous heart rate and mean arterial pressure (MAP) were
measured. The conditioning stimulus consisted of transcutaneous stimulation to
the arch of the contralateral foot. RESULTS: HL and LL subjects demonstrated a
significant decrease in arterial conductance during stimulation with no
significant difference found between groups. As expected, only group HL
demonstrated a significant increase in MAP. CONCLUSIONS: These results support
our hypothesis that local (leg) sympathetic responses are similar for both high
and low level SCI. SIGNIFICANCE: While low level SCI does not typically present
with autonomic dysreflexia, bouts of increased reflex sympathetic activity could
have ramifications for metabolism as well as renal and motor system function.
PMID- 18055260
TI - Effects of active warm up on thermoregulation and intermittent-sprint performance
in hot conditions.
AB - This study examined the effects of active warm up on thermoregulatory responses
and intermittent-sprint cycle performance in hot conditions (35.5+/-0.6 degrees
C, RH 48.7+/-3.4%). Eight trained males performed a 36-min, intermittent-sprint
test (IST) after no (WUP 0), 10-min (WUP 10) or 20-min warm up (WUP 20). The IST
contained 2-min blocks consisting of a 4-s sprint, 100s active recovery and 20s
passive rest. Twice during the IST, there was a repeated-sprint bout (RSB)
comprising five, 2-s sprints separated by approximately 20s. There were no
significant differences between trials for mean work (3870+/-757 versus 4028+/
562 versus 3804+/-494Jsprint(-1)), peak power (W) or work decrement (%). However,
mean work was significantly less in RSB2 than RSB1 for WUP 20 only (P<0.05).
Plasma lactate was significantly higher after active warm up (WUP 20=WUP 10>WUP
0; P<0.05), but not significantly different between conditions following either
RSB. Rectal temperature (T(re)) was significantly higher after active warm up
(37.0+/-0.3 versus 37.3+/-0.3 versus 37.7+/-0.1 degrees C for WUP0, WUP10 and
WUP20, respectively) and throughout the IST. The longer active warm up resulted
in a greater increase in T(re) and was associated with a decrease in short-term
repeated-sprint ability (with incomplete recovery), but not prolonged,
intermittent-sprint performance in the heat. As active warm up did not improve
performance (<40min), team-sport athletes may minimise changes in T(re) (and the
likelihood of heat illness) by avoiding excessive warm up when competing in the
heat.
PMID- 18055261
TI - Cardiovocal syndrome: a systematic review.
AB - Hoarseness associated with mitral stenosis was initially described by Ortner.
Several cardiopulmonary conditions were associated with left recurrent laryngeal
nerve palsy over the last 100 years; thus, the syndrome is termed as cardiovocal
syndrome or Ortner's syndrome. This study aimed to classify the various
predisposing conditions and to explain the pathophysiology and treatment
opportunities available for these patients.
PMID- 18055262
TI - FGF2 in asthmatic airway-smooth-muscle-cell hyperplasia.
AB - Airway smooth muscle (ASM)-cell hyperplasia is a cardinal feature of the
remodeled airways in asthma and contributes to airway hyper-responsiveness.
Several upregulated mediators are potentially involved in this architectural
change. Recently, many investigators have turned their interest toward fibroblast
growth factor (FGF)2. This opinion article describes the current knowledge on the
biology of this growth factor, reviews the papers that have measured its baseline
or allergen-induced expression in human asthmatics and summarizes observations
supporting its role as an ASM cell mitogen. The possibility that FGF2 is involved
in ASM-cell hyperplasia is raised, not only because it induces ASM-cell
proliferation by itself but because of recent findings showing that FGF2 confers
to ASM cells the ability to proliferate in response to different asthma
mediators.
PMID- 18055263
TI - Distinct roles for DC-SIGN+-dendritic cells and Langerhans cells in HIV-1
transmission.
AB - Dendritic cells (DCs) are thought to mediate HIV-1 transmission but it is
becoming evident that different DC subsets at the sites of infection have
distinct roles. In the genital tissues, two different DC subsets are present: the
Langerhans cells (LCs) and the DC-SIGN(+)-DCs. Although DC-SIGN(+)-DCs mediate
HIV-1 transmission, recent data demonstrate that LCs prevent HIV-1 transmission
by clearing invading HIV-1 particles. However, this protective function of LCs is
dependent on the function of the C-type lectin Langerin: blocking Langerin
function by high virus concentrations enables HIV-1 transmission by LCs. Here, we
will discuss the molecular mechanisms involved in HIV-1 transmission and viral
clearance. A better understanding of these processes is crucial to understand and
develop strategies to combat transmission.
PMID- 18055264
TI - Interplay of parasite-driven immune responses and autoimmunity.
AB - As more facts emerge regarding the ways in which parasite-derived molecules
modulate the host immune response, it is possible to envisage how a lack of
infection by agents that once infected humans commonly might contribute to the
rise in autoimmune disease. Through effects on cells of both the innate and
adaptive arms of the immune response, parasites can orchestrate a range of
outcomes that are beneficial not only to parasites, in terms of facilitating
their life cycles, but also to their host, in limiting pathology.
PMID- 18055265
TI - Alpha-1-acid glycoprotein, its local production and immunopathological
participation in experimental pulmonary tuberculosis.
AB - Alpha-1-acid glycoprotein (AGP) is one of the major acute-phase proteins (APPs).
Hepatic production and serum concentrations increase in response to systemic
injury, inflammation, or infection. We reported previously that expression of the
AGP gene is induced in the liver during experimental pulmonary tuberculosis.
Since AGP may also be produced at the infection site and has some
immunomodulatory properties, we used a model of progressive pulmonary
tuberculosis in Balb/c mice to study the kinetics of AGP production in the lung
and its influence on immunopathology. We found that AGP was produced in the lung
during experimental tuberculosis. Alveolar macrophages and type II pneumocytes
were the most important cellular sources during early infection (days 1-14). From
day 21 postinfection, during the progressive phase of the infection, foamy
macrophages located in pneumonic areas were the most important source of AGP and
10-fold higher concentrations were found on day 60. In a second part of the
study, AGP was inactivated during the progressive phase by the administration of
specific blocking antibodies. In comparison with control infected animals,
tuberculous mice treated with blocking AGP antibodies showed higher expression of
interferon gamma (IFN-gamma), tumor necrosis factor alpha (TNF-alpha), and
inducible nitric oxide synthase (iNOS) in association with significantly reduced
bacillary loads and tissue damage. Thus, AGP is produced in the lung during
experimental pulmonary tuberculosis and it has immunomodulatory activities,
suppressing cell-mediated immunity and facilitating growth of bacilli and disease
progression.
PMID- 18055266
TI - Interpreting the clinical importance of treatment outcomes in chronic pain
clinical trials: IMMPACT recommendations.
AB - A consensus meeting was convened by the Initiative on Methods, Measurement, and
Pain Assessment in Clinical Trials (IMMPACT) to provide recommendations for
interpreting clinical importance of treatment outcomes in clinical trials of the
efficacy and effectiveness of chronic pain treatments. A group of 40 participants
from universities, governmental agencies, a patient self-help organization, and
the pharmaceutical industry considered methodologic issues and research results
relevant to determining the clinical importance of changes in the specific
outcome measures previously recommended by IMMPACT for 4 core chronic pain
outcome domains: (1) Pain intensity, assessed by a 0 to 10 numerical rating
scale; (2) physical functioning, assessed by the Multidimensional Pain Inventory
and Brief Pain Inventory interference scales; (3) emotional functioning, assessed
by the Beck Depression Inventory and Profile of Mood States; and (4) participant
ratings of overall improvement, assessed by the Patient Global Impression of
Change scale. It is recommended that 2 or more different methods be used to
evaluate the clinical importance of improvement or worsening for chronic pain
clinical trial outcome measures. Provisional benchmarks for identifying
clinically important changes in specific outcome measures that can be used for
outcome studies of treatments for chronic pain are proposed. PERSPECTIVE:
Systematically collecting and reporting the recommended information needed to
evaluate the clinical importance of treatment outcomes of chronic pain clinical
trials will allow additional validation of proposed benchmarks and provide more
meaningful comparisons of chronic pain treatments.
PMID- 18055267
TI - Patients' self-criticism is a stronger predictor of physician's evaluation of
prognosis than pain diagnosis or severity in chronic pain patients.
AB - The experience of pain is influenced by patients' personality, social and
cultural background, and patient-doctor interaction. This study examines the role
of self-reported pain, pain diagnosis, age, gender, depression, and the
personality trait of self-criticism (defined as individuals' tendency to set
unrealistically high self-standards and to adopt a punitive stance toward one's
self), in determining physicians' view of expected prognosis in response to
chronic pain management. Before the first visit to a tertiary chronic pain
clinic, patients provided information regarding their perceived pain, depression,
and self-criticism. Immediately subsequent to the visit, physicians' evaluated
expected prognosis. Participating physicians were blinded to the patient's
psychosocial variables collected. Sixty-four patients with chronic pain (34 women
and 30 men) with various diagnoses were included. Patients' age, gender, pain
diagnosis, self-reported pain, and depression did not significantly correlate
with physician's estimation of expected prognosis. In contrast, patients' self
criticism emerged as an independent predictor of physicians' pessimism regarding
outcome. Thus, in the chronic pain clinic setting, patients' personality, rather
than self-reported pain experience, determines doctor's clinical judgment of
expected prognosis. PERSPECTIVE: Chronic pain is a multimodal negative experience
that is determined by physiological, cognitive, personological, and interpersonal
factors. In line with this observation, we found patients' personality,
specifically, their self-criticism, determines physicians' clinical judgment of
expected prognosis.
PMID- 18055268
TI - Successful cryoablation of ventricular tachycardia arising from the proximal
right bundle branch in a child.
PMID- 18055269
TI - Left atrial and pulmonary vein macroreentrant tachycardia associated with double
conduction gaps: a novel type of man-made tachycardia after circumferential
pulmonary vein isolation.
AB - BACKGROUND: The macroreentrant tachycardia that involves the left atrium (LA) and
the pulmonary veins (PVs) after atrial fibrillation (AF) ablation has not been
described. OBJECTIVE: To clarify the mechanism and electrophysiological
characteristics of this tachycardia. METHODS AND RESULTS: Eight patients
presented with recurrent regular tachycardia after the initial procedure, which
consisted of two circular linear lesions around the ipsilateral PVs. Clinical
tachycardia with a cycle length of 297 +/- 38 ms presented as persistent in six
and paroxysmal in two patients. During tachycardia, PV activation with one-to-one
conduction from the LA to the PV was found via recovered conduction gaps in the
previous lesions in all patients. Three-dimensional tachycardia mapping showed a
macroreentrant pattern in two and a focal pattern in six patients. In two
patients, mapping demonstrated an isthmus within the left common PV in one
patient and within the right-sided PVs in another patient. In the remaining six
patients with focal pattern, mapping demonstrated earliest atrial activation near
the right-sided PV ostium in five patients and near the left-sided PV in one
patient. Entrainment mapping showed that the LA and PVs were involved in the
reentrant circuit with an isthmus between the two conduction gaps in all eight
patients. The tachycardias were successfully terminated with a single
radiofrequency application. No AT recurred during follow-up (12 +/- 9 months) in
all patients. CONCLUSIONS: The LA-PV macroreentrant tachycardia involves the LA,
PV, and the two conduction gaps in the previous lesions. Entrainment mapping is
necessary to make the diagnosis. Ablation of this tachycardia can be facilitated
by closing the conduction gaps.
PMID- 18055270
TI - Atypical atrial tachycardias in patients with congenital heart disease.
PMID- 18055271
TI - Altered ventricular stretch contributes to initiation of cardiac memory.
AB - BACKGROUND: Cardiac memory is a change in T-wave morphology induced by
ventricular pacing or arrhythmias that persist after resumption of normal AV
conduction. Changing the pacemaker site from atrium to ventricle alters
ventricular activation and the mechanical pattern of ventricular contraction.
Either or both alterations affect T-wave configuration. OBJECTIVE: The purpose of
this study was to study the role of altered contractile patterns on initiation of
cardiac memory. METHODS: Isolated rabbit hearts were immersed in Tyrode's
solution (37 degrees C) and aortically perfused at a constant pressure of 70
mmHg. Three orthogonal quasi-ECG leads were recorded via six Ag-AgCl electrodes
located on the walls of the bath. Hearts were paced at a constant cycle length
from either the right atrial appendage or left ventricle lateral wall. The
pulmonary artery was sealed, and both ventricles contracted isovolumetrically.
Cardiac memory was quantified as T-wave vector displacement expressed as distance
between T-wave vector peaks during atrial pacing before and after ventricular
pacing. RESULTS: Five minutes of ventricular pacing induced significant T-wave
vector displacement that returned to control in 5 to 10 minutes. No significant
changes in intraventricular pressure occurred during and after ventricular
pacing. Interventions that decreased ventricular load (shunting both ventricles
to the bath) or contractility (excitation-contraction uncoupler blebbistatin)
significantly decreased developed pressure and eliminated T-wave vector
displacement. Neither intervention affected ventricular activation during
ventricular pacing. Locally applied left ventricular epicardial stretch induced T
wave vector displacement similar to that induced by ventricular pacing.
CONCLUSION: Altered ventricular activation during ventricular pacing initiates
cardiac memory via induction of altered contractile patterns and altered stretch.
PMID- 18055272
TI - Spontaneous stellate ganglion nerve activity and ventricular arrhythmia in a
canine model of sudden death.
AB - BACKGROUND: Little information is available on the temporal relationship between
instantaneous sympathetic nerve activity and ventricular arrhythmia in ambulatory
animals. OBJECTIVE: The purpose of this study was to determine if increased
sympathetic nerve activity precedes the onset of ventricular arrhythmia. METHODS:
Simultaneous continuous long-term recording of left stellate ganglion (LSG) nerve
activity and electrocardiography was performed in eight dogs with nerve growth
factor infusion to the LSG, atrioventricular block, and myocardial infarction
(experimental group) and in six normal dogs (control group). RESULTS: LSG nerve
activity included low-amplitude burst discharge activity (LABDA) and high
amplitude spike discharge activity (HASDA). Both LABDA and HASDA accelerated
heart rate. In the experimental group, most ventricular tachycardia (86.3%) and
sudden cardiac death were preceded within 15 seconds by either LABDA or HASDA.
The closer to onset of ventricular tachycardia, the higher the nerve activity.
The majority of HASDA was followed immediately by either ventricular arrhythmia
(21%) or QRS morphology changes (65%). HASDA occurred in a circadian pattern.
HASDA occurred twice as often in the experimental group than in the control
group. Electrical stimulation of LSG increased transmural heterogeneity of
repolarization (Tpeak-end intervals) and induced either ventricular tachycardia
or fibrillation in the experimental group but not in the control group.
Immunohistochemical studies revealed increased synaptogenesis and nerve sprouting
in the LSG in the experimental group. CONCLUSION: Two distinct types of LSG nerve
activity (HASDA and LABDA) are present in the LSG of ambulatory dogs. The
majority of malignant ventricular arrhythmias are preceded by either HASDA or
LABDA, with HASDA particularly arrhythmogenic.
PMID- 18055273
TI - Atrial tachycardia with group beating: what is the mechanism?
PMID- 18055274
TI - The Logan Healthy Living Program: a cluster randomized trial of a telephone
delivered physical activity and dietary behavior intervention for primary care
patients with type 2 diabetes or hypertension from a socially disadvantaged
community--rationale, design and recruitment.
AB - BACKGROUND: Physical activity and dietary behavior changes are important to both
the primary prevention and secondary management of the majority of our most
prevalent chronic conditions (i.e., cardiovascular disease, hypertension, type 2
diabetes, breast and colon cancer). With over 85% of Australian adults visiting a
general practitioner each year, the general practice setting has enormous
potential to facilitate wide scale delivery of health behaviour interventions.
However, there are also many barriers to delivery in such settings, including
lack of time, training, resources and remuneration. Thus there is an important
need to evaluate other feasible and effective means of delivering evidence-based
physical activity and dietary behaviour programs to patients in primary care,
including telephone counseling interventions. METHODS: Using a cluster randomized
design with practice as the unit of randomization, this study evaluated a
telephone-delivered intervention for physical activity and dietary change
targeting patients with chronic conditions (type 2 diabetes or hypertension)
recruited from primary care practices in a socially disadvantaged community in
Queensland, Australia. Ten practices were randomly assigned to the telephone
intervention or to usual care, and 434 patients were recruited. Patients in
intervention practices received a workbook and 18 calls over 12 months.
Assessment at baseline, 4-, 12- and 18-months allows for assessment of initial
change and maintenance of primary outcomes (physical activity and dietary
behavior change) and secondary outcomes (quality of life, cost-effectiveness,
support for health behavior change). CONCLUSIONS: This effectiveness trial adds
to the currently limited number of telephone-delivered intervention studies
targeting both physical activity and dietary change. It also addresses some of
the shortcomings of previous trials by targeting patients from a disadvantaged
community, and by including detailed reporting on participant representativeness,
intervention implementation and cost-effectiveness, as well as an evaluation of
maintenance of health behavior change.
PMID- 18055275
TI - Hypoxia-induced vasodilation in the right coronary circulation of conscious dogs:
role of adrenergic activation.
AB - The role of adrenergic activation in the right coronary (RC) flow response to
hypoxia has not been previously delineated, and limited information from left
coronary studies is inconsistent. Seven dogs were instrumented with catheters
implanted in the aorta and in the right ventricle to measure aortic pressure and
right ventricular (RV) pressure, respectively. A flow transducer was placed
around the RC artery to measure RC flow. After recovery from surgery, the dogs
were exposed to systemic hypoxia in a Plexiglas chamber ventilated with N(2).
Percent O(2) in the chamber was monitored, and blood samples and hemodynamic data
were collected as chamber O(2) was progressively reduced to approximately 6%. The
chamber was then opened, and the dog breathed room air. Phentolamine, 1 mg/kg,
and propranolol, 2 mg/kg, were then administered via the RV catheter to achieve
adrenergic blockade, and the hypoxia protocol was repeated. During hypoxia,
arterial PO(2) progressively fell from 87+/-3 to 25+/-1 mmHg during untreated
control condition and from 90+/-4 to 23+/-1 mmHg during adrenergic blockade. In
the unblocked condition, hypoxia caused increases in aortic pressure, heart rate,
RV pressure, and RV dP/dt(max). After adrenergic blockade, normoxic aortic
pressure was reduced; heart rate and RV dP/dt(max) tended to be lower. Aortic
pressure rose during hypoxia, but to lesser values than before blockade. Heart
rate and RV dP/dt(max) also increased, but only at more severe hypoxia, and these
values were less than before blockade. Normoxic flow and hypoxia-induced
increases in RC flow and conductance were not altered by blockade. The
relationship between RC conductance and RV triple product, an index of RV O(2)
demand, was steeper after blockade. These findings indicate that in the normal,
unblocked condition, RC flow during hypoxia is restrained by an adrenergic
mediated increase in RC vasomotor tone.
PMID- 18055276
TI - Impact of lung remodelling on respiratory mechanics in a model of severe allergic
inflammation.
AB - We developed a model of severe allergic inflammation and investigated the impact
of airway and lung parenchyma remodelling on in vivo and in vitro respiratory
mechanics. BALB/c mice were sensitized and challenged with ovalbumin in severe
allergic inflammation (SA) group. The control group (C) received saline using the
same protocol. Light and electron microscopy showed eosinophil and neutrophil
infiltration and fibrosis in airway and lung parenchyma, mucus gland hyperplasia,
and airway smooth muscle hypertrophy and hyperplasia in SA group. These
morphological changes led to in vivo (resistive and viscoelastic pressures, and
static elastance) and in vitro (tissue elastance and resistance) lung mechanical
alterations. Airway responsiveness to methacholine was markedly enhanced in SA as
compared with C group. Additionally, IL-4, IL-5, and IL-13 levels in the
bronchoalveolar lavage fluid were higher in SA group. In conclusion, this model
of severe allergic lung inflammation enabled us to directly assess the role of
airway and lung parenchyma inflammation and remodelling on respiratory mechanics.
PMID- 18055278
TI - A simple and sensitive liquid chromatography-tandem mass spectrometry assay for
the quantification of ertapenem in microdialysate.
AB - A new liquid chromatography assay with isocratic elution and tandem mass
spectrometry detection (LC-MS/MS) using an electrospray ionization interface in
the multiple reaction monitoring mode was developed and validated for ertapenem
determination in microdialysate samples. Linearity was demonstrated between
10ngmL(-1) (lower limit of quantification, LLoQ) and 160ngmL(-1). The precision
(CV%) and accuracy (bias%) in microdialysates at the LLoQ were respectively 2.2%
and 17.3% within-day and 10.6% and 2.7% between-days. Ertapenem was stable for 1
month at -20 degrees C and -80 degrees C but unstable at +4 degrees C. This new
LC-MS/MS assay is simple, rapid and more sensitive than previously described
assays.
PMID- 18055277
TI - Brainstem circuitry of tracheal-bronchial cough: c-fos study in anesthetized
cats.
AB - The c-fos gene expression method was used to localize brainstem neurons
functionally related to the tracheal-bronchial cough on 13 spontaneously
breathing, pentobarbitone anesthetized cats. The level of Fos-like
immunoreactivity (FLI) in 6 animals with repetitive coughs (170+/-12) induced by
mechanical stimulation of the tracheobronchial mucosa was compared to FLI in 7
control non-stimulated cats. Thirty-four nuclei were compared for the number of
labeled cells. Enhanced cough FLI was found bilaterally at following brainstem
structures, as compared to controls: In the medulla, FLI was increased in the
medial, interstitial and ventrolateral subnuclei of the solitary tract (p <
0.02), in the retroambigual nucleus of the caudal medulla (p < 0.05), in the
ambigual, paraambigual and retrofacial nuclei of the rostral medulla along with
the lateral reticular nuclei, the ventrolateral reticular tegmental field (p <
0.05), and the raphe nuclei (p < 0.05). In pons, increased FLI was detected in
the lateral parabrachial and Kolliker-Fuse nuclei (p < 0.01), in the
posteroventral cochlear nuclei (p < 0.01), and the raphe midline (p < 0.05).
Within the mesencephalon cough-related FLI was enhanced at the rostral midline
area (p < 0.05), but a decrease was found at its caudal part in the
periaqueductal gray (p < 0.02). Results of this study suggest a large medullary -
pontine - mesencephalic neuronal circuit involved in the control of the tracheal
bronchial cough in cats.
PMID- 18055279
TI - Development of accurate classification method based on the analysis of volatile
organic compounds from human exhaled air.
AB - Analysis of exhaled air leads to the development of fast accurate and non
invasive diagnostics. A comprehensive analysis of the entire range of volatile
organic compounds (VOCs) in exhaled air samples will enable the identification of
VOCs unique for certain patient groups. This study demonstrates proof of
principle of our developed method tested on a smoking/non-smoking study
population. Thermal desorption and gas chromatography coupled to time-of-flight
mass spectrometry were used to analyse exhaled air samples. The VOC profiles
obtained from each individual were combined into one final database based on
similarity of mass spectra and retention indexes (RI), which offers the
possibility for a reliable selection of compounds of interest. As proof of
principle we correctly classified all subjects from population of smoking (N=11)
and non-smoking (N=11) based on the VOC profiles available in their exhaled air.
Support vector machine (SVM) analysis identified 4 VOCs as biomarkers of recent
exposure to cigarette smoke: 2,5-dimethyl hexane, dodecane, 2,5-dimethylfuran and
2-methylfuran. This approach contributes to future development of fast, accurate
and non-invasive diagnostics of inflammatory diseases including pulmonary
diseases.
PMID- 18055280
TI - Determination of the low molecular weight fraction of food-grade carrageenans.
AB - Recently there has been some debate regarding the presence and associated health
risk of low molecular weight carrageenan in foodstuffs. Unfortunately measurement
of the low molecular weight tail (LMT) of food-grade carrageenans (defined here
as the carrageenan having relative molecular mass (Mr) below 50,000) is not
trivial, largely due to its low abundance. So far methods employing light
scattering have been unsuccessful in producing reproducible results, probably due
to the poor detector response at low masses. In this work a method based on high
performance size exclusion chromatography coupled to a refractive index detector
(HPSEC-RI) has been used for the measurement of the LMT in food-grade carrageenan
ingredients and in a carrageenan-containing finished product (a jelly). Over the
course of half a year, 19 measurements were made on a reference carrageenan; the
results demonstrated that the method had excellent reproducibility. Applied to a
number of different carrageenan ingredients, it was found that, in general, the
LMT represents less than 8% of the total carrageenan in ingredients, and under
the correct conditions increases little during food processing. The data also
indicated that pH appears to be a critical factor during food processing and pH
levels below 4.0 should be avoided.
PMID- 18055281
TI - Aspartate aminotransferase to platelet ratio index (APRI) can predict liver
fibrosis in chronic hepatitis B.
AB - BACKGROUND: There have been still few valuable markers that can be used as
indirect markers of liver fibrosis in chronic hepatitis B. AIMS: This study aimed
to evaluate efficacy of several indirect markers of liver fibrosis and to
identify the most valuable test in chronic hepatitis B. PATIENTS AND METHODS: A
total of 264 patients with chronic hepatitis B were consecutively enrolled.
Fibrosis was staged by a single blinded pathologist according to the METAVIR
system. Significant fibrosis was defined as stage >or=2. We investigated
diagnostic accuracy of four indirect markers including aspartate aminotransferase
to platelet ratio index for predicting significant fibrosis. RESULTS: Mean age
was 28 years. 53% (141/264) had significant hepatic fibrosis. Of indirect
markers, aspartate aminotransferase to platelet ratio index yielded the best area
under the receiver operating characteristic curve (0.86; 95% confidence interval,
0.82-0.91). Positive predictive value/negative predictive value at 0.5, 1.5 and
2.0 of aspartate aminotransferase to platelet ratio index score for predicting
significant fibrosis were 63%/91%, 83%/74% and 86%/65%, respectively. The odds
ratio for aspartate aminotransferase to platelet ratio index >or=1.4 relative to
less than aspartate aminotransferase to platelet ratio index of 1.4 was 17.971
(p<0.0001; 95% confidence interval, 9.677-33.376). CONCLUSIONS: Of simple markers
already developed in chronic hepatitis C, aspartate aminotransferase to platelet
ratio index may be the most accurate and simple marker for predicting significant
fibrosis in chronic hepatitis B.
PMID- 18055282
TI - Aorto-oesophageal fistula: save time to save life.
PMID- 18055283
TI - The incidence of coeliac disease in adult first degree relatives.
AB - BACKGROUND AND AIMS: Although prevalence of coeliac disease among first degree
relatives of coeliac patients is well-known, only four studies are available
about its incidence. We investigated whether first degree relatives found to be
negative at a first serological screening can subsequently develop coeliac
disease. PATIENTS AND METHODS: In the last 6 years, endomysial antibodies were
tested in 158 adult first degree relatives referred to our coeliac out-patient
clinic. After at least a year, negative subjects were offered a second testing.
Sixty-three accepted. RESULTS: 130/158 first degree relatives tested negative
initially. Although one of them had developed coeliac disease after the first
testing, at the second testing none of the 63 endomysial antibody negative first
degree relatives proved positive. Incidence of coeliac disease among first degree
relatives was 1/64 in 51 months, 0.437% year (95%CI 0.05-2.62). An analysis of
the sample size showed that 10,000 first degree relatives must be followed up to
significantly reduce the CI. CONCLUSIONS: Although we confirmed the high
prevalence of coeliac disease among first degree relatives (28/158, 17.7%), we
found that the low incidence suggests that further studies are required to
understand whether endomysial antibody negative first degree relatives need to be
followed up.
PMID- 18055284
TI - Acute cholestasis: atypical onset of Kawasaki disease.
AB - Atypical onset of Kawasaki disease (KD) is a frequent problem leading to
diagnostic mistake. Acute cholestasis and liver involvement occur occasionally as
minor manifestation of KD. We report the case of a 6-year-old boy presenting
fever, jaundice, abdominal pain, and ascites who subsequently developed typical
KD clinical pattern just at the same time of echocardiographic coronary arteries
anomalies. Abdominal radiological evaluation was normal and seroimmunologic
markers resulted negative. Shortly after intravenous immunoglobulin and
acetylsalicylic acid administration the clinical features disappeared. KD should
be considered in differential diagnosis in children with cholestasis, abdominal
pain and fever of unknown etiology.
PMID- 18055285
TI - Q&A on diagnosis, screening and follow-up of colorectal neoplasia.
AB - The impressive and brisk evolution of medical science prevents many physicians
from a thorough update on all the research fields. Colorectal cancer diagnosis,
screening and follow-up is well known to require a multi-disciplinary approach,
as it is faced by several specialties such as primary care physicians,
gastroenterologists, non-gastroenterologist internists, radiologists and
surgeons. To address this issue in a mutual perspective, we focused on the main
points of the epidemiology, diagnosis, screening and follow-up of colorectal
neoplasia by using a simple "Question & Answers" structure.
PMID- 18055286
TI - Identification of angiogenin as the osteoclastic bone resorption-inhibitory
factor in bovine milk.
AB - We identified, for the first time, the factor responsible for inhibiting
osteoclast-mediated bone resorption in the basic protein fraction of bovine milk
(milk basic protein, MBP). The protein was purified by a combination of ion and
gel column chromatography from MBP, based on its activity to prevent
unfractionated rabbit bone cells from forming pits on dentine slices. It was
found to have a molecular weight of 15 kDa on SDS-PAGE, and the sequence of the N
terminal 25 amino acid residues was identical to that of bovine angiogenin. The
purified bovine angiogenin inhibited the pit-forming activity of both
unfractionated bone cells and purified osteoclasts in a dose-dependent manner,
and the inhibitory activity was markedly suppressed by treatment with anti-bovine
angiogenin antibody. The inhibitory activity was confirmed in mice both in vitro
and in vivo. Treatment of osteoclasts with bovine angiogenin resulted in an
impairment of the formation F-actin ring and a reduction in the mRNA levels of
TRAP and cathepsin K, both known to be essential for the bone resorption activity
of osteoclasts. These results suggest that bovine angiogenin is the substance
mainly responsible for the inhibitory effect of bovine milk on osteoclast
mediated bone resorption, and that it exerts its activity by acting directly on
the osteoclasts.
PMID- 18055287
TI - The skeletal response to estrogen is impaired in female but not in male steroid
receptor coactivator (SRC)-1 knock out mice.
AB - Estrogen (E) is critical for the maintenance of bone mass in both female and male
mice and steroid receptor coactivator (SRC)-1 has been shown to be important for
mediating E effects on bone, at least in female mice. In the present study, we
defined the skeletal phenotype of male SRC-1 knock out (KO) mice and compared it
with their female littermates. Further, to determine the role of SRC-1 in
mediating effects of E on bone in male mice, we examined the skeletal effects of
gonadectomy (gnx) with or without E replacement in male mice and placed these
findings in the context of our previous studies in female SRC-1 KO mice. Analysis
of a large group of male (WT, n=67; SRC-1 KO, n=56) and female (WT, n=66; SRC-1
KO, n=70) mice showed a significant decrease in trabecular volumetric bone
mineral density (vBMD) in SRC-1 KO mice compared to their WT littermates in both
genders (male SRC-1 KO, 275+/-3 vs. WT, 295+/-3 mg/cm(3), P<0.001; female SRC-1
KO, 210+/-2 vs. WT, 221+/-2 mg/cm(3), P<0.001). Following gnx and E replacement
(10 microg/kg/day), we previously demonstrated that SRC-1 KO female mice have a
defect in E action in trabecular, but not in cortical bone. In contrast, we now
demonstrate that the same dose of E administered to gnx'd male SRC-1 KO mice was
sufficient to prevent trabecular bone loss in these mice. For example, in WT
female mice, gnx followed by E replacement maintained spine BMD (1.2+/-3.4% vs.
baseline) as compared to gnx without E replacement (-12.7+/-2.6%, P<0.001 vs.
sham); this effect of E was absent in SRC-1 KO female mice. By contrast, the
identical dose of E was equally effective in maintaining spine BMD in E-treated
gnx'd male WT (-5.2+/-5.1% vs. baseline) and male SRC-1 KO (-5.4+/-5.3%) mice,
respectively, as compared to gnx'd mice without E treatment (WT, -17.6+/-2.5%,
P=0.02; SRC-1 KO, -28.6+/-2.6%, P<0.001 vs. sham). E treatment was effective in
suppressing cancellous bone turnover in both gnx'd WT and SRC-1 KO male mice as
determined by significant reductions in osteoblast and osteoclast numbers;
however, in female mice, E treatment only suppressed bone turnover in WT but not
in SRC-1 KO mice. Collectively, these findings demonstrate that loss of SRC-1
results in trabecular osteopenia in male and female mice, but in contrast to
female mice, this is not due to any detectable resistance to E action in
trabecular bone in male SRC-1 KO mice.
PMID- 18055288
TI - The role of calcium and vitamin D in the management of osteoporosis.
AB - The role of calcium and vitamin D supplementation in the treatment of
osteoporosis has been extensively studied. The aim of this paper was to reach,
where possible, consensus views on five key questions relating to calcium and
vitamin D supplementation in the management of osteoporosis. Whereas global
strategies that target supplementation to the general population could not be
justified in terms of efficacy and health economics, there is a clearer rationale
for supplementing patients who are at increased risk of osteoporosis and those
who have developed osteoporosis, including those already taking other treatments
for osteoporosis. The combination of vitamin D with calcium may be beneficial in
terms of efficacy and, perhaps, for optimising adherence.
PMID- 18055289
TI - Stainless steel screws coated with bisphosphonates gave stronger fixation and
more surrounding bone. Histomorphometry in rats.
AB - Coating of stainless steel screws with bisphosphonate in a fibrinogen matrix
leads to an enhancement of the pullout strength 2 weeks after insertion in rat
tibiae. This effect then increases over time until at least 8 weeks. The pullout
force reflects the mechanical properties of the bone within the threads, which
acts as a screw nut. The aim of the present study was to find descriptive and
morphometric histological correlates to the increased pullout strength. Because
the bisphosphonates are applied via the implant surface, we also measured bone to
implant contact and how far away from the surface any effects could be seen.
Stainless steel screws underwent one of three treatments: uncoated control,
controls coated with a layer of cross-linked fibrinogen, or screws further
modified with bisphosphonates covalently linked and physically adsorbed to the
fibrinogen layer. At 1 (n=33) and 8 (n=27) weeks, bone to implant contact and
bone area density in the threads were measured, as well as bone area density at
250 and 500 microm from the outer edge of the threads. Additionally, removal
torque for each screw treatment was measured at 2 weeks (n=28). At 8 weeks, the
part of the bisphosphonate screw that was located in the marrow cavity had become
surrounded with bone, whereas there was almost no bone surrounding the controls.
The bone area density in the threads along the entire bisphosphonate screw was
increased by 40% compared with uncoated controls, and at 250 microm distance it
was more than doubled. At 1 week, coated screws had less implant-bone contact,
but at 8 weeks there was no difference between uncoated and bisphosphonate-coated
screws. The bisphosphonate screws had 50% increased removal torque at 2 weeks
compared to uncoated screws. Howship's lacunae and osteoclasts were found near
the screws with bisphosphonates at 8 weeks, suggesting that some bone remodeling
took place near the implant, in spite of the presence of bisphosphonates.
PMID- 18055290
TI - The role of ultrasound in the detection of cervical lymph node metastases in
clinically N0 squamous cell carcinoma of the head and neck.
AB - Nodal involvement is the most important prognostic factor in head and neck
squamous cell carcinoma (HNSCC) of mucosal origin. The presence of a single
ipsilateral or contralateral metastatic node reduces survival by 50% and
bilateral disease by a further 50%. The management of N+ HNSCC is relatively
clear-cut. By contrast, the investigation and treatment of patients with
clinically N0 disease is controversial. Most institutions electively treat the
neck with surgery or radiotherapy because the risk of occult metastases is over
20%, even though it will be unnecessary in the majority of cases. In this
situation the main purpose of staging would be to assess those nodes that are not
going to be removed. However, the optimal management of the clinically N0 neck
remains controversial and there is growing interest in a more conservative
approach. Research is now directed toward finding a method of staging sensitive
enough to bring the risk of occult metastases below 20%. High spatial resolution,
ease of multiplanar scanning, power Doppler and the ability to perform guided
fine-needle aspiration for cytology give ultrasound (US) an advantage over other
imaging techniques.
PMID- 18055292
TI - Spectrum of findings and usefulness of integrated PET/CT in patients with known
or suspected neuroendocrine tumors of the lung.
AB - Positron emission tomography (PET) with fluorine-18 fluorodeoxyglucose (FDG) has
been known to increase diagnostic accuracy in differentiating benign and
malignant lung lesions and to improve identification of lymph node and
extrathoracic metastasis in pulmonary neoplasms. In this review, the authors
describe the spectrum of integrated PET/CT findings on neuroendocrine (NE) tumors
of the lung. We also demonstrate the usefulness of this imaging modality in
patients with known or suspected NE tumors of the lung.
PMID- 18055291
TI - Radiolabeled antibodies in renal cell carcinoma.
AB - Renal cell carcinoma (RCC) is a radio- and chemotherapy resistant tumor, which
has a very high morbidity and mortality when metastasized. The current treatment
options demonstrate limited efficacy and severe side-effects. Therefore, there is
a need for new therapeutic strategies for RCC. As for other malignancies,
monoclonal antibodies (mAbs) targeting tumor-associated antigens have been
developed for RCC. One of these, mAb G250, targets the MN/CAIX/G250 antigen,
which is ubiquitously expressed in clear cell RCC (ccRCC). ccRCC is the most
common form of RCC with a prevalence of 80%. Expression of G250 in normal tissue
is restricted to the gastrointestinal mucosa and related structures, thereby
making it a suitable candidate for targeting ccRCC. In several clinical studies
the efficient accumulation of mAb G250 in ccRCC has been demonstrated, resulting
in high contrast images. G250-imaging could prove to be a valuable tool in
diagnosing metastases in patients with a G250-antigen positive primary tumor
and/or in the differential diagnosis of suspect kidney lesions. Furthermore, the
therapeutic efficacy of radiolabeled G250 has been investigated in a series of
studies. Thus far, most efforts have been devoted to G250 labeled with high doses
of 131I. Other radionuclides which may enhance the therapeutic index of this
radiolabeled mAb are currently under investigation. In our institution, an
activity dose escalation study is currently ongoing to investigate the
therapeutic potential of 177Lu-labeled G250 in metastatic ccRCC patients. In this
review, the current status of the diagnostic and therapeutic properties of
radiolabeled antibodies in RCC is described.
PMID- 18055293
TI - PET/CT and hepatic radiation injury in esophageal cancer patients.
AB - This paper evaluates the imaging appearance of radiation injury in the liver on
positron emission tomography (PET)/computed tomography (CT) in patients with
distal esophageal cancer who underwent pre-operative chemoradiation therapy.
Twenty-six patients with distal esophageal cancer who received chemoradiotherapy
before esophagectomy were included. All patients had baseline and follow-up
PET/CT. Fluorodeoxyglucose (FDG) uptake in both left and right lobes of the liver
was evaluated. CT findings suggesting radiation damage were documented. Abnormal
FDG uptake in the liver was observed in 5 (19%) patients after therapy. These
abnormalities were in the left lobe (12%) and right lobe (12%) of the liver. In
the irradiated left lobe, FDG uptake increased focally greater than 50% over
baseline in two patients (54% and 133%); in one of these patients, biopsy
confirmed radiation injury. In the non-irradiated right lobe, standard uptake
values (SUV) increased diffusely in two different patients. In one patient, SUV
decreased by at least 50% in both the right and left lobes. In the remaining
patients, there were no significant changes in FDG uptake. Atrophy and
attenuation changes of irradiated liver on CT were found in 15 (58%) patients. In
patients receiving chemoradiotherapy, PET/CT may identify metabolic abnormalities
in irradiated liver. Such abnormalities should be correlated with other imaging,
clinical and laboratory findings to avoid confusion with hepatic metastases.
PMID- 18055294
TI - Association of apolipoprotein E polymorphism with ischemic stroke subtypes in
Taiwan.
AB - The aim of this study was to clarify whether the apolipoprotein E gene (APOE) is
related to ischemic stroke subtypes in Taiwan's Chinese population. Using the
classification of Cerebrovascular Diseases III, 143 patients with lacunar
infarction, 114 patients with atherothrombotic infarction, and 112 healthy
controls were enrolled. APOE genotype was determined using polymerase chain
reaction. Regarding the distribution of APOE genotypes, the frequency of
epsilon3/epsilon4 genotypes in lacunar patients was significantly different from
that in control subjects, by logistic regression, using epsilon3/epsilon3 as a
reference group. There was no significant difference between atherothrombotic
patients and the control group in the distribution of APOE genotypes or alleles.
The present finding suggests that there is a probable association between
epsilon3/epsilon4 genotype and lacunar infarcts, but not atherothrombotic
infarcts. This indicates that genetic factors may play a role, at least
partially, in lacunar infarction in Taiwan's Chinese population.
PMID- 18055295
TI - Epigenetic changes in tumor suppressor genes, P15, P16, APC-3 and E-cadherin in
body fluid.
AB - The inactivation of tumor suppressor genes by promoter methylation plays an
important role in the development of cancers; it can also be used as a marker to
distinguish cancerous cells from non-cancer cells. In this study, we investigated
the aberrant methylation profile of the tumor suppressor genes P15, P16, APC and
E-cadherin in the cells of body fluid. A methylation-specific polymerase chain
reaction was performed in 31 cases of malignant effusion and 39 cases of non
malignant effusion. Aberrant promoter methylation of P15, P16, APC and E-cadherin
genes was seen in 0%, 25.8%, 35.5% and 6.5% of malignant effusion cases,
respectively, whereas the frequencies were 0%, 2.6%, 2.6% and 0%, respectively,
for negative control effusion. There were statistically significant differences
in the aberrant methylation of P16 (p = 0.008) and APC (p = 0.018) genes between
cases of malignant effusion and controls. Methylation of one of three genes (P16,
E-cadherin, APC) was found in 14 out of 31 (45.2%) cases of malignant effusion,
and in two out of 39 (5.1%) cases of non-malignant effusion (p = 0.000004).
Concurrent methylation was found in nine out of 31 (29%) cases of malignant
effusion, but in no non-malignant effusion sample. From these results, we suggest
that methylation-specific polymerase chain reaction to analyze the promoters of
tumor suppressor genes can distinguish between malignant effusion and benign
effusion, and may help cytologists to make more accurate diagnoses.
PMID- 18055296
TI - Lightwand-guided endotracheal intubation performed by the nondominant hand is
feasible.
AB - The aim of this study was to evaluate the efficiency of lightwand-guided
endotracheal intubation (LWEI) performed using either the right (dominant) or
left (nondominant) hand. Two hundred and forty patients aged 21-64 years, with a
Mallampati airway classification grade of I-II and undergoing endotracheal
intubation under general anesthesia, were enrolled in this randomized and
controlled study. Induction of anesthesia was initiated by intravenous
administration of fentanyl (2 microg/kg) and thiopentone (5 mg/kg), and tracheal
intubation was facilitated by intravenous atracurium (0.5 mg/kg). In the direct
vision laryngoscope group (group D; n = 80), the intubator held the laryngoscope
in the left hand and inserted the endotracheal tube (ETT) into the glottic
opening with the right hand. In the group in which LWEI was performed with the
right hand (group R; n = 80), the intubator lifted the patients' jaws with the
left hand and inserted the ETT-LW unit into the glottic openings with the right
hand. On the contrary, in the group in which LWEI was performed with the left
hand (group L; n = 80), the intubator lifted the jaws with the right hand and
inserted the ETT-LW unit with the left hand. Data including total intubation
time, the number of intubation attempts, hemodynamic changes during intubation,
and side effects following intubation, were collected. Regardless of whether
lightwand manipulation was performed with the left hand (group L; 11.4 +/- 9.3 s)
or the right-hand (group R; 12.4 +/- 9.2 s), less time was consumed in the LWEI
groups than in the laryngoscope group (group D; 17.9 +/- 9.9 s) (p < 0.001). All
three groups obtained success rates greater than 95% on their first intubation
attempts. The changes in mean arterial blood pressure and heart rate were similar
among the three groups. A higher incidence of intubation-related oral injury and
ventricular premature contractions (VPC) was found in group D compared with
groups L and R (oral injury: group D 8.5%, group L 1.3%, group R 0%, p = 0.005;
VPC: group D 16.3%, group L 5%, group R 7.5%, p = 0.04). We concluded that LWEI
performed by either dominant or nondominant hands resulted in similar efficiency,
and could be a suitable alternative to traditional laryngoscopy. It is both
feasible and logical for an experienced anesthesiologist to use the nondominant
hand to perform LWEI.
PMID- 18055297
TI - Management of poisonous snake bites in southern Taiwan.
AB - Snake bite envenomation is not uncommon in Taiwan. This study focuses on the
pattern of poisonous snake bites and their management in southern Taiwan over a 5
year period. The case histories of 37 patients with poisonous snake bites
admitted to the Kaohsiung Medical University Hospital between June 2001 and July
2005 were analyzed retrospectively. Three patients, bitten by unknown species of
venomous snakes, were excluded from this study. The frequency of snakebites from
each species of snake, the local and systemic manifestations of snake bite,
treatment of complications and final outcomes were analyzed. Of the remaining 34
patients, 11 (32.4%) were bitten by bamboo vipers, 10 (29.4%) by Russell's pit
vipers, 8 (23.5%) by Taiwan cobras and 5 (14.7%) by Taiwan Habu. The majority of
snake bites (28) occurred between May and November. Those affected were mainly
outdoor hikers (14) and workers (9). The antivenin requirements for treatment in
the emergency room were in accordance with standard procedures. No mortality was
noted among those envenomed by poisonous snakes. Although poisonous snake bite is
not a common life-threatening emergency in the study area, we observed both an
environmental risk and a seasonal incidence of snake bite. Keeping the varied
clinical manifestations of snake bite in mind is important for effective
management. Ready availability and appropriate use of antivenin, close monitoring
of patients, institution of ventilatory support and early referral to a larger
hospital when required, all help reduce mortality.
PMID- 18055298
TI - Three-dimensional relationship of the maxillary anterior teeth to the incisive
papilla in young adults.
AB - The use of the incisive papilla as an important guide for setting maxillary teeth
has been applied in prosthetic dentistry. The purpose of this study was to
estimate the relationship between maxillary anterior teeth and the incisive
papilla of young adults with approximately optimal occlusion in Taiwan. Study
casts of 100 young adults (50 males, 50 females; mean age, 22.4 years) were
selected in this study. All of the marked points on maxillary casts were measured
using a three-dimensional precise measuring device. The relative positions of
maxillary teeth landmarks to incisive papilla were measured and analyzed using
SAS software (JMP 4.02). Student's t test and Pearson's correlation test were
used to test the statistical significance of any differences (p < 0.05). The
results showed that the mesiolabial incisal edge of the upper central incisor was
7.30 +/- 0.64 mm anterior to the center of the incisive papilla. There was no
significant difference in gender (p > 0.05). The intercanine line was 0.27 +/-
1.30 mm posterior to the center of incisive papilla. There was no significant
difference in gender (p > 0.05). The horizontal distances of the mesiolabial
incisal edge of the upper central incisor and the intercanine line to the center
of the incisive papilla showed only weak correlation (r < 0.5). In addition, the
three-dimensional relationship of maxillary anterior teeth to the center of the
incisive papilla was measured and analyzed. We suggest using the incisive papilla
as a reference landmark for the setting of maxillary anterior teeth.
PMID- 18055299
TI - Insulinoma-associated transient hypothalamus-pituitary-adrenal axis impairment
and amelioration by steroid therapy and surgical intervention: a case report.
AB - Insulinoma is the most common functional tumor among pancreatic islet cell
tumors. This type of tumor is difficult to localize prior to surgery and can lead
to serious hypoglycemia. This paper presents the case of a young female who
suffered from insulinoma associated with transient hypothalamus-pituitary-adrenal
axis impairment. To localize her insulinoma, we used two alternative testing
methods, somatostatin receptor scintigraphy and selective arterial calcium
stimulation with venous sampling, after a failure of conventional imaging
methods. We treated her adrenal insufficiency and prevented serious hypoglycemia
by giving her prednisolone. The impairment in her hypothalamus-pituitary-adrenal
axis and her serious hypoglycemia recovered after excision of the tumor.
PMID- 18055300
TI - The Winter procedure as management for prolonged low-flow priapism: a case
report.
AB - Priapism is a prolonged penile erection that is not associated with sexual
stimulation. Although the time course has not been formally defined, it is
usually considered to be one that lasts for more than 4-6 hours. Low-flow
(ischemic) priapism is usually associated with sickle cell disease,
hemoglobinopathies, neoplastic syndrome, anticoagulant therapy, psychotropic
medication or idiopathic causes. Here, we report a case of prolonged low-flow
priapism lasting for 2 weeks, which was successfully treated with the Winter
procedure after several attempts of conservative treatment. Although the potency
remains unclear and the patient needs a longer period of follow-up, the case
reported here still shows that prolonged low-flow priapism can be successfully
treated with the Winter procedure when conservative treatments fail.
PMID- 18055301
TI - Reconstruction of the soft palate in oral cancer to repair an operative defect
with speech aid prosthesis: a case report.
AB - A soft palate defect may result from surgical resection, and its consequences
could include hypernasal speech and nasal regurgitation of food and liquids. A
speech aid prosthesis fabricated for patients can improve nasal emission during
speech, and assist in preventing nasal regurgitation of food. This article
presents a case of the reconstruction of a soft palate defect by prosthetic
obturation.
PMID- 18055302
TI - Evaluating the validity of the serologic test for detecting Helicobacter pylori
infection in Mongolian gerbils.
AB - A strong correlation between Helicobacter pylori infection and gastric cancer has
been reported. Mongolian gerbils are regarded as the most suitable animal model
in which to study carcinogenesis associated with H. pylori. The aim of our study
was to evaluate the accuracy of the serologic test for detecting H. pylori
infection in Mongolian gerbils. The model was developed as follows: the H. pylori
colony (vacuolating cytotoxin A (+)/cytotoxin-associated gene A (+)) was cultured
from the mucosas of previously H. pylori-fed gerbils. These colonies were
cultured in broth. Then,we fed the gerbils with 0.5-1 mL of broth (about 10(9)
CFU/mL) (intragastric administration) twice within a 3-day period. After
inoculation for 6 or 26 weeks, the gerbils were sacrificed and their gastric
mucosas were sampled for a series of examinations. Blood samples for serologic
testing (STAT-PAK) were collected. H. pylori infection was confirmed. Statistical
analysis was performed using the Chi-square test. Differences were regarded as
significant when the p value was less than 0.05. A total of 50 gerbils were
inoculated with H. pylori and the success rate reached 88%. All 10 gerbils in the
control group showed a negative result. Damage to the mucosas was more obvious
following increasing periods of inoculation. The rates of sensitivity and
specificity, as determined by the STAT-PAK test, were 90.9% and 100%,
respectively. The positive and negative predictive values were 100% and 60%,
respectively. The STAT-PAK test seemed to be more sensitive and accurate (p <
0.05) in high H. pylori densities. In conclusion, the STAT-PAK test (blood
sampling) showed acceptable results and was suitable for long-term observation of
H. pylori infection.
PMID- 18055303
TI - Pre-hospital and in-hospital delays after onset of acute ischemic stroke: a
hospital-based study in southern Taiwan.
AB - The biggest hurdle for early hospital presentation is the narrow therapeutic
window after stroke. The aims of our study were to investigate the time lags and
the factors causing pre-hospital and emergency department (ED) delay during acute
ischemic stroke attack. Between June 2004 and October 2005, we prospectively
studied 129 acute ischemic stroke patients who presented to the ED of the study
hospital within 4 hours after symptom onset. Chi-square testing for trend,
univariate and multiple logistic regression analyses was performed to evaluate
the factors influencing delays in the ED presentation of acute ischemic stroke
patients. The median time from symptom onset to ED arrival was 71 (mean +/- SD,
82.7 +/- 57.7) minutes. The median times from ED arrival to neurologic
consultation, computed tomography scan, electrocardiogram, and laboratory data
completion were 10 (11.3 +/- 9.9) minutes, 17 (9.6 +/- 11.3) minutes, 14 (23.3 +/
55) minutes, and 39 (44.4 +/- 24.5) minutes, respectively. Univariate and
multiple logistic regression models revealed that age < 65 years, illiteracy and
awakening with symptoms were the most significant factors related to a delay in
ED presentation. This study indicates that 2 hours of pre-hospital delay is the
cutoff point for thrombolytic therapy. Organization of a stroke team and
standardized stroke pathways may help to shorten in-hospital time consumption.
Educational efforts should not only focus on the public, but also on the training
of ED physicians and other medical personnel.
PMID- 18055304
TI - Neuropeptide Y gene polymorphism and plasma neuropeptide Y level in febrile
seizure patients in Taiwan.
AB - Neuropeptide Y (NPY) has been shown to depress the hyperexcitability of neurons.
In the present study, we investigated the association between the nucleotide (nt)
5671 C/T polymorphism of the NPY gene and the plasma NPY level in patients with
febrile seizures (FS). Fifty-six patients with FS and 55 control subjects were
enrolled. Genotype and allele frequencies were compared. The frequencies of
genotypes TT, TC and CC for the NPY gene nt 5671 C/T polymorphism were 21.4%,
28.6% and 50.0%, respectively, in patients with FS, and 14.6%, 40.0% and 45.4%,
respectively, in control subjects. The frequencies of alleles T and C were 35.7%
and 64.3%, respectively, in patients with FS, while those in the control group
were 34.5% and 65.5%, respectively. We found no significant relationship between
the NPY gene nt 5671 C/T polymorphism and FS. The plasma NPY concentrations of
the FS group, the age-matched non-FS group, and subjects aged > 6 years in the
non-FS group were 48.23 +/- 32.49, 55.36 +/- 23.12, and 70.10 +/- 60.31 pg/mL,
respectively. These results indicate no statistical difference in plasma NPY
concentration between FS patients and the non-FS group. However, plasma NPY
concentration was found to increase significantly with age.
PMID- 18055305
TI - Clinical experience of a microvascular venous coupler device in free tissue
transfers.
AB - Most free flap failures result from technical problems in performing vascular
anastomoses, such as endothelial intima lacerations, distortion of the vessels,
and unequal intersuture distances. These incorrect practices can lead to
thrombosis formation and, ultimately, flap failure. Solving this problem is a
challenging issue for all micro-reconstructive surgeons. In the last decade, a
vascular anastomotic coupler instrument was developed and reported on. We review
our recent experiences of free tissue transfer using this vascular coupler
device, and discuss how venous problems can be overcome with its aid. We believe
the excellent patency rate of the coupler may minimize the well-described
problems of venous thrombosis in the challenging field of free tissue
reconstructions.
PMID- 18055306
TI - Analysis of surgically treated intraspinal tumors in southern Taiwan.
AB - The medical records of 117 patients with spinal tumors who underwent surgery with
pathologic confirmation from January 1999 to April 2004 at Kaohsiung Medical
University Hospital were reviewed. Data from this review were compared with those
obtained from the same institution 10 years earlier (covering the period 1988
1995) and from other reported series. There were 69 male and 48 female patients
aged from 13 to 87 years old (mean age, 51.9). The most common pathologic
findings were metastasis in 45.3% (53/117), nerve sheath tumors in 28.2%
(33/117), meningiomas in 12% (14/117) and neuroepithelial tumors in 6% (7/117).
The peak ages at diagnosis were 41-50 years and 61-70 years. A slight male
predominance was noted for all tumors, except meningiomas. Motor weakness, even
paralysis, was the major clinical presentation (64-86%), followed by sensory
deficits (50%) and pain (42%). The location of tumors was most often in the
thoracic (50.4%; 59/117), lumbosacral (27.4%; 32/117) and cervical spine (22.2%;
26/117) segments. Among the metastatic tumors, the lung (22.6%) and breast
(15.1%) were the most common primary sites of origin, followed by unknown origin,
the liver (hepatocellular carcinoma), the gastrointestinal tract and the
nasopharynx (nasopharyngeal cancer).
PMID- 18055307
TI - Recurrent acute renal failure in a patient with aplastic anemia-paroxysmal
nocturnal hemoglobinuria syndrome: a case report.
AB - Acute renal failure (ARF) is one of the renal expressions in patients with
aplastic anemia (AA)-paroxysmal nocturnal hemoglobinuria (PNH) syndrome following
hemolytic crisis. We report the case of an AA patient who experienced recurrent
episodes of ARF, in association with evidence of PNH. A 46-year-old woman with AA
was admitted because of oliguria and dark urine following a urinary tract
infection (UTI) caused by Candida. PNH with ARF complication was diagnosed.
Hemodialysis treatment was performed nine times and her renal function recovered.
However, she suffered from recurrent hemoglobinuria and acute deterioration of
renal function 4 months later. The renal biopsy showed features of acute tubular
necrosis, deposition of hemosiderin and positive urate stain in the proximal
tubular cells, without vascular thrombosis. The patient received management by
adequate hydration, diuretics and alkalization. Her renal function recovered
completely. This case report shows an AA patient experiencing recurrent episodes
of hemoglobinuric ARF within a short period and achieving complete recovery of
renal function after hemodialysis and suitable supportive treatment. In
conclusion, AA might evolve into PNH and become complicated with presentation of
severe ARF. It may occur with precipitating episodes, such as the UTI in this
case. Early recognition of hemoglobinuric complications and prompt treatment for
precipitating disease and ARF are important to prevent progression to an
irreversible adverse renal outcome.
PMID- 18055308
TI - Adult Wilms' tumor with hypospadias and cryptorchidism: a case report.
AB - A 66-year-old patient was seen at the outpatient department with the chief
complaints of recent-onset painless gross hematuria, poor appetite and body
weight loss. The patient had a history of bilateral orchiectomy for congenital
bilateral cryptorchidism. Hypospadias was noted during physical examination.
Laboratory assessment revealed hematuria and pyuria. Abdominal ultrasonography
and imaging studies showed a left renal mass. Radical nephrectomy was performed
on the patient and the pathology report revealed Wilms' tumor (nephroblastoma).
The patient underwent chemotherapy after surgery and no tumor recurrence was
noted after 8 months of regular follow-up.
PMID- 18055309
TI - Sublingual gland sialolithiasis: a case report.
AB - Sublingual gland sialolithiasis is rare and may be misdiagnosed as submandibular
gland sialolithiasis, which is more common. It is important to preoperatively
determine which gland is involved, because they require different surgical
approaches. Computed tomography (CT) is useful for distinguishing between
sublingual gland and submandibular gland sialolithiasis. In this paper, we report
the case of a 50-year-old man with left sublingual gland sialolithiasis that was
treated with excision of the left sublingual gland and the stone via a transoral
approach, 6 weeks after the infection was controlled. The differential diagnosis
between sublingual gland and submandibular gland sialolithiases, by use of CT
images, is discussed from an anatomic viewpoint.
PMID- 18055310
TI - Switching from branded to generic antiepileptic drugs as a confounding factor and
unpredictable diagnostic pitfall in epilepsy management.
PMID- 18055311
TI - [Phase I cancer trials methodology].
AB - The main objective of phase I cancer trials is to determine precisely the
recommended dose of an anticancer agent as a single agent or in a context of
combinations of anticancer agents (including cytotoxic agents, immunotherapy,
radiotherapy...), that is administered for the first time in man, to further
proceed clinical development with phase II and III trials. The recommended dose
must have the greatest efficiency with acceptable toxicity. For the anticancer
agents, the ratio risk/benefit is high, since toxicities associated with many
cancer therapeutic agents are substantial and because the efficacy is often
limited. Thus, phase I cancer trials present unique challenges in comparison to
other therapeutic areas. Indeed, it is essential to minimize the numbers of
patients treated at subefficient dose levels, and in the same time not to expose
the patients to unacceptable toxicity. Historically, the first method that has
been used is the Fibonacci escalation. The major problems raised with this method
have been the lengths of the trials and the risk to treat substantial numbers of
patients at nontherapeutix doses. Thus, novel methods have been then developed
modifying the numbers of patients included at each dose level and the rapidity of
dose escalation. These methods include pharmacologically guided dose escalation,
escalation with overdose control and the continual reassessment method which are
both statistically based dose escalation methods, and the accelerated titration
designs. Concerning the targeted anticancer therapies, the therapeutic effect on
the target, due to their higher specificity, can be obtained using doses that
have few toxicity. Using the toxicity to determine the recommended dose for phase
II trials, as it is the case for "classical >> anticancer agents, does not seem
to be sufficient. Alternatives to determine the optimal biological dose include
measurement of target inhibition, pharmacokinetic analysis and functional
imaging.
PMID- 18055312
TI - [Randomised phase II trials in oncology].
AB - The purpose of phase II trials is to identify signals in favor of the efficacy of
a drug in development. The main pitfall of a phase II study is to conclude by
error that a drug has no activity, leading to a stop in the development of a drug
which has a real efficacy. In oncology, more and more phase II trials are
randomised, even though there are still numerous non randomised studies.
Randomisation allows some control on the risk of patient selection bias, inherent
to non randomised trials. This bias consists of recruiting by chance a population
of patients with high risk or on the contrary low risk of response to the
experimental treatment. The present paper describes the various types of
randomised phase II trials, their principles, strengths and limits.
PMID- 18055313
TI - [Meta-analyses on individual patient data and treatment evaluation in oncology].
AB - A meta-analysis of trials addressing the same question is a quantitative
synthesis of their results. Such a synthesis is useful when the overall result of
these trials does not produce a clear answer. In oncology, the moderate effect of
most available treatments explains the widespread use of meta-analyses. They are
one of the way to reach a sufficient power. A meta-analysis provides a test for
the efficacy of the treatment under study, and an estimation of this efficacy. To
perform a meta-analysis, one must identify all the randomised trials addressing
the question, whether their results have been published or not. The quality of a
meta-analysis depends largely on the completeness of the collection of trials. A
meta-analysis can be based on summary data or it can be based on individual
patient data which allows intensive data checking, data corrections and update,
and an intent to treat analysis. Individual patient data meta-analysis is the
reference method. It is particularly useful for controversial questions, or when
a small benefit is expected or when long-term follow-up is important, all these
situations being frequent in oncology. It allows extensive analyses, for instance
the study of the variation in treatment effect according to patient's and trials
characteristics. Detailed verification of the data are essential since the
inclusion of ill designed and ill conducted trials can only lead to a bad meta
analysis. Close collaboration with investigators is needed in this type of meta
analysis leading to large and rapid diffusion of the results. Meta-analyses and
large-scale trials are complementary tools for treatment evaluation in oncology.
Lastly, the large data bases of individual patient data meta-analyses allow
subsidiary studies such as validation studies of surrogate endpoints or studies
of the predictive value of tumour markers.
PMID- 18055314
TI - [Interim analyses].
AB - The methodological principles for the planning of interim analyses in a phase III
clinical trial are presented in this article. The case for superiority, non
inferiority and futility, and the roles of Data Monitoring Committees are
summarized. Several examples are presented to illustrate the methodology and to
help investigators by better understanding and planning interim analyses in a
phase III clinical trial.
PMID- 18055315
TI - [Gene expression profiling in cancer research].
AB - Gene expression profiling is increasingly used in cancer research. For each
patient, the expression of thousands of genes in the tumour can be measured
simultaneously on a microarray. Microarray studies aim at classifying patients
based on two types of classification schemes: unsupervised classification, which
uses clustering in order to identify homogeneous subtypes of a disease on the
basis of gene expression, or supervised classification, which principally aims at
the identification of genes or set of genes differentially expressed between
tumours with different characteristics (molecular signature), for instance
between a group of patients with bad and good prognosis. The data consists of a
small number of patients and a large number of variables, raising serious
methodological problems. We will use published results on breast cancer in order
both to study the power of the experiments and to illustrate the problems in
interpretation and validity of their results. We recommend rigorous evaluation of
this new technology.
PMID- 18055316
TI - [Angiogenesis inhibitor therapies: focus on hypertension and kidney toxicity].
AB - Developments in the knowledge of molecular biology of cancer over the past 20
years have been identified. Angiogenesis is playing a key role in the
physiopathology of cancer evolution. Several strategies have been developed to
target angiogenesis for the treatment of metastatic RCC. These include inhibition
of VEGF receptors (inhibition of the tyrosine kinase activity) or binding to the
VEGF protein. Several additional kinases inhibitions including PDGF receptors are
also targeted. Anti-angiogenic drugs recently marketed or still under clinical
development, may interact with the kidneys. Clinical and pathological, and
mechanisms of their renal toxicity are presented in this article.
PMID- 18055317
TI - [Craniopharyngiomas: role of radiotherapy].
AB - Craniopharyngiomas are benign tumors of the parasellar region, characterised by
high relapsing rate. Aggressive attempt at total removal does result in prolonged
progression-free survival in most patients. But for tumors that clearly involve
the hypothalamus, complications associated with radical surgery have prompted to
adopt a combined strategy of conservative surgery and radiation therapy to
residual tumor with an as high rate of cure. This strategy seems to offer the
best long-term control rates with acceptable morbidity. But optimal management of
craniopharyngiomas remains controversial. Although it is generally recommended
that radiotherapy is given following sub-total excision of a craniopharyngioma,
it remains unclear as to whether all patients with residual tumour should receive
immediate or differed at relapse radiotherapy.
PMID- 18055318
TI - [Comparison of different approaches in surgical management of early endometrial
cancer].
AB - The objective is to assess the feasibility of laparoscopy in the treatment of
endometrial carcinoma and to compare operating data and morbidity to laparotomy.
Retrospective review of 70 consecutive patients with supposed early endometrial
cancer managed between December 2000 and December 2005. Two groups were defined,
whether they had been operated by laparoscopy (N = 44 ; LPS group) or by
laparotomy (N = 21 ; LPM group). Both groups were comparable in mean age and body
mass index. There was no significant difference in median operating time for LPS
group (240 minutes [90-390]) and LPM group (195 minutes [120-600]), (p = 0.234).
Intraoperative complications occurred in 2 LPS patients (4.7 %) and in 2 LPM
cases (9.5 %). Women who underwent laparoscopy had more pelvic lymph nodes
removed (11.5 [2-33] versus 7.5 [3-37], p < 0.05). There were comparable early
and late postoperative complications in patients managed by laparoscopy (5 and 0
cases ; 27.8 and 0 %) and by laparotomy (5 and 2 cases ; 11.6 and 4.5 %), (p =
0.143 and p > 0.999). Hospital stay was longer in LPM group (9.5 days [6-39]
versus 5.0 (4-27), p < 0.05). Patients who undergo laparoscopy have more pelvic
lymph nodes removed, with comparable operating time, shorter hospital stay, and
similar morbidity. Laparoscopic staging combined with vaginal hysterectomy
appears to be a feasible alternative to conventional surgical approach in
patients with endometrial carcinoma.
PMID- 18055319
TI - [Clinical effects of new technologies in medical imagery: the example of breast
screening].
AB - In the frame of cancer screening, we present a new psychological concept
elaborated from our clinical practice of women affected by a breast cancer. The
experience of these women is different depending on whether the cancer is
discovered in an asymptomatic context or not. We introduce the concept of
"anticipatrice medicine" in order to define the implication of diagnosis
precocity on the therapeutic strategy, because of a modification of some main
parameters which make up the ordinary personal concept. These parameters are :
the time in which disease anticipates the symptom and the space where the
treatment anticipates the disease. We discuss the paradoxical experience of
screening which imposes a medical intervention before any symptom that is for the
patient to be treated before any feeling of the disease, and to experience the
disease through the experience of a treatment. The different elements of this
paradoxical experience are successively identified and analysed. Finally we
propose four directions of research in the field of interaction between human and
medical technologies.
PMID- 18055320
TI - [Use of complementary medicine by cancer patients in a French oncology
department].
AB - The aims of this study was to estimate and describe the reasons of use of
complementary medicine in patients with a cancer treated in a French oncology
department. An anonymous questionnaire was proposed to patients during treatment
or follow-up in an oncology department. It was a descriptive study over 207
questionnaires. Over 195 analysable questionnaires, 34% of patients were using
complementary medicine. Homeopathy (42%), plants (27%) and vitamins (18%) were
the main substances used. Acupuncture (22%) and massages (15%) were the most
significant techniques. No specific profile of user was observed. The main reason
of using complementary medicine was not curing cancer but reducing side effects
of the conventional treatment (66%). More than a half of the users of
complementary medicine were not revealing their habits to their oncologist
because the question was not raised in consultation. One third of cancer patients
are using complementary medicine during the treatment of their disease.
Complementary medicine must benefit, as well as conventional medicine, of
scientific studies. The purpose of these studies should be evaluating potential
benefits, toxicity and interactions with the conventional treatment in order the
oncologist could warned the users. This warning could improve the confidence
relationship between the oncologist and his patient.
PMID- 18055321
TI - Cancer treatment and fertility: a time to reassess realistic opportunities.
PMID- 18055322
TI - Global strategy against cancer in the society of information.
PMID- 18055323
TI - Molecular biology of thyroid cancer initiation.
AB - Thyroid cancers stand out among solid tumours because many of the tumour
initiating genetic events have been identified. Mutations leading to constitutive
activation of MAP kinase effectors -the tyrosine receptor kinase RET and the
intracellular signalling effectors RAS and BRAF- are essential for the
pathogenesis of papillary thyroid carcinoma (PTC). Similarly, there is increasing
evidence demonstrating that mutations leading to activation of the
phosphatidylinositol 3- kinase (PI3K)/AKT effectors -PTEN and PI3KCa- are
essential for the pathogenesis of follicular thyroid carcinoma (FTC). Besides
this strong relationship between the histological phenotype and the pathway
predominantly activated, the nature of the genetic event seems to determine the
biological behaviour of the tumour and the ultimate clinical outcome of the
patient. In this review we will summarise and discuss the main genetic events
related to thyroid cancer initiation, the contribution of genomics and the
convenience of using a new molecular classification of thyroid cancer,
complementary to the clinicopathological classification. This may help us to
predict more faithfully the clinical outcome of patients with thyroid cancer and
to select more appropriately candidates for targeted therapies.
PMID- 18055324
TI - Cyclooxygenase-2 (COX-2): a molecular target in prostate cancer.
AB - Epidemiological studies provided the first evidence that COX may be involved in
the pathogenesis of cancer. In the process of carcinogenesis and in the route of
intracellular signalling during carcinogenesis, COX-2 expression may be a
universal phenomenon. In general, COX-2 is up-regulated throughout the
tumorigenic process, from early hyperplasia to metastatic disease. COX-2 has been
reported to be constitutively overexpressed in a variety of malignancies and is
frequently constitutively elevated in prostate carcinoma. COX-2 was consistently
overexpressed in premalignant lesions such as prostatic intraepithelial
neoplasia, and carcinoma. Cases are described with evolution of proliferative
inflammatory atrophy of the prostate and prostate carcinoma. The increase of
evidence implicating COX-2 in cancer has stimulated clinical trials to
investigate the efficacy of selective COX-2 inhibitors in individuals at risk for
human cancer. Regarding prostate carcinoma there is much direct or indirect
evidence to support the use of COX-2 inhibitors in this disease. Trials using
these drugs in familial adenomatous polyposis (FAP) and other patients with a
high risk of colorectal carcinoma are ongoing.
PMID- 18055325
TI - Non-invasive genetic imaging for molecular and cell therapies of cancer.
AB - Gene therapy is a very attractive strategy in experimental cancer therapy.
Ideally, the approach aims to deliver therapeutic genes selectively to cancer
cells. However, progress in the improvement of gene therapy formulations has been
hampered by difficulties in measuring transgene delivery and in quantifying
transgene expression in vivo. In clinical trials, endpoints rely almost
exclusively on the analysis of biopsies, which provide limited information. Non
invasive monitoring of gene delivery and expression is a very attractive approach
as it can be repeated over time in the same patient to provide spatiotemporal
information on gene expression on a whole body scale. Thus, imaging methods can
uniquely provide researchers and clinicians the ability to directly and serially
assess morphological, functional and metabolic changes consequent to molecular
and cellular based therapies. This review highlights the various methods
currently being developed in preclinical models.
PMID- 18055326
TI - Erythropoietin pharmacology.
AB - Anaemia is a frequent complication in cancer patients and may be multifactorial
in origin. Treatment with recombinant human erythropoietin (rHuEPO) is an
alternative to red blood cell transfusion. The evidence from clinical trials has
established that patients with chemotherapy-induced anaemia with a haemoglobin
concentration below 10 g/dl benefit from epoetin therapy. The native glycoprotein
hormone consists of 165 amino acids with three N-glycosylation and one O
glycosylation sites. Epoetin and darbepoetin bind to the EPO receptor to induce
intracellular signalling by the same intracellular molecules as native EPO. There
are some differences in the glycosylation pattern which lead to variations in the
pharmacokinetics and pharmacodynamics profiles. Pharmacokinetic and therapeutic
studies have examined the use of rHuEPO administered intravenously and
subcutaneously and there is accumulating evidence that the latter route has
several advantages in cancer patients. After subcutaneous administration, the
bioavailability of epoetin is about 20-30% and has a plasma half-life of >24 h.
Darbepoetin has a longer half-life after subcutaneous administration of 48 h. The
general recommendations are based on evidence from trials in which epoetin was
administered 150 U/kg thrice weekly. The recommended initial dose for darbepoetin
alpha is 2.25 mug/kg per week. The most serious adverse effects are hypertension,
bleeding and increased risk of thrombotic complications. Caution is advised when
used in patients who are at high risk for thromboembolic events. In the
management of anaemic cancer patients, physicians should closely follow the
National Comprehensive Cancer Network (NCCN) and American Society of Clinical
Oncology (ASCO)/American Society of Hematology (ASH) guidelines.
PMID- 18055327
TI - Guidelines for the detection and treatment of liver metastases of colorectal
cancer.
AB - The spread of the surgical treatment for hepatic metastases have been crucial in
the improvement of treatment and survival of metastatic colorectal cancer. The
early and accurate diagnosis of metastases and the assessment of their size are
essential factors to reach the optimal results with this treatment strategy. The
precise indication of the surgical technique with or without the previous
administration of neoadjuvant chemotherapy is of significant importance for the
choice of R0 surgery and the timing of intervention. Although there is an
agreement regarding some parameters related to diagnosis techniques and surgical
criteria such as the bilobar extension, the size of the remaining liver post
surgical removal and the indication of pre-operatory chemotherapy, it is
necessary to consider all these factors to set up standard criteria and optimize
the results. In this article we review all these parameters, from disease follow
up to detect metastatic dissemination to the basic criteria for use of
neoadjuvant chemotherapy, in order to suggest some general recommendations of
evidence level II and recommendation grade A.
PMID- 18055329
TI - Pseudomyxoma peritonei secondary to epithelial appendicular neoplasms. Experience
in a non-specialised centre.
AB - INTRODUCTION: Pseudomyxoma peritonei is an infrequent entity, defined by
collections of gelatinous material in the abdomen and pelvis and mucinous
implants on peritoneum, secondary to the rupture of a mucinous lesion, usually of
ovarian or appendiceal origin. MATERIALS AND METHODS: We present our experience
of 11 cases (6 males and 5 females) diagnosed with pseudomyxoma peritonei
secondary to epithelial appendicular neoplasms over 27 years. The mean age of the
patients was 68 years. Clinical manifestations were abdominal distension (55%),
right lower quadrant pain (45%) suggesting acute appendicitis and constitutional
syndrome (36%). An abdominal mass was detected at physical examination in 4
patients. CT scan revealed a tumour in right iliac fossa in 4 patients,
peritoneal enlargement in 1 and a liquid collection in 1. Preoperative diagnosis
was acute abdomen in 5 patients, peritoneal carcinomatosis in 3 and undetermined
abdominal mass in 3. RESULTS: Surgical findings suggested pseudomyxoma peritonei
in 8 patients and peritoneal carcinomatosis in 3. Appendicectomy was performed in
9 patients, and in 3 of them bilateral anexectomy was also performed. One patient
underwent ileocaecal resection and another a right hemicolectomy. In all the
cases, mucinous material was eliminated as much as possible. Pathology revealed
mucinous cystoadenoma in 6 cases, mucinous cystoadenocarcinoma in 3 and
epithelial hyperplasia in 2 patients. Median survival was 54 months, with a 5-
year survival rate of 40%. The last case we treated was sent to a reference
centre for the treatment of pseudomyxoma peritonei. CONCLUSIONS: There is no
consensus on the best treatment for pseudomyxoma peritonei. We recommend avoiding
incomplete surgical resections in non-reference centres and submitting patients
to a reference centre to undergo adequate treatment.
PMID- 18055328
TI - Detection of survivin mRNA in urine of patients with superficial urothelial cell
carcinomas.
AB - INTRODUCTION: The aim of the present study was to assess if the presence of
survivin mRNA in exfoliated cells present in urine samples can be a reliable
marker of the presence of bladder tumour and recurrence. MATERIALS AND METHODS:
Urine samples from 30 patients with superficial urothelial cell carcinomas (UCC)
were collected prior to transurethral resection (TUR) of the tumour and in the
first routine follow-up, three months after TUR. Detection of survivin mRNA was
performed by reverse transcription-polymerase chain reaction (RT-PCR). RESULTS:
No correlation was observed between survivin detection and the
clinicopathological variables analysed, nevertheless, when patients were grouped
into low-grade (G1) and high-grade (G2+G3) tumours, statistically significant
differences were found between both groups (p=0.04). When we analysed the results
of survivin detection and urinary cytology together, we observed that informative
cases rose from 27.8% to 44.4%. Also, Kaplan-Meier curves for patients with
negative cytology in the first followup, categorised according to survivin
detection, revealed that survivin mRNA positive cases recurred earlier than
negative ones. CONCLUSIONS: From our results we can conclude that detection of
survivin expression can be a reliable tumour marker, but more studies are needed
to clarify the potential of survivin to predict recurrences. These results showed
that survivin detection in combination with conventional urinary cytology can be
a useful tool to increase the sensitivity in detecting the presence of a
recurrence after TUR.
PMID- 18055330
TI - Brain metastases as the first sign of colon cancer.
AB - Cerebral metastases from colorectal cancer occur in 8% of cases. Diagnosis is
usually made when primary disease and widespread metastases are already known.
However, the detection of brain metastases as the first sign of colorectal
carcinoma without any liver and/or lung involvement is extremely rare. Central
nervous system metastases are more commonly seen in rectal cancer and often occur
concurrently with lung metastasis. We report a case of a patient with brain
metastases as the first clinical manifestation of an adenocarcinoma of caecum
without any other organ involvement.
PMID- 18055331
TI - Nonbacterial thrombotic endocarditis associated with lung adenocarcinoma.
AB - Nonbacterial thrombotic endocarditis (NBTE) is a rather frequent neoplasic
complication, most often occurring in adenocarcinomas of the lung and pancreas.
The most frequent clinical manifestation is one of multiple cerebral infarcts,
but other ischaemic events can occur. Diagnosis is frequently missed on
transthoracic ultrasound, making transoesophagic ultrasound a more reliable
diagnostic tool. We present a case of NBTE associated with lung adenocarcinoma.
PMID- 18055332
TI - An outcome-based action study on changes in fitness, blood lipids, and exercise
adherence, using the disconnected values (intervention) model.
AB - The authors' purpose in this action study was to examine the effect of a 10-week
intervention, using the Disconnected Values Model (DVM), on changes in selected
measures of fitness, blood lipids, and exercise adherence among 51 university
faculty (10 men and 41 women) from a school in the southeastern United States.
The DVM is an intervention model that is intended to provide incentive to replace
unhealthy with healthy behavioral patterns. Multivariate Mixed Model analyses
indicated significantly improved fitness (ie, cardiovascular, strength, percent
body fat) and lipid profile (eg, blood cholesterol, triglycerides) scores between
pre- and postintervention on all measures (ps < .05) with the exception of blood
pressure and high-density lipoprotein cholesterol (ps > .05). Adherence for
cardiovascular activity averaged 22 out of 30 sessions (73%), and strength
training adherence averaged 13 out of 20 sessions (65%). The results of this
outcome-based study suggest that the DVM provides an effective cognitive
behavioral approach to promoting regular exercise.
PMID- 18055333
TI - Evaluation of the effectiveness of biobehavioral therapy in the treatment of
temporomandibular disorders: a literature review.
AB - Temporomandibular disorders (TMDs) involve a heterogeneous group of clinical
conditions affecting the stomatognathic system and its related structures.
Because the etiology of these disorders is still unclear, a wide range of
therapeutic solutions has been proposed in the literature, including occlusal
appliances, physical therapies, drugs, and biobehavioral modalities.
Biobehavioral therapy could have a beneficial effect in the treatment of TMDs
because of the reportedly high prevalence of psychological dysfunction in TMD
patients. The authors reviewed the biobehavioral modalities used to achieve pain
relief in patients affected by such disorders, with the aim of synthesizing data
on the effectiveness these therapeutic approaches. Literature data suggest that
the inclusion of biobehavioral interventions in the management of TMDs may be
reasonable, even if no conclusions can be drawn about their long-term
effectiveness.
PMID- 18055334
TI - Evolving new treatment for myelodysplastic syndromes.
AB - Over the last several years, there has been substantial progress in the
definition, diagnosis, and management of myelodysplastic syndromes (MDSs). This
progress includes the new World Health Organization classification and the
revised standardized response criteria to be applicable to most new compounds,
which, taken together with the International Prognostic Scoring System, provide a
uniform basis for the management of individual patients. The recent introduction
of certain new agents, as well as an apparent increase in the use of stem cell
transplantation with a variety of so-called reduced-intensity settings, has
indeed raised the hope that we are entering a new era of MDS treatment.
PMID- 18055335
TI - Immunomodulatory therapy for myelodysplastic syndromes.
AB - Thalidomide and lenalidomide belong to the proprietary group of immunomodulatory
drugs (IMiDs) that display broad biologic and pharmacologic properties.
Encouraging results of clinical studies that evaluated the efficacy of
thalidomide in patients with myelodysplastic syndromes (MDSs) led to the
investigation of its structural analogue, lenalidomide, in patients with lower
risk MDS. The cumulative results of studies that tested lenalidomide in patients
with interstitial deletion of chromosome 5q, ie, del(5q), showed a high frequency
of both erythroid and cytogenetic responses (approximately 75% of patients),
which led to US Food and Drug Administration approval of this agent for this
cytogenetically defined MDS subset. A multicenter phase III study (MDS-002) that
investigated the frequency of transfusion response in lower-risk non-del(5q) MDS
patients showed that lenalidomide had significant erythropoietic activity, albeit
less robust in lower-risk MDS without del(5q). These studies established
lenalidomide as an active erythropoietic-remitting agent with novel cytogenetic
remitting activity in lower-risk MDS patients who would not otherwise benefit
from therapy with erythropoietic growth factors. The National Comprehensive
Cancer Network Clinical Practice Guidelines recently added lenalidomide to the
therapeutic algorithm for MDS as front-line therapy for lower-risk MDS patients
with del(5q) and transfusion-dependent anemia.
PMID- 18055336
TI - Treatment options in advanced myelodysplastic syndrome, with emphasis on
epigenetic therapy.
AB - Medical management of myelodysplastic syndrome (MDS) remains challenging,
particularly in advanced stages where the risk of developing acute leukemia is
very high and the prospect of survival is generally poor. Over the past decade,
epigenetic changes such as alterations in DNA methylation and histone
modifications have been well described in MDS and are now recognized as targets
of therapy (epigenetic therapy). The aim of epigenetic therapy is to reverse
epigenetic changes and reactivate important genes, thereby modifying the
malignant phenotype and inducing the clearance of the malignant clone via various
mechanisms. Epigenetic-modifying agents may also have mechanisms of anticancer
action unrelated to gene reactivation. The hypomethylating agents azacitidine and
decitabine induce clinically meaningful remissions or improvements in 30% to 60%
of patients with this disease, and both agents have been approved in the United
States for the treatment of advanced and/or symptomatic MDS. Histone deacetylase
inhibitors belong to another class of epigenetic-modifying agents that also have
clinical activity in MDS. They are currently being combined with hypomethylating
agents. Among other available therapeutic options, allogeneic stem cell
transplantation is the only curative approach for MDS but is also characterized
by significant morbidities and mortality. We review epigenetic therapy and other
therapeutic approaches for patients with advanced MDS.
PMID- 18055337
TI - Activation of FKHRL1 plays an important role in protecting erythroid cells from
erythropoietin deprivation-induced apoptosis in a human erythropoietin-dependent
leukemia cell line, UT-7/EPO.
AB - FKHRL1 is one of the human homologues of DAF-16, which is concerned with
longevity in Caenorhabditis elegans. Previously, we demonstrated that FKHRL1
functions downstream of Akt in erythropoietin (EPO) signaling and that it is
directly phosphorylated by activated Akt. Because phosphorylated FKHRL1 loses its
transcriptional activity and translocates into the cytoplasm, FKHRL1 appears to
be nonfunctional in the presence of EPO. Conversely, EPO deprivation leads to
FKHRL1 dephosphorylation and its translocation into the nucleus, suggesting that
FKHRL1 becomes active as a transcription factor in the absence of EPO. On the
basis of these findings, we hypothesized, by analogy with C elegans, that
erythroid cells possess self-defense machinery against life-threatening
surroundings. We prepared a dominant-negative mutant of FKHRL1 (FKHRL1-DN)
lacking the transactivation domain and prepared FKHRL1 small interfering RNA
(siRNA), and we used constructs to transfect a human EPO-dependent cell line, UT
7/EPO. In the parental cells, 24-hour EPO deprivation induced transient cell
cycle arrest without apoptosis. On the other hand, stable transfectants
expressing FKHRL1-DN or FKHRL1 siRNA underwent rapid apoptosis after EPO
deprivation in the UT-7/EPO cells. In conclusion, FKHRL1 activation plays an
important role in the extension of survival of erythroid cells after EPO
deprivation. This phenomenon appears to correspond to dauer formation in C
elegans. Thus, the mechanism of lifespan extension may be broadly conserved from
C elegans to humans.
PMID- 18055338
TI - Carbamazepine-induced hemolytic and aplastic crises associated with reduced
glutathione peroxidase activity of erythrocytes.
AB - Although pure red cell aplasia is a well-known side effect of carbamazepine
treatment, intravascular hemolytic anemia is rare. We describe a 5-year-old boy
who developed concurrent intravascular hemolytic anemia and erythroblastopenia,
probably due to carbamazepine. Carbamazepine treatment was subsequently
discontinued, and the patient was treated with red blood cell transfusions,
haptoglobin, and methylprednisolone. His hematologic abnormalities were almost
fully recovered within 2 weeks. Examination of the patient's and mother's
erythrocyte enzyme activities revealed mildly decreased erythrocyte glutathione
peroxidase (GSH-Px) activity. We speculate that patients with reduced GSH-Px
activity are at a high risk of developing carbamazepine-induced hemolytic crisis
and/or aplastic crisis.
PMID- 18055339
TI - Splenic peliosis in a patient with aplastic anemia during danazol therapy.
AB - We experienced a case of danazol-induced splenic peliosis. A 42-year-old woman
had taken danazol for refractory aplastic anemia for 2 years. Her anemia
gradually became aggravated, and the patient complained of intermittent left
upper-abdominal pain. Because computed tomography scanning showed multiple blood
filled cavities in the enlarged spleen without intraperitoneal hemorrhage, a
splenectomy was emergently performed. This report is the first of a case of
splenic peliosis developing during danazol therapy for aplastic anemia. The
possible association between the administration of anabolic steroids or danazol
and the development of peliosis is discussed.
PMID- 18055340
TI - Dilated cardiomyopathy during the course of hemolytic uremic syndrome.
AB - A 47-year-old woman presented with severe hemolytic uremic syndrome (HUS)
followed by heart failure. An echocardiogram showed an ejection fraction of 20%,
and a cardiac catheterization followed by a myocardial histologic evaluation
demonstrated dilated cardiomyopathy. Plasma exchange and hemodialysis were
performed regularly. The later outcomes of renal function and cardiomyopathy were
favorable. A review of the literature confirmed the rare and severe nature of
cardiac lesions occurring in the course of HUS. This case indicates the
importance of cardiac monitoring in HUS and the need for prolonged support.
PMID- 18055341
TI - Advanced stage is the most important prognostic factor for survival in patients
with systemic acquired immunodeficiency syndrome-related non-Hodgkin's Lymphoma
treated with CHOP and highly active antiretroviral therapy.
AB - In the era of highly active antiretroviral therapy (HAART), the prognosis for
acquired immunodeficiency syndrome-related lymphomas (ARL) seems to be similar to
that for aggressive B-cell lymphomas in human immunodeficiency virus (HIV)
negative patients. This improvement in prognosis might lead to a modification of
the classic prognostic factors for ARL. We evaluated the prognostic factors for
response and survival in a series of HIV-infected patients with systemic non
Hodgkin's lymphoma (NHL) in the HAART era. Forty patients with systemic NHL
treated with a CHOP-based chemotherapy (cyclophosphamide, doxorubicin,
vincristine, and prednisone) and HAART were studied. The main clinicopathologic
and laboratory parameters were recorded in each case. Patients were scheduled to
receive cycles of CHOP therapy, and all received granulocyte colony-stimulating
factor. In addition, 9 patients received rituximab (375 mg/m2). The complete
remission (CR) rate was 62.5% (n = 25). No prognostic factors influencing CR
attainment were found. The 5-year disease-free survival (DFS) probability (95%
confidence interval [CI]) was 73% (54%-92%). The median overall survival (OS)
time was 69.17 months, and the 5-year OS rate (95% CI) was 51% (35%-67%). A
disease stage of III to IV was the only parameter with prognostic influence on
DFS. The factors influencing OS were an International Prognostic Index >2, an
Eastern Cooperative Ecology Group (ECOG) score >2, and a disease stage of III to
IV. Patients with an advanced stage had a lower OS probability in a multivariate
analysis (odds ratio, 4.24; 95% CI, 1.24- 14.57). Advanced stage was the main
prognostic factor predicting survival in ARL treated with CHOP and HAART.
PMID- 18055342
TI - Phase I trial of FLAGM with high doses of cytosine arabinoside for relapsed,
refractory acute myeloid leukemia: study of the Japan Adult Leukemia Study Group
(JALSG).
AB - This study was designed to determine the optimal high dose for cytosine
arabinoside (ara-C) in combination with fludarabine, granulocyte colony
stimulating factor, and mitoxantrone (FLAGM) in adult patients with relapsed or
refractory acute myeloid leukemia. Nine patients were enrolled at increasing
dosage levels of ara-C (8, 12, and 16 g/m2 per dose level). Ara-C and fludarabine
were administered once a day at level 1, once or twice a day at level 2, and
twice a day at level 3. All patients had grade 4 hematologic toxicity. The most
common adverse events were of grade 2 or less, with nausea and vomiting being the
most common (6 events), followed by diarrhea (5 events), and rash (5 events). Of
the 13 grade 3 nonhematologic toxicities reported, the 2 most common were febrile
neutropenia (6 events) and disseminated intravascular coagulation (3 events). No
early deaths were observed. FLAGM with high-dose ara-C was considered safe for
patients, and the recommended dosage of ara-C in this study was 2 g/m2 every 12
hours for a total dose of 16 g/m2.
PMID- 18055343
TI - CD20- and CD56-positive T-cell large granular lymphocyte leukemia in a human T
cell leukemia virus type 1 carrier.
AB - A 60-year-old man was diagnosed with asymptomatic T-cell granular lymphocyte (T
LGL) leukemia in September 2006. He was serologically positive for human T-cell
leukemia virus type 1 (HTLV-1). However, monoclonal integration of the HTLV-1
genome was not detected in the peripheral blood, suggesting that HTLV-1 did not
contribute to the pathogenesis of T-LGL leukemia in the present case.
Phenotypically, neoplastic cells of our case were CD3+, CD4*, CD8+, CD16-, CD56+,
CD57*, and T-cell receptor (TCR) alphabeta+. They also coexpressed CD20 antigen
with weak intensity. This represented a unique case of T-LGL leukemia showing a
typical clinical and phenotypic features.
PMID- 18055344
TI - High frequency of BCL2 translocation in Thai patients with follicular lymphomas.
AB - Follicular lymphoma is characterized by chromosomal translocation involving BCL2
and immunoglobulin heavy chain genes (IgH). That the incidence of follicular
lymphoma and the previously reported frequency of BCL2 translocation are lower in
Asians than in Caucasians implies a different molecular pathology. The study of
BCL2 rearrangement will yield deeper insights into the pathogenesis of follicular
lymphomas and into clinical applications of molecular diagnosis for Asian
follicular lymphoma patients. BCL2 /IgH translocation was analyzed in paraffin
embedded tissues from follicular lymphoma patients by using polymerase chain
reaction (PCR) analysis of the major breakpoint region (MBR), the intermediate
cluster region (ICR), and the minor cluster region. In addition, fluorescence in
situ hybridization (FISH) analysis with split-signal BCL2 probes was performed.
PCR analysis revealed BCL2 rearrangement in 12 (23.5%) of 51 cases (10 MBR and 2
ICR breakpoints). This frequency is lower than the frequencies reported from
Western countries (40%-60%). DNA sequencing of the breakpoints revealed
nucleotide insertions suggesting V(D)J recombination-mediated mechanisms. On the
other hand, FISH analysis revealed 11 (84.6%) of 13 cases with positive signals
for BCL2 translocation. Our results suggest that BCL2 translocation is essential
for the pathogenesis of follicular lymphoma in Thai patients. In addition, the
data demonstrate the low sensitivity of the PCR for diagnostic testing and
suggest that split-signal FISH is the method of choice.
PMID- 18055345
TI - Acute myeloid leukemia with multilineage dysplasia in children.
AB - We retrospectively surveyed pediatric acute myeloid leukemia (AML) patients with
multilineage dysplasia treated with the AML 99 and the Children's Cancer and
Leukemia Study Group (CCLSG) AML 9805 protocols. We found only 9 AML patients
(2.6%) with multilineage dysplasia among the 341 patients with newly diagnosed de
novo AML. Eight of the 9 patients obtained complete remission (CR) following the
intensive AML-oriented treatments. Three of 7 patients who underwent stem cell
transplantation were alive in CR for more than 4 years, and the 2 patients
treated only with chemotherapy were alive in CR for more than 30 months. We did
not identify any particular chromosomal abnormalities or differentiation
according to the French-American-British classification in these 9 patients. No
reports have described AML with multilineage dysplasia in children, and the
incidence of the disease is expected to be very low. We plan to conduct a
prospective pathologic review to select cases with this disease entity in the
next Japanese Pediatric Leukemia/Lymphoma Study Group (JPLSG) AML-05 protocol.
PMID- 18055346
TI - Neutropenic enterocolitis in children with acute leukemia or aplastic anemia.
AB - Neutropenic enterocolitis (NE) and acute appendicitis are life-threatening
conditions that develop in children with severe or prolonged neutropenia
secondary to acute leukemia and lymphoma. The medical records of 118 patients who
were treated for acute lymphoblastic leukemia (69 patients), acute myelogenous
leukemia (22 patients), or aplastic anemia (27 patients) between 1997 and 2006 in
our hospital pediatric hematology department were examined retrospectively. NE
was diagnosed in 11 patients (age range, 2.5-16 years) on the basis of clinical
and laboratory features. Two of these 11 patients had appendicitis in addition to
NE. Conservative treatment was favored for all patients, but 1 patient with acute
appendicitis underwent surgery. Neutropenic patients with a hematologic
malignancy and abdominal pain should receive their diagnoses immediately and
undergo treatment. NE and acute appendicitis should always be considered in the
differential diagnosis of abdominal pain. Conservative treatment must be chosen
initially for patients with NE, and these patients should be evaluated carefully
for surgery. The criteria for the surgical process are the same as those for
immunocompetent children. In addition, the close monitoring of hematologic
factors is necessary.
PMID- 18055347
TI - Living-donor lobar lung transplantation for broncho-bronchiolitis obliterans
after allogeneic hematopoietic stem cell transplantation: does bronchiolitis
obliterans recur in transplanted lungs?
AB - We report a successful case of living-donor lobar lung transplantation (LDLLT)
for therapy-resistant broncho-bronchiolitis obliterans (BBO) after allogeneic
hematopoietic stem cell transplantation (HSCT). Bronchiolitis obliterans (BO) is
one of the late-onset noninfectious pulmonary complications that occur after
allogeneic HSCT and is usually resistant to immunosuppressive therapy. A 17-year
old girl with acute lymphoblastic leukemia (ALL) had undergone allogeneic bone
marrow transplantation (BMT) from an HLA-matched sibling in 1997. Five years
later, she relapsed with ALL and was treated with chemotherapy following stem
cell rescue and donor lymphocyte infusion from the original BMT donor. Eight
months later, BBO resistant to immunosuppressive therapies, including rituximab,
developed in combination with chronic graft-versus-host disease (GVHD). In
February 2004, the patient underwent LDLLT from 2 other family members who were
mismatched at 3 HLA loci. The patient has been in good health for more than 30
months following LDLLT and shows no sign of BBO in the transplanted lungs, just
as with other patients who have undergone lung transplantation for BO associated
with chronic GVHD. LDLLT may therefore be considered a viable therapeutic option
for the treatment of BO after allogeneic HSCT.
PMID- 18055348
TI - Acute-onset pancytopenia in a postpartum lactating woman.
PMID- 18055349
TI - Bone marrow necrosis as a terminal complication of a very long-lasting
polycythemia vera.
PMID- 18055350
TI - New HJV mutation in a patient with hyperferritinemia and H63D homozygosity for
the HFE gene.
PMID- 18055351
TI - Right-handers' reaching in contralateral hemispace: a kinematic observation.
AB - C. Gabbard and C. Helbig (2004) found, when examining seated participants' limb
selection for reaching and grasping in hemispace, that right-handers preferred to
switch to the nondominant left arm for objects located approximately 20 degrees
horizontally from body midline (90 degrees) in left hemispace. In the present
study, the authors examined 13 strongly lateralized seated right-handers'
kinematics of reaching to object positions ranging from body midline to 40
degrees horizontally in left hemispace. Participants executed faster reaches with
the left arm than with the right arm to objects placed 20 degrees-40 degrees from
midline, whereas they did not change the proportion of time they spent
accelerating the hands when the position of the object changed. A 2nd main
finding was an increase in the left hand's trajectory curvature as object
position moved farther from midline, with a corresponding decrease in the
contribution of upper-arm motion to the reach. Those observations suggest that
the switch from dominant right-arm reaching to nondominant left-arm reaching in
left hemispace reported in the aforementioned study may have emerged from a shift
from a shoulder-driven reach to an elbow-driven action.
PMID- 18055352
TI - A practice-specificity-based model of arousal for achieving peak performance.
AB - The authors propose a practice-specificity-based model of arousal for achieving
peak performance. The study included 37 healthy male physical education students
whom they randomly assigned to a high-arousal (n = 19) or low-arousal group (n =
18). To manipulate participants' level of arousal, the authors used motivational
techniques. They used heart rate and the Sport Competition Anxiety Test (R.
Martens, 1977) to measure the level of arousal that participants achieved. At the
determined and given arousal state, the 2 groups performed the task (basketball
free throws) for 18 sessions. Both groups performed a retention test at the 2
arousal levels immediately after the last exercise session, in the posttest, and
after 10 days. Results showed that both groups learned the task similarly and
achieved their peak performance at their experienced arousal level. When tested
at an arousal level that differed from the one that they experienced throughout
practice sessions, participants' performance had deteriorated significantly.
Performance of the task seemed to have integrated with the arousal level of the
participants during the task learning. The findings of this study suggest a
practice-specificity-based explanation for achieving peak performance.
PMID- 18055353
TI - Variation in coordination of a discrete multiarticular action as a function of
skill level.
AB - The authors investigated coordination modes that emerged as a function of the
interaction between skill level and task constraints in a multiarticular kicking
action. Five skilled, 5 intermediate, and 5 novice participants attempted to
satisfy specific height and accuracy constraints in kicking a ball over a
barrier. Skilled and intermediate groups demonstrated a functional coordination
mode involving less joint involvement at the proximal joints and greater joint
involvement at distal joints, mimicking a chip-like action in soccer. Conversely,
the novice group tended to produce larger ranges of motion throughout the kicking
limb in a driving-like kicking action. Key differences were also found for task
outcome scores, joint angle-angle relations, and ball-trajectory plots between
the skilled and intermediate groups and the novice group. Findings from this
study demonstrated that joint involvement during this discrete multiarticular
action is a function of skill level and task constraints rather than a
consequence of a global freezing-freeing strategy suggested in some previous
research. The authors also highlight the merit of using a model of the
acquisition of coordination in examining how coordination modes for
multiarticular actions differ as a function of skill.
PMID- 18055354
TI - Examining action effects in the execution of a skilled soccer kick by using
erroneous feedback.
AB - The authors examined the role of action effects (i.e., ball trajectory) during
the performance of a soccer kick. Participants were 20 expert players who kicked
a ball over a height barrier toward a ground-level target. The authors occluded
participants' vision of the ball trajectory after foot-to-ball contact.
Participants in a 1st group received erroneous feedback from a video that showed
a ball-trajectory apex approximately 75 cm lower than that of their actual kick,
although the ball's landing position was unaltered. Participants in a 2nd group
received correct video feedback of both the ball trajectory and the landing
position. The erroneous-feedback group showed a significant bias toward higher
ball trajectories than did the correct-feedback group. The authors conclude that
performers at high levels of skill use the visual consequences of the action to
plan and execute an action.
PMID- 18055355
TI - Spatial and temporal adaptations that accompany increasing catching performance
during learning.
AB - The authors studied changes in performance and kinematics during the acquisition
of a 1-handed catch. Participants were 8 women who took an intensive 2-week
training program during which they evolved from poor catchers to subexpert
catchers. An increased temporal consistency, shift in spatial location of ball
hand contact away from the body, and higher peak velocity of the transport of the
hand toward the ball accompanied their improvement in catching performance.
Moreover, novice catchers first adjusted spatial characteristics of the catch to
the task constraints and fine-tuned temporal features only later during learning.
A principal components analysis on a large set of kinematic variables indicated
that a successful catch depends on (a) forward displacement of the hand and (b)
the dynamics of the hand closure, thereby providing a kinematic underpinning for
the traditional transport-manipulation dissociation in the grasping and catching
literature.
PMID- 18055356
TI - Snoddy (1926) revisited: time scales of motor learning.
AB - The authors investigated the time scales of the learning of a mirror-tracing task
to reexamine G. S. Snoddy's (1926) original claim and the received theoretical
view (A. Newell & P. S. Rosenbloom, 1981) that motor learning follows a power
law. Adult participants (N = 16) learned the tracing task in either a normal or a
reversed visual-image condition over 5 consecutive days of practice and then
performed 1 day of practice 1 week later and again 1 month later. The reversed
image group's performance was poorer than that of the normal-image group
throughout the practice. An exponential was the best fitting function on
individual data, but the power-law function was the best fit on the group
averaged data. The findings provided preliminary evidence that 2 characteristic
time scales, (a) fast, dominated by warm-up, and (b) slow, dominated by
persistent change, capture individuals' performance in the learning of the mirror
tracing task.
PMID- 18055357
TI - Shoulder joint position sense improves with external load.
AB - Joint position sense (JPS) is important in the maintenance of optimal movement
coordination of limb segments in functional activities. Researchers have shown
that the sensitivity of musculotendinous mechanoreceptors increases as muscle
activation levels increase. In the present study, when 25 participants tried to
replicate the same presented position, both vector and elevation angle
repositioning errors decreased linearly as the external load increased up to 40%
above unloaded shoulder torque. However, external load had no effect on plane
repositioning error. The results indicated that JPS increased under conditions of
increasing external load but only in the direction of the applied load. That
finding indicates that JPS acuity improves as muscle activation levels increase.
PMID- 18055359
TI - [Quantity and quality of obstetrical care in Baross Street Department of
Obstetrics and Gynecology between 1990 and 2006].
AB - AIM AND METHODS: Authors report data from 48,794 deliveries in Semmelweis
University, I. Department of Obstetrics and Gynecology, from January 1, 1990 to
December 31, 2006. Data were analyzed based on their computer database, showing
complexity of obstetrics, genetic counseling and neonatology. RESULTS: In the
last 17 years the delivery number is increasing, from 2,299 in 1990 to 3,861 in
2006. Early neonatal mortality rate is decreasing (22 infant deaths per 1,000
live births in 1990 compared with 6 in 2006). If we do not take those < or =1,000
grams, intrauterine death outside the institute, and induction of labour because
of malformation, perinatal mortality is very low, below 6/1,000 deliveries in the
last 7 years, 2.8 in 2004, 1.8-1.9 in 2005 and 2006. Neonatal and infant
mortality is also decreasing. There is an increase in the frequency of cesarean
sections, 15-20% in 1990-1991, and approximately 35% in the last years. Main
indications are previous cesarean section, threatened fetal hypoxia, dystocia,
cephalopelvic disproportion, twin pregnancy, hypertension/preeclampsia/HELLP
syndrome, breech presentation. CONCLUSIONS: Because of the progressive system in
obstetrics care in Hungary, in this leading institute approximately one fifth of
the deliveries are preterm, furthermore they also have numerous severe
pathological cases, though there are favorable changes in perinatal statistics in
the last 17 years, showing the continuous improvement in obstetrical and
neonatological care.
PMID- 18055360
TI - [The effect of carotid stenting on rheological parameters, free radical
production and platelet aggregation].
AB - INTRODUCTION: Carotid artery stenting has become a possible treatment of
significant carotid stenosis. The risk of stent occlusion and restenosis might be
increased by abnormal rheological conditions amplified platelet aggregation and
free radical production during the operation. AIMS: The aim of this study was to
assess the changes of the rheologic parameters, platelet aggregation, and
oxidative stress after endovascular treatment of carotid stenosis. METHODS: 18
patients (11 men, ages 68 +/- 9 years and 7 women, ages 62 +/- 8 years) suffering
from significant carotid stenosis and treated with carotid endovascular
intervention were examined. Alteration in hemorrheological parameters as well as
epinephrine-, ADP-, and collagen-induced platelet aggregation were evaluated.
Oxidative stress was characterized by the determination of catalase activity. The
measurements were carried out directly before and after the procedure and 1, 2, 5
days and 1 month following the intervention. Preceding the operation the patients
were administered a maximum dose (300 mg) of clopidogrel. RESULTS: The
hematocrit, the plasma fibrinogen concentration (Pfc) and whole blood-, and
plasma viscosity (Wbv and Pv) decreased significantly immediately after stenting
( p < 0.001). By the fifth day following the intervention the Pfc, Wdv, Pv, red
blood cell (Rbc) aggregation and ADP-induced platelet aggregation increased
significantly ( p < 0.0001) compared to values measured after the procedure. At 1
month follow-up these parameters, excepting Wbv, decreased significantly compared
to measurements made on the 5th day. On the other hand, catalase activity showed
significant elevation by the end of the first month. CONCLUSION: Hemorrheological
parameters and platelet aggregation showed specific changes following carotid
stenting. Abnormal changes of the rheological conditions and increasing platelet
activation are the most pronounced in the first week following stenting, which
may lead to the stent's early occlusion. Oxidative stress production returned to
baseline levels only by the end of the first month.
PMID- 18055361
TI - [Cerebral complications of diabetes mellitus].
AB - According to WHO data more than 180 million people suffer from diabetes mellitus
worldwide and this number could double within 15 years. Normal function of the
brain is dependent on continuous supply of glucose. In hypoglycemia, production
of counterregulatory hormones (glucagon, epinephrine, growth hormone, and
cortisol) increases, the sympathetic system becomes stimulated, and features of
neuroglycopenia appear in order to save the homeostasis. Hypoglycemia is an
alarming, actually life threatening condition, but the exposure to chronic
hyperglycemia has a more detrimental effect on the brain than recurrent exposure
to severe hypoglycemia. The active neural response to hyperglycemia induces
changes in gene expression and function. The first steps against hyperosmolality
are initially adaptive, but later hyperactivation of the hypothalamic
magnocellular neurosecretory cells leads to their structural damage. Changes in
hippocampal gene transcription are partially implicated in the deterioration of
declarative memory. Neurologically passive shunting of excess glucose through
alternative cellular metabolic pathways induces atherogenic, vascular lesions,
free radicals, leukoencephalopathy and atrophy of the brain and thus leading to
cognitive deficits. In physiological conditions insulin has neuroprotective
effect. However, insulin resistance in the central nervous system correlates with
insulin resistance in the periphery. Loss of responsiveness to insulin could
render neurons more susceptible to neurotoxic insults, the protective effect of
insulin diminishes, and apoptosis, neurodegeneration and the resultant cognitive
decline are all increased in insulin-resistant patients. Some unclear relations
appear between diabetes mellitus and Alzheimer's disease. Diabetic patients with
APOE-4 gene have an increased risk for Alzheimer's disease. Prevalence of
depression is higher in patients with diabetes mellitus and in turn, depression
is a risk factor for diabetes mellitus. Simultaneous presence of depression and
diabetes mellitus tends to worsen the course of both.
PMID- 18055362
TI - [Fetal oncology].
AB - The author presents a review of benign and malignant tumors that may affect the
fetus. Virtually all tumors that occur in children and adults may also develop in
the fetus, and can be found in the neonate. For their early diagnosis
ultrasonography and magnetic resonance imaging are of critical importance. These
techniques represent the cutting edge of contemporary diagnostic technology.
Regretfully, the progress in perinatal diagnostic methodology has not been
matched, as yet, by comparable therapeutic options. These are still in their
experimental stages. Only a few centers perform surgical interventions. Options
vary about the risk-benefit ratios of the respective operative procedures.
PMID- 18055363
TI - [Ruptured cirrhotic nodulus treated with collagen patch].
AB - The authors review the case of a fifty-three-year-old cirrhotic patient. He was
admitted to the emergency unit with symptoms of acute abdomen and haemorrhagic
shock. Abdominal bleeding of unknown origin was revealed by UH and CT imaging.
After circulatory resuscitation, urgent abdominal exploration was indicated.
During operation arterial bleeding of a ruptured cirrhotic nodulus was found.
Tacho-Comb (a human fibrinogen/thrombin coated collagen patch) was applied onto
the hepatic wound. Prompt haemostasis was achieved. The patient was discharged
after an uneventful postoperative period.
PMID- 18055364
TI - [The psychiatrist Rudolf Fabinyi: the early years of his career].
PMID- 18055370
TI - Narcissistic mortification of ageing men.
AB - The development of a male gender identity involves the task of relinquishing the
identification with the female primary object. If this separation is experienced
as loss or expulsion, and responded to by the development and libidinous cathexis
of phallic-narcissistic characteristics, a specifically male narcissistic
vulnerability emerges, which can, particularly in ageing men, escalate to
critical proportions. However, phallic-narcissistic crises in the ageing process
also contain opportunities for development, provided the losses of age can be
faced with mourning. The author sets out the statements on the subject of old age
in the myth of Narcissus and makes use of the concepts of developmental
psychology. He outlines clinical examples illustrating this problem. Finally, he
brings to mind the narcissistic plight of the aged Oedipus.
PMID- 18055371
TI - Freud's prehistoric matrix--owing 'nature' a death.
AB - This paper is informed by contemporary literature in two fields--neonatal
research, on the one hand, and the burgeoning interdisciplinary interest in Moses
and monotheism, on the other. The author postulates that a cluster of traumatic
events during the first two years of Freud's life compelled him to repeat what
could not be remembered. Embedded in charged implicit schema, these affects
remained unprocessed in Freud, who alone of all psychoanalysts did not have an
analysis, manifesting in an uncanny dread/allure of the 'prehistoric' as a dark
and dangerous era relating to the archaic feminine/maternal matrix and
fratricidal murderousness. Furthermore, she cites evidence to suggest that for
Freud this unconsciously excluded subtext of the preoedipal era became associated
with ancient Egyptian and Minoan-Mycenaean cultures, a passionate fascination
actualized in his collection of antiquities yet incongruously absent in his
theoretical work, with three exceptions--Egyptian allusions in Leonardo's
unconscious attachment to his archaic mother; the 'Minoan-Mycenaean' analogy on
discovering the pre-oedipal mother shortly after the death of Freud's own mother;
and Egypt as cradle of humanity in his uncharacteristically rambling, troubled
text of Moses and monotheism. The author sees Freud's conceptual avoidance yet
compulsive reworking of the prehistoric matrix as a symptomatic attempt to expose
early unformulated representations that 'return to exert a powerful effect'.
PMID- 18055372
TI - Psychoanalytic transformations.
AB - The author describes how Bion took Freud's conception of dreams as a form of
thought and used it as the basis of his theory of transformations. Bion developed
an expanded theory of 'dream thought', understood as a process of selection and
transformation of sensory and emotional experiences. In this theory, the work of
analysis is in turn conceived as a process not only of deciphering symbols, of
revealing already existing unconscious meanings, but also of symbol production-
of a process for generating thoughts and conferring meaning on experiences that
have never been conscious and never been repressed because they have never been
'thought'. Analysis, in its specific operational sense, becomes a system of
transformation whereby unconscious somatopsychic processes acquire the conditions
for representability and become capable of translation into thoughts, words and
interpretations. The rules of transformation applied by the patient in his
representations and those applied by the analyst in his interpretations have the
same importance for the analytic process as those described by Freud for the
process of dreaming. The author discusses the broad categories of transformation
adduced by Bion (rigid motion, projective, and in hallucinosis) and introduces
some further distinctions within them.
PMID- 18055373
TI - Utopic ideas of cure and joint exploration in psychoanalytic supervision.
AB - The idea of the decisive and complete cure is deeply rooted in our unconscious
and in the sacral roots of symbolic healing. Double sets of private theories of
cure can frequently be found among patients in psychoanalysis and their analysts.
The utopian cure involves a profound transformation of the personality by way of
deep regression. The idea of an attainable and more limited cure includes new
ways of managing old problems. The actual ongoing treatment is then seen as the
'next-best solution'. The utopian fantasy of creating 'the new person' by means
of 'proper' psychoanalysis or analytic training has far-reaching consequences for
psychoanalytic education and supervision. Our awareness of the inevitable
temptation in the 'utopian state of mind' can help us to trace and focus on
utopic elements in the supervisory process. Exploration of utopic ideas of all
the three parties involved can itself be a fruitful and stimulating way of
working in supervision. An important aim of psychoanalytic supervision is to
promote a distinct state of mind that can counterbalance utopic ideas and
counteract the phenomenon of a 'false analytic self'.
PMID- 18055374
TI - A technique for facilitating the creation of mind.
AB - There are two forces operating in people. One resists pain and violently opposes
integration. The other force is slowly bringing coherence and integration to what
is inside. There has been a tendency within psychoanalysis to focus on the
former. In this paper the author focuses on the latter. The hypothesis here is
that if the analyst focuses upon the signs of integration that this assists the
process of growing self-awareness. The negative transference emerges as the
undeveloped aspects of the psyche are recognized by the patient.
PMID- 18055375
TI - Forgiveness, acceptance and the matter of expectation.
AB - In this paper, the author argues for a dynamic conceptualization of forgiveness
during psychoanalysis. The trauma of failed expectations in intimate
relationships is narcissistically dislodging. When legitimate expectations in
relationships are not met, forgiveness becomes a challenge for ego to restore the
lost narcissistic balance through the resumption of a significant internal bond.
The author argues that the ending of any successful analysis is marked by three
possibilities regarding the patient's relationship to significant others and his
traumas: in cases where the relationship was marked by minimal expectations, one
simply learns to accept the wrongdoer without ever feeling the need for
forgiveness; in cases where a relationship was marked by high expectations, the
patient can learn to accept the trauma without the will or need to forgive its
perpetrator. However, even with the painful frustration of high expectations in
an intimate relationship, the patient can come to forgive his wrongdoer if there
remains enough of a positive internal bond to be salvaged. The developmental
roots of such forgiveness, as well as the addictive characteristics of 'nursing a
grudge' and the conversion of the qualitative mode of seeking fulfillment into a
quantitative one, are further investigated.
PMID- 18055376
TI - Raiding the inarticulate: the internal analytic setting and listening beyond
countertransference.
AB - The analytic setting exists not only externally but also internally as a
structure in the mind of the analyst. The internal analytic setting constitutes
an area of the analyst's mind where reality is defined by unconscious symbolic
meaning. Clinical examples illustrate how a secure internal setting allows
flexibility in the external setting without sacrifice of its analytic quality.
The internal setting can help analysts listen inwardly to themselves in a way
that is free-floating with regard to their internal processes. This points beyond
usual ideas of countertransference. An analytic encounter may stir up elements
that belong to the analyst's psyche which, rather than impeding the analysis, can
actively enrich it. Seamus Heaney's writings evoke comparisons between listening
to poems and listening to patients, and a week in a patient's analysis is
described in relation to these themes.
PMID- 18055377
TI - Thinking extreme social violence: the model of the literary plague.
AB - The author uses literary plagues as a model for thinking psychoanalytically about
the basic anxieties activated among perpetrators of sanctioned massacres. The
model of the plague allows abstracting an underlying primitive psychological
organization characterized by syncretism and a powerful anxiety of de
differentiation and confusion, leading characteristically to imitative behavior
within the in-group as well as to the disavowal of the out-group members
similarities to oneself, i.e. the disavowal of the other's humanity. Recognizing
the historical and social foundations of discrimination and genocide, the author
analyzes the interaction between group and individual processes that allow
ordinary people to join daily acts of immoral violence. She dramatizes the model
of the plague through a psychoanalytic reading of three literary plagues: Thebes'
plague according to Sophocles, Camus's chronicle of the plague in Oran, and
Saramago's meditation on the plague of white blindness.
PMID- 18055378
TI - Space and time in psychoanalytic listening.
AB - The analytic situation is by definition traumatic because it evokes
hilflosigkeit, the state of helplessness of the newborn infant, which is the
prototype of the traumatic situation, and at the origin of the experience of
anxiety. The author addresses the chain of associations between the state of
helplessness, repetition compulsion, trauma, infantile sexuality, pleasure and
displeasure, which lie at the core of the transference experience, and which find
their ultimate expression in the analyst's listening. The discovery of the
compulsion to repeat instituted a paradigmatic shift in Freud's formulations,
emphasizing the process of repetition of trauma, and instituting a link between
the network of concepts indicated above. In the clinical example discussed, the
author defines the psychoanalytic process by the primacy of sexuality, the erotic
passivation in the transference that evokes the traumatic childhood sexual scene.
Sexuality and sexual phantasies are at the centre of the elaboration of meaning.
Furthermore, the author distinguishes between two types of interpretations,
namely 'open' and 'closed'.
PMID- 18055379
TI - 'Are you a woman--or a flower?' The capacity to experience beauty.
AB - Being surprised by beauty, being drawn to and moved by it and surrendering to its
sensory nature are all part of beauty's emotionally transformative power. And
yet, psychoanalysis has had little to say about such an enriching dimension of
psychological life. The author highlights how experiencing beauty is a capacity
that is not isolated but is part of the lifelong experience of being changed by
and changing the experience of the world we live in. She suggests that the
capacity to experience beauty takes different forms at distinct points in
development and in psychoanalytic treatments. The author describes two clinical
occasions from a case where an analytic pair struggled to develop a greater
capacity to experience beauty over time. She discusses how a theory of the
aesthetic conflict was useful in helping to understand her patient's inner object
world but was not sufficient when it came to understanding the experience of
beauty. She shows how for both patient and analyst, experiencing beauty's full
effect required responding to the call toward emotional life, surrendering to the
surprise and the unknown, and letting go of the mind's struggle to find meaning
and opening up to transforming and being transformed through sensory experience.
PMID- 18055380
TI - Learning from experience: Bion's concept of reverie and Buddhist meditation. A
comparative study.
AB - The author argues for a common denominator between Bion's view and the Buddhist
view of mental development. In both thought systems, mental growth is synonymous
to learning from experience. The author closely examines Bion's concept of
attention and compares it to mindfulness, a major factor in Buddhist meditation.
In both doctrines, attention must be isolated from other mental processes in
order to attain learning from experience. The author compares reverie to the
state of mind of equanimity. She argues that enhancement of the ability of
reverie, or improving the inner container such that it can hold any content while
unmoved by desire, is the purpose of Buddhist practice. Both view the mind as
capable of transcending its own restrictions and 'the capacity to know anything'
as attainable through disciplined practice.
PMID- 18055381
TI - The influence of extreme traumatization on body, mind and social relations.
AB - Extreme traumatization affects the individual's relation to others in several
social and psychological ways. The post-traumatic experiences are characterized
by helplessness, insecurity, anxiety, loss of basic trust, and fragmentation of
perspectives on one's own life. Special considerations should be given to the
destruction of the ability to regulate negative emotions (extreme fear, distress,
anguish, anger, rage, shame) in relation to others and activate internal good and
empathic object relations. Destruction of the capacity for symbolization of
traumatic experience may threaten the mind with chaotic states against which the
'I' tries to defend itself and find a balanced psychic mise-en-scene. The authors
emphasize three dimensions that the analyst should observe in his understanding
of the traumatized mind and its conflicts. The proposed dimensions are called the
body-other dimension, the subject-group dimension, and the subject-discourse
dimension. All three dimensions have specific structural characteristics that are
expressed in the analytic relation. Extreme trauma causes disturbances in each of
these dimensions. The authors present clinical material from a traumatized
refugee to illustrate the analytic work.
PMID- 18055382
TI - Psychoanalytic reflections on the body in racism, homophobia and misogyny.
PMID- 18055383
TI - Further thoughts on: Julie's museum: the evolution of thinking, dreaming and
historicization in the treatment of traumatized patients.
PMID- 18055385
TI - Population synchrony in small-world networks.
AB - Network topography ranges from regular graphs (linkage between nearest neighbours
only) via small-world graphs (some random connections between nodes) to
completely random graphs. Small-world linkage is seen as a revolutionary
architecture for a wide range of social, physical and biological networks, and
has been shown to increase synchrony between oscillating subunits. We study small
world topographies in a novel context: dispersal linkage between spatially
structured populations across a range of population models. Regular dispersal
between population patches interacting with density-dependent renewal provides
one ecological explanation for the large-scale synchrony seen in the temporal
fluctuations of many species, for example, lynx populations in North America,
voles in Fennoscandia and grouse in the UK. Introducing a small-world dispersal
kernel leads to a clear reduction in synchrony with both increasing dispersal
rate and small-world dispersal probability across a variety of biological
scenarios. Synchrony is also reduced when populations are affected by globally
correlated noise. We discuss ecological implications of small-world dispersal in
the frame of spatial synchrony in population fluctuations.
PMID- 18055386
TI - Agonistic signals received by an arthropod filiform hair allude to the prevalence
of near-field sound communication.
AB - Arthropod filiform hairs respond to air particle movements and are among the most
sensitive animal sensory organs. In many species, they are tuned to detect
predators or prey and trigger escape or prey capture behaviours. Here we show for
the first time that these hairs also receive intraspecific near-field sound
signals in an arachnid. During agonistic encounters, whip spiders (Arachnida,
Amblypygi) perform antenniform leg vibration (ALV) displays that have
significantly longer duration in contest winners than losers. During an ALV
display: (i) the vibrating antenniform leg of the displaying whip spider is
positioned close to the trichobothria (filiform hairs) on its opponent's walking
legs, (ii) the vibrating antenniform leg can excite these trichobothria via air
movements and without direct contact, (iii) the antenniform leg of the displaying
whip spider vibrates at a frequency that causes particularly strong, sustained
excitation and little adaptation in the trichobothria, and (iv) the duration of
an ALV display can be extracted from the response of a trichobothrium. Since
filiform hairs are widespread among arthropods, communication via such hairs
could be extremely prevalent.
PMID- 18055388
TI - Carotenoid trade-off between parasitic resistance and sexual display: an
experimental study in the blackbird (Turdus merula).
AB - Many parasites depress the expression of the carotenoid-based colour displays of
their hosts, and it has been hypothesized that animals face a trade-off in
carotenoid allocation between immune functions and 'degree of ornamentation'.
While numerous correlative studies suggest that parasite infection decreases the
intensity of carotenoid-based colour displays, the existence of this trade-off
has never been demonstrated experimentally in a host-parasite model. In this
study, we used the blackbird (Turdus merula) and Isospora (an intestinal
parasite) to assess whether this trade-off does indeed exist. Blackbirds were
supplemented with carotenoids while simultaneously being exposed to parasites.
Supplemented males circulated more carotenoids in the blood and developed more
brightly coloured bills than unsupplemented males. In addition, supplementation
slowed down the replication rate of parasites. Supplementation with carotenoids
enabled infected birds to maintain their bill coloration, whereas birds that were
infected but not supplemented showed reduced bill coloration. At the same time,
infection slowed carotenoid assimilation in the blood. Overall, we demonstrated
that bill colour reflects a bird's health, and that only males with a carotenoid
rich diet are capable of coping with costs associated with parasitic infection.
Carotenoids are thus traded off between host physiological response to parasites
and secondary sexual traits. Further investigations are required to determine the
physiological mechanisms that govern this trade-off.
PMID- 18055389
TI - The effect of stimuli that isolate S-cones on early saccades and the gap effect.
AB - Disappearance of the fixation spot before the appearance of a peripheral target
typically reduces average saccadic reaction times (the gap effect) and may also
produce a separate population of early or express saccades. The superior
colliculus (SC) is generally believed to be critically involved in generating
both effects. As the direct sensory input to the SC does not encode colour
information, to determine whether this input was critical in generating the gap
effect or express saccades we used coloured targets which this pathway cannot
distinguish. Our observers still made early saccades to colour-defined targets,
but these were anticipations in response to the offset of the non-coloured
fixation target. We also show that a gap effect still occurs when either the
fixation target or the peripheral target is colour defined, suggesting that
direct sensory input to the SC is not required and that information about the
location of colour-defined targets is abstracted prior to processing within the
SC.
PMID- 18055390
TI - Intraspecific variation in a generalist herbivore accounts for differential
induction and impact of host plant defences.
AB - Plants and herbivores are thought to be engaged in a coevolutionary arms race:
rising frequencies of plants with anti-herbivore defences exert pressure on
herbivores to resist or circumvent these defences and vice versa. Owing to its
frequency-dependent character, the arms race hypothesis predicts that herbivores
exhibit genetic variation for traits that determine how they deal with the
defences of a given host plant phenotype. Here, we show the existence of distinct
variation within a single herbivore species, the spider mite Tetranychus urticae,
in traits that lead to resistance or susceptibility to jasmonate (JA)-dependent
defences of a host plant but also in traits responsible for induction or
repression of JA defences. We characterized three distinct lines of T. urticae
that differentially induced JA-related defence genes and metabolites while
feeding on tomato plants (Solanum lycopersicum). These lines were also
differently affected by induced JA defences. The first line, which induced JA
dependent tomato defences, was susceptible to those defences; the second line
also induced JA defences but was resistant to them; and the third, although
susceptible to JA defences, repressed induction. We hypothesize that such
intraspecific variation is common among herbivores living in environments with a
diversity of plants that impose diverse selection pressure.
PMID- 18055392
TI - Evolution of aquatic insect behaviours across a gradient of disturbance
predictability.
AB - Natural disturbance regimes--cycles of fire, flood, drought or other events-
range from highly predictable (disturbances occur regularly in time or in concert
with a proximate cue) to highly unpredictable. While theory predicts how
populations should evolve under different degrees of disturbance predictability,
there is little empirical evidence of how this occurs in nature. Here, we
demonstrate local adaptation in populations of an aquatic insect occupying sites
along a natural gradient of disturbance predictability, where predictability was
defined as the ability of a proximate cue (rainfall) to signal a disturbance
(flash flood). In controlled behavioural experiments, populations from
predictable environments responded to rainfall events by quickly exiting the
water and moving sufficiently far from the stream to escape flash floods. By
contrast, populations from less predictable environments had longer response
times and lower response rates, reflecting the uncertainty inherent to these
environments. Analysis with signal detection theory showed that for 13 out of 15
populations, observed response times were an optimal compromise between the
competing risks of abandoning versus remaining in the stream, mediated by the
rainfall-flood correlation of the local environment. Our study provides the first
demonstration that populations can evolve in response to differences in
disturbance predictability, and provides evidence that populations can adapt to
among-stream differences in flow regime.
PMID- 18055393
TI - [Carrier detection in families affected by Duchenne/Becker muscular dystrophy].
AB - Duchenne/Becker muscular dystrophy is a severe, recessive, X-linked neuromuscular
disease with an incidence of 1/3500 (Duchenne type) and 1/30,000 (Becker type) in
newborn boys. The gene responsible for the Duchenne/Becker muscular dystrophy
phenotype is located at Xp21 and its 427 kD protein product is called dystrophin.
Deletions, point mutations and rarely duplications can occur almost anywhere in
the DMD gene, which makes the molecular diagnosis difficult. Multiple polymerase
chain reactions detect 95% of deletions in affected males [2, 4], but are not
suitable for carrier detection in female relatives. Southern-blot analysis with
six different cDNA probes covers the whole 14 kb dystrophin transcript and allows
the detection of female carriers by comparing the intensity of the signals
corresponding to the different exons. This method is time consuming compared to
the newly introduced multiple ligation-dependent probe amplification method.
Multiple ligation-dependent probe amplification is a method suitable for relative
quantification of several DNA sequences in one reaction. The authors report
results on 93 cases where the carrier status was analysed simultaneously by cDNA
hybridisation and multiple ligation-dependent probe amplification technique. In
42 cases the carrier state was confirmed and in this carrier population the
authors additionally detected two cases with duplication, two cases with one copy
of the whole dystrophin gene and three manifest carrier females. On the basis of
these results the MLPA technique, which has been newly introduced in Hungary,
proved to be a sensitive and quick method for the detection of carrier state in
the DMD/BMD disease. Moreover, the exact deletion or duplication border can be
detected and as a result, prediction on the phenotype can be given. This will
provide the right therapeutic intervention for the affected patients in the
future.
PMID- 18055391
TI - Symbiont-mediated protection.
AB - Despite the fact that all vertically transmitted symbionts sequester resources
from their hosts and are therefore costly to maintain, there is an extraordinary
diversity of them in invertebrates. Some spread through host populations by
providing their hosts with fitness benefits or by manipulating host sex ratio,
but some do not: their maintenance in host lineages remains an enigma. In this
review, I explore the evolutionary ecology of vertically transmitted symbionts
and their impact on host resistance, and provide an overview of the evidence for
the three-way interactions between these symbionts, natural enemies and
invertebrate hosts. A number of recent empirical and theoretical studies suggest
that vertically transmitted symbionts may protect their hosts from pathogens. If
this 'symbiont-mediated protection' is widespread, it is likely that vertically
transmitted symbionts contribute significantly to variation in measures of
invertebrate resistance to natural enemies.
PMID- 18055387
TI - Sexual and social stimuli elicit rapid and contrasting genomic responses.
AB - Sensory physiology has been shown to influence female mate choice, yet little is
known about the mechanisms within the brain that regulate this critical
behaviour. Here we examine preference behaviour of 58 female swordtails,
Xiphophorus nigrensis, in four different social environments (attractive and
unattractive males, females only, non-attractive males only and asocial
conditions) followed by neural gene expression profiling. We used a brain
specific cDNA microarray to identify patterns of genomic response and candidate
genes, followed by quantitative PCR (qPCR) examination of gene expression with
variation in behaviour. Our microarray results revealed patterns of genomic
response differing more between classes of social stimuli than between presence
versus absence of stimuli. We identified suites of genes showing diametrically
opposed patterns of expression: genes that are turned 'on' while females interact
with attractive males are turned 'off' when interacting with other females, and
vice versa. Our qPCR results identified significant predictive relationships
between five candidate genes and specific mate choice behaviours (preference and
receptivity) across females exposed to males, with no significant patterns
identified in female or asocial conditions or with overall locomotor activity.
The identification of stimulus- and behaviour-specific responses opens an
exciting window into the molecular pathways associated with social behaviour and
mechanisms that underlie sexual selection.
PMID- 18055394
TI - [Role of obesity in colorectal carcinogenesis].
AB - The obesity is the second most frequent cause of death which can be prevented. It
elevates the risk of cardiovascular diseases, diabetes mellitus type 2, cancers
and premature mortality. Overweight and obesity responsible for 14% of cancer
caused death in males, and 20% in females, respectively. Authors review the
connection between obesity, metabolic syndrome and related metabolic alterations
with colorectal cancers. They summarize the role of inflammation,
hyperinsulinemia, insulin-like growth factor-I and adipokines in the colorectal
carcinogenesis.
PMID- 18055395
TI - [Depression, anxiety and quality of life in pediatric asthma].
AB - AIMS: The study's objective was to examine depression, anxiety and quality of
life according to age and asthma status in pediatric asthma in a pediatric
university department. METHODS: 108 patients, age: 11.75 +/- 3.10 (mean +/- SD)
years (boys 11.6 +/- 2.8 years and girls 12.1 +/- 3.7 years) completed the Child
Depression Inventory, the State Trait Anxiety Inventory for Children, the
Pediatric Asthma Quality of Life Questionnaire, and a symptom score. Forced
expiratory volume in one second was also measured. RESULTS: Mean forced
expiratory volume in one second percent was 97.4 +/- 12.8. 23 patients (21%) had
intermittent asthma, 40 patients (37%) had mild persistent, 43 patients (40%) had
moderate persistent, 2 patients (2%) had severe persistent asthma. Pediatric
asthma patients scored 9.36 +/- 5.57 points in the depression questionnaire.
Patients showed as many depressive symptoms as the Hungarian average population,
pre-adolescent boys with asthma showed even less. Children with asthma scored
31.16 +/- 4.61 points on the anxiety questionnaire; (boys 30.64 +/- 4.29, girls
32.67 +/- 5.27). Children with asthma have the same anxiety level as their
healthy peers. On the quality of life questionnaire asthmatic children reached
6.18 +/- 1.00 (2.87-7.00); adolescent girls scored the worst (5.62 +/- 1.28).
Adolescent asthmatic girls have the worst quality of life. Boys reach better
quality of life scores as they grow older ( p = 0.02). Girls with adolescence
have a tendency of decreasing quality of life, although the difference is not
significant. In adolescence, asthmatic girls experience more quality of life
deprivation than boys ( p = 0.013). Depression score, anxiety, or quality of life
showed no difference between the intermittent and persistent asthmatic groups.
Children in the symptomatic subgroup experienced poorer quality of life.
Depression and anxiety were not affected by current asthma symptoms. There was no
significant difference in depression, anxiety or quality of life scores according
to age. CONCLUSION: The psychological status of children with asthma is fairly
good. One should concentrate more on the quality of life of girls in adolescence.
The good pediatric care of childhood asthmatics helps to avoid the psychological
consequences of the disease.
PMID- 18055396
TI - [Review of the application of synthetic bone grafts. The role of the gypsum in
bone substitution: molecular biological approach, based on own research results].
AB - Properties of bone graft can determine the effectiveness, short and long term
success of bone substitution procedure. In this paper, a brief report about
advantages and disadvantages of modern bone substitution processes is presented
and authors have explicated the opportunity of the usage of gypsum as bone graft.
Recently, calcium sulfate dihydrate has been demonstrated as safe biodegradable
osteoconductive bone void filler. However, its exact mechanism of action on bone
cells and the molecular process of bone substitution is yet unknown. Authors have
investigated the effect of gypsum on bone cells using molecular biology methods.
Gypsum proved to be an ideal culture surface for proliferation of mice
preosteoblastic cells, while polymethilmetacrylate bone cement - generally used
in clinical practice as bone substitution material - inhibited cell growth. Gene
expression profile of cells has significantly changed on gypsum surface - genes
involved in new bone formation have expressed with an increased ratio - and an
increased alkaline phosphatase activity has been measured from these cultures.
Our results support the use of gypsum as synthetic bone graft and new properties
of calcium sulfate dihydrate have been demonstrated due to molecular biological
approach.
PMID- 18055397
TI - [Multiple angiodysplasias diagnosed by capsule endoscopy].
AB - Angiodysplasias are the most frequent vascular lesions of the gastrointestinal
tract and sources of significant mortality from bleeding. Small bowel
angiodysplasias account for approximately 40% of cases of gastrointestinal
bleeding with obscure origin and represent the single most common cause for
hemorrhage in this subset of patients. Their cause is unknown but most are
probably acquired and the result of a degenerative process associated with aging.
The difficulty of their diagnosis stems from their multiple appearance and small
size. Examinations that have been performed so far support that the sensitivity
of capsule endoscopy (CE) performed during active bleeding was higher than in
case of previous overt bleeding and occult bleeding. CASE REPORT: A 61-year-old
female patient, who has received anticoagulant therapy for 13 years, presented
with recurrent gastrointestinal bleeding of obscure origin underwent wireless
capsule endoscopy after negative upper endoscopy and colonoscopy. CE showed non
bleeding typical angiodysplasia in the antrum of the stomach and active bleeding
in the first third of jejunum without a visible bleeding source. As the next
diagnostic step we performed selective mesenteric angiography to clarify the
diagnosis and at the same time we also applied radiological intervention.
CONCLUSION: Capsule endoscopy changed in practice guidelines for obscure bleeding
and became the first-line method for evaluation of patient after upper endoscopy
and colonoscopy have been shown to be negative. If the examination is performed
early, CE could shorten considerably the time to diagnosis, lead to definitive
treatment and numerous examinations with low yield could be avoided. The therapy
of small bowel angiodysplasias is questionable. Radiological intervention poses
the least load for the patient.
PMID- 18055401
TI - [Interview with dr. Sandor Gardo].
PMID- 18055406
TI - Driving a hard bargain: sex ratio and male marriage success in a historical US
population.
AB - Evolutionary psychologists have documented a widespread female preference for men
of high status and resources, and evidence from several populations suggests that
this preference has real effects on marriage success. Here, we show that in the
US population of 1910, socioeconomic status (SES) had a positive effect on men's
chances of marrying. We also test a further prediction from the biological
markets theory, namely that where the local sex ratio produces an oversupply of
men, women will be able to drive a harder bargain. As the sex ratio of the states
increases, the effect of SES on marriage success becomes stronger, indicating
increased competition between men and an increased ability to choose on the part
of women.
PMID- 18055407
TI - African elephants have expectations about the locations of out-of-sight family
members.
AB - Monitoring the location of conspecifics may be important to social mammals. Here,
we use an expectancy-violation paradigm to test the ability of African elephants
(Loxodonta africana) to keep track of their social companions from olfactory
cues. We presented elephants with samples of earth mixed with urine from female
conspecifics that were either kin or unrelated to them, and either unexpected or
highly predictable at that location. From behavioural measurements of the
elephants' reactions, we show that African elephants can recognize up to 17
females and possibly up to 30 family members from cues present in the urine-earth
mix, and that they keep track of the location of these individuals in relation to
themselves.
PMID- 18055408
TI - Marrying women 15 years younger maximized men's evolutionary fitness in
historical Sami.
AB - Most men marry younger women. This has been attributed to men selecting young
women due to their high reproductive value and women preferring older men due to
their wealth and high social status. Such mate preferences have been suggested to
be adaptive, but despite a flourishing number of studies on the mate selection
patterns themselves, little is still known of their actual fitness consequences.
We examined how the age difference between spouses who married only once affected
their lifetime reproductive success in historical monogamous Sami populations. We
found that men maximized their fitness by marrying women approximately 15 years
younger and vice versa. However, most couples failed to marry optimally. Only 10%
of marriages fell within the optimal parental age difference, suggesting that
cultural and ecological constraints for maximizing fitness were considerable.
Those who succeeded in marrying optimally were the most preferred partners: young
women and old men. Our findings indicate that, in Sami, parental age difference
was under natural and sexual selection, as suggested by evolutionary theory.
PMID- 18055409
TI - Scaling of gas exchange cycle frequency in insects.
AB - Previously, it has been suggested that insect gas exchange cycle frequency (fC)
is mass independent, making insects different from most other animals where
periods typically scale as mass-0.25. However, the claim for insects is based on
studies of only a few closely related taxa encompassing a relatively small size
range. Moreover, it is not known whether the type of gas exchange pattern
(discontinuous versus cyclic) influences the fC-mass scaling relationship. Here,
we analyse a large database to examine interspecific fC-mass scaling. In
addition, we investigate the effect of mode of gas exchange on the fC-scaling
relationship using both conventional and phylogenetically independent approaches.
Cycle frequency is scaled as mass(-0.280) (when accounting for phylogenetic non
independence and gas exchange pattern), which did not differ significantly from
mass(-0.25). The slope of the fC-mass relationship was shallower with a
significantly lower intercept for the species showing discontinuous gas exchange
than for those showing the cyclic pattern, probably due to lower metabolic rates
in the former. Insects therefore appear no different from other animals insofar
as the scaling of gas exchange fC is concerned, although gas exchange fC may
scale in distinct ways for different patterns.
PMID- 18055410
TI - Does parental age difference affect offspring count in humans? Comment on Fieder
and Huber.
PMID- 18055411
TI - Symbiont acquisition alters behaviour of stinkbug nymphs.
AB - In parasitic associations, the evolutionary interest of a symbiont contradicts
that of a host, which sometimes causes the phenomena so-called 'parasite
manipulation' wherein symbiont infection alters host behaviour to facilitate its
vertical/horizontal transmission. In mutualistic associations, meanwhile,
symbiont-induced alteration of host behaviour that enhances its transmission has
been little described. Here we report such a case in the stinkbug Megacopta
punctatissima associated with an obligate gut bacterium. When female stinkbugs
lay eggs, small particles called 'symbiont capsules' are deposited underside of
the egg mass. Newborn nymphs immediately acquire the symbiont from the capsule,
and then aggregate and become quiescent. By manipulating the levels of symbiont
supply to newborn nymphs experimentally, we demonstrated that (i) experimental
depletion of the symbiont resulted in the occurrence of wandering nymphs, (ii)
the less symbiont supply, the more wandering nymphs, and (iii) almost all
wandering nymphs were either symbiont-free or symbiont-depleted, whereas the
majority of resting nymphs were infected with sufficient titres of the symbiont.
These results strongly suggest that the nymphal behaviour is strongly influenced
by the success/failure of the symbiont acquisition, thereby ensuring transmission
of the essential symbiont and minimizing the energy and time spent for the
activity.
PMID- 18055412
TI - Parental aggression against dependent young results in task partitioning in a
cooperatively breeding bird.
AB - In cooperatively breeding species, helpers can alleviate reproductive constraints
by assuming the role of primary carers to first-born young, liberating breeders
to invest in subsequent broods. However, evidence on how first-born young are
transferred to helpers is currently lacking. We propose that breeder-offspring
aggression might facilitate inter-brood division and test this idea using data
from a wild population of cooperatively breeding pied babblers (Turdoides
bicolor). After second-brood young hatch, breeders become increasingly aggressive
to first-brood fledglings and attack them when they beg for food. After an
attack, fledglings reduce begging. Helpers are much less aggressive to begging
fledglings and fledglings subsequently tend to target helpers, rather than
breeders, when begging for food. In this way, first-born dependent young are
transferred to helpers, resulting in a partitioning of tasks among breeders and
helpers. Task partitioning in eusocial insects is thought to be determined by the
morphological or physiological characteristics of individuals. This complementary
study suggests that flexible behavioural strategies may also result in
specialized roles in cooperatively breeding vertebrates.
PMID- 18055413
TI - Early Palaeozoic dentine and patterned scales in the embryonic catshark tail.
AB - Regular scale patterning, restricted to the caudalmost tail and organized into
two opposing rows on each side of the tail, is observed in few chondrichthyans.
These evenly spaced scales, in dorsal and ventral rows, develop in an iterative
sequence from the caudal tip, either side of the notochord. They are subsequently
lost as a scattered pattern of placoid scales develops on the body and fins. An
identical organized pattern is observed in tail scales of Scyliorhinus canicula
(catshark), where the expression of sonic hedgehog signal is restricted to the
epithelium of developing scales and remains localized to the scale pocket.
Regulation of iterative scale position by sonic hedgehog is deeply conserved in
vertebrate phylogeny. These scales also reveal an archaic histological structure
of a dentine type found in the oldest known shark scales from the Ordovician and
Silurian. This combination of regulated pattern and ancient dentine occurs only
in the tail, representing the primary scalation. Scattered body scales in
elasmobranchs such as S. canicula originate secondarily from differently
regulated development, one with typical orthodentine around a central pulp
cavity. These observations emphasize the modular nature of chondrichthyan scale
development and illustrate previously undetected variation as an atavism in
extant chondrichthyan dentine.
PMID- 18055414
TI - Placoderm muscles and chordate interrelationships.
PMID- 18055415
TI - Optimal age difference cannot differ between monogamous males and females: a
comment on Fieder and Huber.
PMID- 18055416
TI - Polyphyletic origin of toxic Pitohui birds suggests widespread occurrence of
toxicity in corvoid birds.
AB - Pitohui birds from New Guinea have been found to contain a toxin otherwise only
found in neotropical poison arrow frogs. Pitohuis have been considered to be
monophyletic and thus toxicity is thought to have evolved once in birds. Here, we
show that Pitohuis, rather than being a tight-knit group, are polyphyletic and
represent several lineages among the corvoid families of passerine birds. This
finding demonstrates that the ability to be toxic is widespread among corvoid
birds and suggests that additional members of this radiation, comprising more
than 700 species, could prove to be toxic. It is postulated that toxic birds
ingest the toxin through their insect diet and excrete it through the uropygial
gland, from where it is applied to the skin and feathers. Thus, the ability to
become toxic is most likely an ancestral condition but variation in diet
determines the extent to which toxicity is expressed among corvoid birds.
Variability in toxicity levels further suggests that the main function of the
toxin is that of a deterrent against ectoparasites and bacterial infection rather
than being a defence against predators as initially proposed.
PMID- 18055417
TI - The effect of network mixing patterns on epidemic dynamics and the efficacy of
disease contact tracing.
AB - In networks, nodes may preferentially contact other nodes with similar
(assortatively mixed) or dissimilar (disassortatively mixed) numbers of contacts.
Different patterns of contact support different epidemic dynamics, potentially
affecting the efficacy of control measures such as contact tracing, which aims to
identify and isolate nodes with infectious contacts. We used stochastic
simulations to investigate the effects of mixing patterns on epidemic dynamics
and contact-tracing efficacy. For uncontrolled epidemics, outbreaks occur at
lower infection rates for more assortatively mixed networks, with faster initial
epidemic growth rate and shorter epidemic duration than for disassortatively
mixed networks. Contact tracing performs better for assortative mixing where
epidemic size is large and tracing rate low, but it performs better for
disassortative mixing at higher contact rates. For assortatively mixed networks,
disease spreads first to highly connected nodes, but this is balanced by contact
tracing quickly identifying these same nodes. The converse is true for
disassortative mixing, where both disease and tracing are less likely to target
highly connected nodes. For small epidemics, contact tracing is more effective on
disassortative networks due to the greater resilience of assortative networks to
link removal. Multi-step contact tracing is more effective than single-step
tracing for assortative mixing, but this effect is smaller for disassortatively
mixed networks.
PMID- 18055418
TI - Thyroid cancer in childhood: management strategy, including dosimetry and long
term results.
AB - Thyroid cancer, although very rare in childhood, represents the most common
pediatric endocrine neoplasia. The low incidence and the resulting limited
availability of prospective, randomized trials lead to a lack of evidence- based
recommendations for treatment strategies. Total thyroidectomy and
lymphadenectomy, when indicated, followed by ablative radioiodine treatment, are
considered the cornerstones of initial patient management which decrease the risk
of relapse. On the other hand, less aggressive treatment modalities should also
be aimed at due to the high life expectancy of this special patient group and the
potential impairment of the quality of life. These considerations have led to
individualized "tailored" therapeutic approaches based on prior risk
stratification. This article mainly deals with novel nuclear medicine concepts
for dosing regimens in radioiodine therapy.
PMID- 18055419
TI - The role of the Wnt signaling pathway in osteoblast commitment and
differentiation.
AB - Wnts are a large family of proteins that participate in an array of cellular
biological processes such as embryogenesis, organogenesis and tumor formation.
These proteins bind to membrane receptor complexes comprised of a frizzled (Fz) G
protein-coupled receptor and other membrane co-receptors forming molecular groups
that initiate, at least, three different intracellular signaling cascades leading
to nuclear generation of transcription factors which regulate various cellular
events. These events result in selective cellular differentiation, reduction or
inhibition of the apoptotic mechanisms or changes in the biologic behavior of
various cell lines. During the last decade, canonical Wnt signaling has been
shown to play a significant role in the control of osteoblastogenesis and bone
formation. In several clinical cases, mutations have been found in the Wnt
receptor complexes that are associated with changes in bone mineral density and
fractures. Loss-of-function mutations in LRP5 receptors cause, osteoporosis
pseudoglioma syndrome, while gain-of-function mutations in the same group lead to
high bone mass phenotypes. Furthermore, osteocytes secrete proteins such as
sclerostin, which blocks the membrane complex activation by Wnt, resulting in
inhibition of bone formation. Studies of knockout and transgenic mouse models for
Wnt pathway components have demonstrated that canonical signaling regulates most
aspects of osteoblast physiology including commitment, differentiation, bone
matrix formation/mineralization and apoptosis as well as coupling to
osteoclastogenesis and bone resorption. Future studies in this rapidly growing
area of research can possibly lead to the identification of targets of
pharmacological intervention useful in the management of osteoporosis. In the
present review we summarized the current knowledge related to the various
components of the Wnt signaling pathway, the ways they cooperate in inducing and
directing transcriptional functions as well as the interacting points with the
TGFbeta superfamily. We also outlined a probable working integrating model of the
mechanism of bone formation.
PMID- 18055420
TI - Ghrelin and leptin levels in obese adolescents. Relationship with body fat and
insulin resistance.
AB - OBJECTIVE: Ghrelin and leptin levels are influenced by body fat (BF%), pubertal
stage and possibly insulin resistance (IR). The aim of our study was: 1) To
compare fasting ghrelin and leptin levels between obese and non-obese,
adolescents, 2) to investigate possible correlations of these hormones with BF %,
as well as IR. DESIGN: Twenty obese insulin resistant (IR) adolescents, twenty
obese non IR (NIR) and fifteen healthy non-obese, age-matched adolescents were
studied. In all participants, height, weight, body mass index (BMI) and BF % were
measured. Fasting glucose, insulin, ghrelin and leptin levels were determined. IR
was assessed using HOMA-IR index. RESULTS: BMI, BF %, insulin and HOMA-IR values
were positively correlated with leptin and negatively with ghrelin levels. A
negative correlation between circulating leptin and ghrelin levels was found. A
suggestive positive correlation between leptin levels and BF %, independent of
BMI, was also observed (P=0.075). Ghrelin levels were significantly correlated
with insulin levels and HOMA-IR, independent of BMI (P=0.077). CONCLUSIONS:
Obesity and IR may play an important role in the release of ghrelin as well as in
the negative correlation between ghrelin and leptin.
PMID- 18055421
TI - Recombinant human thyroid stimulating hormone does not acutely change serum
osteoprotegerin and soluble receptor activator of nuclear factor-kappaBeta ligand
in patients under evaluation for differentiated thyroid carcinoma.
AB - OBJECTIVE: Some extra-thyroid actions of thyroid stimulating hormone (TSH), such
as an in vitro action on bone, have been described. Our aim was to evaluate in
vivo the acute effect of a recombinant human TSH (rhTSH)-induced TSH surge on
Osteoprotegerin (OPG) and receptor activator of the nuclear factor-kappaBeta
(RANK-L) levels in patients under levo-thyroxine (L-T4) therapy. DESIGN: 24
patients with differentiated thyroid carcinoma (DTC) were studied. Standard rhTSH
testing was performed. OPG, RANK-L, TSH, thyroid hormones, thyroglobulin and
several parameters of bone metabolism were evaluated. RESULTS: Baseline OPG and
RANK-L levels were in the range of our reference population. An inverse
correlation between OPG and spinal Z-score (p=0.029) and between RANK-L and age
(p=0.018) or urinary calcium/creatinine ratio (p=0.011) was detected. After rhTSH
administration, a significant (p<0.001) increase in TSH was found. No significant
increase in OPG or RANK-L levels after rhTSH was observed. No correlation was
detected between TSH peak value after rhTSH and maximal percentage change in OPG
or RANK-L. A slight increase in urinary cross-links after rhTSH was found.
CONCLUSIONS: In a small group of subjects with a history of DTC on L-T4 regimen,
our study did not support an acute direct effect of TSH on OPG and RANK-L.
PMID- 18055422
TI - Stimulation of the hypothalamic-pituitary-adrenal axis with corticotropin
releasing hormone in patients with psoriasis.
AB - OBJECTIVE: Psychocutaneous diseases constitute a large proportion of
psychosomatic disorders, with psoriasis being one of the most typical cases.
Though alteration of Hypothalamic-Pituitary-Adrenal (HPA) axis function has been
suggested as underlying several psychiatric disorders and psychosomatic diseases,
there is little evidence of reduced response of the HPA axis in psoriasis after
psychosocially induced laboratory stress. The aim of the study was to investigate
any alteration of the neuroendocrine profile of psoriatic patients. DESIGN: The
psoriatic patients (n=24) and the same number of matched controls underwent a CRH
test which consisted of 100 microg h-CRH IV infusion and drawing of blood samples
at 0 min and at 15, 30 and 60 min post h-CRH for measurement of plasma ACTH and
cortisol concentration. RESULTS: Mean plasma ACTH and cortisol levels in both
groups increased during the 60-min CRH test without significant difference. The
total secretion of plasma ACTH and serum cortisol estimated as Area Under the
Curve did not show significant difference between the groups either. CONCLUSIONS:
Contrary to previous studies no particular neuroendocrine profile of HPA axis
responsiveness was identified in psoriatic patients.
PMID- 18055423
TI - Ghrelin and the enteroinsular axis in healthy men.
AB - OBJECTIVE: Ghrelin, a potent stimulator of GH secretion, also acts as an
orexigenic hormone. Plasma ghrelin levels rise before meals with postprandial
reduction, suggesting that circulating levels of enteroinsular hormones might
influence ghrelin secretion. AIM: The aim of this study was to evaluate the
effects of ghrelin on enteroinsular hormones in healthy men. DESIGN: Three tests
were performed on 3 different days in 6 healthy men: On the first day, saline was
infused from 0-120 minutes followed by a ghrelin bolus (1 microg/kg)
administration (Test 1); on the second experimental day, GHRH was administered at
0 min, and a ghrelin bolus was given at 120 min (Test 2); on the third
experimental day, GHRP-6 was administered at 0 min, followed by a ghrelin bolus
at 120 min (Test 3). Plasma glucose, insulin, proinsulin, C-Peptide Glucagone
Like Peptide one (GLP-1) determined at 0, 15, 30, 60, 90, and 120 min of the test
period. RESULTS: There was a significant increase in AUC glucose (526.41+/-22.91
mmol . ml(-1) . min vs. 566.37+/-15.64 mmol . ml(-1) . min; p<0.05) and AUC
insulin (756.25+/-107.56 mU . ml(-1) . min vs. 981.62+/-180.32 mU . ml(-1) . min;
p<0.05) and a significant decrease in AUC GLP-1 (2346.87+/-874.28 pmol . ml(-1) .
min vs. 1769.5+/-784 pmol . ml(-1) . min; p<0.05) after ghrelin administration in
Test 1 compared to Test 3. There was a mild but non-significant increase in AUC
for insulin, proinsulin, and C-Peptide and a mild reduction in AUC GLP-1 after
every ghrelin administration. CONCLUSION: There was no evidence of a direct
effect of ghrelin administration on enteroinsular hormone levels in this study.
However, ghrelin may potentiate the glucose-insulin stimulatory effects of GHRP
6. More studies should be carried out for further evaluation of ghrelin
enteroinsular hormones interplay.
PMID- 18055424
TI - The alteration of serum soluble CD40 ligand levels in overt and subclinical
hypothyroidism.
AB - OBJECTIVE: There is controversy as to whether hypothyroidism increases
cardiovascular risk. The effect of levothyroxine on the cardiovascular risk
profile is also unclear. Recent studies suggest that there is evidence of
inflammation and endothelial dysfunction in hypothyroidism. Soluble CD40 ligand
(sCD40L) is a protein expressed mainly by activated platelets which have been
found to be associated with cardiovascular events. The aim of our study was to
investigate serum sCD40L levels and the effect of levothyroxine replacement on
sCD40L levels in overt and subclinical hypothyroidism. DESIGN: We assessed lipid
profile, serum sCD40L and hsCRP levels in 21 overt and 22 subclinical hypothyroid
age-matched female patients with chronic autoimmune thyroiditis at baseline and
one month after achieving euthyroidism by levothyroxine replacement, and compared
them with the data from 22, age-matched, healthy controls. RESULTS: Overt and
subclinical hypothyroid patients had decreased sCD40L levels compared to age
matched controls. The patients with subclinical hypothyroidism had slightly
increased hsCRP levels, but the result was not statistically significant. In
multiple regression analysis, FT3 and FT4 were found to be independent predictors
of sCD40L levels. After levothyroxine replacement, serum sCD40L levels increased
significantly in the patients with overt hypothyroidism. Although an increase was
also observed in the subclinical hypothyroid group, it was not statistically
significant. Levothyroxine replacement had no significant effect on hsCRP levels
in the patients with overt hypothyroidism. However, the subjects with subclinical
hypothyroidism showed a significant reduction in hsCRP levels after
levothyroxine. CONCLUSION: The values of sCD40L and hsCRP in our study suggest
that inflammatory pathways are complex and may be affected by different factors
in hypothyroidism.
PMID- 18055425
TI - Normal lumbar bone mineral density in optimally treated children and young
adolescents with beta-thalassaemia major.
AB - OBJECTIVE: Osteopenia/osteoporosis of multi-factorial pathogenetic mechanism is
reported to be a significant cause of morbidity in adult patients with beta
thalassaemia major. Even in young patients, decreased Bone Mineral Density (BMD)
values are a consistent finding in the literature. This study was performed in
order to assess BMD in children and young adults with beta-thalassaemia major,
regularly transfused and sufficiently chelated, along with auxological, clinical
and laboratory parameters. DESIGN: Thirty-five young thalassaemic patients (19 F,
16 M, aged 5-20 yr) were studied. Lumbar BMD was assessed by dual X-ray
absorptiometry (DXA) and Z-scores were calculated according to bone density
values using age- and sex-matched normal population. None of the patients
presented with clinical or laboratory signs of endocrinopathy and none was
receiving hormonal replacement therapy. RESULTS: All BMD Z-scores were within
normal range, with a mean Z-score of 0.42 for girls and -0.41 for boys
(statistically significant gender difference, p=0.018). When correlated with age,
a decline in Z-scores was observed, indicating a delay in bone mass acquisition
with advancing age in the thalassaemic group compared to controls. CONCLUSIONS:
Optimal conventional treatment prevents the manifestation of
osteopenia/osteoporosis during the first two decades of life in patients with
beta-thalassaemia major. However, close surveillance with regular screening,
preventive intervention and early management of possible endocrine complications
are essential in order to secure normal bone health during adulthood and improve
quality of life in the thalassaemic population.
PMID- 18055426
TI - Tadeus Reichstein, co-winner of the Nobel Prize for Physiology or Medicine: on
the occasion of the 110th anniversary of his birth in Poland.
AB - Tadeus Reichstein (1897-1996) was the first scientist born in Poland to receive
the Nobel Prize in Medicine or Physiology (1950) for the "discovery of hormones
of the adrenal cortex, their structure and biological effects", as stated by the
Nobel Prize Committee. His family being deeply devoted to Polish cultural and
historical heritage, his first name was given to him after Tadeus Kosciuszko, a
chief commander of the 18th century Polish uprising named the Kosciuszko
Insurrection. As a child, he emigrated with his family to Switzerland, where he
was much later to become involved in numerous research studies on steroids on an
international scale. It was Tadeus Reichstein who isolated and synthesized
desoxycorticosterone, which still remains the drug of first choice in the
treatment of Addison's disease. Additionally, thanks to his strategy for the mass
production of Vitamin C, the cost of this agent was drastically reduced thus
enabling its widespread therapeutic use. In our divided world so often torn by
tremendous conflicts, there is a great need to both remember and commemorate such
distinguished people as Tadeus Reichstein who, despite the apparent "borders"
between different nationalities and cultures, have demonstrated through their
work the huge need for harmonious collaboration in the development of science.
PMID- 18055427
TI - Biophysical controls on rhizospheric and heterotrophic components of soil
respiration in a boreal black spruce stand.
AB - We conducted a root-exclusion experiment in a 125-year-old boreal black spruce
(Picea mariana (Mill.) BSP) stand in 2004 to quantify the physical and biological
controls on temporal dynamics of the rhizospheric (R(r)) and heterotrophic (R(h))
components of soil respiration (R(s)). Annual R(r), R(h) and estimated moss
respiration were 285, 269 and 57 g C m(-2) year(-1), respectively, which
accounted for 47, 44 and 9% of R(s) (611 g C m(-2) year(-1)), respectively. A
gradual transition from R(h)-dominated (winter, spring and fall) to R(r)
dominated (summer) respiration was observed during the year. Soil thawing in
spring and the subsequent increase in soil water content (theta) induced a small
and sustained increase in R(h) but had no effect on R(r). During the remainder of
the growing season, no effect of theta was observed on either component of R(s).
Both components increased exponentially with soil temperature (T(s)) during the
growing season, but R(r) showed greater temperature sensitivity than R(h) (Q(10)
of 4.0 and 3.0, respectively). Temperature-normalized variations in R(r) were
highly correlated with eddy covariance estimates of gross ecosystem
photosynthesis, and the correlation was greatest when R(r) was lagged by 24 days.
Within diurnal cycles, variations in T(s) were highly coupled to variations in
R(h) but were significantly decoupled from R(r). The patterns observed at both
time scales strongly suggest that the flow of photosynthates to the rhizosphere
is a key driver of belowground respiration processes but that photosynthate
supply may control these processes in several ways.
PMID- 18055428
TI - Responses of Acer saccharum canopy trees and saplings to P, K and lime additions
under high N deposition.
AB - Heavy atmospheric nitrogen (N) deposition has been associated with altered
nutrient cycling, and even N saturation, in forest ecosystems previously thought
to be N-limited. This observation has prompted application to such forests of non
N mineral nutrients as a mitigation measure. We examined leaf gas-exchange, leaf
chemistry and leaf and shoot morphological responses of Acer saccharum Marsh.
saplings and mature trees to experimental additions of non-nitrogenous mineral
nutrients (dolomitic lime, phosphorus + potassium (P + K) and lime plus P + K)
over 2 years in the Haliburton region of central Ontario, which receives some of
the largest annual N inputs in North America. Nutrients were adsorbed in the
mineral soil and taken up by A. saccharum trees within 1 year of fertilizer
application; however, contrary to expectation, liming had no effect on soil P
availability. Saplings and canopy trees showed significant responses to both P +
K fertilization and liming, including increased foliar nutrient concentration,
leaf size and shoot extension growth; however, no treatment effects on leaf gas
exchange parameters were detected. Increases in shoot extension preceded
increases in diameter growth in saplings and canopy trees. Vector analysis of
shoot extension growth and nutrient content was consistent with sufficiency of N
but marked limitation of P, with co-limitation by calcium (Ca) in saplings and by
Ca, Mg and K in canopy trees.
PMID- 18055429
TI - Retranslocation of foliar nutrients in evergreen tree species planted in a
Mediterranean environment.
AB - Internal nutrient recycling through retranslocation (resorption) is important for
meeting the nutrient demands of new tissue production in trees. We conducted a
comparative study of nutrient retranslocation from leaves of five tree species
from three genera grown in plantation forests for commercial or environmental
purposes in southern Australia--Acacia mearnsii De Wild., Eucalyptus globulus
Labill., E. fraxinoides H. Deane & Maiden, E. grandis W. Hill ex Maiden and Pinus
radiata D. Don. Significant amounts of nitrogen, phosphorus and potassium were
retranslocated during three phases of leaf life. In the first phase,
retranslocation occurred from young leaves beginning 6 months after leaf
initiation, even when leaves were physiologically most active. In the second
phase, retranslocation occurred from mature green leaves during their second
year, and in the third phase, retranslocation occurred during senescence before
leaf fall. Nutrient retranslocation occurred mainly in response to new shoot
production. The pattern of retranslocation was remarkably similar in the leaves
of all study species (and in the phyllodes of Casuarina glauca Sieber ex
Spreng.), despite their diverse genetics, leaf forms and growth rates. There was
no net retranslocation of calcium in any of the species. The amounts of nutrients
at the start of each pre-retranslocation phase had a strong positive relationship
with the amounts subsequently retranslocated, and all species fitted a common
relationship. The percentage reduction in concentration or content
(retranslocation efficiency) at a particular growth phase is subject to many
variables, even within a species, and is therefore not a meaningful measure of
interspecific variation. It is proposed that the pattern of retranslocation and
its governing factors are similar among species in the absence of interspecies
competition for growth and crown structure which occurs in mixed species stands.
PMID- 18055430
TI - Biophysical constraints on leaf expansion in a tall conifer.
AB - The physiological mechanisms responsible for reduced extension growth as trees
increase in height remain elusive. We evaluated biophysical constraints on leaf
expansion in old-growth Douglas-fir (Pseudotsuga menziesii (Mirb.) Franco) trees.
Needle elongation rates, plastic and elastic extensibility, bulk leaf water
(Psi(L)) and osmotic (Psi(pi)) potential, bulk tissue yield threshold and final
needle length were characterized along a height gradient in crowns of > 50-m-tall
trees during the period between bud break and full expansion (May to June).
Although needle length decreased with increasing height, there was no height
related trend in leaf plastic extensibility, which was highest immediately after
bud break (2.9%) and declined rapidly to a stable minimum value (0.3%) over a 3
week period during which leaf expansion was completed. There was a significant
positive linear relationship between needle elongation rates and plastic
extensibility. Yield thresholds were consistently lower at the upper and middle
crown sampling heights. The mean yield threshold across all sampling heights was
0.12 +/- 0.03 MPa on June 8, rising to 0.34 +/- 0.03 MPa on June 15 and 0.45 +/-
0.05 MPa on June 24. Bulk leaf Psi(pi) decreased linearly with increasing height
at a rate of 0.004 MPa m(-1) during the period of most rapid needle elongation,
but the vertical osmotic gradient was not sufficient to fully compensate for the
0.015 MPa m(-1) vertical gradient in Psi(L), implying that bulk leaf turgor
declined at a rate of about 0.011 MPa m(-1) increase in height. Although height
dependent reductions in turgor appeared to constrain leaf expansion, it is
possible that the impact of reduced turgor was mitigated by delayed phenological
development with increasing height, which resulted in an increase with height in
the temperature during leaf expansion.
PMID- 18055431
TI - Seasonal carbohydrate storage and mobilization in bearing and non-bearing
pistachio (Pistacia vera) trees.
AB - We analyzed annual carbohydrate storage and mobilization of bearing ("on") and
non-bearing ("off") 'Kerman' pistachio (Pistacia vera L.) trees growing on three
different rootstocks. On all rootstocks, carbohydrate storage in shoots and
branches of "on" and "off" trees was lowest following the spring growth flush. In
"off" trees, stored carbohydrates increased and remained high after the initial
growth flush. In "on" trees, stem carbohydrates increased temporarily in early
summer, but were mobilized in mid-season during kernel fill, and then increased
again after nut harvest. During the dormant season, the only substantial
differences in carbohydrate storage between previously "on" and "off" trees were
found in the roots of the weakest rootstock. The annual carbohydrate storage and
mobilization pattern in canopy branches of heavily cropped pistachio trees
appeared to be driven by carbohydrate demands related to nut development and
untempered by tree vigor. Mobilization of carbohydrates from current-season and 1
and 2-year-old stem wood of "on" trees during the primary period of kernel fill
corresponded with the period of inflorescence bud abscission. Thus, the alternate
bearing pattern associated with inflorescence bud abscission in 'Kerman'
pistachio may be a function of mid-season mobilization of stored carbohydrates in
current-season stems resulting in stimulation of inflorescence bud abscission.
PMID- 18055432
TI - Sucrose (JrSUT1) and hexose (JrHT1 and JrHT2) transporters in walnut xylem
parenchyma cells: their potential role in early events of growth resumption.
AB - In temperate woody species, the vegetative growth period is characterized by
active physiological events (e.g., bud break), which require an adequate supply
of soluble sugars imported in the xylem sap stream. One-year-old shoots of walnut
(Juglans regia L. cv. 'Franquette') trees, which have an acrotonic branching
pattern (only apical and distal vegetative buds burst), were used to study the
regulation of xylem sugar transporters in relation to bud break. At the end of
April (beginning of bud break), a higher xylem sap sucrose concentration and a
higher active sucrose uptake by xylem parenchyma cells were found in the apical
portion (bearing buds able to burst) than in the basal portion (bearing buds
unable to burst) of the sample shoots. At the same time, xylem parenchyma cells
of the apical portion of the shoots exhibited greater amounts of both transcripts
and proteins of JrSUT1 (Juglans regia putative sucrose transporter 1) than those
of the basal stem segment. Conversely, no pronounced difference was found for
putative hexose transporters JrHT1 and JrHT2 (Juglans regia hexose transporters 1
and 2). These findings demonstrate the high capacity of bursting vegetative buds
to import sucrose. Immunological analysis revealed that sucrose transporters were
localized in all parenchyma cells of the xylem, including vessel-associated
cells, which are highly specialized in nutrient exchange. Taken together, our
results indicate that xylem parenchyma sucrose transporters make a greater
contribution than hexose transporters to the imported carbon supply of bursting
vegetative buds.
PMID- 18055433
TI - Age-related trends in red spruce foliar plasticity in relation to declining
productivity.
AB - Phenotypic plasticity in needle morphology with increasing tree size and age was
investigated by comparing four age classes of red spruce (Picea rubens Sarg.)
ranging from juvenile (3-12 years old) to mature (over 100 years old). With
increase in tree age there were significant increases in leaf mass per unit area
(LMA), mesophyll and vascular bundle area as a percentage of total needle cross
sectional area, and stomatal density. Within the vascular bundle, both xylem
cross-sectional area and tracheid lumen area increased significantly, whereas air
space as a percentage of total cross-sectional area decreased. These
morphological changes were associated with a significant decrease in
photosynthetic capacity and stomatal conductance, and an increase in (13)C
enrichment. Although both photosynthetic capacity and whole-tree conductance
decreased significantly between age classes 3 and 12 years, they did not differ
between age classes 53 and 127 years, even though needle (13)C enrichment was
significantly greater in the 127-year age class. Thus there appear to be
compensatory mechanisms that maintain photosynthetic capacity as trees increase
in size and vascular complexity, which in red spruce and other species, may
affect leaf hydraulic conductance. Although increased LMA may contribute to
reduced photosynthetic capacity in red spruce, similar relationships are not seen
in other conifers.
PMID- 18055434
TI - Tree growth and management in Ugandan agroforestry systems: effects of root
pruning on tree growth and crop yield.
AB - Tree root pruning is a potential tool for managing belowground competition when
trees and crops are grown together in agroforestry systems. We investigated the
effects of tree root pruning on shoot growth and root distribution of Alnus
acuminata (H.B. & K.), Casuarina equisetifolia L., Grevillea robusta A. Cunn. ex
R. Br., Maesopsis eminii Engl. and Markhamia lutea (Benth.) K. Schum. and on
yield of adjacent crops in sub-humid Uganda. The trees were 3 years old at the
commencement of the study, and most species were competing strongly with crops.
Tree roots were pruned 41 months after planting by cutting and back-filling a
trench to a depth of 0.3 m, at a distance of 0.3 m from the trees, on one side of
the tree row. The trench was reopened and roots recut at 50 and 62 months after
planting. We assessed the effects on tree growth and root distribution over a 3
year period, and crop yield after the third root pruning at 62 months. Overall,
root pruning had only a slight effect on aboveground tree growth: height growth
was unaffected and diameter growth was reduced by only 4%. A substantial amount
of root regrowth was observed by 11 months after pruning. Tree species varied in
the number and distribution of roots, and C. equisetifolia and M. lutea had
considerably more roots per unit of trunk volume than the other species,
especially in the surface soil layers. Casuarina equisetifolia and M. eminii were
the tree species most competitive with crops and G. robusta and M. lutea the
least competitive. Crop yield data provided strong evidence of the redistribution
of root activity following root pruning, with competition increasing on the
unpruned side of tree rows. Thus, one-sided root pruning will be useful in only a
few circumstances.
PMID- 18055435
TI - Carbon gain and bud physiology in Populus tremuloides and Betula papyrifera grown
under long-term exposure to elevated concentrations of CO2 and O3.
AB - Paper birch (Betula papyrifera Marsh.) and three trembling aspen clones (Populus
tremuloides Michx.) were studied to determine if alterations in carbon gain in
response to an elevated concentration of CO(2) ([CO(2)]) or O(3) ([O(3)]) or a
combination of both affected bud size and carbohydrate composition in autumn, and
early leaf development in the following spring. The trees were measured for gas
exchange, leaf size, date of leaf abscission, size and biochemical
characteristics of the overwintering buds and early leaf development during the
8th-9th year of free-air CO(2) and O(3) exposure at the Aspen FACE site located
near Rhinelander, WI. Net photosynthesis was enhanced 49-73% by elevated [CO(2)],
and decreased 13-30% by elevated [O(3)]. Elevated [CO(2)] delayed, and elevated
[O(3)] tended to accelerate, leaf abscission in autumn. Elevated [CO(2)]
increased the ratio of monosaccharides to di- and oligosaccharides in aspen buds,
which may indicate a lag in cold acclimation. The total carbon concentration in
overwintering buds was unaffected by the treatments, although elevated [O(3)]
decreased the amount of starch by 16% in birch buds, and reduced the size of
aspen buds, which may be related to the delayed leaf development in aspen during
the spring. Elevated [CO(2)] generally ameliorated the effects of elevated
[O(3)]. Our results show that both elevated [CO(2)] and elevated [O(3)] have the
potential to alter carbon metabolism of overwintering buds. These changes may
cause carry-over effects during the next growing season.
PMID- 18055436
TI - Traumatic resin ducts in Larix decidua stems impacted by debris flows.
AB - Following mechanical injury, stems of many conifers produce tangential rows of
traumatic resin ducts (TRDs), the distribution of which has been used to date
geomorphic events. However, little is known about how far TRD formation extends
tangentially and axially from the point of injury or what the time course of TRD
appearance is. We analyzed 28 injuries in eight Larix decidua Mill. tree stems
resulting from debris flows in October 2000 and November 2004. Injuries occurred
outside the period of cambial activity, and TRD formation occurred in the first
layers of the growth ring formed in the year following that of injury. The axial
extent of TRD formation averaged 74 cm and was greater above the injury than
below it. At the height of the wound center, TRDs extended horizontally to a mean
of 18% of the stem circumference excluding that portion where the cambium had
been destroyed. In subsequent growth rings, TRDs, if present, were confined
mainly to the height of the center of injury. Both the vertical and horizontal
extent of TRD formation was related to the injury size. Within growth rings, the
position of TRD formation changed with increasing distance from the wound
progressing from early earlywood to later portions of the growth ring.
PMID- 18055437
TI - Predicting the decline in daily maximum transpiration rate of two pine stands
during drought based on constant minimum leaf water potential and plant hydraulic
conductance.
AB - The effect of drought on forest water use is often estimated with models, but
comprehensive models require many parameters, and simple models may not be
sufficiently flexible. Many tree species, Pinus species in particular, have been
shown to maintain a constant minimum leaf water potential above the critical
threshold for xylem embolism during drought. In such cases, prediction of the
relative decline in daily maximum transpiration rate with decreasing soil water
content is relatively straightforward. We constructed a soil-plant water flow
model assuming constant plant conductance and daily minimum leaf water potential,
but variable conductance from soil to root. We tested this model against
independent data from two sites: automatic shoot chamber data and sap flow
measurements from a boreal Scots pine (Pinus sylvestris L.) stand; and sap flow
measurements from a maritime pine (Pinus pinaster Ait.) stand. To focus on soil
limitations to water uptake, we expressed daily maximum transpiration rate
relative to the rate that would be obtained in wet soil with similar
environmental variables. The comparison was successful, although the maritime
pine stand showed carry-over effects of the drought that we could not explain.
For the boreal Scots pine stand, daily maximum transpiration was best predicted
by water content of soil deeper than 5 cm. A sensitivity analysis revealed that
model predictions were relatively insensitive to the minimum leaf water
potential, which can be accounted for by the importance of soil resistance of
drying soil. We conclude that a model with constant plant conductance and minimum
leaf water potential can accurately predict the decline in daily maximum
transpiration rate during drought for these two pine stands, and that including
further detail about plant compartments would add little predictive power, except
in predicting recovery from severe drought.
PMID- 18055438
TI - Annual and seasonal variations in photosynthetic capacity of Fagus crenata along
an elevation gradient in the Naeba Mountains, Japan.
AB - Canopy photosynthetic capacity, measured as leaf maximum carboxylation rate (V
(cmax)), is a key factor in ecosystem gas exchange models applied at different
scales. We report seasonal and interannual variations in V(cmax) of natural beech
stands (Fagus crenata Blume) along an altitudinal gradient in the temperate
climate zone of Japan. Estimates are based on 6 years of gas exchange
measurements. Pronounced seasonal and interannual variations in V(cmax)
normalized to 25 degrees C (V(c,25)) were found for sun leaves. The seasonal
pattern of V(c,25) generally followed an inverse parabolic curve, with an
increase in spring, peak values in the middle of the growth period and a decline
in autumn. Leaf nitrogen concentration (N(l)) and leaf mass per area were
significantly related to V(c,25) during spring and summer, but were unrelated in
autumn when V(c,25) declined. Annual peak V(c,25) ranged from 40.1 to 97.0
micromol m(-2) s(-1) and varied over as much as a twofold range at a particular
site. Annual peak V(c,25) occurred about 28 days before annual peak N(l), with
which it was poorly related. Our results show that it can be inappropriate to
include constant values of photosynthetic parameters in ecosystem gas exchange
models.
PMID- 18055439
TI - Changes in petiole hydraulic properties and leaf water flow in birch and oak
saplings in a CO2-enriched atmosphere.
AB - Water relations in woody species are intimately related to xylem hydraulic
properties. High CO(2) concentrations ([CO(2)]) generally decrease transpiration
and stomatal conductance (g(s)), but there is little information about the effect
of atmospheric [CO(2)] on xylem hydraulic properties. To determine the
relationship between water flow and hydraulic structure at high [CO(2)], we
investigated responses of sun and shade leaves of 4-year-old saplings of diffuse
porous Betula maximowicziana Regel and ring-porous Quercus mongolica Fisch. ex
Ledeb. ssp. crispula (Blume) Menitsky grown on fertile brown forest soil or
infertile volcanic ash soil and exposed to 500 micromol CO(2) mol(-1) for 3
years. Regardless of species and soil type, elevated [CO(2)] consistently
decreased water flow (i.e., g(s) and leaf-specific hydraulic conductivity) and
total vessel area of the petiole in sun leaves; however, it had no effect on
these parameters in shade leaves, perhaps because g(s) of shade leaves was
already low. Changes in water flow at elevated [CO(2)] were associated with
changes in petiole hydraulic properties.
PMID- 18055440
TI - Genotypic variation and phenotypic plasticity in the drought response of fine
roots of European beech.
AB - How temperate trees respond to drier summers, as predicted by climate change
models for parts of Europe and eastern North America, will depend on the drought
susceptibility of the root systems. We investigated the importance of the genetic
constitution for the belowground drought response of European beech (Fagus
sylvatica L.), in four populations from regions differing in precipitation (520
970 mm year(-1)). Saplings were grown at ample (10 vol.%; well-watered) or
reduced (5 vol.%; drought treatment) soil water content in the Gottingen Rhizolab
Facility for two consecutive summers, and the responses of fine root biomass,
root morphology, root depth distribution, and fine root production and turnover
were investigated by a combined mini-rhizotron and harvest technique approach. In
the drought treatment, total root mass per plant was reduced by 30-40% as a
result of: (1) a reduction in median fine root lifespan by roughly 50% and hence
an increase in fine root turnover; and (2) a 10-fold reduction in relative fine
root growth rate (productivity per standing root biomass). The root:shoot ratio
did not increase with drought. Although beech plants originating from drier
climates tended to reduce their root biomass in response to drought less than
those from wetter climates, analyses of variance revealed no significant
influence of genotype on root mass, morphology, growth rate or turnover. However,
most fine root traits showed marked differences between the well-watered and
drought treatments. We conclude that beech saplings respond to summer drought
primarily by shortening root lifespan, whereas root system structure and
root:shoot carbon partitioning pattern are unaltered. Beech fine root growth and
turnover exhibited high phenotypic plasticity, but genotypic variation was of
minor importance. In contrast, genotype had a strong influence on leaf and shoot
morphogenesis and growth.
PMID- 18055441
TI - Climatic control of bud burst in young seedlings of nine provenances of Norway
spruce.
AB - Detailed knowledge of temperature effects on the timing of dormancy development
and bud burst will help evaluate the impacts of climate change on forest trees.
We tested the effects of temperature applied during short-day treatment, duration
of short-day treatment, duration of chilling and light regime applied during
forcing on the timing of bud burst in 1- and 2-year-old seedlings of nine
provenances of Norway spruce (Picea abies (L.) Karst.). High temperature during
dormancy induction, little or no chilling and low temperature during forcing all
delayed dormancy release but did not prevent bud burst or growth onset provided
the seedlings were forced under long-day conditions. Without chilling, bud burst
occurred in about 20% of seedlings kept in short days at 12 degrees C, indicating
that young Norway spruce seedlings do not exhibit true bud dormancy. Chilling
hastened bud burst and removed the long photoperiod requirement, but the effect
of high temperature applied during dormancy induction was observed even after
prolonged chilling. Extension of the short-day treatment from 4 to 8 or 12 weeks
hastened bud burst. The effect of treatments applied during dormancy development
was larger than that of provenance; in some cases no provenance effect was
detected, but in 1-year-old seedlings, time to bud burst decreased linearly with
increasing latitude of origin. Differences among provenances were complicated by
different responses of some origins to light conditions under long-day forcing.
In conclusion, timing of bud burst in Norway spruce seedlings is significantly
affected by temperature during bud set, and these effects are modified by
chilling and environmental conditions during forcing.
PMID- 18055442
TI - Reprogramming battle: Egg vs. Virus.
PMID- 18055443
TI - Downregulation of multiple stress defense mechanisms during differentiation of
human embryonic stem cells.
AB - Evolutionary theory predicts that cellular maintenance, stress defense, and DNA
repair mechanisms should be most active in germ line cells, including embryonic
stem cells that can differentiate into germ line cells, whereas it would be
energetically unfavorable to keep these up in mortal somatic cells. We tested
this hypothesis by examining telomere maintenance, oxidative stress generation,
and genes involved in antioxidant defense and DNA repair during spontaneous
differentiation of two human embryonic stem cell lines. Telomerase activity was
quickly downregulated during differentiation, probably due to deacetylation of
histones H3 and H4 at the hTERT promoter and deacetylation of histone H3 at hTR
promoter. Telomere length decreased accordingly. Mitochondrial superoxide
production and cellular levels of reactive oxygen species increased as result of
increased mitochondrial biogenesis. The expression of major antioxidant genes was
downregulated despite this increased oxidative stress. DNA damage levels
increased during differentiation, whereas expression of genes involved in
different types of DNA repair decreased. These results confirm earlier data
obtained during mouse embryonic stem cell differentiation and are in accordance
with evolutionary predictions.
PMID- 18055444
TI - Identification of a novel putative gastrointestinal stem cell and adenoma stem
cell marker, doublecortin and CaM kinase-like-1, following radiation injury and
in adenomatous polyposis coli/multiple intestinal neoplasia mice.
AB - In the gut, tumorigenesis arises from intestinal or colonic crypt stem cells.
Currently, no definitive markers exist that reliably identify gut stem cells.
Here, we used the putative stem cell marker doublecortin and CaM kinase-like-1
(DCAMKL-1) to examine radiation-induced stem cell apoptosis and adenomatous
polyposis coli (APC)/multiple intestinal neoplasia (min) mice to determine the
effects of APC mutation on DCAMKL-1 expression. Immunoreactive DCAMKL-1 staining
was demonstrated in the intestinal stem cell zone. Furthermore, we observed
apoptosis of the cells negative for DCAMKL-1 at 6 hours. We found DNA damage in
all the cells in the crypt region, including the DCAMKL-1-positive cells. We also
observed stem cell apoptosis and mitotic DCAMKL-1-expressing cells 24 hours after
irradiation. Moreover, in APC/min mice, DCAMKL-1-expressing cells were negative
for proliferating cell nuclear antigen and nuclear beta-catenin in normal
appearing intestine. However, beta-catenin was nuclear in DCAMKL-1-positive cells
in adenomas. Thus, nuclear translocation of beta-catenin distinguishes normal and
adenoma stem cells. Targeting DCAMKL-1 may represent a strategy for developing
novel chemotherapeutic agents.
PMID- 18055445
TI - Constitutive expression of the ATP-binding cassette transporter ABCG2 enhances
the growth potential of early human hematopoietic progenitors.
AB - The ATP-binding cassette transporter, ABCG2, is a molecular determinant of the
side population phenotype, which is enriched for stem and progenitor cells in
various nonhematopoietic and hematopoietic tissues. ABCG2 is highly expressed in
hematopoietic progenitors and silenced in differentiated hematopoietic cells,
suggesting a role of ABCG2 in early hematopoiesis. To test whether ABCG2 is
involved in human hematopoietic development, we retrovirally transduced umbilical
cord blood-derived early hematopoietic cells and analyzed hematopoiesis in vitro
and in vivo. ABCG2 increased the number of clonogenic progenitors in vitro,
including the most primitive colony-forming unit-granulocyte, erythroid,
macrophage, megakaryocyte, by twofold (n = 14; p < .0005). Furthermore, ABCG2
induced a threefold increase in the replating capacity of primary colonies (n =
9; p < .01). In addition, ABCG2 impaired the development of CD19+ lymphoid cells
in vitro. In transplanted NOD/SCID mice, the ATP-binding cassette transporter
decreased the number of human B-lymphoid cells, resulting in an inversion of the
lymphoid/myeloid ratio. ABCG2 enhanced the proportion of CD34+ progenitor cells
in vivo (n = 4; p < .05) and enhanced the most primitive human progenitor pool,
as determined by limiting dilution competitive repopulating unit assay (p <
.034). Our data characterize ABCG2 as a regulatory protein of early human
hematopoietic development.
PMID- 18055446
TI - Connexin expression and functional analysis of gap junctional communication in
mouse embryonic stem cells.
AB - Gap junctional intercellular communication (GJIC) has been suggested to be
necessary for cellular proliferation and differentiation. We wanted to
investigate the function of GJIC in mouse embryonic stem (ES) cells using
pharmacological inhibitors or a genetic approach to inhibit the expression of
connexins, that is, the subunit proteins of gap junction channels. For this
purpose, we have analyzed all known connexin genes in mouse ES cells but found
only three of them, Cx31, Cx43, and Cx45, to be expressed as proteins. We have
demonstrated by coimmunoprecipitation that Cx31 and Cx43, as well as Cx43 and
Cx45, probably form heteromeric gap junction channels, whereas Cx31 and Cx45 do
not. The pharmacological inhibitors reduced GJIC between ES cells to
approximately 3% and initiated apoptosis, suggesting an antiapoptotic effect of
GJIC. In contrast to these results, reduction of GJIC to approximately 5% by
decreased expression of Cx31 or Cx45 via RNA interference in homozygous Cx43
deficient ES cells did not lead to apoptosis. Additional studies suggested that
apoptotic death of ES cells and adult stem cells reported in the literature is
likely due to a cytotoxic side effect of the inhibitors and not due to a decrease
of GJIC. Using the connexin expression pattern in mouse ES cells, as determined
in this study, multiple connexin-deficient ES cells can now be genetically
engineered in which the level of GJIC is further decreased, to clarify whether
the differentiation of ES cells is qualitatively or quantitatively compromised.
PMID- 18055447
TI - Widespread nonhematopoietic tissue distribution by transplanted human progenitor
cells with high aldehyde dehydrogenase activity.
AB - Transplanted adult progenitor cells distribute to peripheral organs and can
promote endogenous cellular repair in damaged tissues. However, development of
cell-based regenerative therapies has been hindered by the lack of preclinical
models to efficiently assess multiple organ distribution and difficulty defining
human cells with regenerative function. After transplantation into beta
glucuronidase (GUSB)-deficient NOD/SCID/mucopolysaccharidosis type VII mice, we
characterized the distribution of lineage-depleted human umbilical cord blood
derived cells purified by selection using high aldehyde dehydrogenase (ALDH)
activity with CD133 coexpression. ALDH(hi) or ALDH(hi)CD133+ cells produced
robust hematopoietic reconstitution and variable levels of tissue distribution in
multiple organs. GUSB+ donor cells that coexpressed human leukocyte antigen (HLA
A,B,C) and hematopoietic (CD45+) cell surface markers were the primary cell
phenotype found adjacent to the vascular beds of several tissues, including islet
and ductal regions of mouse pancreata. In contrast, variable phenotypes were
detected in the chimeric liver, with HLA+/CD45+ cells demonstrating robust GUSB
expression adjacent to blood vessels and CD45-/HLA- cells with diluted GUSB
expression predominant in the liver parenchyma. However, true nonhematopoietic
human (HLA+/CD45-) cells were rarely detected in other peripheral tissues,
suggesting that these GUSB+/HLA-/CD45- cells in the liver were a result of
downregulated human surface marker expression in vivo, not widespread seeding of
nonhematopoietic cells. However, relying solely on continued expression of cell
surface markers, as used in traditional xenotransplantation models, may
underestimate true tissue distribution. ALDH-expressing progenitor cells
demonstrated widespread and tissue-specific distribution of variable cellular
phenotypes, indicating that these adult progenitor cells should be explored in
transplantation models of tissue damage.
PMID- 18055448
TI - Distinct effects of the soluble versus membrane-bound forms of the notch ligand
delta-4 on human CD34+CD38low cell expansion and differentiation.
AB - Although Notch ligands are considered to activate signaling through direct cell
cell contact, the existence of soluble forms has been demonstrated. However,
their roles remain controversial: soluble forms have been reported to mimic the
biological activity of membrane-bound form, whereas other studies rather
suggested an antagonistic activity toward their full-length counterparts. We
previously observed that membrane-bound Delta4-expressing S17 stroma (mbD4/S17)
reduced human CD34+CD38(low) cell proliferation and favored self-renewal. Here,
we assessed the effects of a soluble form of Delta4 (solD4) by exposing
CD34+CD38(low) cells to S17 feeders engineered to express solD4 (solD4/S17). In
contrast to mbD4/S17, (a) solD4/S17 increased 10-fold cell production after 2
weeks, through enhanced cell proliferation, and (b) it did not preserve colony
forming cell and long-term culture-initiating cell potential of output CD34+
cells. mbD4 and solD4 appeared to also differ in their signaling. Indeed, mbD4,
but not solD4, strongly activated both CSL (the nuclear mediator of Notch
signaling) in Hela cells overexpressing Notch1 and transcription of some classic
Notch target genes in CD34+CD38(low) cells. Furthermore, both biological effects
and CSL activation elicited by mbD4 were strictly dependent upon the gamma
secretase complex, whereas solD4 enhanced cell expansion in a partially gamma
secretase-independent manner. Altogether, these results suggest that part of
solD4 activity did not rely upon canonical Notch pathway.
PMID- 18055449
TI - Cell-cell signaling through NOTCH regulates human embryonic stem cell
proliferation.
AB - Unlike pluripotent mouse embryonic stem (ES) cells, human ES cells and their
malignant equivalents, embryonal carcinoma (EC) cells, require close cell-cell
contact for efficient growth. Signaling through the NOTCH receptor, initiated by
interaction with ligands of the DELTA/JAGGED family expressed on neighboring
cells, plays a role in regulating the self-renewal of several stem cell systems.
Members of the NOTCH and DELTA/JAGGED families are expressed by human EC and ES
cells, and we have therefore investigated the possible role of NOTCH in the
maintenance of these cells. Cleavage of both NOTCH1 and NOTCH2 to yield the
intracellular domain responsible for the canonical signaling pathway of NOTCH was
detected in several human EC and ES cell lines, suggesting that NOTCH signaling
is active. Furthermore, the proliferation of human EC cells, as well as the
expression of several downstream NOTCH target genes, was markedly reduced after
small interfering RNA knockdown of NOTCH1, NOTCH2, and the canonical effector CBF
1 or after blocking NOTCH signaling with the gamma-secretase inhibitor L-685,458.
The inhibitor also caused a reduction in the growth of human ES cells, although
without evidence of differentiation. The results indicate that cell-cell
signaling through the NOTCH system provides a critical cue for the proliferation
of human EC and ES cell in vitro.
PMID- 18055450
TI - Characterization of adult prostatic progenitor/stem cells exhibiting self-renewal
and multilineage differentiation.
AB - Demonstration of the hallmarks of stem cells, self-renewal and multilineage
differentiation, is a challenge that has not been met for numerous tissues
postulated to possess adult stem cells, including prostate tissue. Using a
defined medium, we reproducibly isolated and maintained adult mouse prostatic
cells with characteristics of progenitor/stem cells. Clonal populations of cells
demonstrated tissue-specific multilineage differentiation by their ability to
generate organized prostatic ductal structures in vivo, with luminal and basal
cell layers, when grafted under the renal capsules of mice in the presence of
fetal rat urogenital mesenchyme. Complete differentiation was demonstrated by the
expression and secretion of terminally differentiated prostatic secretory
products into the lumens. Self-renewal was demonstrated by serial transplantation
of clonal populations that generated fully differentiated ductal structures in
vivo. In vitro, undifferentiated cells expressed markers associated with prostate
stem cells, including Sca 1 and CD49f, as well as basal cell markers (p63 and
cytokeratins 5 and 14) and, at a low level, luminal cell markers (androgen
receptor and cytokeratins 8 and 18). When grafted and allowed to differentiate in
the presence of fetal urogenital mesenchyme, the cells differentiated into
luminal cells and basal cells with more restricted protein expression patterns.
These studies are the first to report a reproducible system to assess adult
prostatic progenitor/stem cells.
PMID- 18055451
TI - Fluorophore-conjugated iron oxide nanoparticle labeling and analysis of
engrafting human hematopoietic stem cells.
AB - The use of nanometer-sized iron oxide particles combined with molecular imaging
techniques enables dynamic studies of homing and trafficking of human
hematopoietic stem cells (HSC). Identifying clinically applicable strategies for
loading nanoparticles into primitive HSC requires strictly defined culture
conditions to maintain viability without inducing terminal differentiation. In
the current study, fluorescent molecules were covalently linked to dextran-coated
iron oxide nanoparticles (Feridex) to characterize human HSC labeling to monitor
the engraftment process. Conjugating fluorophores to the dextran coat for
fluorescence-activated cell sorting purification eliminated spurious signals from
nonsequestered nanoparticle contaminants. A short-term defined incubation
strategy was developed that allowed efficient labeling of both quiescent and
cycling HSC, with no discernable toxicity in vitro or in vivo. Transplantation of
purified primary human cord blood lineage-depleted and CD34(+) cells into
immunodeficient mice allowed detection of labeled human HSC in the recipient
bones. Flow cytometry was used to precisely quantitate the cell populations that
had sequestered the nanoparticles and to follow their fate post-transplantation.
Flow cytometry endpoint analysis confirmed the presence of nanoparticle-labeled
human stem cells in the marrow. The use of fluorophore-labeled iron oxide
nanoparticles for fluorescence imaging in combination with flow cytometry allows
evaluation of labeling efficiencies and homing capabilities of defined human HSC
subsets.
PMID- 18055452
TI - The PsbS protein controls the organization of the photosystem II antenna in
higher plant thylakoid membranes.
AB - The PsbS subunit of photosystem II (PSII) plays a key role in nonphotochemical
quenching (NPQ), the major photoprotective regulatory mechanism in higher plant
thylakoid membranes, but its mechanism of action is unknown. Here we describe
direct evidence that PsbS controls the organization of PSII and its light
harvesting system (LHCII). The changes in chlorophyll fluorescence amplitude
associated with the Mg(2+)-dependent restacking of thylakoid membranes were
measured in thylakoids prepared from wild-type plants, a PsbS-deficient mutant
and a PsbS overexpresser. The Mg(2+) requirement and sigmoidicity of the
titration curves for the fluorescence rise were negatively correlated with the
level of PsbS. Using a range of PsbS mutants, this effect of PsbS was shown not
to depend upon its efficacy in controlling NPQ, but to be related only to protein
concentration. Electron microscopy and fluorescence spectroscopy showed that this
effect was because of enhancement of the Mg(2+)-dependent re-association of PSII
and LHCII by PsbS, rather than an effect on stacking per se. In the presence of
PsbS the LHCII.PSII complex was also more readily removed from thylakoid
membranes by detergent, and the level of PsbS protein correlated with the
amplitude of the psi-type CD signal originating from features of LHCII.PSII
organization. It is proposed that PsbS regulates the interaction between LHCII
and PSII in the grana membranes, explaining how it acts as a pH-dependent trigger
of the conformational changes within the PSII light harvesting system that result
in NPQ.
PMID- 18055453
TI - A third zinc-binding domain of human poly(ADP-ribose) polymerase-1 coordinates
DNA-dependent enzyme activation.
AB - Poly(ADP-ribose) polymerase-1 (PARP-1) is a chromatin-associated enzyme with
multiple cellular functions, including DNA repair, transcriptional regulation,
and cell signaling. PARP-1 has a modular architecture with six independent
domains comprising the 113-kDa polypeptide. Two zinc finger domains at the N
terminus of PARP-1 bind to DNA and thereby activate the catalytic domain situated
at the C terminus of the enzyme. The tight coupling of DNA binding and catalytic
activities is critical to the cellular regulation of PARP-1 function; however,
the mechanism for coordinating these activities remains an unsolved problem.
Here, we demonstrate using spectroscopic and crystallographic analysis that human
PARP-1 has a third zinc-binding domain. Biochemical mutagenesis and deletion
analysis indicate that this region mediates interdomain contacts important for
DNA-dependent enzyme activation. The crystal structure of the third zinc-binding
domain reveals a zinc ribbon fold and suggests conserved residues that could form
interdomain contacts. The new zinc-binding domain self-associates in the crystal
lattice to form a homodimer with a head-totail arrangement. The structure of the
homodimer provides a scaffold for assembling the activated state of PARP-1 and
suggests a mechanism for coupling the DNA binding and catalytic functions of PARP
1.
PMID- 18055454
TI - Sphingosine kinase 1 is up-regulated during hypoxia in U87MG glioma cells. Role
of hypoxia-inducible factors 1 and 2.
AB - Sphingosine 1-phosphate (S1P), a sphingolipid metabolite that plays an important
role in the regulation of cell survival, growth, migration, and angiogenesis,
acts both inside the cells and as an extracellular mediator through binding to
five G protein-coupled receptors (S1P(1-5)). Sphingosine kinase 1 (SK1), the
enzyme responsible for S1P production, is overexpressed in many solid tumors,
including gliomas. One common feature of these tumors is the presence of "hypoxic
regions," characterized by cells expressing high levels of hypoxia-inducible
factors HIF-1alpha and HIF-2alpha, two transcription regulators that modulate the
levels of proteins with crucial roles in tumor progression. So far, nothing is
known about the role and the regulation of SK1 during tumor-induced hypoxia or
about SK1 regulation and HIFs. Here we investigated the role of HIF-1alpha and
HIF-2alpha in the regulation of SK1 during hypoxic stress in glioma-derived U87MG
cells. We report that hypoxia increases SK1 mRNA levels, protein expression, and
enzyme activity, followed by intracellular S1P production and S1P release.
Interestingly, knockdown of HIF-2alpha by small interfering RNA abolished the
induction of SK1 and the production of extracellular S1P after CoCl(2) treatment,
whereas HIF-1alpha small interfering RNA resulted in an increase of HIF-2alpha
and of SK1 protein levels. Moreover, using chromatin immunoprecipitation
analysis, we demonstrate that HIF-2alpha binds the SK1 promoter. Functionally, we
demonstrate that conditioned medium from hypoxia-treated tumor cells results in
neoangiogenesis in human umbilical vein endothelial cells in a S1P receptor
dependent manner. These studies provide evidence of a link between S1P production
as a potent angiogenic agent and the hypoxic phenotype observed in many tumors.
PMID- 18055455
TI - Severe acute respiratory syndrome-associated coronavirus nucleocapsid protein
interacts with Smad3 and modulates transforming growth factor-beta signaling.
AB - Severe acute respiratory syndrome (SARS) is an acute infectious disease with
significant mortality. A typical clinical feature associated with SARS is
pulmonary fibrosis and the associated lung failure. However, the underlying
mechanism remains elusive. In this study, we demonstrate that SARS-associated
coronavirus (SARS-CoV) nucleocapsid (N) protein potentiates transforming growth
factor-beta (TGF-beta)-induced expression of plasminogen activator inhibitor-1
but attenuates Smad3/Smad4-mediated apoptosis of human peripheral lung epithelial
HPL1 cells. The promoting effect of N protein on the transcriptional responses of
TGF-beta is Smad3-specific. N protein associates with Smad3 and promotes Smad3
p300 complex formation while it interferes with the complex formation between
Smad3 and Smad4. These findings provide evidence of a novel mechanism whereby N
protein modulates TGF-beta signaling to block apoptosis of SARS-CoV-infected host
cells and meanwhile promote tissue fibrosis. Our results reveal a novel mode of
Smad3 action in a Smad4-independent manner and may lead to successful strategies
for SARS treatment by targeting the TGF-beta signaling molecules.
PMID- 18055456
TI - Bivalent binding to gammaA/gamma'-fibrin engages both exosites of thrombin and
protects it from inhibition by the antithrombin-heparin complex.
AB - Thrombin exosite 1 binds the predominant gamma(A)/gamma(A)-fibrin form with low
affinity. A subpopulation of fibrin molecules, gamma(A)/gamma'-fibrin, has an
extended COOH terminus gamma'-chain that binds exosite 2 of thrombin. Bivalent
binding to gamma(A)/gamma'-fibrin increases the affinity of thrombin 10-fold, as
determined by surface plasmon resonance. Because of its higher affinity, thrombin
dissociates 7-fold more slowly from gamma(A)/gamma'-fibrin clots than from
gamma(A)/gamma(A)-fibrin clots. After 24 h of washing, however, both
gamma(A)/gamma'- and gamma(A)/gamma(A)-fibrin clots generate fibrinopeptide A
when incubated with fibrinogen, indicating the retention of active thrombin.
Previous studies demonstrated that heparin heightens the affinity of thrombin for
fibrin by simultaneously binding to fibrin and exosite 2 on thrombin to generate
a ternary heparin-thrombin-fibrin complex that protects thrombin from inhibition
by antithrombin and heparin cofactor II. In contrast, dermatan sulfate does not
promote ternary complex formation because it does not bind to fibrin. Heparin
catalyzed rates of thrombin inhibition by antithrombin were 5-fold slower in
gamma(A)/gamma'-fibrin clots than they were in gamma(A)/gamma(A)-fibrin clots.
This difference reflects bivalent binding of thrombin to gamma(A)/gamma'-fibrin
because (a) it is abolished by addition of a gamma'-chain-directed antibody that
blocks exosite 2-mediated binding of thrombin to the gamma'-chain and (b) the
dermatan sulfate-catalyzed rate of thrombin inhibition by heparin cofactor II
also is lower with gamma(A)/gamma'-fibrin than with gamma(A)/gamma(A)-fibrin
clots. Thus, bivalent binding of thrombin to gamma(A)/gamma'-fibrin protects
thrombin from inhibition, raising the possibility that gamma(A)/gamma'-fibrin
serves as a reservoir of active thrombin that renders thrombi thrombogenic.
PMID- 18055457
TI - Glycogen synthase kinase 3beta interacts with and phosphorylates the spindle
associated protein astrin.
AB - Emerging evidence shows that glycogen synthase kinase 3beta (GSK3beta) is
involved in mitotic division and that inhibiting of GSK3beta kinase activity
causes defects in spindle microtubule length and chromosome alignment. However,
the purpose of GSK3beta involvement in spindle microtubule assembly and accurate
chromosome segregation remains obscure. Here, we report that GSK3beta interacts
with the spindle-associated protein Astrin both in vitro and in vivo.
Additionally, Astrin acts as a substrate for GSK3beta and is phosphorylated at
Thr-111, Thr-937 ((S/T)P motif) and Ser-974/Thr-978 ((S/T)XXX(S/T)-p motif; p is
a phosphorylatable residue). Inhibition of GSK3beta impairs spindle and
kinetochore accumulation of Astrin and spindle formation at mitosis, suggesting
that Astrin association with the spindle microtubule and kinetochore may be
dependent on phosphorylation by GSK3beta. Conversely, depletion of Astrin by
small interfering RNA has no detectable influence on the localization of
GSK3beta. Interestingly, in vitro assays demonstrated that Astrin enhances
GSK3beta-mediated phosphorylation of other substrates. Moreover, we showed that
coexpression of Astrin and GSK3beta differentially increases GSK3beta-mediated
Tau phosphorylation on an unprimed site. Collectively, these data indicate that
GSK3beta interacts with and phosphorylates the spindle-associated protein Astrin,
resulting in targeting Astrin to the spindle microtubules and kinetochores. In
turn, the GSK3beta-Astrin complex may also facilitate further physiological and
pathological phosphorylation.
PMID- 18055458
TI - CREB modulates the functional output of nucleus accumbens neurons: a critical
role of N-methyl-D-aspartate glutamate receptor (NMDAR) receptors.
AB - Nucleus accumbens (NAc) medium spiny neurons cycle between two states, a
functionally inactive downstate and a functionally active upstate. Here, we show
that activation of the transcription factor cAMP-response element-binding protein
(CREB), a common molecular response to several drugs of abuse, increases both
duration of the upstate and action potential firing during the upstate. This
effect of CREB is mediated by enhanced N-methyl-d-aspartate glutamate receptor
(NMDAR) function: increased CREB activity increases both NMDAR-mediated synaptic
currents and surface level of NMDARs, while inhibition of NMDARs abolishes the
effect of CREB on upstate duration. Furthermore, mimicking the effect of CREB by
pharmacological enhancement of NMDAR function in the NAc in vivo suppressed
novelty- and cocaine-elicited locomotor activity. These findings suggest that by
enhancing NMDAR-mediated synaptic transmission, CREB activation promotes the
proportion of time NAc neurons spend in the upstate. This effect, along with the
CREB enhancement of NAc membrane excitability (Dong, Y., Green, T., Saal, D.,
Marie, H., Neve, R., Nestler, E. J., and Malenka, R. C. (2006) Nat. Neurosci. 9,
475-477), may counteract drug-induced maladaptations in the NAc and thus
ameliorate the addictive state.
PMID- 18055459
TI - Common alterations in PBP1a from resistant Streptococcus pneumoniae decrease its
reactivity toward beta-lactams: structural insights.
AB - The development of high level beta-lactam resistance in the pneumococcus requires
the expression of an altered form of PBP1a, in addition to modified forms of
PBP2b and PBP2x, which are necessary for the appearance of low levels of
resistance. Here, we present the crystal structure of a soluble form of PBP1a
from the highly resistant Streptococcus pneumoniae strain 5204 (minimal
inhibitory concentration of cefotaxime is 12 mg.liter(-1)). Mutations T371A,
which is adjacent to the catalytic nucleophile Ser370, and TSQF(574-577)NTGY,
which lie in a loop bordering the active site cleft, were investigated by site
directed mutagenesis. The consequences of these substitutions on reaction
kinetics with beta-lactams were probed in vitro, and their effect on resistance
was measured in vivo. The results are interpreted in the framework of the crystal
structure, which displays a narrower, discontinuous active site cavity, compared
with that of PBP1a from the beta-lactam susceptible strain R6, as well as a
reorientation of the catalytic Ser370.
PMID- 18055460
TI - Intracellular interaction of interleukin-15 with its receptor alpha during
production leads to mutual stabilization and increased bioactivity.
AB - We show that co-expression of interleukin 15 (IL-15) and IL-15 receptor alpha (IL
15Ralpha) in the same cell allows for the intracellular interaction of the two
proteins early after translation, resulting in increased stability and secretion
of both molecules as a complex. In the absence of co-expressed IL-15Ralpha, a
large portion of the produced IL-15 is rapidly degraded immediately after
synthesis. Co-injection into mice of IL-15 and IL-15Ralpha expression plasmids
led to significantly increased levels of the cytokine in serum as well as
increased biological activity of IL-15. Examination of natural killer cells and T
lymphocytes in mouse organs showed a great expansion of both cell types in the
lung, liver, and spleen. The presence of IL-15Ralpha also increased the number of
CD44(high) memory cells with effector phenotype (CD44(high)CD62L-). Thus, mutual
stabilization of IL-15 and IL-15Ralpha leads to remarkable increases in
production, stability, and tissue availability of bioactive IL-15 in vivo. The in
vivo data show that the most potent form of IL-15 is as part of a complex with
its receptor alpha either on the surface of the producing cells or as a soluble
extracellular complex. These results explain the reason for coordinate expression
of IL-15 and IL-15Ralpha in the same cell and suggest that the IL-15Ralpha is
part of the active IL-15 cytokine rather than part of the receptor.
PMID- 18055461
TI - Role of NHERF1, cystic fibrosis transmembrane conductance regulator, and cAMP in
the regulation of aquaporin 9.
AB - Water and solute transport across the plasma membrane of cells is a crucial
biological function that is mediated mainly by aquaporins and aquaglyceroporins.
The regulation of these membrane proteins is still incompletely understood. Using
the male reproductive tract as a model system in which water and glycerol
transport are critical for the establishment of fertility, we now report a novel
pathway for the regulation of aquaporin 9 (AQP9) permeability. AQP9 is the major
aquaglyceroporin of the epididymis, liver, and peripheral leukocytes, and its
COOH-terminal portion contains a putative PDZ binding motif (SVIM). Here we show
that NHERF1, cystic fibrosis transmembrane conductance regulator (CFTR), and AQP9
co-localize in the apical membrane of principal cells of the epididymis and the
vas deferens, and that both NHERF1 and CFTR co-immunoprecipitate with AQP9.
Overlay assays revealed that AQP9 binds to both the PDZ1 and PDZ2 domains of
NHERF1, with an apparently higher affinity for PDZ1 versus PDZ2. Pull-down assays
showed that the AQP9 COOH-terminal SVIM motif is essential for interaction with
NHERF1. Functional assays on isolated tubules perfused in vitro showed a high
permeability of the apical membrane to glycerol, which is inhibited by the AQP9
inhibitor, phloretin, and is markedly activated by cAMP. The CFTR inhibitors DPC,
GlyH-101 and CFTRinh-172 all significantly reduced the cAMP-activated glycerol
induced cell swelling. We propose that CFTR is an important regulator of AQP9 and
that the interaction between AQP9, NHERF1, and CFTR may facilitate the activation
of AQP9 by cAMP.
PMID- 18055462
TI - Stoichiometry of the peripheral stalk subunits E and G of yeast V1-ATPase
determined by mass spectrometry.
AB - The stoichiometry of yeast V(1)-ATPase peripheral stalk subunits E and G was
determined by two independent approaches using mass spectrometry (MS). First, the
subunit ratio was inferred from measuring the molecular mass of the intact V(1)
ATPase complex and each of the individual protein components, using native
electrospray ionization-MS. The major observed intact complex had a mass of
593,600 Da, with minor components displaying masses of 553,550 and 428,300 Da,
respectively. Second, defined amounts of V(1)-ATPase purified from yeast grown on
(14)N-containing medium were titrated with defined amounts of (15)N-labeled E and
G subunits as internal standards. Following protease digestion of subunit bands,
(14)N- and (15)N-containing peptide pairs were used for quantification of subunit
stoichiometry using matrix-assisted laser desorption/ionization-time of flight
MS. Results from both approaches are in excellent agreement and reveal that the
subunit composition of yeast V(1)-ATPase is A(3)B(3)DE(3)FG(3)H.
PMID- 18055463
TI - Stress-induced translation of ATF5 mRNA is regulated by the 5'-untranslated
region.
AB - Activating transcription factor (ATF) 5 is a transcription factor belonging to
the ATF/cAMP-response element-binding protein gene family. We previously reported
that ATF5 mRNA expression increased in response to amino acid limitation. The
ATF5 gene allows transcription of mRNAs with at least two alternative 5'
untranslated regions (5'-UTRs), 5'-UTRalpha and 5'-UTRbeta, derived from
exon1alpha and exon1beta. 5'-UTRalpha contains highly conserved sequences, in
which the upstream open reading frames (uORFs) uORF1 and uORF2 are found in many
species. This study was designed to investigate the potential role of 5'-UTRs in
translational control. These 5'-UTRs differentially determined translation
efficiency from mRNA. The presence of 5'-UTRalpha or 5'-UTRbeta represses
translation from the downstream ATF5 ORF. Moreover, 5'-UTRalpha-regulated
translational repression is released by amino acid limitation or NaAsO(2)
exposure. This release was not seen for 5'-UTRbeta. Mutation of uAUG2 in the
uORF2 of 5'-UTRalpha restored the basal expression and abolished the positive
regulation by amino acid limitation or arsenite exposure. We demonstrated that
phosphorylation of eukaryotic initiation factor 2alpha was required for amino
acid limitation-induced translational regulation of ATF5. Furthermore, arsenite
exposure activated the exogenously expressed heme-regulated inhibitor kinase and
induced the phosphorylation of eukaryotic initiation factor 2alpha in
nonerythroid cells. These results suggest that translation of ATF5 is regulated
by the alternative 5'-UTR region of its mRNA, and ATF5 may play a role in
protecting cells from amino acid limitation or arsenite-induced oxidative stress.
PMID- 18055464
TI - The SH3-like domain switches its interaction partners to modulate the repression
activity of mycobacterial iron-dependent transcription regulator in response to
metal ion fluctuations.
AB - Iron-dependent regulator (IdeR), a metal ion-activated pleiotropic transcription
factor, plays a critical role in maintaining the intracellular iron homeostasis
in Mycobacteria, which is important for the normal growth of the cells. This
study was initially performed in an attempt to elucidate all potential
interactions between the various domains of IdeR that occur in living
mycobacterial cells. This led to a hitherto unidentified self-association for the
SH3-like domain of IdeR. Further studies demonstrate that the SH3-like domain
interacts with different partners in the dimeric forms of IdeR depending on the
levels of metal ions in the environment: it undergoes inter-subunit self
association in the metal-free DNA-non-binding form, but interacts with the N
terminal domain in the metal-bound DNA-binding form in an intra-subunit manner to
finely modulate the transcription repression activity of IdeR. Our more detailed
mapping studies reveal that the SH3-like domain uses an overlapping surface to
participate in these two interactions, which therefore occur in a mutually
exclusive fashion. This novel mechanism would allow an effective and cooperative
interconversion between the two functional forms of IdeR. Our data also
demonstrate that a disturbance of the interactions involving the SH3-like domain
impairs the transcription repression activity of IdeR and delays the growth of
mycobacterial cells.
PMID- 18055465
TI - c-Met inhibitors with novel binding mode show activity against several hereditary
papillary renal cell carcinoma-related mutations.
AB - c-Met is a receptor tyrosine kinase often deregulated in human cancers, thus
making it an attractive drug target. One mechanism by which c-Met deregulation
leads to cancer is through gain-of-function mutations. Therefore, small molecules
capable of targeting these mutations could offer therapeutic benefits for
affected patients. SU11274 was recently described and reported to inhibit the
activity of the wild-type and some mutant forms of c-Met, whereas other mutants
are resistant to inhibition. We identified a novel series of c-Met small molecule
inhibitors that are active against multiple mutants previously identified in
hereditary papillary renal cell carcinoma patients. AM7 is active against wild
type c-Met as well as several mutants, inhibits c-Met-mediated signaling in MKN
45 and U-87 MG cells, and inhibits tumor growth in these two models grown as
xenografts. The crystal structures of AM7 and SU11274 bound to unphosphorylated c
Met have been determined. The AM7 structure reveals a novel binding mode compared
with other published c-Met inhibitors and SU11274. The molecule binds the kinase
linker and then extends into a new hydrophobic binding site. This binding site is
created by a significant movement of the C-helix and so represents an inactive
conformation of the c-Met kinase. Thus, our results demonstrate that it is
possible to identify and design inhibitors that will likely be active against
mutants found in different cancers.
PMID- 18055466
TI - Glucose directly links to lipid metabolism through high affinity interaction with
peroxisome proliferator-activated receptor alpha.
AB - The pathophysiology of diabetes is characterized not only by elevated glucose but
also elevated long chain fatty acid levels. We show for the first time that the
peroxisome proliferator-activated receptor-alpha (PPARalpha) binds glucose and
glucose metabolites with high affinity, resulting in significantly altered
PPARalpha secondary structure. Glucose decreased PPARalpha interaction with fatty
acid metabolites and steroid receptor coactivator-1 while increasing PPARalpha
interaction with DNA. Concomitantly, glucose increased PPARalpha interaction with
steroid receptor coactivator-1, DNA binding, and transactivation of beta
oxidation pathways in the presence of activating ligands. Heterodimerization of
PPARalpha to the retinoid X receptor-alpha resulted in even larger increases in
transactivation with the addition of glucose. These data suggest that PPARalpha
is responsible for maintaining energy homeostasis through a concentration
dependent regulation of both lipids and sugars and that hyperglycemic injury
mediated by PPARalpha occurs not only indirectly through elevated long chain
fatty acid levels but also through direct action of glucose on PPARalpha.
PMID- 18055467
TI - Stoichiometry and localization of the stator subunits E and G in Thermus
thermophilus H+-ATPase/synthase.
AB - Proton-translocating ATPases are central to biological energy conversion.
Although eukaryotes contain specialized F-ATPases for ATP synthesis and V-ATPases
for proton pumping, eubacteria and archaea typically contain only one enzyme for
both tasks. Although many eubacteria contain ATPases of the F-type, some
eubacteria and all known archaea contain ATPases of the A-type. A-ATPases are
closely related to V-ATPases but simpler in design. Although the nucleotide
binding and transmembrane rotor subunits share sequence homology between A-, V-,
and F-ATPases, the peripheral stalk is strikingly different in sequence,
composition, and stoichiometry. We have analyzed the peripheral stalk of Thermus
thermophilus A-ATPase by using phage display-derived single-domain antibody
fragments in combination with electron microscopy and tandem mass spectrometry.
Our data provide the first direct evidence for the existence of two peripheral
stalks in the A-ATPase, each one composed of heterodimers of subunits E and G
arranged symmetrically around the soluble A(1) domain. To our knowledge, this is
the first description of phage display-derived antibody selection against a multi
subunit membrane protein used for purification and single particle analysis by
electron microscopy. It is also the first instance of the derivation of subunit
stoichiometry by tandem mass spectrometry to an intact membrane protein complex.
Both approaches could be applicable to the structural analysis of other membrane
protein complexes.
PMID- 18055468
TI - Dissemination and evaluation of the ASAS/EULAR recommendations for the management
of ankylosing spondylitis: results of a study among 1507 rheumatologists.
AB - BACKGROUND: Ten ASAS/EULAR recommendations for the management of ankylosing
spondylitis (AS) were published in 2006. OBJECTIVES: (a) To disseminate and (b)
to evaluate conceptual agreement with, and (c) application of, these
recommendations as well as (d) potential barriers to the application. METHODS: A
questionnaire was sent to rheumatologists in 10 countries. It included (a) the
text of the recommendations; (b) rheumatologists' demographic variables; (c) two
numerical rating scales from 1 to 10 for each recommendation: conceptual
agreement with, and application of, the recommendation (10 indicates maximal
agreement and maximal application); and (d) a list of potential barriers to the
application of the recommendation. Statistical analysis included descriptive and
multivariate analyses. RESULTS: 7206 questionnaires were sent out; 1507 (21%)
were returned. Of the 1507 answering rheumatologists, 62% were men, mean (SD) age
49 (9) years, and 34% had an academic position. Conceptual agreement with the
recommendations was high (mean (SD) for all recommendations 8.9 (0.9)). Self
reported application was also high (8.2 (1.0)). The difference between agreement
and application varied across recommendations and countries. The most pronounced
discrepancies were reported for use of anti-tumour necrosis factor drugs in a few
countries, with funding as the most commonly reported barrier for application of
this recommendation. CONCLUSION: This large project has helped the dissemination
of the ASAS/EULAR recommendations for the management of AS and shows that
conceptual agreement with the recommendations is very high. The project also
highlights inequalities in access to healthcare for European citizens with AS.
PMID- 18055469
TI - Long-term follow-up of relapsing/refractory anti-neutrophil cytoplasm antibody
associated vasculitis treated with the lymphocyte depleting antibody alemtuzumab
(CAMPATH-1H).
AB - OBJECTIVE: Lymphocytes are a contributor to the pathogenesis of anti-neutrophil
cytoplasm antibody (ANCA) associated vasculitis (AASV). Conventional
immunosuppressive therapy is associated with high rates of relapse and toxicity.
Humanised monoclonal anti-CD52 antibodies (alemtuzumab, CAMPATH-1H) selectively
deplete lymphocytes. We present long-term follow-up results of patients with
relapsing/refractory AASV treated with CAMPATH-1H. PATIENTS AND METHODS: Between
1991 and 1999, 71 patients with refractory or relapsing AASV received CAMPATH-1H
at Addenbrooke's Hospital, Cambridge, UK. Other immunosuppressive drugs were
discontinued and prednisolone was tapered to 10 mg/day. RESULTS: The mean follow
up time was 5 years. In all, 79% had previously received cyclophosphamide (median
dose 150 g). At the time of treatment, 42% had renal involvement (median
creatinine for the cohort 101 micromol/litre excluding six patients who were
dialysis dependent) and 18% were critically ill from AASV and required the
intensive care unit. A total of 60 patients (85%) obtained a remission after
treatment with CAMPATH-1H but 43 relapsed (median 9.2 months); 24 had a remission
greater than 1 year, of which 10 had a remission of at least 3 years. A total of
31 patients died (median survival time of 106 months). Age >50 years, dialysis
dependency and the development of a severe infection at the time of treatment
were associated with an increased risk of death in multivariable analysis.
Adverse events were common; 28 patients developed an infection, 3 malignancy and
8 thyroid disease. CONCLUSIONS: CAMPATH-1H induced remission in most patients
with difficult to treat AASV. However, relapse and adverse events were common.
Further study of CAMPATH-1H as an induction agent in AASV is warranted.
PMID- 18055470
TI - The clinical response to infliximab in rheumatoid arthritis is in part dependent
on pretreatment tumour necrosis factor alpha expression in the synovium.
AB - OBJECTIVE: To determine whether the heterogeneous clinical response to tumour
necrosis factor (TNF)alpha blocking therapy in rheumatoid arthritis (RA) can be
predicted by TNFalpha expression in the synovium before initiation of treatment.
METHODS: Prior to initiation of infliximab treatment, arthroscopic synovial
tissue biopsies were obtained from 143 patients with active RA. At week 16,
clinical response was evaluated using the 28-joint Disease Activity Score
(DAS28). Immunohistochemistry was used to analyse the cell infiltrate as well as
the expression of various cytokines, adhesion molecules and growth factors.
Stained sections were evaluated by digital image analysis. Student t tests were
used to compare responders (decrease in DAS28 > or =1.2) with non-responders
(decrease in DAS28 <1.2) and multivariable regression was used to identify the
independent predictors of clinical response. RESULTS: Synovial tissue analysis
confirmed our hypothesis that the baseline level of TNFalpha expression is a
significant predictor of response to TNFalpha blocking therapy. TNFalpha
expression in the intimal lining layer and synovial sublining were significantly
higher in responders than in non-responders (p = 0.047 and p = 0.008,
respectively). The numbers of macrophages, macrophage subsets and T cells (all
able to produce TNFalpha) were also significantly higher in responders than in
non-responders. The expression of interleukin (IL)1beta, IL6, IL18, IL10, E
selectin, intercellular adhesion molecule (ICAM)-1, vascular cell adhesion
molecule (VCAM)-1, vascular endothelial growth factor (VEGF) and basic fibroblast
growth factor (bFGF) was not associated with response to anti-TNFalpha treatment.
CONCLUSION: The effects of TNFalpha blockade are in part dependent on synovial
TNFalpha expression and infiltration by TNFalpha producing inflammatory cells.
Clinical response cannot be predicted completely, indicating involvement of other
as yet unknown mechanisms.
PMID- 18055471
TI - Settings and artefacts relevant in colour/power Doppler ultrasound in
rheumatology.
AB - The paper explains the most important parameters for the use of colour and power
Doppler in rheumatology. Recommendations for machine settings are given. The
commonly encountered artefacts and their importance for image interpretation are
explained.
PMID- 18055472
TI - Efficacy and safety of abatacept or infliximab vs placebo in ATTEST: a phase III,
multi-centre, randomised, double-blind, placebo-controlled study in patients with
rheumatoid arthritis and an inadequate response to methotrexate.
AB - OBJECTIVES: This double-blind trial evaluated the efficacy and safety of
abatacept or infliximab vs placebo. The primary objective of this study was to
evaluate the mean change from baseline in Disease Activity Score (based on
erythrocyte sedimentation rates; DAS28 (ESR)) for the abatacept vs placebo groups
at day 197. METHODS: Patients with rheumatoid arthritis (RA) and an inadequate
response to methotrexate (MTX) were randomised 3:3:2 to abatacept ( approximately
10 mg/kg every 4 weeks, n = 156), infliximab (3 mg/kg every 8 weeks, n = 165), or
placebo (every 4 weeks, n = 110) and background MTX. Safety and efficacy were
assessed throughout the study. RESULTS: Similar patient demographics and clinical
characteristics were present at baseline between groups, with mean scores of
approximately 1.7 for HAQ-DI and 6.8 for DAS28 (ESR). At 6 months, mean changes
in DAS28 (ESR) were significantly greater for abatacept vs placebo (-2.53 vs
1.48, p<0.001) and infliximab vs placebo (-2.25 vs -1.48, p<0.001). For abatacept
vs infliximab treatment at day 365, reductions in the DAS28 (ESR) were -2.88 vs
2.25. At day 365, the following response rates were observed for abatacept and
infliximab, respectively: American College of Rheumatology (ACR) 20, 72.4 and
55.8%; ACR 50, 45.5 and 36.4%; ACR 70, 26.3 and 20.6%; low disease activity score
(LDAS), 35.3 and 22.4%; DAS28-defined remission, 18.7 and 12.2%; good European
League Against Rheumatism (EULAR) responses, 32.0 and 18.5%; and Health
Assessment Questionnaire Disability Index (HAQ-DI), 57.7 and 52.7%. Mean changes
in physical component summary (PCS) were 9.5 and 7.6, and mental component
summary (MCS) were 6.0 and 4.0, for abatacept and infliximab, respectively. Over
1 year, adverse events (AEs) (89.1 vs 93.3%), serious AEs (SAEs) (9.6 vs 18.2%),
serious infections (1.9 vs 8.5%) and discontinuations due to AEs (3.2 vs 7.3%)
and SAEs (2.6 vs 3.6%) were lower with abatacept than infliximab. CONCLUSIONS: In
this study, abatacept and infliximab (3 mg/kg every 8 weeks) demonstrated similar
efficacy. Overall, abatacept had a relatively more acceptable safety and
tolerability profile, with fewer SAEs, serious infections, acute infusional
events and discontinuations due to AEs than the infliximab group. TRIAL
REGISTRATION NUMBER: NCT00095147.
PMID- 18055473
TI - Allelic variation at the C-reactive protein gene associates to both hand
osteoarthritis severity and serum high sensitive C-reactive protein levels in the
GARP study.
AB - OBJECTIVE: To gain more insight into the role of genetic variation of the C
reactive protein (CRP) gene in serum CRP levels and osteoarthritis (OA). METHODS:
Serum high sensitive CRP (S-HsCRP) levels were measured in the Genetics of
osteoARthritis and Progression (GARP) study. Furthermore, to assess genetic
variation of the CRP gene, genotypes of five tagging single nucleotide
polymorphisms were assessed in the GARP study and a random control sample.
RESULTS: A significant and consistent relation between S-HsCRP levels and
observed haplotypes was identified. Additionally, a CRP haplotype, which also
associated to a significantly higher expected phenotypic mean S-HsCRP level, was
associated to severe hand OA. This haplotype was tagged by a single nucleotide
polymorphism (rs3091244). Carriers of this allele have an increased risk for the
presence of severe hand OA with an OR of 2.3 (95% confidence interval 1.2 to 4.3,
p = 0.009). CONCLUSIONS: A haplotype of the CRP gene, associated to high basal S
HsCRP level, is also associated to severity of hand OA, indicating that innate
high basal S-HsCRP levels may influence OA onset.
PMID- 18055474
TI - Utility of animal models for identification of potential therapeutics for
rheumatoid arthritis.
AB - Animal models of rheumatoid arthritis (RA) are widely used for testing potential
new therapies for RA. However, the question of which animal model is most
predictive of therapeutic efficacy in human RA commonly arises in data
evaluation. A retrospective review of the animal models used to evaluate
approved, pending RA therapies, and compounds that were discontinued during phase
II or III clinical trials found that the three most commonly used models were
adjuvant-induced arthritis (AIA) in rats and collagen-induced arthritis (CIA) in
rats and mice. Limited data were found for more recently developed genetically
modified animal models. Examination of the efficacy of various compounds in these
animal models revealed that a compound's therapeutic efficacy, rather than
prophylactic efficacy, in AIA and CIA models was more predictive of clinical
efficacy in human RA than data from either model alone.
PMID- 18055475
TI - A modified Delphi exercise to determine the extent of consensus with OMERACT
outcome domains for studies of acute and chronic gout.
AB - OBJECTIVES: To reach consensus with recommendations made by an OMERACT Special
Interest Group (SIG). METHODS: Rheumatologists and industry representatives
interested in gout rated and clarified, in three iterations, the importance of
domains proposed by the OMERACT SIG for use in acute and chronic gout
intervention studies. Consensus was defined as a value of less than 1 of the
UCLA/RAND disagreement index. RESULTS: There were 33 respondents (61% response
rate); all agreed the initial items were necessary, except "total body urate
pool". Additional domains were suggested and clarification sought for defining
"joint inflammation" and "musculoskeletal function". Items that demonstrated no
clear decision were re-rated in the final iteration. There were six highly rated
items (rating 1-2) with four slightly lower rating items (rating 3) for acute
gout; and 11 highly rated items with eight slightly lower ratings for chronic
gout. CONCLUSIONS: Consensus is that the following domains be considered
mandatory for acute gout studies: pain, joint swelling, joint tenderness, patient
global, physician global, functional disability; and for chronic gout studies:
serum urate, gout flares, tophus regression, health-related quality of life,
functional disability, pain, patient global, physician global, work disability
and joint inflammation. Several additional domains were considered discretionary.
PMID- 18055476
TI - Sustained response to long-term biologics and switching in psoriatic arthritis:
results from real life experience.
AB - OBJECTIVE: To investigate the response to biologic drugs in psoriatic arthritis
and to quantify non-response and outcome from switching agents. METHODS: 60
patients (33 men and 27 women, mean age 46 years, median disease duration 16
years) prescribed biologic drugs for psoriatic arthritis between 2001 and 2006
were studied. Response was evaluated using joint counts, C-reactive protein
levels and disease activity scores (using 28 joints; DAS28). RESULTS: The mean
percentage improvements seen were 56% in tender joint count, 70% in swollen joint
count, 64% in C-reactive protein level and 36% in the overall disease activity
score. Improvements were sustained beyond 24 months with no loss of effect. Side
effects leading to cessation or switching of first-line therapy were only seen in
5% of patients and non-response occurred in 20% long term. Overall, 90% of
patients achieved a significant response, using switching in 20% of cases.
Outcomes were similar regardless of drug used, duration of disease and subtype of
arthritis. CONCLUSIONS: Treatment of active psoriatic arthritis with anti-tumour
necrosis factor agents leads to a sustained response over 3 years with most
patients tolerating these drugs well. The rate of non-response is low with the
majority of patients responding to second- and third-line therapies.
PMID- 18055477
TI - Long-term effects of bosentan on quality of life, survival, safety and
tolerability in pulmonary arterial hypertension related to connective tissue
diseases.
AB - OBJECTIVES: This study investigated the long-term effects of bosentan, an oral
endothelin ET(A)/ET(B) receptor antagonist, in patients with pulmonary arterial
hypertension (PAH) exclusively related to connective tissue diseases (CTD).
METHODS: A total of 53 patients with PAH related to connective tissue diseases
(PAH-CTD) in World Health Organization (WHO) functional class III received
bosentan 62.5 mg twice a day for 4 weeks and then 125 mg twice a day for 44 weeks
in this open non-comparative study. Assessments at weeks 16 and 48 included WHO
class, clinical worsening, quality of life (Short-Form Health Survey (SF-36) and
health assessment questionnaire (HAQ) modified for scleroderma), and survival
(week 48 only). Safety and tolerability were monitored throughout the study.
RESULTS: At week 48, WHO class improved in 27% of patients (95% CI 16-42%) and
worsened in 16% (95% CI 7-29%). Kaplan-Meier estimates were 68% (95% CI 55-82%)
for absence of clinical worsening and 92% (95% CI 85-100%) for survival. Overall
changes in quality of life were minimal. There were no unexpected side effects
observed during the study. CONCLUSIONS: In most patients, bosentan was associated
with improvement or stability of clinical status. The 92% estimate for survival
at 48 weeks is a significant achievement in this patient population.
PMID- 18055479
TI - Putative promoter regions of miRNA genes involved in evolutionarily conserved
regulatory systems among vertebrates.
AB - MOTIVATION: Just as transcription factors, miRNA genes modulate global patterns
of gene expression during differentiation, metabolic activation, stimulus
response and also carcinogenesis. However, little is currently known how the
miRNA gene expression itself is regulated owing to lack of basic information of
their gene structure. Global prediction of promoter regions of miRNA genes would
allow us to explore the mechanisms underlying gene-regulatory mechanisms
involving these miRNAs. RESULTS: We speculate that if specific miRNA molecules
are involved in evolutionarily conserved regulatory systems in vertebrates, this
would entail a high level of conservation of the promoter of miRNA gene as well
as the miRNA molecule. By our current screening of putative promoter regions of
miRNA genes (miPPRs) on this base, we identified 59 miPPRs that would direct
production of 79 miRNAs. We present both biochemical and bioinformatical
verifications of these putative promoters.
PMID- 18055478
TI - Myeloid-related proteins S100A8/S100A9 regulate joint inflammation and cartilage
destruction during antigen-induced arthritis.
AB - OBJECTIVE: To study the active involvement of Myeloid-related proteins S100A8 and
S100A9 in joint inflammation and cartilage destruction during antigen-induced
arthritis (AIA). METHODS: Joint inflammation and cartilage destruction was
measured with 99mTc uptake and histology. The role of S100A8/A9 was investigated
by inducing AIA in S100A9-/- mice that also lack S100A8 at protein level, or
after intra-articular injection of rS100A8 in mouse knee joints. Cartilage
destruction was measured using immunolocalisation of the neoepitope VDIPEN or
NITEGE. mRNA levels of matrix metalloproteinases (MMPs) and cytokines were
measured using reverse transcriptase (RT)-PCR. RESULTS: Immunisation of S100A9-/-
mice with the antigen mBSA induced normal cellular and humoral responses, not
different from wild type (WT) controls. However, joint swelling measured at day 3
and 7 after AIA induction was significantly lower (36 and 70%, respectively).
Histologically, at day 7 AIA, cellular mass was much lower (63-80%) and
proteoglycan depletion from cartilage layers was significantly reduced (between
50-95%). Cartilage destruction mediated by MMPs was absent in S100A9-/- mice but
clearly present in controls. MMP3, 9 and 13 mRNA levels were significantly
lowered in arthritic synovia of S100A9-/-. In vitro stimulation of macrophages by
the heterodimer S100A8/A9 or S100A8 elevated mRNA levels of MMP3, 9 and in
particular MMP13. Intra-articular injection of S100A8 caused prominent joint
inflammation and depletion of proteoglycans at day 1. Significant upregulation of
mRNA levels of S100A8/A9, cytokines (interleukin 1 (IL1)), MMPs (MMP3, MMP13 and
a disintegrin and metalloproteinase with thrombospondin motifs (ADAMTS)4) was
found in the synovium and correlated with strong upregulation of NITEGE
neoepitopes within the cartilage layers. CONCLUSIONS: S100A8/A9 regulate joint
inflammation and cartilage destruction during antigen-induced arthritis.
PMID- 18055480
TI - Inotropic support and peritoneal dialysis adequacy in neonates after cardiac
surgery.
AB - We describe the impact of cardiovascular pharmacologic support on peritoneal
dialysis adequacy in 20 neonates who required postoperative renal replacement
therapy following cardiopulmonary bypass exposure. Peritoneal dialysis was
administered for 2.5 (2) days. Peritoneal dialysis creatinine clearance was 3.4
(2.1) ml/min/1.73 m(2) and ultrafiltration rate was 9.75 (10) ml/h. Residual
creatinine clearance was 31 (26) ml/min/1.73 m(2). Peritoneal dialysis creatinine
clearance appeared to be a function of dialysate flow up to 100 ml/h. No
correlation was present between inotropes and vasopressors infusion and
peritoneal dialysis creatinine clearance/ultrafiltration rate. LDH clearance was
0.59 (0.85) ml/min/1.73 m(2) and it did not appear to have a correlation with
dialysate flow. Patients in-hospital mortality was 20%, significantly higher than
overall neonatal population admitted to our ICU (4.8%, P=0.02). Peritoneal
dialysis in neonates allows optimal ultrafiltration rate and adequate small
solute clearance, irrespective of hemodynamic status or vasopressor support.
PMID- 18055481
TI - Delayed recovery of human leukocyte antigen-DR expression after cardiac surgery
with early non-lethal postoperative complications: only an epiphenomenon?
AB - HLA-DR expression on peripheral blood monocytes is reduced after cardiac surgery.
Little is known about the reconstitution of HLA-DR expression on peripheral blood
monocytes in patients suffering from early non-fatal perioperative complications.
We conducted a prospective study to prove whether these complications adversely
affect the recovery of HLA-DR expression. Before surgery (d0), on the first (d1),
third (d3), fifth (5th) postoperative days, blood samples were collected from 90
patients who underwent elective cardiac surgery with cardiopulmonary bypass
(CPB). HLA-DR expression was analysed flow cytometrically. Eleven patients
experienced postoperative complications [mechanical ventilation of 24-48 h (n=6);
reinstitution of CPB (n=2) intraoperatively; laparotomy (n=1), re-thoracotomy
(n=1), re-intubation (n=1) within the first 24 h after surgery]. All patients
showed a reduced HLA-DR expression after surgery with nadirs at d1 and d3.
Whereas the values increased from d3 to d5 in patients with an uneventful
clinical course, HLA-DR expression remained suppressed in patients with
complications. HLA-DR expression is reduced after cardiac surgery with CPB. A
delayed recovery of HLA-DR expression is seen in patients with early
perioperative complications. These non-fatal complications appear to represent a
'second hit' resulting in a prolonged deficiency of the innate immune system.
This might predispose to further infectious and septic complications.
PMID- 18055482
TI - Do beating heart techniques applied to combined valve and graft operations reduce
myocardial damage?
AB - We examined the outcomes of combined beating heart CABG and valve surgery
(hybrid) and compared these to conventional CABG and valve surgery
(conventional). Between April 1997 and March 2006, 388 patients received combined
CABG and valve surgery. Patient characteristics and cardiac enzyme release were
collected prospectively. To account for differences in case-mix we used logistic
regression to develop a propensity score for hybrid group membership and then
performed a propensity-matched analysis. One hundred and forty patients underwent
hybrid operation with a mean logistic EuroSCORE of 13.5%, compared to 248 who
underwent conventional operation with a mean logistic EuroSCORE of 10.9%
(P=0.006). Eighty-two patients from each group were successfully matched. The
mean logistic EuroSCORE after matching was similar between the groups (11.3% vs.
12.9%; P=0.48). The median number of grafts per patient was also similar, three
in each group (P=0.98). Post-op CK-MB levels were found to be significantly lower
for hybrid patients (44 U/I vs. 29.5 U/I; P=0.037). In-hospital mortality was not
statistically different (9.8% vs. 6.1%; P=0.39). Survival at 5 years was 74% for
hybrid and 71% for conventional group (P=0.92). CK-MB levels in patients
receiving hybrid CABG and valve surgery are reduced compared to conventional CABG
and valve surgery.
PMID- 18055483
TI - Do the benefits of shorter hospital stay associated with the use of fleece-bound
sealing outweigh the cost of the materials?
AB - OBJECTIVES: To compare the cost of materials and hospitalization for standard
techniques (suturing, stapling and electrocautery) for sealing the lung after
pulmonary resection with those for a fleece-bound sealing procedure. METHODS:
This cost comparison analysis uses as its basis a prospective randomised clinical
trial involving 152 patients with pulmonary lobectomy/segmentectomy (standard
technique group: 77 patients; fleece-bound sealing group: 75 patients). The cost
comparison was performed from the economic perspective of Austrian and German
hospitals, taking into consideration the cost of materials for the two
alternatives as well as the mean time to hospital discharge. RESULTS: The
clinical study found significantly smaller postoperative air leaks in the fleece
bound sealing group. The mean times to chest drain removal and to hospital
discharge were also significantly reduced after application of fleece-bound
sealing [5.1 vs. 6.3 days (P=0.022) and 6.2 vs. 7.7 days (P=0.01), respectively].
The cost of materials for sealing air leaks amounted to euro47 per patient in the
standard technique group and euro410 per patient in the fleece-bound sealing
group. The 1.5-day reduction in the length of hospital stay associated with
fleece-bound sealing represents a saving of euro462 per patient. CONCLUSIONS:
There was an overall saving of euro99 for the fleece-bound sealing procedure
compared to standard techniques for sealing the lung following pulmonary
resection.
PMID- 18055484
TI - Angiotensin-II acute regulation of rapid response genes in human, bovine, and rat
adrenocortical cells.
AB - Angiotensin-II (Ang-II) regulates adrenal steroid production and gene
transcription through several signaling pathways. Changes in gene transcription
occur within minutes after Ang-II stimulation, causing an increase in aldosterone
production and subsequent increase in the overall capacity to produce
aldosterone. Our goal was to compare the Ang-II regulation of early gene
expression and confirm the up-regulation of selected genes using quantitative
real-time RT-PCR (qPCR) across three species, such as, human, bovine, and rat.
Microarray analyses were performed using samples from control and Ang-II (10 nM)
treated (1 h) cells from human adrenocortical tumor cell line H295R, and primary
adrenal glomerulosa cells from bovine and rat, applied respectively to human,
bovine, and rat chips. qPCR was performed to confirm up-regulation of selected
genes using mRNA. The microarray comparison revealed 18% similarity among the top
50 up-regulated genes, with human/rat, 20%; human/bovine, 36%; and rat/bovine,
26% similarity. The gene list generated by this comparison included: activating
transcription factor 3, B-cell translocation gene (BTG2), Nuclear receptor
subfamily 4, group A, member 1 (NR4A1), NR4A2, NR4A3, early growth response 1, v
fos FBJ murine osteosarcoma viral oncogene homolog (c-FOS), FOSB, and Jun family
member B (JUNB). Pretreatment of H295R cells with cycloheximide had no effect on
Ang-II induction of these genes, suggesting that they are direct targets of Ang
II signaling. The Ang-II gene targets have been defined in three different
adrenocortical model systems. Several of the listed genes have previously been
described as being key regulators of adrenocortical function. The presence of
adrenal cell common genes in such distinct cell models strengthens the hypothesis
that these genes are regulators of aldosterone production.
PMID- 18055485
TI - Calcitonin targets extracellular signal-regulated kinase signaling pathway in
human cancers.
AB - The mitogen-activated protein kinases (MAPKs) signaling pathway is a potential
target in cancer therapy. Constitutive phosphorylated extracellular signal
regulated kinase (ERK1/2), which is one of the MAPKs has been detected in a
variety of tumors. Calcitonin (CT) is a polypeptide hormone secreted by the
thyroid gland and has been used to treat the osteoporosis and humoral
hypercalcemia of malignancy. We report that CT decreases ERK1/2 phosphorylation
in cancer cells showing constitutive phosphorylated ERK1/2. In MDA-MB-231 cells,
a breast cancer cell line showing constitutive phosphorylated ERK1/2, CT
phosphorylated c-Raf at Ser(259) via the protein kinase A pathway, resulting in
suppression of ERK1/2 phosphorylation. CT significantly reduced the tumor volume
of MDA-MB-231 cells showing constitutive phosphorylated ERK1/2 compared with
saline buffer. However, CT did not exert any significant effects on the
proliferation of MCF-7 cells, a breast cancer cell line, showing non-constitutive
phosphorylated ERK1/2. These novel findings indicate that CT may be used to
target ERK in the treatment of cancer.
PMID- 18055486
TI - Prasugrel achieves greater and faster P2Y12receptor-mediated platelet inhibition
than clopidogrel due to more efficient generation of its active metabolite in
aspirin-treated patients with coronary artery disease.
AB - AIMS: P2Y(12) receptor antagonism and platelet inhibition by prasugrel vs.
clopidogrel were investigated in patients with stable coronary artery disease.
METHODS AND RESULTS: One hundred and ten aspirin treated subjects were randomized
to double-blind treatment with clopidogrel (n = 55) 600 mg loading dose (LD) and
75 mg maintenance dose (MD) or prasugrel (n = 55) 60 mg LD and 10 mg MD for 28
days. Concentrations of prasugrel and clopidogrel active metabolites were
determined. Platelet aggregation to 20 microM adenosine diphosphate, measured by
light transmission aggregometry, was reported as maximal platelet aggregation
(MPA). P2Y(12) function was assessed by the vasodilator-stimulated phosphoprotein
assay and reported as platelet reactivity index (PRI). The same pharmacodynamic
measurements were performed after ex vivo addition of clopidogrel's active
metabolite. At 2 h post-LD, mean MPA was 31 vs. 55%, and mean PRI 8.3 vs. 55.9%
for prasugrel and clopidogrel, respectively (P < 0.001). During MD on day 14 and
28, mean MPA was 42 vs. 54% and mean PRI was 25 vs. 51%, respectively (P <
0.001). Peak level of the active metabolite and P2Y(12) inhibition occurred
earlier and was greater with prasugrel (P < 0.001). Mean area under the time
concentration curve (AUC; microM.h) of the respective active metabolite was
higher with prasugrel vs. clopidogrel post-LD (1.11 vs. 0.24) and post-MD (0.16
vs. 0.062). Ex vivo addition of clopidogrel's active metabolite further reduced
PRI in all patients whose platelets were not already maximally inhibited.
CONCLUSION: In aspirin-treated subjects with coronary artery disease, prasugrel
60/10 mg provides faster onset and greater inhibition of P2Y(12) receptor
mediated platelet aggregation than clopidogrel 600/75 mg, because of greater and
more efficient generation of the active metabolite.
PMID- 18055487
TI - New point of care Chlamydia Rapid Test--bridging the gap between diagnosis and
treatment: performance evaluation study.
AB - OBJECTIVE: To evaluate the performance of a new Chlamydia Rapid Test with vaginal
swab specimens as a potential tool for chlamydia diagnosis and screening. DESIGN:
Performance evaluation study. Settings A young people's sexual health centre
(site 1) and two genitourinary medicine clinics (sites 2 and 3) in the United
Kingdom. PARTICIPANTS: 1349 women aged between 16 and 54 attending one of the
three clinics. MAIN OUTCOME MEASURES: Sensitivity, specificity, positive
predictive value, and negative predictive value of the Chlamydia Rapid Test
versus polymerase chain reaction and strand displacement amplification assays;
correlation between the Chlamydia Rapid Test visual signal and organism load;
acceptability to participants of self collected vaginal swabs as the specimen
type for Chlamydia testing. RESULTS: Polymerase chain reaction positivity rates
for Chlamydia trachomatis infection were 8.4% (56/663) at site 1, 9.4% (36/385)
at site 2, and 6.0% (18/301) at site 3. Compared with polymerase chain reaction
assay, the resolved sensitivity, specificity, positive predictive value, and
negative predictive value of the Chlamydia Rapid Test were 83.5% (91/109), 98.9%
(1224/1238), 86.7% (91/105), and 98.6% (1224/1242). Compared with strand
displacement amplification assay, sensitivity and specificity of the Chlamydia
Rapid Test were 81.6% (40/49) and 98.3% (578/588). Organism load of self
collected vaginal swabs ranged from 5.97x10(2) to 1.09x10(9) Chlamydia plasmids
per swab, which correlated well with the Chlamydia Rapid Test's visual signal
(r=0.6435, P<0.0001). Most (95.9%) surveyed participants felt comfortable about
collecting their own swabs. CONCLUSIONS: The performance of the Chlamydia Rapid
Test with self collected vaginal swabs indicates that it would be an effective
same day diagnostic and screening tool for Chlamydia infection in women. The
availability of Chlamydia Rapid Test results within 30 minutes allows for
immediate treatment and contact tracing, potentially reducing the risks of
persistent infection and onward transmission. It could also provide a simple and
reliable alternative to nucleic acid amplification tests in chlamydia screening
programmes.
PMID- 18055488
TI - False-positive Aspergillus galactomannan antigenaemia after haematopoietic stem
cell transplantation.
AB - OBJECTIVES: Although Aspergillus galactomannan (GM) antigen detection is widely
applied in the diagnosis of invasive aspergillosis (IA), false-positive reactions
with fungus-derived antibiotics, other fungal genera or the passage of dietary GM
through injured mucosa are a matter of concern. The aim of this study was to
investigate the cumulative incidence and risk factors for false-positive GM
antigenaemia. PATIENTS AND METHODS: The records of 157 adult allogeneic
haematopoietic stem cell transplantation (HSCT) recipients were retrospectively
analysed. Episodes of positive GM antigenaemia, defined as two consecutive GM
results with an optical density index above 0.6, were classified into true, false
and inconclusive GM antigenaemia by reviewing the clinical course. RESULTS:
Twenty-five patients developed proven or probable IA with a 1 year cumulative
incidence of 12.9%, whereas 50 experienced positive GM antigenaemia with an
incidence of 32.2%. Among the total 58 positive episodes of the 50 patients, 29
were considered false-positive. The positive predictive value (PPV) was lower
during the first 100 days than beyond 100 days after HSCT (37.5% versus 58.8%).
Gastrointestinal chronic graft-versus-host disease (GVHD) was identified as the
only independent significant factor for the increased incidence of false-positive
GM antigenaemia (PPV 0% versus 66.7%, P = 0.02). CONCLUSIONS: GM antigen results
must be considered cautiously in conjunction with other diagnostic procedures
including computed tomography scans, especially during the first 100 days after
HSCT and in patients with gastrointestinal chronic GVHD.
PMID- 18055489
TI - Prophylaxis of ophthalmia neonatorum comparison of betadine, erythromycin and no
prophylaxis.
AB - Ophthalmia neonatorum is a form of bacterial conjunctivitis contracted by
newborns during delivery and occurs during the first month of life. Etiologic
factors include Neisseria gonorrhoeae, Chlamydia trachomatis, viruses and
chemicals. Its prevalence differs in different parts of the world and is
dependent mainly upon socioeconomic conditions, level of knowledge about general
health, standard of maternal healthcare as well as the type of prophylactic
program used. This randomized clinical trial was performed during the years 2004
05 in the Delivery Section of Vali-e-Asr Hospital on 330 neonates who were
divided into three equal groups of 110; Group A received betadine eye drops,
Group B received Erythromycin eye drops and Group C (control group) received no
treatment. Results showed that clinical conjunctivitis occurred in 52 subjects
(17%), 58% of whom constituted males. On the whole, 9% of the infected newborns
were from group A, 18.4% from group B and 22.4% from group C. It was concluded
that 2.5% sterile betadine eye drops had a pronounced effect on ophthalmia
neonatorum (p = 0.030).
PMID- 18055491
TI - Cerebral vasoconstriction, headache and sometimes stroke: one syndrome or many?
PMID- 18055492
TI - Quantitative diffusion MRI of hippocampus as a surrogate marker for post
traumatic epileptogenesis.
AB - The need to use animal models to develop imaging markers that could be linked to
electrophysiological abnormalities in epilepsy and able to predict
epileptogenicity in human studies is widely acknowledged. This study aimed to
investigate the value of early magnetic resonance imaging (MRI) in predicting the
long-term increased seizure susceptibility in the clinically relevant model of
post-traumatic epilepsy (PTE). Moderate traumatic brain injury (TBI) was induced
by lateral fluid-percussion in two groups of adult rats (34 injured, 16
controls). In Experiment 1, MRI follow-up was performed using a 4.7 T magnet at 3
h, 3 days, 9 days, 23 days, 2 months, 3 months and 6 months after TBI. T2 and 1/3
of the trace of the diffusion tensor (D(av)) were quantified from a single slice
using a fast spin-echo sequence. In Experiment 2, MRI was performed at 7 and 11
months post-injury. In both groups, seizure susceptibility was tested by
injecting a single dose of pentylenetetrazol at 12 months post-injury.
Electrographic and behavioural responses were monitored for 1 h. Total number of
spikes, total number of epileptiform discharges (EDs) and latency to first spike
were measured. Finally, the severity of mossy fibre sprouting was evaluated. In
both experiments, EEG parameters such as total number of spikes or EDs proved to
be reliable indicators of increased seizure susceptibility in injured animals
when compared to controls (P < 0.05). In the hippocampus ipsilateral to TBI,
D(av) correlated with these EEG parameters at both early (3 h), and chronic (23
days, 2, 3, 6, 7 and 11 months) time points after TBI, as well as with the
density of mossy fibre sprouting. These results for the first time demonstrate
that quantitative diffusion MRI can serve as a tool to facilitate prediction of
increased seizure susceptibility in a clinically relevant model of human PTE.
PMID- 18055493
TI - Analysis of the UK diagnostic strategy for limb girdle muscular dystrophy 2A.
AB - Diagnosis of limb girdle muscular dystrophy type 2A can be complex due to
phenotypic variability, lack of precision of protein analysis in muscle biopsies,
and absence of mutational hot spots in the CAPN3 gene. The aim of this study was
to review clinical and biopsy data from a group of patients with known CAPN3
genetic status to validate and refine our current diagnostic strategy, which
combines clinical information and protein analysis to direct gene testing. We
analysed 85 patients in whom CAPN3 gene sequencing had been performed. Forty-two
patients had two mutations, 15 a single mutation and in 28 no mutation was found.
We identified clinical features that clearly discriminated the LGMD2A patients.
These were: presence of scapular winging, contractures and normal respiratory
function. In addition, a typical pattern of muscle weakness on manual muscle
testing could be confirmed. Interpretation of protein expression obtained by
Western blot was complex and involved the analysis of a number of bands detected
by two antibodies for calpain 3. Loss of all calpain 3 bands was 100% specific
for LGMD2A, but this pattern was found in only 23%. Absence or reduction of the
approximately 60 kDa bands was also highly specific for LGMD2A, while increased
abundance was highly predictive of no mutations being found even where other
bands were reduced, suggesting that this is the most sensitive marker of
artefactual protein degradation. Twenty-three percent of the patients with two
mutations had normal full-sized calpain 3 protein, consistent with the finding of
mutations localized in parts of the gene likely or proven to be involved in
autolytic activity. Clinical and biochemical findings in patients with only one
mutation were similar to patients with two mutations, indicating that other gene
analysis techniques should be used before excluding the diagnosis. Our analysis
confirms that our strategy is still valid to prioritize genetic testing in this
complex group of patients, provided patients with normal protein but a suggestive
clinical phenotype are not excluded from genetic testing.
PMID- 18055494
TI - Clinical and morphological phenotype of the filamin myopathy: a study of 31
German patients.
AB - Mutations in the filamin C gene (FLNC) cause a myofibrillar myopathy (MFM),
morphologically characterized by focal myofibrillar destruction and abnormal
accumulation of several proteins within skeletal muscle fibres. We studied 31
patients from four German families to evaluate the phenotype of filaminopathy.
All patients harboured the same p.W2710X mutation in FLNC. Haplotype analysis
suggested a founder mutation in these German filaminopathy families. The mean age
at onset of clinical symptoms was 44 +/- 6 years (range, 24-57 years). Slowly
progressive muscle weakness was mostly pronounced proximally, initially affecting
the lower extremities and involving the upper extremities in the course of
disease progression, similar to the distribution of weakness seen in limb-girdle
muscular dystrophies (LGMD). Patients frequently developed respiratory muscle
weakness. About one-third of the patients showed cardiac abnormalities comprising
conduction blocks, tachycardia, diastolic dysfunction and left ventricular
hypertrophy indicating a cardiac involvement in filaminopathy. Serum creatine
kinase levels varied from normal up to 10-fold of the upper limit. Magnetic
resonance imaging studies showed a rather homogenous pattern of muscle
involvement in the lower extremities differing from that in other types of MFM.
Myopathological features included perturbation of myofibrillar alignment,
accumulation of granulofilamentous material similar to that seen in primary
desminopathies and abnormal intracellular protein deposits typical of MFM.
Decreased activities of oxidative enzymes and fibre hypertrophy seem to be early
features, whereas dystrophic changes were present in advanced stages of
filaminopathy. Rimmed vacuoles were detected in only a few cases. The
intracellular aggregates were composed of a variety of proteins including filamin
C, desmin, myotilin, Xin, dystrophin and sarcoglycans. Therapy is so far limited
to symptomatic treatment. The German filaminopathy cohort, the largest group of
patients studied so far, shares phenotypic features with LGMD and presents with
characteristic histopathological findings of MFM.
PMID- 18055495
TI - McLeod myopathy revisited: more neurogenic and less benign.
AB - The X-linked McLeod neuroacanthocytosis syndrome (MLS) has originally been
denoted as 'benign' McLeod myopathy. We assessed the clinical findings and the
muscle pathology in the eponymous index patient, Hugh McLeod, and in nine
additional MLS patients. Only one patient had manifested with neuromuscular
symptoms. During a mean follow-up of 15 years, however, eight patients including
the initial index patient showed elevated skeletal muscle creatine kinase levels
ranging from 300 to 3000 U/L, and had developed muscle weakness and atrophy. Two
patients had disabling leg weakness. Muscle histology was abnormal in all 10
patients. Clear but unspecific myopathic changes were found in only four
patients. All patients, however, had neurogenic changes of variable degree. Post
mortem motor and sensory nerve examinations support the view that muscle atrophy
and weakness are predominantly due to an axonal motor neuropathy rather than to a
primary myopathy. Multisystem manifestations developed in eight patients at a
mean age of 39 years. Three patients manifested with psychiatric features
comprising schizophrenia-like psychosis and personality disorder, two presented
with generalized seizures and one with chorea. During follow-up, seven patients
developed chorea, six had psychiatric disorders, five had cognitive decline and
three had generalized seizures. Five patients died because of MLS-related
complications including sudden cardiac death, chronic heart failure and pneumonia
between 55 and 69 years. In conclusion, our findings confirm that MLS is not a
benign condition but rather a progressive multisystem disorder sharing many
features with Huntington's disease.
PMID- 18055496
TI - Mature astrocytes in the adult human neocortex express the early neuronal marker
doublecortin.
AB - Doublecortin (DCX) is a microtubule-associated protein expressed by migrating
neuroblasts and is considered to be a reliable marker of neurogenesis. DCX has
been used to study the relation between neurogenesis in adult human brain and
neurological and neurodegenerative disease processes in the search for putative
therapeutic strategies. Using autopsy and surgically resected tissue from a total
of 60 patients, we present evidence that DCX is present in several cellular
compartments of differentiated astrocytes in the adult human neocortex. One of
these compartments consisted of peripheral processes forming punctate envelopes
around mature neuronal cell bodies. Markers of glial activation, such as GFAP and
HLA, were not associated with DCX immunoreactivity, however, the presence of
cytoarchitectural alterations tended to correlate with reduced DCX staining of
astrocytic somata. Interestingly, local Alzheimer pathology that showed no
relation with cytoarchitectural abnormalities appeared to correlate negatively
with the expression of DCX in the astrocytic somata. In combination with the
literature our data support the view that DCX in the adult human neocortex may
have a function in glia-to-neuron communication. Furthermore, our results
indicate that in the adult human neocortex DCX is neither a reliable nor a
selective marker of neurogenesis.
PMID- 18055497
TI - Binding MOAD, a high-quality protein-ligand database.
AB - Binding MOAD (Mother of All Databases) is a database of 9836 protein-ligand
crystal structures. All biologically relevant ligands are annotated, and
experimental binding-affinity data is reported when available. Binding MOAD has
almost doubled in size since it was originally introduced in 2004, demonstrating
steady growth with each annual update. Several technologies, such as natural
language processing, help drive this constant expansion. Along with increasing
data, Binding MOAD has improved usability. The website now showcases a faster,
more featured viewer to examine the protein-ligand structures. Ligands have
additional chemical data, allowing for cheminformatics mining. Lastly, logins are
no longer necessary, and Binding MOAD is freely available to all at
http://www.BindingMOAD.org.
PMID- 18055499
TI - Alternative splicing and protein structure evolution.
AB - Alternative splicing is thought to be one of the major sources for functional
diversity in higher eukaryotes. Interestingly, when mapping splicing events onto
protein structures, about half of the events affect structured and even highly
conserved regions i.e. are non-trivial on the structure level. This has led to
the controversial hypothesis that such splice variants result in nonsense
mediated mRNA decay or non-functional, unstructured proteins, which do not
contribute to the functional diversity of an organism. Here we show in a
comprehensive study on alternative splicing that proteins appear to be much more
tolerant to structural deletions, insertions and replacements than previously
thought. We find literature evidence that such non-trivial splicing isoforms
exhibit different functional properties compared to their native counterparts and
allow for interesting regulatory patterns on the protein network level. We
provide examples that splicing events may represent transitions between different
folds in the protein sequence-structure space and explain these links by a common
genetic mechanism. Taken together, those findings hint to a more prominent role
of splicing in protein structure evolution and to a different view of phenotypic
plasticity of protein structures.
PMID- 18055498
TI - DNA replication stress, genome instability and aging.
AB - Genome instability is a fundamentally important component of aging in all
eukaryotes. How age-related genome instability occurs remains unclear. The free
radical theory of aging posits oxidative damage to DNA and other cellular
constituents as a primary determinant of aging. More recent versions of this
theory predict that mitochondria are a major source of reactive oxygen species
(ROS) that cause oxidative damage. Although substantial support for the free
radical theory exists, the results of some tests of this theory have been
contradictory or inconclusive. Enhanced growth signaling also has been implicated
in aging. Many efforts to understand the effects of growth signaling on aging
have focused on inhibition of oxidative stress responses that impact oxidative
damage. However, recent experiments in the model organism Saccharomyces
cerevisiae (budding yeast) and in higher eukaryotes suggest that growth signaling
also impacts aging and/or age-related diseases--including cancer and
neurodegeneration--by inducing DNA replication stress, which causes DNA damage.
Replication stress, which has not been broadly considered as a factor in aging,
may be enhanced by ROS that signal growth. In this article, we review evidence
that points to DNA replication stress and replication stress-induced genome
instability as important factors in aging.
PMID- 18055500
TI - InParanoid 6: eukaryotic ortholog clusters with inparalogs.
AB - The InParanoid eukaryotic ortholog database (http://InParanoid.sbc.su.se/) has
been updated to version 6 and is now based on 35 species. We collected all
available 'complete' eukaryotic proteomes and Escherichia coli, and calculated
ortholog groups for all 595 species pairs using the InParanoid program. This
resulted in 2 642 187 pairwise ortholog groups in total. The orthology-based
species relations are presented in an orthophylogram. InParanoid clusters contain
one or more orthologs from each of the two species. Multiple orthologs in the
same species, i.e. inparalogs, result from gene duplications after the species
divergence. A new InParanoid website has been developed which is optimized for
speed both for users and for updating the system. The XML output format has been
improved for efficient processing of the InParanoid ortholog clusters.
PMID- 18055503
TI - Evolution of quality and patient safety in Israel.
PMID- 18055502
TI - Framework for primary care organizations: the importance of a structural domain.
AB - PURPOSE: Conceptual frameworks for primary care have evolved over the last 40
years, yet little attention has been paid to the environmental, structural and
organizational factors that facilitate or moderate service delivery. Since
primary care is now of more interest to policy makers, it is important that they
have a comprehensive and balanced conceptual framework to facilitate their
understanding and appreciation. We present a conceptual framework for primary
care originally developed to guide the measurement of the performance of primary
care organizations within the context of a large mixed-method evaluation of four
types of models of primary care in Ontario, Canada. METHODS: The framework was
developed following an iterative process that combined expert consultation and
group meetings with a narrative review of existing frameworks, as well as trends
in health management and organizational theory. RESULTS: Our conceptual framework
for primary care has two domains: structural and performance. The structural
domain describes the health care system, practice context and organization of the
practice in which any primary care organization operates. The performance domain
includes features of health care service delivery and technical quality of
clinical care. CONCLUSION: As primary care evolves through demonstration projects
and reformed delivery models, it is important to evaluate its structural and
organizational features as these are likely to have a significant impact on
performance.
PMID- 18055504
TI - Relation of patients' experiences with individual physicians to malpractice risk.
AB - OBJECTIVE: Patient care experience survey data might be useful for managing
individual physician malpractice risk, but available evidence is limited. This
study assesses whether patients' experiences with individual physicians, as
measured by a validated survey, are associated with patient complaints and
malpractice lawsuits. DESIGN: Random samples of active patients in physicians'
panels, with sample sizes adequate to provide highly reliable, stable information
about patients' experiences with each physician (n = 19 202, average respondents
per physician = 119) were used to assess the relation of patient survey measures
to malpractice risk. SETTING: A large multi-specialty physician organization in
eastern Massachusetts, USA. PARTICIPANTS: Physicians providing care for at least
5 years in adult primary care and select high-risk specialty departments between
January 1996 and December 2005 (n = 161). MAIN OUTCOME MEASURES: Patient
complaints (2001-05) and malpractice lawsuits (1996-2005). RESULTS: Compared to
primary care physicians, high-risk specialists had a lower patient complaint rate
(0.34 vs. 1.36 complaints per patient care full time equivalent; P < 0.001), but
a higher lawsuit rate (0.09 vs. 0.05 lawsuits per patient care full time
equivalent; P = 0.02). Irrespective of physician specialty, the quality of
physician-patient interactions (IRR = 0.61; P < 0.001) and care coordination (IRR
= 0.65; P < 0.001) were inversely associated with patient complaints. Patient
survey measures were not associated with malpractice lawsuits. CONCLUSIONS: The
results underscore the challenges organizations face when attempting to use
patient survey data to manage individual physician medical malpractice risk.
Because lawsuits are infrequent events, calibrating these validated patient
survey measures to malpractice lawsuit risk will require large physician samples
from diverse practices.
PMID- 18055505
TI - A monoclonal antibody prevents aggregation of the NBD1 domain of the cystic
fibrosis transmembrane conductance regulator.
AB - The homozygous deletion of the phenylalanine at position 508 (DeltaPhe508) in the
first nucleotide-binding domain (NBD1) of the cystic fibrosis transmembrane
conductance regulator (CFTR) is the most common CF-causing genetic defect. It has
been proposed that the propensity of NBD1 to aggregate may lead to a lower
display of the CFTR chloride channel to the cell membrane and to the disease,
thus opening an avenue for the pharmacological development of CFTR folding
correctors. Here, we show that a human monoclonal antibody fragment specific to
the folded conformation of NBD1 inhibits the aggregation of NBD1 in vitro.
However, in contrast to the previously published observations, we proved
experimentally that NBD1 of wild-type and DeltaPhe508 version of CFTR display
comparable propensities to aggregate in vitro and that the corresponding full
length CFTR protein reaches the cell membrane with comparable efficiency in
mammalian cell expression systems. On the basis of our results, the 'folding
defect' hypothesis seems unlikely to represent the causal mechanism for the
pathogenesis of CF. A solid understanding of how the DeltaPhe508 deletion leads
to the disease represents an absolute requirement for the development of
effective drugs against CF.
PMID- 18055506
TI - Selective gene amplification.
AB - We describe a system for directed evolution based on in vitro
compartmentalisation in which amplification of a gene is coupled to the formation
of product by the enzyme it encodes. This approach mimics the process of natural
selection; 'fitter' genes--encoding more efficient enzymes--have more
'offspring'. It allows selection for any activity so long as a product-specific
ligand (e.g. an antibody) is available.
PMID- 18055508
TI - Dose-related effects of red wine and alcohol on hemodynamics, sympathetic nerve
activity, and arterial diameter.
AB - The cardiovascular benefits of light to moderate red wine consumption often have
been attributed to its polyphenol constituents. However, the acute dose-related
hemodynamic, vasodilator, and sympathetic neural effects of ethanol and red wine
have not been characterized and compared in the same individual. We sought to
test the hypotheses that responses to one and two alcoholic drinks differ and
that red wine with high polyphenol content elicits a greater effect than ethanol
alone. Thirteen volunteers (24-47 yr; 7 men, 6 women) drank wine, ethanol, and
water in a randomized, single-blind trial on three occasions 2 wk apart. One
drink of wine and ethanol increased blood alcohol to 38 +/- 2 and 39 +/- 2 mg/dl,
respectively, and two drinks to 72 +/- 4 and 83 +/- 3 mg/dl, respectively. Wine
quadrupled plasma resveratrol (P < 0.001) and increased catechin (P < 0.03). No
intervention affected blood pressure. One drink had no heart rate effect, but two
drinks of wine increased heart rate by 5.7 +/- 1.6 beats/min; P < 0.001). Cardiac
output fell 0.8 +/- 0.3 l/min after one drink of ethanol and wine (both P < 0.02)
but increased after two drinks of ethanol (+0.8 +/- 0.3 l/min) and wine (+1.2 +/-
0.3 l/min) (P < 0.01). One alcoholic drink did not alter muscle sympathetic nerve
activity (MSNA), while two drinks increased MSNA by 9-10 bursts/min (P < 0.001).
Brachial artery diameter increased after both one and two alcoholic drinks (P <
0.001). No beverage augmented, and the second wine dose attenuated (P = 0.02),
flow-mediated vasodilation. One drink of ethanol dilates the brachial artery
without activating sympathetic outflow, whereas two drinks increase MSNA, heart
rate, and cardiac output. These acute effects, which exhibit a narrow dose
response, are not modified by red wine polyphenols.
PMID- 18055509
TI - IP3 receptor-dependent Ca2+ release modulates excitation-contraction coupling in
rabbit ventricular myocytes.
AB - Inositol 1,4,5-trisphosphate (IP(3)) receptor (IP(3)R)-dependent Ca(2+) signaling
exerts positive inotropic, but also arrhythmogenic, effects on excitation
contraction coupling (ECC) in the atrial myocardium. The role of IP(3)R-dependent
sarcoplasmic reticulum (SR) Ca(2+) release in ECC in the ventricular myocardium
remains controversial. Here we investigated the role of this signaling pathway
during ECC in isolated rabbit ventricular myocytes. Immunoblotting of proteins
from ventricular myocytes showed expression of both type 2 and type 3 IP(3)R at
levels approximately 3.5-fold less than in atrial myocytes. In permeabilized
myocytes, direct application of IP(3) (10 microM) produced a transient 21%
increase in the frequency of Ca(2+) sparks (P < 0.05). This increase was
accompanied by a 13% decrease in spark amplitude (P < 0.05) and a 7% decrease in
SR Ca(2+) load (P < 0.05) and was inhibited by IP(3)R antagonists 2
aminoethoxydiphenylborate (2-APB; 20 microM) and heparin (0.5 mg/ml). In intact
myocytes endothelin-1 (100 nM) was used to stimulate IP(3) production and caused
a 38% (P < 0.05) increase in the amplitude of action potential-induced (0.5 Hz,
field stimulation) Ca(2+) transients. This effect was abolished by the IP(3)R
antagonist 2-APB (2 microM) or by using adenoviral expression of an IP(3)
affinity trap that buffers cellular IP(3). Together, these data suggest that in
rabbit ventricular myocytes IP(3)R-dependent Ca(2+) release has positive
inotropic effects on ECC by facilitating Ca(2+) release through ryanodine
receptor clusters.
PMID- 18055510
TI - Identity of the renin cell is mediated by cAMP and chromatin remodeling: an in
vitro model for studying cell recruitment and plasticity.
AB - The renin-angiotensin system (RAS) regulates blood pressure and fluid-electrolyte
homeostasis. A key step in the RAS cascade is the regulation of renin synthesis
and release by the kidney. We and others have shown that a major mechanism to
control renin availability is the regulation of the number of cells capable of
making renin. The kidney possesses a pool of cells, mainly in its vasculature but
also in the glomeruli, capable of switching from smooth muscle to endocrine renin
producing cells when homeostasis is threatened. The molecular mechanisms
governing the ability of these cells to turn the renin phenotype on and off have
been very difficult to study in vivo. We, therefore, developed an in vitro model
in which cells of the renin lineage are labeled with cyan fluorescent protein and
cells actively making renin mRNA are labeled with yellow fluorescent protein. The
model allowed us to determine that it is possible to culture cells of the renin
lineage for numerous passages and that the memory to express the renin gene is
maintained in culture and can be reenacted by cAMP and chromatin remodeling
(histone H4 acetylation) at the cAMP-responsive element in the renin gene.
PMID- 18055511
TI - Pathophysiology of hypertension during preeclampsia: linking placental ischemia
with endothelial dysfunction.
AB - Studies over the last decade have provided exciting new insights into potential
mechanisms underlying the pathogenesis of preeclampsia. The initiating event in
preeclampsia is generally regarded to be placental ischemia/hypoxia, which in
turn results in the elaboration of a variety of factors from the placenta that
generates profound effects on the cardiovascular system. This host of molecules
includes factors such as soluble fms-like tyrosine kinase-1, the angiotensin II
type 1 receptor autoantibody, and cytokines such as tumor necrosis factor-alpha,
which generate widespread dysfunction of the maternal vascular endothelium. This
dysfunction manifests as enhanced formation of factors such as endothelin,
reactive oxygen species, and augmented vascular sensitivity to angiotensin II.
Alternatively, the preeclampsia syndrome may also be evidenced as decreased
formation of vasodilators such as nitric oxide and prostacyclin. Taken together,
these alterations cause hypertension by impairing renal pressure natriuresis and
increasing total peripheral resistance. Moreover, the quantitative importance of
the various endothelial and humoral factors that mediate vasoconstriction and
elevation of arterial pressure during preeclampsia remains to be elucidated. Thus
identifying the connection between placental ischemia/hypoxia and maternal
cardiovascular abnormalities in hopes of revealing potential therapeutic regimens
remains an important area of investigation and will be the focus of this review.
PMID- 18055512
TI - Rosuvastatin provides pleiotropic protection against pulmonary hypertension,
right ventricular hypertrophy, and coronary endothelial dysfunction in rats.
AB - We recently reported that increased vascular endothelial nitric oxide production
could protect against the development of monocrotaline (MCT)-induced pulmonary
arterial hypertension (PAH) and right ventricular hypertrophy (RVH) in rats (32).
The present study investigated whether the pleiotropic action of 3-hydroxy-3
methylglutaryl-CoA reductase inhibitors in upregulating endothelial function
could also protect against the MCT-induced end-organ damages. Rosuvastatin (2 mg
kg(-1) day(-1) via oral gavage) or placebo was initiated 1 wk before or 1 wk
after MCT (60 mg/kg ip) administration. One month after MCT, significant PAH
developed in the placebo rats, which were accompanied by histological evidence of
pulmonary vascular thickening and right ventricular hypertrophy. The coronary
endothelial vasodilatory function, assessed with endothelial/nitric oxide
dependent responses to acetylcholine and N(G)-nitro-L-arginine methyl ester (L
NAME), was depressed, while the constrictory responses to known coronary
constrictors was enhanced. In rats that received rosuvastatin treatment 1 wk
before MCT administration, a significantly reduced PAH and RVH was observed, as
well as reduced pulmonary vascular and right ventricular remodelings.
Rosuvastatin 1-wk posttreatment had no effect on PAH, but inhibited RVH. Right
coronary endothelial dysfunction, which was shown in placebo rats, was
effectively prevented by both pre- and postrosuvastatin treatment, while this
effect was more dramatic in the pretreated group. Left coronary endothelial
function, which was not affected by MCT, also showed an upregulation by
rosuvastatin. Taken together, our results demonstrated the pleiotropic protection
of rosuvastatin against the development of PAH and RVH and confirmed our previous
finding that the targeted preservation of coronary endothelial function and
vasoactivity may provide a novel approach to protect against cardiac remodeling.
PMID- 18055507
TI - International Union of Pharmacology. LXVIII. Mammalian bombesin receptors:
nomenclature, distribution, pharmacology, signaling, and functions in normal and
disease states.
AB - The mammalian bombesin receptor family comprises three G protein-coupled
heptahelical receptors: the neuromedin B (NMB) receptor (BB(1)), the gastrin
releasing peptide (GRP) receptor (BB(2)), and the orphan receptor bombesin
receptor subtype 3 (BRS-3) (BB(3)). Each receptor is widely distributed,
especially in the gastrointestinal (GI) tract and central nervous system (CNS),
and the receptors have a large range of effects in both normal physiology and
pathophysiological conditions. The mammalian bombesin peptides, GRP and NMB,
demonstrate a broad spectrum of pharmacological/biological responses. GRP
stimulates smooth muscle contraction and GI motility, release of numerous GI
hormones/neurotransmitters, and secretion and/or hormone release from the
pancreas, stomach, colon, and numerous endocrine organs and has potent effects on
immune cells, potent growth effects on both normal tissues and tumors, potent CNS
effects, including regulation of circadian rhythm, thermoregulation; anxiety/fear
responses, food intake, and numerous CNS effects on the GI tract as well as the
spinal transmission of chronic pruritus. NMB causes contraction of smooth muscle,
has growth effects in various tissues, has CNS effects, including effects on
feeding and thermoregulation, regulates thyroid-stimulating hormone release,
stimulates various CNS neurons, has behavioral effects, and has effects on spinal
sensory transmission. GRP, and to a lesser extent NMB, affects growth and/or
differentiation of various human tumors, including colon, prostate, lung, and
some gynecologic cancers. Knockout studies show that BB(3) has important effects
in energy balance, glucose homeostasis, control of body weight, lung development
and response to injury, tumor growth, and perhaps GI motility. This review
summarizes advances in our understanding of the biology/pharmacology of these
receptors, including their classification, structure, pharmacology, physiology,
and role in pathophysiological conditions.
PMID- 18055513
TI - Age-related decrease in 15-lipoxygenase contributes to reduced vasorelaxation in
rabbit aorta.
AB - Rabbit 15-lipoxygenase-1 (15-LO-1) oxygenates arachidonic acid (AA) into 15
hydroperoxyeicosatetraenoic acid, which is then converted to the vasodilatory 15
hydroxy-11,12-epoxyeicosatrienoic acid (HEETA) and 11,12,15
trihydroxyeicosatrienoic acid (THETA). We studied the age-dependent expression of
the 15-LO-1 in rabbit aorta and its effects on the synthesis of THETA, HEETA, and
vasoactivity. Aortas of 1-wk-old rabbits express greater amounts of 15-LO-1 mRNA
and protein compared with aortas of 4-, 8-, or 16-wk-old rabbits. The synthesis
of THETA and HEETA in the rabbit aorta was also reduced with age. THETA synthesis
was maximal in 1-wk-old aortas but decreased in aortas of 4- (42%), 8- (4%), and
16-wk-old (1%) rabbits. Similarly, THETA and HEETA synthesis decreased with age
in mesenteric arteries from 1-, 4-, 8-, and 16-wk-old rabbits. The maximum
vasorelaxation response to acetylcholine (10(-6) M) in the presence of
indomethacin and nitro-l-arginine decreased in the order of 1 wk (64.5 +/- 6.9%),
4 wk (52.6 +/- 8.9%), 8 wk (53.0 +/- 9.4%), and 16 wk (33.3 +/- 6.6%). Similarly,
the maximum relaxation to AA (3 x 10(-4) M) decreased with age in the order of 1
wk (60.4 +/- 8.9%), 4 wk (56.3 +/- 5.8%), 8 wk (41.8 +/- 12.3%), and 16 wk (28.9
+/- 1.6%). In contrast, the vasorelaxation to sodium nitroprusside was not
significantly altered by age. These data indicate that aortic 15-LO-1 expression
and activity are downregulated with aging in rabbits. This decrease is paralleled
by the reduced synthesis of vasoactive THETA and HEETA and aortic relaxations to
acetylcholine and AA.
PMID- 18055515
TI - Diameter asymmetry of porcine coronary arterial trees: structural and functional
implications.
AB - The coronary vasculature is characterized by highly asymmetric diameters at
bifurcations, which may be an important determinant of flow distribution. To
facilitate accurate reconstruction of the coronary network for hemodynamic
analysis, we introduce a statistical data set of the diameter asymmetry at
bifurcations based on morphometric data of the porcine coronary arterial and
venous trees. The bifurcation asymmetry data were represented by the diameter
ratio of the daughters relative to mother vessel and by an area expansion ratio
(AER) at each bifurcation. A novel asymmetry ratio matrix was introduced to
describe the diameter asymmetry of daughters to mother vessels. The relations
between AER and flow velocity, and asymmetry ratio matrix and flow distribution,
were considered. The results indicate that the ratio of large daughter to mother
vessel has a minimum value at order 5 (mean diameter of approximately 70 microm),
whereas the ratio of small daughter to mother vessel decreases monotonically with
increase in order number. The AER was found to be fairly uniform for larger
vessels and to increase from order 5 toward the capillaries. At order 5, we
observe a transition in asymmetric bifurcation pattern that may mark a
hemodynamic transition from transmural to perfusion subnetworks. The functional
implications of these structural transitions are considered.
PMID- 18055514
TI - Multiple antiapoptotic targets of the PI3K/Akt survival pathway are activated by
epoxyeicosatrienoic acids to protect cardiomyocytes from hypoxia/anoxia.
AB - Epoxyeicosatrienoic acids (EETs) reduce infarction of the myocardium after
ischemia-reperfusion injury to rodent and dog hearts mainly by opening
sarcolemmal and mitochondrial potassium channels. Other mediators for the action
of EET have been proposed, although no definitive pathway or mechanism has yet
been reported. Using cultured cells from two rodent species, immortalized
myocytes from a mouse atrial lineage (HL-1) and primary myocytes derived from
neonatal rat hearts, we observed that pretreatment with EETs (1 microM of 14,15-,
11,12-, or 8,9-EET) attenuated apoptosis after exposure to hypoxia and
reoxygenation (H/R). EETs also preserved the functional beating of neonatal
myocytes in culture after exposure to H/R. We demonstrated that EETs increased
the activity of the prosurvival enzyme phosphatidylinositol 3-kinase (PI3K). In
fact, cardiomyocytes pretreated with EET and exposed to H/R exhibited
antiapoptotic changes in at least five downstream effectors of PI3K, protein
kinase B (Akt), Bcl-x(L)/Bcl-2-associated death promoter, caspases-9 and -3
activities, and the expression of the X-linked inhibitor of apoptosis, compared
with vehicle-treated controls. The PI3K/Akt pathway is one of the strongest
intracellular prosurvival signaling systems. Our studies show that EETs regulate
multiple molecular effectors of this pathway. Understanding the targets of action
of EET-mediated protection will promote the development of these fatty acids as
therapeutic agents against cardiac ischemia-reperfusion.
PMID- 18055516
TI - AT1 receptor antagonism attenuates target organ effects of salt excess in SHRs
without affecting pressure.
AB - Our recent studies have demonstrated that salt excess in the spontaneously
hypertensive rat (SHR) produces a modestly increased arterial pressure while
promoting marked myocardial fibrosis and structural damage associated with
altered coronary hemodynamics and ventricular function. The present study was
designed to determine the efficacy of an angiotensin II type 1 (AT(1)) receptor
blocker (ARB) in the prevention of pressure increase and development of target
organ damage from high dietary salt intake. Eight-week-old SHRs were given an 8%
salt diet for 8 wk; their age- and gender-matched controls received standard
chow. Some of the salt-loaded rats were treated concomitantly with ARB
(candesartan; 10 mg kg(-1) day(-1)). The ARB failed to reduce the salt-induced
rise in pressure, whereas it significantly attenuated left ventricular (LV)
remodeling (mass and wall thicknesses), myocardial fibrosis (hydroxyproline
concentration and collagen volume fraction), and the development of LV diastolic
dysfunction, as shown by longer isovolumic relaxation time, decreased ratio of
peak velocity of early to late diastolic waves, and slower LV relaxation (minimum
first derivative of pressure over time/maximal LV pressure). Without affecting
the increased pulse pressure by high salt intake, the ARB prevented the salt
induced deterioration of coronary and renal hemodynamics but not the arterial
stiffening or hypertrophy (pulse wave velocity and aortic mass index).
Additionally, candesartan prevented the salt-induced increase in kidney mass
index and proteinuria. In conclusion, the ARB given concomitantly with dietary
salt excess ameliorated salt-related structural and functional cardiac and renal
abnormalities in SHRs without reducing arterial pressure. These data clearly
demonstrated that angiotensin II (via AT(1) receptors), at least in part,
participated importantly in the pressure-independent effects of salt excess on
target organ damage of hypertension.
PMID- 18055517
TI - Deficiency of M2 muscarinic acetylcholine receptors increases susceptibility of
ventricular function to chronic adrenergic stress.
AB - Suppressed parasympathetic nervous system (PSNS) function has been found in a
variety of cardiovascular diseases, such as hypertension, heart failure, and
diabetes. However, whether impaired PSNS function plays a significant role in
ventricular dysfunction remains to be investigated. Cardiac regulation by the
PSNS is primarily mediated by the M(2) muscarinic acetylcholine receptor (M(2)
AChR). In this study, we tested the hypothesis that lack of M(2)-AChR-mediated
PSNS function may adversely impact cardiac ventricular function. Using M(2)-AChR
knockout (KO) and wild-type (WT) mice, we found that the basal levels of heart
rate and left ventricular function were similar in M(2)-AChR KO and WT mice. A
bolus injection of isoproterenol (Iso) induced a greater increase in heart rate
in M(2)-AChR KO mice than in WT mice. However, the responses of change in
pressure over time (dP/dt) to Iso were similar in the two groups. After chronic
infusion with Iso for 1 wk, the baseline values of left ventricular function were
increased to similar extents in M(2)-AChR KO and WT mice. However, the M(2)-AChR
KO mice exhibited impaired ventricular function, indicated as attenuated dP/dt
and increased end-diastolic pressure, during an increase in cardiac afterload
induced by a bolus injection of phenylephrine. Furthermore, chronic Iso infusion
significantly increased matrix metalloproteinase (MMP) activity in the heart in
M(2)-AChR KO mice. In primary culture of mixed neonatal rat cardiac fibroblast
and cardiomyocytes, cotreatment with muscarinic agonist bethanechol reversed
phenylephrine-induced increase in MMP-9 activation. These data suggest that M(2)
AChR may mediate an inhibitory regulation on MMP function. The overall results
from this study suggest that M(2)-AChR-mediated PSNS function may provide cardiac
protection. Lack of this protective mechanism will increase the susceptibility of
the heart to cardiac stresses.
PMID- 18055518
TI - Oxygen regulation of arterial smooth muscle cell proliferation and survival.
AB - The purpose of this study was to determine if hypoxia elicits different
proliferative and apoptotic responses in systemic arterial smooth muscle cells
incubated under conditions that do or do not result in cellular ATP depletion and
whether these effects are relevant to vascular remodeling in vivo. Gene
expression profiling was used to identify potential regulatory pathways. In human
aortic smooth muscle cells (HASMCs) incubated at 3% O(2), proliferation and
progression through the G1/S interphase are enhanced. Incubation at 1% O(2)
reduced proliferation, delayed G1/S transition, increased apoptotic cell death,
and is associated with mitochondrial membrane depolarization and reduced cellular
ATP levels. In aorta and mesenteric artery from rats exposed to hypoxia (10%
O(2), 48 h), both proliferation and apoptosis are increased, as are medial
nuclear density and smooth muscle cell content. Although nuclear levels of
hypoxia-inducible factor 1-alpha (HIF-1alpha) are increased to a similar extent
in HASMCs incubated at 1 and 3% O(2), expression of tumor protein p53, its
transcriptional target p21, as well as their regulatory factors and downstream
effectors, are differentially affected under these two conditions, suggesting
that the bidirectional effects of hypoxia are mediated by this pathway. We
conclude that hypoxia induces a state of enhanced cell turnover through increased
rates of both smooth muscle cell proliferation and death. This confers the
ability to remodel the vasculature in response to changing tissue metabolic needs
while avoiding the accumulation of mutations that may lead to malignant
transformation or the formation of abnormal vascular structures.
PMID- 18055519
TI - Temporal changes in cardiac force- and flow-generation capacity, loading
conditions, and mechanical efficiency in streptozotocin-induced diabetic rats.
AB - Diabetes mellitus may result in impaired cardiac contractility, but the
underlying mechanisms remain unclear. We aimed to investigate the temporal
alterations in cardiac force- and flow-generation capacity and loading conditions
as well as mechanical efficiency in the evolution of systolic dysfunction in
streptozotocin (STZ)-induced diabetic rats. Adult male Wistar rats were
randomized into control and STZ-induced diabetic groups. Invasive hemodynamic
studies were done at 8, 16, and 22 wk post-STZ injection. Maximal systolic
elastance (E(max)) and maximum theoretical flow (Q(max)) were assessed by curve
fitting techniques, and ventriculoarterial coupling and mechanical efficiency
were assessed by a single-beat estimation technique. In contrast to early
occurring and persistently depressed E(max), Q(max) progressively increased with
time but was decreased at 22 wk post-STZ injection, which temporally correlated
with the changes in cardiac output. The favorable loading conditions enhanced
stroke volume and Q(max), whereas ventriculoarterial uncoupling attenuated the
cardiac mechanical efficiency in diabetic animals. The changes in E(max) and
Q(max) are discordant during the progression of contractile dysfunction in the
diabetic heart. In conclusion, our study showed that depressed Q(max) and cardiac
mechanical efficiency, occurring preceding overt systolic heart failure, are two
major determinants of deteriorating cardiac performance in diabetic rats.
PMID- 18055520
TI - Astrocytes are a key conduit for upstream signaling of vasodilation during
cerebral cortical neuronal activation in vivo.
AB - Astrocytes play an important role in the coupling between neuronal activity and
brain blood flow via their capacity to "sense" neuronal activity and transmit
that information to parenchymal arterioles. Here we show another role for
astrocytes in neurovascular coupling: the ability to act as a signaling conduit
for the vitally important process of upstream vasodilation (represented by pial
arterioles) during both excessive (seizure) and physiological (sciatic nerve
stimulation) increases in cerebral cortical neuronal activity. The predominance
of an astrocytic rather than a vascular route was indicated by data showing that
pial arteriolar-dilating responses to neuronal activation were completely blocked
following selective disruption of the superficial glia limitans, whereas
interference with interendothelial signaling was without effect. Results also
revealed contributions from connexin 43, implying a role for gap junctions and/or
hemichannels in the signaling process and that signaling from the glia limitans
to pial arterioles may involve a diffusible mediator.
PMID- 18055521
TI - Coupling of endothelial injury and repair: an analysis using an in vivo
experimental model.
AB - The repair of the endothelium after inflammatory injury is essential to
maintaining homeostasis. The link between inflammation-induced endothelial damage
and repair has not been fully characterized in vivo. We have developed a rat
model to evaluate the coupling of lipopolysaccharide (LPS)-induced endothelial
injury and repair. Aortic endothelium injury was analyzed by both
inmunohistochemistry and flow cytometry to quantify the number of endothelial
cells and the percentage of apoptotic endothelial cells. We have also identified
the percentage of circulating angiogenic cells capable of repairing the damaged
endothelium. Erythropoietin was administered to inhibit LPS-induced endothelial
apoptosis. Loss of the normal endothelial structure was observed in the aorta of
the animals treated with LPS. Eight hours after LPS administration, the number of
endothelial cells decreased by 40%, returning to normal after 24 h. There was a
threefold increase in the percentage of circulating angiogenic cells, which did
not return to normal levels until 48 h after LPS administration. Circulating
angiogenic cell levels did not change when LPS-induced endothelial damage was
prevented by erythropoietin. The endothelial injury caused by inflammation
activates the mobilization of circulating angiogenic cells, thus completing
endothelial repair. Inflammation without endothelial injury does not trigger the
mobilization of circulating angiogenic cells.
PMID- 18055522
TI - In vivo reactive oxygen species production induced by ischemia in muscle
arterioles of mice: involvement of xanthine oxidase and mitochondria.
AB - Reactive oxygen species (ROS) participate in tissue injury after ischemia
reperfusion. Their implication in leukocyte adherence and increase in
permeability at the venular side of the microcirculation have been reported, but
very little is known about ROS production in arterioles. The objective of this
work was to evaluate, in the arteriole wall in vivo, the temporal changes in
superoxide anion production during ischemia and reperfusion and to identify the
source of this production. Mouse cremaster muscle was exposed to 1 h of ischemia
followed by 30 min of reperfusion, and superoxide anion production was assessed
by a fluorescent probe, i.e., intracellular dihydroethidium oxidation. During
ischemia, we found a significant increase in dihydroethidium oxidation; however,
we observed no additional increase in fluorescence during the subsequent
reperfusion. This phenomenon was significantly inhibited by pretreatment with
superoxide dismutase. Allopurinol (xanthine oxidase inhibitor) or stigmatellin
[Q(o)-site (oriented toward the intermembrane space) inhibitor of mitochondrial
complex III] or simultaneous administration of these two inhibitors significantly
reduced superoxide production during ischemia to 80%, 88%, and 72%, respectively,
of that measured in the untreated ischemia-reperfusion group. By contrast, no
significant inhibition was found when NADPH oxidase was inhibited by apocynin or
when mitochondrial complex I or complex II was inhibited by rotenone or
thenoyltrifluoroacetone. A significant increase in ROS was found with antimycin A
[Q(i)-site (located in the inner membrane and facing the mitochondrial matrix)
inhibitor of mitochondrial complex III]. We conclude that a significant increase
in ROS production occurs during ischemia in the arteriolar wall. This increased
production involves both a cytoplasmic source (i.e., xanthine oxidase) and the
mitochondrial complex III at the Q(o) site.
PMID- 18055523
TI - Cardiotrophin-1 stimulates intercellular adhesion molecule-1 and monocyte
chemoattractant protein-1 in human aortic endothelial cells.
AB - Intercellular adhesion molecule-1 (ICAM-1) and monocyte chemoattractant protein-1
(MCP-1) play critical roles in mediating monocyte adhesion to the vascular
endothelium and monocyte migration into the subendothelial regions of the
vessels. Inasmuch as cardiotrophin-1 (CT-1), an IL-6-type cytokine, was expressed
in human atherosclerotic plaque, we examined whether CT-1 induces monocyte
adhesion and migration by stimulating gene and protein expressions of ICAM-1 and
MCP-1 in human aortic endothelial cells (HAECs). Immunocytochemistry revealed
that CT-1 increased intensity of ICAM-1 and MCP-1 immunoreactivity in HAECs.
Adhesion assay and chemotaxis assay revealed that CT-1 increased human monocytic
THP-1 cell adhesion to HAECs and promoted chemotaxis in THP-1 cells, which were
attenuated by anti-ICAM-1 and anti-MCP-1 antibody, respectively. Western blot
analysis showed that CT-1 increased phosphorylation of ERK1/2 MAP kinase, p38 MAP
kinase, and Akt and that their inhibitors, PD-98059, SB-203580, and LY-294002,
respectively, inhibited phosphorylation. RNase protection assay and ELISA
demonstrated that CT-1 increased gene and protein expressions of ICAM-1 and MCP
1. EMSA revealed that CT-1 enhanced NF-kappaB DNA-binding activity. CT-1-mediated
upregulation of ICAM-1 and MCP-1 was suppressed by PD-98059, SB-203580, LY
294002, and parthenolide. The present study demonstrates that CT-1 promotes
monocyte adhesion and migration by stimulating ICAM-1 and MCP-1 through
mechanisms that involve ERK1/2 MAP kinase, p38 MAP kinase, phosphatidylinositol 3
kinase, and NF-kappaB pathways and suggests that CT-1 plays an important role in
the pathophysiology of vascular inflammation and atherosclerosis.
PMID- 18055524
TI - In silico study on the effects of IKur block kinetics on prolongation of human
action potential after atrial fibrillation-induced electrical remodeling.
AB - Pharmacological treatment with various antiarrhythmic agents for the termination
or prevention of atrial fibrillation (AF) is not yet satisfactory. This is in
part because the drugs may not be sufficiently selective for the atrium, and they
often cause ventricular arrhythmias. The ultrarapid-delayed rectifying potassium
current (I(Kur)) is found in the atrium but not in the ventricle, and it has been
recognized as a potentially promising target for anti-AF drugs that would be
without ventricular proarrhythmia. Several new agents that specifically block
I(Kur) have been developed. They block I(Kur) in a voltage- and time-dependent
manner. Here we use mathematical models of normal and electrically remodeled
human atrial action potentials to examine the effects of the blockade kinetics of
I(Kur) on atrial action potential duration (APD). It was found that after AF
remodeling, an I(Kur) blocker with fast onset can effectively prolong APD at any
stimulus frequency, whereas a blocker with slow onset prolongs APD in a frequency
dependent manner only when the recovery is slow. The results suggest that the
voltage and time dependence of I(Kur) blockade should be taken into account in
the testing of anti-AF drugs. This modeling study suggests that a simple voltage
clamp protocol with a short pulse of approximately 10 ms at 1 Hz may be useful to
identify the effective anti-AF drugs among various I(Kur) blockers.
PMID- 18055525
TI - Peripheral changes above and below injury level lead to prolonged vascular
responses following high spinal cord injury.
AB - Autonomic dysreflexia (AD) is a debilitating disorder producing episodes of
extreme hypertension in patients with high-level spinal cord injury (SCI).
Factors leading to AD include loss of vasomotor baroreflex control to regions
below injury level, changes in spinal circuitry, and peripheral changes. The
present study tested for peripheral changes below and above injury level 6 wk
after a transection at the fourth thoracic spinal level. Changes in vascular
conductance were recorded in the femoral, renal, brachial, and carotid arteries
in response to intravenous injections of two alpha-adrenergic agonists,
phenylephrine (PE; 0.03-100 microg/kg) and methoxamine (Meth; 1-300 microg/kg).
Unlike PE, Meth is not subject to neuronal reuptake. Ganglionic blockade (0.6
mg/kg chlorisondamine) was used to eliminate the central component of the
cardiovascular response. After ganglionic blockade, SCI animals exhibited
prolonged vasoconstriction in response to PE in all blood vessels measured
compared with those in intact animals (all, P < 0.035). However, the PE dose
response curves obtained after ganglionic blockade revealed no significant
difference in the potency between the two groups (all, P > 0.06), indicating that
the prolonged vasoconstriction was not due to supersensitivity to PE. In contrast
to PE, vascular responses to Meth did not vary between intact and SCI groups (all
P > 0.108). These results show the development of a widespread peripheral change
producing prolonged vasoconstriction in response to PE, but not Meth, possibly
due to reduced neuronal reuptake of PE after SCI. This is the first study to
report such a change in blood vessels not only below but also above injury level.
Interventions to correct this reduced reuptake may help limit the development of
AD.
PMID- 18055526
TI - Effect of heterogeneous APD restitution on VF organization in a model of the
human ventricles.
AB - The onset of ventricular fibrillation (VF) has been associated with steep action
potential duration restitution in both clinical and computational studies.
Recently, detailed clinical restitution properties in cardiac patients were
reported showing a substantial degree of heterogeneity in restitution slopes at
the epicardium of the ventricles. The aim of the present study was to investigate
the effect of heterogeneous restitution properties in a three-dimensional model
of the ventricles using these clinically measured restitution data. We used a
realistic model of the human ventricles, including detailed descriptions of cell
electrophysiology, ventricular anatomy, and fiber direction anisotropy. We
extended this model by mapping the clinically observed epicardial restitution
data to our anatomic representation using a diffusion-based algorithm.
Restitution properties were then fitted by regionally varying parameters of the
electrophysiological model. We studied the effects of restitution heterogeneity
on the organization of VF by analyzing filaments and the distributions of
excitation periods. We found that the number of filaments and the excitation
periods were both dependent on the extent of heterogeneity. An increased level of
heterogeneity leads to a greater number of filaments and a broader distribution
of excitation periods, thereby increasing the complexity and dynamics of VF.
Restitution heterogeneity may play an important role in providing a substrate for
cardiac arrhythmias.
PMID- 18055527
TI - Peroxynitrite inhibits the expression of G(i)alpha protein and adenylyl cyclase
signaling in vascular smooth muscle cells.
AB - We previously showed that S-nitroso-N-acetylpenicillamine, a nitric oxide donor,
decreased the levels and functions of G(i)alpha proteins by formation of
peroxynitrite (ONOO(-)) in vascular smooth muscle cells (VSMC). The present
studies were undertaken to investigate whether ONOO(-) can modulate the
expression of G(i)alpha protein and associated adenylyl cyclase signaling in
VSMC. Treatment of A-10 and aortic VSMC with ONOO(-) for 24 h decreased the
expression of G(i)alpha-2 and G(i)alpha-3, but not G(s)alpha, protein in a
concentration-dependent manner; expression was restored toward control levels by
(111)Mn-tetralis(benzoic acid porphyrin) and uric acid, but not by
1H[1,2,4]oxadiazole[4,3-a]quinoxaline-1-one (ODQ) and KT-5823. cGMP levels were
increased by approximately 50% and 150% by 0.1 and 0.5 mM ONOO(-), respectively,
and attenuated toward control levels by ODQ. In addition, 0.5 mM ONOO(-)
attenuated the inhibition of adenylyl cyclase by ANG II and C-type atrial
natriuretic peptide (C-ANP(4-23)), as well as the inhibition of forskolin
stimulated adenylyl cyclase activity by GTPgammaS, whereas, the G(s)-mediated
stimulations were augmented. In addition, 0.5 mM ONOO(-) decreased
phosphorylation of ERK1/2 and p38 MAP kinase and enhanced JNK phosphorylation but
did not affect AKT1/3 phosphorylation. These results suggest that ONOO(-)
decreased the expression of G(i) proteins and associated functions in VSMC
through a cGMP-independent mechanism and may involve the MAP kinase signaling
pathway.
PMID- 18055528
TI - In vivo measurement of flow-mediated vasodilation in living rats using high
resolution ultrasound.
AB - In humans, endothelial vasodilator function serves as a surrogate marker for
cardiovascular health and is measured as changes in conduit artery diameter after
temporary ischemia [flow-mediated dilation (FMD)]. Here we present an FMD-related
approach to study femoral artery (FA) vasodilation in anesthetized rats. Diameter
and Doppler flow were monitored in the FA. Using high-resolution ultrasound (35
MHz) and automated analysis software, we detected dose-dependent vasodilation
using established endothelium-independent [intravenous nitroglycerin EC(50) = 3.3
x 10(-6) mol/l, peak 21Delta% (SD 4)] and endothelium-dependent [intra-arterial
acetylcholine EC(50) = 1.3 x 10(-6) mol/l, peak 27Delta% (SD 4)] pharmacological
vasodilators. Wall shear stress induced by intra-aortic injection of adenosine
and infusion of saline at increasing rates (1.5-4.5 ml/min) led to vasodilation
at 1 to 2 min. Transient hindlimb ischemia by common iliac occlusion (5 min) led
to reactive hyperemia with flow velocity and wall shear stress increase and was
followed by FA dilation [16Delta% (SD 2)], the latter of which was completely
abolished by nitric oxide synthase (NOS) inhibition with N(G)-monomethyl-L
arginine [1Delta% (SD 2)]. FMD was significantly reduced in adult 20-24-wk-old
animals compared with 9- to 10-wk-old animals, consistent with age-dependent
endothelial dysfunction [16Delta% (SD 3) vs. 10Delta% (SD 3), P < 0.05]. Whereas
FMD was completely NOS dependent in 9- to 10-wk-old animals, NOS-dependent
mechanisms accounted for only half of the FMD in 20-24-wk-old animals, with the
remainder being blocked by charybdotoxin and apamin, suggesting a contribution of
endothelium-derived hyperpolarizing factor. To our knowledge, this is the first
integrative physiological model to reproducibly study FMD of conduit arteries in
living rats.
PMID- 18055530
TI - Oligomerization of membrane-bound diphtheria toxin (CRM197) facilitates a
transition to the open form and deep insertion.
AB - Diphtheria toxin (DT) contains separate domains for receptor-specific binding,
translocation, and enzymatic activity. After binding to cells, DT is taken up
into endosome-like acidic compartments where the translocation domain inserts
into the endosomal membrane and releases the catalytic domain into the cytosol.
The process by which the catalytic domain is translocated across the endosomal
membrane is known to involve pH-induced conformational changes; however, the
molecular mechanisms are not yet understood, in large part due to the challenge
of probing the conformation of the membrane-bound protein. In this work neutron
reflection provided detailed conformational information for membrane-bound DT
(CRM197) in situ. The data revealed that the bound toxin oligomerizes with
increasing DT concentration and that the oligomeric form (and only the oligomeric
form) undergoes a large extension into solution with decreasing pH that coincides
with deep insertion of residues into the membrane. We interpret the large
extension as a transition to the open form. These results thus indicate that as a
function of bulk DT concentration, adsorbed DT passes from an inactive state with
a monomeric dimension normal to the plane of the membrane to an active state with
a dimeric dimension normal to the plane of the membrane.
PMID- 18055529
TI - Cytoskeletal bundle mechanics.
AB - The mechanical properties of cytoskeletal actin bundles play an essential role in
numerous physiological processes, including hearing, fertilization, cell
migration, and growth. Cells employ a multitude of actin-binding proteins to
actively regulate bundle dimensions and cross-linking properties to suit
biological function. The mechanical properties of actin bundles vary by orders of
magnitude depending on diameter and length, cross-linking protein type and
concentration, and constituent filament properties. Despite their importance to
cell function, the molecular design principles responsible for this mechanical
behavior remain unknown. Here, we examine the mechanics of cytoskeletal bundles
using a molecular-based model that accounts for the discrete nature of
constituent actin filaments and their distinct cross-linking proteins. A generic
competition between filament stretching and cross-link shearing determines three
markedly different regimes of mechanical response that are delineated by the
relative values of two simple design parameters, revealing the universal nature
of bundle-bending mechanics. In each regime, bundle-bending stiffness displays
distinct scaling behavior with respect to bundle dimensions and molecular
composition, as observed in reconstituted actin bundles in vitro. This mechanical
behavior has direct implications on the physiological bending, buckling, and
entropic stretching behavior of cytoskeletal processes, as well as reconstituted
actin systems. Results are used to predict the bending regimes of various in vivo
cytoskeletal bundles that are not easily accessible to experiment and to generate
hypotheses regarding implications of the isolated behavior on in vivo bundle
function.
PMID- 18055531
TI - Heterogeneity in desiccated solutions: implications for biostabilization.
AB - Biopreservation processes such as freezing and drying inherently introduce
heterogeneity. We focused on exploring the mechanisms responsible for
heterogeneity in isothermal, diffusively dried biopreservation solutions that
contain a model protein. The biopreservation solutions used contained trehalose
(a sugar known for its stabilization effect) and salts (LiCl, NaCl, MgCl2, and
CaCl2). Performing Fourier transform infrared spectroscopy analysis on the
desiccated droplets, spatial distributions of the components within the dried
droplet, as well as their specific interactions, were investigated. It was
established that the formation of multiple thermodynamic states was induced by
the spatial variations in the cosolute concentration gradients, directly
affecting the final structure of the preserved protein. The spatial distribution
gradients were formed by two competing flows that formed within the drying
droplet: a dominant peripheral flow, induced by contact line pinning, and the
Marangoni flow, induced by surface tension gradients. It was found that the
changes in cosolute concentrations and drying conditions affected the spatial
heterogeneity and stability of the product. It was also found that trehalose and
salts had a synergistic stabilizing effect on the protein structure, which
originated from destructuring of the vicinal water, which in turn mediated the
interactions of trehalose with the protein. This interaction was observed by the
change in the glycosidic CO, and the CH stretch vibrations of the trehalose
molecule.
PMID- 18055532
TI - Osmotic stress and viscous retardation of the Na,K-ATPase ion pump.
AB - The transport function of the Na pump (Na,K-ATPase) in cellular ion homeostasis
involves both nucleotide binding reactions in the cytoplasm and alternating
aqueous exposure of inward- and outward-facing ion binding sites. An osmotically
active, nonpenetrating polymer (poly(ethyleneglycol); PEG) and a modifier of the
aqueous viscosity (glycerol) were used to probe the overall and partial enzymatic
reactions of membranous Na,K-ATPase from shark salt glands. Both inhibit the
steady-state Na,K-ATPase as well as Na-ATPase activity, whereas the K(+)
dependent phosphatase activity is little affected by up to 50% of either. Both
Na,K-ATPase and Na-ATPase activities are inversely proportional to the viscosity
of glycerol solutions in which the membranes are suspended, in accordance with
Kramers' theory for strong coupling of fluctuations at the active site to solvent
mobility in the aqueous environment. PEG decreases the affinity for Tl(+) (a
congener for K(+)), whereas glycerol increases that for the nucleotides ATP and
ADP in the presence of NaCl but has little effect on the affinity for Tl(+). From
the dependence on osmotic stress induced by PEG, the aqueous activation volume
for the Na,K-ATPase reaction is estimated to be approximately 5-6 nm(3) (i.e.,
approximately 180 water molecules), approximately half this for Na-ATPase, and
essentially zero for p-nitrophenol phosphatase. The change in aqueous hydrated
volume associated with the binding of Tl(+) is in the region of 9 nm(3). Analysis
of 15 crystal structures of the homologous Ca-ATPase reveals an increase in PEG
inaccessible water space of approximately 22 nm(3) between the E(1)-nucleotide
bound forms and the E(2)-thapsigargin forms, showing that the experimental
activation volumes for Na,K-ATPase are of a magnitude comparable to the overall
change in hydration between the major E(1) and E(2) conformations of the Ca
ATPase.
PMID- 18055534
TI - Properly interpreting lipid-protein specificities in pulmonary surfactant.
PMID- 18055535
TI - Discrimination of class I cyclobutane pyrimidine dimer photolyase from blue light
photoreceptors by single methionine residue.
AB - DNA photolyase recognizes ultraviolet-damaged DNA and breaks improperly formed
covalent bonds within the cyclobutane pyrimidine dimer by a light-activated
electron transfer reaction between the flavin adenine dinucleotide, the electron
donor, and cyclobutane pyrimidine dimer, the electron acceptor. Theoretical
analysis of the electron-tunneling pathways of the DNA photolyase derived from
Anacystis nidulans can reveal the active role of the protein environment in the
electron transfer reaction. Here, we report the unexpectedly important role of
the single methionine residue, Met-353, where busy trafficking of electron
tunneling currents is observed. The amino acid conservation pattern of Met-353 in
the homologous sequences perfectly correlates with experimentally verified
annotation as photolyases. The bioinformatics sequence analysis also suggests
that the residue plays a pivotal role in biological function. Consistent findings
from different disciplines of computational biology strongly suggest the pivotal
role of Met-353 in the biological function of DNA photolyase.
PMID- 18055536
TI - Measuring and modeling chloride-hydroxyl exchange in the Guinea-pig ventricular
myocyte.
AB - Protons are powerful modulators of cardiac function. Their intracellular
concentration is regulated by sarcolemmal ion transporters that export or import
H+-ions (or their ionic equivalent: HCO3-, OH-). One such transporter, which
imports H+-equivalents, is a putative Cl-/OH- exchanger (CHE). A strong candidate
for CHE is SLC26A6 protein, a product of the SLC26A gene family of anion
transporters, which has been detected in murine heart. SLC26A6 protein is
suggested to be an electrogenic 1Cl-/2OH-(2HCO3-) exchanger. Unfortunately, there
is insufficient characterization of cardiac CHE against which the properties of
heterologously expressed SLC26A6 can be matched. We therefore investigated the
proton, Cl-, and voltage dependence of CHE activity in guinea-pig ventricular
myocytes, using voltage-clamp, intracellular pH fluorescence, and mathematical
modeling techniques. We find that CHE activity is tightly regulated by
intracellular and extracellular pH, is voltage-insensitive over a wide range (+/
80 mV), and displays substrate dependence suggestive of electroneutral 1Cl-/1OH-
exchange. These properties exclude electrogenic SLC26A6 as sole contributor to
CHE. Either the SLC26A6 product in heart is electroneutral, or CHE comprises at
least two transporters with oppositely balanced voltage sensitivity.
Alternatively, CHE may comprise an H+-Cl- coinflux system, which cannot be
distinguished kinetically from an exchanger. Irrespective of ionic mechanism,
CHE's pH sensitivity helps to define resting intracellular pH, and hence basal
function in the heart.
PMID- 18055537
TI - SRC family kinases and receptors: analysis of three activation mechanisms by
dynamic systems modeling.
AB - Src family kinases (SFKs) interact with a number of cellular receptors. They
participate in diverse signaling pathways and cellular functions. Most of the
receptors involved in SFK signaling are characterized by similar modes of
regulation. This computational study discusses a general kinetic model of SFK
receptor interaction. The analysis of the model reveals three major ways of SFK
activation: release of inhibition by C-terminal Src kinase, weakening of the
inhibitory intramolecular phosphotyrosine-SH2 interaction, and amplification of a
stimulating kinase activity. The SFK model was then extended to simulate
interaction with growth factor and T-cell receptors. The modular SFK signaling
system was shown to adapt to the requirements of specific signaling contexts and
yield qualitatively different responses in the different simulated environments.
The model also provides a systematic overview of the major interactions between
SFKs and various cellular signaling systems and identifies their common
properties.
PMID- 18055538
TI - Agnostic particle tracking for three-dimensional motion of cellular granules and
membrane-tethered bead dynamics.
AB - The ability to detect biological events at the single-molecule level provides
unique biophysical insights. Back-focal-plane laser interferometry is a promising
technique for nanoscale three-dimensional position measurements at rates far
beyond the capability of standard video. We report an in situ calibration
technique for back-focal-plane, low-power (nontrapping) laser interferometry. The
technique does not rely on any a priori model or calibration knowledge, hence the
name "agnostic". We apply the technique to track long-range (up to 100 microm)
motion of a variety of particles, including magnetic beads, in three-dimensions
with high spatiotemporal resolution ( approximately 2 nm, 100 micros). Our
tracking of individual unlabeled vesicles revealed a previously unreported
grouping of mean-squared displacement curves at short timescales (<10 ms). Also,
tracking functionalized magnetic beads attached to a live cell membrane revealed
an anchorage-dependent nonlinear response of the membrane. The software-based
technique involves injecting small perturbations into the probe position by
driving a precalibrated specimen-mounting stage while recording the quadrant
photodetector signals. The perturbations and corresponding quadrant photodetector
signals are analyzed to extract the calibration parameters. The technique is
sufficiently fast and noninvasive that the calibration can be performed on-the
fly without interrupting or compromising high-bandwidth, long-range tracking of a
particle.
PMID- 18055539
TI - Structure and thermotropic behavior of the Staphylococcus aureus lipid lysyl
dipalmitoylphosphatidylglycerol.
AB - We have characterized the structural and thermotropic properties of one of the
most important lipids in the cell membrane of Staphylococcus aureus, lysyl
dipalmitoylphosphatidylglycerol (lysyl-DPPG). applying differential scanning
calorimetry and small- and wide-angle x-ray scattering. Microcalorimetry revealed
that under physiological conditions (phosphate buffer, 20 mM NaPi, 130 mM NaCl,
pH 7.4), the synthetic lysyl-DPPG resembles the features of the parent
dipalmitoylphosphatidylglycerol (DPPG) with respect to its melting behavior.
However, in contrast to DPPG, lowering the pH did not significantly affect the
main transition temperature ( approximately 40 degrees C) of lysyl-DPPG, which
can be explained by its difference in protonization because of the lysine group.
X-ray experiments yielded the first information on chain packing and morphology
of lysyl-DPPG. We found that lysyl-DPPG forms an interdigitated lamellar phase
below the chain-melting transition. This can be explained by the large headgroup
area of lysyl-DPPG as a result of its charged lysine group, especially if the
headgroup is arranged parallel to the bilayer plane. Additionally, lysyl-DPPG
degradation products, such as lysine and free fatty acids, had significant
influences on the melting behavior and led to a multicomponent melting
transition. Our results indicate that the degradation of lysyl-DPPG takes place
mainly during the hydration process but also depends on lipid storage time, pH,
and thermal treatment. Detailed temperature-resolved experiments at pH 5.0
demonstrated the formation of a lamellar gel phase with tilted hydrocarbon chains
and a ripple phase, coexisting with the interdigitated lysyl-DPPG bilayers.
PMID- 18055540
TI - Interfacial polar interactions affect gramicidin channel kinetics.
AB - Critical to biological processes such as secretion and transport, protein-lipid
interactions within the membrane and at the membrane-water interface still raise
many questions. Here we examine the role of lipid headgroups in these
interactions by using gramicidin A (gA) channels in planar bilayers as a probe.
We show that although headgroup demethylation from phosphatidylcholine (DOPC) to
phosphatidylethanolamine decreases the lifetime of gA channels by an order of
magnitude in accordance with the currently accepted hydrophobic mismatch
mechanism, our findings with diether-DOPC suggest the importance of the headgroup
peptide interactions. According to our x-ray diffraction measurements, this lipid
has the same hydrophobic thickness as DOPC but increases gA lifetime by a factor
of 2. Thus we demonstrate that peptide-headgroup interactions may dominate over
the effect of hydrophobic mismatch in regulating protein function.
PMID- 18055541
TI - Protection against human immunodeficiency virus type 1 Tat neurotoxicity by
Ginkgo biloba extract EGb 761 involving glial fibrillary acidic protein.
AB - Human immunodeficiency virus (HIV)-1 Tat protein is an important pathogenic
factor in HIV-associated neuropathogenesis. Despite recent progress, the
molecular mechanisms underlying Tat neurotoxicity are still not completely
understood. However, few therapeutics have been developed to specifically target
HIV infection in the brain. Recent development of an inducible brain-specific Tat
transgenic mouse model has made it possible to define the mechanisms of Tat
neurotoxicity and evaluate anti-neuroAIDS therapeutic candidates in the context
of a whole organism. Herein, we demonstrate that administration of EGb 761, a
standardized formulation of Ginkgo biloba extract, markedly protected Tat
transgenic mice from Tat-induced developmental retardation, inflammation, death,
astrocytosis, and neuron loss. EGb 761 directly down-regulated glial fibrillary
acidic protein (GFAP) expression at both protein and mRNA levels. This down
regulation was, at least in part, attributable to direct effects of EGb 761 on
the interactions of the AP1 and NF-kappaB transcription factors with the GFAP
promoter. Most strikingly, Tat-induced neuropathological phenotypes including
macrophage/microglia activation, central nervous system infiltration of T
lymphocytes, and oxidative stress were significantly alleviated in GFAP-null/Tat
transgenic mice. Taken together, these results provide the first evidence to
support the potential for clinical use of EGb 761 to treat HIV-associated
neurological diseases. Moreover, these findings suggest for the first time that
GFAP activation is directly involved in Tat neurotoxicity, supporting the notion
that astrocyte activation or astrocytosis may directly contribute to HIV
associated neurological disorders.
PMID- 18055542
TI - Cholangiocytes with mesenchymal features contribute to progressive hepatic
fibrosis of the polycystic kidney rat.
AB - The polycystic kidney (PCK) rat is an animal model of Caroli's disease with
congenital hepatic fibrosis, in which the mechanism of progressive hepatic
fibrosis remains unknown. This study aimed to clarify the mechanism of hepatic
fibrosis of the PCK rat from the viewpoint of the contribution of pathological
cholangiocytes. In liver sections of the PCK rats, intrahepatic bile ducts were
constituted by two different phenotypes: bile ducts lined by cuboidal-shaped and
flat-shaped cholangiocytes. The flat-shaped cholangiocytes showed reduced
immunohistochemical expression of the biliary epithelial marker cytokeratin 19
and positive immunoreactivity for vimentin and fibronectin. When cultured
cholangiocytes of the PCK rat were treated with transforming growth factor (TGF)
beta1, a potent inducer of epithelial-mesenchymal transition, induction of
vimentin, fibronectin, and collagen expression occurred in the PCK
cholangiocytes. Although the TGF-beta1 treatment reduced cytokeratin 19
expression, the epithelial cell features characterized by the expression of E
cadherin and zonula occludens-1 was maintained, and alpha-smooth muscle actin
expression was not induced in the cholangiocytes. Cholangiocytes of the PCK rat
may acquire mesenchymal features in response to TGF-beta1 and participate in
progressive hepatic fibrosis by producing extracellular matrix molecules, which
seems to be a different event from epithelial-mesenchymal transition.
PMID- 18055543
TI - Chronic oxidative stress causes amplification and overexpression of ptprz1
protein tyrosine phosphatase to activate beta-catenin pathway.
AB - Ferric nitrilotriacetate induces oxidative renal tubular damage via Fenton
reaction, which subsequently leads to renal cell carcinoma (RCC) in rodents.
Here, we used gene expression microarray and array-based comparative genomic
hybridization analyses to find target oncogenes in this model. At the common
chromosomal region of amplification (4q22) in rat RCCs, we found ptprz1, a
tyrosine phosphatase (also known as protein tyrosine phosphatase zeta or receptor
tyrosine phosphatase beta) highly expressed in the RCCs. Analyses revealed
genomic amplification up to eightfold. Despite scarcity in the control kidney,
the amounts of PTPRZ1 were increased in the kidney after 3 weeks of oxidative
stress, and mRNA levels were increased 16 approximately 552-fold in the RCCs.
Network analysis of the expression revealed the involvement of the beta-catenin
pathway in the RCCs. In the RCCs, dephosphorylated beta-catenin was translocated
to nuclei, resulting in the expression of its target genes cyclin D1, c-myc, c
jun, fra-1, and CD44. Furthermore, knockdown of ptprz1 with small interfering RNA
(siRNA), in FRCC-001 and FRCC-562 cell lines established from the induced RCCs,
decreased the amounts of nuclear beta-catenin and suppressed cellular
proliferation concomitant with a decrease in the expression of target genes.
These results demonstrate that chronic oxidative stress can induce genomic
amplification of ptprz1, activating beta-catenin pathways without the involvement
of Wnt signaling for carcinogenesis. Thus, iron-mediated persistent oxidative
stress confers an environment for gene amplification.
PMID- 18055544
TI - The monocyte chemoattractant protein-1/cognate CC chemokine receptor 2 system
affects cell motility in cultured human podocytes.
AB - In crescentic glomerulonephritis (GN), monocyte chemoattractant protein-1 (MCP-1)
is overexpressed within the glomeruli, and MCP-1 blockade has renoprotective
effects. Adult podocytes are in a quiescent state, but acquisition of a
migratory/proliferative phenotype has been described in crescentic GN and
implicated in crescent formation. The cognate CC chemokine receptor 2 (CCR2), the
MCP-1 receptor, is expressed by other cell types besides monocytes and has been
implicated in both cell proliferation and migration. We investigated whether MCP
1 binding to CCR2 can induce a migratory/proliferative response in cultured
podocytes. MCP-1 binding to CCR2 enhanced podocyte chemotaxis/haptotaxis in a
concentration-dependent manner and had a modest effect on cell proliferation.
Closure of a wounded podocyte monolayer was delayed by CCR2 blockade, and CCR2
was overexpressed at the wound edge, suggesting a role for CCR2 in driving
podocyte migration. Immunohistochemical analysis of kidney biopsies from patients
with crescentic GN demonstrated CCR2 expression in both podocytes and cellular
crescents, confirming the clinical relevance of our in vitro findings. In
conclusion, the MCP-1/CCR2 system is functionally active in podocytes and may be
implicated in the migratory events triggered by podocyte injury in crescentic GN
and other glomerular diseases.
PMID- 18055545
TI - Lipoprotein receptor-related protein-1 mediates amyloid-beta-mediated cell death
of cerebrovascular cells.
AB - Inefficient clearance of A beta, caused by impaired blood-brain barrier crossing
into the circulation, seems to be a major cause of A beta accumulation in the
brain of late-onset Alzheimer's disease patients and hereditary cerebral
hemorrhage with amyloidosis Dutch type. We observed association of receptor for
advanced glycation end products, CD36, and low-density lipoprotein receptor
(LDLR) with cerebral amyloid angiopathy in both Alzheimer's disease and
hereditary cerebral hemorrhage with amyloidosis Dutch type brains and increased
low-density lipoprotein receptor-related protein-1 (LRP-1) expression by
perivascular cells in cerebral amyloid angiopathy. We investigated if these A
beta receptors are involved in A beta internalization and in A beta-mediated cell
death of human cerebrovascular cells and astrocytes. Expression of both the LRP-1
and LDLR by human brain pericytes and leptomeningeal smooth muscle cells, but not
by astrocytes, increased on incubation with A beta. Receptor-associated protein
specifically inhibited A beta-mediated up-regulation of LRP-1, but not of LDLR,
and receptor-associated protein also decreased A beta internalization and A beta
mediated cell death. We conclude that especially LRP-1 and, to a minor extent,
LDLR are involved in A beta internalization by and A beta-mediated cell death of
cerebral perivascular cells. Although perivascular cells may adapt their A beta
internalization capacity to the levels of A beta present, saturated LRP-1/LDLR
mediated uptake of A beta results in degeneration of perivascular cells.
PMID- 18055546
TI - Myeloperoxidase is critically involved in the induction of organ damage after
renal ischemia reperfusion.
AB - In this study the role of myeloperoxidase (MPO) in a murine (C57BL/6) model of
ischemia and reperfusion (I/R)-induced renal failure was investigated. The renal
function after I/R was analyzed in MPO-deficient (Mpo(-/-)) mice and compared
with wild-type (WT) controls. A significant reduction in renal function loss
(blood urea nitrogen) was observed after 24 hours of reperfusion of ischemically
damaged kidneys in Mpo(-/-) mice compared with I/R WT controls (I/R Mpo(-/-) =
31.3 +/- 1.7 mmol/L versus I/R WT = 42.8 +/- 2.1 mmol/L, sham = 7.0 +/- 0.5
mmol/L; P = 0.003). The early reperfusion phase (2 hours of reperfusion) was
characterized by a substantial increase in apoptosis and early complement
activation, surprisingly similar in Mpo(-/-) and WT mice. Improved renal function
in Mpo(-/-) mice after extended reperfusion was accompanied by a reduced
neutrophil influx (P = 0.017) compared with WT controls. Activation and
deposition of complement was not significantly reduced in Mpo(-/-) mice compared
with WT controls after 24 hours of reperfusion, indicating no specific in vivo
role for MPO in activating complement after renal I/R. Taken together, these
results demonstrated an important contribution of MPO in the induction of organ
damage after renal I/R by influencing critical factors such as neutrophil
extravasation but not complement activation.
PMID- 18055547
TI - Indoleamine 2,3-dioxygenase (IDO): the antagonist of type I interferon-driven
skin inflammation?
AB - Recent studies have provided evidence that a type I interferon (IFN)-driven
immune response might play an important role in the pathogenesis of lichen planus
(LP), an inflammatory disorder of the skin of unclear etiology. Plasmacytoid
dendritic cells in affected skin from LP have been proposed to produce IFN
alpha/beta locally, which leads to the expression of IFN-inducible chemokines
such as IP10/CXCL10 in the epidermis. This chemokine recruits chemokine receptor
CXCR3-expressing T-lymphocytes into the skin via CXCR3/IP10 interactions.
Indoleamine 2,3-dioxygenase (IDO), which degrades tryptophan and suppresses T
cell proliferation, is induced by IFNs and other inflammatory cytokines. We show
that type I IFN-mediated skin disorders, such as LP, strongly express IDO in
lesional skin. This expression closely correlates to the expression of the highly
specific type I IFN marker MxA. We further demonstrate that the IDO+ cells in LP
are large myeloid CD11c+S100+CD68(-) dendritic cells. Accordingly, CD11c+ antigen
presenting cells significantly up-regulate IDO gene expression and intracellular
IDO protein expression after stimulation with IFN-alpha in vitro. These findings
reveal that both proinflammatory and counterregulatory mechanisms are operative
in cutaneous lesions of LP. We propose that the balance of these mechanisms may
be involved in the pathogenesis of this disorder.
PMID- 18055548
TI - Probing the effects of stress mediators on the human hair follicle: substance P
holds central position.
AB - Stress alters murine hair growth, depending on substance P-mediated neurogenic
inflammation and nerve growth factor (NGF), a key modulator of hair growth
termination (catagen induction). Whether this is of any relevance in human hair
follicles (HFs) is completely unclear. Therefore, we have investigated the
effects of substance P, the central cutaneous prototypic stress-associated
neuropeptide, on normal, growing human scalp HFs in organ culture. We show that
these prominently expressed substance P receptor (NK1) at the gene and protein
level. Organ-cultured HFs responded to substance P by premature catagen
development, down-regulation of NK1, and up-regulation of neutral endopeptidase
(degrades substance P). This was accompanied by mast cell degranulation in the HF
connective tissue sheath, indicating neurogenic inflammation. Substance P down
regulated immunoreactivity for the growth-promoting NGF receptor (TrkA), whereas
it up-regulated NGF and its apoptosis- and catagen-promoting receptor (p75NTR).
In addition, MHC class I and beta2-microglobulin immunoreactivity were up
regulated and detected ectopically, indicating collapse of the HF immune
privilege. In conclusion, we present a simplistic, but instructive, organ culture
assay to demonstrate sensitivity of the human HF to key skin stress mediators.
The data obtained therewith allow one to sketch the first evidence-based
biological explanation for how stress may trigger or aggravate telogen effluvium
and alopecia areata.
PMID- 18055549
TI - Induction of tau pathology by intracerebral infusion of amyloid-beta -containing
brain extract and by amyloid-beta deposition in APP x Tau transgenic mice.
AB - Alzheimer's disease presents morphologically with senile plaques, primarily made
of extracellular amyloid-beta (A beta) deposits, and neurofibrillary lesions,
which consist of intracellular aggregates of hyperphosphorylated tau protein. To
study the in vivo induction of tau pathology, dilute brain extracts from aged A
beta-depositing APP23 transgenic mice were intracerebrally infused in young
B6/P301L tau transgenic mice. Six months after the infusion, tau pathology was
induced in the injected hippocampus but also in brain regions well beyond the
injection sites such as the entorhinal cortex and amygdala, areas with neuronal
projection to the injection site. No or only modest tau induction was observed
when brain extracts from aged nontransgenic control mice and aged tau-depositing
B6/P301L transgenic mice were infused. To further study A beta-induced tau
lesions B6/P301L tau transgenic mice were crossed with APP23 mice. Although A
beta deposition in double-transgenic mice did not differ from single APP23
transgenic mice, double-transgenic mice revealed increased tau pathology compared
to single B6/P301L tau transgenic mice predominately in areas with high A beta
plaque load. The present results suggest that both extract-derived A beta species
and deposited fibrillary A beta can induce the formation of tau neurofibrillary
pathology. The observation that infused A beta can trigger the tau pathology in
the absence of A beta deposits provides an explanation for the discrepancy
between the neuroanatomical location of A beta deposits and the development and
spreading of tau lesions in Alzheimer's disease brain.
PMID- 18055550
TI - Loss of partitioning-defective-3/isotype-specific interacting protein (par
3/ASIP) in the elongating spermatid of RA175 (IGSF4A/SynCAM)-deficient mice.
AB - IGSF4a/RA175/SynCAM (RA175) and junctional adhesion molecules (Jams) are members
of the immunoglobulin superfamily with a PDZ-binding domain at their C termini.
Deficiency of Ra175 (Ra175(-/-)) as well as Jam-C deficiency (Jam-C(-/-)) causes
the defect of the spermatid differentiation, oligo-astheno-teratozoospermia.
Ra175(-/-) elongating spermatids fail to mature further, whereas Jam-C(-/-) round
spermatids lose cell polarity, and most of Jam-C(-/-) elongated spermatids are
completely lost. RA175 and Jam-C seem to have similar but distinct functional
roles during spermatid differentiation. Here we show that the cell polarity
protein Par-3 with PDZ domains, a binding partner of Jams, is one of the
associated proteins of the cytoplasmic region of RA175 in testis. Par-3 and Jam-C
are partly co-localized with RA175 in the elongating and elongated spermatids;
their distributions overlapped with that of RA175 on the tips of the dorsal
region of the head of the elongating spermatid (steps 9 to 12) in the wild type.
In the Ra175(-/-) elongating spermatid, Par-3 was absent, and Jam-C was absent or
abnormally localized. The RA175 formed a ternary complex with Jam-C via
interaction with Par-3. The lack of the ternary complex in the Ra175(-/-)
elongating spermatid may cause the defect of the specialized adhesion structures,
resulting in the oligo-astheno-teratozoospermia.
PMID- 18055551
TI - Amelioration of coxsackievirus B3-mediated myocarditis by inhibition of tissue
inhibitors of matrix metalloproteinase-1.
AB - Coxsackievirus B3 (CVB3) is a major cause of acute myocarditis, a serious
condition that is refractory to treatment. Myocardial damage results in tissue
remodeling that, if too extensive, may contribute to disease. Remodeling is
achieved by extracellular proteolysis mediated by the matrix metalloproteinases
(MMPs), and MMP activity is counterbalanced by tissue inhibitors of MMPs (TIMPs).
We show herein that TIMP-1 expression is induced in the myocardium by CVB3
infection. Surprisingly, TIMP-1 knockout mice exhibited a profound attenuation of
myocarditis, with increased survival. The amelioration of disease in TIMP-1
knockout mice was not attributable to either an altered T-cell response to the
virus or to reduced viral replication. These data led us to propose a novel
function for TIMP-1: its highly localized up-regulation might arrest the MMP
dependent migration of inflammatory cells at sites of infection, thereby
anatomically focusing the adaptive immune response. The benefits of TIMP-1
blockade in treating viral myocarditis were confirmed by administering, to wild
type animals, TIMP-1-specific siRNA or polyclonal antisera, both of which
diminished CVB3-induced myocarditis. These unexpected findings indicate that
increased TIMP-1 expression exacerbates, rather than ameliorates, CVB3-induced
myocarditis and, thus, that TIMP-1 may represent a target for the treatment of
virus-induced heart disease.
PMID- 18055552
TI - Nox1 expression determines cellular reactive oxygen and modulates c-fos-induced
growth factor, interleukin-8, and Cav-1.
AB - Increased cellular reactive oxygen species (ROS) can act as mitogenic signals in
addition to damaging DNA and oxidizing lipids and proteins, implicating ROS in
cancer development and progression. To analyze the effects of Nox1 expression and
its relation to cellular ROS and signal transduction involved in cellular
proliferation, Nox1RNAi constructs were transfected into DU145 prostate cancer
cells overexpressing Nox1, causing decreased Nox1 message and protein levels in
the Nox1RNAi cell lines. Increased ROS and tumor growth in the Nox1
overexpressing DU145 cells were reversed in the presence of the Nox1RNAi.
Analysis and comparison of the message levels in the overexpression and RNAi
cells demonstrated that Nox1 overexpression leads to changes in message levels of
a variety of proteins including c-fos-induced growth factor, interleukin-8, and
Cav-1. Finally, we found that Nox1 protein overexpression is an early event in
the development of prostate cancer using a National Cancer Institute prostate
cancer tissue microarray (CPCTR). Tumor (86%) was significantly more likely to
have Nox1 staining than benign prostate tissue (62%) (P = 0.0001). These studies
indicate that Nox1 overexpression may function as a reversible signal for
cellular proliferation with relevance for a common human tumor.
PMID- 18055553
TI - Deletion of integrin-linked kinase from skeletal muscles of mice resembles
muscular dystrophy due to alpha 7 beta 1-integrin deficiency.
AB - Integrin-linked kinase (Ilk) is a serine/threonine kinase and an adaptor protein
that links integrins to the actin cytoskeleton and to a number of signaling
pathways involved in integrin action. We hypothesized that Ilk may act as an
important effector of integrins in skeletal muscle, where these receptors provide
a critical link between the sarcolemma and the extracellular matrix. Using the
cre/lox system, we deleted Ilk from skeletal muscles of mice. The resulting
mutants developed a progressive muscular dystrophy with multiple degenerating and
regenerating muscle fibers, increased central nuclei, and endomysial fibrosis.
These defects were widespread but were most severe near myofascial junctions
where Ilk mutants showed displacement of focal adhesion-related proteins,
including vinculin, paxillin, focal adhesion kinase, dystrophin, and the alpha 7
beta 1D-integrin subunits. Distal ends of mutant muscle fibers appeared
irregular, and there was restructuring of the actin cytoskeleton. These findings
resemble those seen in humans and mice lacking the alpha 7-integrin subunit and
suggest that Ilk may act as a cytoplasmic effector of alpha 7 beta1-integrin in
the pathogenesis of these deficiencies.
PMID- 18055554
TI - Interleukin-4 does not influence development of hypercholesterolemia or
angiotensin II-induced atherosclerotic lesions in mice.
AB - Interleukin-4 (IL-4) has been detected in both human and mouse atherosclerotic
lesions, although its effects on the development of the disease are undefined. We
determined the role of IL-4 in the most commonly used murine models of
atherosclerosis by defining the effects of exogenous delivery and genetic
deficiency of this cytokine on both hypercholesterolemia and AngII-induced
atherosclerosis in apolipoprotein E (apoE)(-/-) mice and different dietary
stimuli in low-density lipoprotein (LDL) receptor(-/-) mice. Exogenous
administration of IL-4 (1.1 ng g(-1) day(-1) i.p. for 30 days) into female apoE(
/-) mice had no effect on lesion size or composition in mice fed normal or
saturated fat diets. Also, IL-4 deficiency had no significant effect on the size
or composition of atherosclerotic lesions in two vascular areas of male and
female apoE(-/-) mice fed either a normal or saturated fat diet. IL-4 deficiency
was also studied in age-matched male mice infused with AngII (1000 ng kg(-1) min(
1)) for 28 days. Whereas AngII infusion augmented atherosclerotic lesion
formation, IL-4 deficiency did not influence atherosclerotic lesion size or
composition. Finally, different dietary stimuli also had no effect on
atherosclerotic lesion size in female LDL receptor(-/-) mice. These data
demonstrate that IL-4 does not significantly influence the development of
atherosclerotic lesions in apoE(-/-) mice of either gender or in female LDL
receptor(-/-) mice, irrespective of the mode of induction of atherosclerosis.
PMID- 18055555
TI - Polyunsaturated fatty acids induce alpha-synuclein-related pathogenic changes in
neuronal cells.
AB - The misfolding and aggregation of normally soluble proteins has emerged as a key
feature of several neurodegenerative diseases. In Parkinson's disease,
progressive loss of dopaminergic neurons is accompanied by polymerization of the
cytoplasmic protein alpha-synuclein (alphaS) into filamentous inclusions found in
neuronal somata (Lewy bodies) and dendrites (Lewy neurites). Similar alphaS
aggregates occur in cortical neurons in dementia with Lewy bodies. Numerous
reports now indicate that alphaS can interact with lipids. We previously found
that treating dopaminergic cells expressing alphaS with polyunsaturated fatty
acids (PUFAs) induced the formation of soluble, sodium dodecyl sulfate-stable
oligomers whereas treatment with saturated fatty acids did not. Here, we examine
the relevance of alphaS-PUFA interactions to the development of Parkinson's
disease-like cytopathology. Exposure of alphaS-overexpressing dopaminergic or
neuronal cell lines to physiological levels of a PUFA induced the formation of
proteinaceous inclusions in the cytoplasm. Kinetic experiments indicated that
PUFA-induced soluble oligomers of alphaS precede these Lewy-like inclusions.
Importantly, we found that alphaS oligomers were associated with cyto-toxicity,
whereas the development of Lewy-like inclusions appeared to be protective. We
conclude that alterations in PUFA levels can lead to aggregation of alphaS and
subsequent deposition into potentially cyto-toxic oligomers that precede
inclusions in dopaminergic cells.
PMID- 18055556
TI - The role of macrophage migration inhibitory factor in the cascade of events
leading to reperfusion-induced inflammatory injury and lethality.
AB - Ischemia and reperfusion (I/R) injury is associated with a systemic inflammatory
response, characterized by intense tumor necrosis factor (TNF)-alpha production
and TNF-alpha-dependent tissue injury. Macrophage migration inhibitory factor
(MIF) is a potent proinflammatory cytokine that may induce TNF-alpha release and
play an important role in innate immune and inflammatory responses. The aim of
this work was to assess whether MIF was involved the inflammatory cascade and
injury that follows intestinal I/R. To this end, wild-type (WT) and MIF-deficient
(MIF(-/-)) mice underwent 60 minutes of ischemia followed by 60 minutes of
reperfusion, after which they were culled for the assessment of inflammatory
parameters. I/R was accompanied by an increase in circulating levels of MIF and
an increase of vascular permeability, hemorrhage, and production of TNF-alpha in
the intestine and lungs. The latter parameters were markedly suppressed in
reperfused MIF(-/-) mice, and this was associated with decreased lethality (80%
in WT versus 20% in MIF(-/-) mice). Interestingly, the reperfusion-associated
neutrophil accumulation in the intestine and lungs was similar in WT and MIF(-/-)
mice. Leukocytes isolated from lungs of MIF(-/-) mice were less activated, as
assessed by their response to zymosan in a luminol-enhanced chemiluminescence
assay. In conclusion, our results suggest that MIF plays an important role in the
cascade of events leading to TNF-alpha production and reperfusion-induced tissue
injury and lethality in mice.
PMID- 18055557
TI - Protein kinase C delta regulates airway mucin secretion via phosphorylation of
MARCKS protein.
AB - Mucin hypersecretion is a major pathological feature of many respiratory
diseases, yet cellular mechanisms regulating secretion of mucin have not been
fully elucidated. Previously, we reported that mucin hypersecretion induced by
human neutrophil elastase involves activation of protein kinase C (PKC),
specifically the delta-isoform (PKC delta). Here, we further investigated the
role of PKC delta in mucin hypersecretion using both primary human bronchial
epithelial cells and the human bronchial epithelial 1 cell line as in vitro model
systems. Phorbol-12-myristate-13-acetate (PMA)-induced mucin hypersecretion was
significantly attenuated by rottlerin, a PKC delta-selective inhibitor. Rottlerin
also reduced PMA- or human neutrophil elastase-induced phosphorylation of
myristoylated alanine-rich C kinase substrate (MARCKS) protein in these cells.
Both secretion and MARCKS phosphorylation were significantly enhanced by the PKC
delta activator bryostatin 1. A dominant-negative PKC delta construct (pEGFP
N1/PKC delta K376R) transfected into human bronchial epithelial 1 cells
significantly attenuated both PMA-induced mucin secretion and phosphorylation of
MARCKS, whereas transfection of a wild-type construct increased PKC delta and
enhanced mucin secretion and MARCKS phosphorylation. Similar transfections of a
dominant-negative or wild-type PKC epsilon construct did not affect either mucin
secretion or MARCKS phosphorylation. The results suggest that PKC delta plays an
important role in mucin secretion by airway epithelium via regulation of MARCKS
phosphorylation.
PMID- 18055558
TI - Gastrointestinal disease in simian immunodeficiency virus-infected rhesus
macaques is characterized by proinflammatory dysregulation of the interleukin-6
Janus kinase/signal transducer and activator of transcription3 pathway.
AB - Gastrointestinal disease and inflammation are common sequelae of human and simian
immunodeficiency virus (SIV) infection. Nevertheless, the molecular mechanisms
that lead to gastrointestinal dysfunction remain unclear. We investigated
regulation of the interleukin (IL)-6-JAK-STAT3 pathway in jejunum and colon,
collected at necropsy, from 10 SIV-infected macaques with diarrhea (group 1), 10
non-SIV-infected macaques with diarrhea (group 2), and 7 control uninfected
macaques (group 3). All group 1 and 2 macaques had chronic diarrhea, wasting, and
colitis, but group 1 animals had more frequent and severe lesions in the jejunum.
A significant increase in IL-6 and SOCS-3 gene expression along with constitutive
STAT3 activation was observed in the colon of all group 1 and 2 macaques and in
the jejunum of only group 1 macaques compared to controls. Further, in colon,
histopathology severity scores correlated significantly with IL-6 (groups 1 and
2) and SOCS-3 (group 2) gene expression. In jejunum, a similar correlation was
observed only in group 1 animals. Phosphorylated STAT3 (p-STAT3) was localized to
lymphocytes (CD3+) and macrophages (CD68+), with fewer CD3+ lymphocytes
expressing p-STAT3 in group 1 macaques. Despite high SOCS-3 expression, STAT3
remained constitutively active, providing a possible explanation for persistent
intestinal inflammation and immune activation that may favor viral replication
and disease pro-gression.
PMID- 18055559
TI - Angiogenic growth factor synergism in a murine tissue engineering model of
angiogenesis and adipogenesis.
AB - De novo tissue generation stimulated by three angiogenic growth factors
administered in a factorial design was studied in an in vivo murine tissue
engineering chamber. A silicone chamber was implanted around the epigastric
pedicle and filled with Matrigel with 100 ng/ml of recombinant mouse vascular
endothelial growth factor-120 (VEGF120), recombinant human basic fibroblastic
growth factor (FGF-2), or recombinant rat platelet-derived growth factor-BB (PDGF
BB) added as single, double, or triple combinations. Angiogenesis, supporting
tissue ingrowth, and adipogenesis were assessed at 2 and 6 weeks by
immunohistochemistry and morphometry. At 2 weeks angiogenesis was synergistically
enhanced by VEGF120 + FGF-2 (P = 0.019). FGF-2 (P = 0.008) and PDGF-BB (P = 0.01)
significantly increased connective tissue/inflammatory cell infiltrate
(macrophages, pericytes, and preadipocytes) in double and triple combinations
compared with control. At 6 weeks sequential addition of growth factors increased
the percent volume of adipose tissue (P < 0.0005, each main effect), with a
synergistic increase in adipose tissue in combination treatments (P < 0.0005).
Groups containing 300 ng/ml of single growth factors produced significantly less
adipose tissue than the triple growth factor combination (P < 0.0005, VEGF120 and
PDGF-BB; P < 0.001, FGF-2). In conclusion, angiogenic growth factor combinations
increased early angiogenesis and cell infiltration resulting in synergistically
increased adipose tissue growth at 6 weeks. Two way and higher level synergies
are likely to be important in therapeutic applications of angiogenic growth
factors.
PMID- 18055560
TI - Biosystematics and conservation: a case study with two enigmatic and uncommon
species of Crassula from New Zealand.
AB - BACKGROUND AND AIMS: Crassula hunua and C. ruamahanga have been taxonomically
controversial. Here their distinctiveness is assessed so that their taxonomic and
conservation status can be clarified. METHODS: Populations of these two species
were analysed using morphological, chromosomal and DNA sequence data. KEY
RESULTS: It proved impossible to differentiate between these two species using 12
key morphological characters. Populations were found to be chromosomally variable
with 11 different chromosome numbers ranging from 2n = 42 to 2n = 100. Meiotic
behaviour and levels of pollen stainability were both variable. Phylogenetic
analyses showed that differences exist in both nuclear and plastid DNA sequences
between individual plants, sometimes from the same population. CONCLUSIONS: The
results suggest that these plants are a species complex that has evolved through
interspecific hybridization and polyploidy. Their high levels of chromosomal and
DNA sequence variation present a problem for their conservation.
PMID- 18055561
TI - The neuronal nicotinic receptor subunit genes (CHRNA6 and CHRNB3) are associated
with subjective responses to tobacco.
AB - Neuronal nicotinic acetylcholine receptors have been implicated in various
measures of nicotine dependence. In this paper, we present findings from an
exploratory study of single nucleotide polymorphisms (SNPs) in the CHRNB3 and
CHRNA6 genes with tobacco and alcohol phenotypes, including frequency of use and
three subjective response factors occurring shortly after initiation of use.
Subjects were 1056 ethnically diverse adolescents ascertained from clinical and
community settings. The most significant associations were found between two
CHRNB3 SNPs (rs4950 and rs13280604) and the three subjective response factors to
initial tobacco use. These findings were replicated in a separate community
sample of 1524 families participating in the National Longitudinal Study of
Adolescent Health. Both CHRNB3 SNPs were found to be associated with similar
measures of subjective response to tobacco. These results indicate that early
subjective response to nicotine may be a valuable endophenotype for genetic
studies aimed at uncovering genes contributing to nicotine use and addiction.
PMID- 18055562
TI - A novel, putative gain-of-function haplotype at SLC6A4 associates with obsessive
compulsive disorder.
AB - Obsessive-compulsive disorder (OCD) is a disabling neuropsychiatric illness with
strong segregation data indicative of major genetic contributions. Association
analyses of common functional variants of the serotonin transporter gene
(SLC6A4), a long-standing OCD candidate, have so far been inconsistent. Here, we
set out to investigate the role of additional functional SLC6A4 loci in OCD. We
describe a common, functional C > T single nucleotide polymorphism, rs25532,
located less than 150 nucleotides centromeric of the serotonin transporter-linked
polymorphic region indel known as 5-HTTLPR. The minor allele of rs25532
significantly decreased luciferase reporter gene expression levels by 15-80%,
depending on 5-HTTLPR allele background and cell type. Haplotype-based testing of
rs25532 and all other known non-coding functional SLC6A4 variants revealed a
highly significant omnibus association with OCD in a large case-control sample.
Remarkably, the haplotype significantly overrepresented in probands contained the
higher-expressing allele at each locus, supporting the notion of increased
serotonin transporter functioning being pathogenetically involved in OCD.
Conditional haplotype analyses with the software WHAP revealed that this
association is primarily driven by 5-HTTLPR, rs25532 and rs16965628. Our results
contribute to a better understanding of SLC6A4 expression genetics and provide a
functional haplotype framework for future serotonin-related studies.
PMID- 18055563
TI - "Mid-term," "long-term," and other terms: making sense of clinical follow-up.
PMID- 18055564
TI - Can proton MR spectroscopic and perfusion imaging differentiate between
neoplastic and nonneoplastic brain lesions in adults?
AB - BACKGROUND AND PURPOSE: Noninvasive diagnosis of brain lesions is important for
the correct choice of treatment. Our aims were to investigate whether 1) proton
MR spectroscopic imaging ((1)H-MRSI) can aid in differentiating between tumors
and nonneoplastic brain lesions, and 2) perfusion MR imaging can improve the
classification. MATERIALS AND METHODS: We retrospectively examined 69 adults with
untreated primary brain lesions (brain tumors, n = 36; benign lesions, n = 10;
stroke, n = 4; demyelination, n = 10; and stable lesions not confirmed on
pathologic examination, n = 9). MR imaging and (1)H-MRSI were performed at 1.5T
before biopsy or treatment. Concentrations of N-acetylaspartate (NAA), creatine
(Cr), and choline (Cho) in the lesion were expressed as metabolite ratios and
were normalized to the contralateral hemisphere. Dynamic susceptibility contrast
enhanced perfusion MR imaging was performed in a subset of patients (n = 32);
relative cerebral blood volume (rCBV) was evaluated. Discriminant function
analysis was used to identify variables that can predict inclusion in the
neoplastic or nonneoplastic lesion groups. Receiver operator characteristic (ROC)
analysis was used to compare the discriminatory capability of (1)H-MRSI and
perfusion MR imaging. RESULTS: The discriminant function analysis correctly
classified 84.2% of original grouped cases (P < .0001), on the basis of NAA/Cho,
Cho(norm), NAA(norm), and NAA/Cr ratios. MRSI and perfusion MR imaging had
similar discriminatory capabilities in differentiating tumors from nonneoplastic
lesions. With cutoff points of NAA/Cho < or =0.61 and rCBV > or =1.50
(corresponding to diagnosis of the tumors), a sensitivity of 72.2% and
specificity of 91.7% in differentiating tumors from nonneoplastic lesions were
achieved. CONCLUSION: These results suggest a promising role for (1)H-MRSI and
perfusion MR imaging in the distinction between brain tumors and nonneoplastic
lesions in adults.
PMID- 18055565
TI - Maintaining subspecialty certification in neuroradiology.
PMID- 18055566
TI - Digital forensics and the American Journal of Neuroradiology.
PMID- 18055567
TI - Successful treatment of primary plasma cell leukaemia by allogeneic stem cell
transplantation from haploidentical sibling.
AB - Primary plasma cell leukaemia (PCL) is a rare, aggressive neoplasm of plasma cell
dyscrasia. Conventional chemotherapy is usually ineffective, with an overall
survival of only 8 months. Here, we describe a 42-year-old man with primary PCL,
who was successfully treated with haploidentical (2-HLA loci mismatched)
haematopoietic stem-cell transplantation (HSCT). To overcome the human leukocyte
antigen (HLA) disparity, in vivo T-cell purging by the pre-transplant
administration of antithymocyte globulin followed by a conventional prophylactic
treatment against graft-versus-host disease (GVHD) resulted in an avoidance of
severe GVHD as well as infectious complications. The patient has maintained
complete remission for 13 months after haploidentical HSCT, indicating that a
graft-versus-PCL effect might be preserved. Haploidentical HSCT can be a
potentially curative treatment for patients with primary PCL who do not have an
HLA-identical donor.
PMID- 18055568
TI - Impairment of human NK cell cytotoxic activity and cytokine release by cigarette
smoke.
AB - NK cells play essential roles in innate host defense against microbial infections
and tumor surveillance. Although evidence suggests that smoking has adverse
effects on the immune system, little is known about whether smoking compromises
NK cell effector functions. In this study, we show that cigarette smoke
conditioned medium (SCM) dose-dependently inhibits in vitro IFN-gamma production
by polyinosinic:polycytidylic acid (poly I:C)-activated PBMC and NK cells
isolated from nonsmoking individuals. Similarly, SCM attenuated poly I:C-induced
TNF-alpha production by PBMC and NK cells. The inhibitory effect of cigarette
smoke on TNF-alpha production was reversible. PBMC and NK cells isolated from
smokers displayed significant reduction of IFN-gamma and TNF-alpha secretions
compared with nonsmokers in response to poly I:C activation. We further observed
that SCM attenuated NK cell cytotoxic activity, which was associated with
decreased up-regulation of perforin expression. Attenuated cytotoxic activity was
also observed in PBMCs isolated from smokers. Finally, anti-IL-12 mAb-blocking
data revealed that an attenuation of IFN-gamma production by PBMC was indirect,
likely via attenuation of IL-12 production, and the effect on NK cells was IL-12
independent. Our data indicate that cigarette smoke compromises function of human
NK cells. This may contribute to a higher incidence of viral infections and
cancer among smokers.
PMID- 18055569
TI - Lyn-coupled LacCer-enriched lipid rafts are required for CD11b/CD18-mediated
neutrophil phagocytosis of nonopsonized microorganisms.
AB - The integrin CD11b/CD18 plays a central role in neutrophil phagocytosis. Although
CD11b/CD18 binds a wide range of ligands, including C3bi and beta-glucan, and
transmits outside-in signaling, the mechanism of this signaling responsible for
phagocytosis remains obscure. Here, we report that lactosylceramide (LacCer)
enriched lipid rafts are required for CD11b/CD18-mediated phagocytosis of
nonopsonized zymosans (NOZs) by human neutrophils. Anti-CD11b and anti-LacCer
antibodies inhibited the binding of NOZs to neutrophils and the phagocytosis of
NOZs. During phagocytosis of NOZ, CD11b and LacCer were accumulated and
colocalized in the actin-enriched phagocytic cup regions. Immunoprecipitation
experiments suggested that CD11b/CD18 was mobilized into the LacCer-enriched
lipid rafts during phagocytosis of NOZs. DMSO-treated, neutrophil-like HL-60
cells (D-HL-60 cells) lacking Lyn-coupled, LacCer-mediated signaling showed
little phagocytosis of NOZs. However, loading of D-HL-60 cells with C24 fatty
acid chain-containing LacCer (C24-LacCer) reconstructed functional Lyn
associated, LacCer-enriched lipid rafts, and restored D-HL-60 cell NOZ phagocytic
activity, which was inhibited by anti-LacCer and anti-CD11b antibodies. Lyn
knockdown by small interfering RNA blocked the effect of C24:1-LacCer loading on
D-HL-60 cell phagocytosis of NOZs. CD11b/CD18 activation experiments indicated
phosphorylation of LacCer-associated Lyn by activation of CD11b. Taken together,
these observations suggest that CD11b activation causes translocation of
CD11b/CD18 into Lyn-coupled, LacCer-enriched lipid rafts, allowing neutrophils to
phagocytose NOZs via CD11b/CD18.
PMID- 18055571
TI - Late-onset proptosis with Baerveldt glaucoma implants.
PMID- 18055570
TI - Stromal cell-derived factor 1-alpha (SDF)-induced human T cell chemotaxis becomes
phosphoinositide 3-kinase (PI3K)-independent: role of PKC-theta.
AB - Stromal cell-derived factor 1alpha (SDF-1alpha) is the exclusive ligand for the
chemokine receptor CXCR4. This receptor plays a pivotal role in immune responses,
the pathogenesis of infection such as HIV, and cellular trafficking. However, the
signaling mechanisms regulating SDF-driven T cell migration are not well defined.
In this study, we determined the role of PI3K and protein kinase C- theta (PKC
theta) in SDF-induced human T cell migration in fresh versus cultured T cells.
Purified human T cells (fresh vs. 48 h in media, unstimulated or activated by
anti-CD3+anti-CD28) were used. Western blots showed that SDF induced phospho-(p)
Akt [threonine (Thr)308 and serine 473], a proxy for PI3K activity, in fresh
cells and p-PKC-theta in 48 h unstimulated cells. LY294002 (PI3K inhibitor)
reduced SDF-induced chemotaxis in fresh cells by 51%, whereas it minimally
affected chemotaxis in 48 h unstimulated or activated cells. However, a specific
PKC-theta inhibitor, pseudosubstrate for PKC-theta, reduced chemotaxis in 48 h
unstimulated and stimulated T cells by 72% and 87%, respectively. Thus,
chemotaxis becomes independent of PI3K signaling in human T cells cultured for 48
h. Under these conditions, PKC-theta is phosphorylated (Thr538) by SDF, and
chemotaxis becomes largely PKC-theta-dependent.
PMID- 18055572
TI - Recovery of visual field and acuity after removal of epiretinal and inner
limiting membranes.
AB - BACKGROUND: Visual acuity serves as only a rough gauge of macular function. The
aim therefore was to ascertain whether central an assessment of the central
visual field afforded a closer insight into visual function after removal of
epiretinal membranes and Infracyanine-Green- or Trypan-Blue-assisted peeling of
the inner limiting membrane. PATIENTS AND METHODS: Fourty-three patients
undergoing pars-plana vitrectomy for the removal of epimacular membranes and dye
assisted peeling of the inner limiting membrane using either Infracyanine Green
(n = 29; group 1) or Trypan Blue (n = 14; group 2) were monitored prospectively
for 12 months. Preoperatively, and 1, 6 and 12 months postoperatively, distance
and reading visual acuities were evaluated; the central visual field was assessed
by automated static perimetry. RESULTS: Twelve months after surgery, distance and
reading visual acuities had improved in both groups, but to a significant degree
only in Trypan-Blue-treated eyes. The difference between the two groups was not
significant. Likewise at this juncture, the mean size of the visual-field defect
remained unchanged in Trypan-Blue-treated eyes (preoperative: 4.3 (SD 2.1) dB; 12
months: 4.0 (2.1) dB (p = 0.15)), but had increased in Infracyanine-Green-treated
ones (from 5.3 (3.7) dB to 8.0 (5.2) dB (p = 0.027)). CONCLUSION: Unlike visual
acuity, the central visual field had deteriorated in Infracyanine-Green-treated
eyes but not in Trypan-Blue-treated eyes 12 months after surgery. Hence, as a
predictor of functional outcome, testing of the central visual field may be a
more sensitive gauge than visual acuity. Furthermore, Infracyanine Green may have
a chronic and potentially clinically relevant effect on the macula which is not
reflected in the visual acuity.
PMID- 18055573
TI - Peel and peel again.
AB - AIM: To determine if the internal limiting membrane (ILM) was present in the
epiretinal membrane (ERM) when we deliberately tried to perform a "double peel"
for macular pucker. METHODS: Pars-plana vitrectomy and a "double peel" were
carried out. The ERM and ILM were stained with Trypan Blue and peeled separately
over the same area. The amount of ERM present in ILM specimens and the amount of
ILM present in ERM specimens were evaluated by histological examination. RESULTS:
Seventeen eyes in 17 patients were included. It was possible to double peel in
all cases. Five of 17 ERM specimens (29%) contained ILM fragments. When ILM was
present on the ERM, it represented less than 50% of the sample. One ILM specimen
was lost as result of an administrative error; of the remaining 16 specimens,
residual ERM was found in six, and cellular remnants were observed on the
vitreous surface in a further six of the ILMs. Clinically, no recurrence of ERM
was found. CONCLUSION: ILM was present in some ERM specimens seemingly over the
same area that an intact ILM was subsequently peel. We speculate that the ILM in
the ERM represent a secondary basement membrane and that the surgical plane of
dissection for most ERM peel is between the ERM and the native ILM, making it
feasible to double peel routinely.
PMID- 18055574
TI - The prevalence, severity and risk factors for pterygium in central Myanmar: the
Meiktila Eye Study.
AB - AIMS: To determine the prevalence, severity and risk factors associated with
pterygium in adults in central Myanmar. METHODS: Population-based, cross
sectional survey of the people 40 years and over residing in rural Myanmar.
Pterygium was graded for severity (T1 to T3) by visibility of episcleral vessels,
and the apical extent was recorded. An autorefractor was used to measure
refractive error. RESULTS: There were 2481 subjects identified, and 2076 (83.7%)
participated. The prevalence of pterygium in either eye was 19.6% (95% confidence
interval (CI) 16.9 to 22.2) and of bilateral pterygium 8.0% (95% CI 7.7 to 8.3).
Outdoor occupation was an independent predictor of pterygium (p<0.01). The mean
apical extent from the limbus was 2.2 mm (95% CI 2.05 to 2.35). Higher-grade
pterygia did not have a significantly greater apical extent (p = 0.35). The
presence of pterygium was associated with astigmatism, (p = 0.01), and the amount
of astigmatism increased as both the severity (p<0.01) and apical extent
increased (p<0.01). Two people of the 84 people blinded in both eyes were
bilaterally blind from pterygium (1.7%; 95% CI 0.2 to 6.1), and pterygium
accounted for 2.2% (95% CI 0.7 to 5.0) of blindness in at least one eye. No
participant had low vision in both eyes due to pterygium, but pterygium led to
0.8% (95% CI 0.3 to 1.6) of low vision in at least one eye. Pterygium was
therefore associated with 0.4% (95% CI 0.04 to 1.3) of binocular visual
impairment and 1.0% (95% CI 0.6 to 1.8) of visual impairment in a least one eye.
CONCLUSIONS: There is a high prevalence of pterygium in central Myanmar, and the
risk of developing this condition increases with outdoor occupation. Pterygium in
this population is associated with considerable visual morbidity, including
blindness.
PMID- 18055575
TI - Non-destructive mechanical characterisation of UVA/riboflavin crosslinked
collagen hydrogels.
AB - AIMS: To establish a non-destructive method of characterising the mechanical
properties of collagen hydrogels to model corneal tissue and to examine the
effect of photochemical crosslinking on their mechanical properties. METHODS:
Collagen hydrogels were manufactured, submerged in 0.1% riboflavin solution and
crosslinked using two UVA tube bulbs with an intensity of between 2.8 and 3.2
mW/cm(2). The hydrogels were clamped around their outer edge and deformed using a
sphere. The deformation was measured in situ using a long-working-distance
microscope connected to a CCD camera, and the deformation displacement was used
with a theoretical model to calculate the Young modulus of the hydrogels.
Collagen hydrogels seeded with human corneal fibroblasts were used to examine
cell viability after UVA irradiation. RESULTS: There was an increase in Young
modulus of the collagen hydrogels after UVA/riboflavin treatment that was
dependent on the exposure time. UVA irradiation without riboflavin showed
decreased mechanical integrity and strength. Cell viability was reduced with
increased UVA exposure time. CONCLUSION: The non-destructive technique
demonstrated a new methodology comparable with strip extensiometry for cornea or
corneal model specimens but with more convenient features. This approach could be
used as an initial step in developing new crosslinking treatments for patients
with keratoconus.
PMID- 18055577
TI - Concerns about the long-term outcome of transseptal cardiac resynchronization
therapy: what we have learned from surgical experience.
PMID- 18055578
TI - Parathyroid hormone treatment after myocardial infarction promotes cardiac repair
by enhanced neovascularization and cell survival.
AB - AIMS: An ongoing concept is that stem cells have the potential to regenerate the
injured myocardium. In addition to direct vasorelaxing effects on the
vasculature, which are mediated by an increased cAMP production leading to a
decreased calcium influx in smooth muscle cells, parathyroid hormone (PTH) was
recently shown to facilitate stem cell mobilization. Therefore, we analysed in a
murine model of experimental myocardial infarction (MI) the influence of PTH
treatment on survival, functional parameters, stem cell migration, and expression
of vascular endothelial growth factor A (VEGF-A). METHODS AND RESULTS: Mice
(C57BL/6) were treated with PTH (80 microg/kg/d) for up to 14 days after coronary
artery ligation. Functional and immunohistochemical analyses were performed at
days 6 and 30 after MI. Stem cells and VEGF expression in the myocardium were
analysed by FACS and qRT-PCR at day 2 after MI. PTH-treated animals revealed a
significant improvement of post-MI survival and myocardial function that was
related to a subsequent reduction of left ventricular wall thinning and scar
extension. Infarcted hearts of PTH-treated mice revealed increased numbers of
CD45(+)/CD34(+) progenitor cells as well as an upregulation of VEGF-A mRNA
associated with increased neovascularization and cell survival. CONCLUSIONS: PTH
application after MI increases migration of angiogenic CD45(+)/CD34(+) progenitor
cells to the ischaemic heart, which may attenuate ischaemic cardiomyopathy. As
PTH is already used in patients with osteoporosis, our findings may have a direct
impact on the initiation of clinical studies in patients with ischaemic heart
disease.
PMID- 18055579
TI - Sarcomeric dysfunction in heart failure.
AB - Sarcomeric dysfunction plays a central role in reduced cardiac pump function in
heart failure. This review focuses on the alterations in sarcomeric proteins in
diseased myocardium that range from altered isoform expression to post
translational protein changes such as proteolysis and phosphorylation. Recent
studies in animal models of heart failure and human failing myocardium converge
and indicate that sarcomeric dysfunction, including altered maximum force
development, Ca(2+) sensitivity, and increased passive stiffness, largely
originates from altered protein phosphorylation, caused by neurohumoral-induced
alterations in the kinase-phosphatase balance inside the cardiomyocytes. Novel
therapies, which specifically target phosphorylation sites within sarcomeric
proteins or the kinases and phosphatases involved, might improve cardiac function
in heart failure.
PMID- 18055580
TI - What is the excess risk of infertility in women after genital chlamydia
infection? A systematic review of the evidence.
AB - OBJECTIVE: To summarise evidence on the attributable risk of infertility after
chlamydial infection in women. METHODS: Twelve databases were searched, limited
to peer-reviewed literature published from January 1970 to September 2007.
Conference abstracts and reference lists from reviews published since 2000 and
from key articles were hand-searched. Studies were selected for review if they
met the following criteria: (1) the study population comprised women of child
bearing age (defined as 15-45 years) and incorporated a comparison group of women
documented as "chlamydia negative"; (2) the study outcomes included either
infertility or successful pregnancy; and (3) the study design was one of the
following: cohort, randomised controlled trial, "before and after" study,
screening trial and systematic review. Studies were excluded if they described
genital infections that either did not include Chlamydia trachomatis or described
genital chlamydial co-infection, in which no data were available for C
trachomatis infection alone. RESULTS: 3349 studies were identified by the search.
One study satisfied the inclusion criteria, a longitudinal investigation
measuring pregnancy rates in adolescent women with and without current chlamydial
infection at baseline. That study reported no significant difference in
subsequent pregnancy rates; however, it had serious methodological limitations,
which restricted its conclusions. CONCLUSIONS: This systematic review
demonstrates the absence of valid evidence on the attributable risk of post
infective tubal factor infertility after genital chlamydial infection. The
findings contribute empirical data to the growing debate surrounding previous
assumptions about the natural history of chlamydial infection in women.
PMID- 18055581
TI - Determinants of consistent condom use among female commercial sex workers in the
Democratic Republic of Congo: implications for interventions.
AB - OBJECTIVE: To identify correlates of consistent condom use among commercial sex
workers (CSW) over a four-week period. METHODS: A total of 2638 CSW selected in
all the provincial capital cities in the Democratic Republic of Congo using the
time location sampling technique were interviewed to collect information on
sociodemographic data, sexual history and behaviour, consumption of intoxicants
(alcohol and drugs), knowledge of condoms, their accessibility and the pattern of
their use over a four-week period, and exposure to HIV/AIDS prevention services.
RESULTS: 40% (95% CI 38.1 to 41.8) of the CSW have used condoms consistently and
this pattern differed according to the category of sexual partners (61.4% in the
case of paying partners and 38.2% in the case of non-paying partners). Consistent
condom use was associated with age, those aged 20-44 years were more likely to be
consistent users (OR 1.34, 95% CI 1.06 to 1.69), having cited it as a prevention
means for HIV (OR 2.88, 95% CI 2.09 to 3.96), less time in commercial sex work,
higher number of clients (OR 3.83, 95% CI 2.95 to 4.96), exposure to voluntary
counselling and testing (VCT; OR 2.02, 95% CI 1.70 to 2.42), and access to
condoms (OR 1.51, 95% CI 1.25 to 1.82). CONCLUSIONS: The risk perception bias
associated with non-paying partners, time as a commercial sex worker and age
should be taken into account when planning interventions targeting CSW. Access to
condoms and VCT should be improved because they are likely to impact on
behaviour.
PMID- 18055582
TI - Longitudinal effect following initiation of highly active antiretroviral therapy
on plasma and cervico-vaginal HIV-1 RNA among women in Burkina Faso.
AB - BACKGROUND: Highly active antiretroviral therapy (HAART) could decrease HIV-1
transmissibility by reducing genital and plasma HIV-1 RNA. METHODS: We evaluated
the effect of HAART on genital and plasma HIV-1 RNA in a cohort of 39
antiretroviral-naive women in Burkina Faso. Cervico-vaginal lavages were
collected before HAART initiation and at six visits over 28 weeks while on HAART.
Blood samples were collected at baseline and at three and four visits for CD4 and
plasma HIV-1 RNA measurements, respectively. RESULTS: Before HAART, 72% of women
had detectable genital HIV-1 RNA. After 18 weeks on HAART, only one woman (2.5%)
had detectable plasma HIV-1 RNA and two women (5.1%) had detectable genital HIV-1
RNA. Similar results were observed at each follow-up visit. However, 16/34 (47%)
women with consistently undetectable plasma HIV-1 RNA shed HIV-1 at least once
between weeks 18 and 28. In samples with detectable genital HIV-1, the mean
quantity of HIV-1 RNA decreased from 3.87 prior to HAART to 3.04 log(10)
copies/mL at last visit (median 29 weeks; a 6.8-fold decrease in absolute number
of copies/mL) (p = 0.04). A significant median CD4 lymphocyte cell gain of 121
cells/muL (interquartile range 59 to 204) was measured between pre-HAART and last
visit. CONCLUSION: These findings suggest that HAART could play a role in
reducing HIV transmission in Africa; however, they underscore the need to
emphasise safe sex practices with patients taking HAART.
PMID- 18055583
TI - Pyrroloquinoline quinone is a plant growth promotion factor produced by
Pseudomonas fluorescens B16.
AB - Pseudomonas fluorescens B16 is a plant growth-promoting rhizobacterium. To
determine the factors involved in plant growth promotion by this organism, we
mutagenized wild-type strain B16 using OmegaKm elements and isolated one mutant,
K818, which is defective in plant growth promotion, in a rockwool culture system.
A cosmid clone, pOK40, which complements the mutant K818, was isolated from a
genomic library of the parent strain. Tn3-gusA mutagenesis of pOK40 revealed that
the genes responsible for plant growth promotion reside in a 13.3-kb BamHI
fragment. Analysis of the DNA sequence of the fragment identified 11 putative
open reading frames, consisting of seven known and four previously unidentified
pyrroloquinoline quinone (PQQ) biosynthetic genes. All of the pqq genes showed
expression only in nutrient-limiting conditions in a PqqH-dependent manner.
Electrospray ionization-mass spectrometry analysis of culture filtrates confirmed
that wild-type B16 produces PQQ, whereas mutants defective in plant growth
promotion do not. Application of wild-type B16 on tomato (Solanum lycopersicum)
plants cultivated in a hydroponic culture system significantly increased the
height, flower number, fruit number, and total fruit weight, whereas none of the
strains that did not produce PQQ promoted tomato growth. Furthermore, 5 to 1,000
nm of synthetic PQQ conferred a significant increase in the fresh weight of
cucumber (Cucumis sativus) seedlings, confirming that PQQ is a plant growth
promotion factor. Treatment of cucumber leaf discs with PQQ and wild-type B16
resulted in the scavenging of reactive oxygen species and hydrogen peroxide,
suggesting that PQQ acts as an antioxidant in plants.
PMID- 18055584
TI - Core genome responses involved in acclimation to high temperature.
AB - Plants can acclimate rapidly to environmental conditions, including high
temperatures. To identify molecular events important for acquired
thermotolerance, we compared viability and transcript profiles of Arabidopsis
thaliana treated to severe heat stress (45 degrees C) without acclimation or
following two different acclimation treatments. Notably, a gradual increase to 45
degrees C (22 degrees C to 45 degrees C over 6 h) led to higher survival and to
more and higher-fold transcript changes than a step-wise acclimation (90 min at
38 degrees C plus 120 min at 22 degrees C before 45 degrees C). There were
significant differences in the total spectrum of transcript changes in the two
treatments, but core components of heat acclimation were apparent in the overlap
between treatments, emphasizing the importance of performing transcriptome
analysis in the context of physiological response. In addition to documenting
increases in transcripts of specific genes involved in processes predicted to be
required for thermotolerance (i.e. protection of proteins and of translation,
limiting oxidative stress), we also found decreases in transcripts (i.e. for
programmed cell death, basic metabolism, and biotic stress responses), which are
likely equally important for acclimation. Similar protective effects may also be
achieved differently, such as prevention of proline accumulation, which is toxic
at elevated temperatures and which was reduced by both acclimation treatments but
was associated with transcript changes predicted to either reduce proline
synthesis or increase degradation in the two acclimation treatments. Finally,
phenotypic analysis of T-DNA insertion mutants of genes identified in this
analysis defined eight new genes involved in heat acclimation, including
cytosolic ascorbate peroxidase and the transcription factors HsfA7a (heat shock
transcription factor A7a) and NF-X1.
PMID- 18055585
TI - Micro-electrode flux estimation confirms that the Solanum pimpinellifolium cu3
mutant still responds to systemin.
AB - In this study, we introduce the Micro-Electrode Ion Flux Estimation technique as
a sensitive and accurate technique to study systemin-induced changes in ion
fluxes from isolated nearly intact plant tissues. Our results demonstrate the
effectiveness and value of the Micro-Electrode Ion Flux Estimation technique to
monitor and characterize those elicitor-induced ion flux changes from intact
tissues. We used the method to monitor the systemin-induced changes in ion fluxes
from leaf tissue of various plant species, including wild-type and cu3 mutant
tomato (Solanum pimpinellifolium) plants, and confirm previous observations, but
now in intact leaf tissue. Upon exposure of leaf tissue of plant species from the
subtribe solaneae to systemin, the H(+) influx and K(+) efflux were transiently
strongly increased. Plant species of other clades did not show a response upon
systemin exposure. Although it has been reported that the gene containing the cu3
null mutation is identical to the SR160/tBRI1 gene, which encodes the
systemin/brassinosteroid receptor and is essential in systemin and
brassinosteroid perception, we observed no differences in the response of H(+)
and K(+) fluxes from both wild-type and mutant leaf tissue to systemin. Also, the
effects of various pharmacological effectors on systemin-induced flux changes
were similar. Moreover, a SR160/tBRI1 transgene-containing tobacco (Nicotiana
tabacum) line was insensitive to systemin, whereas both this line and its wild
type predecessor were responsive to the elicitor flg22. Our results support the
conclusion that the Cu3 receptor of tomato is not the systemin receptor, and,
hence, another receptor is the principal systemin receptor.
PMID- 18055586
TI - Characterization of the PHO1 gene family and the responses to phosphate
deficiency of Physcomitrella patens.
AB - PHO1 was previously identified in Arabidopsis (Arabidopsis thaliana) as a protein
involved in loading inorganic phosphate (Pi) into the xylem of roots and its
expression was associated with the vascular cylinder. Seven genes homologous to
AtPHO1 (PpPHO1;1-PpPHO1;7) have been identified in the moss Physcomitrella
patens. The corresponding proteins harbor an SPX tripartite domain in the N
terminal hydrophilic portion and an EXS domain in the conserved C-terminal
hydrophobic portion, both common features of the plant PHO1 family. Northern-blot
analysis showed distinct expression patterns for the PpPHO1 genes, both at the
tissue level and in response to phosphate deficiency. Transgenic P. patens
expressing the beta-glucuronidase reporter gene under three different PpPHO1
promoters revealed distinct expression profiles in various tissues. Expression of
PpPHO1;1 and PpPHO1;7 was specifically induced by Pi starvation. P. patens
homologs to the Arabidopsis PHT1, DGD2, SQD1, and APS1 genes also responded to Pi
deficiency by increased mRNA levels. Morphological changes associated with Pi
deficiency included elongation of caulonemata with inhibition of the formation of
side branches, resulting in colonies with greater diameter, but reduced mass
compared to Pi-sufficient plants. Under Pi-deficient conditions, P. patens also
increased the synthesis of ribonucleases and of an acid phosphatase, and
increased the ratio of sulfolipids over phospholipids. These results indicate
that P. patens and higher plants share some common strategies to adapt to Pi
deficiency, although morphological changes are distinct, and that the PHO1
proteins are well conserved in bryophyte despite the lack of a developed vascular
system.
PMID- 18055587
TI - UV-B signaling pathways with different fluence-rate response profiles are
distinguished in mature Arabidopsis leaf tissue by requirement for UVR8, HY5, and
HYH.
AB - UV-B signaling is an important but poorly understood aspect of light
responsiveness in plants. Arabidopsis (Arabidopsis thaliana) UV RESISTANCE LOCUS8
(UVR8) is a recently identified UV-B-specific signaling component that regulates
UV-protective responses. Using the uvr8 mutant, we defined genetically distinct
UVR8-dependent and UVR8-independent pathways that stimulate different sets of
genes in mature Arabidopsis leaf tissue. Both pathways operate at 1 micromol m(
2) s(-1) UV-B and above, but the UVR8-dependent pathway is able to stimulate UV
protective genes even in response to 0.1 micromol m(-2) s(-1) UV-B. Both pathways
function in mutants lacking phytochromes, cryptochromes, or phototropins. Genes
encoding the ELONGATED HYPOCOTYL5 (HY5) and HY5 HOMOLOG (HYH) transcription
factors are induced at low UV-B fluence rates (0.1 micromol m(-2) s(-1)).
Experiments with hy5 and hyh mutants reveal that both these factors mediate
responses of the UVR8-dependent pathway, acting with partial or complete
redundancy to stimulate expression of particular genes. Furthermore, evidence is
presented that all UVR8 pathway genes are likely to be regulated by HY5/HYH and
that these transcription factors do not mediate UV-B responses independent of
UVR8. Finally, we highlight the functions of HY5 and HYH in UV protection and
show that HY5 plays the more critical role. This research provides evidence that,
in UV-B signaling, UVR8, HY5, and HYH act together in a photoregulatory pathway
and demonstrates a new role for HYH in UV-B responses.
PMID- 18055588
TI - Metabolomics reveals novel pathways and differential mechanistic and elicitor
specific responses in phenylpropanoid and isoflavonoid biosynthesis in Medicago
truncatula cell cultures.
AB - High-performance liquid chromatography coupled to ultraviolet photodiode array
detection and ion-trap mass spectrometry was used to analyze the intra- and
extracellular secondary product metabolome of Medicago truncatula cell suspension
cultures responding to yeast elicitor (YE) or methyl jasmonate (MeJA). Data
analysis revealed three phases of intracellular response to YE: a transient
response in mainly (iso)flavonoid metabolites such as formononetin and biochanin
A that peaked at 12 to 18 h following elicitation and then declined; a sustained
response through 48 h for compounds such as medicarpin and daidzin; and a lesser
delayed and protracted response starting at 24 h postelicitation, e.g. genistein
diglucoside. In contrast, most compounds excreted to the culture medium reached
maximum levels at 6 to 12 h postelicitation and returned to basal levels by 24 h.
The response to MeJA differed significantly from that to YE. Although both
resulted in accumulation of the phytoalexin medicarpin, coordinated increases in
isoflavonoid precursors were only observed for YE and not MeJA-treated cells.
However, MeJA treatment resulted in a correlated decline in isoflavone
glucosides, and did not induce the secretion of metabolites into the culture
medium. Three novel methylated isoflavones, 7-hydroxy-6,4'-dimethoxyisoflavone
(afrormosin), 6-hydroxy-7,4'-dimethoxyisoflavone (alfalone), and 5,7-dihydroxy
4',6-dimethoxy isoflavone (irisolidone), were induced by YE, and labeling studies
indicated that the first two were derived from formononetin. Our results
highlight the metabolic flexibility within the isoflavonoid pathway, suggest new
pathways for complex isoflavonoid metabolism, and indicate differential
mechanisms for medicarpin biosynthesis depending on the nature of elicitation.
PMID- 18055589
TI - Cytoplasmic calcium increases in response to changes in the gravity vector in
hypocotyls and petioles of Arabidopsis seedlings.
AB - Plants respond to a large variety of environmental signals, including changes in
the gravity vector (gravistimulation). In Arabidopsis (Arabidopsis thaliana)
seedlings, gravistimulation is known to increase the cytoplasmic free calcium
concentration ([Ca(2+)](c)). However, organs responsible for the [Ca(2+)](c)
increase and the underlying cellular/molecular mechanisms remain to be solved. In
this study, using Arabidopsis seedlings expressing apoaequorin, a Ca(2+)
sensitive luminescent protein in combination with an ultrasensitive photon
counting camera, we clarified the organs where [Ca(2+)](c) increases in response
to gravistimulation and characterized the physiological and pharmacological
properties of the [Ca(2+)](c) increase. When the seedlings were gravistimulated
by turning 180 degrees, they showed a transient biphasic [Ca(2+)](c) increase in
their hypocotyls and petioles. The second peak of the [Ca(2+)](c) increase
depended on the angle but not the speed of rotation, whereas the initial peak
showed diametrically opposite characters. This suggests that the second
[Ca(2+)](c) increase is specific for changes in the gravity vector. The potential
mechanosensitive Ca(2+)-permeable channel (MSCC) inhibitors Gd(3+) and La(3+),
the Ca(2+) chelator 1,2-bis(2-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid
(BAPTA), and the endomembrane Ca(2+)-permeable channel inhibitor ruthenium red
suppressed the second [Ca(2+)](c) increase, suggesting that it arises from Ca(2+)
influx via putative MSCCs in the plasma membrane and Ca(2+) release from
intracellular Ca(2+) stores. Moreover, the second [Ca(2+)](c) increase was
attenuated by actin-disrupting drugs cytochalasin B and latrunculin B but not by
microtubule-disrupting drugs oryzalin and nocodazole, implying that actin
filaments are partially involved in the hypothetical activation of Ca(2+)
permeable channels. These results suggest that the second [Ca(2+)](c) increase
via MSCCs is a gravity response in the hypocotyl and petiole of Arabidopsis
seedlings.
PMID- 18055590
TI - Identification of two catalases in Azotobacter vinelandii: a KatG homologue and a
novel bacterial cytochrome c catalase, CCCAv.
AB - Azotobacter vinelandii produces two detectable catalases during growth on minimal
medium. The heat-labile catalase expressed during exponential growth phase was
identified as a KatG homologue by liquid chromatography-tandem mass spectrometry
(LC-MS/MS) using a mixed protein sample. The second catalase was heat resistant
and had substantial residual activity after treatment at 90 degrees C. This
enzyme was purified by anion-exchange and size exclusion chromatography and was
found to exhibit strong absorption at 407 nm, which is often indicative of
associated heme moieties. The purified protein was fragmented by proteinase K and
identified by LC-MS/MS. Some identity was shared with the MauG/bacterial
cytochrome c peroxidase (BCCP) protein family, but the enzyme exhibited a strong
catalase activity never before observed in this family. Because two putative c
type heme sites (CXXCH) were predicted in the peptide sequence and were
demonstrated experimentally, the enzyme was designated a cytochrome c catalase
(CCC(Av)). However, the local organization of the CCC(Av) heme motifs differed
significantly from that of the BCCPs as the sites were confined to the C-terminal
half of the catalase. A possible Ca2+ binding motif, previously described in the
BCCPs, is also present in the CCC(Av) peptide sequence. Some instability in the
presence of EGTA was observed. Expression of the catalase was abolished in cccA
mutants, resulting in a nearly 8,700-fold reduction in peroxide resistance in
stationary phase.
PMID- 18055591
TI - Roles of the major, small, acid-soluble spore proteins and spore-specific and
universal DNA repair mechanisms in resistance of Bacillus subtilis spores to
ionizing radiation from X rays and high-energy charged-particle bombardment.
AB - The role of DNA repair by nonhomologous end joining (NHEJ), homologous
recombination, spore photoproduct lyase, and DNA polymerase I and genome
protection via alpha/beta-type small, acid-soluble spore proteins (SASP) in
Bacillus subtilis spore resistance to accelerated heavy ions (high-energy charged
[HZE] particles) and X rays has been studied. Spores deficient in NHEJ and
alpha/beta-type SASP were significantly more sensitive to HZE particle
bombardment and X-ray irradiation than were the recA, polA, and splB mutant and
wild-type spores, indicating that NHEJ provides an efficient DNA double-strand
break repair pathway during spore germination and that the loss of the alpha/beta
type SASP leads to a significant radiosensitivity to ionizing radiation,
suggesting the essential function of these spore proteins as protectants of spore
DNA against ionizing radiation.
PMID- 18055592
TI - Genetic and functional properties of the self-transmissible Yersinia
enterocolitica plasmid pYE854, which mobilizes the virulence plasmid pYV.
AB - Yersinia strains frequently harbor plasmids, of which the virulence plasmid pYV,
indigenous in pathogenic strains, has been thoroughly characterized during the
last decades. Yet, it has been unknown whether the nonconjugative pYV can be
transferred by helper plasmids naturally occurring in this genus. We have
isolated the conjugative plasmids pYE854 (95.5 kb) and pYE966 (70 kb) from a
nonpathogenic and a pathogenic Yersinia enterocolitica strain, respectively, and
demonstrate that both plasmids are able to mobilize pYV. The complete sequence of
pYE854 has been determined. The transfer proteins and oriT of the plasmid reveal
similarities to the F factor. However, the pYE854 replicon does not belong to the
IncF group and is more closely related to a plasmid of gram-positive bacteria.
Plasmid pYE966 is very similar to pYE854 but lacks two DNA regions of the larger
plasmid that are dispensable for conjugation.
PMID- 18055593
TI - Reduced apo-fumarate nitrate reductase regulator (apoFNR) as the major form of
FNR in aerobically growing Escherichia coli.
AB - Under anoxic conditions, the Escherichia coli oxygen sensor FNR (fumarate nitrate
reductase regulator) is in the active state and contains a [4Fe-4S] cluster.
Oxygen converts [4Fe-4S]FNR to inactive [2Fe-2S]FNR. After prolonged exposure to
air in vitro, apoFNR lacking a Fe-S cluster is formed. ApoFNR can be
differentiated from Fe-S-containing forms by the accessibility of the five Cys
thiol residues, four of which serve as ligands for the Fe-S cluster. The presence
of apoFNR in aerobically and anaerobically grown E. coli was analyzed in situ
using thiol reagents. In anaerobically and aerobically grown cells, the membrane
permeable monobromobimane labeled one to two and four Cys residues, respectively;
the same labeling pattern was found with impermeable thiol reagents after cell
permeabilization. Alkylation of FNR in aerobic bacteria and counting the labeled
residues by mass spectrometry showed a form of FNR with five accessible Cys
residues, corresponding to apoFNR with all Cys residues in the thiol state.
Therefore, aerobically growing cells contain apoFNR, whereas a significant amount
of Fe-S-containing FNR was not detected under these conditions. Exposure of
anaerobic bacteria to oxygen caused conversion of Fe-S-containing FNR to apoFNR
within 6 min. ApoFNR from aerobic bacteria contained no disulfide, in contrast to
apoFNR formed in vitro by air inactivation, and all Cys residues were in the
thiol form.
PMID- 18055594
TI - Binding site determinants for the LysR-type transcriptional regulator PcaQ in the
legume endosymbiont Sinorhizobium meliloti.
AB - LysR-type transcriptional regulators represent one of the largest groups of
prokaryotic regulators described to date. In the gram-negative legume
endosymbiont Sinorhizobium meliloti, enzymes involved in the protocatechuate
branch of the beta-ketoadipate pathway are encoded within the pcaDCHGB operon,
which is subject to regulation by the LysR-type protein PcaQ. In this work,
purified PcaQ was shown to bind strongly (equilibrium dissociation constant, 0.54
nM) to a region at positions -78 to -45 upstream of the pcaD transcriptional
start site. Within this region, we defined a PcaQ binding site with dyad symmetry
that is required for regulation of pcaD expression in vivo and for binding of
PcaQ in vitro. We also demonstrated that PcaQ participates in negative
autoregulation by monitoring expression of pcaQ via a transcriptional fusion to
lacZ. Although pcaQ homologues are present in many alpha-proteobacteria, this
work describes the first reported purification of this regulator, as well as
characterization of its binding site, which is conserved in Agrobacterium
tumefaciens, Rhizobium leguminosarum, Rhizobium etli, and Mesorhizobium loti.
PMID- 18055595
TI - Evolution of acetoclastic methanogenesis in Methanosarcina via horizontal gene
transfer from cellulolytic Clostridia.
AB - Phylogenetic analysis confirmed that two genes required for acetoclastic
methanogenesis, ackA and pta, were horizontally transferred to the ancestor of
Methanosarcina from a derived cellulolytic organism in the class Clostridia. This
event likely occurred within the last 475 million years, causing profound changes
in planetary methane biogeochemistry.
PMID- 18055596
TI - Regulation of the yjjQ-bglJ operon, encoding LuxR-type transcription factors, and
the divergent yjjP gene by H-NS and LeuO.
AB - The yjjQ and bglJ genes encode LuxR-type transcription factors conserved in
several enterobacterial species. YjjQ is a potential virulence factor in avian
pathogenic Escherichia coli. BglJ counteracts the silencing of the bgl (beta
glucoside) operon by H-NS in E. coli K-12. Here we show that yjjQ and bglJ form
an operon carried by E. coli K-12, whose expression is repressed by the histone
like nucleoid structuring (H-NS) protein. The LysR-type transcription factor LeuO
counteracts this repression. Furthermore, the yjjP gene, encoding a membrane
protein of unknown function and located upstream in divergent orientation to the
yjjQ-bglJ operon, is likewise repressed by H-NS. Mapping of the promoters as well
as the H-NS and LeuO binding sites within the 555-bp intergenic region revealed
that H-NS binds to the center of the AT-rich regulatory region and distal to the
divergent promoters. LeuO sites map to the center and to positions distal to the
yjjQ promoters, while one LeuO binding site overlaps with the divergent yjjP
promoter. This latter LeuO site is required for full derepression of the yjjQ
promoters. The arrangement of regulatory sites suggests that LeuO restructures
the nucleoprotein complex formed by H-NS. Furthermore, the data support the
conclusion that LeuO, whose expression is likewise repressed by H-NS and which is
a virulence factor in Salmonella enterica, is a master regulator that among other
loci, also controls the yjjQ-bglJ operon and thus indirectly the presumptive
targets of YjjQ and BglJ.
PMID- 18055597
TI - Galactosyl transferases in mycobacterial cell wall synthesis.
AB - Two galactosyl transferases can apparently account for the full biosynthesis of
the cell wall galactan of mycobacteria. Evidence is presented based on enzymatic
incubations with purified natural and synthetic galactofuranose (Galf) acceptors
that the recombinant galactofuranosyl transferase, GlfT1, from Mycobacterium
smegmatis, the Mycobacterium tuberculosis Rv3782 ortholog known to be involved in
the initial steps of galactan formation, harbors dual beta-(1-->4) and beta-(1-
>5) Galf transferase activities and that the product of the enzyme, decaprenyl-P
P-GlcNAc-Rha-Galf-Galf, serves as a direct substrate for full polymerization
catalyzed by another bifunctional Galf transferase, GlfT2, the Rv3808c enzyme.
PMID- 18055598
TI - Outer membrane components of the Tad (tight adherence) secreton of
Aggregatibacter actinomycetemcomitans.
AB - Prokaryotic secretion relies on proteins that are widely conserved, including
NTPases and secretins, and on proteins that are system specific. The Tad
secretion system in Aggregatibacter actinomycetemcomitans is dedicated to the
assembly and export of Flp pili, which are needed for tight adherence. Consistent
with predictions that RcpA forms the multimeric outer membrane secretion channel
(secretin) of the Flp pilus biogenesis apparatus, we observed the RcpA protein in
multimers that were stable in the presence of detergent and found that rcpA and
its closely related homologs form a novel and distinct subfamily within a well
supported gene phylogeny of the entire secretin gene superfamily. We also found
that rcpA-like genes were always linked to Aggregatibacter rcpB- or Caulobacter
cpaD-like genes. Using antisera, we determined the localization and gross
abundances of conserved (RcpA and TadC) and unique (RcpB, RcpC, and TadD) Tad
proteins. The three Rcp proteins (RcpA, RcpB, and RcpC) and TadD, a putative
lipoprotein, localized to the bacterial outer membrane. RcpA, RcpC, and TadD were
also found in the inner membrane, while TadC localized exclusively to the inner
membrane. The RcpA secretin was necessary for wild-type abundances of RcpB and
RcpC, and TadC was required for normal levels of all three Rcp proteins. TadC
abundance defects were observed in rcpA and rcpC mutants. TadD production was
essential for wild-type RcpA and RcpB abundances, and RcpA did not multimerize or
localize to the outer membrane without the expression of TadD. These data
indicate that membrane proteins TadC and TadD may influence the assembly,
transport, and/or function of individual outer membrane Rcp proteins.
PMID- 18055599
TI - Identification of type 3 fimbriae in uropathogenic Escherichia coli reveals a
role in biofilm formation.
AB - Catheter-associated urinary tract infection (CAUTI) is the most common nosocomial
infection in the United States. Uropathogenic Escherichia coli (UPEC), the most
common cause of CAUTI, can form biofilms on indwelling catheters. Here, we
identify and characterize novel factors that affect biofilm formation by UPEC
strains that cause CAUTI. Sixty-five CAUTI UPEC isolates were characterized for
phenotypic markers of urovirulence, including agglutination and biofilm
formation. One isolate, E. coli MS2027, was uniquely proficient at biofilm growth
despite the absence of adhesins known to promote this phenotype. Mini-Tn5
mutagenesis of E. coli MS2027 identified several mutants with altered biofilm
growth. Mutants containing insertions in genes involved in O antigen synthesis
(rmlC and manB) and capsule synthesis (kpsM) possessed enhanced biofilm
phenotypes. Three independent mutants deficient in biofilm growth contained an
insertion in a gene locus homologous to the type 3 chaperone-usher class fimbrial
genes of Klebsiella pneumoniae. These type 3 fimbrial genes (mrkABCDF), which
were located on a conjugative plasmid, were cloned from E. coli MS2027 and could
complement the biofilm-deficient transconjugants when reintroduced on a plasmid.
Primers targeting the mrkB chaperone-encoding gene revealed its presence in CAUTI
strains of Citrobacter koseri, Citrobacter freundii, Klebsiella pneumoniae, and
Klebsiella oxytoca. All of these mrkB-positive strains caused type 3 fimbria
specific agglutination of tannic acid-treated red blood cells. This is the first
description of type 3 fimbriae in E. coli, C. koseri, and C. freundii. Our data
suggest that type 3 fimbriae may contribute to biofilm formation by different
gram-negative nosocomial pathogens.
PMID- 18055600
TI - RpoS expression and the general stress response in Azotobacter vinelandii during
carbon and nitrogen diauxic shifts.
AB - The general stress response mediated by the sigma factor RpoS is important for
survival of bacteria in adverse environments. A mutant unable to produce RpoS was
constructed using the diazotrophic bacterium Azotobacter vinelandii strain UW.
Under nondesiccating, solid-medium growth conditions the wild type was culturable
for 16.5 years, while the rpoS mutant remained viable for only 10 months. The
rpoS mutant exhibited reduced survival compared to the wild type following
hydrogen peroxide stress, and stationary phase cells were killed rapidly by 15 mM
H2O2. Three catalases (Kat1, Kat2, and Kat3) were expressed in the wild type
under the conditions used. Kat2 was expressed in exponential phase during shake
flask growth and could be induced under highly aerated conditions in all growth
phases, suggesting that there was induction by reactive oxygen intermediates.
Kat3 was possibly an isoform of Kat2. In contrast, Kat1 was expressed in an RpoS
dependent manner during the mid-exponential to late stationary phases. RpoS
expression did not occur exclusively in stationary phase but was influenced by
changes in carbon and nitrogen source availability. There was 26- to 28-fold
induction of the RpoS protein during acetate-to-glucose and ammonium-to-N2
diauxic shifts. Following recovery of growth on the alternative carbon or
nitrogen source, RpoS protein concentrations declined rapidly to a basal level.
However, rpoS mRNA levels did not correlate directly to RpoS levels, suggesting
that there was posttranscriptional regulation. Evidence obtained using the RpoS
dependent reporter Kat1 suggested that there is regulation of the RNAP:RpoS
holoenzyme at the level of complex formation or activity.
PMID- 18055601
TI - A highly conserved protein of unknown function is required by Sinorhizobium
meliloti for symbiosis and environmental stress protection.
AB - We report here the first characterization of the Sinorhizobium meliloti open
reading frame SMc01113. The SMc01113 protein is a member of a highly conserved
protein family, universal among bacteria. We demonstrate that the SMc01113 gene
is absolutely required for S. meliloti symbiosis with alfalfa and also for the
protection of the bacterium from a wide range of environmental stresses.
PMID- 18055602
TI - A feedback regulatory module formed by LITTLE ZIPPER and HD-ZIPIII genes.
AB - The Arabidopsis thaliana REVOLUTA (REV) protein is a member of the class III
homeodomain-leucine zipper (HD-ZIPIII) proteins. REV is a potent regulator of
leaf polarity and vascular development. Here, we report the identification of a
gene family that encodes small leucine zipper-containing proteins (LITTLE ZIPPER
[ZPR] proteins) where the leucine zipper is similar to that found in REV,
PHABULOSA, and PHAVOLUTA proteins. The transcript levels of the ZPR genes
increase in response to activation of a steroid-inducible REV protein. We show
that the ZPR proteins interact with REV in vitro and that ZPR3 prevents DNA
binding by REV in vitro. Overexpression of ZPR proteins in Arabidopsis results in
phenotypes similar to those seen when HD-ZIPIII function is reduced. We propose a
negative feedback model in which REV promotes transcription of the ZPR genes. The
ZPR proteins in turn form heterodimers with the REV protein, preventing it from
binding DNA. The HD-ZIPIII/ZPR regulatory module would serve not only to dampen
the effect of fluctuations in HD-ZIPIII protein levels but more importantly would
provide a potential point of regulation (control over the ratio of inactive
heterodimers to active homodimers) that could be influenced by other components
of the pathway governing leaf polarity.
PMID- 18055603
TI - Cell-fate switch of synergid to egg cell in Arabidopsis eostre mutant embryo sacs
arises from misexpression of the BEL1-like homeodomain gene BLH1.
AB - In Arabidopsis thaliana, the female gametophyte is a highly polarized structure
consisting of four cell types: one egg cell and two synergids, one central cell,
and three antipodal cells. In this report, we describe the characterization of a
novel female gametophyte mutant, eostre, which affects establishment of cell
fates in the mature embryo sac. The eostre phenotype is caused by misexpression
of the homeodomain gene BEL1-like homeodomain 1 (BLH1) in the embryo sac. It is
known that BELL-KNAT proteins function as heterodimers whose activities are
regulated by the Arabidopsis ovate family proteins (OFPs). We show that the
phenotypic effect of BLH1 overexpression is dependent upon the class II knox gene
KNAT3, suggesting that KNAT3 must be expressed and functional during
megagametogenesis. Moreover, disruption of At OFP5, a known interactor of KNAT3
and BLH1, partially phenocopies the eostre mutation. Our study indicates that
suppression of ectopic activity of BELL-KNOX TALE complexes, which might be
mediated by At OFP5, is essential for normal development and cell specification
in the Arabidopsis embryo sac. As eostre-1 embryo sacs also show nuclear
migration abnormalities, this study suggests that a positional mechanism might be
directing establishment of cell fates in early megagametophyte development.
PMID- 18055604
TI - Photosystem II assembly and repair are differentially localized in Chlamydomonas.
AB - Many proteins of the photosynthesis complexes are encoded by the genome of the
chloroplast and synthesized by bacterium-like ribosomes within this organelle. To
determine where proteins are synthesized for the de novo assembly and repair of
photosystem II (PSII) in the chloroplast of Chlamydomonas reinhardtii, we used
fluorescence in situ hybridization, immunofluorescence staining, and confocal
microscopy. These locations were defined as having colocalized chloroplast mRNAs
encoding PSII subunits and proteins of the chloroplast translation machinery
specifically under conditions of PSII subunit synthesis. The results revealed
that the synthesis of the D1 subunit for the repair of photodamaged PSII
complexes occurs in regions of the chloroplast with thylakoids, consistent with
the current model. However, for de novo PSII assembly, PSII subunit synthesis was
detected in discrete regions near the pyrenoid, termed T zones (for translation
zones). In two PSII assembly mutants, unassembled D1 subunits and incompletely
assembled PSII complexes localized around the pyrenoid, where we propose that
they mark an intermediate compartment of PSII assembly. These results reveal a
novel chloroplast compartment that houses de novo PSII biogenesis and the
regulated transport of newly assembled PSII complexes to thylakoid membranes
throughout the chloroplast.
PMID- 18055605
TI - BIN4, a novel component of the plant DNA topoisomerase VI complex, is required
for endoreduplication in Arabidopsis.
AB - How plant organs grow to reach their final size is an important but largely
unanswered question. Here, we describe an Arabidopsis thaliana mutant,
brassinosteroid-insensitive4 (bin4), in which the growth of various organs is
dramatically reduced. Small organ size in bin4 is primarily caused by reduced
cell expansion associated with defects in increasing ploidy by endoreduplication.
Raising nuclear DNA content in bin4 by colchicine-induced polyploidization
partially rescues the cell and organ size phenotype, indicating that BIN4 is
directly and specifically required for endoreduplication rather than for
subsequent cell expansion. BIN4 encodes a plant-specific, DNA binding protein
that acts as a component of the plant DNA topoisomerase VI complex. Loss of BIN4
triggers an ATM- and ATR-dependent DNA damage response in postmitotic cells, and
this response coincides with the upregulation of the cyclin B1;1 gene in the same
cell types, suggesting a functional link between DNA damage response and
endocycle control.
PMID- 18055606
TI - PRR3 Is a vascular regulator of TOC1 stability in the Arabidopsis circadian
clock.
AB - The pseudoresponse regulators (PRRs) participate in the progression of the
circadian clock in Arabidopsis thaliana. The founding member of the family,
TIMING OF CAB EXPRESSION1 (TOC1), is an essential component of the
transcriptional network that constitutes the core mechanism of the circadian
oscillator. Recent data suggest a role in circadian regulation for all five
members of the PRR family; however, the molecular function of TOC1 or any other
PRRs remains unknown. In this work, we present evidence for the involvement of
PRR3 in the regulation of TOC1 protein stability. PRR3 was temporally coexpressed
with TOC1 under different photoperiods, yet its tissue expression was only
partially overlapping with that of TOC1, as PRR3 appeared restricted to the
vasculature. Decreased expression of PRR3 resulted in reduced levels of TOC1
protein, while overexpression of PRR3 caused an increase in the levels of TOC1,
all without affecting the amount of TOC1 transcript. PRR3 was able to bind to
TOC1 in yeast and in plants and to perturb TOC1 interaction with ZEITLUPE (ZTL),
which targets TOC1 for proteasome-dependent degradation. Together, our results
indicate that PRR3 might function to modulate TOC1 stability by hindering ZTL
dependent TOC1 degradation, suggesting the existence of local regulators of clock
activity and adding to the growing importance of posttranslational regulation in
the design of circadian timing mechanisms in plants.
PMID- 18055607
TI - Network inference, analysis, and modeling in systems biology.
PMID- 18055608
TI - Quantitative proteomics in plants: choices in abundance.
PMID- 18055609
TI - The central cell plays a critical role in pollen tube guidance in Arabidopsis.
AB - The sperm cell of flowering plants cannot migrate unaided and must be transported
by the pollen tube cell of the male gametophyte to achieve successful
fertilization. Long-distance pollen tube guidance is controlled by the seven
celled female gametophyte, the embryo sac. Previous reports showed that the
synergid cell of the embryo sac is essential for pollen tube guidance. Here, we
report the identification of a central cell guidance (ccg) mutant, which is
defective in micropylar pollen tube guidance. CCG encodes a nuclear protein with
an N-terminal conserved zinc beta-ribbon domain that is functionally
interchangeable with that of TFIIB in yeast. This suggests that CCG might act as
a transcription regulator for pollen tube guidance. CCG is expressed in the
central cell of the female gametophyte. Expression of CCG in the central cell
alone is sufficient to restore the normal pollen tube guidance phenotype,
demonstrating that the central cell plays a critical role in pollen tube
guidance.
PMID- 18055610
TI - VPS9a, the common activator for two distinct types of Rab5 GTPases, is essential
for the development of Arabidopsis thaliana.
AB - Rab5, a subfamily of Rab GTPases, regulates a variety of endosomal functions as a
molecular switch. Arabidopsis thaliana has two different types of Rab5-member
GTPases: conventional type, ARA7 and RHA1, and a plant-specific type, ARA6. We
found that only one guanine nucleotide exchange factor (GEF), named VPS9a, can
activate all Rab5 members to GTP-bound forms in vitro in spite of their diverged
structures. In the vps9a-1 mutant, whose GEF activity is completely lost,
embryogenesis was arrested at the torpedo stage. Green fluorescent protein (GFP)
ARA7 and ARA6-GFP were diffused in cytosol like GDP-fixed mutants of Rab5 in
vps9a-1, indicating that both types of GTPase are regulated by VPS9a. In the
leaky vps9a-2 mutant, elongation of the primary root was severely affected.
Overexpression of the GTP-fixed form of ARA7 suppressed the vps9a-2 mutation, but
overexpression of ARA6 had no apparent effects. These results indicate that the
two types of plant Rab5 members are functionally differentiated, even though they
are regulated by the same activator, VPS9a.
PMID- 18055611
TI - Synthesis of the D2 protein of photosystem II in Chlamydomonas is controlled by a
high molecular mass complex containing the RNA stabilization factor Nac2 and the
translational activator RBP40.
AB - Gene expression in chloroplasts is regulated mainly at the posttranscriptional
level. In the green alga Chlamydomonas reinhardtii, synthesis of the D2 protein
(PsbD), which is the rate-determining subunit for the assembly of photosystem II,
depends on the RNA stability factor Nac2. In addition, the RNA binding protein
RBP40 has been implicated in translational control via a U-rich element in the 5'
untranslated region (5'UTR) of the psbD mRNA. Here, we report the identification
of the RBP40 gene based on mass spectrometric analysis of its purified product.
Unexpectedly, this was found to be identical to the previously described RNA
binding protein RB38, which had been suggested to be involved in the regulation
of D1 protein synthesis. However, we show that RBP40 binds to the psbD 5'UTR in a
Nac2-dependent fashion both in vitro and in vivo. Molecular characterization of
RBP40 RNA interference lines confirmed that RBP40 specifically affects the
initiation of D2 synthesis. Native polyacrylamide gel electrophoresis,
coimmunoprecipitation, and sedimentation analyses revealed that Nac2 and RBP40
form parts of a complex of 550 kD that is displaced from the psbD mRNA prior to
polysome assembly. Together, these data indicate that the processes of 5'UTR
mediated RNA stabilization and translation initiation are tightly coupled in
Chlamydomonas.
PMID- 18055614
TI - The Journal of Experimental Biology Outstanding Paper Prize, 2007.
PMID- 18055612
TI - A viroid RNA with a specific structural motif inhibits chloroplast development.
AB - Peach latent mosaic viroid (PLMVd) is a chloroplast-replicating RNA that
propagates in its natural host, peach (Prunus persica), as a complex mixture of
variants, some of which are endowed with specific structural and pathogenic
properties. This is the case of variant PC-C40, with an insertion of 12 to 13
nucleotides that folds into a hairpin capped by a U-rich loop, which is
responsible for an albino-variegated phenotype known as peach calico (PC). We
have applied a combination of ultrastructural, biochemical, and molecular
approaches to dissect the pathogenic effects of PC-C40. Albino sectors of leaves
infected with variant PC-C40 presented palisade cells that did not completely
differentiate into a columnar layer and altered plastids with irregular shape and
size and with rudimentary thylakoids, resembling proplastids. Furthermore,
impaired processing and accumulation of plastid rRNAs and, consequently, of the
plastid translation machinery was observed in the albino sectors of leaves
infected with variant PC-C40 but not in the adjacent green areas or in leaves
infected by mosaic-inducing or latent variants (including PC-C40Delta, in which
the 12- to 13-nucleotide insertion was deleted). Protein gel blot and RT-PCR
analyses showed that the altered plastids support the import of nucleus-encoded
proteins, including a chloroplast RNA polymerase, the transcripts of which were
detected. RNA gel blot and in situ hybridizations revealed that PLMVd replicates
in the albino leaf sectors and that it can invade the shoot apical meristem and
induce alterations in proplastids, bypassing the RNA surveillance system that
restricts the entry of a nucleus-replicating viroid and most RNA viruses.
Therefore, a non-protein-coding RNA with a specific structural motif can
interfere with an early step of the chloroplast developmental program, leading
ultimately to an albino-variegated phenotype resembling that of certain
variegated mutants in which plastid rRNA maturation is also impaired. Our results
highlight the potential of viroids for further dissection of RNA trafficking and
pathogenesis in plants.
PMID- 18055613
TI - Lysigenous aerenchyma formation in Arabidopsis is controlled by LESION SIMULATING
DISEASE1.
AB - Aerenchyma tissues form gas-conducting tubes that provide roots with oxygen under
hypoxic conditions. Although aerenchyma have received considerable attention in
Zea mays, the signaling events and genes controlling aerenchyma induction remain
elusive. Here, we show that Arabidopsis thaliana hypocotyls form lysigenous
aerenchyma in response to hypoxia and that this process involves H(2)O(2) and
ethylene signaling. By studying Arabidopsis mutants that are deregulated for
excess light acclimation, cell death, and defense responses, we find that the
formation of lysigenous aerenchyma depends on the plant defense regulators LESION
SIMULATING DISEASE1 (LSD1), ENHANCED DISEASE SUSCEPIBILITY1 (EDS1), and
PHYTOALEXIN DEFICIENT4 (PAD4) that operate upstream of ethylene and reactive
oxygen species production. The obtained results indicate that programmed cell
death of lysigenous aerenchyma in hypocotyls occurs in a similar but independent
manner from the foliar programmed cell death. Thus, the induction of aerenchyma
is subject to a genetic and tissue-specific program. The data lead us to conclude
that the balanced activities of LSD1, EDS1, and PAD4 regulate lysigenous
aerenchyma formation in response to hypoxia.
PMID- 18055615
TI - Mechanical and energetic factors underlying gait transitions in bluegill sunfish
(Lepomis macrochirus).
AB - As their swimming speed increased, bluegill sunfish (Lepomis macrochirus)
switched from pectoral-fin-powered labriform swimming to undulations of the body
axis. This gait transition occurred at a mean swimming speed of 0.24+/-0.01 m s(
1) and a pectoral fin beat frequency of 2.79+/-0.11 Hz (mean +/- s.e.m., N=6).
The power output available from the main upstroke (adductor profundus) and
downstroke (abductor superficialis) muscles, measured using the work-loop
technique was maximal at the gait transition point. The cost of transport,
measured by respirometry, increased as the fish switched from labriform to
undulatory swimming. Our data show that bluegill changed gait as swimming speed
increased to recruit additional muscle mass, rather than to maximize economy, as
is the case for many terrestrial animals.
PMID- 18055616
TI - Mechanical properties of the rigid and hydrostatic skeletons of molting blue
crabs, Callinectes sapidus Rathbun.
AB - Molting in crustaceans involves significant changes in the structure and function
of the exoskeleton as the old cuticle is shed and a new one is secreted. The
flimsy new cuticle takes several days to harden and during this time crabs rely
on a hydrostatic skeletal support system for support and movement. This change
from a rigid to a hydrostatic skeletal support mechanism implies correlated
changes in the function, and thus mechanical properties, of the cuticle. In
particular, it must change from primarily resisting compression, bending and
torsional forces to resisting tension. This study was designed to explore the
changes in the mechanical properties of the crustacean cuticle as the animals
switch between two distinct skeletal support mechanisms. Samples of cuticle were
removed from blue crabs, Callinectes sapidus, at 1 h (soft-shell stage), 12 h
(paper-shell stage), and 7 days (hard-shell stage) following molting. We measured
and compared the flexural stiffness, Young's modulus of elasticity (in tension),
and tensile strength for each postmolt stage. We found that the hard-shell
cuticle has a flexural stiffness fully four orders of magnitude greater than the
soft-shell and paper-shell cuticle. Although the soft-shell cuticle has a Young's
modulus significantly lower than that of the paper-shell and hard-shell cuticle,
it has the same tensile strength. Thus, the soft-shell and paper-shell cuticles
are unable to resist the significant bending forces associated with a rigid
skeletal support system, but can resist the tensile forces that characterize
hydrostatic support systems. The mechanical properties of the cuticle thus change
dramatically during molting in association with the change in function of the
cuticle. These results emphasize the significant role that mechanics plays in the
evolution of the molting process in arthropods, and possibly other ecdysozoans.
PMID- 18055617
TI - Returning on empty: extreme blood O2 depletion underlies dive capacity of emperor
penguins.
AB - Blood gas analyses from emperor penguins (Aptenodytes forsteri) at rest, and
intravascular P(O(2)) profiles from free-diving birds were obtained in order to
examine hypoxemic tolerance and utilization of the blood O(2) store during dives.
Analysis of blood samples from penguins at rest revealed arterial P(O(2))s and
O(2) contents of 68+/-7 mmHg (1 mmHg= 133.3 Pa) and 22.5+/-1.3 ml O(2) dl(-1)
(N=3) and venous values of 41+/-10 mmHg and 17.4+/-2.9 ml O(2) dl(-1) (N=9).
Corresponding arterial and venous Hb saturations for a hemoglobin (Hb)
concentration of 18 g dl(-1) were >91% and 70%, respectively. Analysis of P(O(2))
profiles obtained from birds equipped with intravascular P(O(2)) electrodes and
backpack recorders during dives revealed that (1) the decline of the final blood
P(O(2)) of a dive in relation to dive duration was variable, (2) final venous
P(O(2)) values spanned a 40-mmHg range at the previously measured aerobic dive
limit (ADL; dive duration associated with onset of post-dive blood lactate
accumulation), (3) final arterial, venous and previously measured air sac P(O(2))
values were indistinguishable in longer dives, and (4) final venous P(O(2))
values of longer dives were as low as 1-6 mmHg during dives. Although blood O(2)
is not depleted at the ADL, nearly complete depletion of the blood O(2) store
occurs in longer dives. This extreme hypoxemic tolerance, which would be
catastrophic in many birds and mammals, necessitates biochemical and molecular
adaptations, including a shift in the O(2)-Hb dissociation curve of the emperor
penguin in comparison to those of most birds. A relatively higher-affinity Hb is
consistent with blood P(O(2)) values and O(2) contents of penguins at rest.
PMID- 18055618
TI - Endothelin and endothelin converting enzyme-1 in the fish gill: evolutionary and
physiological perspectives.
AB - In euryhaline fishes like the killifish (Fundulus heteroclitus) that experience
daily fluctuations in environmental salinity, endothelin 1 (EDN1) may be an
important regulator molecule necessary to maintain ion homeostasis. The purpose
of this study was to determine if EDN1 and the endothelin converting enzyme
(ECE1; the enzyme necessary for cleaving the precursor proendothelin-1 to EDN1)
are present in the killifish, to determine if environmental salinity regulates
their expression, and to examine the phylogenetic relationships among the EDNs
and among the ECEs. We sequenced killifish gill cDNA for two EDN1 orthologues,
EDN1A and EDN1B, and also sequenced a portion of ECE1 cDNA. EDN1A and ECE1 mRNA
are expressed ubiquitously in the killifish while EDN1B mRNA has little
expression in the killifish opercular epithelium or gill. Using in situ
hybridization and immunohistochemistry, EDN1 was localized to large round cells
adjacent to the mitochondrion-rich cells of the killifish gill, and to lamellar
pillar cells. In the gill, EDN1A and EDN1B mRNA levels did not differ with acute
(<24 h) or chronic (30 days) acclimation to seawater (SW); however, EDN1B levels
increased threefold post SW to freshwater (FW) transfer, and ECE1 mRNA levels
significantly increased twofold over this period. ECE1 mRNA levels also increased
sixfold over 24 h post FW to SW transfer. Chronic exposure to SW or FW had little
effect on ECE1 mRNA levels. Based upon our cellular localization studies, we
modeled EDN1 expression in the fish gill and conclude that it is positioned to
act as a paracrine regulator of gill functions in euryhaline fishes. It also may
function as an autocrine on pillar cells, where it is hypothesized to regulate
local blood flow in the lamellae. From our phylogenetic analyses, ECE is
predicted to have an ancient origin and may be a generalist endoprotease in non
vertebrate organisms, while EDNs are vertebrate-specific peptides and may be key
characters in vertebrate evolution.
PMID- 18055619
TI - Parasites, proteomics and performance: effects of gregarine gut parasites on
dragonfly flight muscle composition and function.
AB - In previous work, we found that dragonflies infected with gregarine gut parasites
have reduced muscle power output, loss of lipid oxidation in their flight
muscles, and a suite of symptoms similar to mammalian metabolic syndrome. Here,
we test the hypothesis that changes in muscle protein composition underlie the
observed changes in contractile performance. We found that gregarine infection
was associated with a 10-fold average reduction in abundance of a approximately
155 kDa fragment of muscle myosin heavy chain (MHC; approximately 206 kDa intact
size). Insect MHC gene sequences contain evolutionarily conserved amino acid
motifs predicted for calpain cleavage, and we found that calpain digestion of
purified dragonfly MHC produced a peptide of approximately 155 kDa. Thus, gut
parasites in dragonflies are associated with what appears to be a reduction in
proteolytic degradation of MHC. MHC155 abundance showed a strong negative
relationship to muscle power output in healthy dragonflies but either no
relationship or a weakly positive relationship in infected dragonflies. Troponin
T (TnT) protein isoform profiles were not significantly different between healthy
and infected dragonflies but whereas TnT isoform profile was correlated with
power output in healthy dragonflies, there was no such correlation in infected
dragonflies. Multivariate analyses of power output based on MHC155 abundance and
a principal component of TnT protein isoform abundances explained 98% of the
variation in muscle power output in healthy dragonflies but only 29% when data
from healthy and infected dragonflies were pooled. These results indicate that
important, yet largely unexplored, functional relationships exist between
(pathways regulating) myofibrillar protein expression and (post-translational)
protein processing. Moreover, infection by protozoan parasites of the midgut is
associated with changes in muscle protein composition (i.e. across body
compartments) that, either alone or in combination with other unmeasured changes,
alter muscle contractile performance.
PMID- 18055620
TI - Holdfast heroics: comparing the molecular and mechanical properties of Mytilus
californianus byssal threads.
AB - The marine mussel Mytilus californianus Conrad inhabits the most wave-exposed
regions of the rocky intertidal by dint of its extraordinary tenacity. Tenacity
is mediated in large part by the byssus, a fibrous holdfast structure. M.
californianus byssal threads, which are mechanically superior to the byssal
threads of other mytilids, are composed almost entirely of a consortium of three
modular proteins known as the preCols. In this study, the complete primary
sequence of preCols from M. californianus was deduced and compared to that of two
related species with mechanically inferior byssal threads, M. edulis Linnaeus and
M. galloprovincialis Lamarck in order to explore structure-function
relationships. The preCols from M. californianus are more divergent from the
other two species than they are from one another. However, the degree of
divergence is not uniform among the various domains of the preCols, allowing us
to speculate on their mechanical role. For instance, the extra spider silk-like
runs of alanine-rich sequence in the flanking domains of M. californianus may
increase crystalline order, enhancing strength and stiffness. Histidine-rich
domains at the termini, in contrast, are highly conserved between species,
suggesting a mechanical role common to all three. Mechanical testing of pH
treated and chemically derivatized distal threads strongly suggests that
histidine side chains are ligands in reversible, metal-mediated cross-links in
situ. By combining the mechanical and sequence data, yield and self-healing in
the distal region of threads have been modeled to emphasize the intricate
interplay of enthalpic and entropic effects during tensile load and recovery.
PMID- 18055621
TI - Turning behaviour depends on frictional damping in the fruit fly Drosophila.
AB - Turning behaviour in the fruit fly Drosophila depends on several factors
including not only feedback from sensory organs and muscular control of wing
motion, but also the mass moments of inertia and the frictional damping
coefficient of the rotating body. In the present study we evaluate the
significance of body friction for yaw turning and thus the limits of visually
mediated flight control in Drosophila, by scoring tethered flies flying in a
flight simulator on their ability to visually compensate a bias on a moving
object and a visual background panorama at different simulated frictional
dampings. We estimated the fly's natural damping coefficient from a numerical
aerodynamic model based on both friction on the body and the flapping wings
during saccadic turning. The model predicts a coefficient of 54 x 10(-12) Nm s,
which is more than 100-times larger than the value estimated from a previous
study on the body alone. Our estimate suggests that friction plays a larger role
for yaw turning in Drosophila than moments of inertia. The simulator experiments
showed that visual performance of the fruit fly collapses near the physical
conditions estimated for freely flying animals, which is consistent with the
suggested role of the halteres for flight stabilization. However, kinematic
analyses indicate that the measured loss of flight control might be due
predominantly to the limited fine control in the fly's steering muscles below a
threshold of 1-2 degrees stroke amplitude, rather than resulting from the limits
of visual motion detection by the fly's compound eyes. We discuss the impact of
these results and suggest that the elevated frictional coefficient permits freely
flying fruit flies to passively terminate rotational body movements without
producing counter-torque during the second half of the saccadic turning
manoeuvre.
PMID- 18055622
TI - Structure, ratios and patterns of release in the sex pheromone of an aphid,
Dysaphis plantaginea.
AB - Insect communication is primarily via chemicals. In Aphidinae aphids, the
structure and ratio of iridoid (monoterpenoid) chemicals are known to be
important components of the sex pheromone. However, for enhanced species
specificity, it has been suggested that release of sex pheromone might be
restricted to a narrow time period within the diel cycle. Here, we determine the
structure, ratios and release patterns of iridoid chemicals produced by a serious
global pest, the rosy apple aphid, Dysaphis plantaginea. Volatiles were collected
from batches of oviparae (sexual females) and chemicals identified by gas
chromatography, mass-spectrometry and microscale NMR spectroscopy.
(1R,4aS,7S,7aR)-Nepetalactol and (4aS,7S,7aR)-nepetalactone were detected in a
3.7:1 ratio. To investigate timing of release, we constructed a sequential
sampling device that allowed volatile chemicals to be captured hourly from 95
same-aged oviparae over 20 consecutive days. Release patterns of the two sex
pheromone components show that D. plantaginea oviparae release high levels of the
two components during photophase and low levels during scotophase. Release of the
two components increased significantly during the first 3 h of photophase and
thereafter remained at a high level until the onset of scotophase. The ratio of
(1R,4aS,7S,7aR)-nepetalactol to (4aS,7S,7aR)-nepetalactone released did not
change significantly between days two to 14 of the adult stadium, but from the
15th day onward there was a significant decrease in the relative amount of
(1R,4aS,7S,7aR)-nepetalactol. Pheromone release was greatest on the eighth day of
the adult stadium, with up to 8.4 ng of pheromone released per ovipara per hour.
This is the first report on the full structural identification and ratios of
volatile iridoid components collected from D. plantaginea oviparae and is also
the most detailed temporal study on sex pheromone release from any aphid species.
The lack of a temporally narrow and distinct period of very high sex pheromone
release suggests that alternative mechanisms or factors for species recognition
and isolation may be important. Findings are discussed broadly in relation to the
biology of the aphid.
PMID- 18055623
TI - Energy availability influences microclimate selection of hibernating bats.
AB - Many species hibernate to conserve energy during periods of low food and water
availability. It has long been assumed that the optimal hibernation strategy
involves long, deep bouts of torpor that minimize energy expenditure. However,
hibernation has ecological (e.g. decreased predator avoidance) and physiological
(e.g. sleep deprivation) costs that must be balanced with energy savings;
therefore, individuals possessing sufficient energy reserves may reduce their use
of deep torpor. We tested the hypothesis that energy (fat) availability
influences temperature selection of two fat-storing bat species during
hibernation. We predicted that individuals with small energy reserves would
select colder temperatures for hibernation in order to minimize energy
expenditure, while individuals with larger energy reserves would choose warmer
temperatures to minimize the costs of hibernation. Results from our field
experiment indicate that little brown myotis (Myotis lucifugus) hibernating in
warm microclimates were significantly heavier than individuals hibernating in
cooler microclimates. To determine if energy availability was mediating this
relationship, we limited fatty acid availability with mercaptoacetate (MA) and
quantified its effect on torpid metabolic rate (TMR) and thermal preference of
big brown bats (Eptesicus fuscus). Administration of MA caused a 43% drop in TMR
at 10 degrees C and caused bats to choose significantly colder temperatures for
hibernation. Our results suggest that fat-storing bats minimize torpor expression
using both physiological and behavioral mechanisms.
PMID- 18055624
TI - Phenotypic plasticity in female naked mole-rats after removal from reproductive
suppression.
AB - Naked mole-rats are fossorial African rodents that live in large, eusocial
groups. Adult subordinate female mole-rats are reproductively suppressed by the
dominant breeding female in their colonies. As a result, subordinate females
remain reproductively quiescent for their entire lives unless they are removed
from the suppressive presence of the dominant female. This makes subordinate
female mole-rats a tractable model for studying phenotypic plasticity. We
measured skeletal growth of subordinate, suppressed females as they changed
reproductive status. After housing subordinate female mole-rats separately from
their home colonies, these animals experienced a growth surge that dramatically
increased their body mass and length. After removal from reproductive
suppression, females showed an 82% increase in body mass and a 37% increase in
the length of their lumbar spines. The lumbar vertebrae were the only skeletal
structures that exhibited this puberty-like growth. After colony separation, body
mass and lumbar vertebrae growth rates peaked and remained elevated for several
weeks before returning to control levels--suggestive of a puberty-like 'growth
spurt'. Although previous studies have characterized pregnancy-induced lumbar
spine elongation in female mole-rats, we demonstrate a significant change in the
body morphology of female mole-rats after removal from reproductive suppression
but before the first pregnancy.
PMID- 18055625
TI - Strategies for regulation of hemolymph pH in acidic and alkaline water by the
larval mosquito Aedes aegypti (L.) (Diptera; Culicidae).
AB - The responses of larval Aedes aegypti to media of pH 4, 7 and 11 provide evidence
for pH regulatory strategies. Drinking rates in pH 4 media were elevated 3- to 5
fold above those observed in pH 7 or 11. Total body water was elevated during
acute exposure to acidic media. During chronic exposure, total body water was
decreased and Malpighian tubule mitochondrial luminosity, quantified using
Mitotracker Green FM, increased. Malpighian tubule secretion rates and energy
demands thus appear to increase dramatically during acid exposure. In alkaline
media, drinking rates were quite low. Larvae in pH 11 media excreted net acid
(0.12 nequiv H(+) g(-1) h(-1)) and the pH indicators azolitmin and bromothymol
blue revealed that the rectal lumen is acidic in vivo at all ambient pH values.
The anal papillae (AP) were found to be highly permeant to acid-base equivalents.
Ambient pH influenced the length, and the mass-specific length, of the AP in the
presence of NaCl (59.9 mmol l(-1)). In contrast, the length and mass-specific
length of AP were not influenced by ambient pH in low NaCl conditions.
Mitochondrial luminosity was reduced in AP of larvae reared in acidic media, and
was not elevated in alkaline media, relative to that of larvae reared in neutral
media. These data suggest that the AP may compromise acid-base balance in acidic
media, and may also be an important site of trade-offs between H(+) homeostasis
and NaCl uptake in dilute, acidic media.
PMID- 18055626
TI - Physiological, morphological and behavioural effects of selecting zebra finches
for divergent levels of corticosterone.
AB - The effects of environmental stress on the physiology and behaviour of higher
vertebrates has become an important avenue of research in recent years. Evidence
from recent studies has suggested that the avian stress-related hormone
corticosterone (CORT) may play a role in immunocompetence and sexual selection.
We tested whether CORT is immunosuppressive by studying humoral and cell-mediated
immune responses in populations of captive zebra finches selected for divergent
peak levels of CORT. We also investigated whether selection for peak CORT has an
effect on the quality of several sexually selected regions of the male zebra
finch; in addition we compared morphometric parameters and the dominance ranking
in males from the different selection lines. We also tested whether different
components of the immune system compete for limited resources. We found that
selection for divergent levels of peak CORT had little effect on humoral
immunity, male sexual signal quality or dominance ranking. However, contrary to
expectations, we did find a positive relationship between CORT titre and cell
mediated immunity, as well as a greater cell-mediated response in the birds
selected for high CORT titre than those selected for low CORT titre. Consistent
with predictions, significant negative relationships were found between both
testosterone and CORT titre on humoral immunity. Birds from the low CORT lines
were significantly larger in terms of skeletal size than those from the high CORT
lines. Overall, our results suggest that the cell-mediated immune response is
associated with a reduction in the humoral response, but only in males, and that
there is no simple relationship between peak CORT levels and immune function.
PMID- 18055627
TI - Routine turning maneuvers of koi carp Cyprinus carpio koi: effects of turning
rate on kinematics and hydrodynamics.
AB - Spontaneous swimming behaviors of koi carp Cyprinus carpio koi were recorded
using a video tracking system. Routine single-beat turns were selected from the
recorded image sequences for kinematic and hydrodynamic analysis. As with C
starts, the turns can be divided into two stages (stage 1 and stage 2), based on
kinematics. Stage 1 involves a bend to one side forming a C-shaped curve in the
body, while stage 2 corresponds to the return flip of the body and tail. The
turning angle in stage 1 accounts for the greatest portion of the total turning
angle and the mean turning rate in stage 1 reflects the intensity of turn. The
effects of the turning rate in stage 1 on both kinematics and hydrodynamics were
examined. The duration of stage 1 remained relatively stable over a nearly
tenfold change in turning rate. Consequently, the turning angle is dominated by
the turning rate in stage 1. The turning radius is not related to the swimming
speed. Moreover, except in very fast turns, the turning radius is also not
affected by the turning rate. The angle between the side jet and the carp's
initial orientation of a turn does not change substantially with the turning
rate, and it is always close to 90 degrees (94.2+/-3.1 degrees , N=41), so the
orientation of the side jet in the forthcoming turn can be predicted. The angle
between the jet and the line joining the center of mass of the carp and the
trailing edge of the tail (mean value in stage 1) is also always close to 90
degrees (95.3+/-1.3 degrees , N=41). It is helpful for the carp to maximize the
torque so as to improve the turning efficiency. In stage 1, the impulsive moment
obtained from the beat of the body and tail and the mean angular momentum of the
carp show an agreement in magnitude. Two types of flow patterns in the wake of
routine single-beat turns are revealed. The difference between the two types of
wakes is in whether or not a vortex ring and a thrust jet are generated in stage
2. The recoil speed of the tail, the bending amplitude of the turn, and the angle
of attack of the tail are three probable factors influencing the flow patterns in
stage 2.
PMID- 18055629
TI - Octopamine partially restores walking in hypokinetic cockroaches stung by the
parasitoid wasp Ampulex compressa.
AB - When stung by the parasitoid wasp Ampulex compressa, cockroaches Periplaneta
americana enter a hypokinetic state that is characterized by little, if any,
spontaneous locomotor activity. In the present study we investigate the effect of
an octopamine receptor agonist and an antagonist on the locomotor behavior of
stung and control cockroaches. We show that in cockroaches stung by a wasp the
octopamine receptor agonist chlordimeform induces a significant increase in
spontaneous walking. In good agreement, in control individuals an octopamine
receptor antagonist significantly reduces walking activity. Adipokinetic hormone
I (AKH-I) promotes spontaneous walking in controls but does not do so in stung
individuals, which suggests that the venom effect is most probably not mediated
by AKH-I. Dopamine receptor agonists or antagonists had no significant effect on
the spontaneous walking of stung or control cockroaches, respectively. The effect
of the octopamine receptor agonist was maximal when injected into the brain,
suggesting that the wasp venom interferes with octopaminergic modulation of
walking initiation in central structures of the cockroach brain.
PMID- 18055628
TI - Unphosphorylated twitchin forms a complex with actin and myosin that may
contribute to tension maintenance in catch.
AB - Molluscan smooth muscle can maintain tension over extended periods with little
energy expenditure, a process termed catch. Catch is thought to be regulated by
phosphorylation of a thick filament protein, twitchin, and involves two
phosphorylation sites, D1 and D2, close to the N and C termini, respectively.
This study was initiated to investigate the role of the D2 site and its
phosphorylation in the catch mechanism. A peptide was constructed containing the
D2 site and flanking immunoglobulin (Ig) motifs. It was shown that the
dephosphorylated peptide, but not the phosphorylated form, bound to both actin
and myosin. The binding site on actin was within the sequence L10 to P29. This
region also binds to loop 2 of the myosin head. The dephosphorylated peptide
linked myosin and F-actin and formed a trimeric complex. Electron microscopy
revealed that twitchin is distributed on the surface of the thick filament with
an axial periodicity of 36.25 nm and it is suggested that the D2 site aligns with
the myosin heads. It is proposed that the complex formed with the
dephosphorylated D2 site of twitchin, F-actin and myosin represents a component
of the mechanical linkage in catch.
PMID- 18055630
TI - Effects of independently altering body weight and body mass on the metabolic cost
of running.
AB - The metabolic cost of running is substantial, despite the savings from elastic
energy storage and return. Previous studies suggest that generating vertical
force to support body weight and horizontal forces to brake and propel body mass
are the major determinants of the metabolic cost of running. In the present
study, we investigated how independently altering body weight and body mass
affects the metabolic cost of running. Based on previous studies, we hypothesized
that reducing body weight would decrease metabolic rate proportionally, and
adding mass and weight would increase metabolic rate proportionally. Further,
because previous studies show that adding mass alone does not affect the forces
generated on the ground, we hypothesized that adding mass alone would have no
substantial effect on metabolic rate. We manipulated the body weight and body
mass of 10 recreational human runners and measured their metabolic rates while
they ran at 3 m s(-1). We reduced weight using a harness system, increased mass
and weight using lead worn about the waist, and increased mass alone using a
combination of weight support and added load. We found that net metabolic rate
decreased in less than direct proportion to reduced body weight, increased in
slightly more than direct proportion to added load (added mass and weight), and
was not substantially different from normal running with added mass alone. Adding
mass alone was not an effective method for determining the metabolic cost
attributable to braking/propelling body mass. Runners loaded with mass alone did
not generate greater vertical or horizontal impulses and their metabolic costs
did not substantially differ from those of normal running. Our results show that
generating force to support body weight is the primary determinant of the
metabolic cost of running. Extrapolating our reduced weight data to zero weight
suggests that supporting body weight comprises at most 74% of the net cost of
running. However, 74% is probably an overestimate of the metabolic demand of body
weight to support itself because in reduced gravity conditions decrements in
horizontal impulse accompanied decrements in vertical impulse.
PMID- 18055631
TI - Duration of socialization influences responses to a mirror: responses of dominant
and subordinate crayfish diverge with time of pairing.
AB - Reflective surfaces have been shown previously to modify behaviour in socialized
crayfish. Socializing crayfish by pairing them for two weeks established a
hierarchy with one dominant and one subordinate crayfish per pair. Dominant
crayfish exhibited specific behaviours, such as cornering, turning and crossing,
more frequently in a reflective environment than in a non-reflective environment.
After 2 weeks of pairing, subordinate crayfish did not respond in this manner
but, instead, performed more reverse walking in a reflective environment. The
present study investigated how the length of social pairing affects the response
to mirrors. Crayfish from a communal tank were paired for 30 min or for 3 days,
and their activity was videotaped for 20 min in a test aquarium lined with
mirrors on one half and a non-reflective matte lining on the other half. Crayfish
housed in the communal tank were used as a comparison group. After 30 min of
pairing, dominant and subordinate crayfish responded similarly to the reflection,
showing essentially the same pattern for seven of nine behaviours examined. After
3 days of pairing, dominant crayfish continued to respond to the reflection in
essentially the same way, but subordinate crayfish behaved differently, showing
differences in seven out of nine behaviours. Thus, the pattern of responses of
dominant and subordinate crayfish to reflection diverged with time of pairing.
PMID- 18055632
TI - Control of neuronal firing by dynamic parallel fiber feedback: implications for
electrosensory reafference suppression.
AB - The cancellation of self-generated components of sensory inputs is a key function
of sensory feedback pathways. In many systems, cerebellar parallel fiber feedback
mediates this cancellation through anti-Hebbian plasticity, resulting in the
generation of a negative image of the reafferent inputs. Parallel fiber feedback
involves direct excitation and disynaptic inhibition as well as synaptic
plasticity on multiple time scales. How the dynamics of these processes interact
with anti-Hebbian plasticity to shape synaptic inputs and provide a cancellation
mechanism remains unclear. In the present study, we investigated the influence of
parallel fiber feedback onto pyramidal neurons of the electrosensory lateral line
lobe (ELL) in weakly electric fish under open loop conditions. We mimicked
naturalistic parallel fiber inputs in an ELL brain slice by implementing an
experimentally based model of this synaptic pathway using dynamic clamp. We
showed that as parallel fiber activity increases, the effective input to ELL
pyramidal neurons changes from net excitation to net inhibition, resulting in a
non-monotonic firing response. Using a model neuron, we found that this robust
non-monotonic response is due to a shift from balanced excitation and inhibition
at low parallel fiber input rates, to dominant inhibition at high input rates. We
then showed that this non-monotonic response provides a simple basis for negative
image generation. Through changes in the mean activation rate of parallel fibers,
the feedback can switch roles between enhancement and suppression of sensory
inputs in a manner that is directly determined by the slope of the non-monotonic
response curve.
PMID- 18055633
TI - Nitrergic modulation of an oviposition digging rhythm in locusts.
AB - In locusts, a central pattern generator underlies the rhythmic movements of the
ovipositor valves that serve to drive the abdomen into damp soil in order to lay
eggs. We have investigated the role of nitric oxide (NO) in the control of this
oviposition digging rhythm. NO increases the frequency of the rhythm by acting
via sGC to elevate cGMP, which in turn acts via PKG. Increasing exogenous NO
levels using the NO donors SNAP and PAPANONOate increased the cycle frequency of
the fictive digging rhythm, as did increasing endogenous NO by bath application
of the substrate for NOS, l-arginine. On the other hand, application of the NO
scavenger PTIO decreased the cycle frequency, indicating that NO must normally
exert a continuous and dynamic role on the central pattern generator underlying
the oviposition rhythm. Inhibiting the main molecular target of NO, soluble
guanylate cyclase, with ODQ reduced the cycle frequency of the rhythm, suggesting
that NO mediated its effects via sGC and cyclic GMP. Further evidence for this
was produced by bath application of 8-Br-cGMP, which increased the frequency of
the rhythm. Bath application of the generic protein kinase inhibitor and a
selective PKG inhibitor, H-7 and KT-5823, respectively, reduced the frequency of
the rhythm, suggesting that PKG acted as a target for cGMP. Thus, we conclude
that NO plays a key role in regulating the frequency of the central pattern
generator controlling rhythmic egg-laying movements in locusts by acting via
sGC/cGMP-PKG.
PMID- 18055634
TI - Aerial hawking and landing: approach behaviour in Natterer's bats, Myotis
nattereri (Kuhl 1818).
AB - We compared the flight and echolocation behaviour of a vespertilionid bat (Myotis
nattereri) approaching a large stationary or a small moving target. Bats were
trained to either land on a landing grid or to catch a moving tethered mealworm.
When closing in on these two targets, the bats emitted groups of sounds with
increasing number of signals and decreasing pulse interval and duration. When
pursuing the mealworm, the approach phase always ended with a terminal group
consisting of buzz I and buzz II. When landing, the bats emitted either a
terminal group consisting of buzz I alone, with one or two extra pulses, or a
group consisting of buzz I and buzz II. In all situations, buzz I ended on
average between 47-63 ms prior to contact with the target of interest, which is
approximately the reaction time of bats. Therefore, the information collected in
buzz II does not guide the bats to the target. The relevant part of the approach
phase to reach the target ends with buzz I. The basic sound pattern of this part
is rather similar and independent of whether the bats approach the large
stationary or the small moving target.
PMID- 18055635
TI - Metabolic inactivation of the circadian transmitter, pigment dispersing factor
(PDF), by neprilysin-like peptidases in Drosophila.
AB - Recent studies have firmly established pigment dispersing factor (PDF), a C
terminally amidated octodecapeptide, as a key neurotransmitter regulating
rhythmic circadian locomotory behaviours in adult Drosophila melanogaster. The
mechanisms by which PDF functions as a circadian peptide transmitter are not
fully understood, however; in particular, nothing is known about the role of
extracellular peptidases in terminating PDF signalling at synapses. In this study
we show that PDF is susceptible to hydrolysis by neprilysin, an endopeptidase
that is enriched in synaptic membranes of mammals and insects. Neprilysin cleaves
PDF at the internal Ser7-Leu8 peptide bond to generate PDF1-7 and PDF8-18.
Neither of these fragments were able to increase intracellular cAMP levels in
HEK293 cells cotransfected with the Drosophila PDF receptor cDNA and a firefly
luciferase reporter gene, confirming that such cleavage results in PDF
inactivation. The Ser7-Leu8 peptide bond was also the principal cleavage site
when PDF was incubated with membranes prepared from heads of adult Drosophila.
This endopeptidase activity was inhibited by the neprilysin inhibitors
phosphoramidon (IC(50,) 0.15 micromol l(-1)) and thiorphan (IC(50,) 1.2 micromol
l(-1)). We propose that cleavage by a member of the Drosophila neprilysin family
of endopeptidases is the most likely mechanism for inactivating synaptic PDF and
that neprilysin might have an important role in regulating PDF signals within
circadian neural circuits.
PMID- 18055636
TI - CARE IV Series: state of knowledge, practice, and translation in
interdisciplinary arthritis research and care.
PMID- 18055637
TI - Invited commentary.
PMID- 18055638
TI - Invited commentary.
PMID- 18055639
TI - Invited commentary.
PMID- 18055641
TI - Complementary, holistic, and integrative medicine: atopic dermatitis.
PMID- 18055642
TI - What's new in childhood organ transplantation.
PMID- 18055643
TI - Index of suspicion.
PMID- 18055645
TI - Pleural fluid.
PMID- 18055646
TI - Visual diagnosis: a 5-year-old child who has facial palsy and rash.
PMID- 18055648
TI - Toxoplasmosis.
PMID- 18055649
TI - Pasteurella multocida infections.
PMID- 18055650
TI - Cystitis.
PMID- 18055651
TI - Expert committee recommendations regarding the prevention, assessment, and
treatment of child and adolescent overweight and obesity: summary report.
AB - To revise 1998 recommendations on childhood obesity, an Expert Committee,
comprised of representatives from 15 professional organizations, appointed
experienced scientists and clinicians to 3 writing groups to review the
literature and recommend approaches to prevention, assessment, and treatment.
Because effective strategies remain poorly defined, the writing groups used both
available evidence and expert opinion to develop the recommendations. Primary
care providers should universally assess children for obesity risk to improve
early identification of elevated BMI, medical risks, and unhealthy eating and
physical activity habits. Providers can provide obesity prevention messages for
most children and suggest weight control interventions for those with excess
weight. The writing groups also recommend changing office systems so that they
support efforts to address the problem. BMI should be calculated and plotted at
least annually, and the classification should be integrated with other
information such as growth pattern, familial obesity, and medical risks to assess
the child's obesity risk. For prevention, the recommendations include both
specific eating and physical activity behaviors, which are likely to promote
maintenance of healthy weight, but also the use of patient-centered counseling
techniques such as motivational interviewing, which helps families identify their
own motivation for making change. For assessment, the recommendations include
methods to screen for current medical conditions and for future risks, and
methods to assess diet and physical activity behaviors. For treatment, the
recommendations propose 4 stages of obesity care; the first is brief counseling
that can be delivered in a health care office, and subsequent stages require more
time and resources. The appropriateness of higher stages is influenced by a
patient's age and degree of excess weight. These recommendations recognize the
importance of social and environmental change to reduce the obesity epidemic but
also identify ways healthcare providers and health care systems can be part of
broader efforts.
PMID- 18055652
TI - Assessment of child and adolescent overweight and obesity.
AB - Accurate appropriate assessment of overweight and obesity in children and
adolescents is a critical aspect of contemporary medical care. However,
physicians and other health care professionals may find this a somewhat thorny
field to enter. The BMI has become the standard as a reliable indicator of
overweight and obesity. The BMI is incomplete, however, without consideration of
the complex behavioral factors that influence obesity. Because of limited time
and resources, clinicians need to have quick, evidence-based interventions that
can help patients and their families recognize the importance of reducing
overweight and obesity and take action. In an era of fast food, computers, and
DVDs, it is not easy to persuade patients to modify their diets and to become
more physically active. Because research concerning effective assessment of
childhood obesity contains many gaps, this report is intended to provide a
comprehensive approach to assessment and to present the evidence available to
support key aspects of assessment. The discussion and recommendations are based
on >300 studies published since 1995, which examined an array of assessment
tools. With this information, clinicians should find themselves better equipped
to face the challenges of assessing childhood overweight and obesity accurately.
PMID- 18055653
TI - Recommendations for prevention of childhood obesity.
AB - The majority of US youth are of healthy weight, but the majority of US adults are
overweight or obese. Therefore, a major health challenge for most American
children and adolescents is obesity prevention-today, and as they age into
adulthood. In this report, we review the most recent evidence regarding many
behavioral and practice interventions related to childhood obesity, and we
present recommendations to health care providers. Because of the importance, we
also suggest approaches that clinicians can use to encourage obesity prevention
among children, including specific counseling strategies and practice-based,
systems-level interventions. In addition, we suggest how clinicians may interact
with and promote local and state policy initiatives designed to prevent obesity
in their communities.
PMID- 18055654
TI - Recommendations for treatment of child and adolescent overweight and obesity.
AB - In this article, we review evidence about the treatment of obesity that may have
applications in primary care, community, and tertiary care settings. We examine
current information about eating behaviors, physical activity behaviors, and
sedentary behaviors that may affect weight in children and adolescents. We also
review studies of multidisciplinary behavior-based obesity treatment programs and
information about more aggressive forms of treatment. The writing group has drawn
from the available evidence to propose a comprehensive 4-step or staged-care
approach for weight management that includes the following stages: (1) Prevention
Plus; (2) structured weight management; (3) comprehensive multidisciplinary
intervention; and (4) tertiary care intervention. We suggest that providers
encourage healthy behaviors while using techniques to motivate patients and
families, and interventions should be tailored to the individual child and
family. Although more intense treatment stages will generally occur outside the
typical office setting, offices can implement less intense intervention
strategies. We not ony address specific patient behavior goals but also encourage
practices to modify office systems to streamline office-based care and to prepare
to coordinate with professionals and programs outside the office for more
intensive interventions.
PMID- 18055655
TI - Infant botulism: a 30-year experience spanning the introduction of botulism
immune globulin intravenous in the intensive care unit at Childrens Hospital Los
Angeles.
AB - OBJECTIVE: To report a tertiary care hospital's 30-year experience with the
diagnosis, treatment, and outcome of infant botulism in the PICU before and after
the availability of Botulism Immune Globulin Intravenous. METHODS: This was a
retrospective medical chart review of the 67 patients who had received a
diagnosis of infant botulism and were admitted to the ICU from 1976 to 2005. The
ages on presentation, length of hospital stay, length of ICU stay, length of
mechanical ventilation, and type of botulism toxin were recorded and compared for
patients who had received Botulism Immune Globulin Intravenous and those who had
not. On the basis of our results, conclusions were drawn regarding the effect of
Botulism Immune Globulin Intravenous on the morbidity of infant botulism.
RESULTS: Sixty-seven patients' charts were reviewed; 23 male and 29 female
patients did not receive Botulism Immune Globulin Intravenous. Of patients who
did not receive Botulism Immune Globulin Intravenous, the median age at
presentation was 71 days, median length of hospital stay was 35 days, ICU stay
was 24 days, and duration of mechanical ventilation was 17 days. A total of 40%
had type A toxin, and 60% had type B toxin. There was a significant difference
between patients with toxin types A and B in length of hospital stay but not
length of ICU stay or mechanical ventilation. Patients with type A toxin were
significantly older than patients with type B toxin. Fifteen children received
Botulism Immune Globulin Intravenous. There were statistically significant
differences in length of hospital stay, length of ICU stay, and length of
mechanical ventilation between patients who received Botulism Immune Globulin
Intravenous and those who did not. CONCLUSIONS: The use of Botulism Immune
Globulin Intravenous significantly decreased the length of ICU stay, length of
mechanical ventilation, and overall hospital stay in children with infant
botulism.
PMID- 18055656
TI - Behaviors associated with fever in children with autism spectrum disorders.
AB - OBJECTIVE: Clinical case reports have suggested that the behaviors of children
with autism spectrum disorders may improve with fever. The purpose of this study
was to investigate the effect of illness on behaviors of children with autism
spectrum disorders. Understanding the role of fever, if any, may be informative
regarding causative mechanisms of and treatment opportunities for autism.
METHODS: We conducted a prospective study of 30 children (aged 2-18 years) with
autism spectrum disorders during and after an episode of fever. Parent responses
to the Aberrant Behavior Checklist were collected during fever (body temperature
> or = 38.0 degrees C/100.4 degrees F), when fever had abated and the child was
asymptomatic, and when the child had been fever-free for 7 days. Data were
compared with those collected from parents of 30 age-, gender-, and language
skills-matched afebrile children with autism spectrum disorders during similar
time intervals. RESULTS: Fewer aberrant behaviors were recorded for febrile
patients on the Aberrant Behavior Checklist subscales of irritability,
hyperactivity, stereotypy, and inappropriate speech compared with control
subjects. Per expectation, lethargy scores were greater during fevers, and all
improvements were transient. Data from patients with fever were stratified on
variables related to illness severity. In the majority of these subgroup
comparisons, the data suggested that effects from fever persisted in the less
sick patients as well as in those with more severe illness. CONCLUSIONS: We
documented behavior change among children with autism spectrum disorders during
fever. The data suggest that these changes might not be solely the byproduct of
general effects of sickness on behavior; however, more research is needed to
prove conclusively fever-specific effects and elucidate their underlying
biological mechanisms (possibly involving immunologic and neurobiological
pathways, intracellular signaling, and synaptic plasticity).
PMID- 18055657
TI - Preventive care for children in low-income families: how well do Medicaid and
state children's health insurance programs do?
AB - OBJECTIVE: Child health problems that are caused or exacerbated by health
behaviors remain a leading cause of medical spending for children. We examined
receipt of clinician advice by low-income children, comparing children who had
public insurance with those who had private insurance, as well as with children
who were uninsured for part or all of the year. METHODS: We used children who
were aged 3 to 17 and living in families with incomes of <250% of the federal
poverty level in the Medical Expenditure Panel Survey data from 2001 to 2003 to
estimate linear probability models on receipt of preventive advice. The main
outcome measures were receipt of clinician's advice about healthy eating,
physical activity, the harmful effects of smoking in the home, proper safety
restraints in a car, and use of a bicycle helmet. We also examined 2 related
barriers to receiving clinician advice: whether the child had any preventive care
visits in the past year and whether the child had a usual source of care other
than a hospital emergency department. RESULTS: Publicly insured children were
more likely than privately insured, full-year-uninsured children, and part-year
uninsured children to have had a preventive care visit in the past year, but
regardless of health insurance group, many children went without preventive care.
Even conditional on having had a preventive care visit, 48% did not receive
clinician advice in any of the areas measured, and 41% of the overweight children
were advised about neither healthy eating nor exercise in the past year.
CONCLUSIONS: Enrolling more uninsured children in Medicaid and State Children's
Health Insurance Programs could improve the chances that families receive advice
about health behaviors and injury prevention; however, nearly half of the
children who were insured for the entire year did not receive important advice
from their clinicians.
PMID- 18055658
TI - Effectiveness of emergency department asthma management strategies on return
visits in children: a population-based study.
AB - BACKGROUND: Emergency departments play an important role in the care of children
with asthma. Emergency department return-visit rates provide a measure of the
quality of acute asthma care. OBJECTIVE: Our goal was to describe the
characteristics of children treated in emergency departments for asthma, the
resources and asthma management strategies used by emergency departments, and
their effect on return visits within 72 hours. DESIGN, SETTING, AND PATIENTS: We
used a population-based cohort study that incorporated both comprehensive
administrative heath and survey data from all 152 emergency departments in
Ontario, Canada. We studied all 2- to 17-year-old children who had a visit to an
emergency department for asthma from April 2003 to March 2005. RESULTS: A total
of 32,996 children (>9% of children with asthma in Ontario) had at least 1 visit
to an emergency department for the care of asthma, and most of these visits
(68.5%) were triaged as high acuity. The vast majority (148 of 152 [97%]) of
emergency departments reported using at least 1 asthma management strategy, and
74% used 3 or more. The overall return-visit rate was 5.6%. Logistic regression
models that accounted for the clustering of patients in emergency departments and
controlled for patient and emergency department characteristics indicated that
preprinted order sheets and access to a pediatrician for consultation were
strategies significantly associated with a reduction in return visits. The 11
(17%) emergency departments that used both of these strategies had return visit
rates of 4.4% compared with 6.9% in the 95 (63%) that used neither strategy.
CONCLUSIONS: Emergency departments use a range of strategies to manage asthma in
children. Preprinted order sheets and access to pediatricians are associated with
important reductions in return-visit rates, and more emergency departments should
consider using these strategies.
PMID- 18055659
TI - Propofol sedation: intensivists' experience with 7304 cases in a children's
hospital.
AB - OBJECTIVE: The objective of this study was to determine the safety profile of
propofol as a deep-sedation agent in a primarily outpatient program consisting of
pediatric critical care physicians and specifically trained nurses with oversight
provided by anesthesiology. One hypothesis was investigated: adverse events
and/or airway interventions are more likely to occur in children with an abnormal
airway score. METHODS: A 36-month dual-site prospective, observational, clinical
study was conducted in a single center with interchangeable providers operating
within the guidelines of a single sedation program. A total of 7304 propofol
sedations for 4464 unique patients who ranged in age from 1 month to 21 years
were studied; >97% of the children were >1 year of age. RESULTS: The following
adverse reactions were identified, and a descriptive statistical analysis of the
data were performed: mild oxygen desaturation (85%-90%), 1.73%; serious oxygen
desaturation (<85%), 2.9%; laryngospasm, 0.27%; regurgitation without aspiration,
0.05%; regurgitation with aspiration, 0.01%; bronchospasm, 0.15%; and
hypotension, 31.4%. Interventions required included oral airway, 0.96%; nasal
trumpet, 1.57%; rescue breaths for >1 minute, 0.37%; intubation, 0.03%; volume
requirement of >40 mL/kg per hour, 0.11%; sedation-induced ward or PICU
admission, 0.04%; cardiac arrest medications, 0%; and aborted sedation or
procedure, 0%. We devised an airway score to identify at-risk patients. Patients
with an abnormal airway score were significantly more likely to: have oxygen
desaturation (13.1% vs 4.3%); require an oral airway (5.9% vs 0.8%); and require
a nasal trumpet (13.9% vs 1.2%). CONCLUSIONS: Propofol has an acceptable safety
profile for deep sedation when used in the context of a program with critical
care physicians, specifically trained nurses, and anesthesiology oversight. A
preprocedure airway score can assist in identifying patients who may require
airway interventions.
PMID- 18055660
TI - Gender differences in presentation and course of disease in pediatric patients
with Crohn disease.
AB - OBJECTIVE: The objective of this study was to determine gender differences in
pediatric patients with Crohn disease. METHODS: We conducted a retrospective
cohort study of 989 consecutive pediatric patients (566 boys, 423 girls) who had
Crohn disease (aged 0 to 17 years at diagnosis) by using the Pediatric IBD
Consortium Registry. Uniform data were analyzed to compare the presentation and
course of disease according to gender. RESULTS: Median follow-up time was 2.8
years. Mean +/- SD age at diagnosis of inflammatory bowel disease (11.5 +/- 3.8
years) did not differ by gender. Compared with boys, girls had a higher
prevalence of mouth sores at symptom onset and a higher prevalence of
hypoalbuminemia at the time of diagnosis. Location of disease did not differ by
gender. A higher proportion of girls had abnormal anti-outer membrane porin of
Escherichia coli levels compared with boys. Girls were at increased risk for
erythema nodosum/pyoderma gangrenosum and decreased risk for growth failure
compared with boys. CONCLUSIONS: Girls appear to have an overall more severe
course of disease; however, boys are at increased risk for developing growth
failure. Disease course and the impact of disease severity on growth according to
gender in pediatric Crohn disease require prospective study.
PMID- 18055661
TI - Fasting nonesterified fatty acid profiles in childhood and their relationship
with adiposity, insulin sensitivity, and lipid levels.
AB - OBJECTIVE: The objective of this study was to examine the major constituent of
nonesterified fatty acids in children with respect to auxologic parameters,
insulin sensitivity, and lipid levels, because nonesterified fatty acid levels
are elevated in obesity and are important in the development of comorbidities.
METHODS: Fasting blood samples were obtained from 73 children (43 girls; 49
obese; median [range] age: 11.4 [0.9-17.6] years). Concentrations of the major
circulating nonesterified fatty acids (myristate, palmitate, oleate, stearate,
and arachidate) were determined by gas chromatography mass spectrometry,
alongside measurement of insulin, adiponectin, and lipid profiles. RESULTS: The
sum of all nonesterified fatty acids was significantly higher in obese versus
normal-weight children, although gender (but not age or puberty) was an important
determinant, with the difference remaining significant only in boys. Overall,
obese children had higher concentrations of myristate, palmitate, and oleate but
not stearate or arachidate. Age was an important determinant of myristate and
arachidate, whereas gender proved more important for palmitate and stearate.
Fasting insulin concentrations were not associated with either total
nonesterified fatty acid concentrations or any of the individual nonesterified
fatty acids, although a positive correlation was found between adiponectin and
total nonesterified fatty acid concentrations that was independent of obesity
status and that seemed mediated by changes in palmitate and stearate. Serum total
cholesterol and low-density lipoprotein (but not high-density lipoprotein) levels
seemed to correlate positively with circulating concentrations of palmitate,
oleate, and stearate, whereas serum triacylglycerols correlated with myristate,
palmitate, and oleate concentrations. CONCLUSIONS: Nonesterified fatty acid
concentrations are elevated in obese children, primarily as a result of increases
in myristate, palmitate, and oleate. Independent effects of nonesterified fatty
acids on circulating adiponectin levels and lipid parameters were observed,
although we found no relationship between nonesterified fatty acid concentrations
and the insulin resistance identified with obesity.
PMID- 18055662
TI - Predicting language at 2 years of age: a prospective community study.
AB - OBJECTIVE: This article responds to evidence gaps regarding language impairment
identified by the US Preventive Services Task Force in 2006. We examine the
contributions of putative child, family, and environmental risk factors to
language outcomes at 24 months of age. METHODS: A community-ascertained sample of
1720 infants who were recruited at 8 months of age were followed at ages 12 and
24 months in a prospective, longitudinal study in metropolitan Melbourne,
Australia. Outcomes at 24 months were parent-reported infant communication
(Communication and Symbolic Behavior Scales and MacArthur-Bates Communicative
Development Inventories vocabulary production score). Putative risk factors were
gender, preterm birth, birth weight, multiple birth, birth order, socioeconomic
status, maternal mental health, maternal vocabulary and education, maternal age
at birth of child, non-English-speaking background, and family history of speech
language difficulties. Linear regression models were fitted to total standardized
Communication and Symbolic Behavior Scales and Communicative Development
Inventories vocabulary production scores; a logistic regression model was fitted
to late-talking status at 24 months. RESULTS: The regression models accounted for
4.3% and 7.0% of the variation in the 24-month Communication and Symbolic
Behavior Scales and Communicative Development Inventories scores, respectively.
Male gender and family history were strongly associated with poorer outcomes on
both instruments. Lower Communication and Symbolic Behavior Scales scores were
also associated with lower maternal vocabulary and older maternal age. Lower
vocabulary production scores were associated with birth order and non-English
speaking background. When the 12-month Communication and Symbolic Behavior Scales
Total score was added as a covariate in the linear regression of 24-month
Communication and Symbolic Behavior Scales Total score, it was by far the
strongest predictor. CONCLUSIONS: These early risk factors explained no more than
7% of the variation in language at 24 months. They seem unlikely to be helpful in
screening for early language delay.
PMID- 18055663
TI - Weight loss in overweight Mexican American children: a randomized, controlled
trial.
AB - OBJECTIVES: Childhood overweight has increased significantly in the past 20
years, with the highest rates noted among Mexican Americans. Although this
minority group is in significant need of intervention, few programs have
demonstrated actual decreases in weight. In this study we evaluated an intensive
healthy lifestyle program designed to result in weight reduction for overweight
Mexican American children. We hypothesized that children randomly assigned to an
intensive intervention would significantly reduce their standardized BMI when
compared with children randomly assigned to a self-help condition. PATIENTS AND
METHODS: A total of 60 children (33 boys; 55%) between the ages of 10 and 14
years at or above the 85th percentile for BMI were randomly assigned to a 6-month
intensive-intervention or self-help condition. RESULTS: Children in the intensive
intervention condition significantly reduced their standardized BMI when compared
with the children in the self-help condition. The change in standardized BMI was
significantly different at both 3 and 6 months, with intensive-intervention
participants showing greater decreases in weight. CONCLUSIONS: Overall, the
results were promising, suggesting that an intensive, individualized intervention
may be an effective means for promoting weight loss in overweight Mexican
American children.
PMID- 18055664
TI - Pediatric emergency department visits for diarrheal illness increased after
release of undertreated sewage.
AB - CONTEXT: Contamination of local waterways may occur through release of partially
treated sewage. The Environmental Protection Agency has recently reviewed
regulatory standards for this practice. However, the health effects of these
events have not been well studied. OBJECTIVE: Our goal was to identify any
increase in visits to a pediatric emergency department for diarrheal illness
after sewage bypass into Lake Michigan. METHODS: The study was conducted as a
retrospective, observational time-series analysis in a tertiary care children's
hospital emergency department with an annual volume of approximately 45,000
visits. We collected data for 2002-2004 pertaining to the daily number of
emergency department visits for children (aged <19 years) for diarrheal illness
(using specified International Classification of Diseases, Ninth Edition codes as
a reference). Daily diarrheal illness visits were the dependent variable in a
time-series model. The primary independent variable was the occurrence of a
sewage-bypass event in the 3 to 7 preceding days. Potential confounders included
the season and daily rainfall. Separate models were created for visits from
people living in zip codes that used Lake Michigan drinking water and those who
used other water sources. RESULTS: Over the 3-year study period, there was a mean
of 5.0 +/- 3.8 (SD) daily visits for diarrheal illness from people who lived in
zip codes that used Lake Michigan drinking water and 1.2 +/- 1.4 (SD) from
outside that area. There were 6 sewage-bypass events identified. After adjusting
for the season and rainfall, there was a significant increase of 2.5 to 2.7
visits only from people who lived in zip codes that used Lake Michigan drinking
water after the 2 largest of the 6 bypass events. CONCLUSIONS: Emergency
department visits for diarrheal illness increased significantly after 2 events of
release of partially treated sewage into area waterways. These data suggest a
potentially harmful effect of such practices.
PMID- 18055665
TI - Influence of institutionalization on time to HIV disease progression in a cohort
of Romanian children and teens.
AB - OBJECTIVES: The purpose of this work was to investigate the effect of
institutionalization on death and CD4 decline in a cohort of 325 HIV-infected
Romanian children. METHODS: A retrospective database analysis was conducted. Data
from a nearly 3-year period were examined with Kaplan-Meier survival analysis and
Cox regression analysis models. Subjects all received primary and HIV specialty
care and treatment at the Romanian American Children's Center in Constanta,
Romania. Children in one group resided with their biological families and the
other children resided in "family home"-style institutions. RESULTS: There was no
difference between groups for death during the follow-up period, although there
was a trend for survival advantage for children in institutional care. There was
no statistically significant difference between the study groups in terms of CD4
decline, although there was a trend toward greater decline among children who
resided with their biological families. Children with their biological families
were more likely to experience disease progression through either death or CD4
decline than were children in institutions. CONCLUSIONS: The family home-style
institution may prove to be a replicable model for the safe and appropriate care
of HIV-infected orphaned and abandoned children and teens.
PMID- 18055666
TI - Cardiac safety of central nervous system stimulants in children and adolescents
with attention-deficit/hyperactivity disorder.
AB - OBJECTIVES: Case reports have raised concerns about the risk of cardiac events
associated with central nervous system stimulants for the treatment of attention
deficit/hyperactivity disorder. PATIENTS AND METHODS: This was a retrospective
cohort study that used 10 years (July 1994 to June 2004) of Florida Medicaid
claims data cross-linked to Vital Statistics Death Registry data. The cohort was
composed of all youth 3 to 20 years old who were newly diagnosed with attention
deficit/hyperactivity disorder. Each month of follow-up was classified according
to stimulant claims (methylphenidate, amphetamines, and pemoline) as current use
(active stimulant claim), former use (time after periods of current use), or
nonuse (time preceding the first stimulant claim, including follow-up of youth
who were never exposed to stimulants). The study's end points were (1) cardiac
death, (2) first hospital admission for cardiac causes or (3) first emergency
department visit for cardiac causes. Risks were compared with time-dependent Cox
regression analysis adjusting for various cardiac risk factors. RESULTS: During
124,932 person-years of observation (n = 55,383), 73 youth died, 5 because of
cardiac causes. No cardiac death occurred during 42,612 person-years of stimulant
use. Hospital admissions for cardiac cause occurred for 27 children (8 during
stimulant use, 11 during 35,671 person-years of former use, and 8 during 46,649
person-years of nonuse); and 1091 children visited the emergency department for
cardiac causes (8.7 per 1000 person-years). Current stimulant use was associated
with a 20% increase in the hazard for emergency department visits when compared
with nonuse. No increased risk was found for periods of former use when compared
with nonuse. CONCLUSIONS: Incidence rates of cardiac events requiring
hospitalization were small and similar to national background rates. Stimulants
were associated with an increase in cardiac emergency department visits. More
evidence is needed that addresses the long-term risk/benefit of the various
treatment options and the effect of other cardiac risk factors and comedications.
PMID- 18055667
TI - Preschooler obesity and parenting styles of mothers and fathers: Australian
national population study.
AB - OBJECTIVE: The purpose of this work was to determine relationships between BMI
status at ages 4 to 5 years and mothers' and fathers' parenting dimensions and
parenting styles. PARTICIPANTS AND METHODS: Participants were composed of all
4983 of the 4- to 5-year-old children in wave 1 of the nationally representative
Longitudinal Study of Australian Children with complete BMI and maternal
parenting data. Mothers and fathers self-reported their parenting behaviors on 3
multi-item continuous scales (warmth, control, and irritability) and were each
categorized as having 1 of 4 parenting styles (authoritative, authoritarian,
permissive, and disengaged) using internal warmth and control tertile cut points.
Using a proportional odds model, odds ratios for children being in a higher BMI
category were computed for mothers and fathers separately and together, after
adjustment for factors associated with child BMI, including mothers' and fathers'
BMI status. RESULTS: The sample was composed of 2537 boys and 2446 girls with a
mean age 56.9 months; 15% were overweight and 5% were obese (International
Obesity Task Force criteria). Mothers' parenting behaviors and styles were not
associated in any model with higher odds of children being in a heavier BMI
category, with or without multiple imputation to account for missing maternal BMI
data. Higher father control scores were associated with lower odds of the child
being in a higher BMI category. Compared with the reference authoritative style,
children of fathers with permissive and disengaged parenting styles had higher
odds of being in a higher BMI category. CONCLUSIONS: This article is the first,
to our knowledge, to examine the parenting of both parents in relation to
preschoolers' BMI status while also adjusting for parental BMI status. Fathers'
but not mothers' parenting behaviors and styles were associated with increased
risks of preschooler overweight and obesity. Longitudinal impacts of parenting on
BMI gain remain to be determined.
PMID- 18055668
TI - To what extent do educational interventions impact medical trainees' attitudes
and behaviors regarding industry-trainee and industry-physician relationships?
AB - BACKGROUND: Recently, academic medical centers have been asked to take the lead
in voluntarily instituting more stringent regulations regarding pharmaceutical
industry interactions not only with physicians but also with medical trainees.
OBJECTIVE: Our goal was to summarize the recent literature regarding the impact
of educational interventions and regulatory policies on trainee perceptions of
pharmaceutical industry interactions and/or pharmaceutical industry-related
trainee behavior. METHODS: We searched Medline and the bibliographies of review
articles for relevant studies. Articles published before the Accreditation
Council for Continuing Medical Education standards for commercial support of
continuing medical education were issued in 1991 were excluded. Two reviewers
selected empiric studies that (1) reported empiric data about educational
interventions that were meant to shape trainee knowledge, attitudes, or practices
concerning the pharmaceutical industry or (2) evaluated the impact of regulatory
policies on trainee attitudes or behaviors. RESULTS: From 247 identified
articles, 12 met the inclusion criteria. In 2 of these studies, the impact of
regulatory policies on trainee attitudes and/or behaviors was assessed. In the
remaining 10 studies, the impact of various educational interventions developed
by training programs or schools to shape trainee knowledge, attitudes, or
practices concerning the pharmaceutical industry were evaluated. CONCLUSIONS:
Although modest in size, a body of empirical research exists that might inform
medical educators. Beyond institutional policy that excludes the pharmaceutical
industry, the evidence reviewed suggests that well-designed seminars, role
playing, and focused curricula can affect trainee attitudes and behavior,
although it is not entirely clear whether these changes are sustainable over the
long-term.
PMID- 18055669
TI - Successful use of a primary care practice-specialty collaboration in the care of
an adolescent with chronic fatigue syndrome.
AB - We report on the successful collaborative care of an adolescent with chronic
fatigue syndrome between a primary care pediatrician and an academic chronic
fatigue syndrome specialist located in different cities. Regular telephone and e
mail communication and clearly defined patient-care roles allowed for timely
management of symptoms and marked clinical improvement. We discuss ways to
improve the collaboration of primary care and subspecialty physicians for
patients with chronic fatigue syndrome and children with special health care
needs.
PMID- 18055670
TI - Diagnosis of HIV-1 infection in children younger than 18 months in the United
States.
AB - The objectives of this technical report are to describe methods of diagnosis of
HIV-1 infection in children younger than 18 months in the United States and to
review important issues that must be considered by clinicians who care for
infants and young children born to HIV-1-infected women. Appropriate HIV-1
diagnostic testing for infants and children younger than 18 months differs from
that for older children, adolescents, and adults because of passively transferred
maternal HIV-1 antibodies, which may be detectable in the child's bloodstream
until 18 months of age. Therefore, routine serologic testing of these infants and
young children is generally only informative before the age of 18 months if the
test result is negative. Virologic assays, including HIV-1 DNA or RNA assays,
represent the gold standard for diagnostic testing of infants and children
younger than 18 months. With such testing, the diagnosis of HIV-1 infection (as
well as the presumptive exclusion of HIV-1 infection) can be established within
the first several weeks of life among nonbreastfed infants. Important factors
that must be considered when selecting HIV-1 diagnostic assays for pediatric
patients and when choosing the timing of such assays include the age of the
child, potential timing of infection of the child, whether the infection status
of the child's mother is known or unknown, the antiretroviral exposure history of
the mother and of the child, and characteristics of the virus. If the mother's
HIV-1 serostatus is unknown, rapid HIV-1 antibody testing of the newborn infant
to identify HIV-1 exposure is essential so that antiretroviral prophylaxis can be
initiated within the first 12 hours of life if test results are positive. For HIV
1-exposed infants (identified by positive maternal test results or positive
antibody results for the infant shortly after birth), it has been recommended
that diagnostic testing with HIV-1 DNA or RNA assays be performed within the
first 14 days of life, at 1 to 2 months of age, and at 3 to 6 months of age. If
any of these test results are positive, repeat testing is recommended to confirm
the diagnosis of HIV-1 infection. A diagnosis of HIV-1 infection can be made on
the basis of 2 positive HIV-1 DNA or RNA assay results. In nonbreastfeeding
children younger than 18 months with no positive HIV-1 virologic test results,
presumptive exclusion of HIV-1 infection can be based on 2 negative virologic
test results (1 obtained at > or = 2 weeks and 1 obtained at > or = 4 weeks of
age); 1 negative virologic test result obtained at > or = 8 weeks of age; or 1
negative HIV-1 antibody test result obtained at > or = 6 months of age.
Alternatively, presumptive exclusion of HIV-1 infection can be based on 1
positive HIV-1 virologic test with at least 2 subsequent negative virologic test
results (at least 1 of which is performed at > or = 8 weeks of age) or negative
HIV-1 antibody test results (at least 1 of which is performed at > or = 6 months
of age). Definitive exclusion of HIV-1 infection is based on 2 negative virologic
test results, 1 obtained at > or = 1 month of age and 1 obtained at > or = 4
months of age, or 2 negative HIV-1 antibody test results from separate specimens
obtained at > or = 6 months of age. For both presumptive and definitive exclusion
of infection, the child should have no other laboratory (eg, no positive
virologic test results) or clinical (eg, no AIDS-defining conditions) evidence of
HIV-1 infection. Many clinicians confirm the absence of HIV-1 infection with a
negative HIV-1 antibody assay result at 12 to 18 months of age. For breastfeeding
infants, a similar testing algorithm can be followed, with timing of testing
starting from the date of complete cessation of breastfeeding instead of the date
of birth.
PMID- 18055671
TI - Pediatric preparedness of US emergency departments: a 2003 survey.
AB - OBJECTIVES: Our goal was to assess the degree of pediatric preparedness of
emergency departments in the United States. METHODS: A closed-response survey
based on the American Academy of Pediatrics/American College of Emergency
Physicians joint policy statement, "Care of Children in the Emergency Department:
Guidelines for Preparedness," was mailed to 5144 emergency department medical and
nursing directors. A weighted preparedness score (scale of 0-100) was calculated
for each emergency department. RESULTS: A total of 1489 useable surveys (29%)
were received, with 62% completed by emergency department medical directors.
Eighty-nine percent of pediatric (age: 0-14 years) emergency department visits
occur in non-children's hospitals, 26% of visits occur in rural or remote
facilities, and 75% of responding emergency departments see <7000 children per
year. The vast majority of visits (89%) occur in emergency department areas
shared with adult patients; 6% occur in a separate pediatric emergency
department. Only 6% of emergency departments had all recommended equipment and
supplies. Emergency departments frequently lacked laryngeal mask airways for
children (50%) and neonatal or infant equipment. In contrast, recommended
medications were more uniformly available, as were transfer policies for medical
or surgical intensive care. Fifty-two percent of emergency departments reported
having a quality improvement/performance improvement plan for pediatric emergency
patients, and 59% of respondents were aware of the American Academy of
Pediatrics/American College of Emergency Physicians guidelines. The median
pediatric-preparedness score for all emergency departments was 55. Pediatric
preparedness scores were higher for facilities with higher pediatric volume,
facilities with physician and nursing coordinators for pediatrics, and facilities
with respondents who reported awareness of the guidelines. CONCLUSION: Pediatric
preparedness of hospital emergency departments demonstrates opportunities for
improvement.
PMID- 18055672
TI - Parents as information intermediaries between primary care and specialty
physicians.
AB - OBJECTIVE: In this study we assessed the views of parents of children referred to
specialty care and the views of the children's primary care and specialty
physicians about parents' roles as information intermediaries. METHODS: We
enrolled 179 patients who were newly referred from primary care pediatricians in
22 practices to 15 pediatric subspecialists in 5 specialties in a study of
primary care pediatrician-specialist communication. Parents, primary care
pediatricians, and specialists completed questionnaires by mail or telephone at
the first visit and 6 months later. Questions included perceived responsibilities
of parents as information conduits between primary care pediatricians and
specialists. Opinions of parents, primary care pediatricians, and specialists
about parents' roles were compared for the sample as a whole, as well as for
individual cases. Agreement between parents and providers was assessed.
Demographic and clinical determinants of parents reporting themselves as
"comfortable with" or "acting" as primary intermediaries were assessed using
logistic regression. RESULTS: More parents (44%) than primary care physicians
(30%) felt comfortable with parents acting as primary communicators between their
children's physicians; 31% of parents who reported that they were the primary
communicators felt uncomfortable in that role, and there was no agreement between
parents and physicians about the role of parents in individual cases. Although no
demographic characteristics of children or parents were associated with parent
comfort as the primary communicator, parents of children who saw the same
specialist more than once during the 6-month period felt more comfortable in this
role. The presence of a chronic condition was not associated with parent comfort.
CONCLUSIONS: Although parents report more comfort with their own ability as
information intermediaries than do their children's physicians, the role in which
they feel comfortable is highly variable. Physicians should discuss with parents
the roles they feel comfortable in assuming when specialty referrals are
initiated.
PMID- 18055673
TI - Early determinants of fruit and vegetable acceptance.
AB - OBJECTIVE: Our goal was to evaluate the effects of breastfeeding and dietary
experiences on acceptance of a fruit and a green vegetable by 4- to 8-month-old
infants. METHODS: Forty-five infants, 44% of whom were breastfed, were assigned
randomly to 1 of 2 treatment groups. One group was fed green beans, and the other
was fed green beans and then peaches at the same time of day for 8 consecutive
days. Acceptance of both foods, as determined by a variety of measures, was
assessed before and after the home-exposure period. RESULTS: During the initial
exposure, infants ate more calories from peaches than from green beans. Breastfed
infants showed greater liking of peaches, as did their mothers, who ate more
fruits in general than did mothers who formula fed. Although formula-feeding
mothers ate more green beans, there was no difference in their infants'
acceptance of this vegetable. For breastfed and formula-fed infants, repeated
dietary exposure to green beans, with or without peaches, resulted in greater
consumption of green beans (56.8 vs 93.6 g). Only infants who experienced green
beans with peaches displayed fewer facial expressions of distaste during feeding.
Mothers were apparently unaware of these changes in acceptance. CONCLUSIONS:
Breastfeeding confers an advantage in initial acceptance of a food, but only if
mothers eat the food regularly. Once weaned, infants who receive repeated dietary
exposure to a food eat more of it and may learn to like its flavor. However,
because infants innately display facial expressions of distaste in response to
certain flavors, caregivers may hesitate to continue offering these foods.
Mothers should be encouraged to provide their infants with repeated opportunities
to taste fruits and vegetables and should focus not only on their infants' facial
expressions but also on their willingness to continue feeding.
PMID- 18055674
TI - Stability of antibiotics in portable pumps used for bronchial superinfection:
guidelines for prescribers.
AB - OBJECTIVES: The aims of this study were (1) to collect data on the stability of
antibiotics in portable pumps for the treatment of bronchial superinfection in
patients with cystic fibrosis and (2) to provide guidelines for prescribers.
METHODS: The stability over 72 hours, in portable pumps stored at 35 degrees C,
of piperacillin plus tazobactam, ticarcillin plus clavulanic acid, cefsulodin,
cefepime, and aztreonam was checked at 3 different concentrations. Stability was
assessed through visual examination, pH measurements, and direct measurements of
drug concentrations by using high-performance liquid chromatography. All
parameters were measured at time 0, time 0 plus 24 hours, and time 0 plus 72
hours. RESULTS: Degradation rates for penicillin plus beta-lactamase inhibitor
combinations remained <10% at time 0 plus 24 hours for all drugs, but the rate
for piperacillin reached 12% for the highest concentration tested. The
cephalosporins cefepime and cefsulodin had significant respective degradation
rates of 18% and 28% at time 0 plus 24 hours and 60% and 68.5% at time 0 plus 72
hours, which were linked to the storage temperature. Aztreonam seemed to be
stable over 72 hours. CONCLUSIONS: This work provides data on drug stability that
were lacking, allowing recommendations for physicians to optimize the safety and
efficacy of antibiotic treatment of patients with cystic fibrosis. Piperacillin
plus tazobactam and ticarcillin plus clavulanic acid infusions must be limited to
24 hours, and patients receiving cefepime or cefsulodin must wear a cold pack
close to the ambulatory drug-delivery device during the infusion.
PMID- 18055675
TI - Pulmonary artery hypertension in formerly premature infants with bronchopulmonary
dysplasia: clinical features and outcomes in the surfactant era.
AB - BACKGROUND: Although abnormal pulmonary vascular structure and function in
preterm infants with bronchopulmonary dysplasia may predispose infants to
pulmonary artery hypertension, little is known about the characteristics and
outcomes of bronchopulmonary dysplasia-associated pulmonary artery hypertension
in the surfactant era. METHODS: We studied 42 premature infants (< 32 weeks of
gestation) with bronchopulmonary dysplasia who were diagnosed as having pulmonary
artery hypertension > or = 2 months after birth, between 1998 and 2006, at a
median age of 4.8 months. Pulmonary artery hypertension was graded through
echocardiography for all patients; 13 patients also underwent cardiac
catheterization. RESULTS: Eighteen (43%) of 42 patients had severe pulmonary
artery hypertension (systemic or suprasystemic right ventricular pressure). Among
13 patients who underwent catheterization, the mean pulmonary artery pressure was
43 +/- 8 mmHg and the pulmonary vascular resistance index was 9.9 +/- 2.8 Wood
units. In 12 patients, pulmonary artery pressure and pulmonary vascular
resistance improved with 100% oxygen and 80 ppm inhaled nitric oxide but remained
elevated. The pulmonary vascular resistance index decreased to 7.9 +/- 3.8 Wood
units in 100% oxygen and to 6.4 +/- 3.1 Wood units with the addition of nitric
oxide. Sixteen patients (38%) died during the follow-up period. Estimated
survival rates were 64% +/- 8% at 6 months and 53% +/- 11% at 2 years after
diagnosis of pulmonary artery hypertension. In multivariate analyses, severe
pulmonary artery hypertension and small birth weight for gestational age were
associated with worse survival rates. Among 26 survivors (median follow-up
period: 9.8 months), pulmonary artery hypertension was improved, relative to its
most severe level, in 24 patients (89%). CONCLUSION: Premature infants with
bronchopulmonary dysplasia and severe pulmonary artery hypertension are at high
risk of death, particularly during the first 6 months after diagnosis of
pulmonary artery hypertension.
PMID- 18055676
TI - Intimate partner violence and health care costs and utilization for children
living in the home.
AB - OBJECTIVE: The goal was to determine whether differences in health care costs and
utilization exist for children whose mothers experienced intimate partner
violence versus those who did not. METHODS: A longitudinal cohort study was
performed in an integrated health care delivery organization with 760 children of
mothers with no history of intimate partner violence and 631 children of mothers
with a history of intimate partner violence since age 18. Health care utilization
and costs for children before, during, and after intimate partner violence
exposure were compared with utilization and costs for children with nonabused
mothers. RESULTS: Health care utilization and health care costs were higher in
most categories of care for children of mothers with a history of intimate
partner violence, with significantly higher values for mental health services,
primary care visits, primary care costs, and laboratory costs. Children of
mothers with a history of intimate partner violence that ended before the child
was born had significantly greater utilization of mental health, primary care,
specialty care, and pharmacy services than did children of mothers who reported
no intimate partner violence. Children exposed directly to intimate partner
violence (after birth) had greater emergency department and primary care use
during the intimate partner violence and were 3 times as likely to use mental
health services after the intimate partner violence ended. CONCLUSIONS: Children
whose mothers experienced intimate partner violence have higher health care
utilization and costs, even if their mothers' abuse stopped before they were
born. Screening of women for intimate partner violence should be a routine part
of their health care, and interventions for both the women and their children are
likely necessary to minimize the effects of intimate partner violence in the
family.
PMID- 18055677
TI - Pediatric myocarditis: emergency department clinical findings and diagnostic
evaluation.
AB - OBJECTIVE: The goal was to determine, in children with myocarditis, the frequency
of various presenting symptoms and the sensitivity of clinical and laboratory
investigations routinely available in the emergency department. METHODS: We
performed a retrospective review of all patients < 18 years of age who were
diagnosed as having myocarditis at our institution between May 2000 and May 2006
and who initially presented to an emergency department. Patients were categorized
as having definite myocarditis (positive endomyocardial biopsy results) or
probable myocarditis (diagnosis assigned by a pediatric cardiologist on the basis
of history, physical examination, and investigation results in the absence of an
endomyocardial biopsy or in the presence of negative biopsy results). All
patients were assigned a predominant category of symptoms at presentation on the
basis of criteria defined a priori. RESULTS: There were 16 cases of definite
myocarditis and 15 cases of probable myocarditis. The age distribution was
nonnormal, with peaks among children < or = 3 years and > or = 16 years of age.
Of 14 patients who were seen by a physician before being diagnosed with
myocarditis, 57% were originally diagnosed as having pneumonia or asthma. Thirty
two percent of patients presented with predominantly respiratory symptoms, 29%
had cardiac symptoms, and 6% had gastrointestinal symptoms. Although evidence of
cardiac dysfunction was frequently present in the form of respiratory distress,
only a minority of children had evidence of hepatomegaly or abnormal cardiac
examination results. The sensitivities of electrocardiograms and chest
radiographs as screening tests were 93% and 55%, respectively. Among laboratory
tests studied, aspartate aminotransferase measurement was the most sensitive
(sensitivity: 85%). CONCLUSIONS: Children with myocarditis present with symptoms
that can be mistaken for other types of illnesses; respiratory presentations were
most common. When clinical suspicion of myocarditis exists, chest radiography
alone is an insufficient screening test. All children should undergo
electrocardiography. Aspartate aminotransferase testing may be a useful
adjunctive investigation.
PMID- 18055678
TI - Effects of two different doses of amino acid supplementation on growth and blood
amino acid levels in premature neonates admitted to the neonatal intensive care
unit: a randomized, controlled trial.
AB - OBJECTIVES: The goal was to measure the effects of 2 distinct strategies for
parenteral nutrition on neonatal growth and blood amino acid profiles. METHODS:
In a multicenter trial (n = 11 sites), we randomly allocated premature (23-29
weeks and 6 days of gestation) neonates to 1 of 2 approaches to intravenous amino
acid administration. In one group, amino acid supplementation was started at 1.0
g/kg per day and advanced by 0.5 g/kg per day to a maximum of 2.5 g/kg per day
(2.5 g/kg per day group). The other group received amino acids starting at 1.5
g/kg per day and advancing by 1.0 g/kg per day to a maximum of 3.5 g/kg per day
(3.5 g/kg per day group). Filter paper blood spots were obtained from each infant
on the day of random assignment and on days 7 and 28 of age, to monitor blood
amino acid levels. RESULTS: We enrolled 122 neonates (64 in the 3.5 g/kg per day
group and 58 in the 2.5 g/kg per day group). There were no differences in
demographic or baseline characteristics between the 2 treatment groups. There was
no significant difference in growth by day 28 after birth (median weight gain:
12.9 and 11.4 g/kg per day for the 3.5 and 2.5 g/kg per day groups,
respectively), and the incidences of secondary morbidities were similar in the 2
groups. On day 7, blood levels of several amino acids and the serum urea nitrogen
level were higher in the 3.5 g/kg per day group, compared with the 2.5 g/kg per
day group; none of the amino acid levels were lower. CONCLUSIONS: Higher doses of
amino acid supplementation did not improve neonatal growth and were associated
with increased blood amino acid and urea nitrogen levels.
PMID- 18055679
TI - Use of bedside ultrasonography for endotracheal tube placement in pediatric
patients: a feasibility study.
AB - OBJECTIVE: The purpose of our study was to evaluate the usefulness of bedside
ultrasonography in verifying endotracheal tube placement in the pediatric
population. METHODS: This study consisted of 2 phases. In phase I, subjects were
examined while intubated and after extubation to determine the presence of the
endotracheal tube by applying each of 2 ultrasound transducers to the
cricothyroid membrane. In phase II, pediatric patients were examined in the
emergency department during intubation or immediately after intubation to
ascertain proper endotracheal tube placement by using bedside ultrasonography.
These results were compared with the results obtained with a colorimetric end
tidal carbon dioxide detector and chest radiographs. RESULTS: Forty-nine and 50
patients (age: 1 day to 17 years) were recruited in the first and second phases
of the study, respectively. The endotracheal tube was detected in all 99 patients
by using bedside ultrasonography. Two views were required to show accurately the
presence of the endotracheal tube in the trachea. Visualization was obtained in
all cases, although short necks and cervical collars made the procedure more
challenging. The sniffing position allowed for the best acquisition of high
quality images. Our linear transducer provided the best images but, because of
its size, it was not ideal when space was limited. Therefore, the curvilinear
transducer was used exclusively for phase II. During phase II, the mean times to
acquire bedside ultrasonographic images of the endotracheal tube through the
cricothyroid membrane and to obtain a chest radiograph were 17.1 seconds and 14.0
minutes, respectively. In 3 cases, bedside ultrasonographic images proved to be
invaluable when the colorimetric end-tidal carbon dioxide detector yielded false
negative or equivocal readings. CONCLUSIONS: Bedside ultrasonography can be used
to accurately and rapidly determine the presence of the endotracheal tube within
the trachea in pediatric patients.
PMID- 18055680
TI - Clinical characteristics of peanut-allergic children: recent changes.
AB - OBJECTIVE: The goal was to determine whether patients seen in a referral clinic
are experiencing initial allergic reactions to peanuts earlier, compared with a
similar population profiled at a different medical center 10 years ago, and to
investigate other changes in clinical characteristics of the patients between the
2 groups. METHODS: We reviewed the medical charts of peanut-allergic patients
seen in the Duke University pediatric allergy and immunology clinic between July
2000 and April 2006. RESULTS: The median ages of first peanut exposure and
reaction were 14 and 18 months, respectively; the respective ages in a similar
population profiled between 1995 and 1997 were 22 and 24 months. Within our
patient group, those born before 2000 were first exposed to peanuts at a median
age of 19 months and reacted at a median age of 21 months, compared with first
exposure at 12 months and first reaction at 14 months for those born in or after
2000. Most patients (68%) demonstrated sensitization or clinical allergy to other
foods (53% to eggs, 26% to cow's milk, 20% to tree nuts, 11% to fish, 9% to
shellfish, 7% to soy, 6% to wheat, and 6% to sesame seeds). CONCLUSIONS: In the
past decade, the ages of first peanut exposure and reaction have declined among
peanut-allergic children seen in a referral clinic. Egg allergy is very common in
peanut-allergic patients, and sesame seeds should perhaps be considered one of
the major food allergens. The decline in the age of first peanut reaction seems
to be attributable to earlier exposure.
PMID- 18055681
TI - Expression of the epithelial sodium channel in airway epithelium of newborn
infants depends on gestational age.
AB - OBJECTIVE: In the newborn infant, removal of fetal lung liquid from the airways
depends on ion transport through the airway epithelium. The epithelial sodium
channel is considered rate limiting for the postnatal clearance of lung liquid,
but it is unknown whether during the early postnatal period the expression of
epithelial sodium channel is associated with maturity. Our objective was to study
the relationship between gestational age and epithelial sodium channel expression
in airway epithelium. METHODS: In 90 newborn infants (preterm [gestational age <
37]: n = 29; term [gestational age > or = 37]: n = 61), we measured the
expression of epithelial sodium channel (reported as attomoles of subunit
expression normalized to femtomoles of expression of cytokeratin 18) in nasal
epithelium at 1 to 5 and 22 to 28 hours after birth. RESULTS: At 1 to 5 hours
postnatally, airway expression of alpha-, beta-, and gamma-subunits of epithelial
sodium channel was lower in preterm than in term infants. At this time point,
significant correlations existed between gestational age and airway expression of
alpha- and beta-epithelial sodium channel. By 22 to 28 hours after birth, only
the expression of beta-epithelial sodium channel had decreased significantly in
the preterm infants, whereas the expression of all epithelial sodium channel
subunits had decreased significantly in the term infants. At this time point, no
difference in expression of any of the subunits was found between preterm and
term infants. CONCLUSIONS: Airway expression of epithelial sodium channel at 1 to
5 hours of age is significantly lower in preterm than in term infants. Low
postnatal expression of alpha-, beta-, and gamma-epithelial sodium channel
subunits in the airway epithelium may contribute to the development of
respiratory distress in the preterm infant.
PMID- 18055682
TI - A cluster-randomized trial of screening for language delay in toddlers: effects
on school performance and language development at age 8.
AB - OBJECTIVE: The goal of this study was to assess the effects of screening and
early treatment of preschool children for language delay on language development
and school performance at age 8. METHODS: A cluster-randomized, controlled trial
and follow-up study of 55 child health centers in 6 geographic regions were
conducted from January 2002 to September 2005. A total of 9419 children who were
from the general population and aged 15 months at entry were studied. School type
end school progress was known for 5406 (57.4%) children. In the intervention
group, a structured screening instrument was conducted twice (at ages 15/18 and
24 months), and usual care was applied in the control group. The screening
instrument consisted of a uniform set of questions for the parents and test
elements for the child. A positive screen result was followed by
multidisciplinary assessments at speech and hearing centers and subsequent early
treatment if needed. Percentages of children who attended a special school,
repeated a class because of language problems, and scored low on standardized
language tests, in intention-to-screen analyses, were measured. RESULTS: At age
8, 2.7% in the intervention group and 3.7% in the control group attended a
special school, 6.1% vs 4.9% had repeated a grade, 8.8% vs 9.7% had deficient
oral language performance, 4.7% vs 4.7% had deficient reading, and 2.8% vs 4.2%
had deficient spelling. CONCLUSIONS: Screening toddlers for language delays
reduces the number of children who require special education and leads to
improved language performance at age 8. Nationwide implementation of the
screening might be recommended.
PMID- 18055683
TI - Mitochondrial disease: a practical approach for primary care physicians.
AB - Notorious variability in the presentation of mitochondrial disease in the infant
and young child complicates its clinical diagnosis. Mitochondrial disease is not
a single entity but, rather, a heterogeneous group of disorders characterized by
impaired energy production due to genetically based oxidative phosphorylation
dysfunction. Together, these disorders constitute the most common neurometabolic
disease of childhood with an estimated minimal risk of developing mitochondrial
disease of 1 in 5000. Diagnostic difficulty results from not only the variable
and often nonspecific presentation of these disorders but also from the absence
of a reliable biomarker specific for the screening or diagnosis of mitochondrial
disease. A simplified and standardized approach to facilitate the clinical
recognition of mitochondrial disease by primary physicians is needed. With this
article we aimed to improve the clinical recognition of mitochondrial disease by
primary care providers and empower the generalist to initiate appropriate
baseline diagnostic testing before determining the need for specialist referral.
This is particularly important in light of the international shortage of
metabolism specialists to comprehensively evaluate this large and complex disease
population. It is hoped that greater familiarity among primary care physicians
with the protean manifestations of mitochondrial disease will facilitate the
proper diagnosis and management of this growing cohort of pediatric patients who
present across all specialties.
PMID- 18055684
TI - State of the evidence on acute asthma management in children: a critical
appraisal of systematic reviews.
AB - OBJECTIVE: Our goal was to evaluate clinical, methodologic, and reporting aspects
of systematic reviews on the management of acute asthma in children. METHODS: We
undertook a systematic review of systematic reviews on acute asthma management in
children. We identified eligible reviews by searching the Cochrane Database of
Systematic Reviews, the Database of Abstracts of Reviews of Effects, Medline, and
Embase 1990 to March 2006. Data were extracted on clinical issues, methodologic
characteristics, and results of the reviews. Methodologic quality was assessed
with the Overview Quality Assessment Questionnaire and with additional questions
on heterogeneity. Separate reporting on children in mixed adult-pediatric
population reviews was assessed. Methodologic quality of systematic reviews
published in peer-reviewed journals was compared with Cochrane reviews. RESULTS:
A total of 23 systematic reviews were included: 14 were published in the Cochrane
Library, and 9 were published in peer-reviewed journals. Eight reviews included
children only, and 15 were mixed-population reviews. The majority of reviews
defined the study population as having "acute asthma" without a more precise
definition, and 16 different health outcomes were reported. The overall quality
according to the Overview Quality Assessment Questionnaire was good, with
Cochrane reviews showing minimal flaws and journal reviews showing minor flaws
(median scores: 7 vs 5). Results on children were reported separately in 8 of 15
mixed-population reviews. Clinical heterogeneity was explored in only 2 of 23
reviews, and the methods used to identify and address heterogeneity were diverse.
CONCLUSIONS: The methodologic quality of both the Cochrane and journal reviews on
the management of acute asthma in children seems good, with Cochrane reviews
being more rigorous. However, their usefulness for clinical practice is hampered
by a lack of clear definitions of included populations, clinically important
health outcomes, and separate reporting on children in mixed reviews. A major
threat to these reviews' validity is the insufficient identification and handling
of heterogeneity.
PMID- 18055685
TI - An adolescent's refusal of medical treatment: implications of the Abraham Cheerix
case.
PMID- 18055686
TI - Cobedding twins and higher-order multiples in a hospital setting.
PMID- 18055687
TI - Patient safety in the pediatric emergency care setting.
AB - Patient safety is a priority for all health care professionals, including those
who work in emergency care. Unique aspects of pediatric care may increase the
risk of medical error and harm to patients, especially in the emergency care
setting. Although errors can happen despite the best human efforts, given the
right set of circumstances, health care professionals must work proactively to
improve safety in the pediatric emergency care system. Specific recommendations
to improve pediatric patient safety in the emergency department are provided in
this policy statement.
PMID- 18055689
TI - The role of schools in combating illicit substance abuse.
AB - Disturbingly high levels of illicit drug use remain a problem among American
teenagers. As the physical, social, and psychological "home away from home" for
most youth, schools naturally assume a primary role in substance abuse education,
prevention, and early identification. However, the use of random drug testing on
students as a component of drug prevention programs requires additional, more
rigorous scientific evaluation. Widespread implementation should await the result
of ongoing studies to address the effectiveness of testing and evaluate possible
inadvertent harm. If drug testing on students is conducted, it should never be
implemented in isolation. A comprehensive assessment and therapeutic management
program for the student who tests positive should be in place before any testing
is performed. Schools have the opportunity to work with parents, health care
professionals, and community officials to use programs with proven effectiveness,
to identify students who show behavioral risks for drug-related problems, and to
make referrals to a student's medical home. When use of an illicit substance is
detected, schools can foster relationships with established health care experts
to assist them. A student undergoing individualized intervention for using
illicit substances merits privacy. This requires that awareness of the student's
situation be limited to parents, the student's physician, and only those
designated school health officials with a need to know. For the purposes of this
statement, alcohol, tobacco, and inhalants are not addressed.
PMID- 18055690
TI - Recognizing and responding to medical neglect.
AB - A caregiver may fail to recognize or respond to a child's medical needs for a
variety of reasons. An effective response by a health care professional to
medical neglect requires a comprehensive assessment of the child's needs, the
parents' resources, the parents' efforts to provide for the needs of the child,
and options for ensuring optimal health for the child. Such an assessment
requires clear, 2-way communication between the family and the health care
professional. Physicians should consider the least intrusive options for managing
cases of medical neglect that ensure the health and safety of the child.
PMID- 18055691
TI - "Late-preterm" infants: a population at risk.
AB - Late-preterm infants, defined by birth at 34(0/7) through 36(6/7) weeks'
gestation, are less physiologically and metabolically mature than term infants.
Thus, they are at higher risk of morbidity and mortality than term infants. The
purpose of this report is to define "late preterm," recommend a change in
terminology from "near term" to "late preterm," present the characteristics of
late-preterm infants that predispose them to a higher risk of morbidity and
mortality than term infants, and propose guidelines for the evaluation and
management of these infants after birth.
PMID- 18055693
TI - Effectiveness of trimethoprim/sulfamethoxazole for children with chronic active
otitis media.
PMID- 18055694
TI - Dr. Lucey, editor of Pediatrics receives two honors.
PMID- 18055695
TI - Dissecting eIF4E action in tumorigenesis.
AB - Genetically engineered mouse models are powerful tools for studying cancer genes
and validating targets for cancer therapy. We previously used a mouse lymphoma
model to demonstrate that the translation initiation factor eIF4E is a potent
oncogene in vivo. Using the same model, we now show that the oncogenic activity
of eIF4E correlates with its ability to activate translation and become
phosphorylated on Ser 209. Furthermore, constitutively activated MNK1, an eIF4E
Ser 209 kinase, promotes tumorigenesis in a manner similar to eIF4E, and a
dominant-negative MNK mutant inhibits the in vivo proliferation of tumor cells
driven by mutations that deregulate translation. Phosphorylated eIF4E promotes
tumorigenesis primarily by suppressing apoptosis and, accordingly, the anti
apoptotic protein Mcl-1 is one target of both phospho-eIF4E and MNK1 that
contributes to tumor formation. Our results provide insight into how eIF4E
contributes to tumorigenesis and pinpoint a level of translational control that
may be suitable for therapeutic intervention.
PMID- 18055697
TI - Optimal scintigraphic evaluation of a hydronephrotic horseshoe kidney.
AB - A 25-y-old man with horseshoe kidney was referred for diuretic-augmented renal
scintigraphy. Single-detector dynamic posterior imaging was performed and
revealed asymmetric retention of radiotracer in the left collecting system. Renal
scintigraphy was repeated with a modified protocol. Dynamic imaging was performed
this time using dual-detector acquisition of both anterior and posterior data.
Thereafter, pregravity and subsequently postgravity static images were obtained
in both anterior and posterior projections. This second study showed near
complete emptying of the left collecting system. This case illustrates the
utility of using simultaneous anterior and posterior imaging and geometric mean
calculations for functional analysis and also highlights the value of physiologic
maneuvers to augment the traditional diuretic challenge.
PMID- 18055696
TI - Motif module map reveals enforcement of aging by continual NF-kappaB activity.
AB - Aging is characterized by specific alterations in gene expression, but their
underlying mechanisms and functional consequences are not well understood. Here
we develop a systematic approach to identify combinatorial cis-regulatory motifs
that drive age-dependent gene expression across different tissues and organisms.
Integrated analysis of 365 microarrays spanning nine tissue types predicted
fourteen motifs as major regulators of age-dependent gene expression in human and
mouse. The motif most strongly associated with aging was that of the
transcription factor NF-kappaB. Inducible genetic blockade of NF-kappaB for 2 wk
in the epidermis of chronologically aged mice reverted the tissue characteristics
and global gene expression programs to those of young mice. Age-specific NF
kappaB blockade and orthogonal cell cycle interventions revealed that NF-kappaB
controls cell cycle exit and gene expression signature of aging in parallel but
not sequential pathways. These results identify a conserved network of regulatory
pathways underlying mammalian aging and show that NF-kappaB is continually
required to enforce many features of aging in a tissue-specific manner.
PMID- 18055698
TI - Respiratory syncytial virus: a sleeping giant?
PMID- 18055699
TI - The COPD CO-factor.
PMID- 18055700
TI - The role of inhaled corticosteroids in the management of acute asthma.
PMID- 18055701
TI - Caring for the orphan's orphan: treatment of patients with portopulmonary
hypertension.
PMID- 18055702
TI - Translational research in respiratory medicine.
PMID- 18055703
TI - Inflammatory cell microlocalisation and airway dysfunction: cause and effect?
AB - Airway inflammation is a critical feature of the airway diseases asthma and
chronic obstructive pulmonary disease (COPD). There is emerging evidence that
structural cells play a key role in the development and perpetuation of the
inflammatory response and are pivotal in the development of the changes in the
airway structures that lead to airway remodelling. To date, little attention has
been given to the localisation of inflammatory cells to airway structures or the
potential interactions between these intimately located cells. However, it is
likely that interactions between inflammatory and structural cells in the airway
contribute enormously to the pathophysiology of asthma and COPD. Indeed, recent
evidence suggests that mast cells localised to the airway smooth muscle bundle
may be important in the development of airway hyperresponsiveness in asthma. In
the present article, the authors aim to summarise: 1) the current understanding
of which inflammatory cells locate to airway structures; 2) the proposed
mechanisms that may be involved in mediating this microlocalisation; 3) the
possible consequences of interactions between inflammatory and structural cells;
and 4) the pressing need to investigate whether modulating these interactions is
beneficial in asthma and chronic obstructive pulmonary disease.
PMID- 18055704
TI - Evidence on measures for the prevention of ventilator-associated pneumonia.
AB - Ventilator-associated pneumonia (VAP) continues to be an important cause of
morbidity and mortality in ventilated patients. Evidence-based guidelines have
been issued since 2001 by the European Task Force on ventilator-associated
pneumonia, the Centers for Disease Control and Prevention, the Canadian Critical
Care Society, and also by the American Thoracic Society and Infectious Diseases
Society of America, which have produced a joint set of recommendations. The
present review article is based on a comparison of these guidelines, together
with an update of further publications in the literature. The 100,000 Lives
campaign, endorsed by leading US agencies and societies, states that all
ventilated patients should receive a ventilator bundle to reduce the incidence of
VAP. The present review article is useful for identifying evidence-based
processes that can be modified to improve patients' safety.
PMID- 18055705
TI - The role of the nose in the pathogenesis of obstructive sleep apnoea and snoring.
AB - Data from observational studies suggest that nasal obstruction contributes to the
pathogenesis of snoring and obstructive sleep apnoea (OSA). To define more
accurately the relationship between snoring, OSA and nasal obstruction, the
current authors have summarised the literature on epidemiological and
physiological studies, and performed a systematic review of randomised controlled
trials in which the effects of treating nasal obstruction on snoring and OSA were
investigated. Searches of bibliographical databases revealed nine trials with
randomised controlled design. External nasal dilators were used in five studies,
topically applied steroids in one, nasal decongestants in two, and surgical
treatment in one study. Data from studies using nasal dilators, intranasal
steroids and decongestants to relieve nasal congestion showed beneficial effects
on sleep architecture, but only minor improvement of OSA symptoms or severity.
Snoring seemed to be reduced by nasal dilators. Nasal surgery also had minimal
impact on OSA symptoms. In conclusion, chronic nasal obstruction seems to play a
minor role in the pathogenesis of obstructive sleep apnoea, and seems to be of
some relevance in the origin of snoring. The impact of treating nasal obstruction
in patients with snoring and obstructive sleep apnoea on long-term outcome
remains to be defined through randomised controlled trials of medical and
surgical therapies.
PMID- 18055706
TI - Ventilator-associated lung injury: a search for better therapeutic targets.
AB - Acute lung injury (ALI) and acute respiratory distress syndrome (ARDS) represent
a continuum of injury that may arise from a number of primary insults. Localised
injury may progress due to trauma from mechanical ventilation, a finding that has
led to intense debate in the clinical and experimental literature over optimal
ventilator management. The implementation of low tidal volume strategies has led
to an improvement in outcomes; however, mortality remains unacceptably high. In
the current review, ventilator-associated lung injury is examined, as it relates
to the pathophysiological changes beyond direct airway trauma in ALI and ARDS,
and an attempt is made to provide a historical perspective to outline potential
current and future pitfalls in the use of surrogate end-points and the discovery
of potential biomarkers. The systemic responses that lead to multi-organ
dysfunction, the leading causes of morbidity and mortality in ALI and ARDS, are
caused by pro-inflammatory signalling cascades and the activation of such diverse
mediators as reactive oxygen species, immune response elements, apoptotic
constituents and coagulation proteins. These areas are examined, including key
mediators, and possible future areas of interest are discussed, including the
potential of an "acute lung injury chip" to integrate measured surrogate
biomarkers with real-time clinical information to improve patient outcomes.
PMID- 18055707
TI - Pulmonary tumour with high carcinoembryonic antigen titre caused by chronic
propolis aspiration.
AB - Carcinoembryonic antigen (CEA) titre elevation is sometimes found in benign
diseases, such as gastro-intestinal tract inflammatory disease and chronic
obstructive pulmonary disease; however, very high CEA titre is rarely encountered
in benign pulmonary disease. A 36-yr-old female, who had suffered from body
weight loss, was found to have high serum CEA titre (60.8 ng.mL(-1)). Image
studies revealed one pulmonary tumour at the left lower lobe, satellite nodules
and mediastinal lymphadenopathy. Left lower lobectomy and lymph node dissection
were performed for suspicious pulmonary malignancy. The pathological examination
revealed that the tumourous lesion was composed of small and fragmented foreign
bodies, fibrinopurulent exudate and heavy eosinophils. The bronchial epithelium
was characterised by goblet cell hyperplasia and CEA overexpression. The
remaining lung parenchyma possessed similar foreign body reaction. The patient's
medical history was reviewed and it was found that she had spread propolis
topically on nasal mucosa as an adjuvant therapy to asthma for 6 months prior to
this medical event. The CEA titre decreased after the operation to 14.2 and 7.88
ng.mL(-1) after 2 weeks and 6 months, respectively. Propolis is used widely in
folk medicine but it also has strong sensitising potential. One rare case of
propolis aspiration is reported with presentation mimicking lung cancer.
PMID- 18055708
TI - Aqua jogging-induced pulmonary oedema.
AB - The present study reports the case of a 43-yr-old very sporty male, who developed
shortness of breath and expectorated bloody froth during aqua jogging. Pulmonary
oedema was diagnosed clinically and by computed tomography of the chest. The
patient made a full recovery and his echocardiography was entirely normal.
Pulmonary oedema occurring in healthy scuba-divers and swimmers has been reported
previously. However, this is the first case where pulmonary oedema was observed
during aqua jogging.
PMID- 18055709
TI - Quinolones to treat acute bacterial exacerbation of chronic bronchitis in TB
endemic areas: a word of caution.
PMID- 18055710
TI - Colistin as a first choice antibiotic for the initial empiric antimicrobial
therapy of ventilator-associated pneumonia.
PMID- 18055712
TI - Endometrial cancer: what is a clinician to do?
PMID- 18055713
TI - When is a pathology review indicated in endometrial cancer?
AB - OBJECTIVE: Discrepancies may exist between an original pathology report and
formal pathology review, with subsequent implications for treatment. We conducted
a study of pathology review in endometrial cancer from a population-based study
to identify areas of discrepancy and effect on treatment. METHODS: This was a
retrospective cohort study in Ontario, Canada from 1996 to 2000. We identified
hysterectomy cases from patients with endometrial cancer that were subject to
formal pathology review by a gynecologic pathologist at one of six tertiary care
centers. Sarcomas and other rare histologic subtypes with fewer than five cases
were excluded. We evaluated discrepancy between original pathology and review by
demographics, stage, grade, and risk group. Four risk groups were defined: 1) low
(stage I), 2) intermediate (stage I and II), 3) high-risk (stage I and II), and
4) advanced stage (all stage III and IV). Reclassification from one risk group to
another upon pathology review represented a potential change in treatment.
Factors associated with significant discrepancy were identified by a
multivariable logistic regression model. RESULTS: Formal pathology review was
available on 450 cases. There were no differences by age, year, or hospital type.
The overall discrepancy rate was 42.7% (95% confidence interval 38.2-47.3%). The
intermediate-risk group had the highest rate of reclassification into another
group (33.1%). The most significant rates of discrepancy were associated with
endometrioid grades 2 and 3 tumors and stage IIA disease (39.8%, 50.9%, and
79.6%, respectively). CONCLUSION: There was significant discrepancy between
original pathology and formal review in endometrial cancer, with implications for
guidelines on pathology review at a population level. LEVEL OF EVIDENCE: III
PMID- 18055714
TI - Association of elevated C-reactive protein levels with an impaired prognosis in
patients with surgically treated endometrial cancer.
AB - OBJECTIVE: To evaluate whether C-reactive protein (CRP) serum levels are
associated with prognosis in surgically treated endometrial cancer. METHODS: In
the present multicenter study, CRP serum levels were measured preoperatively in
403 surgically staged patients with endometrioid endometrial cancer. Results were
correlated to clinical data. RESULTS: The mean (standard deviation) serum CRP
level in patients with endometrial cancer was 1.0 (1.8) mg/dL. Serum CRP levels
were associated with tumor stage (P=.01), but not with tumor grade (P=.8), lymph
node involvement (P=.8), and age at diagnosis (P=.9). In a univariable survival
analysis, serum CRP levels, tumor stage, tumor grade, and age at diagnosis were
associated with disease-free and overall survival (all P <.001). In a
multivariable Cox regression model, serum CRP levels (P=.001, P=.004), tumor
stage (P <.001, P <.001), tumor grade (P=.02, P=.009), and age at diagnosis
(P=.002, P=.001) were independent prognostic factors for disease-free and overall
survival. CONCLUSION: Our results suggest that elevated serum CRP levels are
associated with a less favorable prognosis in patients with surgically treated
endometrial cancer. LEVEL OF EVIDENCE: II.
PMID- 18055715
TI - Adjuvant radiotherapy in incompletely staged IC and II endometrioid uterine
cancer.
AB - OBJECTIVES: To estimate if adjuvant radiotherapy improves the disease-specific
survival of patients with clinical stage IC and II endometrioid corpus cancer who
did not undergo lymphadenectomy. METHODS: Information was obtained on patients
with endometrioid corpus cancer from the National Cancer Institute database
between 1988 and 2001. Data were analyzed using Kaplan-Meier and Cox proportional
hazards regression methods. RESULTS: A total of 3,664 patients (median age 70
years) with clinical stage IC to II endometrioid carcinoma did not undergo
lymphadenectomy, of which 2,170 had stage IC and 1,494 stage II disease. Of
these, 1,175 had grade 1, 1,637 had grade 2, 693 had grade 3, and in 159, grade
was unknown. The 5-year disease-specific survival rates of clinical stage IC
compared with stage II patients were 91.3% and 86.7% (P<.001). Of the 1,964 who
received adjuvant radiotherapy, the 5-year disease-specific survival rate was
89.9% compared with 87.8% in those who did not undergo further treatment (P=.04).
Adjuvant radiation improved the disease-specific survival rate of those with
stage II disease, (86.5% compared with 81.9%; P=.02), but not in those with stage
IC disease (91.7% compared with 92.6%; P=.68). The benefit of radiotherapy was
significant in patients with grade 3 disease and patients 70 years or older
(88.2% compared with 83.3%; P<.001). On multivariable analysis, age, stage, and
grade were significant independent prognostic factors for disease-specific
survival. CONCLUSION: Adjuvant radiotherapy marginally improved the survival of
clinically staged IC-II endometrioid uterine cancer patients without
lymphadenectomy. After excluding those without hysterectomy, radiotherapy did not
significantly affect disease-specific survival. LEVEL OF EVIDENCE: II.
PMID- 18055716
TI - Comparative measurement of pelvic floor pain sensitivity in chronic pelvic pain.
AB - OBJECTIVE: Women with pelvic pain conditions exhibit enhanced somatic pain
sensitivity at extragenital sites. Whether comparable differences exist for
pelvic floor or vaginal pain sensitivity is unknown. The present study was
undertaken to estimate pelvic floor and vaginal pressure-pain detection
thresholds both in women with pelvic pain and healthy women. METHODS: We
conducted a cross-sectional study of pelvic floor and vaginal pain detection
thresholds comparing 14 women with chronic pelvic pain to 30 healthy women
without this condition. Using a prototype vaginal pressure algometer, we recorded
continuous ascending pressure and determined each subject's pressure-pain
threshold at each of eight paired pelvic floor sites and two adjacent vaginal
sites. RESULTS: Mean pain detection thresholds for all 10 sites were
significantly lower in women with pelvic pain compared with healthy controls (at
right iliococcygeus, controls 1.73+/-0.60 kg/cm(2) compared with women 0.96+/
0.38 kg/cm(2), P<.001, other sites similar), and remained so after controlling
for differences in patient age and menopausal status. Pelvic floor and vaginal
site pain detection thresholds had moderate-to-strong correlations with each
other (r=0.62-0.91). CONCLUSION: Chronic pelvic pain is associated with enhanced
pelvic floor and vaginal pressure-pain sensitivity. LEVEL OF EVIDENCE: II.
PMID- 18055717
TI - Pregnancy outcomes for women with placenta previa in relation to the number of
prior cesarean deliveries.
AB - OBJECTIVE: To estimate the association between the number of prior cesarean
deliveries and pregnancy outcomes among women with placenta previa. METHODS:
Women with a placenta previa and a singleton gestation were identified in a
concurrently collected database of cesarean deliveries performed at 19 academic
centers during a 4-year period. Maternal and perinatal outcomes were analyzed
after stratifying by the number of cesarean deliveries before the index
pregnancy. RESULTS: Of the 868 women in the analysis, 488 had no prior cesarean
delivery, 252 had one prior cesarean delivery, 76 had two prior cesarean
deliveries, and 52 had at least three prior cesarean deliveries. Multiple
measures of maternal morbidity (eg, coagulopathy, hysterectomy, pulmonary edema)
increased in frequency as the number of prior cesarean deliveries rose. Even one
prior cesarean delivery was sufficient to increase the risk of an adverse
maternal outcome (a composite of transfusion, hysterectomy, operative injury,
coagulopathy, venous thromboembolism, pulmonary edema, or death) from 15% to 23%,
which corresponded, in multivariable analysis, to an adjusted odds ratio of 1.9
(95% confidence interval 1.2-2.9). Conversely, gestational age at delivery and
adverse perinatal outcome (a composite measure of respiratory distress syndrome,
necrotizing enterocolitis, intraventricular hemorrhage grade 3 or 4, seizures, or
death) were unrelated to the number of prior cesarean deliveries. CONCLUSION:
Among women with a placenta previa, an increasing number of prior cesarean
deliveries is associated with increasing maternal, but not perinatal, morbidity.
LEVEL OF EVIDENCE: II.
PMID- 18055718
TI - Reduced fertility after cesarean delivery: a maternal choice.
AB - OBJECTIVE: To explore the association between mode of delivery and subsequent
fertility. METHODS: Deliveries registered in the Medical Birth Registry of Norway
were linked to mothers through national identification numbers. The study
population was 596,341 women who had their first delivery during 1967-1996, and
who were followed up through 2003. We compared rates of continuation to a
subsequent birth according to mode of previous delivery (cesarean compared with
vaginal). RESULTS: If the first child survived the first year of life, cesarean
delivery was associated with a significantly reduced probability of a second
birth (relative risk [RR] 0.82, 95% confidence interval [CI] 0.81-0.83 during
1967-1981, and RR 0.88, 95% CI 0.88-0.89 during 1982-1996). Following a
stillbirth or an infant loss, the association was less strong during 1967-1981
(RR 0.93, 95% CI 0.89-0.97) and no longer significant during 1982-1996 (RR 1.00,
95% CI 0.97-1.03). A similar pattern was observed from the second to the third
birth and in subgroup analyses of women with preeclampsia or breech presentation
and in an obstetric low-risk group. CONCLUSION: Cesarean delivery was more
strongly associated with reduced fertility if the infant survived than if it was
stillborn or died. This suggests that the reduced fertility was to a large degree
voluntary and not related to the indication, nor to any physical consequence, of
the cesarean delivery. LEVEL OF EVIDENCE: II.
PMID- 18055719
TI - Association between rising professional liability insurance premiums and primary
cesarean delivery rates.
AB - OBJECTIVE: To estimate the association between changes in Illinois professional
liability premiums for obstetrician-gynecologists and singleton primary cesarean
delivery rates. METHODS: Data from the National Center for Health Statistics were
used to identify all singleton births between 37 weeks and 44 weeks of gestation
occurring in Illinois from 1998 through 2003. Primary cesarean delivery rates for
women delivered between 37 weeks and 44 weeks of gestation per 1,000 gravid women
eligible to have a primary cesarean delivery were calculated for each Illinois
county. The annual medical professional liability premium for each county in
Illinois was represented by the reported professional liability insurance rate
charges (adjusted to 2004 dollars) from the ISMIE Mutual Insurance Company.
Separate analyses were conducted for nulliparous and multiparous women. The
independent association between county-level primary cesarean delivery rates and
the previous year's insurance premiums was evaluated using linear regression
models. RESULTS: During the study period, 817,521 women were eligible for
inclusion in the analysis. The county-level mean primary cesarean delivery rate
increased from 126 to 163 per 1,000 (P<.001) eligible women, whereas the mean
annual medical professional liability insurance premiums also rose significantly
(from $60,766 in 1997 to $83,167 in 2002, P<.001). Multivariable analyses
demonstrated that for each annual $10,000 insurance premium increase, the primary
cesarean delivery rate increased by 15.7 per 1,000 for nulliparous women. This
association also was evident for multiparous women, who had an increase in
cesarean deliveries of 4.7 per 1,000 for every $10,000 increase. CONCLUSION:
Higher rates of primary cesarean delivery are associated with increased medical
professional liability premiums for obstetrician-gynecologists in Illinois. LEVEL
OF EVIDENCE: II.
PMID- 18055720
TI - Use of recombinant activated factor VII in primary postpartum hemorrhage: the
Northern European registry 2000-2004.
AB - OBJECTIVE: To collect data from nine European countries for cases of obstetric
hemorrhage between 2000 and 2004 in which recombinant activated factor VII
(rFVIIa) was used. METHODS: The cases were identified through national surveys.
Standardized case report forms included sociodemographic details, past medical
and obstetric history, and details of the progress and management of labor in
which the postpartum hemorrhage occurred. Clinicians were asked to describe
subjectively the effect of rFVIIa administration using two mutually exclusive
categories: 1) bleeding reduced or 2) bleeding unchanged or worse. RESULTS: A
total of 113 forms were returned (88%) with 97 (86%) classified as treatment, and
16 (14%) as "secondary prophylaxis." Clinicians noted improvements after a single
dose for 80% of women in the treatment group, and for 75% in the secondary
"prophylaxis" group. However, rFVIIa failed in 15 cases (13.8%). Few serious
adverse events were noted related to rFVIIa administration; there were four cases
of thromboembolism, one myocardial infarction, and one skin rash. CONCLUSION:
Clinical reports and hematologic data suggest improvement for more than 80% of
women after rFVIIa administration and few adverse effects. LEVEL OF EVIDENCE: II.
PMID- 18055721
TI - Hysterectomy compared with endometrial ablation for dysfunctional uterine
bleeding: a randomized controlled trial.
AB - OBJECTIVE: To compare the effectiveness of hysterectomy and endometrial ablation
in women with dysfunctional uterine bleeding. METHODS: The Surgical Treatments
Outcomes Project for Dysfunctional Uterine Bleeding was a multicenter, randomized
controlled trial. Eligible women were premenopausal with dysfunctional uterine
bleeding and aged 18 years or older. Primary outcomes were problems that led the
woman to seek care solved, bleeding, pain, and fatigue at 12 months. Additional
outcomes included quality of life, adverse events, reoperation, and others at 24
months and up to 5 years. RESULTS: We randomly assigned 237 women between January
1998 and June 2001. Follow-up ended in June 2003. We completed 24 months of
follow-up on 114 of 123 women assigned to endometrial ablation and 111 of 114
assigned to hysterectomy. Approximately 85% of women were aged younger than 45
years; 76.4% classified themselves as white, 18.6% as African American, less than
1% as Asian, 4.6% as American Indian, and 8.4% as Hispanic (classification within
more than one category possible). Both endometrial ablation and hysterectomy were
effective at 24 months in solving the problem that led women to seek care (84.9%
compared with 94.4%), and in relieving bleeding, pain, fatigue, and other
symptoms, although hysterectomy was more effective for bleeding. By 48 months, 32
of the 110 women initially receiving endometrial ablation required reoperation.
Adverse events were more frequent with hysterectomy. CONCLUSION: Both endometrial
ablation and hysterectomy are effective treatments in women with dysfunctional
uterine bleeding. Hysterectomy (as the index surgery) was associated with more
adverse events and a substantial number of patients receiving endometrial
ablation had reoperation. CLINICAL TRIAL REGISTRATION: ClinicalTrials.gov,
www.clinicaltrials.gov, NCT00114088 LEVEL OF EVIDENCE: I.
PMID- 18055722
TI - Physical functioning and menopause states.
AB - OBJECTIVE: To assess whether losses in physical functioning are related to the
natural menopause, hysterectomy, or calendar time during midlife, after
adjustment for body size and smoking. METHODS: A longitudinal assessment of
physical functioning was conducted from 2000/01 through 2005/06 in a population
based sample of 544 women at midlife enrolled in the Michigan Bone Health and
Metabolism Study. Longitudinal mixed models were used to relate menopausal status
to measures of physical functioning. Perception of physical functioning was
assessed with the Medical Outcomes Study Short-Form 36 questionnaire. Eight
performance-based measures of physical functioning were also included. RESULTS:
Women with hysterectomy (with or without estrogen from ovarian conservation or
exogenous replacement) had reduced levels of functioning and greater rates of
change in the 2-lb lift (P<.005), sit-to-stand (P<.01), timed stair climb
(P<.01), timed walk (P<.01), velocity (P<.05), and perception of physical
functioning (P<.01) compared with premenopausal and perimenopausal women after
adjustment for time since baseline, body size, and smoking. Diminished
functioning in postmenopausal women was observed in hand grip (P<.005), 2-lb lift
(P<.05), sit-to-stand (P<.05), velocity (P<.05), and perceived physical
functioning (P<.05). Based on regression analyses, there was greater loss in
women with hysterectomy compared with natural menopause. Level of functioning
among postmenopausal women with exogenous hormone replacement was similar to
premenopausal women on eight of nine physical functioning measures. CONCLUSION:
Hysterectomy, even with availability of an estrogen source, seems to be a "risk"
state for diminishing physical function at midlife, and this may initiate a
vulnerable stage for future compromised quality of life. LEVEL OF EVIDENCE: II.
PMID- 18055723
TI - Can we use a catheter to do the q-tip test?
AB - OBJECTIVE: To compare two commonly used modifications to the standard Q-tip test
for urethral hypermobility: catheter alone and catheter with Q-tip. METHODS: All
women referred for the evaluation of urinary incontinence or pelvic organ
prolapse were included in the study. A postvoid residual urine was collected on
each patient and the angle of the urethra with the horizontal plane was measured
at rest and with Valsalva with the Q-tip, catheter alone, and catheter with Q
tip. The test was considered positive if the angle of excursion was 30 degrees or
more. RESULTS: In this group of 100 consecutive women with urinary incontinence
or pelvic organ prolapse, the mean change in the angle was significantly
different from the standard Q-tip test (51 degrees) when catheter alone (35
degrees, P<.001) or catheter with Q-tip modifications were used (44 degrees,
P<.001). This difference was due to a significant reduction in the resting and
Valsalva maneuver angles with the catheter alone, and a significant decrease only
in the Valsalva maneuver angle with the catheter with Q-tip method (P<.001). The
percentage of positive tests for the standard Q-tip test (92%) was significantly
different from the catheter only method (63%, P<.001), and from the catheter with
Q-tip technique (83%, P=.021). The "best match" values of 10 degrees for the
catheter alone, and 15 degrees for the catheter with Q-tip methods produce the
best equivalent results at this time. CONCLUSION: The use of a catheter, instead
of a Q-tip, in the evaluation of urethral hypermobility resulted in reduced
angles of excursion from resting to Valsalva maneuver. LEVEL OF EVIDENCE: III.
PMID- 18055724
TI - Analysis of arterial blood vessels surrounding the myoma: relevance to
myomectomy.
AB - OBJECTIVE: The optimal direction of myomectomy incision in relation to the blood
vessels is unclear. Accordingly, we evaluated the location and course of arterial
blood vessels surrounding the myoma. METHODS: This study is a retrospective
analysis of 592 arterial blood vessels in 60 patients with symptomatic uterine
leiomyomata undergoing uterine artery embolization. RESULTS: We encountered 592
arterial blood vessels surrounding the myoma. The vessels could be seen
encircling the surface of the myoma. The dominant myoma was located on anterior
(n=30), posterior (n=17), and fundal part of the uterus (n=13). There was no
difference in the diameter (6.9+/-2.7 cm, 5.8+/-0.7 cm, and 6.7+/-0.5 cm) and
volume of the myoma (268.6+/-52.7 cm(3), 197.0+/-64.5 cm(3), and 199.3+/-40.5
cm(3)) among anterior, posterior, and fundal, respectively. The vessels were
graded as coursing with angles of 0-30 degrees, 30-60 degrees, and 60-90 degrees.
There were significantly more blood vessels in the 30-60 degree group among
anterior myoma (n=88, 42.5%) than in 0-30 degree (n=59, 28.5%, P=.004, 95%
confidence interval [CI] 0.36-0.81) and 60-90 degree groups (n=60, 29.0%, 95% CI
1.2-2.7). Similar findings were found among posterior myoma (0-30 degrees n=26,
21.7%; 30-60 degrees n=59, 49.2%; P<.001, 95% CI 0.16-0.50; 60-90 degrees 35
(29.2%), P<.002, 95% CI 1.37-3.9). Among fundal myomas, there was no difference
in the number of vessels in the 0-30 degree (n=28, 28.6%), 60-90 degree (n=40,
40.8%), and in 60-90 degree groups (n=30, 30.6%). CONCLUSION: Arterial blood
vessels travel mostly diagonally on the surface of anterior and posterior myomas.
There was no predominant pattern in the course of the arteries on fundal myomas.
These findings suggest that regardless of the direction of the myomectomy
incision, arterial blood vessels on myoma surface could be injured. LEVEL OF
EVIDENCE: II.
PMID- 18055725
TI - Mifepristone in second-trimester medical abortion: a randomized controlled trial.
AB - OBJECTIVE: To investigate the adjunctive use of mifepristone in second-trimester
induction abortions using misoprostol 1 day after feticidal digoxin. METHODS:
This is a randomized, placebo-controlled, double-blind trial of mifepristone in
second-trimester induction termination using misoprostol after feticidal digoxin.
Women seeking abortion between 18 and 23 weeks of gestation were offered
enrollment. At the time of digoxin amnioinfusion, participants received a
randomly allocated, identical-appearing capsule containing either mifepristone,
200 mg, or placebo. Patients returned the following day for induction with buccal
misoprostol. The primary outcome was the time interval from the first misoprostol
dose to abortion. Analysis utilized survival curves with log-rank testing.
RESULTS: Of 64 women, 32 received mifepristone and 32 received placebo. The
groups did not differ by ethnicity, age, parity, reason for termination, or
gestational age. Median procedure time was significantly shorter for those who
received mifepristone, 10 hours (95% confidence interval [CI] 8-12), than those
who did not, 18 hours (95% CI 15-22), P<.01, and those parous, 10 hours (95% CI 9
14), compared with nulliparous, 16 hours (95% CI 12-22, P=.02). Other findings in
the mifepristone compared with placebo group included rates of placental
retention, 3.1% compared with 6.3% (P=.61), length of hospitalization, 0.66 days
compared with 0.8 days (P=.23), and analgesic requirements, 27.2 mg compared with
39.3 mg morphine (P=.22). Side effects during induction were similar between
groups. CONCLUSION: Addition of mifepristone in second-trimester termination
inductions using misoprostol significantly reduces the abortion time interval.
CLINICAL TRIAL REGISTRATION: Clinicaltrials.gov, www.clinicaltrials.gov,
NCT00382538 LEVEL OF EVIDENCE: I.
PMID- 18055726
TI - Antioxidant therapy to prevent preeclampsia: a randomized controlled trial.
AB - OBJECTIVE: To study whether antioxidant supplementation will reduce the incidence
of preeclampsia among patients at increased risk. METHODS: A randomized, placebo
controlled, double-blind clinical trial was conducted at four Brazilian sites.
Women between 12 0/7 weeks and 19 6/7 weeks of gestation and diagnosed to have
chronic hypertension or a prior history of preeclampsia were randomly assigned to
daily treatment with both vitamin C (1,000 mg) and vitamin E (400 International
Units) or placebo. Analyses were adjusted for clinical site and risk group (prior
preeclampsia, chronic hypertension, or both). A sample size of 734 would provide
80% power to detect a 40% reduction in the risk of preeclampsia, assuming a
placebo group rate of 21% and alpha=.05. The alpha level for the final analysis,
adjusted for interim looks, was 0.0458. RESULTS: Outcome data for 707 of 739
randomly assigned patients revealed no significant reduction in the rate of
preeclampsia (study drug, 13.8% [49 of 355] compared with placebo, 15.6% [55 of
352], adjusted risk ratio 0.87 [95.42% confidence interval 0.61-1.25]). There
were no differences in mean gestational age at delivery or rates of perinatal
mortality, abruptio placentae, preterm delivery, and small for gestational age or
low birth weight infants. Among patients without chronic hypertension, there was
a slightly higher rate of severe preeclampsia in the study group (study drug,
6.5% [11 of 170] compared with placebo, 2.4% [4 of 168], exact P=.11, odds ratio
2.78, 95% confidence interval 0.79-12.62). CONCLUSION: This trial failed to
demonstrate a benefit of antioxidant supplementation in reducing the rate of
preeclampsia among patients with chronic hypertension and/or prior preeclampsia.
CLINICAL TRIAL REGISTRATION: ClinicalTrials.gov, www.ClinicalTrials.gov,
NCT00097110 LEVEL OF EVIDENCE: I.
PMID- 18055727
TI - Primary preeclampsia in the second pregnancy: effects of changes in prepregnancy
body mass index between pregnancies.
AB - OBJECTIVE: To examine the association between changes in prepregnancy body mass
index (BMI) between a woman's first two pregnancies and incidence of preeclampsia
in the second pregnancy. METHODS: We performed a population-based retrospective
cohort analysis using data on women's first two singleton pregnancies (n=136,884)
in Missouri (1989-1997). The study was restricted to women without preeclampsia
in the first pregnancy. Prepregnancy BMI (kg/m(2)) was categorized as underweight
(less than 18.5), normal (18.5-24.9), overweight (25-29.9), and obese (30 or
greater). Analyses were adjusted for confounders through multivariable logistic
regression. RESULTS: The incidence rate of preeclampsia in the second pregnancy
was 2.0%. In comparison with women who were of normal BMI in both pregnancies,
the risk for preeclampsia increased when BMI changed between the first two
pregnancies from underweight to obese (odds ratio [OR] 5.6, 95% confidence
interval [CI] 1.7-18.2), normal to overweight (OR 2.0, 95% CI 1.7-2.3), normal to
obese (OR 3.2, 95% CI 2.5-4.2), and overweight to obese (OR 3.7, 95% CI 3.1-4.3).
Being obese or overweight in both pregnancies was associated with increased risk
of preeclampsia in the second pregnancy. Women who increased their BMI from
underweight to normal or overweight between pregnancies had risks of preeclampsia
comparable with those with normal BMI in both pregnancies. African-American, but
not white, women who had a reduction in BMI from obese or overweight to normal
between pregnancies remained at increased risk for preeclampsia. CONCLUSION:
Increases in prepregnancy BMI from normal weight to overweight or obese between
pregnancies are associated with increased risk of preeclampsia in the subsequent
pregnancy. LEVEL OF EVIDENCE: II.
PMID- 18055728
TI - Infertility, infertility treatment, and fetal growth restriction.
AB - OBJECTIVE: To examine the association between infertility, with or without
treatment, and fetal growth, as well as perinatal and infant mortality. METHODS:
From the Danish National Birth Cohort (1997-2003), we identified 51,041
singletons born of fertile couples (time to pregnancy 12 months or less), 5,787
born of infertile couples conceiving naturally (time to pregnancy more than 12
months), and 4,317 born after treatment. We defined small for gestational age
(SGA) as the lowest 5% of birth weight by sex and gestational age. RESULTS: Crude
estimates suggested an increased risk of perinatal mortality and SGA among
infertile couples (treated and untreated), but the odds ratios (ORs) of perinatal
mortality among infertile couples were attenuated after adjustment for maternal
age and body mass index (1.32, 95% confidence interval [CI] 0.95-1.84 among
untreated and 1.26, 95% CI 0.86-1.85 among treated couples). The elevated risk of
SGA among infertile couples persisted after adjustment for maternal age, parity,
and smoking (OR 1.24, 95% CI 1.10-1.40 among untreated, and OR 1.40, 95% CI 1.23
1.60 among treated). The risk of SGA increased with time to pregnancy, and a
longer time to pregnancy was associated with a small reduction in birth weight
across the whole distribution. CONCLUSION: The increased risk of SGA observed
among infertile couples with or without infertility treatment suggests that
infertility may be a risk factor for intrauterine growth restriction. Treatment
per se may have little effect on fetal growth. A small-to-moderate increased risk
of perinatal mortality in infertile couples cannot be ruled out due to the small
number of cases. LEVEL OF EVIDENCE: II.
PMID- 18055729
TI - Monocyte major histocompatibility complex class II expression in term and preterm
labor.
AB - OBJECTIVE: To investigate how term and preterm labor (PTL) influence the balance
between maternal proinflammatory and antiinflammatory responses as measured by
expression of major histocompatibility complex (MHC) Class II on maternal
monocytes and tumor necrosis factor-alpha (TNF-alpha) production by in vitro
stimulation of whole blood by lipopolysaccharide (LPS). METHODS: Blood was taken
from the following women (n=118): term elective cesarean delivery or in
spontaneous labor, in premature labor, or with preterm premature rupture of the
membranes (PROM) at less than 32 weeks, and gestation-matched reference group.
Monocyte MHC Class II expression was measured by flow cytometry using a dual
staining technique. Plasma cytokine levels were assayed using a cytometric bead
array system. In vitro whole blood stimulation with LPS was also performed, and
cytokine production was measured. RESULTS: Term labor was associated with a fall
in the percentage of monocytes expressing MHC Class II, compared with third
trimester of pregnancy, P<.05 and a reduction in LPS-stimulated TNF-alpha
production. This fall in MHC Class II was even more pronounced in PTL and preterm
PROM groups compared with the reference group, P<.01. CONCLUSION: There was
evidence of reduced expression of monocyte MHC Class II and LPS-stimulated TNF
alpha in term and preterm labor. This pattern of reduced MHC Class II expression
and reduced TNF-alpha production is known as monocyte hyporesponsiveness or
immune paresis. Detection of this state may provide insights into the maternal
inflammatory status and be of use in the management of women with threatened PTL
or preterm PROM. LEVEL OF EVIDENCE: II.
PMID- 18055730
TI - External cephalic version for breech presentation with or without spinal
analgesia in nulliparous women at term: a randomized controlled trial.
AB - OBJECTIVE: To compare the success of external cephalic version using spinal
analgesia with no analgesia among nulliparas. METHODS: A prospective randomized
controlled trial was performed in a tertiary referral center delivery suite.
Nulliparous women at term requesting external cephalic version for breech
presentation were randomized to receive spinal analgesia (7.5 mg bupivacaine) or
no analgesia before the external cephalic version. An experienced obstetrician
performed the external cephalic version. Primary outcome was successful
conversion to vertex presentation. RESULTS: Seventy-four women were enrolled, and
70 analyzed (36 spinal, 34 no analgesia). Successful external cephalic version
occurred among 24 of 36 (66.7%) women randomized to receive spinal analgesia
compared with 11 of 34 (32.4%) without, P=.004 (95% confidence interval [CI] of
the difference: 0.0954-0.5513). External cephalic version with spinal analgesia
resulted in a lower visual analog pain score, 1.76+/-2.74 compared with 6.84+/
3.08 without, P<.001. A secondary analysis logistic regression model demonstrated
that the odds of external cephalic version success was 4.0-fold higher when
performed with spinal analgesia P=.02 (95% CI, odds ratio [OR] 1.2-12.9).
Complete breech presentation before attempting external cephalic version
increased the odds of success 8.2-fold, P=.001 (95% CI, OR 2.2-30.3). Placental
position, estimated fetal weight, and maternal weight did not contribute to the
success rate when spinal analgesia was used. There were no cases of placental
abruption or fetal distress. CONCLUSION: Administration of spinal analgesia
significantly increases the success rate of external cephalic version among
nulliparous women at term, which allows possible normal vaginal delivery.
CLINICAL TRIAL REGISTRATION: ClinicalTrials.gov, www.clinicaltrials.gov,
NCT00119184 LEVEL OF EVIDENCE: I.
PMID- 18055731
TI - Constipation in pregnancy: prevalence, symptoms, and risk factors.
AB - OBJECTIVE: To prospectively estimate constipation prevalence and risk factors in
pregnancy. METHODS: We enrolled healthy pregnant women in this longitudinal study
during the first trimester. At each trimester and 3 months postpartum,
participants completed a self-administered bowel symptom questionnaire, physical
activity and dietary fiber intake measures, and a prospective 7-day stool diary.
Constipation was defined using the Rome II criteria (presence of at least two of
the following symptoms for at least one quarter of defecations: straining, lumpy
or hard stools, sensation of incomplete evacuation, sensation of anorectal
obstruction, manual maneuvers to facilitate defecation, and fewer than three
defecations per week). Generalized linear logistic models explored factors
associated with constipation during pregnancy. RESULTS: One hundred three women
were enrolled with mean (+/-standard deviation) age of 28 (+/-5) years; 54% were
nulliparous and 92% white. Constipation prevalence rates were 24% (95% confidence
interval [CI] 16-33%), 26% (95% CI 17-38%), 16% (95% CI 8-26%), and 24% (95% CI
13-36%) in the first, second, and third trimesters and 3 months postpartum,
respectively. Additionally, irritable bowel syndrome (by Rome II criteria)
prevalence rates were 19% (95% CI 12-28%), 13% (95% CI 6-23%), 13% (95% CI 6-23%)
and 5% (95% CI 1-13%) in the first, second, and third trimesters and 3 months
postpartum, respectively. In multivariable longitudinal analysis, iron
supplements (OR 3.5, 95% CI 1.04-12.10) and past constipation treatment (OR 3.58,
95% CI 1.50-8.57) were associated with constipation during pregnancy. CONCLUSION:
Constipation measured using the Rome II criteria affects up to one fourth of
women throughout pregnancy and at 3 months postpartum. LEVEL OF EVIDENCE: II.
PMID- 18055732
TI - Maternal smoking: effect on circulating cell-free fetal and total DNA levels in
maternal plasma from the second trimester.
AB - OBJECTIVE: To estimate whether potential clinical applications of cell-free fetal
and total DNA in the field of noninvasive prenatal diagnosis need to be adjusted
for maternal smoking status. METHODS: In this study, using 344 maternal blood
samples from the second trimester of pregnancy, circulating cell-free DNA in
maternal plasma samples, specific for the SRY and DYS14 loci (representing fetal
DNA) and GAPDH sequence (representing total genomic DNA) were quantified by real
time polymerase chain reaction. RESULTS: Fetal sex determination was 100%
accurate using a combination of probes for SRY and DYS14. The levels of DYS14 and
SRY detected were significantly correlated (r=0.884, P<.001). No significant
difference was seen between the quantitative levels of cell-free male fetal DNA
between the smoking groups and control group. Similarly, no significant
difference was seen in the amount of total cell-free DNA in the study population.
CONCLUSION: In contrast to first- and second-trimester screening assays for Down
syndrome, where smoking status significantly affect levels of maternal serum
analytes, smoking status does not affect quantitative levels of cell-free fetal
DNA or total cell-free DNA in maternal plasma. LEVEL OF EVIDENCE: II.
PMID- 18055733
TI - Prenatal herpes simplex virus serologic screening beliefs and practices among
obstetricians.
AB - OBJECTIVE: To describe the beliefs and practices of obstetricians related to
prenatal serologic testing for HSV infection. METHODS: A total of 265 (73% of
eligible) currently practicing obstetricians in Washington State completed a 36
question mailed survey that assessed beliefs regarding genital herpes in
pregnancy, neonatal herpes, serologic testing for herpes in pregnancy, and ease
of testing. RESULTS: Ninety-five percent of respondents believed genital herpes
was common in reproductive-aged women, 83% believed neonatal herpes was a serious
health issue, and 73% believed it warranted systematic prevention efforts; 74%
discussed herpes with pregnant patients as part of prenatal care, 31% provided
written materials about herpes, and 15% used serologic tests for herpes in 75% or
more of their prenatal patients. Factors independently associated with routine
herpes serologic testing were academic practice setting (adjusted odds ratio
[aOR] 10.4, 95% confidence interval [CI] 2.8-39.1) and metropolitan practice
setting (aOR 3.3, 95% CI 1.4-7.9). Beliefs that testing would cause unnecessary
distress in pregnancy (aOR 0.3, 95% CI 0.1-0.7), or that testing was not worth
the expense (aOR 0.1, 95% CI 0.0-0.6) were associated with not testing.
Availability of serologic tests for HSV was reported to be high and was not
associated with prenatal HSV testing. CONCLUSION: Most obstetricians believe
neonatal herpes prevention is important. LEVEL OF EVIDENCE: II.
PMID- 18055734
TI - Changes in prescription contraceptive use, 1995-2002: the effect of insurance
status.
AB - OBJECTIVE: To examine changes in prescription contraception use between 1995 and
2002 by insurance status among women at risk for unintended pregnancy. METHODS:
Data from the National Survey of Family Growth, including 4,767 women at risk of
unintended pregnancy in 1995 and 3,569 in 2002, were used to evaluate changes in
primary contraception methods by health insurance status and year of survey.
Logistic regression models tested differences in the likelihood of prescription
contraceptive use among privately insured, publicly insured, and uninsured women
in each year, after controlling for age, race and ethnicity, education, income,
employment, marital status, number of children, religion, and self reported
overall health. RESULTS: Overall prescription contraceptive use increased between
1995 and 2002 by 3% (48.9% to 51.9%, P=.049). Nonuse of contraception also
increased (11.6% to 16.1%, P<.001). The change in the likelihood of prescription
contraceptive use was greatest and only significant among privately insured women
(+5.5%, P=.002). In multiple regression analysis, women in 1995 were 10% less
likely to report use of prescription contraceptives compared with women in 2002
(relative risk 0.90, 95% confidence interval 0.82-0.98), and uninsured women were
more than 20% less likely to report prescription contraceptive use compared with
privately insured women (relative risk 0.78, 95% confidence interval 0.67-0.90).
CONCLUSION: Prescription contraceptive use increased most significantly among
privately insured women between 1995 and 2002, potentially reflecting state
mandates enacted during that period requiring contraceptive coverage by private
insurers. It is important for clinicians to understand these differences and
address issues of insurance coverage with patients when discussing contraceptive
options. LEVEL OF EVIDENCE: III.
PMID- 18055735
TI - Advance provision of emergency contraception for pregnancy prevention: a meta
analysis.
AB - OBJECTIVE: Advance provision of emergency contraception can circumvent some
obstacles to timely use. We performed a meta-analysis to summarize randomized
controlled trials evaluating advance provision of emergency contraception to
explore effects on pregnancy rates, sexually transmitted infections, and sexual
and contraceptive behaviors. DATA SOURCES: In August 2006, we searched CENTRAL,
EMBASE, POPLINE, MEDLINE, a specialized emergency contraception article database,
and contacted experts to identify published or unpublished trials. METHODS OF
STUDY SELECTION: We included randomized controlled trials comparing advance
provision to standard access, defined as any of the following: counseling (with
or without information about emergency contraception) or provision of emergency
contraception on request at a clinic or pharmacy. TABULATION, INTEGRATION AND
RESULTS: Two reviewers independently assessed study quality. We performed a meta
analysis using Review Manager software. Eight randomized controlled trials met
inclusion criteria, representing 6,389 patients in the United States, China, and
India. Advance provision did not decrease pregnancy rates, despite increased use
(single use, odds ratio [OR] 2.52, 95% confidence interval [CI] 1.72-3.70;
multiple use: OR 4.13, 95% CI 1.77-9.63) and faster use (weighted mean difference
-14.6 hours, 95% CI -16.77 to -12.4 hours). Advance provision did not increase
rates of sexually transmitted infections (OR 0.99, 95% CI 0.73-1.34), unprotected
intercourse, or changes in contraceptive methods. Women who received emergency
contraception in advance were as likely to use condoms as other women.
CONCLUSION: Advance provision of emergency contraception did not reduce pregnancy
rates and did not negatively affect sexual and reproductive health behaviors and
outcomes compared with conventional provision. LEVEL OF EVIDENCE: III.
PMID- 18055736
TI - Are doctors prepared for direct-to-consumer advertising of genetics tests?
PMID- 18055737
TI - ASHG Statement* on direct-to-consumer genetic testing in the United States.
PMID- 18055738
TI - Accreditation, certification: why all the confusion?
AB - Tremendous confusion exists concerning the issues of physician certification and
practice accreditation in obstetric and gynecologic ultrasonography.
Certification speaks to physician competence. All obstetrician-gynecologists who
have finished residency training since 1982 are deemed "competent" to perform
obstetric and gynecologic ultrasonography by virtue of their training. Those
trained before 1982 need to be able to describe their level of experience in
performing obstetric and gynecologic ultrasonography. Accreditation deals with
issues of patient safety and quality control, including equipment calibration,
transducer cleaning and disinfection, universal precautions and Occupational
Safety and Health Administration regulations, ultrasound examination protocols,
and qualifications of nonphysician personnel performing ultrasound examinations.
A new field of radiology benefit managers has arisen in response to attempts by
health insurers to manage care, and reduce their costs and utilization. They have
adopted ultrasound accreditation, originally intended to enhance patient safety,
to help restrict utilization. Currently two organizations are recognized as
accrediting bodies: The American Institute of Ultrasound in Medicine (AIUM),
which recognizes obstetric and gynecologic residency training as evidence of
competency, and The American College of Radiologists, which does not.
Obstetrician-gynecologists should realize that AIUM's accreditation is their
"lifeboat" in this time of increasing interference by health care insurers into
decision making in clinical practice.
PMID- 18055739
TI - Preventing needlestick injuries in obstetrics and gynecology: how can we improve
the use of blunt tip needles in practice?
AB - Surgical needlestick injuries are common in obstetrics and gynecology and can
cause transmission of viral diseases including hepatitis and acquired
immunodeficiency syndrome (AIDS). Strategies to reduce the rate of needlestick
injuries include using instruments rather than fingers to retract tissue and
grasp needles, double gloving, using surgical staplers for skin closure, and
substituting blunt tip surgical needles for sharp tip needles where applicable.
Studies have shown the use of blunt tip surgical needles to be remarkably
effective in reducing needlestick injuries. Despite recommendations by the
American College of Surgeons that blunt tip surgical needles be used routinely,
at least for fascial closure, and by the Occupational Safety and Health
Administration and the National Institute for Occupational Health and Safety that
these devices be used whenever medically appropriate, use in obstetrics and
gynecology appears to be limited. Potential barriers to use include availability,
the "feel" of the needle as it penetrates tissue, and habit. We suggest that
blunt tip surgical needles have the potential to replace traditional needles for
many obstetric and gynecologic applications. If their use is to become more
widespread, we must focus on availability, evaluation for specific applications,
and physician education.
PMID- 18055740
TI - Screening and follow-up of the patient at high risk for breast cancer.
AB - Accurately defining a patient's risk of developing breast cancer is a challenging
endeavor. Many factors have been implicated in the causation of breast cancer and
quantifying them is difficult. Risk stratification is performed using population
models, such as the Gail model, as well as the patient's personal and family
history and genetic testing. The clinician who is facile with these components
will not only be able to identify those patients at highest risk for whom
heightened surveillance is recommended, but also to allay the fears of the
average-risk patient and provide them reassurance. Patients who are at very high
risk of developing breast cancer are BRCA1 or BRCA2 gene mutation carriers, those
with a personal history of atypical ductal hyperplasia or lobular carcinoma in
situ with associated family history, those who have undergone therapeutic or
similarly significant radiation exposure, and those with a history of a BRCA1 or
BRCA2 gene mutation in the family of an untested individual. Patients with an
elevated risk, but not in the very high risk category, are those with a family
history of breast cancer, personal history of breast cancer, significantly dense
breast tissue, hormone replacement therapy longer than 10 years, and a history of
atypical ductal hyperplasia or lobular carcinoma in situ without family history
of breast cancer. Risk-reducing strategies include chemoprevention with tamoxifen
or raloxifene and surgical prophylaxis with bilateral prophylactic mastectomy
and/or bilateral salpingo-oophorectomy. A high-risk surveillance regimen includes
annual mammography, annual magnetic resonance imaging in selected individuals,
and semiannual clinical breast exams.
PMID- 18055741
TI - Peritoneal tuberculosis mimicking advanced-stage epithelial ovarian cancer.
PMID- 18055743
TI - Procedure-related complications of amniocentesis and chorionic villus sampling.
PMID- 18055744
TI - Prediction and prevention of recurrent spontaneous preterm birth.
PMID- 18055745
TI - First- and second-trimester evaluation of risk for Down syndrome.
PMID- 18055746
TI - Sustained relief of leiomyoma symptoms by using focused ultrasound surgery.
PMID- 18055747
TI - Gestational age at cervical length measurement and incidence of preterm birth.
PMID- 18055748
TI - Low-weight polypropylene mesh for anterior vaginal wall prolapse.
PMID- 18055750
TI - ACOG Practice Bulletins. List of titles December 2007.
PMID- 18055749
TI - ACOG Practice Bulletin No. 88, December 2007. Invasive prenatal testing for
aneuploidy.
AB - Prenatal diagnosis of fetal chromosomal abnormalities is the most common
indication for invasive prenatal testing. The prevalence of chromosomal
abnormalities in clinically recognized early pregnancy loss is greater than
50%(1). Fetuses with aneuploidy account for 6-11% of all still births and
neonatal deaths(2). Chromosomal abnormalities that are compatible with life but
cause considerable morbidity occur in 0.65% of newborns, and structural
chromosomal rearrangements that will eventually affect reproduction occur in 0.2%
of newborns(3). Consequently, screening and diagnostic programs to detect the
most common autosomal trisomies in liveborn infants, including Down syndrome, are
well established. The purpose of this document is to provide clinical management
guidelines for the prenatal diagnosis of these aneuploidies.
PMID- 18055751
TI - ACOG Committee Opinion No. 389, December 2007. Human immunodeficiency virus.
AB - Because human immunodeficiency virus (HIV) infection often is detected through
prenatal and sexually transmitted disease testing, an obstetrician-gynecologist
may be the first health professional to provide care for a woman infected with
HIV. Universal testing with patient notification and right of refusal ("opt-out"
testing) is recommended by most national organizations and federal agencies .
Although opt-out and opt-in testing (but not mandatory testing) are both
ethically acceptable, the former approach may identify more women who are
eligible for therapy and may have public health advantages . It is unethical for
an obstetrician-gynecologist to refuse to continue providing health care for a
patient solely because she is , or is thought to be, seropositive for HIV. Health
care professionals who are infected with HIV should adhere to the fundamental
professional obligation to avoid harm to patients. Physicians who believe that
they have been at significant risk of being infected should be tested voluntarily
for HIV.
PMID- 18055752
TI - ACOG Committee Opinion No. 390, December 2007. Ethical decision making in
obstetrics and gynecology.
AB - Physicians vary widely in their familiarity with ethical theories and methods and
their sensitivity toward ethical issues. It is important for physicians to
improve their skills in addressing ethical questions. Obstetrician-gynecologists
who are familiar with the concepts of medical ethics will be better able to
approach complex ethical situations in a clear and structured way. By considering
the ethical frameworks involving principles, virtues, care and feminist
perspectives, concern for community, and case precedents, they can enhance their
ability to make ethically justifiable clinical decisions. Guidelines,consisting
of several logical steps, are offered to aid the practitioner in analyzing and
resolving ethical problems.
PMID- 18055753
TI - ACOG Committee Opinion No. 391, December 2007. Health literacy.
AB - According to the U.S. Department of Health and Human Services, health literacy is
the degree to which individuals have the capacity to obtain, process, and
understand basic health information and services needed to make appropriate
health decisions. The American College of Obstetricians and Gynecologists (ACOG)
is committed to the promotion of health literacy for all. The purpose of this
committee opinion is to outline the complex issues surrounding health literacy
and offer strategies for increasing health literacy in clinical practice.
PMID- 18055754
TI - ACOG Committee Opinion No. 392, December 2007. Intrauterine device and
adolescents.
AB - The intrauterine device (IUD) is highly effective and widely used by women
throughout the world. Data support the safety of IUDs for most women, including
adolescents. This document addresses the major benefits of IUD use in
adolescents, a population at particular risk of unintended pregnancy.
PMID- 18055755
TI - ACOG Committee Opinion No. 393, December 2007. Newborn screening.
AB - Newborn screening tests are designed to detect infants with specific conditions
whose families also benefit from early diagnosis and treatment. These conditions
include disorders of metabolism, endocrinopathies, hemoglobinopathies, hearing
loss, and cystic fibrosis. Each state program must have a system in place for
notification, timely follow-up, and evaluation of any infant with a positive
screening result. Newborn screening programs have enormous public health benefits
and have been effective in identifying newborns that can benefit from early
treatment.
PMID- 18055756
TI - ACOG Committee Opinion No. 394, December 2007. Cesarean delivery on maternal
request.
AB - Cesarean delivery on maternal request is defined as a primary cesarean delivery
at maternal request in the absence of any medical or obstetric indication. A
potential benefit of cesarean delivery on maternal request is decreased risk of
hemorrhage for the mother. Potential risks of cesarean delivery on maternal
request include a longer maternal hospital stay, an increased risk of respiratory
problems for the baby, and greater complications in subsequent pregnancies,
including uterine rupture and placental implantation problems. Cesarean delivery
on maternal request should not be performed before gestational age of 39 weeks
has been accurately determined unless there is documentation of lung maturity .
Cesarean delivery on maternal request is not recommended for women desiring
several children, given that the risks of placenta previa, placenta accreta, and
the need for gravid hysterectomy increase with each cesarean delivery.
PMID- 18055757
TI - ACOG Committee Opinions. List of titles December 2007.
PMID- 18055759
TI - Antitumorigenic effects of peroxisome proliferator-activated receptor-gamma in
non-small-cell lung cancer cells are mediated by suppression of cyclooxygenase-2
via inhibition of nuclear factor-kappaB.
AB - Pharmacological activators of peroxisome proliferator-activated receptor-gamma
(PPARgamma) inhibit growth of non-small-cell lung cancer (NSCLC) cell lines in
vitro and in xenograft models. Because these agents engage off-target pathways,
we have assessed the effects of PPARgamma by overexpressing the protein in NSCLC
cells. We reported previously that increased PPARgamma inhibits transformed
growth and invasiveness and promotes epithelial differentiation in a panel of
NSCLC expressing oncogenic K-Ras. These cells express high levels of
cyclooxygenase-2 (COX-2) and produce high levels of prostaglandin E(2) (PGE(2)).
The goal of these studies was to identify the molecular mechanisms whereby
PPARgamma inhibits tumorigenesis. Increased PPARgamma inhibited expression of COX
2 protein and promoter activity, resulting in decreased PGE(2) production.
Suppression of COX-2 was mediated through increased activity of the tumor
suppressor phosphatase and tensin homolog, leading to decreased levels of phospho
Akt and inhibition of nuclear factor-kappaB activity. Pharmacological inhibition
of PGE(2) production mimicked the effects of PPARgamma on epithelial
differentiation in three-dimensional culture, and exogenous PGE(2) reversed the
effects of increased PPARgamma activity. Transgenic mice overexpressing PPARgamma
under the control of the surfactant protein C promoter had reduced expression of
COX-2 in type II cells and were protected against developing lung tumors in a
chemical carcinogenesis model. These data indicate that high levels of PGE(2) as
a result of elevated COX-2 expression are critical for promoting lung
tumorigenesis and that the antitumorigenic effects of PPARgamma are mediated in
part through blocking this pathway.
PMID- 18055760
TI - Identification of oxysterol 7alpha-hydroxylase (Cyp7b1) as a novel retinoid
related orphan receptor alpha (RORalpha) (NR1F1) target gene and a functional
cross-talk between RORalpha and liver X receptor (NR1H3).
AB - The retinoid-related orphan receptors (RORs) and liver X receptors (LXRs) were
postulated to have distinct functions. RORs play a role in tissue development and
circadian rhythm, whereas LXRs are sterol sensors that affect lipid homeostasis.
In this study, we revealed a novel function of RORalpha (NR1F1) in regulating the
oxysterol 7alpha-hydroxylase (Cyp7b1), an enzyme critical for the homeostasis of
cholesterol, bile acids, and oxysterols. The expression of Cyp7b1 gene was
suppressed in the RORalpha null (RORalpha(sg/sg)) mice, suggesting RORalpha as a
positive regulator of Cyp7b1. Promoter analysis established Cyp7b1 as a
transcriptional target of RORalpha, and transfection of RORalpha induced the
expression of endogenous Cyp7b1 in the liver. Interestingly, Cyp7b1 regulation
seemed to be RORalpha-specific, because RORgamma had little effect. Reporter gene
analysis showed that the activation of Cyp7b1 gene promoter by RORalpha was
suppressed by LXRalpha (NR1H3), whereas RORalpha inhibited both the constitutive
and ligand-dependent activities of LXRalpha. The mutual suppression between
RORalpha and LXR was supported by the in vivo observation that loss of RORalpha
increased the expression of selected LXR target genes, leading to hepatic
triglyceride accumulation. Likewise, mice deficient of LXR alpha and beta
isoforms showed activation of selected RORalpha target genes. Our results have
revealed a novel role for RORalpha and a functional interplay between RORalpha
and LXR in regulating endo- and xenobiotic genes, which may have broad
implications in metabolic homeostasis.
PMID- 18055762
TI - Lipid composition alters drug action at the nicotinic acetylcholine receptor.
AB - We tested the hypothesis that membrane lipid composition influences drug action
at membrane proteins by studying local anesthetic action at the nicotinic
acetylcholine receptor (nAChR). Infrared difference spectra show that
concentrations of tetracaine consistent with binding to the ion channel (<50
microM) stabilize a resting-like state when the nAChR is reconstituted into
phosphatidylcholine membranes containing the anionic lipid, phosphatidic acid,
but have no effect on the nAChR reconstituted into membranes lacking phosphatidic
acid, either in the presence or absence of cholesterol. Concentrations of
tetracaine above 200 microM lead to neurotransmitter site binding in all
membranes. In the presence of phosphatidic acid, cholesterol, or both,
neurotransmitter site binding leads to the formation of quaternary amine-aromatic
interactions between tetracaine and binding site tyrosine/tryptophan residues and
the stabilization of a desensitized state. One interpretation suggested by lipid
partitioning studies is that phosphatidic acid enhances tetracaine action at the
channel pore by increasing the partitioning of tetracaine into the lipid bilayer,
thereby enhancing access to the transmembrane pore. However, subtle membrane
dependent variations in the vibrations of tyrosine and tryptophan residues, and
agonist analog binding studies indicate that the structures of the agonist-bound
neurotransmitter sites of the nAChR in membranes lacking both phosphatidic acid
and cholesterol differ from the structures of the agonist-desensitized
neurotransmitter sites in the presence of both lipids. Lipid action at the nAChR
thus involves more than a simple modulation of the equilibrium between resting
and desensitized states.
PMID- 18055761
TI - Identification of the first synthetic steroidogenic factor 1 inverse agonists:
pharmacological modulation of steroidogenic enzymes.
AB - Steroidogenic factor SF-1, a constitutively active nuclear hormone receptor, is
essential to the development of adrenal and gonadal glands and acts as a shaping
factor of sexual determination and differentiation. Its effects are exerted
primarily through the control of the synthesis of steroid hormones. The
functional cell-based assay Receptor Selection and Amplification Technology (R
SAT) was used to identify potent and selective SF-1 inverse agonists through the
screening of a chemical library of drug-like small-molecule entities. Among them,
4-(heptyloxy)phenol (AC-45594), a prototype inverse agonist lead, was used to
show that SF-1 constitutive activity can be pharmacologically modulated by a
synthetic ligand. In a physiological system of endocrine function, the expression
of several reported SF-1 target genes, including SF-1 itself, was inhibited by
treatment with AC-45594 and analogs. Thus, pharmacological modulation of SF-1 is
critical to its function as an endocrine master regulator and has potentially
important consequences to diseases in which SF-1 activity is critical.
PMID- 18055764
TI - A multinomial model for identifying significant pure-tone threshold shifts.
AB - PURPOSE: Significant threshold differences on retest for pure-tone audiometry are
often evaluated by application of ad hoc rules, such as a shift in a pure-tone
average or in 2 adjacent frequencies that exceeds a predefined amount. Rules that
are so derived do not consider the probability of observing a particular
audiogram. METHODS: A general solution for evaluating threshold differences on
retest was developed on the basis of multinomial probabilities. The model uses
the standard deviation of inter-test differences for 1 frequency as a parameter
of the underlying Gaussian distribution of test results. The number of test
frequencies, the categories of threshold change, and the probability of each
category's occurrence are used to calculate the probability that a given pattern
of threshold differences on retest (or 1 rarer) could occur by chance. RESULTS:
The multinomial model was compared with 2 other methods for identifying threshold
shifts in persons exposed to high sound pressure levels during concerts. The
multinomial model identified the same audiograms as the ad hoc methods.
CONCLUSION: Tables developed using a multinomial model can provide a clinical
tool for evaluating audiograms by identifying statistically significant patterns
of test-retest differences in hearing thresholds.
PMID- 18055765
TI - Developmental effects of multiple looks in speech sound discrimination.
AB - PURPOSE: The change/no-change procedure (J. E. Sussman & A. E. Carney, 1989),
which assesses speech discrimination, has been used under the assumption that the
number of stimulus presentations does not influence performance. Motivated by the
tenets of the multiple looks hypothesis (N. F. Viemeister & G. H. Wakefield,
1991), work by R. F. Holt and A. E. Carney (2005) called this assumption into
question (at least for adults): Nonsense syllable discrimination improved with
more stimulus presentations. This study investigates the nature of developmental
differences and the effects of multiple stimulus presentations in the change/no
change procedure. METHOD: Thirty normal-hearing children, ages 4.0-5.9 years,
were tested on 3 consonant-vowel contrasts at various signal-to-noise ratios
using combinations of 2 and 4 standard and comparison stimulus repetitions.
RESULTS: Although performance fell below that which is predicted by the multiple
looks hypothesis in most conditions, discrimination was enhanced with more
stimulus repetitions for 1 speech contrast. The relative influence of standard
and comparison stimulus repetitions varied across the speech contrasts in a
manner different from that of adults. CONCLUSION: Despite providing no additional
sensory information, multiple stimulus repetitions enhanced children's
discrimination of 1 set of nonsense syllables. The results have implications for
models of developmental speech perception and assessing speech discrimination in
children.
PMID- 18055766
TI - On the robustness of vocal development: an examination of infants with moderate
to-severe hearing loss and additional risk factors.
AB - PURPOSE: Onset of canonical babbling by 10 months of age is surprisingly robust
in infancy, suggesting that there must be deep biological forces that keep the
development of this key vocal capability on course. This study further evaluated
the robustness of canonical babbling and other aspects of prelinguistic vocal
development. METHOD: Longitudinal observation was conducted on 4 infants who were
at risk for abnormal vocal development because of bilateral moderate-to-severe
sensorineural hearing loss and additional risk factors for developmental delay.
RESULTS: Two of the infants were delayed in the onset of canonical babbling and
showed greater fluctuation in canonical babbling ratios following its onset than
did typically developing infants. On the same measures, the remaining 2 infants
were within normal limits, although their age of onset for canonical babbling was
later than the mean for typically developing infants. Volubility was not notably
different from typically developing infants. Differences from typically
developing infants were, however, observed in proportions of various
prelinguistic syllable types produced across time. CONCLUSION: Results provided
further evidence of robustness of canonical babbling and indicated the need for a
large parametric study evaluating effects of varying degrees of hearing loss and
other risk factors on vocal development.
PMID- 18055767
TI - Effects of training on the acoustic phonetic representation of synthetic speech.
AB - PURPOSE: Investigate training-related changes in acoustic-phonetic representation
of consonants produced by a text-to-speech (TTS) computer speech synthesizer.
METHOD: Forty-eight adult listeners were trained to better recognize words
produced by a TTS system. Nine additional untrained participants served as
controls. Before and after training, participants were tested on consonant
recognition and made pairwise judgments of consonant dissimilarity for subsequent
multidimensional scaling (MDS) analysis. RESULTS: Word recognition training
significantly improved performance on consonant identification, although
listeners never received specific training on phoneme recognition. Data from 31
participants showing clear evidence of learning (improvement>or=10 percentage
points) were further investigated using MDS and analysis of confusion matrices.
Results show that training altered listeners' treatment of particular acoustic
cues, resulting in both increased within-class similarity and between-class
distinctiveness. Some changes were consistent with current models of perceptual
learning, but others were not. CONCLUSION: Training caused listeners to interpret
the acoustic properties of synthetic speech more like those of natural speech, in
a manner consistent with a flexible-feature model of perceptual learning. Further
research is necessary to refine these conclusions and to investigate their
applicability to other training-related changes in intelligibility (e.g.,
associated with learning to better understand dysarthric speech or foreign
accents).
PMID- 18055768
TI - A perceptual correlate of the labial-coronal effect.
AB - PURPOSE: Statistical studies conducted in various languages on both infants and
adults have revealed an intersyllabic preference for initiating words with a
labial consonant-vowel-coronal consonant sequence. Speech motor constraints have
been proposed to explain this so-called labial-coronal effect. This study was
designed to test for a possible perceptual correlate of the labial-coronal effect
in French adults. METHOD: The authors examined the perceptual stabilities of
repeatedly presented disyllabic sequences, involving either a labial-vowel
coronal-vowel (LC) or a coronal-vowel-labial-vowel (CL) phonological structure.
With this aim, they exploited the verbal transformation effect, which refers to
the perceptual changes experienced while listening to a speech form cycled in
rapid and continuous repetition. Two experiments were carried out, involving
either voiced or unvoiced plosive consonants. RESULTS: In both experiments, a
greater stability and attractiveness was observed for LC stimuli, which suggests
that in a (...)LCLC(...) flow, the listener could more naturally provide a
segmentation into LC chunks. CONCLUSION: This study demonstrates that the labial
coronal effect also occurs in the course of online speech processing. This result
is interpreted in relation with theories assuming a link between perception and
action in the human speech processing system.
PMID- 18055769
TI - Listener agreement for auditory-perceptual ratings of dysarthria.
AB - PURPOSE: Darley, Aronson, and Brown (1969a, 1969b) detailed methods and results
of auditory-perceptual assessment for speakers with dysarthrias of varying
etiology. They reported adequate listener reliability for use of the rating
system as a tool for differential diagnosis, but several more recent studies have
raised concerns about listener reliability using this approach. METHOD: In the
present study, the authors examined intrarater and interrater agreement for
perceptual ratings of 47 speakers with various dysarthria types by 2 listener
groups (inexperienced and experienced). The entire set of perceptual features
proposed by Darley et al. was rated based on a 40-s conversational speech sample.
RESULTS: No differences in levels of agreement were found between the listener
groups. Agreement was within 1 scale value or better for 67% of the pairwise
comparisons. Levels of agreement were lower when the average rating fell in the
mid-range of the scale compared with samples that had an average rating near
either of the scale endpoints; agreement was above chance level. No significant
differences in agreement were found between the perceptual features. DISCUSSION:
The levels of listener agreement that were found indicate that auditory
perceptual ratings show promise during clinical assessment for identifying
salient features of dysarthria for speakers with various etiologies.
PMID- 18055770
TI - Training Japanese listeners to perceive American English vowels: influence of
training sets.
AB - PURPOSE: Studies on speech perception training have shown that adult 2nd language
learners can learn to perceive non-native consonant contrasts through laboratory
training. However, research on perception training for non-native vowels is still
scarce, and none of the previous vowel studies trained more than 5 vowels. In the
present study, the influence of training set sizes was investigated by training
native Japanese listeners to identify American English (AE) vowels. METHOD:
Twelve Japanese learners of English were trained 9 days either on 9 AE
monophthongs (fullset training group) or on the 3 more difficult vowels (subset
training group). Five listeners served as controls and received no training.
Performance of listeners was assessed before and after training as well as 3
months after training was completed. RESULTS: Results indicated that (a) fullset
training using 9 vowels in the stimulus set improved average identification by
25%; (b) listeners in both training groups generalized improvement to untrained
words and tokens spoken by novel speakers; and (c) both groups maintained
improvement after 3 months. However, the subset group never improved on untrained
vowels. CONCLUSIONS: Training protocols for learning non-native vowels should
present a full set of vowels and should not focus only on the more difficult
vowels.
PMID- 18055772
TI - Use of speaker intent and grammatical cues in fast-mapping by adolescents with
Down syndrome.
AB - PURPOSE: The authors asked whether adolescents with Down syndrome (DS) could fast
map novel nouns and verbs when word learning depended on using the speaker's
pragmatic or syntactic cues. Compared with typically developing (TD) comparison
children, the authors predicted that syntactic cues would prove harder for the
group with DS to use and that action verbs would be harder to fast-map than
nouns. METHOD: Twenty participants with DS, aged 12-18 years, and 19 TD
participants, aged 3-6 years, were matched on syntax comprehension and engaged in
4 fast-mapping tasks. Both comprehension and production of novel words were
assessed for each task. Through use of hierarchical regression models, hearing,
cognition, and working memory were considered as predictors of total
comprehension and production performance for each group. RESULTS: Both groups
used speaker intent in fast-mapping labels to object referents but performed more
poorly on fast-mapping verbs. Neither group appeared to use grammatical cues to
disambiguate the intended referent. Syntax comprehension was replicated as a
predictor of fast-mapping comprehension for the DS group. Syntax comprehension
and chronological age were replicated as predictors of TD fast-mapping.
CONCLUSION: Participants with DS had better recall for the object or action seen
most recently during speaker intent tasks. They had better recall for the object
presented first and the action presented last during grammatical cue tasks.
Response patterns may have depended on the structure of specific task paradigms.
Verb acquisition may be facilitated when either the action or label is familiar.
PMID- 18055771
TI - Vowel acoustic space development in children: a synthesis of acoustic and
anatomic data.
AB - PURPOSE: This article integrates published acoustic data on the development of
vowel production. Age specific data on formant frequencies are considered in the
light of information on the development of the vocal tract (VT) to create an
anatomic-acoustic description of the maturation of the vowel acoustic space for
English. METHOD: Literature searches identified 14 studies reporting data on
vowel formant frequencies. Data on corner vowels are summarized graphically to
show age- and sex- related changes in the area and shape of the traditional vowel
quadrilateral. CONCLUSIONS: Vowel development is expressed as follows: (a)
establishment of a language-appropriate acoustic representation (e.g., F1-F2
quadrilateral or F1-F2-F3 space), (b) gradual reduction in formant frequencies
and F1-F2 area with age, (c) reduction in formant-frequency variability, (d)
emergence of male-female differences in formant frequency by age 4 years with
more apparent differences by 8 years, (e) jumps in formant frequency at ages
corresponding to growth spurts of the VT, and (f) a decline of f0 after age 1
year, with the decline being more rapid during early childhood and adolescence.
Questions remain about optimal procedures for VT normalization and the exact
relationship between VT growth and formant frequencies. Comments are included on
nasalization and vocal fundamental frequency as they relate to the development of
vowel production.
PMID- 18055773
TI - Late language emergence at 24 months: an epidemiological study of prevalence,
predictors, and covariates.
AB - PURPOSE: The primary objectives of this study were to determine the prevalence of
late language emergence (LLE) and to investigate the predictive status of
maternal, family, and child variables. METHOD: This is a prospective cohort study
of 1,766 epidemiologically ascertained 24-month-old singleton children. The
framework was an ecological model of child development encompassing a wide range
of maternal, family, and child variables. Data were obtained using a postal
questionnaire. Item analyses of the 6-item Communication scale of the Ages and
Stages Questionnaire (ASQ; D. Bricker & J. Squires, 1999; J. Squires & D.
Bricker, 1993; J. Squires, D. Bricker, & L. Potter, 1997; J. Squires, L. Potter,
& D. Bricker, 1999) yielded a composite score encompassing comprehension as well
as production items. One SD below the mean yielded good separation of affected
from unaffected children. Analyses of bivariate relationships with maternal,
family, and child variables were carried out, followed by multivariate logistic
regression to predict LLE group membership. RESULTS: 13.4% of the sample showed
LLE via the ASQ criterion, with 19.1% using the single item of "combining words."
Risk for LLE at 24 months was not associated with particular strata of parental
educational levels, socioeconomic resources, parental mental health, parenting
practices, or family functioning. Significant predictors included familial
history of LLE, male gender, and early neurobiological growth. Covariates
included psychosocial indicators. CONCLUSION: Results are congruent with models
of language emergence and impairment that posit a strong role for neurobiological
and genetic mechanisms of onset that operate across a wide variation in maternal
and family characteristics.
PMID- 18055774
TI - Third graders' metalinguistic skills, reading skills, and stress production in
derived English words.
AB - PURPOSE: This study examined relationships between 3rd graders' metalinguistic
skills (phonological and morphological awareness), reading skills (decoding and
word identification), and accurate stress production in derived words with stress
changing suffixes. METHOD: Seventy-six typically developing 3rd-grade children
(M=8;8[years;months]) participated in a battery of tests measuring general oral
language ability, phonological and morphological awareness skills, reading
skills, and derived word production. RESULTS: Significant positive correlations
between stress accuracy in derived words and all other measures were found. Two
multiple regressions were run, one with stress accuracy as the outcome variable
and the other with decoding as the outcome variable. Metalinguistic and decoding
skills independently accounted for a significant proportion of the variance in
derived word stress production beyond that accounted for by age and general oral
language ability. When decoding was the outcome variable, accurate stress
production explained a significant amount of variance (11%) after phonological
and morphological awareness were controlled. CONCLUSION: The relationship between
accurate stress production and decoding appears to be strong and bidirectional.
Possibly, the stress accuracy measure taps into another level of phonological
awareness (i.e., morphophonological awareness), which develops later than typical
segmental measures of phonological awareness.
PMID- 18055775
TI - Intonation abilities of children with Williams syndrome: a preliminary
investigation.
AB - PURPOSE: The authors investigated expressive and receptive intonation abilities
in children with Williams syndrome (WS) and the relation of these abilities to
other linguistic abilities. METHOD: Fourteen children with WS, 14 typically
developing children matched to the WS group for receptive language (LA), and 15
typically developing children matched to the WS group for chronological age (CA)
were compared on a range of receptive and expressive intonation tasks from the
Profiling Elements of Prosodic Systems-Child version (PEPS-C) battery. RESULTS:
The WS group performed similarly to the LA group on all intonation tasks apart
from the long-item imitation task, on which the WS group scored significantly
lower than the LA group. When compared with the CA group, the WS group was
significantly poorer on all aspects of intonation. Whereas there were a number of
significant correlations between the intonation and language measures in the
control groups, in the WS group, there was only 1 significant correlation between
a PEPS-C task and one of the language measures. CONCLUSION: As a result of this
study, the authors concluded that children with WS have expressive and receptive
intonation abilities as expected for their level of language comprehension and
that intonation and other linguistic abilities in WS are not strongly related.
PMID- 18055776
TI - Analysis and control in children with SLI.
AB - PURPOSE: E. Bialystok and E. B. Ryan (1985) have outlined two operations,
analysis and control, which are required for grammaticality judgments. In this
model, analysis is involved in determining the grammaticality of a sentence, and
control is required so that irrelevant information is ignored. This study
examined these processes in specific language impairment (SLI). METHOD: Sixteen
children with SLI and 20 typically developing (TD) children between 8;6
(years;months) and 10;6 were presented with a grammatical judgment task. Analysis
was measured by recording children's decision times in determining
grammaticality. Control was assessed by examining accuracy for judgments made for
semantically odd sentences. RESULTS: Relative to the TD group, it was found that
the children with SLI took longer in judging sentences associated with the
process of analysis. Children with SLI were also found to have more difficulty,
in terms of accuracy, with items requiring control (e.g., semantically odd
sentences) than did the TD group. CONCLUSION: It is argued that the longer time
required for children with SLI to respond to semantically normal sentences
reflects a degree of difficulty with completing analysis. The SLI group's lower
level of accuracy on semantically odd sentences reflects a problem with a control
and is consistent with previously reported problems with cognitive inhibition in
SLI.
PMID- 18055777
TI - Construct validity of the MCDI-I Receptive Vocabulary scale can be improved:
differential item functioning between toddlers with autism spectrum disorders and
typically developing infants.
AB - PURPOSE: To evaluate whether the validity of the Receptive Vocabulary scale of
the MacArthur Communicative Development Inventory for Infants (MCDI-I; L. Fenson
et al., 1991), a parent-report measure of early vocabulary, could be improved for
children with autism spectrum disorders (ASD) by removing items that are biased.
METHOD: Logistic regression was used to identify biased items. Items are
considered biased if characteristics other than those being measured by the
instrument change the probability that a person will get an item correct.
Participants in the current study included 272 typically developing infants
younger than 18 months of age and 209 toddlers with ASD older than 18 months of
age. The age difference between the 2 groups is a result of matching on total
size of the receptive vocabulary. RESULTS: Twenty-five items were identified as
showing large bias. CONCLUSION: Deletion of these items from the test should
increase the degree to which the authors are measuring the size of the
respondent's mental lexicon with the total score from the MCDI-I.
PMID- 18055778
TI - Auditory-visual integration for speech by children with and without specific
language impairment.
AB - PURPOSE: It has long been known that children with specific language impairment
(SLI) can demonstrate difficulty with auditory speech perception. However, speech
perception can also involve the integration of both auditory and visual
articulatory information. METHOD: Fifty-six preschool children, half with and
half without SLI, were studied in order to examine auditory-visual integration.
Children watched and listened to video clips of a woman speaking [bi] and [gi].
They also listened to audio clips of [bi], [di], and [gi], produced by the same
woman. The effect of visual input on speech perception was tested by presenting
an auditory [bi] combined with a visually articulated [gi], which tends to alter
the phoneme percept (the McGurk effect). RESULTS: Both groups of children
performed at ceiling when asked to identify speech tokens in auditory-only and
congruent auditory-visual modalities. In the incongruent auditory-visual
condition, a stronger McGurk effect was found for the normal language group
compared with the children with SLI. CONCLUSION: Responses by the children with
SLI indicated less impact of visual processing on speech perception than was seen
with their normal peers. These results demonstrate that the difficulties with
speech perception by SLI children extend beyond the auditory-only modality to
include auditory-visual processing as well.
PMID- 18055780
TI - Cellular and functional optical coherence tomography of the human retina: the
Cogan lecture.
PMID- 18055781
TI - Simultaneous defocus integration during refractive development.
AB - PURPOSE: To determine the effects of simultaneously presented myopic and
hyperopic defocus on the refractive development of chicks. METHODS: A novel form
of dual-power lens was designed. Normal chicks 7 to 8 days of age were fitted
with a dual-power lens over one eye and a plano lens over the fellow (control)
eye. Dual-power lenses of +20/-10, +10/-10, +5/-10, and plano/-10-D were tested,
along with +10/-10-D lenses having differing ratios (50:50, 33:67, and 25:75) of
surface area devoted to each power. Ocular refraction and axial ocular component
dimensions were assessed after 6 days of lens wear, by retinoscopy and high
frequency ultrasound, respectively. In a separate experiment designed to test the
effect of dual-power lens wear on the refractive development of myopic eyes,
chicks were fitted with a dual-power +10/-10-D lens for 6 days, after myopia had
been induced by 6 days of -10-D lens wear. RESULTS: For each of the dual-power
lenses tested, the refractive end point of the treated eye was found to lie
between the two optical powers of the lens (but with the response weighted in
favor of the effect of myopic defocus). Refractive development appeared to be
modulated by the sign, dioptric magnitude, and relative contribution (relative
contrast) of the imposed optical defocuses through an integrative mechanism.
Chicks with myopia induced by -10-D lens wear recovered when treated with a +10/
10-D dual-power lens. CONCLUSIONS: The chick retina can discern both the sign and
the magnitude of optical defocus. Chick eyes were able to integrate blur cues
from simultaneously presented images focused either side of the photoreceptors
and to modulate their refractive development accordingly. This implies that the
complex nature of defocus in the visual environment may play a critical role in
the pathogenesis of myopia. The results suggest a rational method for arresting
or reversing the development of myopia, which may be useful in the treatment of
human myopia if the primate retina is also capable of responding to
simultaneously presented opposing defocus cues.
PMID- 18055782
TI - Long-term suppression of neurodegeneration in chronic experimental optic
neuritis: antioxidant gene therapy.
AB - PURPOSE: To test in mice with experimental autoimmune encephalomyelitis (EAE) a
strategy designed to treat patients at risk for axonal degeneration and
persistent visual loss from optic neuritis and multiple sclerosis. METHODS: The
authors cloned the human extracellular superoxide dismutase (ECSOD) or catalase
(CAT) gene into recombinant adenoassociated virus (AAV). Transgene expression was
evaluated by immunochemistry of infected RGC-5 cells and after intravitreal
injection of AAV-ECSOD or AAV-CAT, or both, into the right eyes of DBA/1J mice.
Control cells and left eyes were inoculated with AAV-GFP. Animals were sensitized
for EAE, followed by serial contrast-enhanced MRI for 6 months, and then were
euthanatized. The effects of ECSOD and CAT modulation on the EAE optic nerve were
gauged by computerized analysis of optic nerve volume, myelin fiber area, axonal
cell loss, and retinal ganglion cell (RGC) loss. RESULTS: Western blot analysis
of infected RGC-5 cells revealed that expression of ECSOD increased 15-fold and
that of CAT increased 3.5-fold. One month after intraocular injections, transgene
expression increased 4-fold for AAV-ECSOD and 3.3-fold for AAV-CAT. Six months
after intraocular injections and EAE sensitization, combination therapy with
ECSOD and catalase decreased RGC loss by 29%, optic nerve demyelination by 36%,
axonal loss by 44%, and cellular infiltration by 34% compared with the
contralateral control eyes inoculated with AAV-GFP. Compared with the normal
optic nerve, it limited RGC loss to 9%. CONCLUSIONS: Viral-mediated delivery of
antioxidant genes provides long-lasting suppression against neuronal and axonal
loss associated with permanent visual disability in patients with optic neuritis
and multiple sclerosis.
PMID- 18055783
TI - Mechanism of retinoblastoma tumor cell death after focal chemotherapy, radiation,
and vascular targeting therapy in a mouse model.
AB - PURPOSE: To evaluate the mechanism and timing of retinal tumor cell death in the
LH(BETA)T(AG) mouse model of retinoblastoma after treatment with vascular
targeting therapies and conventional therapies (focal chemotherapy and
radiation). METHODS: For vascular targeting therapy, 12- or 16-week-old mice were
treated with a single subconjunctival injection of either anecortave acetate (300
microg) or combretastatin A4 (1.5 mg). Eyes were analyzed at 1 day and 1 week
after treatment. Tumor cell death was evaluated using TUNEL assays or
immunofluorescence analysis of activated caspase 3 to detect apoptosis.
Histopathologic analysis was performed to identify areas of necrosis. For
conventional therapy, LH(BETA)T(AG) mice were treated with six serial
subconjunctival injections of focally delivered carboplatin chemotherapy (100
microg/delivery) or hyperfractionated external beam radiotherapy (EBRT; 15 Gy
total dose). Cell death was analyzed by TUNEL assay. RESULTS: The highest levels
of apoptotic cell death were seen 1 day after treatment in all treatment groups
compared with vehicle controls. At 1 week after treatment, apoptotic cell death
remained significantly elevated in the EBRT and carboplatin groups, but not after
vessel targeting therapy. No significant necrosis was detected by histology in
tumors of treated or of control eyes. CONCLUSIONS: Conventional therapies (focal
carboplatin chemotherapy and EBRT) and vascular targeting agents significantly
increase cell death through apoptosis, while not having a significant effect on
necrosis in this murine model of retinoblastoma. These studies will aid in the
optimization of delivery schemes of combined treatment modalities.
PMID- 18055784
TI - Somatic ablation of the Lrat gene in the mouse retinal pigment epithelium
drastically reduces its retinoid storage.
AB - PURPOSE: To generate a mouse model in which the Lrat gene is selectively
disrupted in the retinal pigment epithelium (RPE). To evaluate the effects on the
synthesis of retinyl esters and on the expression of other proteins involved in
the continuation of the visual cycle. METHODS: A mouse line in which part of the
first exon of the Lrat gene has been flanked by loxP sites, was generated and
used in the study (Lrat(L3/L3) mice). Heterozygous mice (Lrat(+/L3)) were crossed
with mice expressing Cre-recombinase under control of the tyrosinase-related
protein-1 (Tyrp1) promoter, which is active selectively in melanin-synthesizing
cells such as RPE cells. Accordingly, mice obtained from these crosses should
display an RPE-specific disruption of the Lrat gene (Lrat(rpe-/-)). In addition,
by crossing CMV-Cre transgenic mice with Lrat(L3/L3) animals, a germline null
Lrat knockout (Lrat(L-/L-) mice) was generated. RNA and protein expression,
endogenous retinoid levels, and electroretinogram (ERG) analyses were performed
on Lrat(rpe-/-) and Lrat(L-)/(L-) mice, to determine the effects of Lrat
disruption. Retinoid levels in nonocular tissues were also analyzed for
comparison. RESULTS: Analysis of RPE tissues from Lrat(rpe-/-) mice showed
absence of Lrat message, lack of Lrat protein expression and consequently a
reduced light response in ERG recordings. In addition, RPE cells from Lrat(rpe-/
) showed a strong reduction in their ability to synthesize all-trans retinyl
esters, whereas Lrat activity in other tissues known to process retinol was
comparable to control Lrat(L3/L3) animals. The Lrat(L-/L-) mice showed no
detectable Lrat message, lack of protein expression, and barely detectable ester
formation in RPE cells or several other relevant tissues analyzed. CONCLUSIONS:
Three Lrat mouse lines with genetic modifications were generated. The Lrat(L-)/(L
) mice displayed features similar to equivalent models previously reported by
others. The second mouse line (Lrat(rpe-/-)) displayed loss of Lrat function only
in the RPE. The third line possesses functional Lrat in all tissues, but part of
the Lrat coding gene was flanked by loxP sites (Lrat(L3/L3)). This feature allows
the disruption of this gene in any tissue of choice, by intercrossing with mice
in which Cre-recombinase expression is driven by an appropriate tissue-specific
promoter.
PMID- 18055785
TI - Novel retinal and cone photoreceptor transcripts revealed by human macular
expression profiling.
AB - PURPOSE: The macula is essential for visual acuity. It contains many more cone
photoreceptors than does the peripheral retina. In this study, macular gene
expression was compared with that in the rod-rich peripheral retina. METHODS: Two
millimeter foveomacular and four-millimeter macular punches from human donor
eyes, in addition to sections of midperipheral retina, were used to study
differential gene expression. Multiple microarray experiments were combined with
quantitative PCR and bioinformatic analyses. In the present study, the expression
of both known and previously unidentified retinal genes was determined. RESULTS:
Several macula enriched transcripts were revealed. Nuclear pore complex
interacting protein (NPIP) and eukaryotic translation initiation factor 2alpha
kinase (GCN2) were expressed at levels approaching that of red/green cone opsin
in the macula. The protein products of several genes highlighted using these
expression analyses were also localized in the retina. Both NPIP and histone
deacetylase 9 (HDAC9) proteins were detected in cone photoreceptor outer
segments. CONCLUSIONS: Characterizing macula enriched transcripts is an important
stepping-stone in understanding the molecular basis for visual acuity in the
retina. The approach also provides excellent candidates for diseases that affect
the macula and fovea such as age-related macular degeneration (AMD). Indeed,
several of these transcripts, such as NPIP and GCN2, have genomic loci that are
consistent with being candidate genes for AMD.
PMID- 18055786
TI - Late-onset cone photoreceptor degeneration induced by R172W mutation in Rds and
partial rescue by gene supplementation.
AB - PURPOSE: R172W is a common mutation in the human retinal degeneration slow (RDS)
gene, associated with a late-onset dominant macular dystrophy. In this study, the
authors characterized a mouse model that closely mimics the human phenotype and
tested the feasibility of gene supplementation as a disease treatment strategy.
METHODS: Transgenic mouse lines carrying the R172W mutation were generated. The
retinal phenotype associated with this mutation in a low-expresser line (L-R172W)
was examined, both structurally (histology with correlative immunohistochemistry)
and functionally (electroretinography). By examining animals over time and with
various rds genetic backgrounds, the authors evaluated the dominance of the
defect. To assess the efficacy of gene transfer therapy as a treatment for this
defect, a previously characterized transgenic line expressing the normal mouse
peripherin/Rds (NMP) was crossed with a higher-expresser Rds line harboring the
R172W mutation (H-R172W). Functional, structural, and biochemical analyses were
used to assess rescue of the retinal disease phenotype. RESULTS: In the wild-type
(WT) background, L-R172W mice exhibited late-onset (12-month) dominant cone
degeneration without any apparent effect on rods. The degeneration was slightly
accelerated (9 months) in the rds(+/-) background. L-R172W retinas did not form
outer segments in the absence of endogenous Rds. With use of the H-R172W line on
an rds(+/-) background for proof-of-principle genetic supplementation studies,
the NMP transgene product rescued rod and cone functional defects and supported
outer segment integrity up to 3 months of age, but the rescue effect did not
persist in older (11-month) animals. CONCLUSIONS: The R172W mutation leads to
dominant cone degeneration in the mouse model, regardless of the expression level
of the transgene. In contrast, effects of the mutation on rods are dose
dependent, underscoring the usefulness of the L-R172W line as a faithful model of
the human phenotype. This model may prove helpful in future studies on the
mechanisms of cone degeneration and for elucidating the different roles of Rds in
rods and cones. This study provides evidence that Rds genetic supplementation can
be used to partially rescue visual function. Although this strategy is capable of
rescuing haploinsufficiency, it does not rescue the long-term degeneration
associated with a gain-of-function mutation.
PMID- 18055787
TI - Pax6p46 binds chromosomes in the pericentromeric region and induces a mitosis
defect when overexpressed.
AB - PURPOSE: Haploinsufficiency and overexpression of the Pax6 gene are responsible
for defective central nervous system development. The purpose of the current work
was to identify and characterize a new potential role for the Pax6 transcription
factor in cellular proliferation in addition to its role at the level of gene
expression. METHODS: Expression vectors encoding tagged Pax6p46 protein were used
to observe directly protein localization during the cell cycle in cells lines.
Three dimensional (3-D) fluorescence microscopy imaging was used to observe in
vivo mitotic progression and chromosome dynamics to define the mitotic step
affected by p46DsRed as well as to validate endogenous p46 localization on
chromosomes in quail retinal cells. Video imaging was used to identify the
precise moment of onset of effects related to p46 overexpression in living cells.
A pulldown assay in HEK cells was used to identify a specific partner of p46.
RESULTS: Pax6p46 protein in transfected cells is localized on the chromosomes,
predominantly in a pericentromeric area, and its localization changes as mitosis
progresses. Overexpression of p46 protein induces incomplete chromatid
separation, resulting in defective mitosis at the onset of the anaphase. A
physical interaction between p46 and ESPL1 was identified. CONCLUSIONS: The
results suggest that Pax6 exerts an effect on mitosis through protein-protein
interactions with proteins localized on chromosomes. Supported by the observation
that p46 interacts with separase, an enzyme required for chromatid separation,
the authors propose that this interaction is responsible for the mitosis defect
observed in cells overexpressing Pax6.
PMID- 18055788
TI - A key role for calpains in retinal ganglion cell death.
AB - PURPOSE: The purpose of this study was to examine the importance of calpains in
retinal ganglion cell (RGC) apoptosis and the protection afforded by calpain
inhibitors against cell death. METHODS: Two different models of RGC apoptosis
were used, namely the RGC-5 cell line after either intracellular calcium influx
or serum withdrawal and retinal explant culture involving optic nerve axotomy.
Flow cytometry analysis with Annexin V/PI staining was used to identify RGC-5
cells undergoing apoptosis after treatment. TdT-mediated dUTP nick end labeling
(TUNEL) was used to identify cells undergoing apoptosis in retinal explant
sections under various conditions. Serial sectioning was used to isolate the cell
population of the ganglion cell layer (GCL). Western blotting was used to
demonstrate calpain cleavage and activity by detecting cleaved substrates.
RESULTS: In the RGC-5 cell line, the authors reported the activation of mu
calpain and m-calpain after serum starvation and calcium ionophore treatment,
with concurrent cleavage of known calpain substrates. They found that the
inhibition of calpains leads to the protection of cells from apoptosis. In the
second model, after a serial sectioning method to isolate the cells of the
ganglion cell layer (GCL) on a retinal explant paradigm, protein analysis
indicated the activation of calpains after axotomy, with concomitant cleavage of
calpain substrates. The authors found that inhibition of calpains significantly
protected cells in the GCL from cell death. CONCLUSIONS: These results suggest
that calpains are crucial for apoptosis in RGCs after calcium influx, serum
starvation, and optic nerve injury.
PMID- 18055789
TI - A common founder mutation of CERKL underlies autosomal recessive retinal
degeneration with early macular involvement among Yemenite Jews.
AB - PURPOSE: To investigate the genetic basis and clinical manifestations of a
characteristic form of retinal degeneration in the Yemenite Jewish population.
METHODS: Haplotype analysis for all known genes and loci underlying autosomal
recessive nonsyndromic retinal degeneration was performed in a Yemenite Jewish
family segregating autosomal recessive severe retinal degeneration. The causative
mutation was detected by direct sequencing of the underlying gene, and its
prevalence in additional affected and unaffected Yemenite Jews was determined.
Patients who were homozygous for this mutation underwent ophthalmic evaluation,
including funduscopy, electroretinography, electro-oculography, perimetry, and
color vision testing. RESULTS: In the studied Yemenite Jewish family, we found
evidence for linkage to the CERKL gene. Direct sequencing revealed a novel
homozygous splice-site mutation, c.238+1G>A. An in vitro splicing assay
demonstrated that this mutation leads to incorrect splicing. c.238+1G>A was found
to cause retinal degeneration in six additional Yemenite Jewish families. The
carrier frequency of this mutation in the Yemenite Jewish population is 4.4%. All
c.238+1G>A homozygotes manifest widespread progressive impairment of rod and cone
function with early macular involvement. CONCLUSIONS: c.238+1G>A is the second
reported mutation of CERKL and is a prevalent founder mutation that underlies
approximately 33% of autosomal recessive retinal degeneration cases in the
Yemenite Jewish population. It is associated with a characteristic retinal
degeneration phenotype with early macular involvement, concomitant progression of
rod and cone impairment, and characteristic fundus findings. The identification
of this mutation and phenotype will facilitate molecular diagnosis, carrier
screening, and genetic counseling in the Yemenite Jewish population.
PMID- 18055790
TI - Glaucoma-associated CYP1B1 mutations share similar haplotype backgrounds in POAG
and PACG phenotypes.
AB - PURPOSE: To understand the involvement of the CYP1B1 gene in cases of primary
open-angle (POAG) and primary angle-closure (PACG) glaucomas and obtain the
haplotype background of these mutations. METHODS: The entire coding region of
CYP1B1 was screened by resequencing in 224 unrelated cases of POAG (n = 134) and
PACG (n = 90) and 200 ethnically matched normal control subjects from Indian
populations. Six intragenic single nucleotide polymorphisms (SNPs) in CYP1B1 (
13T>C, R48G, A119S, V432L, D449D, and N453S) were used to generate haplotype data
for the cases and controls and linkage disequilibrium (LD) and haplotype analysis
were performed with Haploview software, which uses the EM (expectation
maximization) algorithm. RESULTS: The frequency of CYP1B1 mutations was higher
among POAG (18.6%; 95% CI, 12.9-26.1) than PACG (11.1%; 95% CI, 6.1-19.3) cases.
There was a marked allelic heterogeneity, and the Arg368His was the most
prevalent mutation across both the phenotypes. The spectrum of CYP1B1 mutations
was largely similar across different POAG populations. Haplotypes generated with
intragenic SNPs indicated the C-C-G-G-T-A to be a risk haplotype associated with
CYP1B1 mutations in POAG (P = 0.006) and PACG (P = 0.043), similar to that
observed in cases of primary congenital glaucoma worldwide. CONCLUSIONS: The
results demonstrate an involvement of CYP1B1 in a proportion of POAG and PACG
cases that should be explored further. The similar haplotype background of these
mutations is indicative of their common origin across multiple glaucoma
phenotypes.
PMID- 18055792
TI - Early structural status of the eyes of healthy term neonates conceived by in
vitro fertilization or conceived naturally.
AB - PURPOSE: To evaluate the effects of in vitro fertilization (IVF) on early
development of the eye in full-term healthy infants. METHODS: A case-control
study was performed. The study sample included full-term infants born from March
1 to August 14, 2006, in the Neonatal Department of Helen Schneider Women's
Hospital, Rabin Medical Center. Data were collected on sex, gestational age,
birth weight, Apgar score, head circumference, body length, and mode of
conception (IVF/natural). A full ophthalmologic examination was performed,
including measurement of intraocular pressure, keratometry, ultrasound biometry,
pachymetry, and funduscopy. RESULTS: Sixty-six infants (132 eyes) were examined;
32 were conceived by IVF, and 34 were conceived naturally. Girls accounted for
56% of the IVF group and 44% of the natural conception group. There were no
statistically significant differences between the groups in sex, gestational age,
head circumference, intraocular pressure, axial length, anterior chamber depth,
and lens thickness. The IVF infants had lower birth weight and body length than
the infants born by natural conception (P = 0.032, t-test). Their keratometric
and pachymetric values were also higher, but when birth weight and length were
controlled, this difference remained statistically significant only for infants
with a birth weight of less than 3000 g and a body length of less than 48.5 cm.
CONCLUSIONS: IVF apparently has no effect on early development of the eyes in
full-term infants. The steeper corneal curvature and greater central corneal
thickness in a subset of smaller IVF infants may reflect delayed corneal
maturation.
PMID- 18055791
TI - A novel form of transducin-dependent retinal degeneration: accelerated retinal
degeneration in the absence of rod transducin.
AB - PURPOSE: Rhodopsin mutations account for approximately 25% of human autosomal
dominant retinal degenerations. However, the molecular mechanisms by which
rhodopsin mutations cause photoreceptor cell death are unclear. Mutations in
genes involved in the termination of rhodopsin signaling activity have been shown
to cause degeneration by persistent activation of the phototransduction cascade.
This study examined whether three disease-associated rhodopsin substitutions
Pro347Ser, Lys296Glu, and the triple mutant Val20Gly, Pro23His, Pro27Leu (VPP)
caused degeneration by persistent transducin-mediated signaling activity.
METHODS: Transgenic mice expressing each of the rhodopsin mutants were crossed
onto a transducin alpha-subunit null (Tr(alpha)(-/-)) background, and the rates
of photoreceptor degeneration were compared with those of transgenic mice on a
wild-type background. RESULTS: Mice expressing VPP-substituted rhodopsin had the
same severity of degeneration in the presence or absence of Tr(alpha).
Unexpectedly, mice expressing Pro347Ser- or Lys296Glu-substituted rhodopsins
exhibited faster degeneration on a Tr(alpha)(-/-) background. To test whether the
absence of alpha-transducin contributed to degeneration by favoring the formation
of stable rhodopsin/arrestin complexes, mutant Pro347Ser(+), Tr(alpha)(-/-) mice
lacking arrestin (Arr(-/-)) were analyzed. Rhodopsin/arrestin complexes were
found not to contribute to degeneration. CONCLUSIONS: The authors hypothesized
that the decay of metarhodopsin to apo-opsin and free all-trans-retinaldehyde is
faster with Pro347Ser-substituted rhodopsin than it is with wild-type rhodopsin.
Consistent with this, the lipofuscin fluorophores A2PE, A2E, and A2PE-H(2), which
form from retinaldehyde, were elevated in Pro347Ser transgenic mice.
PMID- 18055793
TI - Slit lamp-simulated oblique flashlight test in the detection of narrow angles in
Chinese eyes: the Liwan eye study.
AB - PURPOSE: To assess a modified slit lamp-simulated oblique flashlight test in the
identification of persons with suspected angle closure. METHODS: Standard oblique
flashlight test (SOFT) and a modified slit lamp-simulated flashlight test (SSFT)
were performed on participants identified as primary angle closure suspects and
controls from a population-based study. SOFT graded the iris shadow on the nasal
iris as shallow, medium, and deep. SSFT measured the length of iris shadow and
corneal diameter by a slit lamp graticule eyepiece after standardizing the
illumination parallel to the iris plane. RESULTS: SOFT yielded sensitivity and
specificity of 76.3% and 80.7% for the detection of eyes with two or more
quadrants of pigmented trabecular meshwork not observed on static gonioscopy.
Proportions of subjects in the "shallow" category increased from 9.6% in Shaffer
angle width grade 4 to 82.3% in grade 0 and were 72.1% in those with steep, 56.7%
in those with plateau, and 13.9% in those with regular iris profiles. SSFT
yielded 84.8% sensitivity and 76.7% specificity using a cutoff of 0.18 for the
ratio between iris shadow length and corneal diameter. This ratio was
monotonically associated with the axial anterior chamber depth, and it was
significantly greater in eyes with Shaffer angle width grade lower than 2 and
significantly less in eyes with Shaffer angle width grades 3 and 4.
Reproducibility of SSFT was high (paired t-test; P > 0.05). CONCLUSIONS: More
accurate measurement by controlling illumination and following a more precise
method to measure shadow formation may improve the performance characteristics of
this test. However, this method does not appear appropriate for community-based
screening because of its relatively low specificity.
PMID- 18055794
TI - Circulating hematopoietic stem cells in patients with neovascular age-related
macular degeneration.
AB - PURPOSE: Circulating hematopoietic stem cells (HSCs) appear to have roles in the
formation of choroidal neovascularization (CNV) in age-related macular
degeneration (AMD). This study was conducted to investigate whether the number or
function of HSCs plays a role in neovascular AMD. METHODS: Eighty-one patients
with neovascular AMD who underwent comprehensive fundus examinations every 3
months were included. The number of CD34(+) HSCs isolated from peripheral blood
was counted by flow cytometry. Serum cytokine levels were assessed by enzyme
linked immunosorbent assay. To examine the function of circulating HSCs,
mononuclear cells were cultured and then colony forming unit (CFU-EC) and
migration were measured. RESULTS: The number of circulating CD34(+) HSCs was
significantly increased in the patients with active CNV without major systemic
diseases (stable: 3.8 +/- 0.3 cells/microL, active: 5.5 +/- 0.7 cells/microL,
stable versus active: P < 0.05). The number of HSCs correlated positively with
the erythropoietin serum level (r = 0.47, P = 0.002). Although there was no
significant difference in the CFU-EC between the patients with CNV and the
control subjects, a significant decrease of CFU-EC was observed in the patients
with bilateral or larger CNV. CONCLUSIONS: The findings suggest that CD34(+) HSCs
may be recruited from bone marrow through a signal from active CNV. Furthermore,
HSCs may play a role in the severity of CNV.
PMID- 18055795
TI - New tools for the evaluation of toxic ocular surface changes in the rat.
AB - PURPOSE: To assess the usefulness of noninvasive combined technologies used to
observe ocular surface changes in toxicology studies. METHODS: Benzalkonium
chloride (BAC) at 0.01%, 0.1%, 0.25%, and 0.5% was applied to rat corneas for 11
days. The eye was evaluated macroscopically from day (D)0 to D52. The cornea was
examined with the slit lamp, a fluorescein test was performed, and a confocal
microscope was used in vivo to calculate corneal thickness, score corneal
epithelial and endothelial defects, and quantify corneal stromal inflammation and
neovascularization. Conjunctival impression and brush cytology specimens were
taken for labeling with MUC-5AC antibodies and sub-G1 peak analysis by flow
cytometry, respectively. Histologic analyses were performed on D11. RESULTS:
Although macroscopic and slit lamp examinations revealed signs of ocular
irritation in the 0.25% and 0.5% BAC-treated eyes only, in vivo confocal
microscopy revealed epithelial defects in the 0.01% and 0.1% BAC-treated corneas,
and sub-G1 peak analyses showed increased apoptosis for all the BAC
concentrations on D8 and D11. BAC at 0.25% and 0.5% induced increased corneal
thickness, loss of goblet cells, reversible corneal inflammation, and persistent
neovascularization. CONCLUSIONS: Sub-G1 peak analysis of conjunctival brushings,
in conjunction with in vivo confocal microscopy of the cornea and immunolabeling
of conjunctival imprints, constitutes a noninvasive, reliable, and sensitive tool
to evaluate toxic drug-induced ocular surface damage in rats, in addition to
standard clinical assessments and at a wide range of concentrations, including
the lowest ones. This study is consistent with the international strategy aimed
at reducing the use of animals and refining animal toxicologic models.
PMID- 18055796
TI - Effects of organ culture and Optisol-GS storage on structural integrity,
phenotypes, and apoptosis in cultured corneal epithelium.
AB - PURPOSE: A previous report has described the use of eye bank storage of cultured
human limbal epithelial cells (HLECs) to provide a reliable source of tissue for
treating limbal stem cell deficiency. In the present study, conventional organ
culture (OC) storage and Optisol-GS (Bausch & Lomb, Irvine, CA) storage of
cultured HLECs were compared. METHODS: Three-week HLEC cultures were either organ
cultured at 31 degrees C or 23 degrees C or stored in Optisol-GS at 5 degrees C
in a closed container for 1 week. Morphology was studied by light microscopy and
transmission electron microscopy, and phenotypic characterization was assessed by
immunohistochemistry. Apoptosis was evaluated by real-time RT-PCR microarray
analysis, caspase-3 immunohistochemistry, and terminal deoxynucleotidyl
transferase-mediated dUTP nick-end labeling (TUNEL). RESULTS: The ultrastructure
was preserved at 23 degrees C, while storage at 31 degrees C and 5 degrees C was
associated with enlarged intercellular spaces, separation of desmosomes, and
detachment of epithelial cells. Cultured HLECs remained undifferentiated in all
storage conditions. The expression of the antiapoptotic gene BCL2 was prominently
upregulated in storage at 23 degrees C and 5 degrees C. Downregulation of BCL2A1,
BIRC1, and TNF and upregulation of CARD6 in 23 degrees C and 5 degrees C storage
conditions suggests a reduction in nuclear factor-kappaB activity. No significant
increase in cleaved caspase-3 and TUNEL staining was observed in response to eye
bank storage, and the labeling indices of cleaved caspase-3 (range, 0.0%-4.7%)
and TUNEL (range, 0.0%-7.8%) were low. CONCLUSIONS: These data indicate that OC
storage of cultured HLECs at ambient temperature is superior to OC storage at 31
degrees C and Optisol-GS storage at 5 degrees C and that apoptosis is minimal
after eye bank storage of cultured HLECs.
PMID- 18055797
TI - Effect of riboflavin-UVA-induced collagen cross-linking on intraocular pressure
measurement.
AB - PURPOSE: Corneal collagen cross-linking (CCL) with riboflavin and ultraviolet A
irradiation has recently been introduced for treatment of corneal ectasia. Yet a
CCL-induced increase in corneal rigidity may interfere with intraocular pressure
(IOP) measurements. In an investigation of the effect of CCL on the accuracy of
IOP measurements, IOP readings before and after CCL were compared. METHODS: Ten
human eye bank corneas were de-epithelialized and mounted on an artificial
anterior chamber. The hydrostatically controlled reference pressure in the
chamber was adjusted from 10 to 40 mm Hg in 5-mm Hg steps. IOP was measured by
Goldmann applanation tonometry (GAT; Haag Streit, Konitz, Switzerland), dynamic
contour tonometry (DCT; Pascal tonometer; Ziemer Ophthalmics, Port, Switzerland),
and the TonoPen XL (TP; Tono-Pen XL, Medtronic, Jacksonville, FL) before and
after CCL, which was performed with a 0.1% riboflavin solution and 30 minutes of
UVA irradiation. RESULTS: Before CCL, GAT, and DCT readings showed an excellent
concordance with the manometric reference pressure, whereas TP overestimated the
true IOP. After CCL, the reliability of IOP readings decreased with all three
tonometers. This decrease resulted in a slight overestimation of mean IOP, but
there were also some potentially dangerous underestimations in some individual
corneas. The mean (+/-SD) difference between IOP readings after and before CCL
was +1.8 (3.5) mm Hg for DCT, +2.9 (6.1) mm Hg for GAT, and +3.1 (8.3) mm Hg for
TP (P 0.05). CONCLUSIONS: These
data suggest that once trophozoites invade the cornea, MIP-133 production is
necessary to initiate corneal disease and plays an important role in the
subsequent steps of the pathogenic cascade of Acanthamoeba keratitis.
PMID- 18055810
TI - Macrophages are important determinants of acute ocular HSV-1 infection in
immunized mice.
AB - PURPOSE: To determine the effect of macrophage depletion on herpes simplex virus
type (HAV)-1 replication in the eye and on the establishment of latency in
trigeminal ganglia (TG) of immunized and ocularly infected mice. METHODS: BALB/c
mice were immunized with five HSV-1 glycoprotein DNA genes or were sham
immunized. The virulent HSV-1 strain KOS was used as a positive vaccine control.
Immunized mice were depleted of their macrophages by dichloromethylene
diphosphonate (Cl(2)MDP) injection. After ocular infection with the HSV-1 strain
McKrae, virus replication in the eye, blepharitis, corneal scarring, and
dermatitis were determined. Finally, the copy numbers of latency-associated
transcript (LAT) and CD4(+) and CD8(+) T-cell transcripts in the TGs of surviving
mice 30 days after infection were determined by RT-PCR. RESULTS: Depletion of
macrophages in immunized mice increased HSV-1 replication in the eye of infected
mice between days 1 and 5 after ocular infection. Depletion of macrophages did
not alter the HSV-1-induced death or corneal scarring in immunized mice.
Macrophage depletion, however, resulted in increased blepharitis in immunized
mice. Finally, macrophage depletion had no effect on the establishment of latency
in immunized mice, as the TGs from both depleted and mock-depleted mice were
negative for the presence of the LAT transcript. CONCLUSIONS: In immunized mice
during primary HSV-1 ocular infection, macrophages play an important role in
vaccine efficacy against HSV-1 replication in the eye and blepharitis in infected
mice. During the latent stage of HSV-1 infection, however, macrophage depletion
failed to have any observable effect on HSV-1 latency in the TGs of infected
mice.
PMID- 18055811
TI - Ocular pathogen or commensal: a PCR-based study of surface bacterial flora in
normal and dry eyes.
AB - PURPOSE: To compare the bacterial population of the ocular surface of normal and
dry eye subjects using conventional culture and 16S rDNA PCR. METHODS: Ninety-one
subjects were classified as normal (n = 57) or dry eye (n = 34) by using tear
break-up time, McMonnies survey, goblet cell density, and meibomian gland
assessment. Conventional bacterial culture and broad-range 16S rDNA PCR, cloning,
and DNA sequencing were used for bacterial identification. Repeated sampling was
performed in a subset of subjects over a 3-month period. The association between
goblet cell loss and bacterial counts in a subgroup of subjects was assessed.
RESULTS: Most of the bacteria identified by culture were coagulase negative
staphylococci, whereas molecular methods demonstrated a considerable number of
additional bacteria. Atypical ocular surface bacteria including Rhodococcus
erythropolis, Klebsiella oxytoca, and Erwinia sp., were identified in cases of
overt inflammation and, surprisingly, on the normal ocular surface. The same
bacteria remained on the ocular surface after repeated sampling. Increased
bacterial flora was associated with reduced goblet cell density. CONCLUSIONS:
Molecular analysis revealed a diverse ocular surface bacterial population. In
addition to the normal flora, various potentially pathogenic bacteria were
identified. The detection of known pathogens in both normal and dry eyes, with
minimal signs of infection, presents a diagnostic dilemma. It remains unknown
whether their presence is associated with inflammation and reduced goblet cell
density or whether they adversely affect the ocular surface predisposing it to
abnormal microbial colonization. In the absence of overt clinical infection, it
is unknown whether such results should prompt intervention with therapy.
PMID- 18055813
TI - Optimal lens epithelial cell proliferation is dependent on the connexin isoform
providing gap junctional coupling.
AB - PURPOSE: Gap junctions between epithelial cells are essential for normal lens
growth. In mice, knockout of Cx50 or targeted replacement of Cx50 with Cx46
(knockin) caused smaller lenses because of decreased epithelial cell
proliferation. However, it remains unclear whether Cx50 functionally contributes
to lens epithelial coupling during maximal proliferation on postnatal day 2 (P2)
and P3. To determine which connexins functionally contribute to epithelial cell
coupling and proliferation, junctional coupling from epithelial cells of wild
type and knockin mice was examined. METHODS: Epithelial cells were isolated from
wild-type or knockin mice at different developmental ages. Junctional currents
were measured by dual whole cell voltage clamp. Cell proliferation was assayed by
BrdU incorporation. Connexins were immunolocalized using specific antibodies.
RESULTS: Junctional currents between lens epithelial cells exhibited a
developmentally regulated sensitivity to quinine, a drug that blocks Cx50 gap
junctions, but not Cx43 or Cx46. Single-channel currents had a unitary
conductance of 210 pS, typical of Cx50. Immunocytochemical staining showed Cx43
and Cx50 were abundantly expressed in wild-type cells, and Cx46 replaced Cx50 in
knockin cells. A correlation between functional activity of Cx50 and maximal
proliferation was also found. In epithelial cells from P3 wild-type mice, there
was a high density of BrdU-labeled nuclei in both the central epithelium and the
equatorial epithelium, and 60% or more of total coupling was provided by Cx50. In
older cells, proliferation was greatly reduced, and the contribution of Cx50 to
total coupling was progressively reduced (45% or less on P12; 25% or less on
P28). Coupling between epithelial cells of Cx46 knockin mice was similar in
magnitude to that of wild-type mice but had pharmacologic and biophysical
characteristics of Cx46. This functional replacement of Cx50 with Cx46 was
correlated with 71% and 13% reductions in BrdU-labeled cells in the P3 central
epithelium and equatorial epithelium, respectively. CONCLUSIONS: These results
reconcile previous genetic studies showing that Cx50 influences epithelial cell
proliferation, with numerous studies suggesting that Cx43 was the principal
epithelial cell connexin. They further show that the contribution of Cx50 is
highest during peak postnatal proliferation but progressively declines with age
thereafter.
PMID- 18055812
TI - Autoimmune Th2-mediated dacryoadenitis in MRL/MpJ mice becomes Th1-mediated in IL
4 deficient MRL/MpJ mice.
AB - PURPOSE: MRL/MpJ mice of substrains MRL/MpJ-fas(+)/fas(+) (MRL/+) and MRL/MpJ
fas(lpr)/fas(lpr) (MRL/lpr) spontaneously develop autoimmune dacryoadenitis and
sialadenitis and are a model for the human disorder Sjogren syndrome. The
dacryoadenitis in both substrains appears to be Th2 in nature, with little IFN
gamma and substantial IL-4 at the site of lacrimal gland inflammation. METHODS:
MRL/MpJ mice with a defective IL-4 gene-both MRL/+-IL-4(tm)/IL-4(tm) (MRL/+/IL
4(tm)) and MRL/lpr-IL-4(tm)/IL-4(tm) (MRL/lpr-IL-4(tm))-that resulted in a loss
of IL-4 production were bred and evaluated for dacryoadenitis. RESULTS: MRL/+/IL
4(tm) and MRL/lpr/IL-4(tm) mice developed dacryoadenitis of similar onset,
appearance, and severity as found in MRL/MpJ mice with an intact IL-4 gene.
Immunohistochemistry examination revealed a substantially greater number of
inflammatory cells staining for IFN-gamma than for IL-13 in the dacryoadenitis of
IL-4-deficient MRL/MpJ mice (MRL/+/IL-4(tm), 66% vs. 0.8%, P = 0.001; MRL/lpr/IL
4(tm), 67% vs. 1.2%, P = 0.002). Real-time PCR demonstrated greater amounts of
IFN-gamma than IL-13 mRNA relative transcripts in lacrimal glands of MRL/lpr/IL
4(tm) mice (mean difference, 28.6; P = 0.035). Greater CD86 (B7-2) than CD80 (B7
1) expression was present in MRL/+/IL-4(tm) mice (11% vs. 3%, P = 0.003) and
MRL/lpr/IL-4(tm) mice (10% vs. 3%, P = 0.002). CONCLUSIONS: These results suggest
that a Th2 autoimmune process can be converted to a Th1 process in the absence of
IL-4.
PMID- 18055814
TI - DNase IIbeta distribution and activity in the mouse lens.
AB - PURPOSE: To map the cellular and subcellular distribution of DNase IIbeta
activity in the mouse lens. METHODS: DNase IIbeta-specific activity was
determined by assaying lens lysates prepared from wild-type or DNase IIbeta-null
mice. Regional nuclease activity was determined by microdissection of lens
samples or a tissue-imprinting assay. Subcellular distribution was determined by
density-gradient ultracentrifugation. RESULTS: DNase IIbeta transcripts increased
200-fold in abundance during fiber cell formation, and DNase IIbeta activity
accounted for approximately 50% of the acid nuclease activity in the cortical
fiber cells. Examination of lenses from DNase IIbeta-null mice confirmed that the
enzyme was required for denucleation. In wild-type lenses, nuclei were TUNEL
positive before denucleation, indicating that 3'-OH DNA ends had accumulated.
However, DNase IIbeta-mediated scission generates 3'-PO(4)(-) DNA ends only. This
paradoxical finding was explained by the presence of phosphatases that converted
the 3'-PO(4)(-) ends produced by DNase IIbeta into 3'-OH ends. DNase IIbeta
activity was strongest early in differentiation, where it was associated with the
lysosomal fraction. Later, an increasing proportion of DNase IIbeta activity was
found in the cytosol. CONCLUSIONS: DNase IIbeta activity correlated with and was
necessary for fiber denucleation and was most likely contained initially within
fiber cell lysosomes before release into the cytoplasm.
PMID- 18055815
TI - The effect of topical diclofenac on choroidal blood flow in early postoperative
pseudophakias with regard to cystoid macular edema formation.
AB - PURPOSE: To study the chronological change in choroidal blood flow (ChBFlow),
disruption of the blood-aqueous barrier, and incidence of cystoid macular edema
(CME) in early postoperative pseudophakic eyes, as well as the effect of
nonsteroidal anti-inflammatory drug (NSAID) eye drops on these phenomena.
METHODS: Fifty patients who underwent phacoemulsification and foldable
intraocular lens (IOL) implantation were randomized to receive either topical
diclofenac or fluorometholone for 5 postoperative weeks. An additional 20
subjects, with long-standing pseudophakia served as the control. The blood
aqueous barrier was examined by laser flarimetry and choroidal blood velocity
(ChBVel), volume (ChBVol), and ChBFlow by laser Doppler flowmetry (LDF) at 2 days
and 1, 2, and 5 weeks after surgery. The incidence and severity of CME were
evaluated by fluorescein angiography at 2 and 5 weeks after surgery. RESULTS:
Compared with patients taking diclofenac, those receiving fluorometholone showed
significantly reduced ChBVol at 2 weeks (0.38 +/- 0.08 vs. 0.32 +/- 0.07, P =
0.022) and ChBFlow at 1 (11.01 +/- 1.74 vs. 9.35 +/- 1.51, P = 0.003) and 2
(11.15 +/- 1.43 vs. 8.47 +/- 1.27, P = 0.000) weeks after surgery, as well as a
significantly elevated amount of anterior flare at 1 (8.9 +/- 2.2 vs. 24.4 +/-
18.9, P = 0.001) and 2 (9.2 +/- 3.5 vs. 16.7 +/- 12.3, P = 0.025) weeks after
surgery. The ChBVol and ChBFlow in the fluorometholone group, however, returned
to normal and was not different from the diclofenac group at 5 weeks after
surgery. The incidence of fluorescein angiographic CME trended to be higher (P =
0.08) at 2 weeks and was significantly higher (P = 0.001) at 5 weeks after
surgery in eyes with fluoromethalone than with diclofenac. CONCLUSIONS: Reduction
of ChBFlow, disruption of the blood-aqueous barrier, and incidence of CME in
early postsurgical pseudophakic eyes were more effectively prevented
chronologically in eyes treated with diclofenac than in those treated with
fluorometholone.
PMID- 18055816
TI - Mutation screening of 299 Spanish families with retinal dystrophies by Leber
congenital amaurosis genotyping microarray.
AB - PURPOSE: Leber Congenital Amaurosis (LCA) is one of the most severe inherited
retinal dystrophies with the earliest age of onset. This study was a mutational
analysis of eight genes (AIPL1, CRB1, CRX, GUCY2D, RPE65, RPGRIP1, MERTK, and
LRAT) in 299 unrelated Spanish families, containing 42 patients with initial
diagnosis of LCA: 107 with early-onset autosomal recessive retinitis pigmentosa
(ARRP; onset <10 years of age) and 150 with non-early-onset ARRP (onset, >10
years of age). METHODS: Samples were studied by using a genotyping microarray
(Asper Biotech, Ltd., Tartu, Estonia) followed by a family study in cases with
potential digenism/triallelism. RESULTS: The frequencies of alleles carrying
disease-causing mutations found in the authors'cohort using the chip were 23.8%
(20/84) for LCA with 13 families carrying mutations, 6.1% (13/214) for early
onset ARRP with 12 families carrying mutations, and 4.3% (13/300) for non-early
onset ARRP with 12 families carrying mutations. CRB1 was the most frequently
found mutated gene in affected Spanish families. Five families with anticipated
digenism or triallelism were further studied in depth. Digenism could be
discarded in all these cases; however, triallelism could not be ruled out.
CONCLUSIONS: CRB1 is the main gene responsible for LCA in the Spanish population.
Sequence changes p.Asp1114Gly (RPGRIP1), p.Pro701Ser (GUCY2D), and p.Tyr134Phe
(AIPL1) were found at similar frequencies in patients and control subjects. The
authors therefore suggest that these changes be considered as polymorphism or
modifier alleles, rather than as disease-causing mutations. The LCA microarray is
a quick and reasonably low-cost first step in the molecular diagnosis of LCA. The
diagnosis should be completed by conventional laboratory analysis as a second
step. This stepwise proceeding permits detection of novel disease-causing
mutations and identification of cases involving potential digenism/triallelism.
Previous accurate ophthalmic diagnosis was found to be indispensable.
PMID- 18055817
TI - MMP-14 and TIMP-2 overexpression protects against hydroquinone-induced oxidant
injury in RPE: implications for extracellular matrix turnover.
AB - PURPOSE: To investigate whether overexpression of MMP-14 and/or TIMP-2 would
overcome the effect of nonlethal oxidant injury with hydroquinone (HQ) on MMP-2
activity. METHODS: Human MMP-14 and TIMP2 cDNA were cloned into a mammalian
expression vector. Transient transfections were performed on human ARPE-19 cells.
The cells were incubated 48 hours after transfection with a nonlethal dose of HQ
for either 6 or 18 hours and then were collected for protein determination or RNA
isolation. MMP-2 protein and activity were determined by Western blot and
zymography. The extracellular matrix (ECM) components type I and type IV collagen
and laminin were analyzed by Western blot analysis and real-time PCR. RESULTS: HQ
for 6 hours modestly decreased MMP-2. MMP-2 recovered only after co
overexpression of MMP-14 and TIMP-2, but activity further decreased after HQ for
18 hours. MMP-14 or TIMP-2 overexpression alone contributed as much as the co
overexpression to the recovery of MMP-2 activity. MMP-2 protein seemed not to be
altered. Type I collagen and laminin transcriptional levels remained unaffected,
whereas type IV collagen transcripts decreased with HQ. Transfection with MMP-14
and/or TIMP-2 contributed to the return of type IV collagen levels to normal. On
the other hand, type I and IV collagens and laminin protein accumulated after HQ
treatment, an effect prevented by transfection. CONCLUSIONS: MMP-14 and TIMP2
contribute to the maintenance of adequate levels of MMP-2 activity in ARPE-19
cells after oxidant injury. In addition, changes in ECM components may result as
a consequence of MMP-2 activity and may be relevant to the progression of dry
AMD.
PMID- 18055818
TI - Insulin increases retinal hemorrhage in mild oxygen-induced retinopathy in the
rat: inhibition by riluzole.
AB - PURPOSE: Although hyperglycemia is likely the main stimulus for VEGF induction in
diabetic retinopathy (DR), a switch from oral hypoglycemic therapy to parenteral
insulin injection, despite producing better glucose control, sometimes
paradoxically aggravates DR. The induction of VEGF by insulin, as observed in
certain conditions, may be a plausible mechanism for this phenomenon. In the
present study, to determine the role of insulin in proliferative diabetic
retinopathy, the authors examined whether insulin treatment affected the outcome
of oxygen-induced retinopathy (OIR) in rats and whether the anti-amyotrophic
lateral sclerosis (ALS) drug riluzole with protein kinase C-inhibiting activity
can attenuate the effects of insulin. METHODS: To examine in vivo the effects of
insulin, mild OIR was produced in 7-day-old rat pups by raising them with a
nursing mother in a 55% oxygen environment for 5 days. After that, rat pups were
injected daily with subcutaneous saline or insulin (4 U/d) with or without
additional riluzole injection (10 mg/kg/d, intraperitoneally) for 5 days in room
air. RESULTS: Insulin treatment substantially increased VEGF levels, extraretinal
vessel formation, matrix metalloproteinase activity, and the extent of retinal
hemorrhage in rat pups with mild OIR compared with saline controls. Riluzole
substantially reduced all these changes induced by insulin. CONCLUSIONS: In the
present study, OIR was used as a surrogate model for DR because the core
pathology and the VEGF-mediated mechanism are shared by both conditions. As in
human DR, in rat pups with mild OIR, insulin treatment aggravated retinal
hemorrhage, which was blocked by riluzole. Riluzole is a Food and Drug
Administration-approved anti-ALS drug with a favorable adverse effect profile. It
may be useful as an anti-VEGF treatment in DR, especially in reducing the retinal
hemorrhage that often occurs shortly after the switch from oral hypoglycemics to
parenteral insulin.
PMID- 18055819
TI - Upregulation of VEGF in murine retina via monocyte recruitment after retinal
scatter laser photocoagulation.
AB - PURPOSE: This study was conducted to determine changes in the expression of
vascular endothelial growth factor (VEGF) in murine retina after retinal scatter
laser photocoagulation. METHODS: Photocoagulation (PHC) was performed on wild
type C57BL/6J mice using a diode laser, and the eyes were enucleated 1, 2, 3, 4,
7, and 14 days after laser treatment. VEGF and monocyte chemoattractant protein
(MCP)-1 levels in the sensory retina and retinal pigmented epithelial (RPE) cells
in both tissues were measured by ELISA. The VEGF mRNA was measured by real-time
RT-PCR. Leukocyte infiltration into the RPE-choroid was determined by flow
cytometry. VEGF comparisons between mice subjected to PHC and those treated with
monocyte recruitment inhibitor (anti-MCP-1) were performed and statistically
analyzed. The expression of VEGF and MCP-1 in the retina was determined by
immunohistochemistry. RESULTS: VEGF protein levels significantly increased 1 day
after PHC in both the RPE-choroid and the sensory retina. VEGF concentrations
were maximum at day 3 after photocoagulation and stayed elevated until day 7. The
number of choroid-infiltrating macrophages was markedly increased in mice with
laser treatment compared with those without laser treatment. VEGF expression
decreased after treatment with neutralized antibody to monocyte recruitment. We
demonstrate that MCP-1 expression in the retina increased markedly after scatter
laser photocoagulation by immunohistochemistry and ELISA. CONCLUSIONS: Retinal
scatter laser photocoagulation induced upregulation of VEGF in the sensory retina
and RPE-choroid at an early period. The authors speculate that the major source
of VEGF in the retina after retinal scatter laser photocoagulation is the
recruited monocytes.
PMID- 18055820
TI - An assessment of the apex microarray technology in genotyping patients with Leber
congenital amaurosis and early-onset severe retinal dystrophy.
AB - PURPOSE: Leber congenital amaurosis (LCA) and early-onset severe retinal
dystrophy (EOSRD) are genetically heterogeneous, with 11 genes currently
implicated. The LCA chip may be used to interrogate many variants in one
hybridization reaction. The purpose of this study was to assess the utility of
this technology. METHODS: One hundred fifty-three patients with LCA and EOSRD
were screened using an array (Asper Ophthalmics, Tartu, Estonia) containing 344
published disease-causing variants and polymorphisms in eight genes: AIPL1,
GUCY2D, CRB1, CRX, RPGRIP1, RPE65, MERTK, and LRAT. One hundred thirty-six
probands underwent bidirectional sequencing of the full coding region of the
RPE65 gene. The same technique was also used to confirm CRB1 and AIPL1 mutations
initially identified with the Apex chip (Asper Ophthalmics). Single nucleotide
polymorphism (SNP) analysis within control populations was performed for two
variants, P701S and W21R, on the chip for GUCY2D. RESULTS: Of the possible
109,392 interrogations, 3,346 (3.06%) failed on one strand whereas 259 (0.47%)
failed on both. The chip reported mutations in 68 (44%) patients; 26 patients had
two alleles identified (17%). Direct sequencing of RPE65 showed no discrepancies,
whereas sequencing of AIPL1 and CRB1 revealed seven samples called erroneously.
The SNP analysis of both GUCY2D variants revealed equal prevalence in the EOSRD
panel and the normal population. Subsequent reanalysis, after excluding these
polymorphisms, revealed one (18.3%) or two (11.7%) mutations identified in 46
patients. When evaluated by diagnosis, 46% of patients with LCA had one or two
mutations identified, compared with 24% of patients with EOSRD. CONCLUSIONS: This
approach is a rapid and reasonably low-cost technique for identifying both
previously identified mutations and common polymorphisms. The addition of further
genes and mutations to the chip will improve its utility, though it is advised
that all results be checked by direct sequencing.
PMID- 18055821
TI - Identification of novel mutations in patients with Leber congenital amaurosis and
juvenile RP by genome-wide homozygosity mapping with SNP microarrays.
AB - PURPOSE: Leber congenital amaurosis (LCA) and juvenile retinitis pigmentosa (RP)
cause severe visual impairment early in life. Thus far, mutations in 13 genes
have been associated with autosomal recessive LCA and juvenile RP. The purpose of
this study was to use homozygosity mapping to identify mutations in known LCA and
juvenile RP genes. METHODS: The genomes of 93 consanguineous and
nonconsanguineous patients with LCA and juvenile RP were analyzed for homozygous
chromosomal regions by using SNP microarrays. This patient cohort was highly
selected, as mutations in the known genes had been excluded with the LCA mutation
chip, or a significant number of LCA genes had been excluded by comprehensive
mutation analysis. Known LCA and juvenile RP genes residing in the identified
homozygous regions were analyzed by sequencing. Detailed ophthalmic examinations
were performed on the genotyped patients. RESULTS: Ten homozygous mutations,
including seven novel mutations, were identified in the CRB1, LRAT, RPE65, and
TULP1 genes in 12 patients. Ten patients were from consanguineous marriages, but
in two patients no consanguinity was reported. In 10 of the 12 patients, the
causative mutation was present in the largest or second largest homozygous
segment of the patient's genome. CONCLUSIONS: Homozygosity mapping using SNP
microarrays identified mutations in a significant proportion (30%) of
consanguineous patients with LCA and juvenile RP and in a small number (3%) of
nonconsanguineous patients. Significant homozygous regions which did not map to
known LCA or juvenile RP genes and may be instrumental in identifying novel
disease genes were detected in 33 patients.
PMID- 18055822
TI - Photoreceptor protection against light damage by AAV-mediated overexpression of
heme oxygenase-1.
AB - PURPOSE: To investigate whether overexpression of the cytoprotective gene heme
oxygenase-1 (HO-1) in photoreceptors by gene delivery attenuates cellular injury
caused by intense light damage and to document the possible mechanisms of
protection. METHODS: Recombinant adeno-associated virus type 5 (rAAV5) expressing
the mouse HO-1 gene (mHO-1) was delivered to cyclic-light reared Sprague-Dawley
(SD) rats by subretinal injection. Three weeks after transfer of HO-1 gene,
animals were subjected to 2-hour intense light exposure then were returned to
darkness. Expression of HO-1, p53, p38, and cellular FLICE inhibitory protein (c
FLIP) at different times after intense light damage was evaluated by Western blot
analysis. HO-1 transgene expression, along with expression of c-fos and bcl-2,
was analyzed by immunohistochemistry. In addition, the protective effects of HO-1
were evaluated by determining the morphology of the retina. Finally, apoptosis in
photoreceptors was measured using TdT-dUTP terminal nick-end labeling (TUNEL) 24
hours after photic injury. RESULTS: Exogenous administration of HO-1 by gene
transfer led to HO-1 transgene expression in photoreceptors. Protection of retina
by HO-1 overexpression is evident from the partially preserved retina structure
and attenuated apoptosis in photoreceptors after photic injury. Concurrently,
overexpression of HO-1 was associated with a decrease in the expression of c-fos
and p53, an increase in the activation of p38 and bcl-2, and preserved the
expression of c-FLIP. CONCLUSIONS: Overexpression of HO-1 in photoreceptors
protected themselves from subsequent cellular damage caused by intense light
exposure. The anti-apoptotic mechanisms of HO-1 may be related to the induction
of p38, bcl-2, and c-FLIP and to the suppression of c-fos and p53.
PMID- 18055823
TI - The effects of intravitreous bevacizumab on retinal neovascular membrane and
normal capillaries in rabbits.
AB - PURPOSE: To evaluate the effects of intravitreous bevacizumab in a rabbit retinal
neovascularization model. METHODS: Twenty-four rabbits were divided into five
groups. Group A included four rabbits; all other groups included five rabbits
each. Group A received intravitreous VEGF only, and group E received
intravitreous bevacizumab only. In groups B, C, and D, bevacizumab was injected
at the same time, at day 2 and at week 1 after VEGF injection, respectively.
Follow-up evaluations continued for 3 weeks and included color fundus
photography, fluorescein angiography (FA), and optical coherence tomography
(OCT). Enucleated eyes were processed for hematoxylin and eosin (H&E) staining.
RESULTS: Intravitreous VEGF was associated with disc hyperemia, vascular
dilatation and tortuosity, and fluorescein leakage at the disc and in the
anterior chamber (AC) at day 2 and with formation of retinal neovascular
membranes (NVM) by week 1. At weeks 2 and 3, the NVM was replaced by a fibrotic
membrane and mild to moderate capillary nonperfusion. In groups B and C,
injection of bevacizumab was very effective in preventing or stopping fluorescein
leakage but was not able to prevent or reverse vascular dilatation and tortuosity
completely. In group D, bevacizumab injection resulted in severe capillary
nonperfusion at week 2. CONCLUSIONS: Intravitreous injection of VEGF in rabbits
results in florid retinal neovascularization within the first week, followed by
closure of normal capillaries by week 2. Early intravitreous injection of
bevacizumab can prevent these effects, whereas late injection may be associated
with more significant closure of normal capillaries. A sudden drop in effective
VEGF concentration may be responsible for the closure of the normal capillaries.
PMID- 18055824
TI - Antiangiogenic effects of bisphosphonates on laser-induced choroidal
neovascularization in mice.
AB - PURPOSE: To demonstrate that bisphosphonates inhibit laser-induced choroidal
neovascularization (CNV) in vivo and downregulate angiogenic gene expression in
retinal pigment epithelial cells in vitro. METHODS: Male C57BL/6 mice were
treated with intraperitoneal injections of alendronate, clodronate, or saline at
the onset (day 0) of experiments. CNV was induced by laser photocoagulation the
next day, and fluorescein angiography (FA) was performed on experimental days 7
and 14. Histologic and immunohistochemical examinations were performed on day 7.
ARPE-19 cells were grown on multi-plate wells coated with type I collagen to
induce the gene expression of VEGF and integrins. Alendronate or clodronate was
applied for 3 days, and real-time PCR was performed to measure VEGF-A, VEGF-B,
and VEGF-C and integrin-alphaV, integrin-beta1, and integrin-beta3. RESULTS:
Alendronate and clodronate significantly suppressed the size of laser-induced
CNV. Immunoreactivities for VEGF and integrin-alphaV were remarkably attenuated
with alendronate and mildly reduced with clodronate. Alendronate significantly
downregulated the gene expression profiles of VEGF and integrins, whereas
clodronate had no effect in ARPE-19 cells. CONCLUSIONS: Although only adverse
effects of bisphosphonate have been documented in the ophthalmologic literature,
some therapeutic effects of bisphosphonates, including antiangiogenesis, may be
expected in ocular diseases. Antiangiogenic mechanisms of bisphosphonates may
vary; further investigation is needed.
PMID- 18055825
TI - PDGF-C and -D induced proliferation/migration of human RPE is abolished by
inflammatory cytokines.
AB - PURPOSE: The role of growth factors and inflammation in regulating retinal
pigment epithelial (RPE) function is complex and still poorly understood. The
present study investigated human RPE cell proliferation and migration mediated by
platelet-derived growth factor (PDGF) and inflammatory cytokines. METHODS: Human
fetal RPE (hfRPE) cells were obtained as previously described. Gene expressions
of PDGF isoforms and their receptors were detected using real-time PCR. Protein
expression, activity, and localization of PDGFR-alpha and -beta were analyzed by
Western blot and immunohistochemistry. BrdU incorporation and wound healing
assays were used to test the effects of different PDGF isoforms and inflammatory
cytokines on hfRPE proliferation and migration. Annexin-V and phalloidin staining
were used to detect apoptosis and the actin cytoskeleton, respectively. RESULTS:
PDGF-C and PDGF-D proteins are expressed in native human adult RPE, and mRNA
levels are up to 100-fold higher than PDGF-A and -B. PDGFR-alpha and -beta
proteins are expressed in native adult RPE and hfRPE (mainly localized to the
apical membrane). In hfRPE, these receptors can be activated by PDGF-CC and -DD.
PDGF-CC, -DD, and -BB significantly increased hfRPE proliferation, whereas PDGF
DD, -BB, and -AB significantly increased cell migration. An inflammatory cytokine
mixture (TNF-alpha/IL-1beta/IFN-gamma) completely inhibited the stimulatory
effect of PDGF-BB, -CC, and -DD; in contrast, this mixture stimulated the
proliferation of choroidal cells. This inflammatory cytokine mixture also induced
apoptosis, significant disruption of actin filaments and zonula occludens (ZO-1),
and a decrease in transepithelial resistance. CONCLUSIONS: These results suggest
that proinflammatory cytokines in vivo can inhibit the proliferative effect of
PDGF on human RPE and, at the same time, stimulate the proliferation of choroidal
cells. They also suggest an important role of proinflammatory cytokines in
overcoming local proliferative/wound-healing responses, thereby controlling the
development of disease processes at the retina/RPE/choroid interface.
PMID- 18055826
TI - Characterization of Wnt signaling during photoreceptor degeneration.
AB - PURPOSE: The Wnt pathway is an essential signaling cascade that regulates
multiple processes in developing and adult tissues, including differentiation,
cellular survival, and stem cell proliferation. The authors recently demonstrated
altered expression of Wnt pathway genes during photoreceptor death in rd1 mice,
suggesting an involvement for Wnt signaling in the disease process. In this
study, the authors investigated the role of Wnt signaling in retinal
degeneration. METHODS: The Wnt signaling reporter mouse line Tcf-LacZ was crossed
with retinal degeneration rd1 mice, and beta-galactosidase expression was used to
localize Wnt signaling during photoreceptor death. To analyze the role of Wnt
signaling activation, primary mixed retinal cultures were prepared, and XTT and
TUNEL assays were used to quantify cell death. Luciferase reporter assays were
used to measure Wnt signaling. RESULTS: The canonical Wnt signaling pathway was
activated in Muller glia and the ganglion cell layer during rod photoreceptor
degeneration in rd1/Tcf-LacZ mice. Wnt signaling was confirmed in cultured
primary Muller glia. Furthermore, Wnt signaling activators protected
photoreceptors in primary retinal cultures from H(2)O(2)-induced oxidative
stress. The Wnt ligands Wnt5a, Wnt5b, Wnt10a, and Wnt13 were expressed in the
degenerating retina and are candidate Wnt signaling activators in vivo.
CONCLUSIONS: This study is the first demonstration that Wnt signaling is
activated in the degenerating retina and that it protects retinal cultures from
oxidative stress. These data suggest that Wnt signaling is a component of the
glial protective response during photoreceptor injury. Therefore, inducing Wnt
activation, alone or in combination with growth factors, may increase the
threshold for apoptosis and halt or delay further photoreceptor degeneration.
PMID- 18055827
TI - Triamcinolone acetonide-mediated oxidative injury in retinal cell culture:
comparison with dexamethasone.
AB - PURPOSE: To investigate the cytotoxicity of triamcinolone acetonide (TA) and
dexamethasone (DXM) in various types of cells in retinal cell culture. METHODS:
Primary rat retinal cell cultures were treated with 25 to 800 microg/mL TA (58
microM-1.8 mM) or DXM (48 microM-1.6 mM) for 12 to 24 hours. Cell survival and
death were assessed chemically by measuring cellular DNA contents using DNA
binding fluorescent dye and morphologically by propidium iodide staining.
Standard methods were used for immunocytochemistry, immunoblots, and ELISA
measurements. Retinal cellular oxidative stress was measured under a fluorescence
microscope using 5-(and-6)-carboxy-2',7'-difluorodihydrofluorescein diacetate.
Changes in the level of several antioxidative proteins were investigated using
immunoblots. RESULTS: Exposure to 100 to 800 microg/mL TA (0.23-1.8 mM) or 800
microg/mL DXM (1.6 mM) for 24 hours caused a significant reduction in the number
of retinal cells in culture, in a glucocorticoid receptor-independent manner. Of
cell types in retinal cell cultures, astrocytes were most sensitive to TA and
DXM. TA-induced cytotoxicity was mediated by oxidative stress. p38 kinase, c-Jun
N-terminal kinase (JNK), caspase-1, and caspase-3 were involved in oxidative
injury by TA. In addition, levels of antioxidative proteins increased after TA
exposure. CONCLUSIONS: TA induces oxidative injury to cultured retinal cells in a
glucocorticoid receptor-independent manner. These results suggest that TA has a
significantly higher toxic potential in retinal cell culture than more water
soluble DXM. For long-term anti-inflammatory effects, devices that are designed
for the sustained release of water-soluble steroids may be safer.
PMID- 18055828
TI - Glypican 4, a membrane binding protein for bactericidal/permeability-increasing
protein signaling pathways in retinal pigment epithelial cells.
AB - PURPOSE: Originally identified as a lipopolysaccharide binding protein with Gram
negative bactericidal activity in the leukocytes, bactericidal/permeability
increasing protein (BPI) has been shown to induce various effects in retinal
cells in vivo and in vitro. METHODS: The authors recently reported that BPI can
induce ERK1/2 and Akt activity and that it increases DNA synthesis in the bovine
retinal pigment epithelial (RPE) and pericyte cells. The authors have extended
the characterization of BPI interaction with membrane proteins from bovine RPE.
Crude membrane pools from RPE were isolated, solubilized, and bound to rBPI(21)
affinity column. Bound proteins were separated by SDS-PAGE and stained with
Coomassie blue, which showed an intense band at 36 kDa consistently displaced by
rBPI(21). RESULTS: Tandem mass spectrometry of the 36-kDa band suggested that
cell surface protein glypican 4 (GPC4) serves as a putative BPI-binding protein.
Heparitinase, phosphatidylinositol-specific phospholipase C, and anti-GPC4
antibody suppressed BPI-induced ERK and Akt phosphorylation in bovine RPE.
Moreover, heparitinase also inhibited BPI actions on VEGF and PDGF-B mRNA
expression induced by H(2)O(2). CONCLUSIONS: These new findings suggest that GPC4
is a specific binding protein for BPI on RPE to mediate the activation of ERK1/2,
Akt, and the mRNA expressions of PDGF-B and VEGF.
PMID- 18055829
TI - Intraocular CNTF reduces vision in normal rats in a dose-dependent manner.
AB - PURPOSE: CNTF is a neuroprotective agent for retinal degenerations that can cause
reduced electroretinogram (ERG) amplitudes. The goal of the present study was to
determine the effects of intraocular delivery of CNTF on normal rat visual
function. METHODS: Full-field scotopic and photopic ERG amplitudes and spatial
frequency thresholds of the optokinetic response (OKR) of adult Long-Evans rats
were measured before and after intravitreous injection of CNTF or subretinal
delivery of adenoassociated virus-vectored CNTF (AAV-CNTF) into one eye. Visual
acuity was also measured by using the Visual Water Task in AAV-CNTF-injected
animals. Multiunit luminance thresholds were recorded in the superior colliculus
after CNTF injection, and the eyes were examined histologically. RESULTS: In eyes
injected with a high dose of CNTF, ERG amplitudes and OKR thresholds measured
through CNTF-injected eyes were decreased by 45% to 70% within 6 days after
injection. ERG amplitudes had begun to recover by 21 days, whereas OKR thresholds
only began to recover after 56 days. Neither OKR thresholds nor ERG amplitudes
fully recovered until 90 to 100 days. When measured in the superior colliculus at
2 weeks after CNTF injection, luminance thresholds were elevated by 0.35 log
units. In AAV-CNTF-injected eyes, OKR thresholds, and visual acuity were reduced
by approximately 50% for at least 6 months, and scotopic and photopic ERG b-waves
were reduced by 30% to 50%. Photoreceptor loss occurred in the injected regions
in some of the eyes. By contrast, comparison of dose-response analysis with a
dose-response study of light damage strongly suggests that therapeutic doses of
CNTF exist that do not suppress ERG responses. CONCLUSIONS: Intraocular delivery
of CNTF, which preserves photoreceptors in animal models of retinal degeneration,
impairs visual function in normal rats at very high doses, but not at lower doses
that still provide protection from constant light damage.
PMID- 18055830
TI - Basal calcium entry in retinal pigment epithelial cells is mediated by TRPC
channels.
AB - PURPOSE: Ca(2+) is a major regulator of cell function. In the retinal pigment
epithelium (RPE), intracellular free Ca(2+) concentration ([Ca(2+)](i)) is
essential for the maintenance of normal retinal function. Therefore, accurate
control of [Ca(2+)](i) is vital in these cells. Because Ca(2+) is permanently
extruded from the cytosol, RPE cells need a basal Ca(2+) entry pathway that
counteracts this Ca(2+) efflux. The purpose of this study was to identify the
molecular basis of basal Ca(2+) entry into the RPE. METHODS: [Ca(2+)](i) was
measured using Fura-2-loaded ARPE-19 cells. The expression pattern of TRPC
channels was investigated by RT-PCR with RNA extracted from ARPE-19 cells and
freshly isolated RPE cells from human donor eyes. RESULTS: In most cells, basal
[Ca(2+)](i) is highly controlled by cell membranes that are only slightly
permeable to Ca(2+) and by the activity of Ca(2+) pumps and transporters. The
authors show here that RPE cells have a basal Ca(2+) conductance that is dose
dependently blocked by La(3+). Basal [Ca(2+)](i) was also strongly reduced by the
TRP channel blockers Gd(3+), Ni(2+), 2-APB, and SKF96365 and was insensitive to
blockers of other Ca(2+) channels. In confirmation of this pharmacologic profile,
RPE cells expressed TRPC1 and TRPC4 channels, as shown by RT-PCR experiments.
CONCLUSIONS: Ca(2+) is needed for several permanently occurring regulatory
processes in RPE cells. The Ca(2+) influx pathway identified in this study is
essential to define a resting basal [Ca(2+)](i). This resting [Ca(2+)](i) may
contribute, for example, to basal cytokine secretion essential for the
maintenance of normal retinal function.
PMID- 18055831
TI - Is optical coherence tomography really a new biomarker candidate in multiple
sclerosis?--A structural and functional evaluation.
AB - PURPOSE: To assess the structural and functional status of patients with multiple
sclerosis (MS) without a history of optic neuritis. METHODS: Thirty-nine patients
with MS who had reported no visual symptoms before and after the time of MS
diagnosis were included. Thirty-eight healthy subjects were included as a control
group. Retinal nerve fiber layer (RNFL) thickness was determined by optical
coherence tomography. Pattern visual evoked potentials (PVEP), full-field
electroretinogram (ERG), and multifocal electroretinogram (mfERG) were performed.
RESULTS: There was a significant reduction (P = 0.011) only in temporal RNFL
thickness in patients with MS. P(100) latency was significantly delayed with both
60-min arc checks (P < 0.001) and 15-min arc checks (P < 0.001); however, P(100)
amplitude was significantly reduced only in 60-min arc checks (P = 0.026). Rod
response b-wave implicit time and standard combined response a- and b-wave
implicit times were significantly delayed in patients with MS. Patients with MS
with a delayed P(100) latency (21/39; 53.8%) had significantly reduced cone
response b-wave amplitude and significantly delayed cone response a- and b-wave
implicit times in ERG. mfERG results did not differ between MS and control
subjects and between patients with a delayed and a normal P(100) latency. Pearson
correlations between RNFL thickness and P(100) amplitude and latency in patients
with MS were not significant (P > 0.05). CONCLUSIONS: There is no correlation
between RNFL thickness and P(100) response in patients with MS. PVEP seems to be
a more reliable biomarker in determining visual pathway involvement in patients
with no history of optic neuritis.
PMID- 18055832
TI - Bidirectional modulation of primary visual cortex excitability: a combined tDCS
and rTMS study.
AB - PURPOSE: In the motor cortex (M1), transcranial direct current stimulation (tDCS)
can effectively prime excitability changes that are evoked by a subsequent train
of repetitive transcranial magnetic stimulation (rTMS). The authors examined
whether tDCS can also prime the cortical response to rTMS in the human visual
cortex. METHODS: In nine healthy subjects, the authors applied tDCS (10 minutes;
+/-1 mA) to the occipital cortex. After tDCS, they applied a 20-second train of 5
Hz rTMS at 90% of phosphene threshold (PT) intensity. A similar rTMS protocol had
previously demonstrated a strong priming effect of tDCS on rTMS-induced
excitability changes in M1. PTs were determined with single-pulse TMS before and
immediately after tDCS and twice after rTMS. RESULTS: Anodal tDCS led to a
transient decrease in PT, and subsequent 5 Hz rTMS induced an earlier return of
the PT back to baseline. Cathodal tDCS produced a short-lasting increase in PT,
but 5 Hz rTMS did not influence the tDCS-induced increase in PT. In a control
experiment on four subjects, a 20-second train of occipital 5 Hz rTMS left the PT
unchanged, whereas a 60-second train produced a similar decrease in PT as anodal
tDCS alone. CONCLUSIONS: Compared with previous work on the M1, tDCS and rTMS of
the visual cortex only produce short-lasting changes in cortical excitability.
Moreover, the priming effects of tDCS on subsequent rTMS conditioning are
relatively modest. These discrepancies point to substantial differences in the
modifiability of human motor and visual cortex.
PMID- 18055833
TI - The oscillatory potentials of the dark-adapted electroretinogram in retinopathy
of prematurity.
AB - PURPOSE: To study the development of the electroretinographic (ERG) oscillatory
potentials (OPs) in two rat models of ROP and in human subjects with a history of
ROP. METHODS: Sprague-Dawley rats (n = 36) were studied longitudinally. Rat
models of ROP were induced, either by exposure to alternating 50%/10% oxygen
(50/10 model) from postnatal day (P) 0 to P14 or by exposure to 75% oxygen (75
model) from P7 to P14. Control rats were reared in room air. Infant and adult
human subjects with and without a history of ROP (n = 91) were also studied. Dark
adapted ERGs were recorded and filtered to demonstrate the OPs. Discreet Fourier
transform (DFT) allowed evaluation of the OP power spectrum. OP energy (E),
dominant frequency (F(peak)), and sensitivity (log i(1/2)) were evaluated.
RESULTS: In 50/10 model rats, E was low compared with that in the 75 model rats
and control animals. F(peak) (approximately 95 Hz) did not vary with age or
group. Intriguingly, log i(1/2) in 75 model rats was greater than that in
controls or 50/10 model rats. Human adults with a history of ROP had lower-energy
OPs than did the control adults, but infants with a history of ROP had higher
energy OPs than did the control infants. F(peak) was lower (approximately 120 Hz)
in infants than in adults (approximately 130 Hz). ROP did not affect log i(1/2)
in humans. CONCLUSIONS: Differences between OPs in healthy rats and healthy
humans were substantial, suggesting that OPs in rat models of ROP are unlikely to
provide insight into the effects of ROP on human OPs. Indeed, neither ROP model
studied showed a pattern of effects similar to that in human ROP.
PMID- 18055834
TI - The relationship between visual field and retinal nerve fiber layer measurements
in patients with multiple sclerosis.
AB - PURPOSE: To investigate the relationship between visual function, measured by
standard automated perimetry (SAP), and retinal nerve fiber layer (RNFL)
thickness, measured by optical coherence tomography (OCT), in patients with
multiple sclerosis (MS). METHODS: SAP and RNFL thickness were measured in
patients with MS in 28 eyes with the last optic neuritis (ON) >or=6 months prior
(ON group) and 33 eyes without ON history (non-ON group). Abnormal overall or
quadrant RNFL thickness was defined by measured values below 5% of the norm. A
whole visual field or a sector of the field was classified as abnormal by using
cluster criteria on total-deviation plots. Agreement between SAP and OCT results
in classifying eyes/sectors was presented as a percentage of observed agreement,
along with the AC1 statistic, which corrects for chance agreement. Regression
analyses were performed relating several SAP parameters and RNFL thickness in the
ON group. RESULTS: ON eyes showed more loss of visual sensitivity (MD, P = 0.02)
and more loss of RNFL thickness (P < 0.0001) than did non-ON eyes. SAP and OCT
agreed in 86% (AC1 = 0.78) of eyes and 69% (AC1 = 0.38) of sectors in the ON
group and 61% (AC1 = 0.33) of eyes and 66% (AC1 = 0.48) of sectors in the non-ON
group. Overall RNFL thickness was related to MD (dB) by a simple exponential
function (R(2) = 0.48), supporting a linear relationship between these measures
when both are expressed on linear scales. Absolute Pearson correlation
coefficients for overall RNFL thickness and several SAP parameters ranged from
0.51 to 0.69. CONCLUSIONS: Good agreement between SAP and OCT was found in ON
eyes but not in non-ON eyes or in individual sectors in either group. The
findings in this study provide further support for the utility of combining
structural and functional testing in clinical research on patients with MS, as
well as in future neuroprotection trials for which the anterior visual pathways
in patients with MS and optic neuritis may be used as a model.
PMID- 18055835
TI - The effect of optical zone decentration on lower- and higher-order aberrations
after photorefractive keratectomy in a cat model.
AB - PURPOSE: To simulate the effects of decentration on lower- and higher-order
aberrations (LOAs and HOAs) and optical quality, by using measured wavefront
error (WFE) data from a cat photorefractive keratectomy (PRK) model. METHODS: WFE
differences were obtained from five cats' eyes 19 +/-7 weeks after spherical
myopic PRK for -6 D (three eyes) and -10 D (two eyes). Ablation-centered WFEs
were computed for a 9.0 mm pupil. A computer model was used to simulate
decentration of a 6-mm subaperture in 100-microm steps over a circular area of
3000 microm diameter, relative to the measured WFE difference. Changes in LOA,
HOA, and image quality (visual Strehl ratio based on the optical transfer
function; VSOTF) were computed for simulated decentrations over 3.5 and 6.0 mm.
RESULTS: Decentration resulted in undercorrection of sphere and induction of
astigmatism; among the HOAs, decentration mainly induced coma. Decentration
effects were distributed asymmetrically. Decentrations >1000 microm led to an
undercorrection of sphere and cylinder of >0.5 D. Computational simulation of
LOA/HOA interaction did not alter threshold values. For image quality (decrease
of best-corrected VSOTF by >0.2 log units), the corresponding thresholds were
lower. The amount of spherical aberration induced by the centered treatment
significantly influenced the decentration tolerance of LOAs and log best
corrected VSOTF. CONCLUSIONS: Modeling decentration with real WFE changes showed
irregularities of decentration effects for rotationally symmetric treatments. The
main aberrations induced by decentration were defocus, astigmatism, and coma.
Treatments that induced more spherical aberration were less tolerant of
decentration.
PMID- 18055836
TI - Eccentric fixation in Stargardt's disease assessed by Tubingen perimetry.
AB - PURPOSE: To measure eccentric fixation characteristics in visual fields of
patients with Stargardt's disease. METHODS: The positions of fixation loci (FL)
in the visual field were determined by Tubingen perimetry (TP), using the
position of the blind spots in 173 patients. Altogether, 669 visual fields were
measured at baseline and during follow-up. Twenty patients were also examined by
scanning laser ophthalmoscope (SLO). RESULTS: Ninety-five of 173 patients showed
a ring scotoma with central fixation in at least one test, which could persist
for up to 18.8 years. The median age for a 50% chance of the development of
eccentric fixation was 23.6 years. One hundred four patients (203 eyes) used
eccentric fixation in at least one eye; in 154 eyes, the FL was placed below the
scotoma and in 33 eyes to the left of it, in 11 to the right of it, and in 5
above it. Once the FL was chosen, it remained within the same visual field area
at subsequent tests, varying on average by 1.76 degrees. Compared with SLO
results, the mean distance between FL and PRL was 1.90 degrees. CONCLUSIONS: It
is possible to determine the position of the FL by perimetry with sufficient
accuracy if the blind spot is well delimited. Stargardt patients can keep central
fixation for different time intervals before changing to an eccentric FL. Most of
them show an FL below the central scotoma, which is considered favorable for
horizontal reading.
PMID- 18055840
TI - Role of caveolin-1 in regulation of inflammation: different strokes for different
folks.
PMID- 18055841
TI - Role of CD38 in TNF-alpha-induced airway hyperresponsiveness.
AB - CD38 is involved in normal airway function, IL-13-induced airway
hyperresponsiveness (AHR), and is also regulated by tumor necrosis factor (TNF)
alpha in airway smooth muscle (ASM) cells. This study aimed to determine whether
TNF-alpha-induced CD38 upregulation in ASM cells contributes to AHR, a hallmark
of asthma. We hypothesized that AHR would be attenuated in TNF-alpha-exposed CD38
deficient (CD38KO) mice compared with wild-type (WT) controls. Mice (n = 6
8/group) were intranasally challenged with vehicle control or TNF-alpha (50 ng)
once and every other day during 1 or 4 wk. Lung inflammation and AHR, measured by
changes in lung resistance after inhaled methacholine, were assessed 24 h
following the last challenge. Tracheal rings were incubated with TNF-alpha (50
ng/ml) to assess contractile changes in the ASM. While a single TNF-alpha
challenge caused no airway inflammation, both multiple-challenge protocols
induced equally significant inflammation in CD38KO and WT mice. A single
intranasal TNF-alpha challenge induced AHR in the WT but not in the CD38KO mice,
whereas both mice developed AHR after 1 wk of challenges. The AHR was suppressed
by extending the challenges for 4 wk in both mice, although to a larger magnitude
in the WT than in the CD38KO mice. TNF-alpha increased ASM contractile properties
in tracheal rings from WT but not from CD38KO mice. In conclusion, CD38
contributes to TNF-alpha-induced AHR after a brief airway exposure to the
cytokine, likely by mediating changes in ASM contractile responses, and is
associated with greater AHR remission following chronic airway exposure to TNF
alpha. The mechanisms involved in this remission remain to be determined.
PMID- 18055842
TI - Activation of Toll-like receptor 2 impairs hypoxic pulmonary vasoconstriction in
mice.
AB - Toll-like receptors (TLRs) mediate inflammation in sepsis, but their role in
sepsis-induced respiratory failure is unknown. Hypoxic pulmonary vasoconstriction
(HPV) is a unique vasoconstrictor response that diverts blood flow away from
poorly ventilated lung regions. HPV is impaired in sepsis and after challenge
with the TLR4 agonist lipopolysaccharide (LPS). Unlike TLR4 agonists, which are
present only in Gram-negative bacteria, TLR2 agonists are ubiquitously expressed
in all of the major classes of microorganisms that cause sepsis, including both
Gram-positive and Gram-negative bacteria and fungi. We tested the hypothesis that
(S)-[2,3-bis(palmitoyloxy)-(2RS)-propyl]-N-palmitoyl-(R)-Cys-(S)-Ser(S)-Lys(4)
OH, trihydrochloride (Pam3Cys), a TLR2 agonist, impairs HPV and compared selected
pulmonary and systemic effects of Pam3Cys vs. LPS. HPV was assessed 22 h after
challenge with saline, Pam3Cys, or LPS by measuring the increase in the pulmonary
vascular resistance of the left lung before and during left lung alveolar hypoxia
produced by left mainstem bronchus occlusion (LMBO). Additional endpoints
included arterial blood gases during LMBO, hemodynamic parameters, weight loss,
temperature, physical appearance, and several markers of lung inflammation.
Compared with saline, challenge with Pam3Cys caused profound impairment of HPV,
reduced systemic arterial oxygenation during LMBO, weight loss, leukopenia, and
lung inflammation. In addition to these effects, LPS-challenged mice had lower
rectal temperatures, metabolic acidosis, and were more ill appearing than Pam3Cys
challenged mice. These data indicate that TLR2 activation impairs HPV and induces
deleterious systemic effects in mice and suggest that TLR2 pathways may be
important in sepsis-induced respiratory failure.
PMID- 18055843
TI - Pathogenesis of the systemic inflammatory syndrome and acute lung injury: role of
iron mobilization and decompartmentalization.
AB - Changes in iron homeostatic responses routinely accompany infectious or
proinflammatory insults. The systemic inflammatory response syndrome (SIRS) and
the development of acute lung injury (ALI) feature pronounced systemic and lung
specific alterations in iron/heme mobilization and decompartmentalization; such
responses may be of pathological significance for both the onset and progression
of acute inflammation. The potential for excessive iron-catalyzed oxidative
stress, altered proinflammatory redox signaling, and provision of iron as a
microbial growth factor represent obvious adverse aspects of altered in vivo iron
handling. The release of hemoglobin during hemolytic disease or surgical
procedures such as those utilizing cardiopulmonary bypass procedures further
impacts on iron mobilization, turnover, and storage with associated implications.
Genetic predisposition may ultimately determine the extent to which SIRS and
related syndromes develop in response to such changes. The design of specific
therapeutic interventions based on endogenous stratagems to limit adverse aspects
of altered iron handling may prove of therapeutic benefit for the treatment of
SIRS and ALI.
PMID- 18055844
TI - Ontogeny of the eotaxins in human lung.
AB - The ontogeny of the C-C chemokines eotaxin-1, eotaxin-2, and eotaxin-3 has not
been fully elucidated in human lung. We explored a possible role for eotaxin in
developing lung by determining the ontogeny of eotaxin-1 (CCL11), eotaxin-2
(CCL24), eotaxin-3 (CCL26), and the eotaxin receptor, CCR3. We tested discarded
surgical samples of developing human lung tissue using quantitative RT-PCR (QRT
PCR) and immunostaining for expression of CCL11, CCL24, CCL26, and CCR3. We
assessed possible functionality of the eotaxin-CCR3 system by treating lung
explant cultures with exogenous CCL11 and analyzing the cultures for evidence of
changes in proliferation and activation of ERK1/2, a signaling pathway associated
with CCR3. QRT-PCR analyses of 22 developing lung tissue samples with gestational
ages 10-23 wk demonstrated that eotaxin-1 mRNA is most abundant in developing
lung, whereas mRNAs for eotaxin-2 and eotaxin-3 are minimally detectable. CCL11
mRNA levels correlated with gestational age (P < 0.05), and immunoreactivity was
localized predominantly to airway epithelial cells. QRT-PCR analysis detected
CCR3 expression in 16 of 19 developing lung samples. Supporting functional
capacity in the immature lung, CCL11 treatment of lung explant cultures resulted
in significantly increased (P < 0.05) cell proliferation and activation of the
ERK signaling pathway, which is downstream from CCR3, suggesting that
proliferation was due to activation of CCR3 receptors by CCL11. We conclude that
developing lung expresses the eotaxins and functional CCR3 receptor. CCL11 may
promote airway epithelial proliferation in the developing lung.
PMID- 18055847
TI - Current treatment and clinical trial developments for ductal carcinoma in situ of
the breast.
AB - Ductal carcinoma in situ (DCIS) is the fastest growing subtype of breast cancer,
mainly because of the aging of our populations and improvements in diagnostic
mammography and core biopsy. DCIS represents a proliferation of malignant
appearing cells that have not invaded beyond the ductal basement membrane and is
a precursor for the development of invasive breast cancer (IBC). Approximately
40% of patients with DCIS treated with biopsy alone, without complete excision or
further therapy, develop IBC. Most DCIS itself is harmless if it is detected and
excised before it can progress to IBC, and the current approach to DCIS treatment
is aimed at just that goal. Typically, it consists of multimodal treatment
including segmental mastectomy followed by radiation therapy to the whole breast
and then hormonal therapy or total mastectomy followed by hormonal therapy. This
review discusses the state-of-the-art in DCIS detection and treatment and
highlights promising new strategies in the care of DCIS patients. The data
regarding the effectiveness of breast-conserving surgery versus total mastectomy,
the possible avoidance of radiation therapy in some subgroups of patients, and
the role of hormonal agents are reviewed. Neoadjuvant therapy and the use of
trastuzumab for DCIS are currently under investigation and may be future
treatment options for DCIS.
PMID- 18055845
TI - Computational and experimental identification of novel human imprinted genes.
AB - Imprinted genes are essential in embryonic development, and imprinting
dysregulation contributes to human disease. We report two new human imprinted
genes: KCNK9 is predominantly expressed in the brain, is a known oncogene, and
may be involved in bipolar disorder and epilepsy, while DLGAP2 is a candidate
bladder cancer tumor suppressor. Both genes lie on chromosome 8, not previously
suspected to contain imprinted genes. We identified these genes, along with 154
others, based on the predictions of multiple classification algorithms using DNA
sequence characteristics as features. Our findings demonstrate that DNA sequence
characteristics, including recombination hot spots, are sufficient to accurately
predict the imprinting status of individual genes in the human genome.
PMID- 18055848
TI - First-line chemotherapy for HER-2 negative metastatic breast cancer patients who
received anthracyclines as adjuvant treatment.
AB - The treatment decision for patients with metastatic breast cancer who have
received anthracyclines within the course of adjuvant chemotherapy is
troublesome, particularly if trastuzumab and hormonal treatment are not
indicated. In the first part of this review we discuss the value of retreatment
with anthracyclines, a topic that has been indirectly evaluated by retrospective
studies with conflicting results and within a small phase III trial with a
negative outcome. Evidence on liposomal anthracyclines is also reviewed. In the
second part of the review, alternative options of first-line chemotherapy are
discussed. These include taxanes as single agents, taxanes in combination with
other cytotoxic drugs, combinations without anthracyclines and taxanes, and
innovative treatments including target-based agents. Both the amount and the
quality of evidence on these treatments are poor. Few phase III studies are
available and most of them have been performed with registrative aims sponsored
by the companies who own the winning drug. Beyond indications derived from such
studies, there is a great need for more clinical research in this setting.
PMID- 18055849
TI - Glucarpidase (carboxypeptidase g2) intervention in adult and elderly cancer
patients with renal dysfunction and delayed methotrexate elimination after high
dose methotrexate therapy.
AB - OBJECTIVE: Leucovorin and extracorporeal removal of methotrexate (MTX) have
limited efficacy in delayed MTX elimination after high-dose methotrexate (HD-MTX)
therapy. Glucarpidase (carboxypeptidase G2) cleaves MTX into nontoxic
metabolites, but experience with this enzyme is limited in adult patients. We
evaluated the effects of glucarpidase intervention in adult and elderly patients
with delayed MTX elimination. PATIENTS AND METHODS: Forty-three patients (age, 18
78 years) with MTX serum concentrations (sMTX) of 1-1,187 micromol/l received
glucarpidase, leucovorin rescue guided by MTX immunoassay, and standard
supportive care. MTX and MTX metabolites were quantified in serum (24 patients)
and urine (8 patients) by high-performance liquid chromatography. Contributory
risk factors, toxicities, and survival were recorded in all patients. RESULTS:
Glucarpidase was well tolerated and resulted in an immediate >97% reduction in
sMTX, with a 0.2%-35% urinary recovery of the total MTX dose as inactive MTX
metabolites. Forty (93%) of 43 patients had normalization (n = 25) or improvement
(n = 15) of their serum creatinine. Frequent grade III-IV MTX toxicities were
hematological (60%) and mucositis (35%); only eight (19%) patients developed
grade III-IV nephrotoxicity. Ten (23%) of 43 patients experienced fatal
complications associated with HD-MTX therapy. Patients with three or more
contributory risk factors for delayed MTX elimination had a significantly poorer
survival than patients with fewer than three risk factors (hazard ratio, 3.64;
confidence interval, 1.14-17.54). CONCLUSIONS: Glucarpidase is well tolerated and
produces a rapid inactivation of substantial amounts of MTX. However, overall
results are still unsatisfactory in adult and elderly patients, suggesting that
earlier recognition of delayed MTX elimination and more rapid intervention are
needed.
PMID- 18055850
TI - Locally advanced rectal cancer: what is the evidence for induction
chemoradiation?
AB - The concept of spatial cooperation in neoadjuvant chemoradiation (CRT) for
locally advanced rectal cancer is attractive. Chemotherapy may, as a component of
CRT, not only act as a radiosensitizing agent but also potentially eradicate
distant micrometastases. Recent trials have demonstrated that the addition of
concurrent 5-fluorouracil (5-FU)-based chemotherapy to radiation increases the
pathological complete response rate, and reduces local recurrence, but as yet, a
survival advantage has not been observed. AIMS: This review aims to examine the
evidence for induction CRT in locally advanced rectal cancer. The endpoints of
pathological complete response, a negative circumferential margin, sphincter
sparing surgery, local control, disease-free survival (DFS), and overall survival
(OS) are examined, as are acute and late morbidity, surgical complications, and
late functional results. METHODS: The information to produce this review was
compiled by searching PubMed and MEDLINE for English language articles published
until April 2007. The search term included "induction, neoadjuvant, chemotherapy,
radiotherapy, chemoradiation, combined modality" in association with rectal
cancer. CONCLUSIONS: CRT in the European randomized trials of rectal cancer
improves tumor downstaging, pathological complete response, and local control
over radiotherapy alone, but does not translate into a benefit in terms of longer
DFS or OS, or a higher chance of sphincter preservation. Metastatic disease
remains a significant problem, which provides a strong rationale for the
integration of a second cytotoxic drug, or biologically targeted agents.
PMID- 18055851
TI - Commentary: rectal cancer an evolution of treatment.
PMID- 18055852
TI - Liver transplantation for hepatocellular carcinoma in Asia.
AB - Hepatocellular carcinoma (HCC) is a leading cause of cancer death, particularly
in Asia where the major etiology, chronic hepatitis B virus infection, is
endemic. The tumor frequently develops in a background of cirrhosis, and liver
transplantation offers a chance to cure both the tumor and the underlying
cirrhosis. The Milan criteria based on tumor size and number as an estimate of
tumor burden are conventionally the gold standard in determining eligibility for
transplantation, and the outcome is excellent. The shortage of organs from
deceased donors has curtailed the adoption of extended criteria and led to the
problems of long waiting times and dropouts. Several measures have been taken to
tackle these issues, including prioritization of patients with HCC, use of
pretransplant adjuvant treatment to prevent tumor progression, and living donor
liver transplantation (LDLT). With a high incidence of HCC and a low organ
donation rate, Asia has developed a distinctive pattern of indication and
strategy in the application of liver transplantation. Over the last decade, the
number of liver transplants in Asia has increased rapidly, by 10-fold, largely as
a result of the development of LDLT. The proportion of patients who undergo liver
transplantation for HCC is increasing and HCC comprises one third of the
indication for liver transplantation in Asia. LDLT is the dominant strategy,
accounting for 96% of the liver transplants for HCC. Many transplant programs
accept patients beyond the Milan criteria, and the reported 3-year survival rate
is about 60%. With the promotion of organ donation, better quantification of the
benefit of LDLT for extended indications, and identification of predictors for
survival, the practice of liver transplantation for HCC in Asia will continue to
evolve.
PMID- 18055853
TI - Gemcitabine-induced reversible posterior leukoencephalopathy syndrome: a case
report and review of the literature.
AB - Gemcitabine is a commonly used chemotherapeutic agent for a variety of tumor
types. Although this nucleoside analogue antineoplastic agent is similar in
structure to cytarabine, central nervous system toxicities have rarely been
attributed to gemcitabine. Reversible posterior leukoencephalopathy syndrome
(RPLS) is a rare but increasingly identifiable clinicoradiologic process in
cancer patients associated with cytotoxic and immunosuppressive agents. The
syndrome is characterized by acute to subacute onset of headache, nausea,
vomiting, altered mental status, seizures, stupor, and visual disturbances. The
pathophysiology of RPLS continues to remain controversial but likely involves
loss of cerebrovascular autoregulation leading to arteriole leakage.
Radiologically, posterior occipital white matter edema is noted, with
characteristic findings on magnetic resonance imaging. Often the syndrome is
reversible with treatment of concurrent hypertension or removal of the causative
agent; however, failure to quickly recognize the syndrome and discontinue the
offending agent may result in profound and permanent central nervous system
dysfunction or death. This article describes a case of RPLS attributed to
gemcitabine use for pancreatic cancer. Such a descriptive case serves as a
platform for the discussion of the syndrome, proposed mechanisms of central
nervous system damage, and review of the currently available literature on the
topic. With increased awareness of RPLS by oncologists and other medical
providers, cancer patient care may be improved and further insight into this
complication of therapy through continued research may be gained.
PMID- 18055854
TI - Ethical challenges in cancer research in children.
AB - Clinical research has led to great advances in cancer therapy for children, and a
greater proportion of children than adults with cancer participate in clinical
trials. Despite this success, there remain important ethical challenges in
conducting this research. There are challenges in obtaining informed consent and
assent when children are research subjects; challenges arising from study design
issues in phase III, II, or I clinical trials; and challenges related to the
development of new classes of drugs, especially molecularly targeted therapies.
It is important for researchers and clinicians to understand these challenges so
that progress in cancer treatment is achieved in a sound ethical and regulatory
fashion.
PMID- 18055855
TI - Chordoma: the nonsarcoma primary bone tumor.
AB - Chordomas are rare, slowly growing, locally aggressive neoplasms of bone that
arise from embryonic remnants of the notochord. These tumors typically occur in
the axial skeleton and have a proclivity for the spheno-occipital region of the
skull base and sacral regions. In adults, 50% of chordomas involve the
sacrococcygeal region, 35% occur at the base of the skull near the spheno
occipital area, and 15% are found in the vertebral column. Craniocervical
chordomas most often involve the dorsum sella, clivus, and nasopharynx. Chordomas
are divided into conventional, chondroid, and dedifferentiated types.
Conventional chordomas are the most common. They are characterized by the absence
of cartilaginous or additional mesenchymal components. Chondroid chordomas
contain both chordomatous and chondromatous features, and have a predilection for
the spheno-occipital region of the skull base. This variant accounts for 5%-15%
of all chordomas and up to 33% of cranial chordomas. Dedifferentiation or
sarcomatous transformation occurs in 2%-8% of chordomas. This can develop at the
onset of the disease or later. Aggressive initial therapy improves overall
outcome. Patients who relapse locally have a poor prognosis but both radiation
and surgery can be used as salvage therapy. Subtotal resection can result in a
stable or improved status in as many as 50% of patients who relapse after primary
therapy. Radiation therapy may also salvage some patients with local recurrence.
One series reported a 2-year actuarial local control rate of 33% for patients
treated with proton beam irradiation.
PMID- 18055856
TI - The pharmacologic basis of ifosfamide use in adult patients with advanced soft
tissue sarcomas.
AB - The treatment outcome of patients with locally advanced and metastatic soft
tissue sarcomas is poor. Doxorubicin is regarded as standard treatment, but its
use is featured by the occurrence of cardiotoxicity. This hinders the
administration of this drug at high doses or in combination with, in theory,
attractive newly developed targeted drugs, such as vascular endothelial growth
factor (VEGF) pathway inhibitors. The combination of doxorubicin and VEGF pathway
inhibitors has been shown to yield an unacceptable high rate of cardiomyopathy.
Ifosfamide is the only drug that consistently shows response rates comparable to
those of doxorubicin. The lack of cardiotoxicity renders this drug a much more
attractive alternative than doxorubicin to be explored at high doses or as part
of new drug combinations. This review addresses the clinical pharmacology,
metabolism, and present role of ifosfamide in the treatment of locally advanced
and/or metastatic soft tissue sarcomas, excluding gastrointestinal stromal
tumors, the Ewing-like sarcomas, and other small blue round cell tumors.
Furthermore, this review focuses on the anticipated growing role of ifosfamide in
the development of new treatment strategies.
PMID- 18055857
TI - The NCCN Clinical Practice Guidelines on Venous Thromboembolic Disease:
strategies for improving VTE prophylaxis in hospitalized cancer patients.
AB - The risk for venous thromboembolism (VTE) is high in hospitalized cancer
patients, and is associated with an elevated risk for recurrent thrombosis,
bleeding complications, and use of health care resources. Thromboembolism is the
second leading cause of death in hospitalized cancer patients. Thromboprophylaxis
with unfractionated heparin or low-molecular-weight heparins has been clinically
proven to reduce the risk for VTE and improve outcomes. However, VTE prophylaxis
continues to be underprescribed in cancer patients. Recognizing the clinical
burden of VTE in cancer patients, the National Comprehensive Cancer Network
(NCCN) recently released guidelines for VTE prevention and management. These NCCN
guidelines recommend evidence-based prophylactic anticoagulant therapy for all
patients admitted to hospital with a diagnosis of cancer who do not have
contraindications to anticoagulant use. However, there continue to be barriers to
the implementation of clinical practice guidelines and appropriate use of VTE
prophylaxis. Multifaceted active educational and electronic interventions are
necessary to raise awareness and reduce the burden of cancer-associated
thrombosis and its attendant consequences.
PMID- 18055858
TI - The usefulness of nerve conduction studies in objectively assessing oxaliplatin
induced peripheral neuropathy.
PMID- 18055860
TI - Positron emission tomography-guided conformal fast neutron therapy for
glioblastoma multiforme.
AB - Glioblastoma multiforme (GBM) continues to be a difficult therapeutic challenge.
Our study was conducted to determine whether improved survival and tumor control
could be achieved with modern delivery of fast neutron radiation using three
dimensional treatment planning. Ten patients were enrolled. Eligibility criteria
included pathologic diagnosis of GBM, age >or=18 years, and KPS >or=60. Patients
underwent MRI and (18)F-fluorodeoxyglucose PET (FDG PET) as part of initial three
dimensional treatment planning. Sequential targets were treated with noncoplanar
fields to a total dose of 18 Gy in 16 fractions over 4 weeks. Median and 1-year
overall survival were 55 weeks and 60%, respectively. One patient remains alive
at last follow-up 255 weeks after diagnosis. Median progression-free survival was
16 weeks, and all patients had tumor progression by 39 weeks. Treatment was
clinically well tolerated, but evidence of mild to moderate gliosis and
microvascular sclerosis consistent with radiation injury was observed at autopsy
in specimens taken from regions of contralateral brain that received
approximately 6-10 Gy. Fast neutron radiation using modern imaging, treatment
planning, and beam delivery was feasible to a total dose of 18 Gy, but tumor
control probability was poor in comparison to that predicted from a dose-response
model based on older studies. Steep dose-response curves for both tumor control
and neurotoxicity continue to present a challenge to establishing a therapeutic
window for fast neutron radiation in GBM, even with modern techniques.
PMID- 18055861
TI - Evaluation of glioma proliferation by 99mTc-Tetrofosmin.
PMID- 18055862
TI - Prostatic hormonal carcinogenesis is mediated by in situ estrogen production and
estrogen receptor alpha signaling.
AB - It was recently demonstrated that antiestrogens prevented prostate cancer (PRCA)
in men. The source of estradiol (E2) that contributes to carcinogenesis, as well
as the selected estrogen receptor (ER) signaling pathway, is unknown. To evaluate
estrogen's effects in carcinogenesis, we developed a new model of PRCA utilizing
testosterone and E2 to stimulate PRCA. To determine whether local in situ
production of E2 affected incidence of PRCA, aromatase-knockout (ArKO) mice were
evaluated. In contrast to the wild-type mice, ArKO mice had reduced incidences of
PRCA, which implicates in situ production of E2 as an important determinant of
PRCA. To determine whether E2-mediated responses were due to ER alpha or ER beta
signaling, ER alpha-knockout (alphaERKO) or ERbeta-knockout (betaERKO) mice were
used. Prostates from betaERKO mice underwent biochemical and histological
carcinogenesis similar to wild-type mice, whereas prostates from alphaERKO mice
remained free of pathology. These data suggest that effective prevention of
carcinogenesis will require antagonism of ER alpha but not ER beta. This mouse
model provides a means to examine genetic gain and loss of function and determine
the efficacy of therapeutics on prostatic carcinogenesis.
PMID- 18055863
TI - Epigenetic regulation of dendritic cell-derived interleukin-12 facilitates
immunosuppression after a severe innate immune response.
AB - Patients who survive sepsis have significant deficiencies in their immune
responses caused by poorly understood mechanisms. We have explored this
phenomenon by studying dendritic cells (DCs) recovered from animals surviving
severe peritonitis-induced sepsis, using the well-established cecal ligation and
puncture (CLP) model. Immediately after the initiation of sepsis there is a
depletion in DCs from the lung and spleen, which is followed by repopulation of
these cells back to the respective organs. DCs recovered from surviving animals
exhibited a significant and chronic suppression of interleukin-12 (IL-12), a key
host defense cytokine. The suppression of DC-derived IL-12 persisted for at least
6 weeks after CLP and was not due to immunoregulatory cytokines, such as IL-10.
Using chromatin immunoprecipitation (ChIP) techniques, we have shown that the
deficiency in DC-derived IL-12 was due to epigenetic alterations. Specifically,
IL-12 expression was regulated by stable reciprocal changes in histone H3 lysine
4 trimethylation (H3K4me3) and histone H3 lysine-27 dimethylation (H3K27me2), as
well as changes in cognate histone methyltransferase (HMT) complexes on the
Il12p35 and Il12p40 promoters. These data implicate histone modification enzymes
in suppressing DC-derived IL-12, which may provide one of the mechanisms of long
term immunosuppression subsequent to the septic response.
PMID- 18055864
TI - Induction of hypomethylation and molecular response after decitabine therapy in
patients with chronic myelomonocytic leukemia.
AB - Decitabine's mechanism of action in chronic myelomonocytic leukemia remains
incompletely understood. We studied the dynamics of neoplastic cell clearance
during decitabine treatment (100 mg/m(2) per course every 4 weeks) using
quantitative monitoring of mutant alleles by pyrosequencing. Patients with
chronic myelomonocytic leukemia were first screened for JAK2 and NPM1 mutations,
and 3 patients with mutations were identified. Mutant allele percentages in
mononuclear cell DNA were followed after treatment, along with methylation of
LINE1 and 10 other genes. The clearance of mutant alleles was modest after the
first cycle, despite induction of hypomethylation. Delayed substantial clearance
was observed after 2 to 4 cycles that correlated with clinical response. Two
patients had complete disappearance of mutant alleles and sustained clinical
remissions. In another patient, mutant allele was detectable at clinical
remission, which lasted for 8 months. Our data suggest a predominantly
noncytotoxic mechanism of action for decitabine, leading to altered biology of
the neoplastic clone and/or normal cells. This trial was registered at
www.ClinicalTrials.gov as #NCT00067808.
PMID- 18055865
TI - Multicenter phase 3 study of the complement inhibitor eculizumab for the
treatment of patients with paroxysmal nocturnal hemoglobinuria.
AB - The terminal complement inhibitor eculizumab was recently shown to be effective
and well tolerated in patients with paroxysmal nocturnal hemoglobinuria (PNH).
Here, we extended these observations with results from an open-label, non-placebo
controlled, 52-week, phase 3 clinical safety and efficacy study evaluating
eculizumab in a broader PNH patient population. Eculizumab was administered by
intravenous infusion at 600 mg every 7 +/- 2 days for 4 weeks; 900 mg 7 +/- 2
days later; followed by 900 mg every 14 +/- 2 days for a total treatment period
of 52 weeks. Ninety-seven patients at 33 international sites were enrolled.
Patients treated with eculizumab responded with an 87% reduction in hemolysis, as
measured by lactate dehydrogenase levels (P < .001). Baseline fatigue scores in
the FACIT-Fatigue instrument improved by 12.2 +/- 1.1 points (P < .001).
Eculizumab treatment led to an improvement in anemia. The increase in hemoglobin
level occurred despite a reduction in transfusion requirements from a median of
8.0 units of packed red cells per patient before treatment to 0.0 units per
patient during the study (P < .001). Overall, transfusions were reduced 52% from
a mean of 12.3 to 5.9 units of packed red cells per patient. Forty-nine patients
(51%) achieved transfusion independence for the entire 52-week period.
Improvements in hemolysis, fatigue, and transfusion requirements with eculizumab
were independent of baseline levels of hemolysis and degree of thrombocytopenia.
Quality of life measures were also broadly improved with eculizumab treatment.
This study demonstrates that the beneficial effects of eculizumab treatment in
patients with PNH are applicable to a broader population of PNH patients than
previously studied. This trial is registered at http://clinicaltrials.gov as
NCT00130000.
PMID- 18055866
TI - Perivascular tissue factor is down-regulated following cutaneous wounding:
implications for bleeding in hemophilia.
AB - Healing of skin wounds is delayed in hemophilia B (HB) mice. HB mice do not bleed
excessively at wounding, yet rebleed hours to days later. Tissue factor (TF)
expression is up-regulated by inflammatory cytokines and has been linked to
angiogenesis. We hypothesized that impaired thrombin generation in HB leads to
impaired TF expression following injury. Punch biopsies were placed on wild-type
(WT) and HB mice. Tissues from wound sites were immunostained for TF. Blood
vessels are normally surrounded by a coat of pericytes expressing TF.
Surprisingly, within a day after wounding TF disappeared from around nearby
vessels; returning after 8 days in WT and 10 days in HB mice. The granulation
tissue filling the wound during healing also lacked TF around angiogenic vessels.
Thus, perivascular TF expression is down-regulated during wound healing. This may
prevent thrombosis of neovessels during angiogenesis but renders hemophiliacs
vulnerable to hemorrhage during healing.
PMID- 18055867
TI - CD150- side population cells represent a functionally distinct population of long
term hematopoietic stem cells.
AB - Hematopoietic stem cells (HSCs) are a self-renewing population of bone marrow
cells that replenish the cellular elements of blood throughout life. HSCs
represent a paradigm for the study of stem-cell biology, because robust methods
for prospective isolation of HSCs have facilitated rigorous characterization of
these cells. Recently, a new isolation method was reported, using the SLAM family
of cell-surface markers, including CD150 (SlamF1), to offer potential advantages
over established protocols. We examined the overlap between SLAM family member
expression with an established isolation scheme based on Hoechst dye efflux (side
population; SP) in conjunction with canonical HSC cell-surface markers (Sca-1, c
Kit, and lineage markers). Importantly, we find that stringent gating of SLAM
markers is essential to achieving purity in HSC isolation and that the inclusion
of canonical HSC markers in the SLAM scheme can greatly augment HSC purity.
Furthermore, we observe that both CD150(+) and CD150(-) cells can be found within
the SP population and that both populations can contribute to long-term
multilineage reconstitution. Thus, using SLAM family markers to isolate HSCs
excludes a substantial fraction of the marrow HSC compartment. Interestingly,
these 2 subpopulations are functionally distinct, with respect to lineage output
as well as proliferative status.
PMID- 18055868
TI - Monitoring the response and course of chronic myeloid leukemia in the modern era
of BCR-ABL tyrosine kinase inhibitors: practical advice on the use and
interpretation of monitoring methods.
PMID- 18055870
TI - The BXH2 mutation in IRF8 differentially impairs dendritic cell subset
development in the mouse.
AB - Among dendritic cell (DC) subsets, CD8alpha(+) DCs and plasmacytoid DCs (pDCs)
produce high levels of IL12 and type I interferons (IFNs), respectively, and
confer early innate immunity. Development of CD8alpha(+) DCs and pDCs requires
the interferon regulatory factor 8 (IRF8). Recently, a spontaneous point mutation
was identified in the Irf8/Icsbp gene in the BXH2 mouse, which exhibits an
immunodeficient phenotype similar to the IRF8 knockout (KO) mouse. We show that
this mutation, designated IRF8(R294C), abolishes the development of CD8alpha(+)
DCs without impairing pDC development, and eliminates production of IL12p40,
while retaining that of type I IFNs. Electrophoretic mobility shift and chromatin
immunoprecipitation assays indicated that IRF8(R294C) failed to interact with
partner transcription factors and did not bind certain promoters that require
partner interactions. Together, this work indicates that IRF8-partner
interactions play different roles in CD8alpha(+) DCs and pDCs, revealing a
mechanistic separation that underlies development of these DC subsets.
PMID- 18055869
TI - The prognostic significance of a positive direct antiglobulin test in chronic
lymphocytic leukemia: a beneficial effect of the combination of fludarabine and
cyclophosphamide on the incidence of hemolytic anemia.
AB - Autoimmune hemolytic anemia (AHA) is a common complication in chronic lymphocytic
leukemia (CLL). The UK LRF CLL4 trial is the largest prospective trial in CLL to
examine the prognostic impact of both a positive direct antiglobulin test (DAT)
and AHA. Seven-hundred seventy-seven patients were randomized to receive
chlorambucil or fludarabine, alone or with cyclophosphamide (FC). The incidence
pretreatment of a positive DAT was 14%. Ten percent developed AHA. The DAT
correctly predicted the development, or not, of AHA after therapy in 83% of
cases, however only 28% of DAT-positive patients developed AHA. Of 299 patients
tested both before and after treatment, those treated with single-agent
fludarabine were most likely to remain DAT positive and to change from negative
to positive. Patients treated with chlorambucil or fludarabine were more than
twice as likely to develop AHA as those receiving FC. In a multivariate analysis,
stage C disease and high beta2 microglobulin were independent predictors of a
positive DAT result. AHA, or a positive DAT, with or without AHA, independently
predicted for reduced overall survival (OS). Four deaths, all on fludarabine
monotherapy, were attributed to AHA. In conclusion, DAT status at the time of
initiation of therapy provides a new prognostic indicator, although FC may
protect against AHA. This trial was registered at http://isrctn.org as no.
58585610.
PMID- 18055871
TI - Aberrant activation of stress-response pathways leads to TNF-alpha oversecretion
in Fanconi anemia.
AB - Fanconi anemia (FA), an inherited syndrome that associates bone marrow failure,
cancer predisposition, and genetic instability, is characterized by an
overproduction of the myelosuppressive cytokine TNF-alpha through unknown
mechanisms. We demonstrate here that FANC pathway loss-of-function results in the
aberrant activation of 2 major stress-signaling pathways: NF-kappaB and MAPKs.
These responses are independent on TNF-alpha expression. On the contrary,
inhibition of the MAPK pathways normalizes TNF-alpha oversecretion in FA.
Moreover, our data show that the overexpression of the matrix metalloproteinase
MMP-7 is the key event directly responsible for the high rate of TNF-alpha
shedding and release from the cytoplasmic membrane in FA. TNF-alpha
overproduction is, indeed, normalized by MMP-7 inhibition. Finally, MAPK
inhibition impacts on MMP-7 overexpression. Evidence is provided of the existence
of a linear pathway in which FANC mutations activate MAPK signaling that induces
MMP-7 overexpression leading, in fine, to TNF-alpha oversecretion. TNF-alpha may,
in turn, sustain or amplify both MAPKs and NF-kappaB activation. Aberrant
expression or activity of NF-kappaB and/or MAPKs has been already involved in
bone marrow failure and leukemia, and their inhibition offered clinical benefit
for patients. In conclusion, our data provide a strong rationale for new clinical
trials on FA patients.
PMID- 18055872
TI - Cerebral border zones between distal end branches of intracranial arteries: MR
imaging.
AB - This study had institutional review board approval; informed consent was obtained
from all participants. The study purpose was to prospectively determine whether a
longer arterial transit time (ATT), from the proximal vasculature in the neck
toward the distal end branches of the intracranial arteries, can be utilized to
identify cerebral border zone regions. A magnetic resonance (MR) imaging method
based on noninvasive arterial spin-labeling (ASL) perfusion MR imaging with image
acquisition at a series of increasing delay times was used to quantify regional
ATTs. Fifteen healthy volunteers (age range, 22-34 years; nine men, six women)
were included. ASL perfusion MR imaging demonstrated an increase in ATT in the
cerebral border zone regions, extending from the frontal and occipital horns of
the lateral ventricle to the frontal and parietooccipital cortices, relative to
ATT in non-border zone regions. Cerebral blood flow and arterial blood volume in
these anterior and posterior border zone regions were significantly lower (P <
.001) than in non-border zone regions.
PMID- 18055873
TI - Automated quantification of myocardial infarction from MR images by accounting
for partial volume effects: animal, phantom, and human study.
AB - Ethics committees approved human and animal study components; informed written
consent was provided (prospective human study [20 men; mean age, 62 years]) or
waived (retrospective human study [16 men, four women; mean age, 59 years]). The
purpose of this study was to prospectively evaluate a clinically applicable
method, accounting for the partial volume effect, to automatically quantify
myocardial infarction from delayed contrast material-enhanced magnetic resonance
images. Pixels were weighted according to signal intensity to calculate infarct
fraction for each pixel. Mean bias +/- variability (or standard deviation),
expressed as percentage left ventricular myocardium (%LVM), were -0.3 +/- 1.3
(animals), -1.2 +/- 1.7 (phantoms), and 0.3 +/- 2.7 (patients), respectively.
Algorithm had lower variability than dichotomous approach (2.7 vs 7.7 %LVM, P <
.01) and did not differ from interobserver variability for bias (P = .31) or
variability (P = .38). The weighted approach provides automatic quantification of
myocardial infarction with higher accuracy and lower variability than a
dichotomous algorithm.
PMID- 18055874
TI - Reliability and validity of MR image lung volume measurement in fetuses with
congenital diaphragmatic hernia and in vitro lung models.
AB - PURPOSE: To prospectively assess the reliability of magnetic resonance (MR) image
volume measurement in fetuses with congenital diaphragmatic hernia (CDH) and the
reliability and validity of measurements in in vitro lung models. MATERIALS AND
METHODS: This study was approved by the ethics committee, and informed consent
was obtained. MR fetal lung volume (FLV) was measured in 40 consecutive fetuses
with CDH by using half-Fourier acquired single-shot turbo spin-echo MR imaging
and true fast imaging with steady-state precession at 24-36 weeks gestation (mean
gestational age, 30.6 weeks +/- 3.5 [standard deviation]). Lung volumes were
independently assessed in three orthogonal section planes by two experienced
observers. Additionally, 28 in vitro lung models of defined volumes of 1-60 mL
were evaluated the same way. To assess measurement validity and reliability, the
intraclass correlation coefficient (ICC) and the Bland-Altman plot were used.
RESULTS: The interobserver reliability was high for both the lung models and FLV
measurements (ICC, 0.999 and 0.928, respectively). Measurement validity was also
good, with a mean difference between the calculated volume and the true volume of
0.4 mL (95% confidence interval: 0.30, 0.48). Measurement reliability and
validity did not depend, to any considerable degree, on imaging plane or sequence
(ICC range, 0.878-0.999) or on total volume. CONCLUSION: The reliability and
validity of MR volume measurements are high. The method is independent of the
sequence and the imaging plane and can be performed with a very good
interobserver agreement, even in small volumes.
PMID- 18055875
TI - Functional antagonism between endogenous neuropeptide Y and calcitonin gene
related peptide in mesenteric resistance arteries.
AB - To test the hypothesis that endogenous neuropeptide Y (NPY) counteracts the
vasodilator effects of calcitonin gene-related peptide (CGRP), we used isolated
mesenteric resistance arteries of rats and mice. With immunohistochemistry, we
observed CGRP-containing fibers along and in the vicinity of a subset of NPY- or
tyrosine hydroxylase-immunoreactive fibers. The CGRP1 receptor component
calcitonin-related-like receptor was expressed by periarterial nerves and smooth
muscle cells, whereas receptor activity-modifying protein 1 was observed
primarily on the smooth muscle. In organ chambers, exogenous CGRP caused
relaxations that were reversed by exogenous NPY. The effects were inhibited by 1
piperidinecarboxamide, N-[2-[[5-amino-1-[[4-(4-pyridinyl)-1-piperazinyl]
carbonyl]pentyl]amino]-1-[(3,5-dibromo-4-hydroxyphenyl)-methyl]-2-oxoethyl]-4
(1,4-dihydro-2-oxo-3(2H)-quinazolinyl) (BIBN4096BS, a CGRP1 receptor antagonist;
pK(B) = 8.54 +/- 0.52) and (R)-NZ-(diphenylacetyl)-N-[(4
hydroxyphenyl)methyl]argininamide (BIBP3226, a Y1 antagonist; pK(B) = 7.00 +/-
0.49), respectively. Pretreatment with capsaicin (1 muM; 20 min) and the presence
of BIBN4096BS (20 nM) increased contractile responses to K(+) (20-40 mM) and
electrical field stimulation (EFS; 1-32 Hz). NPY increased contractile responses
to K(+) and BIBP3226 (400 nM) reduced contractile responses to EFS. These effects
were inhibited by capsaicin and BIBN4096BS, respectively. Furthermore, the
relaxing effect of exogenous CGRP (10 nM) during phenylephrine-induced
contraction (30 muM) was reversed by EFS, and this effect was reduced in the
presence of BIBP3226. We confirmed that bioactive concentrations of endogenous
CGRP and NPY can be released from periarterial sensory-motor and sympathetic
nerves, respectively, and we demonstrate for the first time functional antagonism
between endogenous NPY and CGRP at the level of the smooth muscle.
PMID- 18055876
TI - Inhibiting protease-activated receptor 4 limits myocardial ischemia/reperfusion
injury in rat hearts by unmasking adenosine signaling.
AB - Harnessing endogenous cardioprotectants is a novel therapeutic strategy to combat
ischemia/reperfusion (I/R) injury. Thrombin causes I/R injury, whereas exogenous
adenosine prevents I/R injury. We hypothesized that blocking thrombin receptor
activation with a protease-activated receptor (PAR) 4 antagonist would unmask the
cardioprotective effects of endogenous adenosine. The protective role of two
structurally unrelated PAR4 antagonists, trans-cinnamoyl-YPGKF-amide (tc-Y-NH(2))
and palmitoyl-SGRRYGHALR-amide (P4pal10), were evaluated in two rat models of
myocardial I/R injury. P4pal10 (10 microg/kg) treatment before ischemia
significantly decreased infarct size (IS) by 31, 21, and 19% when given before,
during, and after ischemia in the in vivo model. tc-Y-NH(2) (5 microM) treatment
before ischemia decreased IS by 51% in the in vitro model and increased recovery
of ventricular function by 26%. To assess whether the cardioprotective effects of
PAR4 blockade were due to endogenous adenosine, isolated hearts were treated with
a nonselective adenosine receptor blocker, 8-sulfaphenyltheophylline (8-SPT), and
tc-Y-NH(2) before ischemia. 8-SPT abolished the protective effects of tc-Y-NH(2)
but did not affect IS when given alone. Adenosine-mediated survival pathways were
then explored. The cardioprotective effects of tc-Y-NH(2) were abolished by
inhibition of Akt (wortmannin), extracellular signal-regulated kinase 1/2
[PD98059 (2'-amino-3'-methoxyflavone)], nitric-oxide synthase [N(G)-monomethyl-l
arginine (l-NMA)], and K(ATP) channels (glibenclamide). PD98059, l-NMA, and
glibenclamide alone had no effect on cardioprotection in vitro. Furthermore,
inhibition of mitochondrial K(ATP) channels [5-hydroxydecanoic acid (5-HD)] and
sarcolemmal K(ATP) channels (sodium (5-(2-(5-chloro-2-methoxybenzamido)ethyl)-2
methoxyphenylsulfonyl)(methylcarbamothioyl)amide; HMR 1098) abolished P4pal10
induced cardioprotection in vivo. Thrombin receptor blockade by PAR4 inhibition
provides protection against injury from myocardial I/R by unmasking adenosine
receptor signaling and supports the hypothesis of a coupling between thrombin
receptors and adenosine receptors.
PMID- 18055877
TI - Thionamides inhibit the transcription factor nuclear factor-kappaB by suppression
of Rac1 and inhibitor of kappaB kinase alpha.
AB - Thionamides, inhibitors of the thyroid peroxidase-mediated iodination, are
clinically used in the treatment of hyperthyroidism. However, the use of
antithyroid drugs is associated with immunomodulatory effects, and recent studies
with thionamide-related heterocyclic thioderivates demonstrated direct anti
inflammatory and immunosuppressive properties. Using primary human T-lymphocytes,
we show that the heterocyclic thionamides carbimazole and propylthiouracil
inhibit synthesis of the proinflammatory cytokines tumor necrosis factor
(TNF)alpha and interferon (IFN)gamma. In addition, DNA binding of nuclear factor
(NF)-kappaB, a proinflammatory transcription factor that regulates both TNFalpha
and IFNgamma synthesis, and NF-kappaB-dependent reporter gene expression were
reduced. Abrogation of NF-kappaB activity was accompanied by reduced
phosphorylation and proteolytic degradation of inhibitor of kappaB
(IkappaB)alpha, the inhibitory subunit of the NF-kappaB complex. Carbimazole
inhibited NF-kappaB via the small GTPase Rac-1, whereas propylthiouracil
inhibited the phosphorylation of IkappaBalpha by its kinase inhibitor of kappaB
kinase alpha. Methimazole had no effect on NF-kappaB induction, demonstrating
that drug potency correlated with the chemical reactivity of the thionamide
associated sulfur group. Taken together, our data demonstrate that thioureylenes
with a common, heterocyclic structure inhibit inflammation and immune function
via the NF-kappaB pathway. Our results may explain the observed remission of
proinflammatory diseases upon antithyroid therapy in hyperthyroid patients. The
use of related thioureylenes may provide a new therapeutic basis for the
development and application of anti-inflammatory compounds.
PMID- 18055878
TI - Omeprazole stimulates the induction of human insulin-like growth factor binding
protein-1 through aryl hydrocarbon receptor activation.
AB - 5-Methoxy-2-{(4-methoxy-3,5-dimethyl-pyridin-2-yl)methylsulfinyl}-3H
benzoimidazole (omeprazole), a benzoimidazole-derived gastric H(+)/K(+)-ATPase
proton pump inhibitor (PPI) extensively prescribed for the treatment of
gastroesophageal acid reflux disease, can stimulate the expression of CYP1A1 via
activation of the human aryl hydrocarbon receptor (hAhR) in an apparent nonligand
binding manner. Here, we have examined the effect of nonclassical, i.e.,
nonligand binding, AhR activation by omeprazole upon human insulin-like growth
factor binding protein (hIGFBP)-1, a secreted phosphoprotein involved in
regulation of insulin-like growth factor-I/II bioavailability and mitogenic
activity. Analysis of the proximal promoter of the hIGFBP-1 gene reveals the
presence of an aryl hydrocarbon binding/dioxin response element (DRE).
Quantitative mRNA analysis revealed hIGFBP-1 expression to be responsive to both
ligand (TCDD) and nonligand (omeprazole) modes of hAhR activation in the human
hepatocarcinoma HepG2 cell line. Furthermore, mutagenesis of the DRE renders the
hIGFBP-1 promoter unresponsive to both compounds in HepG2 cells. Likewise, small
interfering RNA-mediated hAhR ablation inhibits TCDD and omeprazole-dependent
hIGFBP-1 induction, as determined by quantitative mRNA analysis. Cotreatment with
cycloheximide further suggests a direct transcriptional role for hAhR at the
hIGFBP-1 promoter. Omeprazole exposure prompted a significant increase in both
hIGFBP-1 mRNA and secreted protein from HepG2 cells. In addition, we present in
vitro evidence indicating that omeprazole at a concentration comparable with that
found circulating in subjects undergoing PPI therapy can stimulate the expression
of hIGFBP-1. These data demonstrate that activation of hAhR by pharmaceuticals
such as omeprazole can alter IGFBP-1 expression and thus may influence IGFBP-1
dependent physiological processes.
PMID- 18055879
TI - 7-Chloro-5-(4-hydroxyphenyl)-1-methyl-3-(naphthalen-2-ylmethyl)-4,5-dihydro-1H
benzo[b][1,4]diazepin-2(3H)-one (Bz-423), a benzodiazepine, suppresses
keratinocyte proliferation and has antipsoriatic activity in the human skin
severe, combined immunodeficient mouse transplant model.
AB - 7-Chloro-5-(4-hydroxyphenyl)-1-methyl-3-(naphthalen-2-ylmethyl)-4,5-dihydro-1H
benzo[b][1,4]diazepin-2(3H)-one (Bz-423) is a benzodiazepine that has cytotoxic
and cytostatic activity against a variety of cells in vivo and in vitro. In the
present study, we demonstrate that Bz-423 (formulated for topical delivery)
reduces epidermal hyperplasia in human psoriatic skin after transplantation to
severe, combined immunodeficient (scid) mice. Bz-423 also suppresses the
hyperplasia that develops in nonpsoriatic human skin as a consequence of
transplantation to scid mice. Proliferation of human epidermal keratinocytes in
monolayer culture was suppressed by Bz-423 at concentrations of 0.5 to 2.0 muM
(noncytotoxic concentrations). Keratinocyte growth inhibition was accompanied by
increased oxidant generation in Bz-423-treated cells, and treatment with vitamin
E along with Bz-423 reversed the growth inhibition. Growth inhibition was
accompanied by a redistribution of beta-catenin from a cytoplasmic pool to the
cell membrane and by reduced levels of c-myc and cyclin D1 (two molecules
associated with Wnt pathway signaling). Several analogs of Bz-423 were examined
for antiproliferative activity against human epidermal keratinocytes and human
dermal fibroblasts in monolayer culture. Each of the analogs tested suppressed
growth of both cell types, but in all cases, keratinocytes were more sensitive
than fibroblasts. Two of the compounds were found to suppress epidermal
hyperplasia induced with all-trans retinoic acid in organ cultures of human skin.
Taken together, these data show that Bz-423 and certain analogs produce
biological responses in skin cells in vitro and in vivo that are consistent with
therapeutic goals for treating psoriasis or epidermal hyperplasia resulting from
other causes.
PMID- 18055881
TI - A proposal: publication guidelines for healthcare improvement education reports.
PMID- 18055880
TI - Succinate ameliorates energy deficits and prevents dysfunction of complex I in
injured renal proximal tubular cells.
AB - We previously reported that mitochondrial function, intracellular ATP levels, and
complex I activity are decreased in renal proximal tubular cells (RPTC) after
oxidant (tert-butyl hydroperoxide; TBHP)-induced injury. This study examined the
hypothesis that succinate supplementation decreases mitochondrial dysfunction,
ameliorates energy deficits, and increases viability in TBHP-injured RPTC. Basal
and uncoupled respirations in injured RPTC decreased 33 and 35%, respectively,
but remained unchanged in injured RPTC supplemented with 10 mM succinate
(electron donor to respiratory complex II). State 3 respiration supported by
electron donors to complex I decreased 40% in injured RPTC but improved
significantly by succinate supplements. The activity of mitochondrial complex I
in TBHP-injured RPTC decreased 48%, whereas complex II activity remained
unchanged. Succinate supplementation prevented decreases in complex I activity.
ATP levels decreased 43% in injured RPTC but were maintained in injured cells
supplemented with succinate. Lipid peroxidation increased 19-fold in injured RPTC
but only 9-fold in injured cells supplemented with succinate. Exposure of primary
cultures of RPTC to TBHP produced 24% cell injury and lysis but no apoptosis. In
contrast, no cell lysis was found in RPTC supplemented with succinate. We
conclude that mitochondrial dysfunction and energy deficits in oxidant-injured
RPTC are ameliorated by succinate, and we propose that succinate supplementation
may prove therapeutically valuable. Succinate 1) uses an alternate pathway of
mitochondrial energy metabolism, 2) improves activity of complex I and oxidation
of substrates through complex I, and 3) decreases oxidative stress and cell lysis
in oxidant-injured RPTC.
PMID- 18055882
TI - Extracting information from hospital records: what patients think about consent.
AB - BACKGROUND AND OBJECTIVE: There is increasing regulation and concern about the
use of material from patients' records. Studies on patients' views have focused
on primary care and on use of material for research. This study investigated
patients' preferences about whether and how doctors should seek permission for
use of specified items of anonymised information from their hospital records for
clinical audit, teaching, national data collection and research. METHOD: A
specially designed questionnaire sent to recently discharged patients under the
care of medical and surgical specialists. RESULTS: 166/316 (53%) patients
completed the questionnaires. The percentage of respondents who "definitely
wanted" or "preferred" to be asked for permission for use of anonymised
information was highest for medical history (21%) and reasons for treatment
(20%). The purpose for which information was requested (eg, research, audit) made
little difference to the overall percentages (range 10-12%). 21 (13%) patients
"definitely wanted" to be asked for permission for use of some item or proposed
use of information--most had no preference or preferred not to be asked. The most
popular method for asking permission was signing a form while in hospital, rather
than by specific requests later. CONCLUSIONS: Most hospital patients have no
preference or prefer not to be asked permission for doctors to use information
from their records. About 1 in 8 patients would like to be asked for permission,
some even for clinical audit of outcomes--although a minority, this could
compromise thorough clinical audit. Systems for obtaining permission when
patients are admitted to hospital need to be considered. Resolution of
uncertainties surrounding legislation on the use of information would be helpful
to clinicians.
PMID- 18055883
TI - Barriers and facilitators to the implementation of the collaborative method:
reflections from a single site.
AB - BACKGROUND: A collaborative is an effective method of implementing evidence-based
practice across multiple sites through the sharing of experience and knowledge of
others in a similar setting, over a short period of time. Collaborative methods
were first used in the USA but have been adopted internationally. AIM: This paper
sought to document the facilitators and barriers to the implementation of the
collaborative method, based on a single site's experience of participating in a
multisite, state-wide heart failure collaborative. METHOD: Qualitative data was
collected using three complementary METHODS: participant observation, reflective
journalling and key informant interviews. Quantitative monitoring of team
performance occurred monthly according to prespecified performance indicators.
FINDINGS: Barriers and facilitators that were identified by this study included:
organisational factors, team composition, dynamics and networking, changing
doctor behaviour, clinical leadership and communication. CONCLUSION: The findings
from this study underscore the importance of leadership, communication and team
cohesion for the successful implementation of the collaborative method at
individual sites. In addition, the importance of a preparatory stage that deals
with known barriers and facilitators to the collaborative method before the
commencement of the official study period was highlighted. The potential for the
collaborative approach to improve clinical outcomes warrants further systematic
evaluation of process issues and consideration of the barriers and facilitators
to implementation in various settings.
PMID- 18055884
TI - Evaluating the clinical appropriateness of nurses' prescribing practice: method
development and findings from an expert panel analysis.
AB - BACKGROUND: The number of nurses independently prescribing medicines in England
is rising steadily. There had been no attempt systematically to evaluate the
clinical appropriateness of nurses' prescribing decisions. AIMS: (i) To establish
a method of assessing the clinical appropriateness of nurses' prescribing
decisions; (ii) to evaluate the prescribing decisions of a sample of nurses,
using this method. METHOD: A modified version of the Medication Appropriateness
Index (MAI) was developed, piloted and subsequently used by seven medical
prescribing experts to rate transcripts of 12 nurse prescriber consultations
selected from a larger database of 118 audio-recorded consultations collected as
part of a national evaluation. Experts were also able to give written qualitative
comments on each of the MAI dimensions applied to each of the consultations.
ANALYSIS: Experts' ratings were analysed using descriptive statistics.
Qualitative comments were subjected to a process of content analysis to identify
themes within and across both MAI items and consultations. RESULTS: Experts'
application of the modified MAI to transcripts of nurse prescriber consultations
demonstrated validity and feasibility as a method of assessing the clinical
appropriateness of nurses' prescribing decisions. In the majority of assessments
made by the expert panel, nurses' prescribing decisions were rated as clinically
appropriate on all nine items in the MAI. CONCLUSION: A valid and feasible method
of assessing the clinical appropriateness of nurses' prescribing practice has
been developed using a modified MAI and transcripts of audio-recorded
consultations sent to a panel of prescribing experts. Prescribing nurses in this
study were generally considered to be making clinically appropriate prescribing
decisions. This approach to measuring prescribing appropriateness could be used
as part of quality assurance in routine practice, as a method of identifying
continuing professional development needs, or in future research as the expansion
of non-medical prescribing continues.
PMID- 18055885
TI - Educational quality improvement report: outcomes from a revised morbidity and
mortality format that emphasised patient safety.
AB - PROBLEM: Although morbidity and mortality conferences (MMCs) are meant to promote
quality care through careful analysis of adverse events, focus on individual
actions or the fear of incrimination may interfere with identification of system
issues contributing to the adverse outcomes. DESIGN: Participant attitudes before
and after the intervention towards patient safety and conference redesign were
assessed using an attitudinal survey. A list of contributing factors, recommended
solutions and targeted system improvements was maintained with ongoing progress
recorded. SETTING: Department of Internal Medicine training programme at
University of Missouri-Columbia. PARTICIPANTS: Residents and fellows from the
above residency programme. EDUCATIONAL OBJECTIVES: (1) Distinguish between
culture of blame/shame and patient safety culture, (2) identify gaps in quality
contributing to adverse outcomes (3) identify strategies to close gaps and (4)
participate in root cause analysis, demonstrating an ability to review an adverse
event and recommend an action plan. STRATEGIES FOR CHANGE: An interdisciplinary
team modified the internal medicine MMC to emphasise a better understanding of
patient safety principles and system-based practice interventions. For each
adverse event analysed, root causes were identified, followed by discussion of
system interventions that might prevent future such events. KEY MEASURES FOR
IMPROVEMENT: (1) Attitudes of residents and fellows regarding patient safety, as
measured on a 20-item, five-point ordinal scale survey, (2) system improvements
generated from the patient safety MMC (PSMMC) and (3) attendance at PSMMC.
EFFECTS OF CHANGE: Clinical outcomes: 121 system improvement recommendations were
made and 39 were pursued on the basis of likelihood of achieving high impact
changes. 23 improvements were implemented, 11 were partially implemented or in
progress, and 5 were abandoned due to impracticality or redundancy. Educational
outcomes: 58 residents and fellows completed surveys before and after
modification of conference format. 6/20 survey items showed substantial change
with four of these changes occurring in the desired direction. Eleven of the
remaining 14 responses changed in the desired direction. Average MMC attendance
increased from 41+/-8 to 50+/-10 participants (p<0.03). LESSONS LEARNT: The new
PSMMC initiated multiple improvements in the quality of patient care without
sacrificing attendance or attitudes of the residents or fellows. The new PSMMC
promotes opportunities for participants to improve quality of patient care in a
safe and nurturing environment.
PMID- 18055886
TI - Surgical adverse outcomes and patients' evaluation of quality of care: inherent
risk or reduced quality of care?
AB - BACKGROUND: Previous research has shown that sicker patients are less satisfied
with their healthcare, but specific effects of adverse health outcomes have not
been investigated. The present study aimed to assess whether patients who
experience adverse outcomes, in hospital or after discharge, differ in their
evaluation of quality of care compared with patients without adverse outcomes.
METHOD: In hospital adverse outcomes were prospectively recorded by surgeons and
surgical residents as part of routine care. Four weeks after discharge, patients
were interviewed by telephone about the occurrence of post-discharge adverse
outcomes, and their overall evaluation of quality of hospital care and specific
suggestions for improvements in the healthcare provided. RESULTS: Of 2145
surgical patients admitted to the Leiden University Medical Center in 2003, 1876
(88%) agreed to be interviewed. Overall evaluation was less favourable by
patients who experienced post-discharge adverse outcomes only (average 19%
lower). These patients were also more often dissatisfied (OR 2.02, 95% CI 1.24 to
3.31) than patients without adverse outcomes, and they more often suggested that
improvements were needed in medical care (OR 2.07, 1.45 to 2.95) and that
patients were discharged too early (OR 3.26, 1.72 to 6.20). The effect of in
hospital adverse outcomes alone was not statistically significant. Patients with
both in hospital and post-discharge adverse outcomes also found the quality of
care to be lower (on average 33% lower) than patients without adverse outcomes.
CONCLUSIONS: Post-discharge adverse outcomes negatively influence patients'
overall evaluation of quality of care and are perceived as being discharged too
early, suggesting that patients need better information at discharge.
PMID- 18055887
TI - Extent, nature and consequences of adverse events: results of a retrospective
casenote review in a large NHS hospital.
AB - OBJECTIVES: To estimate the extent, nature and consequences of adverse events in
a large National Health Service (NHS) hospital, and to evaluate the reliability
of a two-stage casenote review method in identifying adverse events. DESIGN: A
two-stage structured retrospective patient casenote review. SETTING: A large NHS
hospital in England. POPULATION: A random sample of 1006 hospital admissions
between January and May 2004: surgery (n = 311), general medicine (n = 251),
elderly (n = 184), orthopaedics (n = 131), urology (n = 61) and three other
specialties (n = 68). MAIN OUTCOME MEASURES: Proportion of admissions with
adverse events, the proportion of preventable adverse events, and the types and
consequences of adverse events. RESULTS: 8.7% (n = 87) of the 1006 admissions had
at least one adverse event (95% CI 7.0% to 10.4%), of which 31% (n = 27) were
preventable. 15% of adverse events led to impairment or disability which lasted
more than 6 months and another 10% contributed to patient death. Adverse events
led to a mean increased length of stay of 8 days (95% CI 6.5 to 9). The
sensitivity of the screening criteria in identifying adverse events was 92% (95%
CI 87% to 96%) and the specificity was 62% (95% CI 53% to 71%). Inter-rater
reliability for determination of adverse events was good (kappa = 0.64), but for
the assessment of preventability it was only moderate (kappa = 0.44). CONCLUSION:
This study confirms that adverse events are common, serious and potentially
preventable source of harm to patients in NHS hospitals. The accuracy and
reliability of a structured two-stage casenote review in identifying adverse
events in the UK was confirmed.
PMID- 18055888
TI - European Surveillance of Antimicrobial Consumption (ESAC): quality indicators for
outpatient antibiotic use in Europe.
AB - BACKGROUND AND OBJECTIVE: Indicators to measure the quality of healthcare are
increasingly used by healthcare professionals and policy makers. In the context
of increasing antimicrobial resistance, this study aimed to develop valid drug
specific quality indicators for outpatient antibiotic use in Europe, derived from
European Surveillance of Antimicrobial Consumption (ESAC) data. METHODS: 27
experts (15 countries), in a European Science Foundation workshop, built on the
expertise within the European Drug Utilisation Research Group, the General
Practice Respiratory Infections Network, the ESCMID Study Group on Primary Care
Topics, the Belgian Antibiotic Policy Coordination Committee, the World Health
Organization, ESAC, and other experts. A set of proposed indicators was developed
using 1997-2003 ESAC data. Participants scored the relevance of each indicator to
reducing antimicrobial resistance, patient health benefit, cost effectiveness and
public health policy makers (scale: 1 (completely disagree) to 9 (completely
agree)). The scores were processed according to the UCLA-RAND appropriateness
method. Indicators were judged relevant if the median score was not in the 1-6
interval and if there was consensus (number of scores within the 1-3 interval was
fewer than one third of the panel). From the relevant indicators providing
overlapping information, the one with the highest scores was selected for the
final set of quality indicators-values were updated with 2004 ESAC data. RESULTS:
22 participants (12 countries) completed scoring of a set of 22 proposed
indicators. Nine were rated as relevant antibiotic prescribing indicators on all
four dimensions; five were rated as relevant if only relevance to reducing
antimicrobial resistance and public health policy makers was taken into account.
A final set of 12 indicators was selected. CONCLUSION: 12 of the proposed ESAC
based quality indicators for outpatient antibiotic use in Europe have face
validity and are potentially applicable. These indicators could be used to better
describe antibiotic use in ambulatory care and assess the quality of national
antibiotic prescribing patterns in Europe.
PMID- 18055889
TI - Differences in the quality of primary medical care services by remoteness from
urban settlements.
AB - OBJECTIVE: To examine if the quality of primary medical care varies with
remoteness from urban settlements. DESIGN: Cross-sectional analysis of publicly
available data of 18 process and intermediate outcome measures for people with
coronary heart disease (CHD), diabetes and stroke. SETTING AND PARTICIPANTS:
Populations registered with 912 general practices in Scotland grouped into three
categories by level of remoteness from urban settlements: not remote, remote and
very remote. MAIN OUTCOME MEASURES: Mean percentages achieving quality indicators
and interquartile range scores. RESULTS: Remote and very remote practices were
more likely to have characteristics associated with low Quality and Outcomes
Framework (QOF) total points score (smaller, higher capitation income, dispensing
practice, and had lower statin prescribing despite higher prevalence of
cardiovascular disease and diabetes). However, in contrast with previous
research, there was little evidence that quality of care was lower in more remote
areas for the 18 process and intermediate outcome measures examined. The
exception was significantly lower cholesterol measurement and control in people
with CHD, diabetes and stroke attending very remote practices (p<0.01) and beta
blocker prescription in CHD (p = 0.01). CONCLUSIONS: Under QOF, there are few
differences in the quality of care delivered to patients in practices with
different degrees of remoteness. The differences in achievement for cholesterol
were consistent with lower rates of statin prescribing relative to disease burden
in very remote practices. No differences were found for complex process measures
such as retinopathy screening, implying that differences under QOF are more
likely to be due to slower adoption of evidence-based practice than access
problems. Examining this will require analysis of individual patient data.
PMID- 18055890
TI - Comparison of patients' assessments of the quality of stroke care with audit
findings.
AB - OBJECTIVE: To determine the extent of correlation between stroke patients'
experiences of hospital care with the quality of services assessed in a national
audit. METHODS: Patients' assessments of their care derived from survey data were
linked to data obtained in the National Sentinel Stroke Audit 2004 for 670
patients in 51 English NHS trusts. A measure of patients' experience of hospital
stroke care was derived by summing responses to 31 survey items and grouping
these into three broad concept domains: quality of care; information; and
relationships with staff. Audit data were extracted from hospital admissions data
and management information to assess the organisation of services, and obtained
retrospectively from patient records to evaluate the delivery of care. Patient
survey responses were compared with audit measures of organisation of care and
compliance with clinical process standards. RESULTS: Patient experience scores
were positively correlated with clinicians' assessment of the organisational
quality of stroke care, but were largely unrelated to clinical process standards.
Responses to individual questions regarding communication about diagnosis
revealed a discrepancy between clinicians' and patients' reports. CONCLUSIONS:
Better organised stroke care is associated with more positive patient
experiences. Examining areas of disparity between patients' and clinicians'
reports is important for understanding the complex nature of healthcare and for
identifying areas for quality improvement. Future evaluations of the quality of
stroke services should include a validated patient experience survey in addition
to audit of clinical records.
PMID- 18055891
TI - Impact of short evidence summaries in discharge letters on adherence of
practitioners to discharge medication. A cluster-randomised controlled trial.
AB - BACKGROUND: International concern about quality of medical care has led to
intensive study of interventions to ensure care is consistent with best evidence.
Simple, inexpensive, feasible and effective interventions remain limited.
OBJECTIVE: We examined the impact of one-sentence evidence summaries appended to
consultants' letters to primary care practitioners on adherence of the
practitioners to recommendations made by the consultants regarding medication for
patients with chronic medical problems. DESIGN: Cluster-randomised trial.
SETTING: Secondary/primary care interface (urban district hospital/referral
practices). PARTICIPANTS: 178 practices received one or more discharge letters
with evidence summaries. The 66 practices in the intervention group provided
feedback on 172 letters, and the 56 practices in the control group provided
feedback on 96 letters. RESULTS: Appending an evidence summary to discharge
letters resulted in a decrease in non-adherence to discharge medication from
29.6% to 18.5% (difference adjusted for underlying medical condition 12.5%; p =
0.039). Among the five possible reasons for discontinuing discharge medication,
the evidence summaries seemed to have the largest impact on budget-related
reasons for discontinuation (2.6% in the intervention versus 10.7% in the control
group (p = 0.052)). Most clinicians (72%) were enthusiastic about continuing
receiving evidence summaries with discharge letters in routine care. CONCLUSIONS:
The one-sentence evidence summary is a simple, inexpensive, well-accepted
intervention that may improve primary care practitioners' adherence to evidence
based consultant recommendations.
PMID- 18055892
TI - Capturing users' experience of UK out-of-hours primary medical care: piloting and
psychometric properties of the Out-of-hours Patient Questionnaire.
AB - BACKGROUND AND OBJECTIVE: Provision of out-of-hours care in the UK National
Health Service (NHS) has changed in recent years with new models of provision and
the introduction of national quality requirements. Existing survey instruments
tend to focus on users' satisfaction with service provision; most were developed
without undertaking supporting qualitative fieldwork. In this study, a survey
instrument was developed taking account of these changes in service provision and
undertaking supporting qualitative fieldwork. This paper reports on the
development and psychometric properties of the new survey instrument, the Out-of
hours Patient Questionnaire (OPQ), which aims to capture information on the
entirety of users' experiences of out-of-hours care, from the decision to make
contact through to completion of their care management. METHODS: An iterative
approach was undertaken to develop the new instrument which was then tested in
users of out-of-hours services in three geographically distributed UK settings.
For the purposes of this study, "service users" were defined as "individuals
about whom contact was made with an out-of-hours primary care medical service",
whether that contact was made by the user themselves, or via a third party.
Analysis was undertaken of the acceptability, reliability and validity of the
survey instrument. RESULTS: The OPQ tested is a 56-item questionnaire, which was
distributed to 1250 service users. Respondents were similar in respect of gender,
but were older and more affluent (using a proxy measure) than non-respondents.
Item completion rates were acceptable. Respondents sometimes completed sections
of the questionnaire which did not equate to their principal mode of management
as recorded in the record of the contact. Preliminary evidence suggests the OPQ
is a valid and reliable instrument which contains within it two discrete scales-
a consultation satisfaction scale (nine items) and an "entry-access" scale (four
items). Further work is required to determine the generalisability of findings
obtained following use of the OPQ, especially to non-white user populations.
CONCLUSION: The OPQ is an acceptable instrument for capturing information on
users' experiences of out-of-hours care. Preliminary evidence suggests it is both
valid and reliable in use. Further work will report on its utility in informing
out-of-hours service planning and configuration and standard-setting in relation
to UK national quality requirements.
PMID- 18055893
TI - Exploring users' experiences of accessing out-of-hours primary medical care
services.
AB - BACKGROUND: Since 2000, out-of-hours primary medical care services in the UK have
undergone major changes in the organisation and delivery of services in response
to recommendations by the Carson Review and more recently, through the new
General Medical Services Contract (GMS2). People calling their general practice
in the evening or at weekends are redirected to the out-of-hours service which
may offer telephone advice, a home visit or a visit to a treatment centre. Little
is known about users' experiences under the new arrangements. AIM: To explore
users' experiences of out-of-hours primary medical care. DESIGN OF STUDY: A
qualitative study employing focus groups and telephone interviews. SETTING: Three
out-of-hours primary medical care service providers in England. METHODS: Focus
groups and telephone interviews were conducted with 27 recent users of out-of
hours services. RESULTS: Key areas of concern included the urgency with which
cases are handled, and delays when waiting for a call back or home visit. Users
felt that providers were reluctant to do home visits. The service was regarded as
under-resourced and frequently misused. Many expressed anxiety about calling,
feeling unsure about how appropriate their call was and many were uncertain about
how the service operated. CONCLUSIONS: Service users need clear information on
how current out-of-hours services operate and how it should be used. Problems
with triaging need to be addressed, users should be kept informed of any delays,
and care needs to be taken to ensure that the new arrangements do not alienate
older people or individuals with complex health needs.
PMID- 18055894
TI - Making the right decision: Benjamin Franklin's son dies of smallpox in 1736.
PMID- 18055895
TI - More guidelines on research ethics?
PMID- 18055896
TI - From cure to palliation: concept, decision and acceptance.
AB - The aim of this paper is to present and discuss nurses' and physicians' comments
in a questionnaire relating to patients' transition from curative treatment to
palliative care. The four-page questionnaire relating to experiences of and
attitudes towards communication, decision-making, documentation and
responsibility of nurses and physicians and towards the competence of patients
was developed and sent to a random sample of 1672 nurses and physicians of 10
specialties. The response rate was 52% (n = 844), and over one-third made
comments. The respondents differed in their comments about three areas: the
concept of palliative care, experiences of unclear decision-making and
difficulties in acceptance of the patient's situation. The responses are analysed
in terms of four ethical theories: virtue ethics, deontology, consequentialism
and casuistry. Many virtues considered to be appropriate for healthcare personnel
to possess were invoked. Compassion, honesty, justice and prudence are especially
important. However, principles of medical ethics, such as the deontological
principle of respect for self-determination and the consequence of avoidance of
harm, are also implied. Casuistry may be particularly helpful in analysing
certain areas of difficulty-namely, what is meant by "palliative care", decision
making and accepting the patient's situation. Keeping a patient in a state of
uncertainty often causes more suffering than necessary. Communication among the
staff and with patients must be explicit. Many of the staff have not had adequate
training in communicating with patients who are at the end of their life. Time
for joint reflection has to be regained, and training in decision-making is
essential. In our opinion, palliative care in Sweden is in need of improvement.
PMID- 18055897
TI - Do patients have duties?
AB - The notion of patients' duties has received periodic scholarly attention but
remains overwhelmed by attention to the duties of healthcare professionals. In a
previous paper the author argued that patients in publicly funded healthcare
systems have a duty to participate in clinical research, arising from their debt
to previous patients. Here the author proposes a greatly extended range of
patients' duties grounding their moral force distinctively in the interests of
contemporary and future patients, since medical treatment offered to one patient
is always liable to be an opportunity cost (however justifiable) in terms of
medical treatment needed by other patients. This generates both negative and
positive duties. Ten duties-enjoining obligations ranging from participation in
healthcare schemes to promoting one's own earliest recovery from illness-are
proposed. The characteristics of these duties, including their basis, moral
force, extent and enforceability, are considered. They are tested against a range
of objections-principled, societal, epistemological and practical-and found to
survive. Finally, the paper suggests that these duties could be thought to
reinforce a regrettably adversarial characteristic, shared with rights-based
approaches, and that a preferable alternative might be sought through the (here
unexplored) notion of a "virtuous patient" contributing to a problem-solving
partnership with the clinician. However, in defining and giving content to that
partnership, there is a clear role for most, if not all, of the proposed duties;
their value thus extends beyond the adversarial context in which they might first
be thought to arise.
PMID- 18055898
TI - A software platform to analyse the ethical issues of electronic patient privacy
policy: the S3P example.
AB - Paper-based privacy policies fail to resolve the new changes posed by electronic
healthcare. Protecting patient privacy through electronic systems has become a
serious concern and is the subject of several recent studies. The shift towards
an electronic privacy policy introduces new ethical challenges that cannot be
solved merely by technical measures. Structured Patient Privacy Policy (S3P) is a
software tool assuming an automated electronic privacy policy in an electronic
healthcare setting. It is designed to simulate different access levels and rights
of various professionals involved in healthcare in order to assess the emerging
ethical problems. The authors discuss ethical issues concerning electronic
patient privacy policies that have become apparent during the development and
application of S3P.
PMID- 18055899
TI - The Declaration of Sydney on human death.
AB - On 5 August 1968, publication of the Harvard Committee's report on the subject of
"irreversible coma" established a standard for diagnosing death on neurological
grounds. On the same day, the 22nd World Medical Assembly met in Sydney,
Australia, and announced the Declaration of Sydney, a pronouncement on death,
which is less often quoted because it was overshadowed by the impact of the
Harvard Report. To put those events into present-day perspective, the authors
reviewed all papers published on this subject and the World Medical Association
web page and documents, and corresponded with Dr A G Romualdez, the son of Dr A Z
Romualdez. There was vast neurological expertise among some of the Harvard
Committee members, leading to a comprehensible and practical clinical description
of the brain death syndrome and the way to diagnose it. This landmark account had
a global medical and social impact on the issue of human death, which
simultaneously lessened reception of the Declaration of Sydney. Nonetheless, the
Declaration of Sydney faced the main conceptual and philosophical issues on human
death in a bold and forthright manner. This statement differentiated the meaning
of death at the cellular and tissue levels from the death of the person. This was
a pioneering view on the discussion of human death, published as early as in
1968, that should be recognised by current and future generations.
PMID- 18055900
TI - The secret art of managing healthcare expenses: investigating implicit rationing
and autonomy in public healthcare systems.
AB - Rationing healthcare is a difficult task, which includes preventing patients from
accessing potentially beneficial treatments. Proponents of implicit rationing
argue that politicians cannot resist pressure from strong patient groups for
treatments and conclude that physicians should ration without informing patients
or the public. The authors subdivide this specific programme of implicit
rationing, or "hidden rationing", into local hidden rationing, unsophisticated
global hidden rationing and sophisticated global hidden rationing. They evaluate
the appropriateness of these methods of rationing from the perspectives of
individual and political autonomy and conclude that local hidden rationing and
unsophisticated global hidden rationing clearly violate patients' individual
autonomy, that is, their right to participate in medical decision-making. While
sophisticated global hidden rationing avoids this charge, the authors point out
that it nonetheless violates the political autonomy of patients, that is, their
right to engage in public affairs as citizens. A defence of any of the forms of
hidden rationing is therefore considered to be incompatible with a defence of
autonomy.
PMID- 18055901
TI - French district nurses' opinions towards euthanasia, involvement in end-of-life
care and nurse patient relationship: a national phone survey.
AB - OBJECTIVES: To assess French district nurses' opinions towards euthanasia and to
study factors associated with these opinions, with emphasis on attitudes towards
terminal patients. DESIGN AND SETTING: An anonymous telephone survey carried out
in 2005 among a national random sample of French district nurses. PARTICIPANTS:
District nurses currently delivering home care who have at least 1 year of
professional experience. Of 803 district nurses contacted, 602 agreed to
participate (response rate 75%). MAIN OUTCOME MEASURES: Opinion towards the
legalisation of euthanasia (on a five-point Likert scale from "strongly agree" to
"strongly disagree"), attitudes towards terminal patients (discussing end-of-life
issues with them, considering they should be told their prognosis, valuing the
role of advance directives and surrogates). RESULTS: Overall, 65% of the 602
nurses favoured legalising euthanasia. Regarding associated factors, this
proportion was higher among those who discuss end-of-life issues with terminal
patients (70%), who consider competent patients should always be told their
prognosis (81%) and who value the role of advance directives and surrogates in
end-of-life decision-making for incompetent patients (68% and 77% respectively).
Women and older nurses were less likely to favour legalising euthanasia, as were
those who believed in a god who masters their destiny. CONCLUSIONS: French nurses
are more in favour of legalising euthanasia than French physicians; these two
populations contrast greatly in the factors associated with this support. Further
research is needed to investigate how and to what extent such attitudes may
affect nursing practice and emotional well-being in the specific context of end
of-life home care.
PMID- 18055902
TI - Elderly patients also have rights.
AB - BACKGROUND: Sharing information with relatives of elderly patients in primary
care and in hospital has to fit into the complex set of obligations,
justifications and pressures concerning the provision of information, and the
results of some studies point to the need for further empirical studies exploring
issues of patient autonomy, privacy and informed consent in the day-to-day care
of older people. OBJECTIVES: To know the frequency with which "capable" patients
over 65 years of age receive information when admitted to hospital, the
information offered to the families concerned, the person who gives consent for
medical intervention, and the degree of satisfaction with the information
received and the healthcare provided. METHOD: A descriptive questionnaire given
to 200 patients and 200 relatives during the patients' stay in hospital. RESULTS:
Only 5% of patients confirmed that they had been asked whether information could
be given to their relatives. A significantly higher proportion of relatives
received information on the successive stages of the care offered than did
patients themselves. As the age of the patients increased, so the number who were
given information, understood the information and were asked for their consent
for complementary tests decreased. The degree of satisfaction with the
information offered was high for both patients and relatives (86.5% and 84%,
respectively), despite the irregularities observed. CONCLUSIONS: The capacity of
elderly patients to participate in the decision-making process is frequently
doubted simply because they have reached a certain age and it is thought that
relatives should act as their representatives. In Spain, the opinion of the
family and doctors appears to play a larger role in making decisions than does
the concept of patient autonomy.
PMID- 18055903
TI - Ethical reflection on the harm in reproductive decision-making.
AB - Advances in reproductive technologies continue to present ethical problems
concerning their implementation and use. These advances have preoccupied
bioethicists in their bid to gauge our moral responsibilities and obligations
when making reproductive decisions. The aim of this discussion is to highlight
the importance of a sensibility to differences in moral perspective as part of
our ethical inquiry in these matters. Its focal point is the work of John
Harris(i), who has consistently addressed the ethical issues raised by advancing
reproductive technologies. The discussion is aimed at a central tenet of Harris's
position on reproductive decision-making-namely, that in some instances, giving
birth to a worthwhile life may cause harm and will therefore be morally wrong. It
attempts to spell out some of the implications of Harris's position that the
author takes to involve a misplaced generality. To support this claim, some
examples are explored that demonstrate the variety of ways in which concepts
(such as harm) may manifest themselves as moral considerations within the context
of reproductive decision-making. The purpose is to demonstrate that Harris's
general conception of the moral limits of reproductive autonomy obscures the
issues raised by particular cases, which in themselves may reveal important
directions for our ethical inquiry.
PMID- 18055904
TI - End-of-life decisions in medical practice: a survey of doctors in Victoria
(Australia).
AB - OBJECTIVES: To discover the current state of opinion and practice among doctors
in Victoria, Australia, regarding end-of-life decisions and the legalisation of
voluntary euthanasia. Longitudinal comparison with similar 1987 and 1993 studies.
DESIGN AND PARTICIPANTS: Cross-sectional postal survey of doctors in Victoria.
RESULTS: 53% of doctors in Victoria support the legalisation of voluntary
euthanasia. Of doctors who have experienced requests from patients to hasten
death, 35% have administered drugs with the intention of hastening death. There
is substantial disagreement among doctors concerning the definition of
euthanasia. CONCLUSIONS: Disagreement among doctors concerning the meaning of the
term euthanasia may contribute to misunderstanding in the debate over voluntary
euthanasia. Among doctors in Victoria, support for the legalisation of voluntary
euthanasia appears to have weakened slightly over the past 17 years. Opinion on
this issue is sharply polarised.
PMID- 18055905
TI - The stem cell debate continues: the buying and selling of eggs for research.
AB - Now that stem cell scientists are clamouring for human eggs for cloning-based
stem cell research, there is vigorous debate about the ethics of paying women for
their eggs. Generally speaking, some claim that women should be paid a fair wage
for their reproductive labour or tissues, while others argue against the further
commodification of reproductive labour or tissues and worry about voluntariness
among potential egg providers. Siding mainly with those who believe that women
should be financially compensated for providing eggs for research, the new stem
cell guidelines of the International Society for Stem Cell Research (ISSCR)
legitimise both reimbursement of direct expenses and financial compensation for
many women who supply eggs for research. In this paper, the authors do not
attempt to resolve the thorny issue of whether payment for eggs used in human
embryonic stem cell research is ethically legitimate. Rather, they want to show
specifically that the ISSCR recommended payment practices are deeply flawed and,
more generally, that all payment schemes that aim to avoid undue inducement of
women risk the global exploitation of economically disadvantaged women.
PMID- 18055906
TI - Ethics committees and the legality of research.
AB - One role of research ethics committees (RECs) is to assess the ethics of proposed
health research. In some countries, RECs are also instructed to assess its
legality. However, in other countries they are explicitly instructed not to do
so. In this paper, I defend the claim that public policy should instruct RECs not
to assess the legality of proposed research ("the Claim"). I initially defend a
presumption in favour of the Claim, citing reasons for making research
institutions solely responsible for assessing the legality of their own research.
I then consider three arguments against the Claim which may over-ride this
presumption-namely, that policy should instruct RECs to assess the legality of
research because (1) doing so would minimise the costs of assessing the legality
of research, (2) whether research is legal may partly determine whether it is
ethical and (3) whether research is legal may constitute evidence for whether it
is ethical. I reject the first two arguments and note that whether the third
succeeds depends on the answer to a more fundamental question about the
appropriate nature of REC ethical deliberation. I end with a brief discussion of
this question, tentatively concluding that the third argument also fails.
PMID- 18055907
TI - Teaching medical students about fair distribution of healthcare resources.
AB - Healthcare package decisions are complex. Different judgements about
effectiveness, cost-effectiveness and disease burden influence the decision
making process. Moreover, different concepts of justice generate different ideas
about fair distribution of healthcare resources. This paper presents a decision
model that is used in medical school in order to familiarise medical students
with the different concepts of justice and the ethical dimension of making
concrete choices. The model is based on the four-stage decision model developed
in the Netherlands by the Dunning Committee and the discussion that followed its
presentation in 1991. Having to deal with 10 medical services, students working
with the model learn to discern and integrate four different ideas of
distributive justice that are integrated in a flow chart: libertarian,
communitarian, egalitarian and utilitarian.
PMID- 18055909
TI - GATA4 sequence variants in patients with congenital heart disease.
AB - BACKGROUND: Recent reports have identified mutations in the transcription factor
GATA4 in familial cases of cardiac septal defects. The prevalence of GATA4
mutations in the population of patients with septal defects is unknown. Given
that patients with septal and conotruncal defect can share a common genetic
basis, it is unclear whether patients with additional types of CHD might also
have GATA4 mutations. AIMS: To explore these questions by investigating a large
population of 628 patients with either septal or conotruncal defects for GATA4
sequence variants. METHODS: The GATA4 coding region and exon-intron boundaries
were investigated for sequence variants using denaturing high-performance liquid
chromatography or conformation-sensitive gel electrophoresis. Samples showing
peak or band shifts were reamplified from genomic DNA and sequenced. RESULTS:
Four missense sequence variants (Gly93Ala, Gln316Glu, Ala411Val, Asp425Asn) were
identified in five patients (two with atrial septal defect, two with ventricular
septal defect and one with tetralogy of Fallot), which were not seen in a control
population. All four affected amino acid residues are conserved across species,
and two of the sequence variants lead to changes in polarity. Ten synonymous
sequence variants were also identified in 18 patients, which were not seen in the
control population. CONCLUSIONS: These data suggest that non-synonymous GATA4
sequence variants are found in a small percentage of patients with septal defects
and are very uncommonly found in patients with conotruncal defects.
PMID- 18055910
TI - Episodic ataxia and hemiplegia caused by the 8993T->C mitochondrial DNA mutation.
AB - The m.8993T-->C MTATP6 mutation of mitochondrial DNA (mtDNA) usually causes
mitochondrial disease in childhood, but was recently described in a family with
adult onset ataxia and polyneuropathy. Cytochrome c oxidase muscle
histochemistry, which is the standard clinical investigation for mitochondrial
disease in adults, is usually normal in patients with MTATP6 mutations. This
raises the possibility that these cases have been missed in the past. We
therefore studied 308 patients with unexplained ataxia and 96 patients with
suspected Charcot-Marie-Tooth disease to determine whether the m.8993T-->C MTATP6
mutation is common in unexplained inherited ataxia and/or polyneuropathy. We
identified a three-generation family with the m.8993T-->C mutation of mtDNA. One
subject had episodic ataxia (EA) and transient hemipareses, broadening the
phenotype. However, no further cases were identified in an additional cohort of
191 patients with suspected EA. In conclusion, m.8993T-->C MTATP6 should be
considered in patients with unexplained ataxia, CMT or EA, but cases are
uncommon.
PMID- 18055911
TI - Deletions of NF1 gene and exons detected by multiplex ligation-dependent probe
amplification.
AB - To estimate the contribution of single and multi-exon NF1 gene copy-number
changes to the NF1 mutation spectrum, we analysed a series of 201 Italian
patients with neurofibromatosis type 1 (NF1). Of these, 138 had previously been
found, using denaturing high-performance liquid chromatography or protein
truncation test, to be heterozygous for intragenic NF1 point
mutations/deletions/insertions, and were excluded from this analysis. The
remaining 63 patients were analysed using multiplex ligation-dependent probe
amplification (MLPA), which allows detection of deletions or duplications
encompassing >or=1 NF1 exons, as well as entire gene deletions. MLPA results were
validated using real-time quantitative PCR (qPCR) or fluorescent in situ
hybridisation. MLPA screening followed by real-time qPCR detected a total of 23
deletions. Of these deletions, six were single exon, eight were multi-exon, and
nine were of the entire NF1 gene. In our series, deletions encompassing >or=1 NF1
exons accounted for approximately 7% (14/201) of the NF1 gene mutation spectrum,
suggesting that screening for these should now be systematically included in
genetic testing of patients with NF1.
PMID- 18055912
TI - Combination of two regulatory elements in the Tetrahymena thermophila HSP70-1
gene controls heat shock activation.
AB - The induction of heat shock genes (HSPs) is thought to be primarily regulated by
heat shock transcription factors (HSFs), which bind target sequences on HSP
promoters, called heat shock elements (HSEs). In this study, we investigated the
5' untranslated regions of the Tetrahymena thermophila HSP70-1 gene, and we
found, in addition to the canonical and divergent HSEs, multiple sets of GATA
elements that have not been reported previously in protozoa. By means of in vivo
analysis of a green fluorescent protein reporter transgene driven by the HSP70-1
promoter, we demonstrate that HSEs do not represent the minimal regulatory
elements for heat shock induction, since the HSP70-1 is tightly regulated by both
HSE and GATA elements. Electrophoretic mobility shift assay also showed that HSFs
are constitutively bound to the HSEs, whereas GATA elements are engaged only
after heat shock. This is the first demonstration by in vivo analysis of
functional HSE and GATA elements in protozoa. Furthermore, we provide evidence of
a functional link between HSE and GATA elements in the activation of the heat
shock response.
PMID- 18055913
TI - Pathway of cytosolic starch synthesis in the model glaucophyte Cyanophora
paradoxa.
AB - The nature of the cytoplasmic pathway of starch biosynthesis was investigated in
the model glaucophyte Cyanophora paradoxa. The storage polysaccharide granules
are shown to be composed of both amylose and amylopectin fractions, with a chain
length distribution and crystalline organization similar to those of green algae
and land plant starch. A preliminary characterization of the starch pathway
demonstrates that Cyanophora paradoxa contains several UDP-glucose-utilizing
soluble starch synthase activities related to those of the Rhodophyceae. In
addition, Cyanophora paradoxa synthesizes amylose with a granule-bound starch
synthase displaying a preference for UDP-glucose. A debranching enzyme of
isoamylase specificity and multiple starch phosphorylases also are evidenced in
the model glaucophyte. The picture emerging from our biochemical and molecular
characterizations consists of the presence of a UDP-glucose-based pathway similar
to that recently proposed for the red algae, the cryptophytes, and the
alveolates. The correlative presence of isoamylase and starch among
photosynthetic eukaryotes is discussed.
PMID- 18055914
TI - MOS1 osmosensor of Metarhizium anisopliae is required for adaptation to insect
host hemolymph.
AB - Entomopathogenic fungi such as Metarhizium anisopliae infect insects by direct
penetration of the cuticle, after which the fungus adapts to the high osmotic
pressure of the hemolymph and multiplies. Here we characterize the M. anisopliae
Mos1 gene and demonstrate that it encodes the osmosensor required for this
process. MOS1 contains transmembrane regions and a C-terminal Src homology 3
domain similar to those of yeast osmotic adaptor proteins, and homologs of MOS1
are widely distributed in the fungal kingdom. Reverse transcription-PCR
demonstrated that Mos1 is up-regulated in insect hemolymph as well as artificial
media with high osmotic pressure. Transformants containing an antisense vector
directed to the Mos1 mRNA depleted transcript levels by 80%. This produced
selective alterations in regulation of genes involved in hyphal body formation,
cell membrane stiffness, and generation of intracellular turgor pressure,
suggesting that these processes are mediated by MOS1. Consistent with a role in
stress responses, transcript depletion of Mos1 increased sensitivity to osmotic
and oxidative stresses and to compounds that interfere with cell wall
biosynthesis. It also disrupted developmental processes, including formation of
appressoria and hyphal bodies. Insect bioassays confirmed that Mos1 knockdown
significantly reduces virulence. Overall, our data show that M. anisopliae MOS1
mediates cellular responses to high osmotic pressure and subsequent adaptations
to colonize host hemolymph.
PMID- 18055915
TI - Amt2 permease is required to induce ammonium-responsive invasive growth and
mating in Cryptococcus neoformans.
AB - The conserved AmtB/Mep/Rh family of proteins mediate the transport of ammonium
across cellular membranes in a wide range of organisms. Certain fungal members of
this group are required to initiate filamentous growth. We have investigated the
functions of two members of the AmtB/Mep/Rh family from the pathogenic
basidiomycete Cryptococcus neoformans. Amt1 and Amt2 are low- and high-affinity
ammonium permeases, respectively, and a mutant lacking both permeases is unable
to grow under ammonium-limiting conditions. AMT2 is transcriptionally induced in
response to nitrogen limitation, whereas AMT1 is constitutively expressed. Single
and double amt mutants exhibit wild-type virulence in two models of
cryptococcosis. Consistent with this, the formation of two C. neoformans
virulence factors, cell wall melanin and the extracellular polysaccharide
capsule, is not impaired in cells lacking either or both of the Amt1 and Amt2
permeases. Amt2 is, however, required for the initiation of invasive growth of
haploid cells under low-nitrogen conditions and for the mating of wild-type cells
under the same conditions. We propose that Amt2 may be a new fungal ammonium
sensor and an element of the signaling cascades that govern the mating of C.
neoformans in response to environmental nutritional cues.
PMID- 18055916
TI - Surgical decompression of the quadrilateral space in overhead athletes.
AB - BACKGROUND: Quadrilateral space syndrome is an uncommon condition that can
disable the overhead athlete. The authors describe 4 cases of quadrilateral space
syndrome that may assist clinicians in recognition of this problem in patients
with posterior shoulder pain. HYPOTHESIS: Quadrilateral space syndrome can
present as posterior shoulder pain in the overhead athlete, and surgical
decompression can relieve symptoms and allow full return to activity. STUDY
DESIGN: Case series; Level of evidence, 4. METHODS: Between 2004 and 2006, the
authors performed surgical decompression of the quadrilateral space in 4 overhead
athletes (4 shoulders; mean age, 24 years). They evaluated the clinical
presentations, diagnostic tests, surgical procedures, and results of treatment.
Mean follow-up was 24.5 months. RESULTS: All 4 patients underwent surgical
decompression of the quadrilateral space. Fibrous bands entrapped the axillary
nerve in 3 shoulders, and venous dilation was found in the fourth shoulder. All
patients returned to full activity without pain or limitation of overhead
function 12 weeks after surgery. CONCLUSION: Quadrilateral space syndrome is an
uncommon cause of posterior shoulder pain that is easily overlooked and can
severely limit overhead function in the athlete. Surgical decompression can
predictably relieve pain and improve function in patients who do not respond to
nonoperative regimens.
PMID- 18055917
TI - Ten- to 14-year follow-up of the Nirschl surgical technique for lateral
epicondylitis.
AB - BACKGROUND: Good to excellent short-term results have been reported for the
surgical treatment of lateral epicondylitis using various surgical techniques.
HYPOTHESIS: Surgical treatment for lateral epicondylitis using the mini-open
Nirschl surgical technique will lead to durable results at long-term follow-up.
STUDY DESIGN: Case series; Level of evidence, 4. METHODS: Records from 139
consecutive surgical procedures (130 patients) for lateral epicondylitis
performed by 1 surgeon between 1991 and 1994 were retrospectively reviewed.
Eighty-three patients (92 elbows) were available by telephone for a mean follow
up of 12.6 years (range, 10-14 years). Outcome measures included the Numeric Pain
Intensity Scale, Nirschl and Verhaar tennis elbow-specific scoring systems, and
American Shoulder and Elbow Surgeons elbow form. Preoperative data were collected
retrospectively. RESULTS: The mean age of the study group was 46 years (range, 23
70 years) with 45 men and 38 women. Eighty-seven of the procedures were primary,
and 5 were revision tennis elbow surgeries. Concomitant procedures were performed
in 30 patients including ulnar nerve release in 24 patients, medial tennis elbow
procedures in 23 patients, shoulder arthroscopy in 2 patients, carpal tunnel
release in 1 patient, and triceps debridement and osteophyte excision in 1
patient. The mean duration of preoperative symptoms was 2.2 years (range, 2
months to 10 years). The mean Nirschl tennis elbow score improved from 23.0 to
71.0, and the mean American Shoulder and Elbow Surgeons score improved from 34.3
to 87.7 at a minimum of 10-year follow-up (P < .05). The Numeric Pain Intensity
Scale pain score improved from 8.4 preoperatively to 2.1 (P < .05). Results were
rated as excellent in 71 elbows, good in 6 elbows, fair in 9 elbows, and poor in
6 elbows by the Nirschl tennis elbow score. By the criteria of Verhaar et al, the
results were excellent in 45 elbows, good in 32 elbows, fair in 8 elbows, and
poor in 7 elbows. Eighty-four percent good to excellent results were achieved
using both scoring systems. Ninety-two percent of the patients reported normal
elbow range of motion. The overall improvement rate was 97%. Patient satisfaction
averaged 8.9 of 10. Ninety-three percent of those available at a minimum of 10
year follow-up reported returning to their sports. CONCLUSION: The mini-open
Nirschl surgical technique with accurate resection of the tendinosis tissue
remains highly successful in the long term.
PMID- 18055918
TI - The effects of sequential sectioning of defined posterior cruciate ligament fiber
regions on translational knee motion.
AB - BACKGROUND: Recent studies have shown that the posterior cruciate ligament (PCL)
is composed of a continuum of fiber regions that display characteristic
mechanical behavior under different motion and loading conditions. HYPOTHESIS:
The anterior, central, and posterior fiber regions of the PCL differentially
contribute to control of posterior translation of the tibia. STUDY DESIGN:
Controlled laboratory study. METHODS: Nine intact, fresh-frozen cadaveric knees
were instrumented with excursion wires implanted within the anterior, central,
and posterior fiber regions of the PCL. In groups of 3, patterns of incremental
posterior tibial translation using a 74-N posterior force were analyzed as a
function of the variable linear separation distance between tibial and femoral
fiber region attachment sites during posterior drawer testing at knee flexion
angles of 20 degrees and 90 degrees before and after sequential fiber region
section. RESULTS: At 20 degrees of knee flexion, there was no statistical
difference in the relatively small amount of posterior tibial translation,
regardless of whether the anterior, central, or posterior fibers were alone
transected (P = .350). At 90 degrees of knee flexion, whether the posterior
fibers were cut first, second, or third (order of section), the incremental
difference in posterior tibial translation this produced was significantly
different (P = .039). For the fiber regions combined, the third fiber region
section resulted in a significantly larger incremental translation than did
either the first or second section with the knee flexed 90 degrees (P = .001).
After transection of all fiber regions, significantly more total posterior tibial
translation occurred at 90 degrees versus 20 degrees of flexion (P = .002).
CONCLUSIONS: This study shows that fiber regions within the PCL have unique
characteristics and behave differently in response to posterior drawer forces.
CLINICAL RELEVANCE: This study provides additional information on the complex
mechanical behavior of the PCL and suggests that some partial tears (ie, those
involving 1 or 2 fiber regions) may only result in minimal posterior translation
during drawer testing at 90 degrees .
PMID- 18055919
TI - Surgical treatment of acute versus chronic complete proximal hamstring ruptures:
results of a new allograft technique for chronic reconstructions.
AB - BACKGROUND: Acute surgical repair of proximal hamstring ruptures has been shown
to result in a high return to preinjury activity level. HYPOTHESIS: Achilles
allograft reconstruction of chronic complete proximal hamstring ruptures results
in improved function and strength that approaches that of acute surgical repairs.
STUDY DESIGN: Cohort study; Level of evidence, 2. METHODS: Between 2002 and 2005,
26 patients underwent surgical treatment for complete proximal hamstring
ruptures. Twenty-one were acute primary repairs. Five chronic ruptures were
treated with Achilles allograft reconstruction with (n = 2) or without (n = 2)
interference screw fixation or mobilization and primary repair (n = 1).
Isokinetic strength testing was conducted postoperatively in 11 acute and 3
chronic cases, and a functional questionnaire was given at most recent follow-up.
RESULTS: Subjective results for all patients at a mean follow-up of 20 months
revealed good leg control in 96%, no pain in 80%, and return to sporting
activities in 76%. Ninety-six percent of patients said they would have the
procedure done again. With the isokinetic testing available at most recent follow
up, there was no significant difference in the mean hamstring strength deficits
for the acute versus chronic groups tested at 60 deg/s (8% vs 21%, P =.295) and
180 deg/s (12% vs 2%, P = .294). Overall, there were 3 major adverse events and 5
patients with superficial wound drainage treated with antibiotics. CONCLUSION:
Surgical treatment of acute and chronic complete proximal hamstring ruptures can
result in a high return to full activity. Reconstruction of chronic ruptures with
Achilles allograft appears to restore function and strength comparable to acute
repairs.
PMID- 18055920
TI - A biomechanical comparison of youth baseball pitches: is the curveball
potentially harmful?
AB - BACKGROUND: The curveball has been anecdotally considered as a dangerous pitch
among youth pitchers, especially for their ulnar collateral ligaments. No
biomechanical studies have been conducted among youth pitchers comparing
different types of pitches. HYPOTHESIS: The kinetics of the baseball throw varies
significantly between the fastball, curveball, and change-up for youth pitchers.
Kinematic and temporal differences are also expected. STUDY DESIGN: Controlled
laboratory study. METHODS: Twenty-nine youth baseball pitchers (age, 12.5 +/- 1.7
years) pitched 5 fastballs, 5 curveballs, and 5 change-ups with maximum effort in
an indoor laboratory setting. Data were collected with a 3-dimensional motion
analysis system. Kinetic, kinematic, and temporal parameters were compared among
the 3 pitches. RESULTS: For elbow varus torque, shoulder internal rotation
torque, elbow proximal force, and shoulder proximal force, the fastball produced
the greatest values, followed by the curveball and then the change-up. The
fastball also produced the greatest elbow flexion torque. Shoulder horizontal
adduction torque and shoulder adduction torque were the least for the change-up.
Several differences in body segment position, velocity, and timing were also
found. CONCLUSIONS: In general, elbow and shoulder loads were the greatest in the
fastball and least in the change-up. Kinematic and temporal differences were also
found among the 3 pitch types. CLINICAL RELEVANCE: The curveball may not be more
potentially harmful than the fastball for youth pitchers. This finding is
consistent with recent epidemiologic research indicating that amount of pitching
is a stronger risk factor than type of pitches thrown.
PMID- 18055921
TI - Do capacitively coupled electric fields accelerate tibial stress fracture
healing? A randomized controlled trial.
AB - BACKGROUND: Tibial stress fractures increasingly affect athletes and military
recruits, with few known effective management options. Electrical stimulation
enhances regular fracture healing, but the effect on stress fractures has not
been definitively tested. HYPOTHESIS: Capacitively coupled electric field
stimulation will accelerate tibial stress fracture healing. STUDY DESIGN:
Randomized controlled trial; Level of evidence, 1. METHODS: Twenty men and 24
women with acute posteromedial tibial stress fractures were referred from local
clinicians. Subjects were randomly assigned active or placebo capacitively
coupled electric field stimulation to be applied for 15 hours per day until
healed, given supplemental calcium, and instructed to rest from provocative
training. Healing was confirmed when hopping to 10 cm for 30 seconds could be
achieved without pain. RESULTS: No difference in time to healing was detected
between treatment and placebo groups. Women in the treatment group healed more
slowly than did the men (P = .05). Superior treatment compliance was associated
with reduced time to healing (P = .003). Rest noncompliance was associated with
increased time to healing (P = .05). CONCLUSION: Whole-group analysis did not
detect an effect of capacitively coupled electric field stimulation on tibial
stress fracture healing; however, greater device use and less weightbearing
loading enhanced the effectiveness of the active device. More severe stress
fractures healed more quickly with capacitively coupled electric field
stimulation. CLINICAL RELEVANCE: Although the use of capacitively coupled
electric field stimulation for tibial stress fracture healing may not be
efficacious for all, it may be indicated for the more severely injured or elite
athlete/recruit whose incentive to return to activity may motivate superior
compliance.
PMID- 18055922
TI - Age-related rates of decline in performance among elite senior athletes.
AB - BACKGROUND: Age-related disability and lost independence currently plague one
third of older Americans. Many causes of disability, lost muscle mass and bone
mineral density, are modifiable with exercise. Most exemplary of successful aging
are the Senior Olympians; this group of vital athletes exhibit persistently high
levels of functional capacity. PURPOSE: This study describes demographic data,
injury characteristics and the effect of aging on physical performance in senior
athletes to identify key time points for intervention to address declining
performance. STUDY DESIGN: Cross-sectional study; Level of evidence, 4. METHODS:
Senior Olympians (>50 years) participating in the 2001 National Senior Olympic
Games were surveyed for demographic and health characteristics. Age-related
changes in physical performance were determined from mean winning performance
times in track and field events. These were plotted against age and task for men
and women. Analysis of variance and intergroup significance were analyzed using
the Tukey procedure. Percentage performance change across events was compared.
The 2001 National Senior Olympic Games results were compared with American track
and field record holders. RESULTS: Senior athletes' performance declined (male
and female) approximately 3.4% per year over 35 years of competition-slowly from
age 50 to 75 years and dramatically after age 75 years. Men showed no difference
in decline of sprint and endurance events, whereas the decline in the sprint was
greater than in endurance for women, especially after the age of 75 years.
CONCLUSION: Even the healthiest examples of musculoskeletal aging experience
significant performance declines around age 75 years. This may be a key time
point for preventive intervention.
PMID- 18055923
TI - Prediction of psychosis: setting the stage.
AB - Treating psychotic disorders in their earliest stages has become a key focus for
research and clinical care. This paper reviews evidence of the capacity to
identify those at increased risk for psychotic disorder and to intervene in the
identified, high-risk individuals to ameliorate the course of disorder. Issues
involved in preventive oriented clinical care are addressed, such as risk/benefit
considerations, ethical and safety issues and the value of stage-specific
interventions. Clinical predictors identified in recent research, promising
intervention trials and proposed clinical practice guidelines are described. An
approach based on active engagement, support and monitoring, yet with a
conservative approach to medication use is advocated at present. Potential
neurobiological processes have been studied and reinforce the sense that this is
a critical phase for active treatment, and may prove helpful in understanding the
process of transition across stages of illness. More research is required in
prediction, neurobiology and treatment.
PMID- 18055924
TI - Incidence of first-contact psychosis in Sao Paulo, Brazil.
AB - BACKGROUND: Little is known about the incidence of first-episode psychosis in
urban centres of low- or middle-income countries. AIMS: To estimate the incidence
of psychosis in Sao Paulo, a large metropolis of Brazil. METHOD: Prospective
survey of first-episode psychosis among residents aged 18-64 years resident in a
defined area of Sao Paulo, over a 30-month period (July 20 2002-December 2004).
Assessments were carried out with the SCID-I, and diagnoses given according to
DSM-IV criteria. Population at risk was drawn from the 2000 Census data. RESULTS:
There were 367 first-episode cases identified (51% women), and almost 40%
fulfilled criteria for schizophrenia or schizophreniform disorder. The incidence
rate for any psychosis was 15.8/100 000 person-years at risk (95% CI 14.3-17.6).
Incidence of non-affective psychoses was higher among younger males. CONCLUSIONS:
Incidence of psychosis in Sao Paulo was lower than expected for a large
metropolis.
PMID- 18055925
TI - Cognitive dysfunction in first-episode psychosis: the processing speed
hypothesis.
AB - BACKGROUND: Speed of processing is a cognitive process underlying cognitive
dysfunction in people with chronic schizophrenia. AIMS: To investigate the
contribution of speed of processing to the cognitive deficits observed in a
representative large sample with first-episode schizophrenia. METHOD: People with
a diagnosis of first-episode schizophrenia-spectrum disorders (n=26) and healthy
controls (n=28) were compared on several cognitive measures before and after
controlling for speed of processing. RESULTS: Before controlling for speed of
processing, patients and controls differed significantly on all cognitive
measures. All significant differences in cognitive functioning disappeared when
the result of the Digital Symbol Substitution Test was included as an additional
covariate. CONCLUSIONS: Speed of information processing may be considered a core
cognitive deficit in schizophrenia and might be mediating a broader diversity of
cognitive disturbances.
PMID- 18055926
TI - Grey matter abnormalities in first-episode schizophrenia and affective psychosis.
AB - BACKGROUND: Grey matter and other structural brain abnormalities are consistently
reported in first-onset schizophrenia, but less is known about the extent of
neuroanatomical changes in first-onset affective psychosis. AIMS: To determine
which brain abnormalities are specific to (a) schizophrenia and (b) affective
psychosis. METHOD: We obtained dual-echo (proton density/T2-weighted) magnetic
resonance images and carried out voxel-based analysis on the images of 73
patients with first-episode psychosis (schizophrenia n=44, affective psychosis
n=29) and 58 healthy controls. RESULTS: Both patients with schizophrenia and
patients with affective psychosis had enlarged lateral and third ventricle
volumes. Regional cortical grey matter reductions (including bilateral anterior
cingulate gyrus, left insula and left fusiform gyrus) were evident in affective
psychosis but not in schizophrenia, although patients with schizophrenia
displayed decreased hippocampal grey matter and increased striatal grey matter at
a more liberal statistical threshold. CONCLUSIONS: Both schizophrenia and
affective psychosis are associated with volumetric abnormalities at the onset of
frank psychosis, with some of these evident in common brain areas.
PMID- 18055927
TI - Grey matter abnormalities in Brazilians with first-episode psychosis.
AB - BACKGROUND: In low- and middle-income countries people with schizophrenia are
reported to experience better outcomes than those in high-income countries. AIMS:
To examine structural brain differences in people with first-episode psychosis
and controls in Brazil. METHOD: Magnetic resonance imaging using voxel-based
morphometry was performed on 122 people with first-episode psychosis and 94
controls. RESULTS: There were significant decreases in grey matter in the left
superior temporal and inferior prefrontal cortices, insula bilaterally and the
right hippocampal region in first-episode psychosis (P<0.05, corrected for
multiple comparisons). The subgroup of people with schizophrenia (n=62) exhibited
a similar pattern of decrease in grey matter relative to controls. CONCLUSIONS:
Structural abnormalities reported in psychosis in high-income countries are also
present in first-episode psychosis in Brazil.
PMID- 18055928
TI - Duration of prodromal phase and severity of volumetric abnormalities in first
episode psychosis.
AB - BACKGROUND: First-episode psychosis is typically preceded by a prodrome in which
there is deterioration in global and social functioning. AIMS: To examine whether
the duration of the prodromal phase influences grey and white matter volumes at
the onset of psychosis. METHODS: Eighty-two people were scanned using magnetic
resonance imaging when they developed a first episode of psychosis. The duration
of the prodromal phase was estimated from detailed interviews and medical
records. Voxel-based morphometry was used to assess neuroanatomical
abnormalities. RESULTS: A long prodromal phase was associated with smaller grey
matter volumes in the cingulate, frontal and left insular cortex, and with less
white matter volume bilaterally in the superior longitudinal and uncinate
fasciculi and the cingulum. CONCLUSIONS: The severity of volumetric abnormalities
in first-episode psychosis was greater in those with a long prodrome.
PMID- 18055929
TI - One-year effect of changing duration of untreated psychosis in a single catchment
area.
AB - BACKGROUND: There is highly replicated positive correlation between longer
duration of untreated psychosis and poorer outcome. AIMS: To study the effect of
early intervention in first psychosis on one-year outcome using an historical
quasi-experimental design. METHOD: We compare the outcome of two samples of first
episode psychosis from the same healthcare district at different time periods.
The historical control sample was assessed during 1993-1994, before the
establishment of a system for early detection of psychosis. The experimental
sample is the early detection sample in the Early Treatment and Intervention in
Psychosis study assessed during 1997-2000. RESULTS: At 1-year follow-up, the
early detection group was younger, had a smaller fraction of individuals with
schizophrenia, had less severe negative and general symptoms and had more friends
in the past year than the historical control group. No differences were found in
clinical course (remission, relapse, continuously psychotic) or positive
symptoms, but more patients in the early detection sample were treated as
outpatients without hospitalisation. CONCLUSIONS: Early detection of
schizophrenia in one healthcare sector is associated with less severe
deterioration at 1 year.
PMID- 18055931
TI - The Lambeth Early Onset Crisis Assessment Team Study: general practitioner
education and access to an early detection team in first-episode psychosis.
AB - BACKGROUND: There are few evaluations of strategies to improve rates of early
detection and treatment of patients with first-episode psychosis. AIMS: To
evaluate the effectiveness of a general practitioner (GP) education programme and
an early detection assessment team (the Lambeth Early Onset Crisis Assessment
Team; LEO CAT) in reducing delays in accessing treatment for first-episode
psychosis patients. METHOD: 46 clusters of GP practices randomised to GP
education in early detection with direct access to LEO CAT v. care as usual.
Primary outcome measures were GP referral rates, duration of untreated psychosis
(DUP) and delays in receiving treatment. RESULTS: 150 patients with first-episode
psychosis were recruited; 113 were registered with the study GPs, who referred 54
(47.7%) directly to mental health services. Significantly more intervention group
GPs (86.1% v. 65.7%) referred their patients directly to mental health services
and fewer patients experienced long delays in receiving treatment. However, their
overall DUP was unaffected. CONCLUSIONS: Educating GPs improves detection and
referral rates of first-episode psychosis patients. An early detection team
reduces the long delays in initial assessment and treatment. However, these only
impact on the later phases of the DUP. Broader measures, such as public health
education, are needed to reduce the earlier delays in DUP.
PMID- 18055930
TI - Molecular imaging studies of the striatal dopaminergic system in psychosis and
predictions for the prodromal phase of psychosis.
AB - The dopamine hypothesis has been the major pathophysiological theory of psychosis
in recent decades. Molecular imaging studies have provided in vivo evidence of
increased dopamine synaptic availability and increased presynaptic dopamine
synthesis in the striata of people with psychotic illnesses. These studies
support the predictions of the dopamine hypothesis, but it remains to be
determined whether dopaminergic abnormalities pre-date or are secondary to the
development of psychosis. We selectively review the molecular imaging studies of
the striatal dopaminergic system in psychosis and link this to models of
psychosis and the functional subdivisions of the striatum to make predictions for
the dopaminergic system in the prodromal phase of psychosis.
PMID- 18055932
TI - Suicidal behaviour and mortality in first-episode psychosis: the OPUS trial.
AB - BACKGROUND: Those with first-episode psychosis are at high-risk of suicide. AIMS:
To identify predictive factors for suicidal thoughts, plans and attempts, and to
investigate the rate of suicides and other deaths during the 5 years after first
diagnosis and initiation of treatment. METHOD: A longitudinal, prospective, 5
year follow-up study of 547 individuals with first-episode schizophrenia spectrum
psychosis. Individuals presenting for their first treatment in mental health
services in two circumscribed urban areas in Denmark were included in a
randomised controlled trial of integrated v. standard treatment. All participants
were followed in the Danish Cause of Death Register for 5 years. Suicidal
behaviour and clinical and social status were assessed using validated interviews
and rating scales at entry, and at 1- and 2-year follow-ups. RESULTS: Sixteen
participants died during the follow-up. We found a strong association between
suicidal thoughts, plans and previous attempts, depressive and psychotic symptoms
and young age, and with suicidal plans and attempts at 1- and 2-year follow-up.
CONCLUSIONS: In this first-episode cohort depressive and psychotic symptoms,
especially hallucinations, predicted suicidal plans and attempts, and persistent
suicidal behaviour and ideation were associated with high risk of attempted
suicide.
PMID- 18055933
TI - Economic evaluation of early intervention services.
AB - Early intervention services have been introduced in a number of countries, but
the evidence base to support them is limited. In particular there are very few
economic evaluations, which are crucial if decision-makers are to have a better
understanding of how scarce resources can be used appropriately. This paper
discusses the different approaches used in economic evaluations and shows how
these differ in the way in which outcomes are measured. The most useful forms of
evaluation are cost-effectiveness and cost-utility analysis. We describe how the
results of evaluations can be interpreted using incremental cost-effectiveness
ratios and acceptability curves. Finally, the paper summarises some key evidence
to date on early intervention services and economic evaluations currently being
undertaken.
PMID- 18055934
TI - Appraisals of Anomalous Experiences Interview (AANEX): a multidimensional measure
of psychological responses to anomalies associated with psychosis.
AB - BACKGROUND: Cognitive models of psychosis suggest that whether anomalous
experiences lead to clinically relevant psychotic symptoms depends on how they
are appraised, the context in which they occur and the individual's emotional
response. AIMS: To develop and validate a semi-structured interview (the
Appraisals of Anomalous Experiences Interview; AANEX) to assess (a) anomalous
experiences and (b) appraisal, contextual and response variables. METHOD:
Following initial piloting, construct validity was tested via cross-sectional
comparison of data from clinical and non-clinical samples with anomalous
experiences. Interrater reliability was also assessed. RESULTS: Scores from AANEX
measuring appraisals, responses and social support differentiated the clinical
and nonclinical groups. Interrater reliability was satisfactory for 65 of the 71
items. Six items were subsequently amended. CONCLUSIONS: The AANEX is a valid
multidimensional instrument that provides a detailed assessment of psychotic-like
experiences and subjective variables relevant to the development of a need for
clinical care.
PMID- 18055935
TI - Basic symptoms in early psychotic and depressive disorders.
AB - BACKGROUND: Depression is a frequent condition in early psychosis. Therefore,
early detection instruments should distinguish depression from beginning
psychosis. AIMS: To examine whether basic symptoms, i.e. subtle subjective
deficits, differ between participants suffering from a potential prodrome
(n=146), first-episode schizophrenia (n=153) and non-psychotic depression
(n=115). METHOD: Basic symptoms were assessed with the Schizophrenia Proneness
Instrument. RESULTS: The prodrome and schizophrenia groups did not differ in
level of basic symptoms but both had higher levels than the depression group. DSM
IV depression was frequent in those suffering from a potential prodrome (38%) and
first-episode schizophrenia (21%). In both groups, participants with and without
depression did not differ in basic symptoms. In multivariate analyses,
consideration of current depression generally facilitated correct group
classification, except for participants suffering from both a potential prodrome
and depression. CONCLUSIONS: Cognitive basic symptoms distinguished well between
all three groups. However, identification of persons suffering from a potential
prodrome might be enhanced by considering current affective status.
PMID- 18055936
TI - Delusion formation and reasoning biases in those at clinical high risk for
psychosis.
AB - BACKGROUND: Cognitive models propose that faulty appraisal of anomalous
experiences is critical in developing psychosis, particularly delusions. A data
gathering bias may be fundamental to abnormal appraisal. AIMS: To examine whether
there is a data gathering bias in people at high risk of developing psychosis.
METHOD: Individuals with an at-risk mental state (n=35) were compared with a
matched group of healthy volunteers (n=23). Participants were tested using a
modified version of the 'beads' reasoning task with different levels of task
difficulty. RESULTS: When task demands were high, the at-risk group made
judgements on the basis of less information than the control group (P<0.05).
Within both groups, jumping to conclusions was directly correlated with the
severity of abnormal beliefs and intolerance of uncertainty (P<0.05). In the at
risk group it was also associated with impaired working memory (P<0.05), whereas
in the control group poor working memory was associated with a more conservative
response style (P<0.05). CONCLUSIONS: People with an at-risk mental state display
a jumping to conclusions reasoning style, associated with impaired working memory
and intolerance of uncertainty. This may underlie a tendency to develop abnormal
beliefs and a vulnerability to psychosis.
PMID- 18055937
TI - Relationship between subjective and objective cognitive function in the early and
late prodrome.
AB - BACKGROUND: Cognitive disturbances have been demonstrated in individuals with
potentially prodromal symptoms in objective-neuropsychological as well as
subjective-symptomatic studies. Yet, the relation between subjective and
objective deficits and to different prodromal states is unclear. AIMS: To explore
interactions between subjective and objective cognitive measures in different
prodromal states. METHOD: In participants with an early (n=33) or late (n=69)
initial prodromal state, cognitive subjective and objective deficits were
assessed with the Schizophrenia Proneness Instrument and a comprehensive
neuropsychological test battery. RESULTS: Participants with an early initial
prodromal state were less impaired than those with a late initial state.
Subjective and objective cognitive deficits were unrelated, except time-limited
neurocognitive speed measures and subjectively reduced stress tolerance,
especially in participants with an early initial prodromal state. CONCLUSIONS:
Subjective and objective cognitive deficits are generally unrelated in the
psychosis prodrome and as such they can add complementary information valuable
for prediction. However, possible associations between the two levels might be
better detectable in the less impaired early initial prodromal state.
PMID- 18055938
TI - Cognitive decline following psychosis onset: data from the PACE clinic.
AB - BACKGROUND: The origin of cognitive impairments in psychotic disorders is still
unclear. Although some deficits are apparent prior to the onset of frank illness,
it is unknown if they progress. AIMS: To investigate whether cognitive function
declined over the transition to psychosis in a group of ultra-high risk
individuals. METHOD: Participants consisted of two groups: controls (n=17) and
individuals at ultra-high risk for development of psychosis (n=16). Seven of the
latter group later developed psychosis. Neuropsychological testing was conducted
at baseline and again after at least a 12-month interval. RESULTS: Both the
Visual Reproduction sub-test of the Wechsler Memory Scale-Revised and Trail
Making Test B showed a decline over the follow-up period that was specific to the
group who became psychotic. In addition, both high-risk groups showed a decline
in digit span performance. No other task showed significant change over time.
CONCLUSIONS: These preliminary data suggest that as psychosis develops there may
be a specific decline in visual memory and attentional set-shifting, reflecting
impairments in efficient organisation of visual stimuli. This may be caused by
either the illness itself or treatment with antipsychotic medication.
PMID- 18055939
TI - Impairment of self-monitoring: part of the endophenotypic risk for psychosis.
AB - BACKGROUND: A disorder of self-monitoring may underlie the positive symptoms of
psychosis. The cognitive mechanisms associated with these symptoms may also be
detectable in individuals at risk of psychosis. AIMS: To investigate (a) whether
patients with psychosis show impaired self-monitoring, (b) to what degree this is
associated with positive symptoms, and (c) whether this is associated with
liability to psychotic symptoms. METHOD: The sample included: individuals with a
lifetime history of non-affective psychosis (n=37), a genetically defined risk
group (n=41), a psychometrically defined risk group (n=40), and control group
(n=49). All participants carried out an action-recognition task. RESULTS: Number
of action-recognition errors was associated with psychosis risk (OR linear trend
over 3 levels:1.12, 95% CI1.04-1.20) and differential error rate was associated
with the degree of delusional ideation in a dose-response fashion (OR linear
trend over 3 levels:1.13, 95% CI1.00-1.26). CONCLUSIONS: Alterations in self
monitoring are associated with psychosis with evidence of specificity for
delusional ideation. In the risk state, this is expressed more as failure to
recognise self-generated actions, whereas in illness failure to recognise alien
sources come to the fore.
PMID- 18055940
TI - Virtual reality and paranoid ideations in people with an 'at-risk mental state'
for psychosis.
AB - BACKGROUND: Virtual reality provides a means of studying paranoid thinking in
controlled laboratory conditions. However, this method has not been used with a
clinical group. AIMS: To establish the feasibility and safety of using virtual
reality methodology in people with an at-risk mental state and to investigate the
applicability of a cognitive model of paranoia to this group. METHOD: Twenty-one
participants with an at-risk mental state were assessed before and after entering
a virtual reality environment depicting the inside of an underground train.
RESULTS: Virtual reality did not raise levels of distress at the time of testing
or cause adverse experiences over the subsequent week. Individuals attributed
mental states to virtual reality characters including hostile intent. Persecutory
ideation in virtual reality was predicted by higher levels of trait paranoia,
anxiety, stress, immersion in virtual reality, perseveration and interpersonal
sensitivity. CONCLUSIONS: Virtual reality is an acceptable experimental technique
for use with individuals with at-risk mental states. Paranoia in virtual reality
was understandable in terms of the cognitive model of persecutory delusions.
PMID- 18055941
TI - Structural brain abnormalities in individuals with an at-risk mental state who
later develop psychosis.
AB - BACKGROUND: Neuroanatomical abnormalities are a well-established feature of
schizophrenia. However, the timing of their emergence and the extent to which
they are related to vulnerability to the disorder as opposed to psychotic illness
itself is unclear. AIMS: To assess regional grey matter volume in the at-risk
individuals who subsequently developed psychosis. METHOD: Magnetic resonance
imaging data from at-risk individuals who developed psychosis (n=12) within the
following 25 months were compared with data from healthy volunteers (n=22) and
people with first-episode psychosis (n=25). RESULTS: Compared with healthy
volunteers, individuals who subsequently developed psychosis had smaller grey
matter volume in the posterior cingulate gyrus, precuneus, and paracentral lobule
bilaterally and in the left superior parietal lobule, and greater grey matter
volume in a left parietal/posterior temporal region. Compared with first-episode
patients, they had relatively greater grey matter volume in the temporal gyrus
bilaterally and smaller grey matter volume in the right lentiform nucleus.
CONCLUSIONS: Some of the structural brain abnormalities in individuals with an at
risk mental state may be related to an increased vulnerability to psychosis,
while others are associated with the development of a psychotic illness.
PMID- 18055942
TI - Cognitive and neural processes in non-clinical auditory hallucinations.
AB - BACKGROUND: The nosological status of auditory hallucinations in non-clinical
samples is unclear. AIMS: To investigate the functional neural basis of non
clinical hallucinations. METHOD: After selection from 1206 people, 68
participants of high, medium and low hallucination proneness completed a task
designed to elicit verbal hallucinatory phenomena under conditions of stimulus
degradation. Eight subjects who reported hearing a voice when none was present
repeated the task during functional imaging. RESULTS: During the signal detection
task, the high hallucination-prone participants reported a voice to be present
when it was not (false alarms) significantly more often than the average or low
participants (P<0.03, d.f.=2). On functional magnetic resonance imaging, patterns
of activation during these false alarms showed activation in the superior and
middle temporal cortex (P<0.001). CONCLUSIONS: Auditory hallucinatory experiences
reported in non-clinical samples appear to be mediated by similar patterns of
cerebral activation as found during hallucinations in schizophrenia.
PMID- 18055943
TI - Effects of cognitive therapy on the longitudinal development of psychotic
experiences in people at high risk of developing psychosis.
AB - BACKGROUND: There have been recent advances in the identification of people at
high risk of psychosis and psychological treatments have shown promise for
prevention. AIMS: To compare the longitudinal course of psychotic experiences and
emotional dysfunction in high-risk participants receiving cognitive therapy with
those receiving treatment as usual. METHOD: Data from a recent randomised
controlled trial of cognitive therapy for people at risk of developing psychosis
were utilised to examine three different statistical models that were based on
432 measurements of psychotic experiences and 421 of emotional dysfunction
(anxiety-depression) contributed by 57 participants across the 13 measurement
occasions (monthly monitoring for a year). RESULTS: Psychotic experiences and
emotional dysfunction were correlated and decreased significantly over the course
of the study, with most improvement in the early months. The reduction in
positive symptoms, but not emotional dysfunction, was enhanced by allocation to
cognitive therapy. CONCLUSIONS: Psychotic experiences and emotional dysfunction
appear to interact in people at risk of developing psychosis. There appears to be
a specific benefit of cognitive therapy.
PMID- 18055944
TI - Acute effects of treatment for prodromal symptoms for people putatively in a late
initial prodromal state of psychosis.
AB - BACKGROUND: People in a putatively late prodromal state not only have an enhanced
risk for psychosis but already suffer from mental and functional disturbances.
AIMS: To evaluate the acute effects of a combined supportive and antipsychotic
treatment on prodromal symptoms. METHOD: Putatively prodromal individuals were
randomly assigned to a needs-focused intervention without (n=59) or with
amisulpride (n=65). Outcome measures at 12-weeks effects were prodromal symptoms,
global functioning and extrapyramidal side-effects. RESULTS: Amisulpride plus the
needs-focused intervention produced superior effects on attenuated and full-blown
psychotic symptoms, basic, depressive and negative symptoms, and global
functioning. Main side-effects were prolactin associated. CONCLUSIONS:
Coadministration of amisulpride yielded a marked symptomatic benefit. Effects
require confirmation by a placebo-controlled study.
PMID- 18055945
TI - Hypothesis: social defeat is a risk factor for schizophrenia?
AB - The increased schizophrenia risks for residents of cities with high levels of
competition and for members of disadvantaged groups (for example migrants from
low- and middle-income countries, people with low IQ, hearing impairments or a
history of abuse) suggest that social factors are important for aetiology.
Dopaminergic dysfunctioning is a key mechanism in pathogenesis. This editorial is
a selective literature review to delineate a mechanism whereby social factors can
disturb dopamine function in the brain. Experiments with rodents have shown that
social defeat leads to dopaminergic hyperactivity and to behavioural
sensitisation, whereby the animal displays an enhanced behavioural and dopamine
response to dopamine agonists. Neuroreceptor imaging studies have demonstrated
the same phenomena in patients with schizophrenia who had never received
antipsychotics. In humans, the chronic experience of social defeat may lead to
sensitisation (and/or increased baseline activity) of the mesolimbic dopamine
system and thereby increase the risk for schizophrenia.
PMID- 18055947
TI - Psychiatry in pictures. Circumvention (1889) by Charles Altamont Doyle (1832
1893).
PMID- 18055946
TI - Aripiprazole in the treatment of the psychosis prodrome: an open-label pilot
study.
AB - BACKGROUND: Research studies for the treatment of the putative prodromal phase of
psychotic disorders have begun to appear. AIMS: To obtain preliminary evidence of
the short-term efficacy and safety of aripiprazole treatment in people with the
psychosis prodrome. METHOD: Fifteen participants meeting prodrome criteria (mean
age 17.1 years, s.d.=5.5) enrolled in an open-label, single-site trial with fixed
flexible dosing of aripiprazole (5-30 mg/day) for 8 weeks. RESULTS: In the mixed
effects repeated-measures analysis, improvement from baseline on the Scale of
Prodromal Symptoms total score was statistically significant by the first week.
No participant converted to psychosis and 13 completed treatment.
Neuropsychological measures showed no consistent improvement; mean weight gain
was 1.2 kg. Akathisia emerged in 8 participants, but the mean Barnes Akathisia
Scale score fell to baseline levels by the final visit. Adverse events were
otherwise minimal. CONCLUSIONS: Aripiprazole shows a promising efficacy and
safety profile for the psychosis prodrome. Placebo-controlled studies are
indicated.
PMID- 18055948
TI - Computer-aided psychotherapy: revolution or bubble?
AB - Research into computer-aided psychotherapy is thriving around the world. Most of
it concerns computer-aided cognitive-behavioural therapy (CCBT). A recent
narrative review found 97 computer-aided psychotherapy systems from nine
countries reported in 175 studies, of which 103 were randomised controlled
trials. The rapid spread of the mass delivery of psychotherapy through CCBT,
catalysed in the UK by the National Institute for Health and Clinical
Excellence's recommendation of two CCBT programmes and the Department of Health's
CCBT implementation guidance, seems unprecedented. This editorial is a synopsis
of the current status of CCBT and its future directions.
PMID- 18055949
TI - Lithium in mood disorders: increasing evidence base, declining use?
AB - Use of lithium for the treatment of bipolar disorder may be declining even as
knowledge of the efficacy and side-effects of lithium has increased. Recent meta
analyses confirm the benefits of maintenance lithium treatment and show that it
reduces suicide and suicidality. Psychiatrists should continue to utilise this
efficacious treatment for bipolar disorder.
PMID- 18055950
TI - Social exclusion and mental health: conceptual and methodological review.
AB - BACKGROUND: The concept of social exclusion is now widely used in discussions
about the nature of disadvantage, and there are ongoing initiatives to promote
social inclusion among those with mental health problems. AIMS: To conduct a
conceptual and methodological review of social exclusion, focusing initially on
the origins and definitions of the concept and then on approaches to its
measurement, both in general and in relation to mental health. METHOD: We used
two main strategies. First, we utilised expertise within the study team to
identify major texts and reviews on social exclusion and related topics. Second,
we searched major bibliographic databases for literature on social exclusion and
mental health. We adopted a non-quantitative approach to synthesising the
findings. RESULTS: There is no single accepted definition of social exclusion.
However, most emphasise lack of participation in social activities as the core
characteristic. There are a number of approaches to measuring social exclusion,
including use of indicator lists and dimensions. In the mental health literature,
social exclusion is poorly defined and measured. CONCLUSIONS: If social exclusion
is a useful concept for understanding the social experiences of those with mental
health problems, there is an urgent need for more conceptual and methodological
work.
PMID- 18055951
TI - Schizotypal cognitions as a predictor of psychopathology in adolescents with mild
intellectual impairment.
AB - BACKGROUND: There is evidence to suggest that among young people with mild
intellectual disability there are those whose cognitive difficulties may predict
the subsequent manifestation of a schizophrenic phenotype. It is suggested that
they may be detectable by simple means. AIMS: To gain adequate cooperation from
educational services, parents and students so as to recruit a sufficiently large
sample to test the above hypothesis, and to examine the hypothesis in the light
of the findings. METHOD: The sample was screened with appropriate instruments,
and groups hypothesised as being likely or not likely to have the phenotype were
compared in terms of psychopathology and neuropsychology. RESULTS: Simple
screening methods detect a sample whose psychopathological and neuropsychological
profile is consistent with an extended phenotype of schizophrenia. CONCLUSIONS:
Difficulties experienced by some young people with mild and borderline
intellectual disability are associated with enhanced liability to schizophrenia.
Clinical methods can both identify those with this extended phenotype and predict
those in whom psychosis will occur.
PMID- 18055952
TI - Mental health of children and adolescents with intellectual disabilities in
Britain.
AB - BACKGROUND: Few studies have employed formal diagnostic criteria to determine the
prevalence of psychiatric disorders in contemporaneous samples of children with
and without intellectual disabilities. AIMS: To establish the prevalence of
psychiatric disorders against ICD-10 criteria among children with and without
intellectual disabilities, the association with social/environmental risk
factors, and risk attributable to intellectual disability. METHOD: Secondary
analysis of the 1999 and 2004 Office for National Statistics surveys of the
mental health of British children and adolescents with (n=641) and without (n=17
774) intellectual disability. RESULTS: Prevalence of psychiatric disorders was
36% among children with intellectual disability and 8% among children without
(OR=6.5). Children with intellectual disabilities accounted for 14% of all
British children with a diagnosable psychiatric disorder. Increased prevalence
was particularly marked for autistic-spectrum disorder (OR=33.4), hyperkinesis
(OR=8.4) and conduct disorders (OR=5.7). Cumulative risk of exposure to social
disadvantage was associated with increased prevalence. CONCLUSIONS: A significant
proportion of the elevated risk for psychopathology among children with
intellectual disability may be due to their increased rate of exposure to
psychosocial disadvantage.
PMID- 18055953
TI - Mental health and quality of residential environment.
AB - BACKGROUND: There is increasing interest in the proposition that residential
environment can affect mental health. AIMS: To study the degree to which common
mental disorder clusters according to postcode units and households. To
investigate whether contextual measures of residential environment quality and
geographical accessibility are associated with symptoms of common mental
disorder. METHOD: A total of 1058 individuals aged 16-75 years (response rate
66%) participated in a cross-sectional survey. The 12-item General Health
Questionnaire measured symptoms of common mental disorder. RESULTS: Only 2% (95%
CI 0-6) of the unexplained variation in symptoms existed at postcode unit level,
whereas 37% (95% CI 27-49) existed at household-level, but the postcode unit
variation was reduced to zero after adjustments. There was little evidence to
suggest that residential quality or accessibility were associated with symptoms.
CONCLUSIONS: There was substantial unexplained variation at the household level
but we could find no evidence of postcode unit variation and no association with
residential environmental quality or geographical accessibility. It is likely
that the psychosocial environment is more important than the physical environment
in relation to common mental disorder.
PMID- 18055954
TI - Influence of childhood adversity on health among male UK military personnel.
AB - BACKGROUND: Exposure to childhood adversity may explain why only a minority of
combatants exposed to trauma develop psychological problems. AIMS: To examine the
association between self-reported childhood vulnerability and later health
outcomes in a large randomly selected male military cohort. METHOD: Data are
derived from the first stage of a cohort study comparing Iraq veterans and non
deployed UK military personnel. We describe data collected by questionnaire from
males in the regular UK armed forces (n=7937). RESULTS: Pre-enlistment
vulnerability is associated with being single, of lower rank, having low
educational attainment and serving in the Army. Pre-enlistment vulnerability is
associated with a variety of negative health outcomes. Two main factors emerge as
important predictors of ill health: a 'family relationships' factor reflecting
the home environment and an 'externalising behaviour' factor reflecting
behavioural disturbance. CONCLUSIONS: Pre-enlistment vulnerability is an
important individual risk factor for ill health in military men. Awareness of
such factors is important in understanding post-combat psychiatric disorder.
PMID- 18055955
TI - Growth trajectory during early life and risk of adult schizophrenia.
AB - BACKGROUND: Growth abnormalities have been suggested as a precursor to
schizophrenia, but previous studies have not assessed growth patterns using
repeated measures. AIMS: To assess the association between early life/later
childhood growth patterns and risk of schizophrenia. METHODS: Using prospectively
collected data from a birth cohort (born 1959-1967), measurements of height,
weight and body mass index (BMI) were analysed to compare growth patterns during
early life and later childhood between 70 individuals with schizophrenia-spectrum
disorder (SSD) and 7710 without. RESULTS: For women, growth in the SSD group was
approximately 1 cm/year slower during early life (P < 0.01); no association was
observed for men. Later childhood growth was not associated with SSD. Weight
patterns were not associated with SSD, whereas slower change in BMI was observed
among the SSD group during later childhood. CONCLUSIONS: The association between
slower growth in early life and schizophrenia in women suggests that factors
responsible for regulating growth might be important in the pathogenesis of the
disorder.
PMID- 18055956
TI - Cost-effectiveness of selective serotonin reuptake inhibitors and routine
specialist care with and without cognitive behavioural therapy in adolescents
with major depression.
AB - BACKGROUND: Major depression is an important and costly problem among
adolescents, yet evidence to support the provision of cost-effective treatments
is lacking. AIMS: To assess the short-term cost-effectiveness of combined
selective serotonin reuptake inhibitors (SSRIs) and cognitive-behavioural therapy
(CBT) together with clinical care compared with SSRIs and clinical care alone in
adolescents with major depression. METHOD: Pragmatic randomised controlled trial
in the UK. Outcomes and costs were assessed at baseline, 12 and 28 weeks.
RESULTS: The trial comprised 208 adolescents, aged 11-17 years, with major or
probable major depression who had not responded to a brief initial psychosocial
intervention. There were no significant differences in outcome between the groups
with and without CBT. Costs were higher in the group with CBT, although not
significantly so (P=0.057). Cost-effectiveness analysis and exploration of the
associated uncertainty suggest there is less than a 30% probability that CBT plus
SSRIs is more cost-effective than SSRIs alone. CONCLUSIONS: A combination of CBT
plus SSRIs is not more cost-effective in the short-term than SSRIs alone for
treating adolescents with major depression in receipt of routine specialist
clinical care.
PMID- 18055957
TI - Cost of scaling up mental healthcare in low- and middle-income countries.
AB - BACKGROUND: No systematic attempt has been made to calculate the costs of scaling
up mental health services in low- and middle-income countries. AIMS: To estimate
the expenditures needed to scale up the delivery of an essential mental
healthcare package over a 10-year period (2006-2015). METHOD: A core package was
defined, comprising pharmacological and/or psychosocial treatment of
schizophrenia, bipolar disorder, depression and hazardous alcohol use. Current
service levels in 12 selected low- and middle-income countries were established
using the WHO-AIMS assessment tool. Target-level resource needs were derived from
published need assessments and economic evaluations. RESULTS: The cost per capita
of providing the core package at target coverage levels (in US dollars) ranged
from $1.85 to $2.60 $2.60 per year in low-income countries and $3.20 to $6.25 per
year in lower-middle-income countries, an additional annual investment of $0.18
0.55 per capita. CONCLUSIONS: Although significant new resources need to be
invested, the absolute amount is not large when considered at the population
level and against other health investment strategies.
PMID- 18055958
TI - Cluster randomised controlled trial of training practices in reattribution for
medically unexplained symptoms.
AB - BACKGROUND: Reattribution is frequently taught to general practitioners (GPs) as
a structured consultation that provides a psychological explanation for medically
unexplained symptoms. AIMS: To determine if practice-based training of GPs in
reattribution changes doctor-patient communication, thereby improving outcomes in
patients with medically unexplained symptoms of 3 months' duration. METHOD:
Cluster randomised controlled trial in 16 practices, 74 GPs and 141 patients with
medically unexplained symptoms of 6 hours of reattribution training v. treatment
as usual. RESULTS: With training, the proportion of consultations mostly
consistent with reattribution increased (31 v. 2%, P=0.002). Training was
associated with decreased quality of life (health thermometer difference -0.9,
95% CI -1.6 to -0.1; P=0.027) with no other effects on patient outcome or health
contacts. CONCLUSIONS: Practice-based training in reattribution changed doctor
patient communication without improving outcome of patients with medically
unexplained symptoms.
PMID- 18055959
TI - Staff and patient perspectives on unmet need and therapeutic alliance in
community mental health services.
AB - BACKGROUND: Therapeutic alliance between clinicians and their patients is
important in community mental healthcare. It is unclear whether providing
effective interventions influences therapeutic alliance. AIMS: To assess the
impact of meeting previously unmet mental health needs on the therapeutic
alliance between patients and clinicians. METHOD: Secondary analysis of data from
a longitudinal study assessing 101 patients and paired staff. RESULTS: Patient
rated unmet need was negatively associated with patient-rated and staff-rated
therapeutic alliance. Staff-rated unmet need was positively associated with
patient-rated therapeutic alliance only. Reducing patient-rated unmet need
increased patient-rated but not staff-rated therapeutic alliance, even when
controlling for other variables. Reducing staff-rated unmet need increased staff
rated but not patient-rated therapeutic alliance, but the effect became
insignificant when controlling for other variables. CONCLUSIONS: Patient-rated
therapeutic alliance will be maximised by focusing assessment and interventions
on patient-rated rather than staff-rated unmet need.
PMID- 18055960
TI - Postcards from the EDge: 24-month outcomes of a randomised controlled trial for
hospital-treated self-poisoning.
AB - BACKGROUND: Repetition of self-poisoning is common. AIMS: To report the 24-month
outcomes of a non-obligatory postcard intervention (plus treatment as usual)
compared with treatment as usual. METHOD: In a randomised-controlled trial (Zelen
design) conducted in Newcastle, Australia, eight postcards were sent to
participants over a 12-month period. The principal outcomes were the proportion
of participants with one or more repeat episodes of self-poisoning and the number
of repeat episodes per person. RESULTS: No significant reduction was observed in
the proportion of people repeating self-poisoning in the intervention group
(21.2%, 95% CI 17.0-25.3) compared with the control group (22.8%, 95% CI 18.7
27.0; chi(2)=0.32, d.f.=1, P=0.57); the difference between groups was -1.7% (95%
CI -7.5 to 4.2). There was a significant reduction in the rate of repetition,
with an incidence risk ratio of 0.49 (95% CI 0.33-0.73). CONCLUSIONS: A postcard
intervention maintained the halving of the rate of repetition of hospital-treated
self-poisoning events over a 2-year period, although it did not significantly
reduce the proportion of individuals who repeated self-poisoning.
PMID- 18055961
TI - Efficacy of three screening instruments in the identification of autistic
spectrum disorders.
AB - BACKGROUND: Screening instruments for autistic-spectrum disorders have not been
compared in the same sample. AIMS: To compare the Social Communication
Questionnaire (SCQ), the Social Responsiveness Scale (SRS) and the Children's
Communication Checklist (CCC). METHOD: Screen and diagnostic assessments on 119
children between 9 and 13 years of age with special educational needs with and
without autistic-spectrum disorders were weighted to estimate screen
characteristics for a realistic target population. RESULTS: The SCQ performed
best (area under receiver operating characteristic curve (AUC)=0.90; sensitivity
0.86; specificity 0.78). The SRS had a lower AUC (0.77) with high sensitivity
(0.78) and moderate specificity (0.67). The CCC had a high sensitivity but lower
specificity (AUC=0.79; sensitivity 0.93; specificity 0.46). The AUC of the SRS
and CCC was lower for children with IQ < 70. Behaviour problems reduced
specificity for all three instruments. CONCLUSIONS: The SCQ, SRS and CCC showed
strong to moderate ability to identify autistic-spectrum disorder in this at-risk
sample of school-age children with special educational needs.
PMID- 18055963
TI - Hot weather and suicide: a real risk or statistical illusion?
PMID- 18055965
TI - Avoiding errors about 'margins of error'.
PMID- 18055966
TI - Austrian firearms: data require cautious approach.
PMID- 18055967
TI - Austrian firearms: data require cautious approach.
PMID- 18055968
TI - Cardiovascular risk with antipsychotics: case-control study or survey?
PMID- 18055970
TI - Psychiatry and faith-based organisations.
PMID- 18055971
TI - Psychiatry and faith-based organisations.
PMID- 18055974
TI - The crux of the log rank test. Re: Locasciulli A, Oneto R, Bacigalupo A, Socie G,
Korthof E, Bekassy A, Schrezenmeier H, Passweg J, Fuhrer M. Outcome of patients
with acquired aplastic anemia given first line bone marrow transplantation or
immunosuppressive treatment in the last decade: a report from the European Group
for Blood and Marrow Transplantation. Haematologica 2007; 92:11-8.
PMID- 18055975
TI - Severe developmental delay and epilepsy in a Japanese patient with severe
congenital neutropenia due to HAX1 deficiency.
AB - HAX1 deficiency has recently been identified as a cause of severe congenital
neutropenia (SCN), but little is known about the phenotype. We described an SCN
patient with a homozygous 256C-to-T transition causing an R86X mutation in the
HAX1 gene. Notably, the patient has been complicated by epilepsy and severe delay
of motor, cognitive, and intellectual development; each developmental quotient
was 21-26 at 7 years old. Growth failure and dental development delay were also
noted. Neurodevelopmental delay in this patient expands the clinical phenotype of
HAX1 deficiency and suggests an important role of HAX1 on neural development as
well as myelopoiesis.
PMID- 18055976
TI - Aggressive systemic mastocytosis with sarcoma-like growth in the skeleton,
leukemic progression, and partial loss of mast cell differentiation antigens.
PMID- 18055977
TI - High dose simvastatin does not reverse resistance to vincristine, adriamycin, and
dexamethasone (VAD) in myeloma.
AB - In a prospective phase II study, we evaluated the combination of high dose
simvastatin and VAD chemotherapy in patients with refractory or relapsed multiple
myeloma. Although treatment was feasible with mild side effects, only 1 of 12
patients achieved a partial response. According to our predefined criteria this
was insufficient to continue the study.
PMID- 18055978
TI - Rituximab for prevention of delayed hemolytic transfusion reaction in sickle cell
disease.
AB - Delayed hemolytic transfusion reaction (DHTR), a life-threatening transfusion
complication in sickle cell disease (SCD), is characterized by a marked
hemoglobin drop with destruction of both transfused and autologous red blood
cells (RBCs) and exacerbation of SCD symptoms. One mechanism of RBCs destruction
is auto-antibody production secondary to transfusion. As rituximab specifically
targets circulating B cells, we thought that it could be beneficial in preventing
this immune-mediated transfusion complication. We report the case of a SCD
patient who previously experienced DHTR with auto-antibodies and who needed a new
transfusion. DHTR recurrence was successfully prevented by rituximab
administration prior transfusion, supporting the safe use of rituximab to prevent
DHTR in SCD patients as a second line approach when other measures failed.
PMID- 18055979
TI - Somatic mutations of JAK2 exon 12 as a molecular basis of erythrocytosis.
PMID- 18055980
TI - Rituximab for the treatment of autoimmune cytopenias.
PMID- 18055981
TI - Health-related quality of life and symptom assessment in clinical research of
patients with hematologic malignancies: where are we now and where do we go from
here?
PMID- 18055982
TI - A randomized controlled 1-year study of daily deferiprone plus twice weekly
desferrioxamine compared with daily deferiprone monotherapy in patients with
thalassemia major.
AB - BACKGROUND AND OBJECTIVES: The aim of this prospective, randomized, 1-year study
was to compare the efficacy and safety of oral deferiprone (DFP) with those of
combinations of parenteral desferrioxamine (DFO) with oral DFP. DESIGN AND
METHODS: A total of 24 patients with thalassemia major were randomized to receive
one of the following two treatments; DFP given at a daily dose of 75 mg/kg in
combination with DFO (40-50 mg/kg twice weekly) (n=12) or as single agent (n=12).
In addition, 12 patients treated with 40-50 mg/kg DFO 5 days weekly were included
as a reference group without randomization. Changes in liver iron concentration
(LIC) and serum ferritin (SF) were assessed; total iron excretion (TIE), urinary
iron excretion (UIE) and iron balance were calculated. Cardiac function and
toxicity were also examined. DESIGN AND METHODS: SF and LIC were significantly
reduced after 1 year of combination therapy (p=0.01 and 0.07, respectively). A
decrease of LIC was observed in all but one patient (87.5%) following the
combination therapy but in only 42% of patients treated with DFP monotherapy. In
the DFO reference group, a statistically significant decrease in LIC (p=0.01)
associated with a substantial decrease in SF (p=0.08) was observed after 1 year.
The combination regimen resulted in greater TIE compared to DFP monotherapy
(p=0.08) and was the regimen associated with the highest iron balance compared to
DFP monotherapy (p=0.04) or standard DFO treatment (p=0.006). INTERPRETATIONS AND
CONCLUSIONS: The addition of subcutaneous DFO twice weekly to oral DFP 75 mg/kg
is a highly efficacious and safe chelation therapy providing superior chelation
activity to that of DFP and likely has an efficacy profile comparable to that of
standard DFO.
PMID- 18055983
TI - The frequency of JAK2 exon 12 mutations in idiopathic erythrocytosis patients
with low serum erythropoietin levels.
AB - BACKGROUND AND OBJECTIVES: Idiopathic erythrocytosis (IE) is characterized by
erythrocytosis in the absence of megakaryocytic or granulocytic hyperplasia, and
is associated with variable serum erythropoietin (Epo) levels. Most patients with
IE lack the JAK2 V617F mutation that occurs in the majority of polycythemia vera
patients. Four novel JAK2 mutant alleles have recently been described in patients
with V617F-negative myeloproliferative disorders presenting with erythrocytosis.
The aims of this study were to assess the prevalence of JAK2 exon 12 mutations in
IE patients, and to determine the associated clinicopathological features. DESIGN
AND METHODS: A cohort of 58 IE patients with low to normal serum Epo levels and
no known causative mutation were identified from 181 individuals diagnosed with
IE. Patients' DNA samples were screened for the presence of a JAK2 exon 12
mutation by allele-specific polymerase chain reaction and sequencing. Bone marrow
trephines were examined for morphological abnormalities and the erythroid
activity assessed immunohistochemically. RESULTS: Eight mutation-positive cases
were identified, including one with a previously undescribed mutant JAK2 exon 12
allele and another with biallelic involvement. The hematologic features of
mutation-positive and mutation-negative patients were similar, although Epo
hypersensitive erythroid progenitors occurred exclusively in patients with an
exon 12 mutation (p=0.0002; n=15). Patients' bone marrows were moderately
hypercellular, as the result of erythroid hyperplasia, and several had mild
megakaryocyte atypia. INTERPRETATION AND CONCLUSIONS: JAK2 exon 12 mutations were
detected in 27% of patients with low serum Epo levels, all of whom had Epo
independent erythroid progenitors. Consequently, IE patients presenting with
either of these features should be tested for the presence of a JAK2 mutation.
PMID- 18055984
TI - Adhesion molecules and Differentiation Syndrome: phenotypic and functional
analysis of the effect of ATRA, As2O3, phenylbutyrate, and G-CSF in acute
promyelocytic leukemia.
AB - BACKGROUND AND OBJECTIVES: Differentiation Syndrome (DS) is a treatment
complication which can occur in patients treated with acute promyelocytic
leukemia (APL) with all transretinoic acid (ATRA) or As(2)O(3), and is
characterized by enhanced leukocyte transmigration. As(2)O(3), Phenylbutyrate
(PB) and G-CSF are known to potentiate ATRA effects. Our aim was to analyze the
changes in expression and function of adhesion molecules induced by ATRA,
As(2)O(3), G-CSF and PB, and their association. DESIGN AND METHODS: APL blasts
and NB4 cells were treated with ATRA, As(2)O(3), PB, G-CSF or their association
and the expression of adhesion molecules was determined by flow cytometry. Cell
adhesion was evaluated in vitro using Matrigel and for the in vivo analysis, Balb
c mice were injected with NB4 cells pre-treated with ATRA, As(2)O(3), ATRA+G-CSF
or ATRA+As(2)O(3). In addition, CD54 and CD18 knock-out mice were injected with
NB4 cells and concomitantly treated with ATRA. In both models, the MPO activity
in the lungs was determined 6 hours after the injection of the cells. RESULTS: In
NB4 and APL blasts, ATRA and As(2)O(3) increased CD54 expression, but no
synergism was detected. CD11b and CD18 were also up-regulated by ATRA in primary
cells. PB and G-CSF had no effect, but the latter potentiated ATRA-induced CD18
up-regulation. These changes were accompanied by increased adhesion to Matrigel
and to lung microvasculature, and reversed by anti-CD54, anti-CD18 antibodies. In
CD54 and CD18 knock-out mice the ATRA effect was canceled. INTERPRETATION AND
CONCLUSIONS: The use of As(2)O(3), PB and G-CSF in association with ATRA should
not aggravate DS in APL.
PMID- 18055985
TI - Outcome of adult T-lymphoblastic lymphoma after acute lymphoblastic leukemia-type
treatment: a GOELAMS trial.
AB - BACKGROUND AND OBJECTIVES: T-lymphoblastic lymphoma is an infrequent disease
usually treated as T-acute lymphoblastic leukemia with an induction chemotherapy
course and sequential reinduction and maintenance chemotherapy. The T-LBL/ALL
GOELAL02 study evaluated the impact of randomized reinduction chemotherapy
against intensified conditioning followed by autologous stem cell transplantation
(ASCT), after an induction regimen of the type used for acute lymphoblastic
leukemia (ALL). DESIGN AND METHODS: Patients with favorable characteristics were
randomized to receive chemotherapy or ASCT. Patients with unfavorable
characteristics (bone marrow involvement and age over 35 years old or
leukocytosis >30 x 10(9)/L or failure to achieve medullar complete remission [CR]
after one induction course) received a second induction course and ASCT. RESULTS:
Among 45 patients, the CR rate was 71% after induction and 87% after a second
induction course. Within the group of 27 patients with favorable characteristics,
ten received ASCT and 17 chemotherapy. Ten patients in the group with unfavorable
characteristics received ASCT. The 7-year overall survival and progression-free
survival rates were 64 and 65%, respectively. Surprisingly, CR obtained after
only two induction courses was associated with improved overall survival
(p=0.04). None of the known prognostic factors significantly affected survival.
INTERPRETATION AND CONCLUSIONS: Randomized maintenance or high-dose therapy (HDT)
and ASCT or intensified HDT according to initial presentation gave similar
overall and relapse-free survival rates. However, HDT allowed sparing of
mediastinal irradiation and shortened treatment duration.
PMID- 18055986
TI - The potential anticancer agent PK11195 induces apoptosis irrespective of p53 and
ATM status in chronic lymphocytic leukemia cells.
AB - BACKGROUND AND OBJECTIVES: The potential anticancer agent 1-(2-chlorophenyl-N
methylpropyl)-3-isoquinolinecarboxamide (PK11195), a translocator protein (18KDa)
(TSPO) ligand, facilitates the induction of cell death by a variety of cytotoxic
and chemotherapeutic agents. Primary chronic lymphocytic leukemia (CLL) cells
overexpress TSPO. The aim of this study was to examine the effects of PK11195 on
CLL cells. Table 1. Characteristics of the patients with chronic lymphocytic
leukemia. DESIGN AND METHODS: Using cytometric analysis, we studied the cytotoxic
effects of PK11195 on peripheral B and T lymphocytes from patients with CLL and
from healthy donors. Western blot and cytometric analyses were used to study the
mitochondrial effects of PK11195 on CLL cells. Moreover, we analyzed the
cytotoxic effect of PK11195 in patients' cells with mutated p53 or ATM. RESULTS:
PK11195 induces apoptosis and had additive effects with chemotherapeutic drugs in
primary CLL cells. Other TSPO ligands such as RO 5-4864 and FGIN-1-27 also induce
apoptosis in CLL cells. PK11195 induces mitochondrial depolarization and
cytochrome c release upstream of caspase activation, and dithiocyana-tostilbene
2,2- disulfonic acid (DIDS), a voltage-dependent anion channel (VDAC) inhibitor,
inhibits PK11195-induced apoptosis, demonstrating a direct involvement of
mitochondria. CLL cells and normal B cells are more sensitive than T cells to
PK11195-induced apoptosis. Interestingly, PK11195 induced apoptosis in CLL cells
irrespective of their p53 or ATM status. INTERPRETATION AND CONCLUSIONS: These
results suggest that PK11195 alone or in combination with chemotherapeutic drugs
might be a new therapeutic option for the treatment of CLL.
PMID- 18055987
TI - Thrombin-generating capacity in patients with von Willebrand's disease.
AB - BACKGROUND AND OBJECTIVES: on Willebrand's disease (VWD) is the most common
hereditary bleeding disorder. Its severity can be classified on the basis of von
Willebrand factor (VWF) and factor VIII (FVIII) plasma levels and according to
the clinical relevance of bleeding episodes. However, patients with very low VWF
activity may exhibit a mild bleeding tendency. The basis for this heterogeneous
clinical expression of the deficit is still poorly understood. We investigated
the relationship between thrombin generation and levels of factor VIII, VWF and
clinical bleeding tendency. DESIGN AND METHODS: Thrombin generation was measured
in platelet-rich (PRP) and platelet-poor plasma (PPP) from 53 patients with VWD.
RESULTS: We observed a statistically significant higher risk of bleeding in
patients with a low thrombin peak in PRP (OR=14.5; 95% CI=5-41.3). Similar
results were found in PPP (OR=8.71; 95% CI=3.4-22.3). Two parameters of the
thrombin generation curve, peak height and thrombin generation speed (slope),
correlated significantly with VWF:RCo and FVIII levels both in PPP and in PRP.
Regression analysis showed that thrombin generation was mainly dependent on
plasma FVIII activity. INTERPRETATION AND CONCLUSIONS: Our results suggest that
the thrombin generation test, in combination with routine FVIII and VWF
measurements, could be of interest in the assessment of the individual bleeding
risk in patients with VWD.
PMID- 18055988
TI - Laboratory diagnosis and monitoring of desmopressin treatment of von Willebrand's
disease by flow cytometry.
AB - BACKGROUND AND OBJECTIVES: von Willebrand's disease (VWD) is a heterogeneous
bleeding disorder caused by quantitative or qualitative defects in von Willebrand
factor (VWF). The diagnosis of VWD requires several laboratory tests. The aim of
our study was to validate a flow cytometric test for the diagnosis of VWD and for
monitoring the effects of desmopressin therapy. DESIGN AND METHODS: Flow
cytometric analysis of ristocetin-induced VWF binding to platelets was performed
in platelet-rich plasma (PRP) samples from patients with VWD and from control
subjects and in samples of formalin-fixed platelets in the presence of plasma
from patients or controls. In 12 VWD patients the test was conducted before and 1
hour after desmopressin infusion. Results were compared with VWF:Ag, VWF:RCo,
VWF:CB, RIPA, PFA-100 and the skin bleeding time. RESULTS: Ristocetin-induced VWF
binding to platelets, evaluated by both flow cytometry-based assays, was
significantly reduced in patients with type1, 2A and 2M VWD as compared with that
in healthy subjects. Patients with type 2B VWD showed reduced binding of VWF to
formalin-fixed platelets, but increased binding to autologous platelets in PRP,
similar to RIPA. VWF binding to platelets assessed by both flow cytometric assays
correlated significantly with VWF:Ag, VWF:RCo, VWF:CB, RIPA, PFA100 and bleeding
time. VWF binding to platelets increased after desmopressin infusion.
INTERPRETATION AND CONCLUSIONS: The measurement of ristocetin-induced binding of
VWF to platelets by flow cytometry is a sensitive, simple and rapid test for the
diagnosis of VWD and for the monitoring of the effects of desmopressin therapy.
The flow cytometric assay performed with autologous platelets is useful in the
identification of type 2B VWD patients.
PMID- 18055989
TI - Kinetics of recovery of dendritic cell subsets after reduced-intensity
conditioning allogeneic stem cell transplantation and clinical outcome.
AB - BACKGROUND AND OBJECTIVES: Dendritic cells (DC) play a critical role in the
regulation of alloimmune responses and might influence the outcome of allogeneic
stem cell transplantation (allo-SCT). We studied the clinical relevance of early
reconstitution of DC after reduced-intensity conditioning allo-SCT (allo-RIC).
DESIGN AND METHODS: This study included 79 adult patients undergoing allo-RIC
from HLA-identical siblings. Peripheral blood samples were drawn from patients at
1 month (+1m) and 3 months (+3m) after the transplant. DC were identified as
positive for HLA-DR and negative for CD3, CD19, CD14 and CD56. The expression of
CD33, CD123 and CD16 was used to identify myeloid DC, plasmacytoid DC and CD16(+)
DC subpopulations, respectively. RESULTS: Patients whose DC count at +1m was
lower than the median had a higher probability of treatment-related mortality
(TRM) (60% vs 12%; p=0.02), poorer overall survival (OS) (15% vs 45%; p=0.002)
and worse event-free survival (EFS) (20% vs 38%; p=0.03). A multivariate analysis
confirmed that low DC counts had a detrimental effect on OS (RR 3.2; p=0.007),
relapse (RR 4.1; p=0.01), and EFS (RR 6; p=0.001). Low CD16(+) DC counts were
observed to have a detrimental effect on EFS, which was due to both a higher
incidence of deaths caused by infections (50% vs 0%, p=0.05) and a higher
incidence of relapse (57% vs 50%; p=0.03). Indeed, the number of CD16(+) DC at +3
m was the most important prognostic factor for EFS (RR 6; p=0.001).
Interpretations and Conclusions This study shows the clinical importance of DC
recovery, especially of the CD16(+) DC subset, in the outcome of patients treated
with allo-RIC.
PMID- 18055990
TI - Italian blood donors with anti-HBc and occult hepatitis B virus infection.
AB - BACKGROUND AND OBJECTIVES: Occult hepatitis B virus (HBV) infection might allow
the release of viremic units into the blood supply network if blood is tested
only for hepatitis B surface antigen (HBsAg). The aim of our study was to
evaluate the actual prevalence, viral load and genotype of occult HBV infections
among first-time blood donors in north-western Italy and to suggest a way to
minimize risks of transmission of this infection. DESIGN AND METHODS: We assayed
6313 consecutive blood donors for antibodies to HBV core antigen (anti-HBc) in
addition to mandatory screening. HBsAg-negative/anti-HBc-positive donors were
assayed for antibodies to HBsAg (anti-HBs) and for HBV-DNA using COBAS
Ampliscreen HBV (Roche) on individual donations. All HBV-DNA-positive samples
underwent confirmatory testing with additional polymerase chain reaction-based
assays. RESULTS: The prevalence of anti-HBc positive subjects was 4.85%. Fourteen
out of 288 blood donors (4.86%) were confirmed to have circulating HBV-DNA at a
low level (range 8-108 IU/mL). All viremic donors were also anti-HBs-positive.
INTERPRETATION AND CONCLUSIONS: We estimate that in north-western Italy up to
2298 units per million donated units from first-time donors may contain HBV-DNA.
The risk of an HBV-DNA positive unit from an occult carrier being released into
the blood supply is more than 100 times higher than the estimated residual risk
related to the window phase of HBV infection in our country. The potential
infectivity of these units is debated, but their use cannot be considered safe at
least in immunocompromised patients.
PMID- 18055991
TI - Differential activation of the death receptor pathway in human target cells
induced by cytotoxic T lymphocytes showing different kinetics of killing.
AB - BACKGROUND AND OBJECTIVES: Cytotoxic T lymphocytes (CTL) may use two effector
mechanisms to kill their target cells: perforin (PFN) and granzyme B (GrB)
dependent granule-mediated cell death and death receptor-mediated cell death.
Controversy exists whether, in addition to PFN/GrB-mediated apoptosis, death
receptor-induced apoptosis contributes to the elimination of human tumor cells by
CTL. DESIGN AND METHODS: Since the two CTL-mediated effector mechanisms differ in
time required to eliminate target cells, lysis of target cells was analyzed using
CTL clones with slow and rapid kinetics of killing derived from a patient with
chronic myeloid leukemia. To determine the involvement of the death receptor
pathway, a retroviral construct encoding the antiapoptotic gene FLICE inhibitory
protein (FLIP) was introduced into these target cells. RESULTS: A CTL clone
capable of killing 50% of the target cells within 2 hours of incubation primarily
acted by release of PFN and GrB. In contrast, two CTL clones showing slower
target cell killing kinetics partially used the death receptor pathway
(approximately 30% inhibition by FLIP). INTERPRETATION AND CONCLUSIONS: We
demonstrated that the death receptor pathway contributes to T-cell-mediated cell
death if not all target cells are destroyed by release of PFN and GrB.
PMID- 18055992
TI - Predictability of hematopoietic stem cell transplantation rates.
AB - BACKGROUND AND OBJECTIVES: Hematopoietic stem cell transplantation (HSCT) is a
complex and expensive procedure. Trends in the use of this procedure have
appeared erratic in the past. Information on future needs is essential for health
care administrators. DESIGN AND METHODS: We analyzed the evolution of transplant
rates, e.g. numbers of transplants per 10 million inhabitants, in Europe from
1990 to 2004 for all major disease categories and used Gross National Income
(GNI) per capita, team density (numbers of teams per 10 million inhabitants) and
team distribution (numbers of teams per 10,000 km2) to measure the impact of
economic factors in participating countries. Trends were compared by regression
analyses, and countries were grouped by World Bank definitions into high, middle
and low income categories. RESULTS: Transplant rates increased over time with
nearly linear trends, in clear association with GNI per capita (R2=0.72), and
distinct by World Bank category within a narrow window of variation for both
autologous HSCT (R2=0.95, 0.98 and 0.94 for high, middle and low income
categories, respectively) and allogeneic HSCT (R2=0.99, 0.96 and 0.95 for high,
middle and low income categories, respectively) when breast cancer (autologous)
and chronic myeloid leukemia (allogeneic) were excluded. Team density (R2=0.72)
and team distribution (R2=0.51) were also associated with transplant rates.
INTERPRETATION AND CONCLUSIONS: Transplant rates for HSCT in Europe are highly
predictable. They are primarily influenced by GNI per capita. The absence of
saturation and a nearly linear trend indicate that infrastructure lags behind
medical needs. Isolated changes in single disease entities can easily be
recognized.
PMID- 18055993
TI - Antithymocyte globulin and cyclosporine for treatment of 44 children with
hepatitis associated aplastic anemia.
AB - We analyzed the outcomes of 44 children with hepatitis associated aplastic anemia
(HAA) who received immunosuppressive therapy (IST) with antithymocyte globulin
(ATG) and cyclosporine (CsA). Fourteen (31.8%) patients achieved complete
response and 17 (38.6%) achieved partial response, for an overall response rate
of 70.4% after 6 months. Seven non-responders received bone marrow
transplantation from an HLA-matched unrelated donor and 6 out of 7 are alive. The
probability of overall survival at 10 years was 88.3+/-4.9%, which supports the
role of IST with ATG and CsA as treatment of choice for children with HAA without
an HLA identical sibling donor.
PMID- 18055994
TI - Rituximab therapy for childhood Evans syndrome.
AB - The safety and efficacy of rituximab have been retrospectively assessed in 17
children with Evans syndrome. Patients received 4 or 3 weekly doses of rituximab
(375 mg/m(2) per dose) associated with prednisone, alone (14 patients) or
associated with other immunosuppressive drugs. Complete or partial remission of
at least one cytopenia was achieved in 13 out of the 17 patients (76%), and
lasted in 11 of them with a mean follow-up of 2.4 years (range 0.5-7 years).
Steroid therapy was stopped or tapered at 50-100% of the baseline dosage in all
long-term responders. Moderate side effects and infection occurred only in 4 and
1 children respectively.
PMID- 18055995
TI - Activity and safety profile of low-dose rituximab for the treatment of autoimmune
cytopenias in adults.
AB - We conducted a retrospective analysis of 11 consecutive patients with various
autoimmune cytopenias who failed to respond to conventional treatments and
received a fixed-dose regimen of rituximab (100 mg weekly for 4 consecutive
weeks). Sustained complete responses were achieved in 4 out of 7 patients with
idiopathic thrombocytopenic purpura and in 1 patient with autoimmune
pancytopenia. A partial response was observed in 1 patient with autoimmune
hemolytic anemia. The immunotherapy had no effect in 1 patient with pure red cell
aplasia or in 1 patient with autoimmune neutropenia. No infusion-related or
delayed toxicities attributable to rituximab were experienced by any of the
patients.
PMID- 18055996
TI - Atypical BCR-ABL mRNA transcripts in adult acute lymphoblastic leukemia.
AB - RT-PCR detects chimeric BCR-ABL mRNA in approximately 25% of adult acute
lymphoblastic leukemia (ALL) cases. Minor breakpoint transcripts (e1a2) are found
in about 70% of positive cases and major breakpoint transcripts (e13a2, e14a2) in
about 30% of cases. However, other atypical transcripts are sometimes observed.
We report experience gained in the GMALL Study Group and identified 8 BCR-ABL
positive adult ALL cases with such atypical transcripts: 5 with e1a3, 2 with
e13a3 (b2a3), and 1 with e6a2. This corresponds to a prevalence of 1-2% of all
BCR-ABL-positive cases. The clinical courses are reported and diagnostic
proposals are made.
PMID- 18055997
TI - The risk of venous and arterial thrombosis in hyperhomocysteinemic subjects may
be a result of elevated factor VIII levels.
AB - In a large retrospective study of thrombophilic families, we analyzed 405
relatives of patients, hypothesizing that hyperhomocysteinemia and elevated
factor VIII levels are closely related. Median factor VIII levels in
hyperhomocysteinemic relatives were 169 IU/dL, compared with 136 IU/dL in
normohomocysteinemic relatives (p =0.007), and were more often elevated (>150
IU/dL; p =0.006). Hyperhomocysteinemia was associated with an increased risk of
venous and arterial thrombosis; relative risk (RR) 2.6 (CI 1.3-4.8) and 3.7 (CI
1.5-8.4) respectively. Relatives with elevated FVIII were also at risk; RR 2.3
(CI 1.4-4.0) for venous thrombosis and 2.3 (CI 1.0-5.1) for arterial thrombosis.
After excluding all relatives with elevated factor VIII, RR for
hyperhomocysteinemia and venous thrombosis dropped to 1.3 (CI 0.2-9.8) and no
relatives had arterial thrombosis. We conclude that it is likely that the
increased risk of venous and arterial thrombosis in hyperhomocysteinemia is
mainly related to elevated FVIII levels.
PMID- 18055998
TI - Human mulipotential mesenchymal/stromal stem cells are derived from a discrete
subpopulation of STRO-1bright/CD34 /CD45(-)/glycophorin-A-bone marrow cells.
AB - Magnetic and flow cytometry-based methods were used to characterize clonogenic
stromal cells in human bone marrow. STRO-1(bright) stromal cells were found to
lack expression of CD34, CD45 and glycophorin-A markers associated with
hematopoietic progenitor cells. These studies support the view that these are two
distinct stem cell compartments in adult bone marrow.
PMID- 18055999
TI - Endothelial-dependent vasodilation is impaired in children with sickle cell
disease.
AB - Impairment of endothelial-dependent vasodilation has been demonstrated in adults
with sickle cell anemia (SCA). We enrolled 21 SCA children, mean age 10.4+/-3.3
yrs, and 23 Afro-Caribbean controls. We examined flow-mediated (FMD) and
nitroglycerine-mediated (GTNMD) dilation of the brachial artery, using
echotracking techniques, and measured intima-media thickness (IMT) and mechanical
properties of the common carotid artery. FMD was significantly decreased in SCA
children vs controls (5.6+/-0.2 vs 8.0+/-0.2%, p=0.008), while IMT, stiffness of
the common carotid artery, and GTNMD were comparable. In conclusion, endothelial
dysfunction is present as early as childhood in SCA patients.
PMID- 18056000
TI - Holotranscobalamin remains unchanged during pregnancy. Longitudinal changes of
cobalamins and their binding proteins during pregnancy and postpartum.
AB - We confirm a decrease in cobalamins during pregnancy, and report that the active
part of cobalamins (holotranscobalamin, holoTC) remains unchanged. The decrease
in cobalamins is explained by a decreased holohaptocorrin (holoHC), suggesting
that holoTC rather than cobalamins should be used as a marker of vitamin B12
deficiency during pregnancy.
PMID- 18056001
TI - Mutations and haplotype diversity in 70 Portuguese G6PD-deficient individuals: an
overview on the origin and evolution of mutated alleles.
AB - G6PD deficiency mutational profile and haplotype diversity using 6 RFLPs
(FokI/PvuII/BspHI/PstI/BclI/NlaIII) and a (CTT)(n) microsatellite, were
investigated in 70 G6PD-deficient Portuguese individuals. All but one G6PD A
(376G/202A) variants (44/45) have a single haplotype (+/+/-/+/-/+/195). G6PD
Betica(376G/968C) alleles (n=10) have a single RFLP haplotype (+/-/-/+/-/+) and 4
different (CTT)(n) repeats. Age estimates based on microsatellite variation
suggest that Betica mutation arose 900 generations ago. G6PD
SantaMaria(376G/542T) allele was found on haplotype (+/-/-/+/-/+/201) and 10 G6PD
variants on RFLP haplotypes (-/-/+/+/-/-), (-/-/+/+/-/+) and (-/-/+/+/+/+).
PMID- 18056002
TI - Missense mutation of the last nucleotide of exon 1 (G->C) of beta globin gene not
only leads to undetectable mutant peptide and transcript but also interferes with
the expression of wild allele.
AB - Hemoglobin Monroe (beta globin G->C, codon 30) is a missense mutation. We could
not detect either the mutant peptide or transcript in reticulocyte-enriched
preparation and in expanded erythroid progenitor cells. By quantitative gene
expression assay beta globin mRNA was found to be reduced by more than 70% in all
heterozygous subjects with different haplotypes. We conclude that this mutation
also interferes with expression of wild type allele.
PMID- 18056003
TI - JAK2 exon 12 mutations in polycythemia vera or idiopathic erythrocytosis.
AB - JAK2 exon 12 mutations were detected in 4 out of 20 polycythemia vera and
idiopathic erythrocytosis V617F-negative patients and were only present in the
myeloid lineage. Initial hematologic data of these patients differ from those of
V617F-positive patients, but there is no difference in thrombotic development and
myelofibrotic transformation.
PMID- 18056004
TI - A randomized comparison of immediate versus delayed application of G-CSF in
induction therapy for patients with acute myeloid leukemia unfit for intensive
chemotherapy.
AB - We randomized 66 elderly patients with AML unfit for conventional chemotherapy to
receive GCSF from d6 or from d12 after induction-chemotherapy with
cytarabine/idarubicin. There was no difference in duration of neutropenia (17
days vs. 19 days, p=0.67) or rate of complications. Delayed treatment can reduce
the administration of G-CSF without adverse consequences.
PMID- 18056006
TI - Imatinib mesylate in combination with chemotherapy in four children with de novo
and advanced stage Philadelphia chromosome-positive acute lymphoblastic leukemia.
AB - The role of imatinib in childhood Philadelphia chromosome-positive (Ph(+)) acute
lymphoblastic leukemia (ALL) has not been established. We treated four children
with imatinib in combination with conventional chemotherapy (CT) before stem cell
transplantation (SCT). Response evaluation consisted of fluorocytometric analysis
of minimal residual disease (MRD) and standard qualitative RT-PCR follow-up.
PMID- 18056005
TI - Imatinib mesylate in the treatment of newly diagnosed or refractory/resistant c
KIT positive acute myeloid leukemia. Results of an Italian Multicentric Phase II
Study.
AB - We evaluated safety and efficacy of imatinib (600 mg) in 36 c-KIT+ acute myeloid
leukemia patients not amenable to receive conventional chemotherapy. No patient
achieved complete remission. One patient obtained a hematologic improvement
(platelet increase with transfusion independence). Median overall survival was 3
months (0.5-44+). Non-hematologic toxicity was overall mild.
PMID- 18056007
TI - Evaluation of genetic markers linked to hemophilia A locus: an Indian experience.
AB - Hemophilia A is an X-linked recessive bleeding disorder caused by defects in
factor VIII gene (F8). Our study examines variations of single nucleotide
polymorphism (SNP) in F8 in the Indian population and establishes the utility of
a combination of SNP and microsatellite markers for the successful identification
of carriers in the affected families.
PMID- 18056008
TI - Successful unrelated cord blood transplantation for a patient with CD40 ligand
deficiency.
AB - We report a CD40 ligand deficiency (CD40LD) patient who was successfully treated
with unrelated cord blood transplantation (URCBT). Conditioning regimen was
busulfan and cyclophosphamide. The clinical course was uneventful and durable
engraftment was achieved. This successful case encourages the use of URCB as an
alternative donor source for CD40LD patients.
PMID- 18056010
TI - Evaluation of foot pain in the standing horse by magnetic resonance imaging.
AB - The records of 41 horses with previously undiagnosed foot pain that had been
examined by standing magnetic resonance imaging were reviewed and follow-up
information was obtained from their owners two years after the examination. A
range of soft tissue and osseous abnormalities were identified, with multiple
lesions frequently occurring. Deep digital flexor tendonitis was recorded in 12
of the horses, and distension of the distal interphalangeal joint was identified
in 15, but it was not always associated with lameness; in contrast, distension of
the navicular bursa was always associated with lameness in the seven affected
horses. Navicular bone lesions were identified in 13 of the horses, often in the
absence of radiographic changes. Follow-up information was obtained for 35 of the
horses, 27 of which were alive; of these, 16 had returned to their previous level
of performance. Of the five horses with navicular bursal changes, four had been
euthanased owing to lameness and the other had returned to work at a reduced
level.
PMID- 18056011
TI - Radiographic assessment of the progression of osteoarthrosis in the contralateral
stifle joint of dogs with a ruptured cranial cruciate ligament.
AB - The formation and progression of osteoarthrosis in the unaffected contralateral
stifle joints of 14 dogs with a unilateral cranial cruciate ligament rupture were
monitored radiographically in terms of a global score and the scores for 10
parameters specific for the stifle joint. The dogs were examined initially and
six and 12 months later by three observers, and the variability between the
observers' scores was also assessed. The score for osteophytes at the tibial
attachment site of the ligament was the most reliable parameter, and that for the
increase in femoropatellar joint space was the least reliable. In the
contralateral stifle joints there were significant increases after six and 12
months in osteophyte formation caudal to the tibial plateau, and in subchondral
sclerosis of the tibial plateau and of the long digital extensor muscle groove.
These three parameters progressed more regularly during the disease process than
the other parameters. The global osteoarthrosis score of the contralateral stifle
joint was an important risk factor for sustaining a rupture of the cranial
cruciate ligament in that joint during the next six months.
PMID- 18056009
TI - Nogo-66 receptor antagonist peptide (NEP1-40) administration promotes functional
recovery and axonal growth after lateral funiculus injury in the adult rat.
AB - OBJECTIVE: The myelin protein Nogo inhibits axon regeneration by binding to its
receptor (NgR) on axons. Intrathecal delivery of an NgR antagonist (NEP1-40)
promotes growth of injured corticospinal axons and recovery of motor function
following a dorsal hemisection. The authors used a similar design to examine
recovery and repair after a lesion that interrupts the rubrospinal tract (RST).
METHODS: Rats received a lateral funiculotomy at C4 and NEP1-40 or vehicle was
delivered to the cervical spinal cord for 4 weeks. Outcome measures included
motor and sensory tests and immunohistochemistry. RESULTS: Gait analysis showed
recovery in the NEP1-40-treated group compared to operated controls, and a test
of forelimb usage also showed a beneficial effect. The density of labeled RST
axons increased ipsilaterally in the NEP1-40 group in the lateral funiculus
rostral to the lesion and contralaterally in both gray and white matter. Thus,
rubrospinal axons exhibited diminished dieback and/or growth up to the lesion
site. This was accompanied by greater density of 5HT and calcitonin gene-related
peptide axons adjacent to and into the lesion/matrix site in the NEP1-40 group.
CONCLUSIONS: NgR blockade after RST injury is associated with axonal growth
and/or diminished dieback of severed RST axons up to but not into or beyond the
lesion/matrix site, and growth of serotonergic and dorsal root axons adjacent to
and into the lesion/matrix site. NgR blockade also supported partial recovery of
function. The authors' results indicate that severed rubrospinal axons respond to
NEP1-40 treatment but less robustly than corticospinal, raphe-spinal, or dorsal
root axons.
PMID- 18056012
TI - Use of ultrasonography to detect calcifications in cattle and sheep fed Trisetum
flavescens silage.
AB - Twelve cows (mean age 6.4 years) and eight sheep (mean age 0.8 years) were fed
silage containing 70 to 90 per cent vitamin D3-effective Trisetum flavescens for
a period of 14 weeks. At the beginning and the end of this period, the animals
were examined and their kidneys, abdominal aortas and heart valves were examined
by ultrasonography; the animals were then slaughtered and these organs were
examined histologically, and the specificity and sensitivity of the
ultrasonography were calculated. After the feeding period, all the cows had a
decreased body temperature and all but one had raised hair, movement disorders
and difficulty in rising and lying down. Ultrasonography of the abdominal aorta
had a specificity of 90 per cent and a sensitivity of 75 per cent, and
ultrasonography of the heart valves had a specificity of 100 per cent and a
sensitivity of 50 per cent. In the sheep, cardiac arrythmia was the only
pathological finding at the end of the feeding period, and the ultrasonographic
examination of the kidneys had a specificity and sensitivity of 100 per cent.
PMID- 18056013
TI - Risk of vomiting and diarrhoea in dogs.
PMID- 18056014
TI - Ultrasonographic diagnosis of reticular diaphragmatic hernias in buffaloes.
PMID- 18056015
TI - Segmental atresia of the uterus associated with hydrometra in a ferret.
PMID- 18056016
TI - Responsibilities of animal health.
PMID- 18056017
TI - Time to recognise experienced unqualified nurses?
PMID- 18056018
TI - Anthelmintic use in goats.
PMID- 18056019
TI - Favourable response to electric collar aversion training.
PMID- 18056020
TI - Lethal bluetongue virus infection in an alpaca.
PMID- 18056021
TI - TRH stimulation when basal TSH is within the normal range: is there "sub
biochemical" hypothyroidism?
PMID- 18056022
TI - Associated economic impact of skatepark-related injuries in Southern California.
AB - OBJECTIVE: To correlate the types of skatepark-related injuries with medical
expenses and the monetary effects of time missed from work or school. DESIGN:
Prospective case series and survey with repeat measures over 1 year. SETTING:
Southern California Level I Trauma Center and Emergency Department. PARTICIPANTS:
Subjects age 7 years or older who sustained an injury at a local skatepark and
treated in the emergency department were included in the study. METHODS: A
skatepark-related injury survey was conducted at the time of the subject's
emergency department evaluation. Thereafter, subjects were contacted by telephone
at 1 week and 1, 3, 6, 9 and 12 months post-injury to assess the extent of
ongoing follow-up medical care, time lost from work and school for both the
subject and parents, and the degree of self-reported disability. The costs of
injury were estimated using accepted econometric methods. The cost of medical
care and lost household productivity were estimated using data specific to the
nature of the injury and the body part injured. RESULTS: Skatepark-related
injuries resulted in a mean loss of 1.1 school days and 5.5 work days to the
subject and family. The mean total injury costs were $3,167, of which 64% were
medical costs and 28% were wages lost by the subject and family. Costs were much
greater for subjects aged 26 years and older than for younger subjects and for
those with more severe injuries than for less severe injuries. CONCLUSION:
Skatepark injuries resulted in substantial medical costs and lost wages. Injured
skatepark users 26 years and older and those with more severe injuries had the
highest cost.
PMID- 18056023
TI - Fixation of winged scapula in facioscapulohumeral muscular dystrophy.
AB - OBJECTIVE: To verify if stabilizing the scapulothoracic joint without arthrodesis
could lead to functional improvement of shoulder range of motion and clinical
improvement of winged scapula, we incorporated four additional patients into our
previous analysis to determine if the results obtained were long lasting, and to
compare this fixation with the other techniques described in the literature,
balancing the benefits with the complications. DESIGN: A retrospective study.
PARTICIPANTS: Thirteen patients with bilateral winged scapula affected by
facioscapulohumeral muscular dystrophy. Nine of these patients had been analyzed
in our previous study. METHODS: Patients were operated on by bilateral fixing of
the scapula to the rib cage using metal wires without arthrodesis (scapulopexy).
RESULTS: All patients experienced improvement in active range of motion of the
shoulder and all of them had clinical improvement with complete resolution of the
winged scapula. In all twenty-six surgical interventions of scapulopexy, a stable
and long-lasting fixation of the scapula to the rib cage was achieved. The
complications strictly associated to the surgical technique encountered were one
pneumothorax, which was resolved spontaneously, and one wire breakage without
trauma. Average follow-up was 10 years (range, 3 to 18 years). CONCLUSION: The
scapulopexy used in this extended series of patients consisted of repositioning
the scapula and fixing it to four ribs by using metal wires without performing
arthrodesis. This technique has a low rate of complications, is reproducible,
safe and effective, resulting in clinical and functional improvement.
PMID- 18056024
TI - Testicular microlithiasis: another starry sky appearance.
PMID- 18056025
TI - Noninvasive cardiac imaging with computed tomography.
AB - Despite major improvements in the treatment of heart disease, it remains a major
source of morbidity and mortality on a global scale. Currently, invasive coronary
angiography remains the gold standard for identification of obstructive coronary
artery disease. However, recent advances in computerized tomographic (CT)
techniques of the heart allow for accurate, noninvasive characterization of
atherosclerotic coronary disease and other cardiac abnormalities. The calculation
of coronary artery calcium scores with electron beam CT has largely been
supplanted by high-resolution CT angiography using multislice detectors (MSCT)
which can provide detailed multidimensional visualization of cardiac structures.
Although evaluation of obstructive coronary disease is the primary use of MSCT,
its use in identifying congenital defects, planning thoracic procedures and
characterizing cardiac function continues to grow. Accordingly, appropriate
incorporation of MSCT/CT angiography into clinical practice continues to be
defined. Several limitations to MSCT remain which reduce its accuracy, such as in
patients with arrhythmia and in patients with either coronary stents or heavily
calcified coronaries. Despite its current limitations, MSCT remains a rapidly
advancing field and an increasingly valuable tool for the noninvasive evaluation
of cardiac pathology.
PMID- 18056026
TI - Cocaine-induced acute myocardial infarction.
AB - Acute myocardial infarction may occur following cocaine use. Cocaine-induced
infarction is particularly common in younger patients aged 18 to 45 years old.
Patients may or may not have angiographic evidence of coronary artery disease at
the time of their acute event. Previous studies have shown that coronary artery
spasm occurs with cocaine use, and perhaps platelet activation, both contributing
to a process that may culminate in coronary artery occlusion. Primary coronary
intervention should be the preferred revascularization modality by an experienced
team. Thrombolytic therapy needs to be instituted if this intervention is
unavailable. Beta blockers should be utilized with caution since they may
increase coronary spasm or cause a paradoxical rise in blood pressure. They
should be avoided in the early hours of the infarction, but be instituted prior
to patient discharge. Interruption of cocaine abuse is the cornerstone of
secondary prevention in cocaine-related myocardial infarction.
PMID- 18056027
TI - The contribution of luteinizing hormone to Alzheimer disease pathogenesis.
AB - Several hypotheses have been proposed that attempt to explain the pathogenesis of
Alzheimer Disease (AD) including theories involving senile plaque and
neurofibrillary tangle formation, increased oxidative stress, and cell cycle
abnormalities, since evidence for each of these pathological phenomena have been
well documented in AD. Recent epidemiological and experimental data also support
a role for the gonadotropin luteinizing hormone in AD. Paralleling the female
predominance for developing AD, luteinizing hormone levels are significantly
higher in females as compared to males, and furthermore, luteinizing hormone
levels are higher still in individuals who succumb to AD. Luteinizing hormone,
which is capable of modulating cognitive behavior, is not only present in the
brain, but also has the highest receptor levels in the hippocampus, a key
processor of cognition that is severely deteriorated in AD. Furthermore, we
recently examined cognitive performance in a well-characterized transgenic mouse
that over-expresses luteinizing hormone and found that these animals show
decreased cognitive performance when compared to controls. We have also found
that abolishing luteinizing hormone in amyloid-beta protein precursor transgenic
mice (Tg2576) using a potent gonadotropin-lowering gonadotropin-releasing hormone
agonist, leuprolide acetate, resulted in improved hippocampally-related cognitive
performance and decreased amyloid-beta deposition. These findings, together with
data indicating that luteinizing hormone modulates amyloid-beta protein precursor
processing in vivo and in vitro, suggest that luteinizing hormone may contribute
to AD pathology through an amyloid-dependent mechanism. These promising findings
support the importance of luteinizing hormone in AD and bring to the forefront an
alternative, and much needed, therapeutic avenue for the treatment of this
insidious disease.
PMID- 18056030
TI - Retraction - Sudbo J. Novel management of oral cancer: a paradigm of predictive
oncology. Clin Med Res 2004;2:233-242.
PMID- 18056031
TI - The second conference on skeletal medicine and biology: special features.
PMID- 18056028
TI - Celiac disease and autoimmune thyroid disease.
AB - Celiac disease (CD) or gluten sensitive enteropathy is relatively common in
western populations with prevalence around 1%. With the recent availability of
sensitive and specific serological testing, many patients who are either
asymptomatic or have subtle symptoms can be shown to have CD. Patients with CD
have modest increases in risks of malignancy and mortality compared to controls.
The mortality among CD patients who comply poorly with a gluten-free diet is
greater than in compliant patients. The pattern of presentation of CD has altered
over the past three decades. Many cases are now detected in adulthood during
investigation of problems as diverse as anemia, osteoporosis, autoimmune
disorders, unexplained neurological syndromes, infertility and chronic
hypertransaminasemia of uncertain cause. Among autoimmune disorders, increased
prevalence of CD has been found in patients with autoimmune thyroid disease, type
1 diabetes mellitus, autoimmune liver diseases and inflammatory bowel disease.
Prevalence of CD was noted to be 1% to 19% in patients with type 1 diabetes
mellitus, 2% to 5% in autoimmune thyroid disorders and 3% to 7% in primary
biliary cirrhosis in prospective studies. Conversely, there is also an increased
prevalence of immune based disorders among patients with CD. The pathogenesis of
co-existent autoimmune thyroid disease and CD is not known, but these conditions
share similar HLA haplotypes and are associated with the gene encoding cytotoxic
T-lymphocyte-associated antigen-4. Screening high risk patients for CD, such as
those with autoimmune diseases, is a reasonable strategy given the increased
prevalence. Treatment of CD with a gluten-free diet should reduce the recognized
complications of this disease and provide benefits in both general health and
perhaps life expectancy. It also improves glycemic control in patients with type
1 diabetes mellitus and enhances the absorption of medications for associated
hypothyroidism and osteoporosis. It probably does not change the natural history
of associated autoimmune disorders.
PMID- 18056029
TI - Sex differences in hepatic gluconeogenic capacity after chronic alcohol
consumption.
AB - Alcohol-induced hypoglycemia has traditionally been attributed to the amount of
ethanol consumed rather than any inherent decline in glucose output capacity by
the gluconeogenic organs and/or an increase in skeletal muscle glucose uptake.
Further, while the potential for sex differences that might impact glucose
homeostasis following chronic alcohol consumption has been recognized, direct
evidence has been noticeably absent. This paper will provide a brief review of
past and present reports of the potential for sex differences in glucose
homeostasis following chronic ethanol consumption. This paper will also provide
direct evidence from our laboratory demonstrating sex differences from chronic
alcohol consumption resulting in a decrement in glucose appearance and more
importantly, a specific decline in hepatic gluconeogenic (HGN) capacity in the
absence and presence of ethanol. All our studies involved 8 weeks of chronic
alcohol consumption in male and female Wistar rats, as well as a 24 to 48 hour
fast to deplete hepatic glycogen stores. Under the conditions of chronic alcohol
consumption and an acute dose of ethanol, we provide in vivo evidence of an early
decline in whole body glucose appearance in females fed an ethanol diet compared
to controls. While the decline was also observed in males fed the alcohol diet,
it occurred much later compared to ethanol fed females. The site for the decline
in whole body glucose production (i.e., either the kidneys or the liver) was
beyond the scope of our prior in vivo study. In a follow-up study using the in
situ perfused liver preparation, we provide additional evidence for a specific
reduction in HGN capacity from lactate in ethanol fed females compared to ethanol
fed males in the absence of alcohol in the perfusion medium. Finally, employing
the isolated hepatocyte technique, we report decrements in HGN from lactate in
ethanol fed females compared to ethanol fed males in the presence of ethanol in
the incubation medium. The mechanism for the specific decline in HGN within the
liver of ethanol fed females remains to be determined. To the extent that our
observations in animals can be extrapolated to humans, we conclude that alcoholic
women are more susceptible to ethanol-induced hypoglycemia compared to alcoholic
men.
PMID- 18056032
TI - Historical reflections and the future.
AB - This meeting has excitingly described the modern status of skeletal biology. Many
advances are described in detail but it may be of value to describe the original
discovery of two iconic osteoclast regulators, calcitonin and PTH, whose
development in the 1960s initiated the revolution in the field.
PMID- 18056033
TI - Oxygen sensing and osteogenesis.
AB - Osteogenesis and angiogenesis are tightly coupled during bone formation and
repair. Blood vessels not only carry oxygen and nutrients to the developing bone,
but also play an active role in bone formation and remodeling by mediating the
interaction between osteoblasts, osteocytes, osteoclasts, and vascular cells at a
variety of levels. Tissue hypoxia is believed to be a major stimulus for
angiogenesis by activating hypoxia-inducible factor alpha (HIFalpha) pathway,
which is a central regulator of hypoxia adaptation in vertebrates. HIFalpha
remains inactive under normoxic conditions through pVHL-mediated
polyubiquitination and proteasomal degradation. Activation of the HIFalpha
pathway by hypoxia triggers hypoxia-responsive gene expression, such as vascular
endothelial growth factor (Vegf), which plays a critical role in angiogenesis,
endochondral bone formation, and bone repair following fracture. Recent work from
our laboratory has shown that osteoblasts use the HIFalpha pathway to sense
reduced oxygen tension and transmit signals that impinge on angiogenic and
osteogenic gene programs during bone formation. Using a genetic approach, we have
demonstrated that overexpression of HIFalpha in mouse osteoblasts through
disruption of Vhl results in profound increases in angiogenesis and osteogenesis,
which appear to be mediated by cell nonautonomous mechanisms involving VEGF.
These studies suggest that VEGF exerts many of its actions on bone indirectly by
stimulation of angiogenesis. Whether or to what extent this angiogenic factor
functions independent of endothelial cells remains to be determined.
PMID- 18056034
TI - Perspective on the osteoclast: an angiogenic cell?
AB - There have been reports recently that the osteoclast stimulates angiogenesis in
vitro. We review the evidence suggesting that the osteoclast directly stimulates
angiogenesis, and discuss the feasibility of the hypothesis that the osteoclast
can be a proangiogenic cell. Reasons supporting the feasibility of this
hypothesis include proangiogenic factors produced by osteoclasts, the angiogenic
effects of macrophages, the antiangiogenic effects of nitrogen containing
bisphosphonates, and the physical proximity of osteoclasts to endothelial cells.
PMID- 18056035
TI - Fetal growth plate: a developmental model of cellular adaptation to hypoxia.
AB - Fetal growth plate chondrocyte is a unique mesenchymal tissue, as it is avascular
and hypoxic. Yet, chondrocytes not only survive in this environment, but also
undergo all cellular processes (proliferation, growth arrest, differentiation,
etc.) required for normal endochondral bone development. A crucial mediator of
the adaptive response of cells to hypoxia is a transcription factor named hypoxia
inducible factor 1alpha (Hif-1alpha). One target of Hif-1alpha transcriptional
activation is the angiogenic factor vascular endothelial growth factor (VEGF),
whereas Hif-1alpha accumulation is controlled by the von Hippel-Lindau (VHL)
tumor suppressor, an E3-ubiquitin ligase that induces its degradation by the
proteasome. We, and others, demonstrated that each component of this pathway is a
critical regulator of endochondral bone development. In particular, we previously
established that Hif-1alpha is a survival factor for hypoxic chondrocytes, and
that it also negatively regulates cell proliferation. Interestingly, we also
showed that hypoxia increases extracellular matrix accumulation in a Hif-1alpha
dependent fashion. This suggested that Hif-1alpha could be critically important
not only for cell survival and proliferation but also for cell differentiation.
We recently demonstrated that Hif-1alpha is indeed a differentiation factor since
it is required in mesenchymal cells both for early chondrogenesis, and for joint
development.
PMID- 18056036
TI - Vascular Bmp Msx2 Wnt signaling and oxidative stress in arterial calcification.
AB - Studies of fracture repair have revealed that paracrine endothelial-mesenchymal
interactions direct bone formation that restores osseous integrity. Angiogenic
growth factors and specific members of the bone morphogenetic protein (BMP)
family mediate these interactions. Recently, these same signals have been shown
to be critical in the vascular pathobiology of hypertension, diabetes, and
atherosclerosis. In the arterial vasculature, mechanical and inflammatory redox
signals, characteristic of hypertension and diabetes have emerged as a
secretagogues for BMP production-with downstream activation of endothelial NADPH
oxidases (Nox). Preliminary data now indicate that the paracrine signals provided
by BMP and reactive oxygen species augment aortic myofibroblast Msx2-Wnt
signaling and matrix turnover. The net mural response to these stimuli promotes
osteogenic differentiation of calcifying vascular cells, moreover, oxidation of
vascular LDL cholesterol generates oxysterols that trigger Runx2 activity via
hedgehog pathways. Thus, BMP, Wnt, and hedgehog gene expression programs
osteogenic pathways highly familiar to the bone biologist-are elaborated in the
arterial vasculature via redox-regulated mechanisms. In the brief review, we
recount mounting evidence that points to oxidative stress as a major contributor
to the pathobiology of diabetic arterial calcification.
PMID- 18056038
TI - Stem cell therapy in bone repair and regeneration.
AB - Stem cells of various origins, particularly endothelial progenitor cells (EPCs),
have potential to enhance bone repair and regeneration. EPCs are resident in the
bone marrow and home to ischemic sites to initiate vasculogenesis. Although it
was previously believed that only local endothelial cells arrive at ischemic
sites, new evidence suggests that EPCs are recruited from the periphery. This
finding has a considerable array of therapeutic implications. For example,
administered EPCs can localize to sites of osteogenesis where they increase blood
vessel formation; this may be useful in enhancing fracture repair.
PMID- 18056037
TI - Hypoxia-mediated mitochondrial stress in RAW264.7 cells induces osteoclast-like
TRAP-positive cells.
AB - Previously we showed that mitochondrial dysfunction induced by mitochondrial DNA
depletion or treatment with electron transport chain inhibitors triggers a stress
signaling involving activation of calcineurin and Ca2+-responsive factors. In
this study we show that exposure of RAW 264.7 cells to hypoxia, causing increased
reactive oxygen species (ROS) production and disruption of mitochondrial
transmembrane potential, also induced a similar stress signaling. Hypoxia caused
increased [Ca2+]c, activation of cytosolic calcineurin and induced expression of
Ryanodine Receptor 2 (RyR2) gene. Prolonged hypoxia (5% O2 for 5-6 days) also
induced the expression of calcitonin receptor at high levels, and those of
cathepsin K, and tartarate-resistant alkaline phosphatase (TRAP) at low-moderate
levels in macrophage cells. Addition of RANKL had an additive effect suggesting
different mechanisms of activation. Consistent with this possibility, prolonged
hypoxia induced the formation of TRAP-positive osteoclast-like cells suggesting
the occurrence of an autocrine mechanism for osteoclastogenesis.
PMID- 18056039
TI - Perfusion abnormalities in subchondral bone associated with marrow edema,
osteoarthritis, and avascular necrosis.
AB - Bone marrow edema is seen in osteoarthritis, avascular necrosis, and other
clinical conditions including the bone marrow edema syndrome. Bone marrow edema
is associated with bone pain and may be related to the pathophysiology of
osteoarthritis. Our hypothesis is that bone marrow edema is associated with a
reduction in perfusion in subchondral bone, which contributes to focal and
segmental bone necrosis and cartilage breakdown. We further hypothesize that
altered fluid dynamics in subchondral bone comprise part of the physicochemical
environment to which osteocytes are highly sensitive and alter their cytokine
expression profile in response to changes in fluid flow, pressure, and oxygen
gradients. We have used contrast-enhanced magnetic resonance imaging with Gd-DTPA
to characterize changes in subchondral bone perfusion in two relevant and related
models-the Dunkin-Hartley guinea pig model of osteoarthritis and human bone
marrow edema associated with osteoarthritis and avascular necrosis.
Pharmacokinetic modeling was used to extract dynamic parameters of perfusion.
Representative time-intensity curves are derived, which characterize normal bone
and bone with marrow edema. Dynamic contrast-enhanced magnetic resonance imaging
may be a useful tool for the early diagnosis of bone perfusion abnormalities and
may be used to characterize marrow edema associated with a number of clinical
conditions. This technique may also shed light on the pathophysiology of
subchondral perfusion in osteoarthritis and avascular necrosis.
PMID- 18056040
TI - Orthopedic implant particle-induced tumor necrosis factor-alpha production in
macrophage-monocyte lineage cells is mediated by nuclear factor of activated T
cells.
AB - Wear particles produced from artificial joint prostheses are known to cause
macrophage-monocyte lineage cells to produce proosteoclastogenic cytokines,
including tumor necrosis factor (TNF)-alpha. The specific molecular mechanism,
however, is not yet known. Bioinformatic analysis showed that the promoter region
of TNF-alpha has several consensus sequences for NFAT binding. Consequently, we
examined the role of nuclear factor of activated T cells (NFAT) in TNF-alpha
production. Our investigation has shown that treatment with titanium
nanoparticles increased TNF-alpha gene expression along with TNF-alpha protein
secretion in murine macrophage-like RAW264.7 and primary monocyte-macrophage
cells. Titanium particle-induced TNF-alpha induction was inhibited by VIVIT, a
peptide inhibitor that targets the calcineurin/NFAT axis, which suggests that
NFAT mediates metallic particle-induced TNF-alpha expression in monocyte
macrophage lineage cells.
PMID- 18056041
TI - ERK signaling regulates macrophage colony-stimulating factor expression induced
by titanium particles in MC3T3.E1 murine calvarial preosteoblastic cells.
AB - Periprosthetic osteolysis poses a significant clinical problem for patients who
have undergone total joint arthroplastic surgeries. It has been widely recognized
that there is a strong correlation between wear particles from orthopedic
implants and osteolysis. However, the molecular mechanism underlying osteolysis
still remains unclear. Although wear particles interact with a mixed cellular
environment, namely macrophages and immune cells, osteoblasts compose the
majority of the cell population surrounding orthopedic implants. Osteoblasts are
also one of the major sources of receptor activator of nuclear factor-kappa beta
(NF-kappaB) ligand (RANKL), a factor necessary for osteoclastogenesis. However,
macrophage colony-stimulating factor (M-CSF), another cytokine responsible for
preosteoclast proliferation, must also be present with RANKL for
osteoclastogenesis to occur. The purpose of our study is to determine the signal
transduction pathway by which titanium (Ti) particles, a metallic component of
many orthopedic implants, induce M-CSF expression in MC3T3.E1 murine calvarial
preosteoblastic cells. Using reverse transcriptase-polymerase chain reaction (RT
PCR) and enzyme- linked immunosorbent assay (ELISA), our study demonstrated that
submicron-sized Ti particles induce M-CSF expression via the extracellular signal
regulated kinase (ERK) pathway in a dose-dependent manner. Moreover, inhibition
studies showed that a specific ERK inhibitor, PD98059, significantly
downregulated M-CSF production. Our results support the hypothesis that submicron
sized Ti particles can induce M-CSF expression in osteoblasts and thus may have a
significant role in contributing to the onset of periprosthetic osteolysis.
PMID- 18056042
TI - Expression of MIG-6, WNT-9A, and WNT-7B during osteoarthritis.
AB - Although the molecular mechanisms for initiation of cartilage destruction in
osteoarthritis (OA) are unknown, it has been demonstrated that disruption of
mitogen-inducible gene 6 (Mig-6) in mice leads to the onset of a degenerative
joint disease like OA. On this basis, we correlated gene expression of Mig-6 with
Wnt-9a and Wnt-7b genes; we showed downregulation of Mig-6, Wnt-7b, and Wnt-9a
during OA, while Wnt-7b was expressed also in osteoblast-like cells. Here we
suggest that Aggrecan degradation occurs before the downregulation of Mig-6. It
remains to be proven whether there is any relation between Wnt signaling and
Aggrecan degradation.
PMID- 18056043
TI - Calcitonin affects both bone and cartilage: a dual action treatment for
osteoarthritis?
AB - Osteoarthritis (OA) is the most common form of degenerative joint diseases and a
major cause of disability and impaired quality of life in the elderly. OA is a
complex disease involving both bone and cartilage properties, and may therefore
require alternative approaches for treatment. Recent lines of evidence suggest
that calcitonin acts on both osteoclasts and chondrocytes. The review summarizes
emerging observations from cell biology to preliminary clinical trials,
describing possible chondroprotective effects of calcitonin. This review
summarizes peer-reviewed articles found using predefined search criteria and
published in the PubMed database before June 2007. In addition, abstracts from
the OsteoArthritis Research Society International (OARSI) conferences in the time
period 2000 to 2006 were included. A range of studies, at the cellular level, in
animal models, and in clinical trials, describe positive effects of calcitonin on
bone health. Regarding articular cartilage, direct effects of calcitonin on
chondrocytes on matrix synthesis, as well as inhibition of cartilage degradation,
have been presented. In addition, clinical evidence for a chondroprotective
effect of calcitonin is emerging. Several lines of evidence suggest direct
anabolic effects of calcitonin on articular chondrocytes, resulting in increased
proteoglycan synthesis. The anticatabolic effects of calcitonin may involve
induction of cAMP, resulting in attenuation of MMP-mediated cartilage
degradation. Presently there is limited availability of chondroprotective agents.
Therefore, the current clinical research on calcitonin is highly anticipated, and
may prove calcitonin treatment efficacious for the prevention and treatment of
OA.
PMID- 18056044
TI - Progress, paradox, and potential: parathyroid hormone research over five decades.
AB - With the advent of advances in chemical and molecular biology, the structure of
parathyroid hormone (PTH); the related protein, parathyroid-related protein
(PTHrP); and their principal receptor (PTH/PTHrP receptor [PTHR1]) were
established over recent decades. Tests with purified hormonal peptide in humans
led to the surprising, even paradoxical, finding that PTH can be used
pharmacologically to build bone, providing a dramatic therapeutic impact on
osteoporosis. These developments plus recent insights into previously
unappreciated ligand-receptor conformations that cause prolonged biological
activation have stimulated the field of calcium and bone biology and posed new
questions about the role of PTH and PTHrP as well as possible new directions in
the therapy of osteoporosis and calcium-deficit states.
PMID- 18056046
TI - Selecting patients for osteoporosis therapy.
AB - Our goal globally is to better identify individuals at risk for osteoporotic
fracture so that those at high risk can be treated and unnecessary treatment for
those at low risk can be avoided. Bone mineral density (BMD) information is not
sufficient to identify all patients at high risk. Approximately half of patients
in the community with fractures do not have osteoporosis by the 1994 World Health
Organization BMD criteria. Furthermore, BMD information based on central DXA is
not easily accessible worldwide. The use of clinical risk factors with or without
BMD information will improve gradient of risk and help us better identify
patients at high risk for fracture. The clinical risk factors identified can be
integrated to predict a 10-year absolute risk or probability of fracture.
Intervention thresholds based on absolute risk will be defined regionally based
on each nation's ability and willingness to pay.
PMID- 18056045
TI - Bisphosphonates: an update on mechanisms of action and how these relate to
clinical efficacy.
AB - The bisphosphonates (BPs) are well established as the treatments of choice for
disorders of excessive bone resorption, including Paget's disease of bone,
myeloma and bone metastases, and osteoporosis. There is considerable new
knowledge about how BPs work. Their classical pharmacological effects appear to
result from two key properties: their affinity for bone mineral and their
inhibitory effects on osteoclasts. Mineral binding affinities differ among the
clinically used BPs and may influence their differential distribution within
bone, their biological potency, and their duration of action. The inhibitory
effects of the nitrogen-containing BPs (including alendronate, risedronate,
ibandronate, and zoledronate) on osteoclasts appear to result from their
inhibition of farnesyl pyrophosphate synthase (FPPS), a key branch-point enzyme
in the mevalonate pathway. FPPS generates isoprenoid lipids used for the
posttranslational modification of small GTP-binding proteins essential for
osteoclast function. Effects on other cellular pathways, such as preventing
apoptosis in osteocytes, are emerging as other potentially important mechanisms
of action. As a class, BPs share several common properties. However, as with
other classes of drugs, there are obvious chemical, biochemical, and
pharmacological differences among the various individual BPs. Each BP has a
unique profile that may help to explain potential important clinical differences
among the BPs, in terms of speed of onset of fracture reduction, antifracture
efficacy at different skeletal sites, and the degree and duration of suppression
of bone turnover. As we approach the 40th anniversary of the discovery of their
biological effects, there remain further opportunities for using their properties
for medical purposes.
PMID- 18056047
TI - Progression of efficacy with ibandronate: a paradigm for the development of new
bisphosphonates.
AB - While initial preclinical studies provide an important starting point for dose
selection, they may not provide adequate information to identify the optimal
dosage for an extended treatment regimen. Determining the best dose for use in an
extended dosing regimen requires ongoing development, illustrated best with the
bisphosphonate, ibandronate. As mandated for regulatory purposes, the daily oral
regimen of ibandronate was proven effective in significantly reducing the rate of
new vertebral fractures assessed prospectively, and nonvertebral fractures in a
high-risk population, assessed retrospectively. Extended dosing regimens, namely
monthly and quarterly intravenous formulations, were developed subsequently to
improve the convenience and enhance persistence, while maintaining or increasing
efficacy. The continuing and progressive evolution of data led to the
understanding that extension of drug-free interval requires higher annual
cumulative skeletal exposures (ACE), which were not simply numerical multipliers
of the interval and daily dose. For ibandronate, this led to dose selection for
the oral monthly 150 mg (ACE 10.8 mg) and intravenous quarterly 3 mg (ACE 12 mg)
formulations that proved superior in increasing bone mineral density (BMD)
compared with oral daily 2.5 mg (ACE 5.5 mg) ibandronate. Pooling data from
clinical trials with high ACE regimens (monthly and quarterly) led to the
evolution of statistical evidence for a reduction in clinical and nonvertebral
fractures with ibandronate. The ibandronate story should serve as an important
future paradigm for bisphosphonate development.
PMID- 18056048
TI - Rationale for using nitric oxide donor therapy for prevention of bone loss and
treatment of osteoporosis in humans.
AB - Nitric oxide (NO) is a ubiquitous molecule involved in most cellular functions.
While osteocytes communicate between bone cells, diffusible small molecules-H(+)
and NO-are involved in short-term regulation of bone metabolism. Studies
conducted over the past two decades have demonstrated the regulatory role of NO
in bone metabolism. Circulating NO products are significantly lower in
postmenopausal women, and estrogen supplementation restores this. Skeletal
beneficial effects of estrogen are abolished with NO-synthase enzyme inhibitors,
suggesting some estrogenic skeletal effects are mediated through NO/cGMP pathway.
Since estrogen/hormone replacement therapy (HRT) has potential adverse effects,
supplementing NO directly is sensible. NO is also involved with other cellular
functions, such as isoprenylation of the Rho GTPase that stimulates Rho-PK (the
functioning Rho-PK in turn inactivates something that would otherwise turn on the
BMP-2/Cbfa1-Runx-2 cycle), and likely to be the final common pathway of other
agents including statins. The first human study using nitroglycerine in the
prevention of oophorectomy-induced bone loss demonstrated an equivalent efficacy
to estrogen in the prevention of bone loss. A randomized NIH-funded NOVEL
clinical study is currently assessing the effectiveness of topically administered
nitroglycerine in the prevention of postmenopausal bone loss. If efficacy of
nitroglycerine is confirmed, it may become a highly cost-effective and safe
alternative therapy to treat osteoporosis. Nitroglycerine has beneficial effects
in multiple systems, especially the cardiovascular system. If results of this
study confirm our hypothesis, it is plausible that nitroglycerine therapy may
supplant estrogen replacement and SERMs in preventing and treating postmenopausal
osteoporosis.
PMID- 18056049
TI - Proteasome inhibitors stimulate both bone formation and hair growth by similar
mechanisms.
AB - We propose that the remodeling process that occurs in localized areas on
endosteal bone surfaces and in Haversian canals shares many features in common
with the mammalian hair cycle. In both, there are phases of resorption or
regeneration, a transition phase, and then a phase of growth, termed anagen in
the hair follicle, and formation in the bone remodeling cycle. Furthermore, we
suggest that these processes both use the same molecular mechanisms, and
specifically the Hedgehog-BMP-Wnt signal transduction cascades. We have found
that proteasome inhibitors, which enhance bone formation by effects on these
cascades, also stimulate anagen induction and hair growth in the murine and human
hair follicle, and propose they do so by effects on similar or identical
molecular targets.
PMID- 18056050
TI - The skeletal dysplasias: clinical-molecular correlations.
AB - The skeletal dysplasias or osteochondrodysplasias are a clinically and
genetically heterogeneous group of disorders of bone and/or cartilage. They are
characterized by abnormalities in pattering, linear growth, differentiation, and
maintenance of the human skeleton. While they have been considered to be
generalized disorders of endochondral and/or membranous ossification, the extent
of their clinical and molecular heterogeneity is still being elucidated. In the
2006 revision of the International Nosology and Classification of Genetic
Skeletal Disorders, 372 different conditions were listed in 37 groups defined by
such molecular, biochemical, and/or radiographic criteria. The evaluation of
patients with chondrodysplasias mandates a multidisciplinary approach involving
clinical geneticists, radiologists, molecular biologists, and biochemical
geneticists for diagnosis, and a host of surgical specialists for management of
their many complications. Our International Skeletal Dysplasia Registry is a
worldwide referral center for the skeletal dysplasias, and we have received cases
from over 3000 physicians from 50 different countries and have been involved in
the identification of the molecular defect in over 40 disorders involving over 25
different genes. Instructions on accessing the Registry, using the diagnostic
services provided and contributing cases for collaborative research can be found
at http://www.csmc.edu/skeletaldysplasia.
PMID- 18056051
TI - Gene therapy in musculoskeletal repair.
AB - Local and regional gene therapy has improved healing in preclinical trials of
articular and other muculoskeletal conditions. Combinations of cell
supplementation and cells overexpressing growth factor genes have shown promising
results for improving cartilage repair, enhancing delayed union of fractures, and
driving organized tendon repair. Proof of concept has been developed using viral
vectors, predominantly adenovirus, to deliver growth factor genes, such as BMP-2,
TGF-beta1, and IGF-I. Integrating vectors, such as retrovirus and lentivirus,
have improved the duration of gene-induced repair, however, increased risk
factors have limited broad application. Cartilage repair can be improved using
chondrocyte or stem cell transplantation with cells expressing IGF-I, BMP-2, or
FGF-2. In cartilage injury and secondary osteoarthritis models, a combination of
IL-1 knockdown and growth factor supplementation has restored cartilage matrix
and stabilized the osteoarthritic process. Ultimately, nonviral vectors may
provide similar control of catabolic activity in cartilage and synovial
structures, which may further improve outcome after chondrocyte or mesenchymal
stem cell (MSC) implantation. MSCs derived from bone marrow, fat, or other
connective tissues provide a multipotent cell source that may be privileged
vectors for skeletal gene therapy. MSCs expressing BMP-2, TGF-beta1, LMP-1, IGF
I, or GDF-5 have enhanced cartilage, bone, and tendon repair. Overall, the field
of orthopedic gene therapy for enhanced tissue repair has made significant
preclinical advances. Combining existing cell transplant technology to deliver
differentiated cells in a minimally invasive way, with genes that improve matrix
formation, provides a manageable protocol for a persisting anabolic impact.
PMID- 18056052
TI - Effects of adrenal steroids on the bone metabolism of children with congenital
adrenal hyperplasia.
AB - The primary treatment for patients with congenital adrenal hyperplasia (CAH) due
to 21-hydroxylase deficiency (21OHD) is glucocorticoid replacement therapy, which
at supraphysiologic levels can result in diminished bone accrual and lead to
osteopenia and osteoporosis. Unlike other diseases treated with chronic
glucocorticoid therapy, previous studies of patients with 21OHD have not
demonstrated a detrimental effect of glucocorticoid treatment on bone mineral
density (BMD). It has been postulated that the elevated androgens typically found
in these patients have a protective effect on bone integrity, but the precise
mechanism remains unknown. We propose that the inhibitory effect of
corticosteroid therapy on bone formation is counteracted by estrogen's effect on
bone resorption through the RANK-L/osteoprotegerin (OPG) system. A better
understanding of the mechanism by which patients with 21OHD are protected against
bone loss may lead to novel therapeutic measures to prevent or treat osteopenia
and osteoporosis in other conditions, including postmenopausal women.
PMID- 18056054
TI - Nurse plants vs. nurse objects: effects of woody plants and rocky cavities on the
recruitment of the Pilosocereus leucocephalus columnar cactus.
AB - BACKGROUND AND AIMS: Most studies on cactus recruitment have focused on the role
of woody plants as seedling facilitators. Although the spatial association of
cacti with objects had been described, the mechanisms underlying this association
remain unknown. The aims of this study were to identify which mechanisms
facilitate the establishment of a columnar cactus under the shade and protection
of objects and to compare these mechanisms with those involved in plant-plant
facilitation. METHODS: Three split-split-plot field experiments were conducted to
compare the effects of two microhabitats (inside rocky cavities and beneath plant
canopies) on seed removal, germination, seedling survivorship and dry weight.
Flat, open spaces were used as the control. For each microhabitat, the effect of
seed or seedling protection and substrate limitation were explored; aboveground
microclimate and some soil properties were also characterized. KEY RESULTS: The
permanence of superficial seeds was greater inside rocky cavities than beneath
woody plant canopies or on flat, open areas. Germination was similar in cavities
and beneath plant canopies, but significantly higher than on flat, open areas.
Seedling survivorship was greater beneath plant canopies than inside cavities or
on flat, open spaces. CONCLUSIONS: The mechanisms of plant facilitation are
different from those of object facilitation. There are seed-seedling conflicts
involved in the recruitment of P. leucocephalus: nurse plants favour mainly
seedling survivorship by providing a suitable microenvironment, while nurse
objects mainly favour seed permanence, by protecting them from predators.
PMID- 18056053
TI - PTHrP and tumorigenesis: is there a role in prognosis?
AB - The role of parathyroid hormone-related peptide (PTHrP) in the regulation of
hypercalcemia in patients with malignancies is well studied, but whether its
expression in tumor tissue correlates with tumor progression is not clear at
present. The majority of tumors that metastasize to the bone produce PTHrP, and
PTHrP expression correlates with skeletal localization of tumors. About 95% of
colorectal adenocarcinomas overexpress PTHrP mRNA and protein, and the expression
level is higher in poorly differentiated than in well-differentiated
adenocarcinomas. However, there is some controversy at present about the
prognostic significance of PTHrP expression on primary tumor cells, and studies
suggest that there might be tissue-specific responses. We will briefly present
here existing evidences that suggest that the expression of PTHrP in the primary
tumor tissue could have both positive and/or negative impact on tumor progression
and clinical outcome of the disease.
PMID- 18056055
TI - Timing of canopy closure influences carbon translocation and seed production of
an understorey herb, Trillium apetalon (Trilliaceae).
AB - BACKGROUND AND AIMS: The light availability on a temperate, deciduous-forest
floor varies greatly, reflecting the seasonal leaf dynamics of the canopy trees.
The growth and/or reproductive activity of understorey plants should be
influenced by the length of the high-irradiance period from snowmelt to canopy
closure. The aim of the present study was to clarify how spring-blooming species
regulate the translocation of photosynthetic products to current reproduction and
storage organs during a growing season in accordance with the changing light
conditions. METHODS: Growth pattern, net photosynthetic rate, seed production,
and shoot and flower production in the next year of Trillium apetalon were
compared between natural and experimentally shaded conditions. Furthermore,
translocation of current photosynthetic products within plants was assessed by a
labelled carbon-chase experiment. KEY RESULTS: During the high-irradiance period,
plants showed high photosynthetic ability, in which current products were
initially used for shoot growth, then reserved in the rhizome. Carbon
translocation to developing fruit occurred after canopy closure, but this was
very small due to low photosynthetic rates under the darker conditions. The
shading treatment in the early season advanced the time of carbon translocation
to fruit, but reduced seed production in the current year and flower production
of the next year. CONCLUSIONS: Carbon translocation to the storage organ had
priority over seed production under high-irradiance conditions. A shortened
bright period due to early canopy closure effectively restricts carbon
assimilation, which greatly reduces subsequent reproductive output owing to low
photosynthetic products for fruit development and small carbon storage for future
reproduction. As populations of this species are maintained by seedling
recruitment, acceleration of canopy closure timing may influence the maintenance
and dynamics of populations.
PMID- 18056056
TI - Elaiophore structure and oil secretion in flowers of Oncidium trulliferum Lindl.
and Ornithophora radicans (Rchb.f.) Garay & Pabst (Oncidiinae: Orchidaceae).
AB - BACKGROUND AND AIMS: Many orchid flowers have glands called elaiophores and these
reward pollinating insects with oil. In contrast to other reward-producing
structures such as nectaries, the anatomy of the elaiophore and the process of
oil secretion have not been extensively studied. In this paper, elaiophore
structure is described for two members of Oncidiinae, Oncidium trulliferum Lindl.
and Ornithophora radicans (Rchb.f.) Garay & Pabst. METHODS: Elaiophores of both
species were examined using light microscopy, scanning electron microscopy and
transmission electron microscopy. KEY RESULTS AND CONCLUSIONS: In flowers of
Oncidium trulliferum and Ornithophora radicans, oil is secreted by
morphologically distinct elaiophores associated with the labellar callus.
However, in O. trulliferum, elaiophores also occur on the lateral lobes of the
labellum. In both these species, the epithelial elaiophores are composed of a
single layer of palisade-like epidermal cells and a distinct subepithelial layer.
Secretory elaiophore cells may contain numerous, starchless plastids,
mitochondria and smooth endoplasmic reticulum profiles. In O. trulliferum, the
cytoplasm contains myelin-like figures but these are absent from O. radicans. In
the former species, cavities occur in the cell wall and these presumably
facilitate the passage of oil onto the elaiophore surface. In O. radicans, the
accumulation of oil between the outer tangential wall and the cuticle causes the
latter to become distended. Since it is probable that the full discharge of oil
from the elaiophores of O. radicans occurs only when the cuticle is ruptured by a
visiting insect, this may contribute towards pollinator specificity. The
structure of the elaiophore in these species resembles both that found in
previously investigated species of Oncidiinae and that of certain members of the
Malpighiaceae.
PMID- 18056058
TI - Inclusion and exclusion: the politics of history, difference, and medical
research.
PMID- 18056057
TI - Comparative proteomics profiling of a phospholamban mutant mouse model of dilated
cardiomyopathy reveals progressive intracellular stress responses.
AB - Defective mobilization of Ca2+ by cardiomyocytes can lead to cardiac
insufficiency, but the causative mechanisms leading to congestive heart failure
(HF) remain unclear. In the present study we performed exhaustive global
proteomics surveys of cardiac ventricle isolated from a mouse model of
cardiomyopathy overexpressing a phospholamban mutant, R9C (PLN-R9C), and
exhibiting impaired Ca2+ handling and death at 24 weeks and compared them with
normal control littermates. The relative expression patterns of 6190 high
confidence proteins were monitored by shotgun tandem mass spectrometry at 8, 16,
and 24 weeks of disease progression. Significant differential abundance of 593
proteins was detected. These proteins mapped to select biological pathways such
as endoplasmic reticulum stress response, cytoskeletal remodeling, and apoptosis
and included known biomarkers of HF (e.g. brain natriuretic peptide/atrial
natriuretic factor and angiotensin-converting enzyme) and other indicators of
presymptomatic functional impairment. These altered proteomic profiles were
concordant with cognate mRNA patterns recorded in parallel using high density
mRNA microarrays, and top candidates were validated by RT-PCR and Western
blotting. Mapping of our highest ranked proteins against a human diseased explant
and to available data sets indicated that many of these proteins could serve as
markers of disease. Indeed we showed that several of these proteins are
detectable in mouse and human plasma and display differential abundance in the
plasma of diseased mice and affected patients. These results offer a systems-wide
perspective of the dynamic maladaptions associated with impaired Ca2+ homeostasis
that perturb myocyte function and ultimately converge to cause HF.
PMID- 18056059
TI - Incomplete nuclear transformation of human spermatozoa in oligo-astheno
teratospermia: characterization by indirect immunofluorescence of chromatin and
thiol status.
AB - BACKGROUND Sperm heterogeneity in the human, as observed in oligo-astheno
teratozoospermia (OAT), is associated with hypospermatogenesis. METHODS The
chromatin of sperm from OAT and normospermic males was characterized with
antibodies specific for nucleosomes, the histone H3.1/H3.2 isoform, histone TH2B,
apoptosis-associated H4 acetylation (KM-2) and protamines. Subsequently, sperm
samples were stained with the thiol-specific fluorochrome monobromobimane (mBBr)
before and after reduction with dithiotreitol (DTT) as most thiol groups reside
in the cysteine-rich protamines. We also used fluorescence-activated cell sorter
(FACS) for sperm histograms and sorting for high or low free and total thiol
levels. These fractions were further analysed for DNA damage with the TdT-UTP
nick end-labelling (TUNEL) assay. RESULTS OAT sperm nuclei stained higher for
nucleosomes and KM2-epitopes, and lower for TH2B. For free, and total, thiol
groups, OAT sperm were characterized by biphasic distributions, reflecting
incomplete thiol oxidation as well as overoxidation, and possibly reduced
protamine contents. The TUNEL assay on sperm subfractions, for both control and
OAT sperm, revealed that a lower level of free thiol groups is associated with a
higher TUNEL incidence, and this relationship was also found for total thiol
levels. Hence, both overoxidation and a low total number of thiol groups
predestine for sperm apoptosis. CONCLUSIONS Chromatin characteristics reflecting
an incomplete nucleosome to protamine remodelling were found in subfertile males.
Sperm apoptosis is related to both incomplete remodelling and protamine
overoxidation.
PMID- 18056060
TI - Mouse and human spermatozoa can be freeze-dried without damaging their
chromosomes.
AB - BACKGROUND: Although mouse spermatozoa can be freeze-dried without losing their
reproductive capacity, the technique needs further improvements to reduce the
incidence of chromosomal damage to spermatozoa. Effects of freeze-drying on human
spermatozoa are unknown. METHODS: Mouse spermatozoa were suspended in a Tris
buffered EGTA solution briefly (10 min at 37 degrees C) or for 1-7 days at 4
degrees C before freeze-drying. Freeze-dried spermatozoa were maintained for up
to 1 year at 4 degrees C before injection. Sperm chromosomes were examined during
the first mitosis (cleavage) of zygotes. The ability of sperm to support embryo
development was assessed by examining mid-gestation fetuses (Day 14) after
transfer of 2-cell embryos to surrogate mothers. Chromosome integrity of freeze
dried human spermatozoa was examined by injecting individual spermatozoa into
mouse oocytes which were previously enucleated. RESULTS: When mouse spermatozoa
were freeze-dried immediately after suspension in Tris-buffered EGTA solution,
only c.40% had normal chromosomes. When the mouse spermatozoa were kept in the
same solution for 3-7 days before freeze-drying, 85-95% had normal chromosomes
and they were able to support embryo development better than those which were in
the solution briefly (P < 0.05). Freeze-dried human spermatozoa well maintained
their chromosomes regardless of the duration of pre-freeze-drying incubation of
spermatozoa in the Tris-buffered EGTA solution. CONCLUSIONS: Prior incubation of
mouse spermatozoa in Tris-buffered EGTA solution for several days makes sperm
chromosomes more resistant to freeze-drying. As the consequence, spermatozoa
freeze-dried this way support embryo development better than those exposed to
Tris-buffered EGTA solution only briefly. Freeze-dried human spermatozoa well
maintained their chromosomes without pre-freeze-drying incubation in Tris
buffered EGTA solution.
PMID- 18056061
TI - A dangerous arrow.
PMID- 18056062
TI - Deja vu--a study of duplicate citations in Medline.
AB - MOTIVATION: Duplicate publication impacts the quality of the scientific corpus,
has been difficult to detect, and studies this far have been limited in scope and
size. Using text similarity searches, we were able to identify signatures of
duplicate citations among a body of abstracts. RESULTS: A sample of 62,213
Medline citations was examined and a database of manually verified duplicate
citations was created to study author publication behavior. We found that 0.04%
of the citations with no shared authors were highly similar and are thus
potential cases of plagiarism. 1.35% with shared authors were sufficiently
similar to be considered a duplicate. Extrapolating, this would correspond to
3500 and 117,500 duplicate citations in total, respectively. AVAILABILITY:
eTBLAST, an automated citation matching tool, and Deja vu, the duplicate citation
database, are freely available at http://invention.swmed.edu/ and
http://spore.swmed.edu/dejavu
PMID- 18056063
TI - Model-based deconvolution of genome-wide DNA binding.
AB - MOTIVATION: Chromatin immunoprecipitation followed by hybridization to a genomic
tiling microarray (ChIP-chip) is a routinely used protocol for localizing the
genomic targets of DNA-binding proteins. The resolution to which binding sites in
this assay can be identified is commonly considered to be limited by two factors:
(1) the resolution at which the genomic targets are tiled in the microarray and
(2) the large and variable lengths of the immunoprecipitated DNA fragments.
RESULTS: We have developed a generative model of binding sites in ChIP-chip data
and an approach, MeDiChI, for efficiently and robustly learning that model from
diverse data sets. We have evaluated MeDiChI's performance using simulated data,
as well as on several diverse ChIP-chip data sets collected on widely different
tiling array platforms for two different organisms (Saccharomyces cerevisiae and
Halobacterium salinarium NRC-1). We find that MeDiChI accurately predicts binding
locations to a resolution greater than that of the probe spacing, even for
overlapping peaks, and can increase the effective resolution of tiling array data
by a factor of 5x or better. Moreover, the method's performance on simulated data
provides insights into effectively optimizing the experimental design for
increased binding site localization accuracy and efficacy. AVAILABILITY: MeDiChI
is available as an open-source R package, including all data, from
http://baliga.systemsbiology.net/medichi.
PMID- 18056064
TI - BAGET: a web server for the effortless retrieval of prokaryotic gene context and
sequence.
AB - BAGET (Bacterial and Archaeal Gene Exploration Tool) is a web service designed to
facilitate extraction, by molecular geneticists and phylogeneticists, of specific
gene and protein sequences from completely determined prokaryotic genomes. Upon
selection of a particular prokaryotic organism and gene, two levels of visual
gene context information are provided on a single dynamic page: (i) a graphical
representation of a user defined portion of the chromosome centered on the gene
of interest and (ii) the DNA sequence of the query gene, of the immediate
neighboring genes and the intergenic regions each identified by a consistent
color code. The aminoacid sequence is provided for protein-coding query genes.
Query results can be exported as a rich text format (RTF) word processor file for
printing, archival or further analysis. AVAILABILITY: http://archaea.u
psud.fr/bin/baget.dll.
PMID- 18056065
TI - COMPARE, a multi-organism system for cross-species data comparison and transfer
of information.
AB - MOTIVATION: COMPARE is a multi-organism web-based resource system designed to
easily retrieve, correlate and interpret data across species. The COMPARE
interface provides access to a wide array of information including genomic
structure, expression data, annotations, pathways and literature links for human
and three widely studied animal models (zebrafish, Drosophila and mouse). A
consensus ortholog-finding pipeline combining several ortholog prediction methods
allows accurate comparisons of data across species and has been utilized to
transfer information from well studied organisms to more poorly annotated ones.
AVAILABILITY: http://compare.ibdml.univ-mrs.fr.
PMID- 18056066
TI - OBO Explorer: an editor for Open Biomedical Ontologies in OWL.
AB - MOTIVATION: To clarify the semantics, and take advantage of tools and algorithms
developed for the Semantic Web, a mapping from the Open Biomedical Ontologies
(OBO) format to the Web Ontology Language (OWL) has been established. We present
an ontology editor that allows end users to work directly with this OWL
representation of OBO format ontologies. AVAILABILITY:
http://www.aiai.ed.ac.uk/project/cobra-ct.
PMID- 18056067
TI - An integrated system for studying residue coevolution in proteins.
AB - Residue coevolution has recently emerged as an important concept, especially in
the context of protein structures. While a multitude of different functions for
quantifying it have been proposed, not much is known about their relative
strengths and weaknesses. Also, subtle algorithmic details have discouraged
implementing and comparing them. We addressed this issue by developing an
integrated online system that enables comparative analyses with a comprehensive
set of commonly used scoring functions, including Statistical Coupling Analysis
(SCA), Explicit Likelihood of Subset Variation (ELSC), mutual information and
correlation-based methods. A set of data preprocessing options are provided for
improving the sensitivity and specificity of coevolution signal detection,
including sequence weighting, residue grouping and the filtering of sequences,
sites and site pairs. A total of more than 100 scoring variations are available.
The system also provides facilities for studying the relationship between
coevolution scores and inter-residue distances from a crystal structure if
provided, which may help in understanding protein structures. AVAILABILITY: The
system is available at http://coevolution.gersteinlab.org. The source code and
JavaDoc API can also be downloaded from the web site.
PMID- 18056068
TI - KEGGanim: pathway animations for high-throughput data.
AB - MOTIVATION: Gene expression analysis with microarrays has become one of the most
widely used high-throughput methods for gathering genome-wide functional data.
Emerging -omics fields such as proteomics and interactomics introduce new
information sources. With the rise of systems biology, researchers need to
concentrate on entire complex pathways that guide individual genes and related
processes. Bioinformatics methods are needed to link the existing knowledge about
pathways with the growing amounts of experimental data. RESULTS: We present
KEGGanim, a novel web-based tool for visualizing experimental data in biological
pathways. KEGGanim produces animations and images of KEGG pathways using public
or user uploaded high-throughput data. Pathway members are coloured according to
experimental measurements, and animated over experimental conditions. KEGGanim
visualization highlights dynamic changes over conditions and allows the user to
observe important modules and key genes that influence the pathway. The simple
user interface of KEGGanim provides options for filtering genes and experimental
conditions. KEGGanim may be used with public or private data for 14 organisms
with a large collection of public microarray data readily available. Most common
gene and protein identifiers and microarray probesets are accepted for
visualization input. AVAILABILITY: http://biit.cs.ut.ee/KEGGanim/.
PMID- 18056069
TI - Automated manipulation of systems biology models using libSBML within Taverna
workflows.
AB - Many data manipulation processes involve the use of programming libraries. These
processes may beneficially be automated due to their repeated use. A convenient
type of automation is in the form of workflows that also allow such processes to
be shared amongst the community. The Taverna workflow system has been extended to
enable it to use and invoke Java classes and methods as tasks within Taverna
workflows. These classes and methods are selected for use during workflow
construction by a Java Doclet application called the API Consumer. This selection
is stored as an XML file which enables Taverna to present the subset of the API
for use in the composition of workflows. The ability of Taverna to invoke Java
classes and methods is demonstrated by a workflow in which we use libSBML to map
gene expression data onto a metabolic pathway represented as a SBML model.
AVAILABILITY: Taverna and the API Consumer application can be freely downloaded
from http://taverna.sourceforge.net
PMID- 18056070
TI - Serum intact parathyroid hormone in diabetic patients on haemodialysis: what is
the treatment goal?
PMID- 18056071
TI - Bone disease after renal transplantation.
PMID- 18056072
TI - Posterior reversible encephalopathy syndrome in systemic lupus erythematosus.
PMID- 18056074
TI - Functional gene losses occur with minimal size reduction in the plastid genome of
the parasitic liverwort Aneura mirabilis.
AB - Aneura mirabilis is a parasitic liverwort that exploits an existing mycorrhizal
association between a basidiomycete and a host tree. This unusual liverwort is
the only known parasitic seedless land plant with a completely nonphotosynthetic
life history. The complete plastid genome of A. mirabilis was sequenced to
examine the effect of its nonphotosynthetic life history on plastid genome
content. Using a partial genomic fosmid library approach, the genome was
sequenced and shown to be 108,007 bp with a structure typical of green plant
plastids. Comparisons were made with the plastid genome of Marchantia polymorpha,
the only other liverwort plastid sequence available. All ndh genes are either
absent or pseudogenes. Five of 15 psb genes are pseudogenes, as are 2 of 6 psa
genes and 2 of 6 pet genes. Pseudogenes of cysA, cysT, ccsA, and ycf3 were also
detected. The remaining complement of genes present in M. polymorpha is present
in the plastid of A. mirabilis with intact open reading frames. All pseudogenes
and gene losses co-occur with losses detected in the plastid of the parasitic
angiosperm Epifagus virginiana, though the latter has functional gene losses not
found in A. mirabilis. The plastid genome sequence of A. mirabilis represents
only the second liverwort, and first mycoheterotroph, to have its plastid genome
sequenced. We observed a pattern of genome evolution congruent with functional
gene losses in parasitic angiosperms but suggest that its plastid genome
represents a genome in the early stages of decay following the relaxation of
selection pressures.
PMID- 18056073
TI - Specific enrichment of miRNAs in Arabidopsis thaliana infected with Tobacco
mosaic virus.
AB - RNA silencing is a broadly conserved machinery and is involved in many biological
events. Small RNAs are key molecules in RNA silencing pathway that guide sequence
specific gene regulations and chromatin modifications. The silencing machinery
works as an anti-viral defense in virus-infected plants. It is generally accepted
that virus-specific small interfering (si) RNAs bind to the viral genome and
trigger its cleavage. Previously, we have cloned and obtained sequences of small
RNAs from Arabidopsis thaliana infected or uninfected with crucifer Tobacco
mosaic virus. MicroRNAs (miRNAs) accumulated to a higher percentage of total
small RNAs in the virus-infected plants. This was partly because the viral
replication protein binds to the miRNA/miRNA* duplexes. In the present study, we
mapped the sequences of small RNAs other than virus-derived siRNAs to the
Arabidopsis genome and assigned each small RNA. It was demonstrated that only
miRNAs increased as a result of viral infection. Furthermore, some newly
identified miRNAs and miRNA candidates were found from the virus-infected plants
despite a limited number of examined sequences. We propose that it is
advantageous to use virus-infected plants as a source for cloning and identifying
new miRNAs.
PMID- 18056075
TI - Evolutionary rate variation at multiple levels of biological organization in
plant mitochondrial DNA.
AB - We examined patterns of mitochondrial polymorphism and divergence in the
angiosperm genus Silene and found substantial variation in evolutionary rates
among species and among lineages within species. Moreover, we found corresponding
differences in the amount of polymorphism within species. We argue that, along
with our earlier findings of rate variation among genes, these patterns of rate
heterogeneity at multiple phylogenetic scales are most likely explained by
differences in underlying mutation rates. In contrast, no rate variation was
detected in nuclear or chloroplast loci. We conclude that mutation rate
heterogeneity is a characteristic of plant mitochondrial sequence evolution at
multiple biological scales and may be a crucial determinant of how much
polymorphism is maintained within species. These dramatic patterns of variation
raise intriguing questions about the mechanisms driving and maintaining mutation
rate heterogeneity in plant mitochondrial genomes. Additionally, they should
alter our interpretation of many common phylogenetic and population genetic
analyses.
PMID- 18056076
TI - Adaptive evolution of proteins secreted during sperm maturation: an analysis of
the mouse epididymal transcriptome.
AB - A common pattern observed in molecular evolution is that reproductive genes tend
to evolve rapidly. However, most previous studies documenting this rapid
evolution are based on genes expressed in just a few male reproductive organs. In
mammals, sperm become motile and capable of fertilization only after leaving the
testis, during their transit through the epididymis. Thus, genes expressed in the
epididymis are expected to play important roles in male fertility. Here, we
performed evolutionary genetic analyses on the epididymal transcriptome of mice.
Overall, epididymis-expressed genes showed evidence of strong evolutionary
constraint, a finding that contrasts with most previous analyses of genes
expressed in other male reproductive organs. However, a subset of epididymis
specialized, secreted genes showed several signatures of adaptive evolution,
including an increased rate of nonsynonymous evolution. Furthermore, this subset
of genes was overrepresented on the X chromosome. Immunity and protein
modification functions were significantly overrepresented among epididymis
specialized, secreted genes. These analyses identified a group of genes likely to
be important in male reproductive success.
PMID- 18056077
TI - Overlapping activator sequences determined for two oppositely oriented promoters
in halophilic Archaea.
AB - Transcription of the genomic region involved in gas vesicle formation in
Halobacterium salinarum (p-vac) and Haloferax mediterranei (mc-vac) is driven by
two divergent promoters, P(A) and P(D), separated by only 35 nt. Both promoters
are activated by the transcription activator GvpE which in the case of P(mcA)
requires a 20-nt sequence (UAS) consisting of two conserved 8-nt sequence
portions located upstream of BRE. Here, we determined the two UAS elements in the
promoter region of p-vac by scanning mutageneses using constructs containing
P(pD) (without P(pA)) fused to the bgaH reporter gene encoding an enzyme with
beta-galactosidase activity, or the dual reporter construct pApD with P(pD) fused
to bgaH and P(pA) to an altered version of gvpA. The two UAS elements found
exhibited a similar extension and distance to BRE as previously determined for
the UAS in P(mcA). Their distal 8-nt portions almost completely overlapped in the
centre of P(pD)-P(pA), and mutations in this region negatively affected the GvpE
mediated activation of both promoters. Any alteration of the distance between BRE
and UAS resulted in the loss of the GvpE activation, as did a complete
substitution of the proximal 8-nt portion, underlining that a close location of
UAS and BRE was very important.
PMID- 18056078
TI - The interaction of mammalian mitochondrial translational initiation factor 3 with
ribosomes: evolution of terminal extensions in IF3mt.
AB - Mammalian mitochondrial initiation factor 3 (IF3(mt)) has a central region with
homology to bacterial IF3. This homology region is preceded by an N-terminal
extension and followed by a C-terminal extension. The role of these extensions on
the binding of IF3(mt) to mitochondrial small ribosomal subunits (28S) was
studied using derivatives in which the extensions had been deleted. The K(d) for
the binding of IF3(mt) to 28S subunits is approximately 30 nM. Removal of either
the N- or C-terminal extension has almost no effect on this value. IF3(mt) has
very weak interactions with the large subunit of the mitochondrial ribosome (39S)
(K(d) = 1.5 muM). However, deletion of the extensions results in derivatives with
significant affinity for 39S subunits (K(d) = 0.12-0.25 muM). IF3(mt) does not
bind 55S monosomes, while the deletion derivative binds slightly to these
particles. IF3(mt) is very effective in dissociating 55S ribosomes. Removal of
the N-terminal extension has little effect on this activity. However, removal of
the C-terminal extension leads to a complex dissociation pattern due to the high
affinity of this derivative for 39S subunits. These data suggest that the
extensions have evolved to ensure the proper dissociation of IF3(mt) from the 28S
subunits upon 39S subunit joining.
PMID- 18056079
TI - Identifying foldable regions in protein sequence from the hydrophobic signal.
AB - Structural genomics initiatives aim to elucidate representative 3D structures for
the majority of protein families over the next decade, but many obstacles must be
overcome. The correct design of constructs is extremely important since many
proteins will be too large or contain unstructured regions and will not be
amenable to crystallization. It is therefore essential to identify regions in
protein sequences that are likely to be suitable for structural study. Scooby
Domain is a fast and simple method to identify globular domains in protein
sequences. Domains are compact units of protein structure and their correct
delineation will aid structural elucidation through a divide-and-conquer
approach. Scooby-Domain predictions are based on the observed lengths and
hydrophobicities of domains from proteins with known tertiary structure. The
prediction method employs an A*-search to identify sequence regions that form a
globular structure and those that are unstructured. On a test set of 173 proteins
with consensus CATH and SCOP domain definitions, Scooby-Domain has a sensitivity
of 50% and an accuracy of 29%, which is better than current state-of-the-art
methods. The method does not rely on homology searches and, therefore, can
identify previously unknown domains.
PMID- 18056080
TI - Measuring the dynamic surface accessibility of RNA with the small paramagnetic
molecule TEMPOL.
AB - The surface accessibility of macromolecules plays a key role in modulating
molecular recognition events. RNA is a complex and dynamic molecule involved in
many aspects of gene expression. However, there are few experimental methods
available to measure the accessible surface of RNA. Here, we investigate the
accessible surface of RNA using NMR and the small paramagnetic molecule TEMPOL.
We investigated two RNAs with known structures, one that is extremely stable and
one that is dynamic. For helical regions, the TEMPOL probing data correlate well
with the predicted RNA surface, and the method is able to distinguish subtle
variations in atom depths, such as the relative accessibility of pyrimidine
versus purine aromatic carbon atoms. Dynamic motions are also detected by TEMPOL
probing, and the method accurately reports a previously characterized pH
dependent conformational transition involving formation of a protonated C-A pair
and base flipping. Some loop regions are observed to exhibit anomalously high
accessibility, reflective of motions that are not evident within the ensemble of
NMR structures. We conclude that TEMPOL probing can provide valuable insights
into the surface accessibility and dynamics of RNA, and can also be used as an
independent means of validating RNA structure and dynamics in solution.
PMID- 18056081
TI - Nepsilon-formylation of lysine is a widespread post-translational modification of
nuclear proteins occurring at residues involved in regulation of chromatin
function.
AB - Post-translational modification of histones and other chromosomal proteins
regulates chromatin conformation and gene activity. Methylation and acetylation
of lysyl residues are among the most frequently described modifications in these
proteins. Whereas these modifications have been studied in detail, very little is
known about a recently discovered chemical modification, the N(epsilon)-lysine
formylation, in histones and other nuclear proteins. Here we mapped, for the
first time, the sites of lysine formylation in histones and several other nuclear
proteins. We found that core and linker histones are formylated at multiple lysyl
residues located both in the tails and globular domains of histones. In core
histones, formylation was found at lysyl residues known to be involved in
organization of nucleosomal particles that are frequently acetylated and
methylated. In linker histones and high mobility group proteins, multiple
formylation sites were mapped to residues with important role in DNA binding.
N(epsilon)-lysine formylation in chromosomal proteins is relatively abundant,
suggesting that it may interfere with epigenetic mechanisms governing chromatin
function, which could lead to deregulation of the cell and disease.
PMID- 18056082
TI - Ab initio thermodynamic modeling of distal multisite transcription regulation.
AB - Transcription regulation typically involves the binding of proteins over long
distances on multiple DNA sites that are brought close to each other by the
formation of DNA loops. The inherent complexity of assembling regulatory
complexes on looped DNA challenges the understanding of even the simplest genetic
systems, including the prototypical lac operon. Here we implement a scalable
approach based on thermodynamic molecular properties to model ab initio systems
regulated through multiple DNA sites with looping. We show that this approach
applied to the lac operon accurately predicts the system behavior for a wide
range of cellular conditions, which include the transcription rate over five
orders of magnitude as a function of the repressor concentration for wild type
and all seven combinations of deletions of three operators, as well as the
observed induction curves for cells with and without active catabolite activator
protein. Our results provide new insights into the detailed functioning of the
lac operon and reveal an efficient avenue to incorporate the required underlying
molecular complexity into fully predictive models of gene regulation.
PMID- 18056083
TI - Regulating gene expression in human leukemia cells using light-activated
oligodeoxynucleotides.
AB - Light-activated antisense oligodeoxynucleotides (asODNs) were developed to
control the degradation of target mRNA in living cells by RNase H. A 20-mer asODN
previously shown to target c-myb, a hematopoietic transcription factor, was
covalently attached via a photocleavable linker (PL) to partially complementary
20-mer sense strands (sODNs). In the 'caged' state, the sODN blocked
hybridization of the asODN to c-myb mRNA. Six asODN-PL-sODN conjugates, C1-C6,
were synthesized. C5, with twelve complementary bases, gave the largest decrease
in melting temperature (T(m)) upon UV irradiation (DeltaT(m) = -29 degrees C).
The most thermally stable conjugate, C6 (T(m) = 84 degrees C), gave the lowest
background RNase H activity, with just 8.6% degradation of an RNA 40-mer after 1
h incubation. In biochemical assays with C6, RNA digestion increased 10-fold 10
min after UV irradiation. Finally, phosphorothioated analogs S-C5 and S-C6 were
synthesized to test activity in cultured K562 (human leukemia) cells. No
knockdown of c-myb mRNA or protein was observed with intact S-C5 or S-C6, whereas
more than half of c-myb mRNA was degraded 24 h after photoactivation. Two-fold
photomodulation of c-MYB protein levels was also observed with S-C5. However, no
photomodulation of c-MYB protein levels was observed with S-C6, perhaps due to
the greater stability of this duplex.
PMID- 18056084
TI - TreeFam: 2008 Update.
AB - TreeFam (http://www.treefam.org) was developed to provide curated phylogenetic
trees for all animal gene families, as well as orthologue and paralogue
assignments. Release 4.0 of TreeFam contains curated trees for 1314 families and
automatically generated trees for another 14,351 families. We have expanded
TreeFam to include 25 fully sequenced animal genomes, as well as four genomes
from plant and fungal outgroup species. We have also introduced more accurate
approaches for automatically grouping genes into families, for building
phylogenetic trees, and for inferring orthologues and paralogues. The user
interface for viewing phylogenetic trees and family information has been
improved. Furthermore, a new perl API lets users easily extract data from the
TreeFam mysql database.
PMID- 18056086
TI - How cognition modulates affective responses to taste and flavor: top-down
influences on the orbitofrontal and pregenual cingulate cortices.
AB - How cognition influences the affective brain representations of the taste and
flavor of a food is important not only for understanding top-down influences in
the brain, but also in relation to the topical issues of appetite control and
obesity. We found using functional magnetic resonance imaging that activations
related to the affective value of umami taste and flavor (as shown by
correlations with pleasantness ratings) in the orbitofrontal cortex were
modulated by word-level descriptors. Affect-related activations to taste were
modulated in a region that receives from the orbitofrontal cortex, the pregenual
cingulate cortex, and to taste and flavor in another region that receives from
the orbitofrontal cortex, the ventral striatum. Affect-related cognitive
modulations were not found in the insular taste cortex, where the intensity but
not the pleasantness of the taste was represented. We conclude that top-down
language-level cognitive effects reach far down into the earliest cortical areas
that represent the appetitive value of taste and flavor. This is an important way
in which cognition influences the neural mechanisms that control appetite.
PMID- 18056087
TI - Combined single-drop and rotating drum dustiness test of fine to nanosize powders
using a small drum.
AB - A dustiness test has been developed that performs both a single-drop and a
continuous rotation test using a 6-g sample. Tests were completed on pigment
grade and ultrafine TiO2, two grades of corundum (Aloxite), yttrium-stabilized
zirconia (Y-zirconia) granules, fumed silica, goethite, talc and bentonite. The
generated particles were quantified by counting and sizing at 1-s time resolution
using the TSI Fast Mobility Particle Sizer and the TSI Aerodynamic Particle Sizer
and by collecting the particles on a filter for weighing. The method generated
reproducible amounts and size distributions of particles. The size distributions
had two more or less separated size modes >0.9 microm and in addition all
materials except TiO2 pigment-grade and Aloxite F1200 generated a size mode in
the range from approximately 100 to approximately 220 nm. Pigment-grade TiO2 had
the lowest dustiness and ultrafine TiO2 the highest dustiness as measured by
particle number for both the single-drop and rotation test and as measured by
mass for both tests combined. The difference was a factor of approximately 300.
Three types of dust generation rate time profiles were observed; brief initial
burst (talc, both grades of corundum), decaying rate during rotation period
(fumed silica, TiO2 ultrafine and pigment grade, bentonite) and constant rate (Y
zirconia, goethite). These profile types were in agreement with the differences
in the ratio of amount of particles generated during the single drop to the
amount generated during the single-drop and rotation test combined. The ratio
ranged a factor approximately 40. The new test method enables a characterization
of dustiness with relevance to different user scenarios.
PMID- 18056085
TI - Familiarity and conceptual priming engage distinct cortical networks.
AB - Familiarity refers to an explicit recognition experience without any necessary
retrieval of specific detail related to the episode during which initial learning
transpired. Prior experience can also implicitly influence subsequent processing
through a memory phenomenon termed conceptual priming, which occurs without
explicit awareness of recognition. Resolving current theoretical controversy on
relationships between familiarity and conceptual priming requires a clarification
of their neural substrates. Accordingly, we obtained functional magnetic
resonance images in a novel paradigm for separately assessing neural correlates
of familiarity and conceptual priming using famous and nonfamous faces.
Conceptual priming, as shown by more accurate behavioral responses to strongly
conceptually primed than to weakly conceptually primed faces, was associated with
activity reductions in left prefrontal cortex, whereas familiarity was associated
with activity enhancements in right parietal cortex for more-familiar compared
with less-familiar faces. This neuroimaging evidence implicates separate
neurocognitive processes operative in explicit stimulus recognition versus
implicit conceptual priming.
PMID- 18056089
TI - Causation: a loosely founded concept in epidemiology.
PMID- 18056088
TI - Research ethics approval: comprehensive mechanisms are essential but not
available.
PMID- 18056090
TI - Ethical research.
PMID- 18056091
TI - Erosion vs. corrosion.
PMID- 18056092
TI - Amalgam study.
PMID- 18056098
TI - Perceptions of patients' smiles: a comparison of patients' and dentists'
opinions.
AB - BACKGROUND: Little information has been published regarding the difference
between how patients perceive their own smiles and how dentists view them.
METHODOLOGY: The authors interviewed 78 consecutively seen patients in a general
dental practice in Norway about esthetic features of their faces. The patients
were not actively seeking esthetic treatment. Patients rated themselves using a
100-point visual analog scale (VAS), and then two dentists (the patients' regular
dentist and an independent periodontist), working with photographs of the
patients, used the same VAS in rating the patients' smiles. RESULTS: The average
age of the patients was 51.2 years (range, 22-84 years). There were 50 women
(average age, 51.5 years; range, 22-84 years) and 28 men (average age, 52 years;
range, 30-78 years). Patients' satisfaction with their own smiles reached an
average of 59.1 (standard deviation [SD], 21.1; range, 5-100) on the VAS. The
dentists' scores (38.6 and 40.7) were significantly lower than the patients'
scores. The authors observed poor correlation between the periodontist's scores
of dentogingival features and the patients' scores. Patients were most satisfied
with the gingiva when smiling and least satisfied with tooth shade. Patients
younger than 50 years were most satisfied with their smiles. Patients rated teeth
and eyes as the most important features in an attractive face. Women gave teeth
and hair significantly higher scores and head shape lower scores than did men.
CONCLUSION: Patients' opinions of their own smiles were significantly higher than
the two clinicians' assessments of their smiles. Dentists should be aware that
patients who seek esthetic services may have different perceptions of their
smiles than may patients who do not express such desires.
PMID- 18056099
TI - A survey of the current approaches to diagnosis and management of oral
premalignant lesions.
AB - BACKGROUND: Early diagnosis of oral premalignant lesions (OPLs) and oral squamous
cell carcinoma facilitates treatment with less aggressive approaches and results
in a better prognosis. The authors conducted a study to identify current
practices in the diagnosis and management of these oral lesions by oral medicine
professionals. METHODS: The authors sent a questionnaire to 176 diplomates of the
American Board of Oral Medicine and asked them to complete the questionnaires and
return them by mail. RESULTS: The initial clinical approach taken by most of the
responders included visual examination, elimination of possible local causes and
two-week follow-up. Adjuvant clinical tests included toluidine blue, oral brush
biopsy and exfoliative cytology. If there was no clinical improvement after two
weeks, most responders recommended that a biopsy be performed. Induration, red
component, nonhomogeneous surface and ulceration were characteristics of lesions
that increased the responders' decisions to perform a biopsy. Lesion symptoms and
location also contributed to their decisions to perform a biopsy. Follow-up more
frequently than twice a year was recommended for red lesions, lesions with
histologically confirmed dysplasia or both. Most clinicians recommend a biopsy
during follow-up of an OPL whenever the lesion changes in appearance.
CONCLUSIONS: The findings of this survey may provide background for initial
guidelines to be used by oral practitioners to diagnose and manage OPL.
Clinicians' awareness of the complexity of OPL diagnosis and management is
important, and referral to an experienced provider is recommended.
PMID- 18056100
TI - Pain experienced by patients undergoing different periodontal therapies.
AB - BACKGROUND: The authors assessed the levels of postoperative pain, postoperative
dentin hypersensitivity and discomfort patients experienced during various
periodontal treatments by using a visual analog scale (VAS). They aimed to
determine whether VAS scores could be predicted by patient's age and sex and to
evaluate the factors associated with the pain. SUBJECTS AND METHODS: The study
was carried out with 56 patients who had chronic periodontitis. Using a split
mouth design, the authors selected one quadrant in each patient and treated it
with scaling and root planing (SRP). They treated other quadrants with the
surgical therapies of modified Widman flap (MWF), flap with osseous resection
(OF) and gingivectomy (GV), depending on the patient's diagnosis and treatment
needs. They measured patients' discomfort during periodontal treatments,
postoperative pain and postoperative dentin hypersensitivity by asking patients
to mark a VAS. RESULTS: The authors' analysis showed no statistically significant
differences between the patients' discomfort levels associated with the four
therapy types during periodontal treatment. However, postoperative pain was
significantly higher for OF (P < .01) and GV (P < .05) procedures than for SRP
and MWF procedures. All surgical procedures produced significantly more dentin
hypersensitivity than did nonsurgical therapy. The analysis showed no
statistically significant differences between male and female patients'
discomfort during periodontal treatments. For all periodontal treatments, VAS
scores decreased with increasing age. CONCLUSIONS: Discomfort during periodontal
treatments, postoperative pain and postoperative dentin hypersensitivity were
associated significantly with age, type of therapy and higher scores on Corah's
Dental Anxiety Scale. CLINICAL IMPLICATIONS: Periodontal treatment is experienced
as painful by substantial numbers of patients. Therefore, the dentist should
count the pain responses during and after treatment and estimate the degree of
pain according to sex, age and therapy type.
PMID- 18056101
TI - Gingival involvement in Crohn disease.
AB - BACKGROUND: Although the oral manifestations of Crohn disease are well
established, there is little specific documentation of the gingival involvement.
CASE DESCRIPTION: The authors describe four patients with significant gingival
involvement and identify clinical signs and symptoms of the disease involving the
gingivae, along with other oral manifestations. Patients had persistent gingival
lesions manifesting as pustular ulcerations, erythema, swelling and
cobblestoning. The authors also discuss the differential diagnosis, treatment
options and prognostic factors. CLINICAL IMPLICATIONS: Patients with gingival
and/or other oral lesions with or without other constitutional symptoms should be
evaluated for Crohn disease. Dentists can play a critical role in the early
diagnosis, and they can help prevent complications and improve the prognosis.
PMID- 18056102
TI - Salivary gland injury resulting from exposure to radioactive iodine: case
reports.
AB - BACKGROUND: Radioactive iodine 131 ((131)I) is an effective treatment for
differentiated thyroid carcinomas. (131)I targets thyroid tissue and is picked up
by the salivary glands. Collateral damage results in the development of radiation
sialadenitis. CASE DESCRIPTION: The authors describe salivary gland injuries that
developed in two patients who received therapeutic doses of (131)I used to treat
thyroid carcinoma. Accurate assessment of the glandular damage was achieved via a
radioisotope study using technetium Tc 99m pertechnetate. CONCLUSION AND CLINICAL
IMPLICATIONS: In the majority of cases, when a patient receives therapeutic doses
of (131)I, the patient develops an asymmetric radiation sialadenitis. Obstructive
symptomatology is to be expected. Oral dryness occurs less often and is related
directly to high dosages and the passage of time. Clinicians should be aware of
the condition to avoid unnecessary diagnostic and therapeutic measures.
PMID- 18056103
TI - Chronic lesions of the gingiva and mucosa.
PMID- 18056104
TI - In vitro protection against dental erosion afforded by commercially available,
calcium-fortified 100 percent juices.
AB - BACKGROUND: Calcium in acidic beverages can decrease a person's risk of
experiencing dental erosion. The authors compared the pHs and titratable
acidities of commercially available calcium-fortified and unfortified 100 percent
juices, and enamel and root surface lesion depths after they were exposed to
different juices. METHODS: The authors measured the pH and titratable acidity of
calcium-fortified and unfortified 100 percent juices. They exposed enamel and
root surfaces to different 100 percent juices for 25 hours and measured lesion
depths. They used the Spearman rank correlation test and the two-sample t test to
identify associations between the juices' properties and lesion depths and to
compare lesion depths between fortified and unfortified juices. RESULTS: The
authors found that fortifying apple, orange and grapefruit juices with calcium
prevented enamel erosion and decreased root surface erosion (P < .01). They also
found that fortifying white grape juice with calcium decreased enamel erosion (P
< .001) but not root surface erosion. They observed that mean lesion depths were
greater in root surfaces than in enamel surfaces after exposure to unfortified
orange juice and all fortified juices (P < .001). CONCLUSIONS: Calcium
concentrations in commercially available, calcium-fortified 100 percent juices
are sufficient to decrease and prevent erosion associated with extended exposure
to a beverage. CLINICAL IMPLICATIONS: People at risk of experiencing erosion
could decrease their erosion risk by consuming calcium-fortified juices.
PMID- 18056105
TI - Using extracted teeth for research: the effect of storage medium and
sterilization on dentin bond strengths.
AB - BACKGROUND: The Centers for Disease Control and Prevention has adopted guidelines
for infection control of extracted teeth used for research and teaching,
requiring that teeth be sterilized before use. The authors conducted a study to
test the null hypothesis that the storage medium and sterilization method have no
effect on composite-to-dentin bond strengths. MATERIALS AND METHODS: The authors
collected 170 bovine incisors, cleaned them and placed them randomly into one of
six storage media at 37 degrees C for 60 days: distilled water (dH(2)O), 0.9
percent sodium chloride, 0.5 percent chloramine-T, 5.25 percent sodium
hypochlorite (NaClO), 2 percent glutaraldehyde and 10 percent formalin. For
sterilization, they autoclaved a subset of 10 specimens from every sample, while
they stored another subset of 10 specimens from every sample (except for the 10
percent formalin sample) in 10 percent formalin for 14 days. The authors then
embedded the specimens in epoxy and ground flat the facial surface to expose
middle-depth dentin, which they polished to 600 grit. They used a dental adhesive
to apply composite to the exposed dentin. The authors tested the composite-to
dentin shear bond strength 24 hours after bonding. They analyzed the data using
global analysis of variance and, when appropriate, multiple post hoc tests (P =
.05). RESULTS: Storage in NaClO resulted in significantly lower bond strength
than that of the other treatment specimens. Sterilization with the autoclave
negatively affected the bond strength of specimens stored initially in dH(2)O or
10 percent formalin, while sterilization with formalin alone had no significant
effect on bond strengths. CONCLUSIONS: Storing bovine teeth in 5.25 percent NaClO
may negatively affect composite-to-dentin bond strengths. Immersion in 10 percent
formalin might be the best option for storage and sterilization of bovine teeth
that are to be used in dental bonding studies in vitro.
PMID- 18056106
TI - When and how to repair a failing restoration.
PMID- 18056107
TI - Keep it simple: reduce unnecessary inventory.
PMID- 18056108
TI - Can a state society refuse a transfer of a member in good standing?
PMID- 18056109
TI - Hypothesis: grandiosity and guilt cause paranoia; paranoid schizophrenia is a
psychotic mood disorder; a review.
AB - Delusional paranoia has been associated with severe mental illness for over a
century. Kraepelin introduced a disorder called "paranoid depression," but
"paranoid" became linked to schizophrenia, not to mood disorders. Paranoid
remains the most common subtype of schizophrenia, but some of these cases, as
Kraepelin initially implied, may be unrecognized psychotic mood disorders, so the
relationship of paranoid schizophrenia to psychotic bipolar disorder warrants
reevaluation. To address whether paranoia associates more with schizophrenia or
mood disorders, a selected literature is reviewed and 11 cases are summarized.
Comparative clinical and recent molecular genetic data find phenotypic and
genotypic commonalities between patients diagnosed with schizophrenia and
psychotic bipolar disorder lending support to the idea that paranoid
schizophrenia could be the same disorder as psychotic bipolar disorder. A
selected clinical literature finds no symptom, course, or characteristic
traditionally considered diagnostic of schizophrenia that cannot be accounted for
by psychotic bipolar disorder patients. For example, it is hypothesized here that
2 common mood-based symptoms, grandiosity and guilt, may underlie functional
paranoia. Mania explains paranoia when there are grandiose delusions that one's
possessions are so valuable that others will kill for them. Similarly, depression
explains paranoia when delusional guilt convinces patients that they deserve
punishment. In both cases, fear becomes the overwhelming emotion but patient and
physician focus on the paranoia rather than on underlying mood symptoms can cause
misdiagnoses. This study uses a clinical, case-based, hypothesis generation
approach that warrants follow-up with a larger representative sample of psychotic
patients followed prospectively to determine the degree to which the clinical
course observed herein is typical of all such patients. Differential diagnoses,
nomenclature, and treatment implications are discussed because bipolar patients
misdiagnosed with schizophrenia are severely misserved.
PMID- 18056110
TI - Can antistigma campaigns be improved? A test of the impact of biogenetic vs
psychosocial causal explanations on implicit and explicit attitudes to
schizophrenia.
AB - Antistigma campaigns have been promoting a medical view of schizophrenia. Given
the growing body of research finding negative associations between biogenetic
(BG) causal attributions and stigmatizing attitudes, this approach must be
reappraised. The present study investigates the impact of different
psychoeducational interventions on the etiology of schizophrenia (BG and
psychosocial [PS], vs a neutral condition) and on stigmatizing attitudes in
medical (n = 60) and psychology students (n = 61). Information was presented via
information brochures and a video presentation. Attitudes were assessed before
and after the interventions on an explicit level using the stereotype
questionnaire and the Social Distance Scale as well as on an implicit level,
using the Implicit Association Test. Both educational interventions produced a
significant decrease in several stereotype components, which was not the case in
the neutral condition. The BG intervention decreased the attribution of blame in
both groups. It also decreased the stereotype unpredictability/incompetence and
social distance in the medical students but increased the negative outlook on
prognosis in the psychology students. The PS intervention reduced the widespread
stereotype of dangerousness as well as social distance in the group of medical
students. While further research into antistigma interventions is necessary, the
proposal for antistigma campaigns is to take a multidimensional and balanced
approach, which is adapted to target groups and provides additional facts that
challenge the myths maintaining stigma.
PMID- 18056111
TI - Back to basals: do basal dendrites link plateau potentials and Up states?
PMID- 18056112
TI - Reshaping the binding problem of form and motion vision.
PMID- 18056113
TI - Understanding the role of voltage gating of polymodal TRP channels.
PMID- 18056114
TI - Inhibition facilitates depression.
PMID- 18056115
TI - Bayesian modeling of embryonic growth using latent variables.
AB - In a growth model, individuals move progressively through a series of states in
which each state is indicative of developmental status. Interest lies in
estimating the rate of progression through each state while incorporating
covariates that might affect the transition rates. We develop a Bayesian discrete
time multistate growth model for inference from cross-sectional data with unknown
initiation times. For each subject, data are collected at only one time point at
which we observe the state as well as covariates that measure developmental
progress. We link the developmental progress variables to an underlying latent
growth variable that can also affect the state transition rates. A subject with
slow latent growth will then have relatively small developmental progress
covariates and move through state transitions slowly. We then examine the
association between latent growth and the probability of future events in a novel
study of embryonic development and pregnancy loss. Using a Markov chain Monte
Carlo (MCMC) algorithm for posterior computation, we found evidence in favor of a
previously hypothesized but unproven association between slow growth early in
pregnancy and increased risk of future spontaneous abortion.
PMID- 18056116
TI - Aldo-keto reductase family 1 B10 affects fatty acid synthesis by regulating the
stability of acetyl-CoA carboxylase-alpha in breast cancer cells.
AB - Recent studies have demonstrated that aldo-keto reductase family 1 B10 (AKR1B10),
a novel protein overexpressed in human hepatocellular carcinoma and non-small
cell lung carcinoma, may facilitate cancer cell growth by detoxifying
intracellular reactive carbonyls. This study presents a novel function of AKR1B10
in tumorigenic mammary epithelial cells (RAO-3), regulating fatty acid synthesis.
In RAO-3 cells, Sephacryl-S 300 gel filtration and DEAE-Sepharose ion exchange
chromatography demonstrated that AKR1B10 exists in two distinct forms, monomers
(approximately 40 kDa) bound to DEAE-Sepharose column and protein complexes
(approximately 300 kDa) remaining in flow-through. Co-immunoprecipitation with
AKR1B10 antibody and protein mass spectrometry analysis identified that AKR1B10
associates with acetyl-CoA carboxylase-alpha (ACCA), a rate-limiting enzyme of de
novo fatty acid synthesis. This association between AKR1B10 and ACCA proteins was
further confirmed by co-immunoprecipitation with ACCA antibody and pulldown
assays with recombinant AKR1B10 protein. Intracellular fluorescent studies showed
that AKR1B10 and ACCA proteins co-localize in the cytoplasm of RAO-3 cells. More
interestingly, small interfering RNA-mediated AKR1B10 knock down increased ACCA
degradation through ubiquitination-proteasome pathway and resulted in >50%
decrease of fatty acid synthesis in RAO-3 cells. These data suggest that AKR1B10
is a novel regulator of the biosynthesis of fatty acid, an essential component of
the cell membrane, in breast cancer cells.
PMID- 18056117
TI - Optimal perfusion of an intact ovary as a prerequisite for successful ovarian
cryopreservation.
AB - BACKGROUND: Cryopreservation and subsequent reimplantation of intact ovaries from
cancer patients, offers potentially the best prognosis for restoring fertility
after sterilizing cancer treatment. We used bovine ovaries as a model system to
explore the perfusion procedure that is required for cryopreservation of intact
ovaries. METHODS: The arteria ovarica was cannuled, and ovaries were flushed with
Indian ink for 5 min. RESULTS: Successful perfusion of blood vessels was
immediately visible macroscopically by a grey to black discoloration of the ovary
and was confirmed microscopically, by examining tissue sections. There was no
correlation between the time interval from removal of the ovary to the start of
the perfusion, and success of perfusion. We determined the percentage of Indian
ink-perfused vessels and scored blood vessels in four different size classes. The
percentage of perfused vessels increased with an increase in vessel size. In a
limited set of preliminary experiments with human ovaries, comparable results
were obtained. CONCLUSIONS: Our results show that bovine ovaries are a suitable
and adequate model system for optimizing the cryopreservation of human ovaries.
As bovine are at least of comparable size to human ovaries, we expect that our
results can be extrapolated to the human situation.
PMID- 18056118
TI - Cryopreservation of ovarian tissue and in vitro matured oocytes in a female with
mosaic Turner syndrome: Case Report.
AB - We report a novel approach of fertility preservation in a young woman with mosaic
Turner syndrome. A 16-year-old female with 20% 45XO and 80% 46XX karyotype
underwent laparoscopic ovarian wedge resection. Before performing ovarian tissue
cryopreservation, all visible follicles on the ovarian surface were aspirated. We
recovered 11 immature germinal vesicle stage oocytes, which were subjected to in
vitro maturation (IVM). Eight oocytes that matured (73% maturation rate) were
cryopreserved by vitrification. The combination of ovarian tissue cryobanking and
immature oocyte collection from the tissue followed by IVM and vitrification of
matured oocytes represent a promising approach of fertility preservation for
young women with mosaic Turner syndrome.
PMID- 18056119
TI - Inhibition of steroid sulphatase activity in endometriotic implants by 667
COUMATE: a potential new therapy.
AB - BACKGROUND: Local biosynthesis of estrogens is thought to be important for the
maintenance and growth of endometriotic implants. In addition to the formation of
estrogen via the aromatase pathway, steroid sulphatase (STS), which is
responsible for the hydrolysis of estrogen sulphates, may be an important source
of estrogens in endometriosis. METHODS: Eutopic and ectopic endometrial samples
from 14 women with minimal or mild (MM) endometriosis and from 13 women with
moderate to severe (MS) endometriosis were analysed for aromatase and STS
activities. RESULTS: Aromatase and STS activity were detected in all samples. STS
enzyme activity in both eutopic and ectopic endometrium was considerably higher
and less variable than aromatase activity. Moreover, STS, but not aromatase,
activity in endometriotic implants correlated with the severity of the disease
(mean +/- SEM: 203 +/- 38 nmol/4 h/g wet weight tissue in MM disease versus 423
+/- 44 nmol/4 h/g wet weight tissue in MS endometriosis, P < 0.001). The STS
inhibitor 667 COUMATE almost completely blocked STS activity (>99%) in both
eutopic and ectopic tissues. CONCLUSIONS: The high levels of STS activity
detected in ectopic endometrium and the correlation with severity of disease
suggest that STS inhibitors could be useful for the treatment of endometriosis.
PMID- 18056120
TI - When do social inequalities in C-reactive protein start? A life course
perspective from conception to adulthood in the Cardiovascular Risk in Young
Finns Study.
AB - BACKGROUND: It is unclear when in the life course do social inequalities in
inflammation emerge. We examined whether the association between socioeconomic
position (SEP) and C-reactive protein (CRP) is determined at conception, in
childhood, adolescence or adulthood in 1484 participants from the population
based Cardiovascular Risk in Young Finns Study. METHODS: Five variants of the CRP
gene were used to investigate whether SEP differences in CRP levels are
determined at conception. SEP and serum CRP were assessed in childhood (age 3-9),
adolescence (age 12-18) and in adulthood (age 24-39). SEP was measured using
parental education and occupational status in childhood and adolescence, and
participants' own education and occupational status in adulthood. Participants
with CRP > 10 mg/l were excluded. RESULTS: All CRP gene variants were associated
with circulating CRP concentrations in childhood, but there were no differences
in the distribution of these variants by SEP. No strong evidence was found of
associations between parental SEP and CRP. A graded association between higher
SEP and lower CRP was observed in adulthood for education (P = 0.0005) but not
for occupational status. Trajectories that led to high educational achievement
both in the participants and their parents were associated with lower (P or =140 ms had a 82%
accuracy to predict long-term LVEDD reduction (sensitivity 86%, specificity 67%,
positive and negative predictive values 91 and 56%, respectively). Multivariate
analysis solely revealed baseline LVPEI as predictor of LVEDD reduction. FT and
MPI correlated only with their respective improvements. CONCLUSION: Left
ventricular pre-ejection interval and IVMD predict favourable LV remodelling on
CRT. The additional application of tissue Doppler parameters may further increase
specificity and negative predictive value.
PMID- 18056137
TI - Effects of heart failure on brain-type Na+ channels in rabbit ventricular
myocytes.
PMID- 18056138
TI - The temporal relationships between sleep, cortisol, and lung functioning in youth
with asthma.
AB - OBJECTIVES: This study tested the directionality of the association between sleep
and health outcomes in youth with asthma. METHOD: Thirty-eight youth with asthma
(aged 9-19) completed a daily diary study on sleep, asthma symptoms, peak
expiratory flow (PEF) measures, and salivary cortisol samples. RESULTS: Greater
quantity of sleep predicted lower PEF% [beta(32) = -.33, p =.02], and lower daily
cortisol output [beta(33) = -.31, p =.07] the following day. Additionally, poorer
self-reported sleep quality predicted more severe symptoms the next day [beta(33)
=.27, p =.05]. In contrast, PEF%, cortisol, and asthma symptoms did not
significantly predict self-reported sleep quantity or quality the next night.
CONCLUSIONS: Results suggest that sleep may affect subsequent health outcomes,
rather than asthma impacting subsequent sleep, indicating the potential benefits
of targeting sleep behaviors in youth with asthma.
PMID- 18056139
TI - Actigraphic sleep and daytime naps in adolescent girls with chronic
musculoskeletal pain.
AB - OBJECTIVES: A descriptive pilot study to examine sleep and daytime naps in
adolescent girls with chronic musculoskeletal (MSK) pain. METHODS: Seventeen
girls (14.9 +/- 2.0 years) completed questionnaires on anxiety and depressive
symptoms during their clinic visit, and maintained a sleep diary and wore an
actigraph for 7 days. Parents completed a daily diary of their teen's medications
and approaches used to ease pain. RESULTS: Average nighttime sleep was 7.2 hr by
actigraphy. All participants had mean sleep efficiency <90%. In diaries, 76.5% of
the girls reported daytime naps; five girls reported more than three days with
naps and more naps were associated with lower sleep efficiency and total
nighttime sleep. CONCLUSIONS: Adolescent girls with chronic MSK pain may sleep
fewer hours at night than is recommended and nap in the daytime to compensate for
insufficient nighttime sleep.
PMID- 18056140
TI - Caregiver stress and outcomes of children with pediatric feeding disorders
treated in an intensive interdisciplinary program.
AB - OBJECTIVE: This study investigated the impact of an intensive interdisciplinary
feeding program on caregiver stress and child outcomes of children with feeding
disorders across three categories. METHODS: Children were categorized into either
tube dependent, liquid dependent, or food selective groups. Outcomes for
caregiver stress levels, child mealtime behaviors, weight, and calories were
examined at admission and discharge for 121 children. Repeated measures ANOVAs
were used to examine differences pre- and post-treatment and across feeding
categories. RESULTS: Caregiver stress, child mealtime behaviors, weight, and
caloric intake improved significantly following treatment in the intensive
feeding program, regardless of category placement. CONCLUSIONS: Few studies have
examined the impact of an intensive interdisciplinary approach on caregiver
stress, as well as on child outcome variables with such a diverse population.
This study provides support that regardless of a child's medical and feeding
history, an intensive interdisciplinary approach significantly improves caregiver
stress and child outcomes.
PMID- 18056142
TI - A developmental perspective on functional somatic symptoms.
AB - OBJECTIVE: To provide a new approach for conceptualizing and studying functional
somatic symptoms (FSS) in children and adolescence. METHODS: A developmental
model is proposed based on the synthesis of the extant literature and previous
theoretical perspectives of FSS in children and adolescents. RESULTS: Multiple
risk and protective factors from child, familial, social, and environmental
domains, the interactions across risk domains, and potential developmental
pathways of FSS are identified. CONCLUSIONS: This article underscores the
necessity of taking a broader, developmental view of FSS. The tenets of
developmental psychopathology emphasize the utility of viewing FSS on a continuum
of severity rather than as a discrete entity or diagnosis. This article concludes
with directions for future research and treatment implications.
PMID- 18056143
TI - Developing smoking cessation programs for chronically ill teens: lessons learned
from research with healthy adolescent smokers.
AB - OBJECTIVE: Medically fragile teens who smoke need access to smoking cessation
programs, because they are at even higher risk than their healthy peers for
smoking-related complications. METHODS: To date, no studies on the outcome of
smoking cessation programs for medically ill teens have been conducted. To
suggest directions for future research, we turn to the literature on smoking
cessation in the general population of teens and occasionally to the literature
on adult smokers. RESULTS: Four areas are explored: (a) the prevalence of unaided
cessation in healthy teens; (b) the outcomes of various treatments for smoking
cessation in healthy adolescents; (c) special issues that should be considered
when designing programs for medically ill teens; and (d) lessons learned from
previous research. CONCLUSIONS: Medically ill teens face a number of medical,
emotional, social, and developmental challenges that can affect the quitting
process. Research is sorely needed to address the unique needs of this
population.
PMID- 18056145
TI - Psychosocial adjustment, health-related quality of life, and psychosexual
development of boys with hypospadias: a systematic review.
AB - OBJECTIVE: A systematic review of studies on psychosocial adjustment, HRQoL
(health-related quality of life), and psychosexual development of boys with
hypospadias. METHODS: Research was conducted on several online bibliographic
databases. Articles were selected on the basis of predefined criteria.
Methodological quality was assessed by two independent reviewers who applied a
standardized checklist. When possible, data analyses were performed by
calculating effect sizes. RESULTS: Thirteen studies met the criteria for
inclusion, whose methodological standard ranged from low to high quality. None of
them has focused on HRQoL. Findings with regard to psychosocial and psychosexual
adjustment were inconsistent, though they clearly showed that boys with
hypospadias suffer from negative genital appraisal and sexual inhibitions.
Overall, medical factors exerted a rather small influence. Psychosocial risk
factors have hardly been examined so far. CONCLUSIONS: The identification of
psychosocial risk factors in methodologically sound studies is necessary to
guarantee a comprehensive treatment for boys with hypospadias.
PMID- 18056144
TI - Acute impact of immediate release methylphenidate administered three times a day
on sleep in children with attention-deficit/hyperactivity disorder.
AB - OBJECTIVE: To determine the impact of immediate release Ritalin, given three
times a day, on sleep quality and quantity in medication-naive, newly diagnosed
children with attention-deficit/hyperactivity disorder (ADHD). METHODS: Children
(aged 6-12) rigorously diagnosed with ADHD (n = 21) underwent multiple
measurement assessments (i.e., actigraphy, sleep diary, and questionnaires)
during a 1-week baseline and then during a 3-week blinded randomized medication
trial. RESULTS: Although the medication was effective in reducing ADHD symptoms,
analyses of actigraphy and sleep diary data found statistically and clinically
significant changes in the children's total sleep time and sleep onset latency in
the medication compared to the no medication conditions. No effects on sleep were
found based on the sleep questionnaire. CONCLUSIONS: Physicians and parents are
encouraged to closely monitor children's sleep when treating ADHD with stimulant
medication.
PMID- 18056146
TI - Use of parenteral methotrexate significantly reduces the need for biological
therapy.
PMID- 18056147
TI - Comment on: Do baseline characteristics predict response to treatment for low
back pain? Secondary analysis of the UK BEAM dataset.
PMID- 18056148
TI - Comment on: A prospective double-blind placebo-controlled randomized trial of
ultrasound in the physiotherapy treatment of shoulder pain.
PMID- 18056149
TI - Infliximab therapy reduces periodontoid rheumatoid pannus formation.
PMID- 18056150
TI - Comment on: Failure of anti-TNF therapy in TNF receptor 1-associated periodic
syndrome (TRAPS).
PMID- 18056151
TI - Transcranial Doppler and acoustic pressure fluctuations for the assessment of
cavitation and thromboembolism in patients with mechanical heart valves.
AB - The formation and collapse of vapor-filled bubbles near a mechanical heart valve
is called cavitation. Such microbubbles are suspected to have strong pro
coagulant effects. Therefore, cavitation may be a contributing factor to the pro
thrombotic effects of mechanical valves. Herein, we systematically review the
available evidence linking cavitation and thrombosis. We also critically appraise
the potential usefulness of transcranial Doppler and other new non-invasive
diagnostic methods to study cavitation and cerebral embolism in mechanical valve
patients. Experimental studies indicate that cavitation microbubbles cause
platelet aggregation, complement-activation, fibrinolysis, release of tissue
factor, and endothelial damage. Administration of 100% oxygen to mechanical valve
patients during transcranial Doppler examination can transiently decrease the
counts of Doppler-detected cerebral microemboli compared with room air. This is
associated with removal of most circulating gaseous emboli from cavitation. This
method may therefore be applied to the study of cavitation and thromboembolism.
Additionally, the analysis of high-frequency acoustic-pressure fluctuations
detected from the implosion of cavitation bubbles is a promising method for
assessment of cavitation in vivo; however, this requires further development. A
better understanding of cavitation is important in order to adequately
investigate its role in the overall pro-thrombotic effects in mechanical valve
patients. Such studies may allow establishing guidelines for new valve designs.
PMID- 18056152
TI - Heparin induced thrombocytopenia diagnosis in cardiac surgery: is there a role
for thromboelastography?
AB - The aim of the present protocol is to investigate the potency of
thromboelastography (TEG) to screen postcardiac heparin induced thrombocytopenia
(HIT) patients suspicious for HIT type II, and to differentiate which of them are
subject to suffer thrombotic complications from those who will suffer hemorrhagic
complications.
PMID- 18056153
TI - Novel adjunct to surgery for end-stage cardiomyopathy receiving hemodialysis.
AB - Surgical management of heart failure patients receiving hemodialysis (HD) is a
challenge to surgeons and reports are limited. Five patients receiving HD
underwent a mitral annuloplasty with or without restoration of the left ventricle
because of class III or IV heart failure due to mitral regurgitation and poor
ventricular functions. Of those, three fully recovered to NYHA class I after the
cardiac procedure, however, two patients remained symptomatic and required an
adjunctive procedure. For that, we converted the arteriovenous dialysis shunt to
an inter-arterial bypass by dividing the venous side of the shunt and
anastomosing it to the proximal radial artery (RA), followed by ligation of the
RA between the two anastomoses so that the RA was bypassed by the cephalic vein.
Following this procedure, left ventricular end-diastolic pressure and volume were
reduced, and heart failure symptoms diminished. This simple procedure was able to
reduce the cardiac overload, while keeping the vascular access intact and may be
a relevant adjunct to surgical reverse remodeling in end-stage heart failure
patients receiving HD.
PMID- 18056154
TI - The influence of levosimendan and iloprost on renal ischemia-reperfusion: an
experimental study.
AB - The effects of iloprost on ischemia-reperfusion injury have been studied on the
skeletal, muscle, liver, myocardium, kidney, and spinal cord. However, no
sufficient data exist about effects of levosimendan on renal ischemia-reperfusion
injury. The purpose of this experimental study was to investigate and compare
effectiveness of levosimendan and iloprost on renal injury induced by ischemia
and reperfusion. Fifty rabbits were divided into five groups. Levosimendan was
continuously infused starting half an hour before the cross-clamp. Cross-clamp
time was one hour. After one hour ischemia, levosimendan was continued for 4 h in
Group A whereas Group B took iloprost in the same protocol. Group C was the
control group which did not receive any medication. Group D was sham group and
Group E was medicated both iloprost and levosimendan. Renal tissues were
histologically and biochemically evaluated. The histological scores were obtained
according to presence of tubular necrosis and atrophy, regenerative atypia,
hydropic degeneration (Group A vs. Group C<0.001, Group B vs. Group C<0.001,
Group D vs. Group C<0.01, Group E vs. Group C<0.001). Mean malondialdehyde levels
were 114+/-12 nmol/g tissue; in Group A 121+/-13 nmol/g tissue, in Group B 134+/
13 nmol/g tissue, in Group E 130+/-11 nmol/g tissue, in Group D 134+/-11 nmol/g
tissue (Group A vs. Group B; P=0.003, Group B vs. Group D; P=0.132, Group A vs.
Group E; P=0.132). Malondialdehyde levels and histologic scores of all of the
groups were significantly different from the control group. Iloprost and
pentoxyfillin reduced renal ischemia-reperfusion injury in rabbit model. There
was no significant difference between these two medications.
PMID- 18056155
TI - Comprehensive association testing of common genetic variation in DNA repair
pathway genes in relationship with breast cancer risk in multiple populations.
AB - Genetic association studies of multiple populations investigate a wider range of
risk alleles than studies of a single ethnic group. In this study, we developed a
multiethnic tagging strategy, exploiting differences in linkage disequilibrium
(LD) structure between populations, to comprehensively capture common genetic
variation across 60 genes spanning multiple DNA repair pathways, in five
racial/ethnic populations. Over 2600 SNPs were genotyped in each population and
single- and multi-marker predictors of common alleles were selected to capture
the LD patterns specific to each group. Coding variants (n = 211) were genotyped
to test whether combinations of putative functional variants in DNA repair
pathway genes could have cumulative effects on risk. Tests of association were
conducted in a multiethnic breast cancer study (2093 cases and 2303 controls),
with validation of the top allelic associations (P = 0.01) performed in
additional studies of 6483 cases and 7309 controls. A variant in the FANCA gene
(rs1061646, 0.15-0.68 frequency across populations) was associated with risk in
the initial study (P = 0.0052), and in the replication studies (P = 0.032). In a
combined analysis (8556 cases and 9605 controls), this SNP yielded an 8% increase
in risk per allele. Combinations of coding variants in these genes were not
associated with breast cancer and together, these data suggest that common
variation in these DNA repair pathway genes are not strongly associated with
breast cancer risk. The methods utilized in this study, applied to multiple
populations, provide a framework for testing in association studies in diverse
populations.
PMID- 18056156
TI - Enzyme replacement therapy in a murine model of Morquio A syndrome.
AB - Mucopolysaccharidosis IVA (MPS IVA) is an autosomal recessive disorder caused by
a deficiency of N-acetylgalactosamine-6-sulfate sulfatase (GALNS), leading to
accumulation of keratan sulfate (KS) and chrondroitin-6-sulfate. The
pharmacokinetics and biodistributions were determined for two recombinant human
GALNSs produced in CHO cell lines: native GALNS and sulfatase-modifier-factor 1
(SUMF1) modified GALNS. Preclinical studies of enzyme replacement therapy (ERT)
by using two GALNS enzymes were performed on MPS IVA mice. The half-lives in
blood circulation of two phosphorylated GALNS enzymes were similar (native, 2.4
min; SUMF1, 3.3 min). After intravenous doses of 250 units/g body weight were
administered, each enzyme was primarily recovered in liver and spleen, with
detectable activity in other tissues including bone and bone marrow. At 4 h post
injection, enzyme activity was retained in the liver, spleen, bone and bone
marrow at levels that were 20-850% of enzyme activity in the wild-type mice.
After intravenous doses of 250 units/g of native GALNS, and 250, 600 or 1000
units/g of SUMF1-GALNS were administered weekly for 12 weeks, MPS IVA mice showed
marked reduction of storage in visceral organs, sinus lining cells in bone
marrow, heart valves, ligaments and connective tissues. A dose-dependent
clearance of storage material was observed in brain. The blood KS level assayed
by tandem mass spectrometry was reduced nearly to normal level. These preclinical
studies demonstrate the clearance of tissue and blood KS by administered GALNS,
providing the in vivo rationale for the design of ERT trials in MPS IVA.
PMID- 18056158
TI - Grey and white matter distribution in very preterm adolescents mediates
neurodevelopmental outcome.
AB - Very preterm (VPT) birth is associated with altered cortical development and long
term neurodevelopmental sequelae. We used voxel-based morphometry to investigate
white (WM) and grey matter (GM) distribution in VPT adolescents and controls, and
the association with gestational age and neonatal ultrasound findings in the VPT
individuals. GM and WM volumes were additionally investigated in relation to
adolescent neurodevelopmental outcome. Structural MRI data were acquired with a
1.5 Tesla machine in 218 VPT adolescents (<33 weeks, gestation) and 128 controls
aged 14-15 years, and analysed using SPM2 software. VPT individuals compared to
controls showed reduced GM in temporal, frontal, occipital cortices and
cerebellum, including putamen, insula, cuneus, fusiform gyrus, thalamus and
caudate nucleus, and increased GM predominantly in temporal and frontal lobes,
including cingulate and fusiform gyri and cerebellum. WM loss was concentrated in
the brainstem, internal capsule, temporal and frontal regions and the major
fasciculi. WM excesses were observed in temporal, parietal and frontal regions.
Investigation of the inter-relationships between brain regions and changes
revealed that all selected areas where between-group increased and decreased WM
and GM volumes differences were observed, were structurally associated,
highlighting the influence that abnormalities in one brain area may exert over
others. VPT individuals with evidence of periventricular haemorrhage and
ventricular dilatation on neonatal ultrasound exhibited the greatest WM and GM
alterations. VPT adolescents obtained lower scores than controls on measures of
language and executive function and were more likely to show cognitive impairment
compared to controls (27% versus 14%, respectively). Several areas where VPT
individuals demonstrated decreased GM and WM volume were linearly associated with
gestational age and mediated cognitive impairment. To summarize, our data
demonstrates that VPT birth is associated with altered brain structure in
adolescence. GM and WM alterations are associated with length of gestation and
mediate adolescent neurodevelopmental impairment. Thus, anatomical brain changes
may contribute to specific cognitive deficits associated with VPT birth and could
be used in the identification of those individuals who may be at increased risk
for cognitive impairment.
PMID- 18056157
TI - Escherichia coli low-copy-number plasmid R1 centromere parC forms a U-shaped
complex with its binding protein ParR.
AB - The Escherichia coli low-copy-number plasmid R1 contains a segregation machinery
composed of parC, ParR and parM. The R1 centromere-like site parC contains two
separate sets of repeats. By atomic force microscopy (AFM) we show here that ParR
molecules bind to each of the 5-fold repeated iterons separately with the
intervening sequence unbound by ParR. The two ParR protein complexes on parC do
not complex with each other. ParR binds with a stoichiometry of about one ParR
dimer per each single iteron. The measured DNA fragment lengths agreed with B
form DNA and each of the two parC 5-fold interon DNA stretches adopts a linear
path in its complex with ParR. However, the overall parC/ParR complex with both
iteron repeats bound by ParR forms an overall U-shaped structure: the DNA folds
back on itself nearly completely, including an angle of approximately 150 degrees
. Analysing linear DNA fragments, we never observed dimerized ParR complexes on
one parC DNA molecule (intramolecular) nor a dimerization between ParR complexes
bound to two different parC DNA molecules (intermolecular). This bacterial
segrosome is compared to other bacterial segregation complexes. We speculate that
partition complexes might have a similar overall structural organization and, at
least in part, common functional properties.
PMID- 18056159
TI - Neural correlates of tic severity and cognitive control in children with Tourette
syndrome.
AB - Tourette syndrome (TS) is a neurodevelopmental disorder characterized by
involuntary motor and phonic tics. It is hypothesized that excess dopamine leads
to an imbalance in the pathways through the basal ganglia, resulting in unchecked
movements via thalamic disinhibition. It has been unclear whether TS is
associated with cognitive control deficits as well as pure motor control
deficits, or whether cognitive deficits are associated with the presence of
comorbid conditions. Furthermore, little is known about the neural underpinnings
of TS in childhood, prior to the long-term effects of medication on brain
function. Here, children with TS and typically developing children performed a
cognitive control task during event-related fMRI data acquisition. The study
included 18 native English-speaking 7-13-year-old children with TS (M = 10.42; 15
males), and 19 healthy, age-matched native English-speaking volunteers (M =
10.33; 11 males). The task involved three separate manipulations of cognitive
control. Behaviourally, higher tic severity was correlated with slower task
performance on the most demanding task conditions. Neurally, higher tic severity
was associated with enhanced activation of dopaminergic nuclei (substantia
nigra/ventral tegmental area) and cortical, striatal and thalamic regions in the
direct pathway. Heightened tic severity was also associated with greater
engagement of the subthalamic nucleus area, suggestive of a compensatory
mechanism. Overall, patients engaged left prefrontal cortex more strongly than
typicals during task performance. These data suggest that children aged 7-13
unmedicated for TS exhibit increased activation in the direct pathway through the
basal ganglia, as well as increased compensatory activation in prefrontal cortex
and the subthalamic nucleus.
PMID- 18056160
TI - Changes in readthrough acetylcholinesterase expression modulate amyloid-beta
pathology.
AB - Alzheimer's disease has long been known to involve cholinergic deficits, but the
linkage between cholinergic gene expression and the Alzheimer's disease amyloid
pathology has remained incompletely understood. One known link involves synaptic
acetylcholinesterase (AChE-S), shown to accelerate amyloid fibrils formation.
Here, we report that the 'Readthrough' AChE-R splice variant, which differs from
AChE-S in its 26 C-terminal residues, inversely exerts neuroprotective effects
from amyloid beta (Abeta) induced toxicity. In vitro, highly purified AChE-R dose
dependently suppressed the formation of insoluble Abeta oligomers and fibrils and
abolished Abeta toxicity to cultured cells, competing with the prevalent AChE-S
protein which facilitates these processes. In vivo, double transgenic APPsw/AChE
R mice showed lower plaque burden, fewer reactive astrocytes and less dendritic
damage than single APPsw mice, inverse to reported acceleration of these features
in double APPsw/AChE-S mice. In hippocampi from Alzheimer's disease patients (n =
10), dentate gyrus neurons showed significantly elevated AChE-R mRNA and reduced
AChE-S mRNA. However, immunoblot analyses revealed drastic reductions in the
levels of intact AChE-R protein, suggesting that its selective loss in the
Alzheimer's disease brain exacerbates the Abeta-induced damages and revealing a
previously unforeseen linkage between cholinergic and amyloidogenic events.
PMID- 18056161
TI - White matter connections reflect changes in voluntary-guided saccades in pre
symptomatic Huntington's disease.
AB - Huntington's disease is caused by a known genetic mutation and so potentially can
be diagnosed many years before the onset of symptoms. Neuropathological changes
have been found in both striatum and frontal cortex in the pre-symptomatic stage.
Disruption of cortico-striatal white matter fibre tracts is therefore likely to
contribute to the first clinical signs of the disease. We analysed diffusion
tensor MR image (DTI) data from 25 pre-symptomatic gene carriers (PSCs) and 20
matched controls using a multivariate support vector machine to identify patterns
of changes in fractional anisotropy (FA). In addition, we performed probabilistic
fibre tracking to detect changes in 'streamlines' connecting frontal cortex to
striatum. We found a pattern of structural brain changes that includes putamen
bilaterally as well as anterior parts of the corpus callosum. This pattern was
sufficiently specific to enable us to correctly classify 82% of scans as coming
from a PSC or control subject. Fibre tracking revealed a reduction of frontal
cortico-fugal streamlines reaching the body of the caudate in PSCs compared to
controls. In the left hemispheres of PSCs we found a negative correlation between
years to estimated disease onset and streamlines from frontal cortex to body of
caudate. A large proportion of the fibres to the caudate body originate from the
frontal eye fields, which play an important role in the control of voluntary
saccades. This type of saccade is specifically impaired in PSCs and is an early
clinical sign of motor abnormalities. A correlation analysis in 14 PSCs revealed
that subjects with greater impairment of voluntary-guided saccades had fewer
fibre tracking streamlines connecting the frontal cortex and caudate body. Our
findings suggest a specific patho-physiological basis for these symptoms by
indicating selective vulnerability of the associated white matter tracts.
PMID- 18056162
TI - OEG implantation and step training enhance hindlimb-stepping ability in adult
spinal transected rats.
AB - Numerous treatment strategies for spinal cord injury seek to maximize recovery of
function and two strategies that show substantial promise are olfactory bulb
derived olfactory ensheathing glia (OEG) transplantation and treadmill step
training. In this study we re-examined the issue of the effectiveness of OEG
implantation but used objective, quantitative measures of motor performance to
test if there is a complementary effect of long-term step training and olfactory
bulb-derived OEG implantation. We studied complete mid-thoracic spinal cord
transected adult female rats and compared four experimental groups: media
untrained, media-trained, OEG-untrained and OEG-trained. To assess the extent of
hindlimb locomotor recovery at 4 and 7 months post-transection we used three
quantitative measures of stepping ability: plantar stepping performance until
failure, joint movement shape and movement frequency compared to sham controls.
OEG transplantation alone significantly increased the number of plantar steps
performed at 7 months post-transection, while training alone had no effect at
either time point. Only OEG-injected rats plantar placed their hindpaws for more
than two steps by the 7-month endpoint of the study. OEG transplantation combined
with training resulted in the highest percentage of spinal rats per group that
plantar stepped, and was the only group to significantly improve its stepping
abilities between the 4- and 7-month evaluations. Additionally, OEG
transplantation promoted tissue sparing at the transection site, regeneration of
noradrenergic axons and serotonergic axons spanning the injury site.
Interestingly, the caudal stump of media- and OEG-injected rats contained a
similar density of serotonergic axons and occasional serotonin-labelled
interneurons. These data demonstrate that olfactory bulb-derived OEG
transplantation improves hindlimb stepping in paraplegic rats and further suggest
that task-specific training may enhance this OEG effect.
PMID- 18056163
TI - Orbitofrontal volume deficit in schizophrenia and thought disorder.
AB - Orbitofrontal Cortex (OFC) structural abnormality in schizophrenia has not been
well characterized, probably due to marked anatomical variability and lack of
consistent definitions. We previously reported OFC sulcogyral pattern alteration
and its associations with social disturbance in schizophrenia, but OFC volume
associations with psychopathology and cognition have not been investigated. We
compared chronically treated schizophrenia patients with healthy control (HC)
subjects, using a novel, reliable parcellation of OFC subregions and their
association with cognition, especially the Iowa Gambling Task (IGT), and with
schizophrenic psychopathology including thought disorder. Twenty-four patients
with schizophrenia and 25 age-matched HC subjects underwent MRI. OFC Regions of
Interest (ROI) were manually delineated according to anatomical boundaries: Gyrus
Rectus (GR); Middle Orbital Gyrus (MiOG); and Lateral Orbital Gyrus (LOG). The
OFC sulcogyral pattern was also classified. Additionally, MiOG probability maps
were created and compared between groups in a voxel-wise manner. Both groups
underwent cognitive evaluations using the IGT, Wisconsin Card Sorting Test, and
Trail Making Test (TMT). An 11% bilaterally smaller MiOG volume was observed in
schizophrenia, compared with HC (F(1,47) = 17.4, P = 0.0001). GR and LOG did not
differ, although GR showed a rightward asymmetry in both groups (F(1,47) = 19.2,
P < 0.0001). The smaller MiOG volume was independent of the OFC sulcogyral
pattern, which differed in schizophrenia and HC (chi2 = 12.49, P = 0.002). A
comparison of MiOG probability maps suggested that the anterior heteromodal
region was more affected in the schizophrenia group than the posterior paralimbic
region. In the schizophrenia group, a smaller left MiOG was strongly associated
with worse 'positive formal thought disorder' (r = -0.638, P = 0.001), and a
smaller right MiOG with a longer duration of the illness (r = -0.618, P = 0.002).
While schizophrenics showed poorer performance than HC in the IGT, performance
was not correlated with OFC volume. However, within the HC group, the larger the
right hemisphere MiOG volume, the better the performance in the IGT (r = 0.541, P
= 0.005), and the larger the left hemisphere volume, the faster the switching
attention performance for the TMT, Trails B (r = -0.608, P = 0.003). The present
study, applying a new anatomical parcellation method, demonstrated a subregion
specific OFC grey matter volume deficit in patients with schizophrenia, which was
independent of OFC sulcogyral pattern. This volume deficit was associated with a
longer duration of illness and greater formal thought disorder. In HC the finding
of a quantitative association between OFC volume and IGT performance constitutes,
to our knowledge, the first report of this association.
PMID- 18056164
TI - Selective inhibition of fatty acid synthase for lung cancer treatment.
AB - PURPOSE: Fatty acid synthase (FAS) is overexpressed in many human cancers and is
considered to be a promising target for therapy. However, in vitro use of
previous generations of FAS inhibitors has been limited by severe, but
reversible, anorexia in treated animals, which is thought to be related to a
parallel stimulation of fatty acid oxidation by these agents. This study
investigated pharmacologic inhibition of FAS using C93, a rationally designed
molecule that inhibits FAS activity without affecting fatty acid oxidation in
preclinical models of lung cancer. EXPERIMENTAL DESIGN: Activity of C93 on FAS
and fatty acid oxidation was evaluated in cultured non-small cell lung cancer
(NSCLC) cells. Antineoplastic activity of the compound, given orally or by i.p.
injection, was evaluated in s.c. and orthotopic NSCLC xenografts. RESULTS: Our
experiments confirm that C93 effectively inhibits FAS without stimulating fatty
acid oxidation in lung cancer cells. More importantly, C93 significantly inhibits
the growth of both s.c. and orthotopic xenograft tumors from human NSCLC cell
lines without causing anorexia and weight loss in the treated animals.
CONCLUSIONS: We conclude that inhibition of FAS can be achieved without parallel
stimulation of fatty acid oxidation and that inhibition of tumor growth in vivo
can be achieved without anorexia and weight loss. Thus, this therapeutic strategy
holds promise for clinical treatment of cancers, including non-small cell lung
cancer, the leading cause of cancer mortality in the United States and Europe.
PMID- 18056165
TI - The dynamics of estrogen receptor status in breast cancer: re-shaping the
paradigm.
PMID- 18056166
TI - Interleukin-21 signaling: functions in cancer and autoimmunity.
AB - Interleukin-21 (IL-21) is a cytokine with structural and sequence homology to IL
2 and IL-15, yet possesses several biological properties distinct from these
cytokines. IL-21 is produced mainly by activated CD4(+) T cells and natural
killer T cells and mediates its activity by binding to the IL-21 receptor (IL
21R), consisting of an IL-21-specific alpha chain (IL-21Ralpha; JAK/STAT) that
heterodimerizes with the common gamma chain (CD132). Intracellular signaling
occurs through the Janus-activated kinase/signal transducer and activator of
transcription pathways. Physiologic expression of IL-21R is restricted to
lymphoid tissues and peripheral blood mononuclear cells; however, other tissues
such as epithelium, synovium, or transformed cells can acquire expression of both
components of IL-21R heterodimer. IL-21 has complex activities on a wide variety
of cell types, leading to enhancement of adaptive T-cell immunity, antibody
production, activation of natural killer cell subtypes, and opposition to
suppressive effects mediated by regulatory T cells. Functionally, these
activities promote immune responses and point to a physiologic role of IL-21 in
autoimmunity and immune enhancement. Therapeutic manipulation of IL-21 activity
may allow improved immunotherapy for cancer as well as insights into autoimmune
disease. Recently conducted phase 1 trials in metastatic melanoma and renal cell
carcinoma have shown that recombinant IL-21 has a favorable safety profile and
support its continued investigation as a potential anticancer drug.
PMID- 18056167
TI - Combined 1p/19q loss in oligodendroglial tumors: predictive or prognostic
biomarker?
AB - PURPOSE: The combined loss of genetic material on chromosomes 1p and 19q is
strongly associated with favorable outcome in patients with WHO grade 3
anaplastic oligodendroglial tumors. The prognostic value of 1p/19q loss in WHO
grade 2 oligodendroglial tumors is less well defined. Importantly, the possible
effect of combined 1p/19q loss has not been studied in patients who were not
treated with radiotherapy or chemotherapy. EXPERIMENTAL DESIGN: Seventy-six
patients with oligodendroglioma (n = 33), oligoastrocytoma (n = 30), anaplastic
oligodendroglioma (n = 6), or anaplastic oligoastrocytoma (n = 7) were identified
who had not received radiotherapy or chemotherapy after their first operation
until the end of follow-up or until the first progression and had tissue for
1p/19q status available. 1p/19q status was assessed by multiplex ligation
dependent probe amplification. RESULTS: After a median follow-up of 3.8 years,
progressive disease was documented in 34 patients. The estimated median
progression-free survival was 4.6 years. Fifty-eight of the 76 patients had a
combined loss of 1p and 19q. The absence or presence of combined 1p/19q loss was
not prognostic for progression-free survival using multivariate adjustment for
histology, extent of resection, and gender. CONCLUSIONS: Combined 1p/19q loss is
not a sensitive prognostic biomarker in patients with oligodendroglial tumors who
do not receive radiotherapy or chemotherapy. The gene products lost as a
consequence of this codeletion may include mediators of resistance to genotoxic
therapies. Alternatively, 1p/19q loss might be an early oncogenic lesion
promoting the formation of glial neoplasms, which retain high sensitivity to
genotoxic stress.
PMID- 18056168
TI - E2F1 overexpression correlates with thymidylate synthase and survivin gene
expressions and tumor proliferation in non small-cell lung cancer.
AB - PURPOSE: We investigated the clinical significance of E2F1 gene expression in
relation to its target genes, thymidylate synthase (TS) and Survivin, in case of
non-small-cell lung cancer (NSCLC). EXPERIMENTAL DESIGN: One hundred twenty-seven
cases of resected NSCLC were analyzed. Quantitative reverse transcription-PCR was
done to evaluate the gene expression of E2F1, TS, and Survivin.
Immunohistochemistry was done to investigate the protein expression of E2F1, TS,
and Survivin. The Ki-67 proliferation index and the apoptotic index using the
terminal deoxyribonucleotidyl transferase-mediated dUTP nick-end labeling method
were also evaluated. RESULTS: E2F1 gene expression significantly correlated with
the Ki-67 proliferation index (r = 0.487; P < 0.0001), although no correlation
was observed between E2F1 gene expression and the apoptotic index. With regard to
E2F1 target genes, E2F1 gene expression significantly correlated with TS gene
expression (r = 0.709; P < 0.0001) and Survivin gene expression (r = 0.403; P <
0.0001). The overall survival rate was significantly lower in patients with high
E2F1 tumors than in those with low-E2F1 tumors (P = 0.0027), especially among
patients with stage II to III NSCLCs (P = 0.0188). A Cox regression analysis
showed that the E2F1 status was a significant prognostic factor for NSCLC
patients (hazard ratio, 2.052; P = 0.0261). CONCLUSIONS: The present study
revealed that E2F1 gene expression correlates with TS and Survivin gene
expressions and tumor proliferation. During the progression of NSCLC, E2F1
overexpression could produce more aggressive tumors with a high proliferation
rate and chemoresistance.
PMID- 18056169
TI - CD8+ Foxp3+ regulatory T cells mediate immunosuppression in prostate cancer.
AB - PURPOSE: Although elevated proportions of CD4(+)CD25(+) regulatory T (Treg) cells
have been shown in several types of cancers, very little is known about the
existence and function of CD8(+) Treg cells in prostate cancer. In this study, we
investigated prostate tumor-derived CD8(+) Treg cells and their function.
EXPERIMENTAL DESIGN: Tumor-infiltrating lymphocytes (TIL) from fresh tumor
specimens of patients with prostate cancer were generated and subjected to
phenotypic and suppressive function analyses. In particular, we investigated the
role and function CD8(+) Treg cells in prostate cancer. RESULTS: We show that
high percentages of CD4(+)CD25(+) T cells are probably present in the majority
(70%) of prostate TILs. Remarkably, both CD4(+) and CD8(+) T-cell subpopulations
possessed potent suppressive activity. T-cell cloning and fluorescence-activated
cell sorting analyses showed the presence of CD8(+)CD25(+) Treg cell clones that
expressed FoxP3 and suppressed naive T-cell proliferation, in addition to the
previously known CD4(+)CD25(+) Treg cells. These CD8(+) Treg cells suppressed
naive T-cell proliferation mainly through a cell contact-dependent mechanism.
Importantly, the suppressive function of CD8(+) Treg cells could be reversed by
human Toll-like receptor 8 (TLR8) signaling. CONCLUSION: Our study shows that
like CD4(+)CD25(+) Treg cells, CD8(+) Foxp3(+) Treg cells present in prostate
tumor-derived TILs suppress immune responses and that their suppressive function
can be regulated by TLR8 ligands, raising the possibility that the manipulation
of Treg cell function by TLR8 ligands could improve the efficacy of immunotherapy
for prostate cancer patients.
PMID- 18056170
TI - Prognostic role of HuR in hereditary breast cancer.
AB - PURPOSE: HuR is an mRNA-binding protein that enhances the stability of certain
transcripts and can regulate their translation. Elevated cytoplasmic expression
of HuR protein has been linked to carcinogenesis and is associated with reduced
survival in breast, ovarian, and gastric adenocarcinomas. EXPERIMENTAL DESIGN:
Here, we have explored the relevance of HuR in familial breast cancer. Tumor
samples were collected from patients with identified BRCA1 (n = 51) or BRCA2 (n =
47) mutations or familial non-BRCA1/2 cases (n = 525), and analyzed by
immunohistochemistry. RESULTS: Among familial non-BRCA1/2 breast cancer patients,
cytoplasmic HuR protein expression was present in 39.4% of the cases and was
associated with estrogen receptor negativity, progesterone receptor negativity,
p53 positivity, high tumor grade, and ductal type of the tumor. In multivariate
analysis, cytoplasmic HuR expression was an independent marker of reduced
survival in the non-BRCA1/2 group along with tumor size >2 cm, lymph node
metastasis, and high histologic grade. In patients with BRCA1 or BRCA2 mutations,
cytoplasmic HuR expression was more frequent (62.7% for BRCA1 and 61.7% for
BRCA2) than in the non-BRCA1/2 group, but in BRCA-mutated subgroups cytoplasmic
HuR expression did not associate with survival. CONCLUSIONS: Our results show
that HuR is an important prognostic factor in familial breast cancer patients and
may contribute to carcinogenesis in this disease.
PMID- 18056171
TI - Notch-1 mutations are secondary events in some patients with T-cell acute
lymphoblastic leukemia.
AB - PURPOSE: Activating Notch-1 mutations are frequent in T-cell acute lymphoblastic
leukemia (T-ALL), occurring in >50% of patients. In murine models of T-ALL, Notch
1 activation can both directly initiate leukemia and cooperate secondarily to
other primary events. Whether acquisition of Notch-1 mutations is an early
initiating event or a secondary event in the pathogenesis of human T-ALL is
unclear. EXPERIMENTAL DESIGN: We used denaturing high-performance liquid
chromatography, sequencing, and fragment analysis to analyze Notch-1 mutational
status and mutant level in 62 patients at presentation as well as 16 matched
presentation-relapse samples. RESULTS: We detected Notch-1 mutations in 47
patients (76%). Seven of these were low-level mutations (quantified at < or
=10%), despite high blast counts, suggesting that they were acquired as a
secondary event in a subclone. Of 16 matched presentation-relapse samples
studied, 7 were wild-type at both presentation and relapse. Five of nine mutant
positive patients at presentation relapsed with the same mutation(s) at the same
high level. Four patients had evidence of a change in mutant at relapse. One lost
a PEST mutation and became wild-type. Two others lost mutations at relapse but
acquired different mutations, despite unchanged T-cell receptor rearrangements,
suggesting that the latter event predated the acquisition of the Notch-1
mutation. One relapsed with a secondary T-cell leukemia and different Notch
mutation. CONCLUSIONS: These results suggest that Notch-1 mutations can sometimes
be acquired as secondary events in leukemogenesis and must be used cautiously as
solitary minimal residual disease markers.
PMID- 18056172
TI - Stem cell marker nestin and c-Jun NH2-terminal kinases in tumor and peritumor
areas of glioblastoma multiforme: possible prognostic implications.
AB - PURPOSE: It has been hypothesized that brain tumors are derived from stem cell or
transiently dividing precursor transformation. Furthermore, c-Jun NH(2)-terminal
kinases (JNKs) have been involved in gliomagenesis. This study analyzes stem cell
marker nestin and JNK expression in glioblastoma multiforme (GBM) and peritumor
tissue and assesses their possible prognostic implications. EXPERIMENTAL DESIGN:
Nestin and both total JNK (tJNK) and phosphorylated JNK (pJNK) expression was
investigated by immunohistochemistry in 20 GBMs. Samples were derived from tumors
(first area), from tissues at a distance <1 cm (second area), and between 1 and
3.5 cm (third area) from the macroscopic tumor border. The relationships between
patients' age, Karnofsky performance status, gender, protein expression, and
survival were analyzed. RESULTS: Nestin cytoplasmic immunoreactivity was observed
in the majority of cells in tumor but infrequently in peritumor areas. tJNK,
observed in the nucleus and cytoplasm, was widely expressed in the three areas;
pJNK, mostly located in the nuclei, was found in a variable percentage of cells
in the tumor and peritumor tissue. Nestin and JNK expression in peritumor areas
was independent of the presence of neoplastic cells. Univariate analysis
indicated that survival was longer (19 versus 12 months; P = 0.01) for patients
whose pJNK/nestin and (pJNK/tJNK)/nestin ratios in the second area were > or
=2.619 and > or =0.026, respectively. The same variables showed an independent
prognostic value in multivariate analysis. CONCLUSIONS: Nestin and JNK expression
indicates that peritumor tissue, independently of the presence of neoplastic
cells, may present signs of transformation. Moreover, pJNK/nestin and
(pJNK/tJNK)/nestin ratios in that tissue seem to have some prognostic
implications in GBM patients.
PMID- 18056173
TI - Novel markers of subclinical disease for Ewing family tumors from gene expression
profiling.
AB - PURPOSE: Targeting subclinical disease in the bone marrow is particularly
relevant in metastatic Ewing family tumors (EFT) where cure is difficult. Genome
wide expression arrays can uncover novel genes differentially expressed in tumors
over normal marrow/blood, which may have potentials as markers of subclinical
disease. EXPERIMENTAL DESIGN: Gene expression array data were obtained on 28 EFT
tumors using the Affymetrix U133 gene chip and compared with 10 normal blood
samples. Ten genes with high tumor to blood ratios were identified. Quantitative
reverse transcription-PCR was done to study (a) the dynamic range of detection of
rare tumor cells, (b) the gene expression in normal blood/marrow samples, (c) the
gene expression among EFT tumors, and (d) the detection and prognostic impact of
marker positivity in histology-negative diagnostic marrows of EFT patients.
RESULTS: Five of 10 genes (i.e., six-transmembrane epithelial antigen of the
prostate 1 [STEAP1], cyclin D1 [CCND1], NKX2-2 transcription factor [NKX2-2],
plakophilin 1 [PKP1], and transmembrane protein 47 [TMEM47]) were chosen for
further analyses based on their steep linear dynamic range in detecting tumor
cells seeded in normal mononuclear cells and on their homogeneous expression
among EFT tumors. Prognostic effect was evaluated in 35 histology-negative
diagnostic marrows. Marker negativity of STEAP1, CCND1, or NKX2-2, as well as
three markers in combination, was strongly correlated with patient survival as
well as survival without new metastases. CONCLUSIONS: This gene expression array
based approach identified novel markers that may be informative at diagnosis for
risk group assessment. Their clinical utility needs to be tested in large patient
cohorts.
PMID- 18056174
TI - A multiparametric panel for ovarian cancer diagnosis, prognosis, and response to
chemotherapy.
AB - PURPOSE: Our goal was to examine a panel of 11 biochemical variables, measured in
cytosolic extracts of ovarian tissues (normal, benign, and malignant) by
quantitative ELISAs for their ability to diagnose, prognose, and predict response
to chemotherapy of ovarian cancer patients. EXPERIMENTAL DESIGN: Eleven proteins
were measured (9 kallikreins, B7-H4, and CA125) in cytosolic extracts of 259
ovarian tumor tissues, 50 tissues from benign conditions, 35 normal tissues, and
44 tissues from nonovarian tumors that metastasized to the ovary. Odds ratios and
hazard ratios and their 95% confidence interval were calculated. Time-dependent
receiver operating characteristic curves for censored survival data were used to
evaluate the performance of the biomarkers. Resampling was used to validate the
performance. RESULTS: Most biomarkers effectively separated cancer from noncancer
groups. A composite marker provided an area under the curve of 0.97 (95%
confidence interval, 0.95-0.99) for discriminating normal and cancer groups.
Univariately, hK5 and hK6 were positively associated with progression. After
adjusting for clinical variables in multivariate analysis, both hK10 and hK11
significantly predicted time to progression. Increasing levels of hK13 were
associated with chemotherapy response, and the predictive power of hK13 to
chemotherapy response was improved by a panel of five biomarkers. CONCLUSIONS:
The evidence shows that a group of kallikreins and multiparametric combinations
with other biomarkers and clinical variables can significantly assist with
ovarian cancer classification, prognosis, and response to platinum-based
chemotherapy. In particular, we developed a multiparametric strategy for
predicting ovarian cancer response to chemotherapy, comprising several biomarkers
and clinical features.
PMID- 18056175
TI - Expression of indoleamine 2,3-dioxygenase in tumor endothelial cells correlates
with long-term survival of patients with renal cell carcinoma.
AB - PURPOSE: The inflammatory enzyme indoleamine 2,3-dioxygenase (IDO) participates
in immune tolerance and tumor immune escape processes by degradation of the
essential amino acid tryptophan and formation of toxic catabolites. Here, we
analyzed the role of IDO in tumor growth and disease progression in patients with
clear cell renal cell carcinoma (RCC). EXPERIMENTAL DESIGN: Expression of IDO
mRNA was analyzed by quantitative reverse transcription-PCR in 55 primary and 52
metastatic RCC, along with 32 normal kidneys. Western blot and
immunohistochemistry analyses were used to semiquantitatively determine IDO
proteins in a subset of tumor samples, in RCC cell lines, and microvessel
endothelial cells. IDO expression was correlated with expression of the
proliferation marker Ki67 in tumor cells and survival of patients with tumor.
RESULTS: More than 75% of the clear cell RCC in comparison to normal kidney
contained elevated levels of IDO mRNA, which correlated with their IDO protein
content. Low IDO mRNA levels in primary tumors represented an unfavorable
independent prognostic factor (hazard ratio, 3.8; P = 0.016). Unexpectedly,
immunohistochemical analyses revealed that IDO is nearly exclusively expressed in
endothelial cells of newly formed blood vessels and is virtually absent from
tumor cells, although RCC cells could principally synthesize IDO as shown by in
vitro stimulation with IFN-gamma. A highly significant inverse correlation
between the density of IDO-positive microvessels and the content of proliferating
Ki67-positive tumor cells in primary and metastatic clear cell RCC was found (P =
0.004). CONCLUSIONS: IDO in endothelial cells might limit the influx of
tryptophan from the blood to the tumor or generate tumor-toxic metabolites, thus
restricting tumor growth and contributing to survival.
PMID- 18056176
TI - A switch from E-cadherin to N-cadherin expression indicates epithelial to
mesenchymal transition and is of strong and independent importance for the
progress of prostate cancer.
AB - PURPOSE: Cell adhesion molecules are of crucial importance in cancer invasion and
metastasis. Epithelial to mesenchymal transition, characterized by reduced E
cadherin and increased N-cadherin expression, has been recognized as a feature of
aggressive tumors, but the importance of this phenotype has not been settled in
human prostate cancer. We here present novel data, with special focus on the
independent relationship between an E-cadherin to N-cadherin switch (EN-switch)
and patient prognosis. EXPERIMENTAL DESIGN: Tissue microarray sections from a
consecutive series of 104 radical prostatectomies during 1988 to 1994 with
detailed clinicopathologic data and long follow-up were studied
immunohistochemically for the expression of E-cadherin, N-cadherin, P-cadherin,
beta-catenin, and p120(CTN). RESULTS: Low E-cadherin expression was significantly
associated with adverse clinicopathologic features, whereas other biomarkers were
mostly related to Gleason score. In univariate survival analyses, cadherin
switching (high N-cadherin and low E-cadherin) showed strong and significant
associations with multiple end points of progression and cancer-specific death.
Expression of the "basal cell marker" P-cadherin was associated with shorter time
to skeletal metastasis (P = 0.036). In multivariate analysis of time to clinical
recurrence, the "EN-switch" (hazard ratio, 4.3; P < 0.0005) had strong and
independent prognostic effect, together with Gleason score. CONCLUSION: These
novel data unravel the importance of epithelial to mesenchymal transition for
prostate cancer progression, and demonstration of a switch from E-cadherin to N
cadherin expression could have significant effect on the care of prostate cancer
patients.
PMID- 18056177
TI - Inhibition of the intrinsic apoptosis pathway downstream of caspase-9 activation
causes chemotherapy resistance in diffuse large B-cell lymphoma.
AB - PURPOSE: Inhibition of the apoptosis cascade is an important cause of therapy
resistance in diffuse large B-cell lymphomas (DLBCL). In this study, we
investigated possible mechanisms and expression levels of apoptosis-related genes
in the apoptosis pathway that may be responsible for differences in chemotherapy
sensitivity between DLBCL patients. EXPERIMENTAL DESIGN: Twenty-eight DLBCL
patient samples were investigated for their expression levels of apoptosis
related genes using reverse transcription-multiplex ligation-dependent probe
amplification analysis. Functional analysis of the intrinsic, caspase-9-mediated
pathway was done using fluorescence-activated cell sorting analysis, Western blot
analysis, and immunohistochemistry. RESULTS: Two DLBCL groups were identified:
one with low expression levels of both proapoptotic and antiapoptotic genes and
one group with high expression levels of these genes. DLBCL with high expression
levels of proapoptotic and antiapoptotic genes frequently seemed to be refractory
to clinical chemotherapy. Functional analysis in these latter DLBCL samples and
DLBCL cell lines with comparable expression profiles revealed high levels of
spontaneous caspase-9 activity without induction of apoptosis, indicating
disruption of the apoptosis pathway downstream of caspase-9 activation. This
disruption of the apoptosis pathway could be restored using a small-molecule XIAP
antagonist. CONCLUSIONS: We conclude that the intrinsic, caspase-9-mediated
apoptosis pathway is constitutively activated in part of chemotherapy-refractory
DLBCL with concomitant downstream inhibition of the convergence apoptosis pathway
and that inhibition of XIAP might be an alternative therapy for chemotherapy
refractory DLBCL.
PMID- 18056178
TI - Gain of 1q is a potential univariate negative prognostic marker for survival in
medulloblastoma.
AB - PURPOSE: Tumor risk stratification during diagnosis is paramount for children
with medulloblastomas, primarily because very young patients (<3 years) suffer
cognitive deficits from radio- and chemotherapy sequelae. Thus, distinguishing
tumors that are biologically more aggressive is essential for medulloblastoma
management to maximize the delay in radiation treatment without adversely
affecting survival outcome. In this context, current strategies for risk
assessment, which are based on clinical parameters, remain unsatisfactory.
EXPERIMENTAL DESIGN: Array-based comparative genomic hybridization (aCGH) was
used to identify chromosomal copy number abnormalities in a cohort of 49
medulloblastoma tumors. Based on the karyotypes generated from aCGH analysis,
each tumor was scored for copy number abnormalities, and the log-rank test was
used to evaluate whether any cytogenetic events were associated with survival.
RESULTS: A single copy gain of 1q was shown to be a negative prognostic marker
for survival in medulloblastomas with high statistical significance (P < 0.0001,
log-rank test). CONCLUSION: A gain of 1q provides a potential means of predicting
overall survival in medulloblastoma.
PMID- 18056179
TI - Reversal of the estrogen receptor negative phenotype in breast cancer and
restoration of antiestrogen response.
AB - PURPOSE: In breast cancer, the presence of estrogen receptor alpha (ER) denotes a
better prognosis and response to antiestrogen therapy. Lack of ERalpha correlates
with overexpression of epidermal growth factor receptor or c-erbB-2. We have
shown that hyperactivation of mitogen-activated protein kinase (MAPK) directly
represses ERalpha expression in a reversible manner. In this study, we determine
if inhibition of MAPK in established ERalpha(-) breast cancer cell lines and
tumors results in reexpression of ERalpha, and further, if reexpression of
ERalpha in these ERalpha(-) tumors and cell lines could restore antiestrogen
responses. EXPERIMENTAL DESIGN: Established ERalpha(-) breast cancer cell lines,
ERalpha(-) breast tumors, and tumor cell cultures obtained from ERalpha(-) tumors
were used in this study. Inhibition of hyperactive MAPK was accomplished via the
MAPK/ERK kinase 1/2 inhibitor U0126 or via upstream inhibition with Iressa or
Herceptin. Western blotting or reverse transcription-PCR for ERalpha was used to
assess the reexpression of ERalpha in cells treated with U0126. Growth assays
with WST-1 were done to assess restoration of antiestrogen sensitivity in these
cells. RESULTS: Inhibition of MAPK activity in ERalpha(-) breast cancer cell
lines results in reexpression of ERalpha; upstream inhibition via targeting
epidermal growth factor receptor or c-erbB-2 is equally effective. Importantly,
this reexpressed ERalpha can now mediate an antiestrogen response in a subset of
these ERalpha(-) breast cancer cell lines. Treatment of ERalpha(-) tumor
specimens with MAPK inhibitors results in restoration of ERalpha mRNA, and
similarly in epithelial cultures from ERalpha(-) tumors, MAPK inhibition restores
both ERalpha protein and antiestrogen response. CONCLUSIONS: These data show both
the possibility of restoring ERalpha expression and antiestrogen responses in
ERalpha(-) breast cancer and suggest that there exist ERalpha(-) breast cancer
patients who would benefit from a combined MAPK inhibition/hormonal therapy.
PMID- 18056180
TI - Telomere DNA content predicts breast cancer-free survival interval.
AB - BACKGROUND: Telomeres are nucleoprotein complexes that protect chromosome ends
from degradation and recombination. Critically shortened telomeres generate
genomic instability. It has been postulated that the extent of telomere DNA loss
is related to the degree of genomic instability within a tumor and therefore may
presage clinical outcome. The objective of this investigation was to evaluate the
hypothesis that telomere DNA content (TC) in breast tumor tissues predicts breast
cancer-free survival interval. MATERIALS AND METHODS: Slot blot titration assay
was used to quantitate TC in 530 archival breast tumor tissues in a population
based cohort. The relationships between TC, 12 risk factors for breast cancer
adverse events (i.e., death due to breast cancer, breast cancer recurrence, or
development of a new primary breast tumor), and breast cancer-free survival
interval were evaluated by Fisher's exact test, log-rank analysis, and univariate
and multivariate Cox proportional hazards models. RESULTS: TC was independent of
each of the 12 risk factors. Ethnicity, tumor-node-metastasis stage, estrogen
receptor, progesterone receptor, and p53 status, chemotherapy sequence, adjuvant
therapy, and TC each conferred significant relative hazards. The best overall
multivariate Cox proportional hazards model included TC, p53 status, tumor-node
metastasis stage, and estrogen receptor status as independent predictors of
breast cancer-free survival interval (P < 0.00005). Low TC (< or =200% of
standard), relative to the high-TC group (>200% of standard), conferred an
adjusted relative hazard of 2.88 (95% confidence interval, 1.16-7.15; P = 0.022)
for breast cancer-related adverse events. CONCLUSIONS: TC in breast cancer tissue
is an independent predictor in this group of breast cancer-free survival
interval.
PMID- 18056181
TI - NOXA and PUMA expression add to clinical markers in predicting biochemical
recurrence of prostate cancer patients in a survival tree model.
AB - PURPOSE: To assess the expression of proapoptotic NOXA and PUMA in prostate
tissues and delineate their association with prostate cancer (PCa) recurrence.
EXPERIMENTAL DESIGN: Normal, prostatic intraepithelial neoplasia (PIN), hormone
sensitive (HS) PCa, and hormone-refractory (HR) PCa tissues were used to build
tissue microarrays encompassing a total of 135 patients. Two observers assessed
the intensity of NOXA and PUMA immunohistochemical staining using a composite
color scale. One hundred and eighty recursive partitioning and regression tree
(RPART) models were generated to predict biochemical recurrence (BCR) within HS
cancer patients using NOXA, PUMA, and clinical parameters. Models were then
ranked according to the integrated Brier score (IBS). RESULTS: Increasing NOXA
expression was associated with PCa progression, reaching the highest levels in HR
PCa. Increased NOXA expression was observed in 68% of HS cancer patients and was
predictive of BCR (LR = 8.64; P = 0.003). In contrast, PUMA expression was
highest in HS cancer, and although 70% of HS cancer patients exhibited increased
PUMA expression, PUMA alone could not predict the onset of BCR. Interestingly,
the top-ranking RPART model generated [IBS = 0.107; 95% confidence interval (95%
CI), 0.065-0.128] included surgical margin status and NOXA and PUMA expression,
although recurrent prognostic classification schemes obtained in the top 10
models favored a survival tree model containing margin status, NOXA expression,
and preoperative prostate-specific antigen (PSA) (IBS = 0.114; 95% CI, 0.069
0.142). CONCLUSION: We conclude that NOXA and PUMA expression may be linked to
PCa progression and propose further validation of a survival tree model including
surgical margin status, NOXA expression, and preoperative PSA for predicting BCR.
PMID- 18056182
TI - Circulating tumor cell number and prognosis in progressive castration-resistant
prostate cancer.
AB - PURPOSE: The development of tumor-specific markers to select targeted therapies
and to assess clinical outcome remains a significant area of unmet need. We
evaluated the association of baseline circulating tumor cell (CTC) number with
clinical characteristics and survival in patients with castrate metastatic
disease considered for different hormonal and cytotoxic therapies. EXPERIMENTAL
DESIGN: CTC were isolated by immunomagnetic capture from 7.5-mL samples of blood
from 120 patients with progressive clinical castrate metastatic disease. We
estimated the probability of survival over time by the Kaplan-Meier method. The
concordance probability estimate was used to gauge the discriminatory strength of
the informative prognostic factors. RESULTS: Sixty-nine (57%) patients had five
or more CTC whereas 30 (25%) had two cells or less. Higher CTC numbers were
observed in patients with bone metastases relative to those with soft tissue
disease and in patients who had received prior cytotoxic chemotherapy relative to
those who had not. CTC counts were modestly correlated to measurements of tumor
burden such as prostate-specific antigen and bone scan index, reflecting the
percentage of boney skeleton involved with tumor. Baseline CTC number was
strongly associated with survival, without a threshold effect, which increased
further when baseline prostate-specific antigen and albumin were included.
CONCLUSIONS: Baseline CTC was predictive of survival, with no threshold effect.
The shedding of cells into the circulation represents an intrinsic property of
the tumor, distinct from extent of disease, and provides unique information
relative to prognosis.
PMID- 18056183
TI - Sequential influences of leukemia-specific and genetic factors on p-glycoprotein
expression in blasts from 817 patients entered into the National Cancer Research
Network acute myeloid leukemia 14 and 15 trials.
AB - PURPOSE: P-glycoprotein (Pgp) is a major prognostic factor for chemotherapy
failure in acute myeloid leukemia (AML). This study compared the influence of
genetic and leukemia-specific factors on Pgp. EXPERIMENTAL DESIGN: Eight hundred
and seventeen samples were studied prospectively for Pgp protein expression and
function and G1199A, G2677T, and C3435T polymorphisms in the encoding gene ABCB1.
RESULTS: Age, low WBC count, high bcl-2, secondary AML and myelodysplastic
syndrome, and adverse cytogenetics all correlated strongly with high Pgp (MRK16)
protein expression. However, ABCB1 3435TT homozygosity was negatively correlated
with Pgp. Pgp protein is only expressed in 41% of samples such that the negative
effect of the polymorphism was not seen at baseline Pgp levels but was marked in
the upper 41% of samples (MRK16 Deltamean fluorescence intensity of 75th centile
sample = 9 units for TT variant samples and 26 units for CC/CT; P = 0.003).
However, no association was found between genetic factors and Pgp function using
rhodamine 123 accumulation. CONCLUSIONS: The genetic polymorphism 3435TT (which
results in unstable mRNA) has a significant effect on Pgp expression, but this is
only seen in approximately 40% of cases in which mRNA and protein are detectable.
Moreover, leukemia-specific factors, such as low WBC count and poor risk
cytogenetics, have a much greater effect than genetic polymorphisms on Pgp
expression in AML blasts.
PMID- 18056184
TI - High-risk human papillomavirus E7 oncoprotein detection in cervical squamous cell
carcinoma.
AB - PURPOSE: Persistent infections by high-risk human papillomavirus (HPV) types are
the main etiologic factor for cervical cancer. The objective of this study was to
evaluate whether high-risk E7 oncoprotein is adequate as a marker for the
detection of cervical cancer. EXPERIMENTAL DESIGN: HPV typing was done in
biopsies from 58 cervical carcinoma and 22 normal cervical squamous epithelia.
The HPV-16 E7, HPV-18 E7, and HPV-45 E7 oncoprotein levels were monitored by
immunohistochemistry and compared with those of p16(INK4a) and Ki67. RESULTS:
Fifty-five (94.8%) tumors were high-risk HPV-DNA-positive (46 HPV-16, 2 HPV-16
and HPV-18, 4 HPV-18, 1 HPV-33, and 2 HPV-45). HPV-DNA could not be detected in
three tumors (5.2%). High HPV E7 oncoprotein levels were shown in 57 cervical
cancers (98.3%), without correlation between expression levels and tumor stages.
CONCLUSION: This is the first study which systematically analyzes the levels of
the major HPV oncoproteins in cervical carcinomas demonstrating that the high
risk HPV E7 proteins are regularly expressed in these cancers. This suggests that
high-risk E7 oncoproteins are necessary for cervical cancers and apparently
essential as tumor marker.
PMID- 18056185
TI - Benefit of complete response in multiple myeloma limited to high-risk subgroup
identified by gene expression profiling.
AB - EXPERIMENTAL DESIGN: To determine whether the clinical benefit of complete
remission (CR) may depend on prognostic subgroups of patients with multiple
myeloma. PATIENTS AND METHODS: Newly diagnosed patients with myeloma received a
tandem autotransplant regimen. Using multivariate regression analyses, we
examined the prognostic implications of time-dependent onset of CR on overall
survival and event-free survival in the context of standard prognostic factors
(SPF) and gene expression profiling-derived data available for 326 patients.
RESULTS: CR benefited patients regardless of risk status when only SPFs were
examined. With knowledge of gene array data, a survival (and event-free survival)
benefit of CR only pertained to the small high-risk subgroup of 13% of patients
(hazard ratio, 0.23; P = 0.001), whereas the majority of patients with low-risk
disease had similar survival expectations whether or not CR was achieved (hazard
ratio, 0.68; P = 0.128). CONCLUSIONS: Access to gene expression information
permitted the recognition of a small very high-risk subgroup of 13% of patients,
in whom prolonged survival critically depended on achieving CR. Absence of such
benefit in the remainder should lead to a reassessment of clinical trial designs
that rely on this end point as a surrogate for long-term prognosis.
PMID- 18056186
TI - BCR-ABL messenger RNA levels continue to decline in patients with chronic phase
chronic myeloid leukemia treated with imatinib for more than 5 years and
approximately half of all first-line treated patients have stable undetectable
BCR-ABL using strict sensitivity criteria.
AB - PURPOSE: In the first years of imatinib treatment, BCR-ABL remained detectable in
all but a small minority of patients with chronic myeloid leukemia. We determined
whether BCR-ABL continues to decline with longer imatinib exposure and the
incidence and consequence of undetectable BCR-ABL. EXPERIMENTAL DESIGN: BCR-ABL
levels were measured in a subset of 53 imatinib-treated IRIS trial patients for
up to 7 years (29 first-line, 24 second-line). Levels were deemed undetectable
using strict PCR sensitivity criteria. RESULTS: By 18 months, the majority
achieved a 3-log reduction [major molecular response (MMR)]. BCR-ABL continued to
decline but at a slower rate (median time to 4-log reduction and undetectable BCR
ABL of 45 and 66 months for first-line). The probability of undetectable BCR-ABL
increased considerably from 36 to 81 months of first-line imatinib {7% [95%
confidence interval (95% CI), 0-17%] versus 52% (95% CI, 32-72%)}. Undetectable
BCR-ABL was achieved in 18 of 53 patients and none of these 18 lost MMR after a
median follow-up of 33 months. Conversely, MMR was lost in 6 of 22 (27%) patients
with sustained detectable BCR-ABL and was associated with BCR-ABL mutations in 3
of 6. Loss of MMR was recently defined as suboptimal imatinib response. There was
no difference in the probability of achieving molecular responses between first-
and second-line patients but first-line had a significantly higher probability of
maintaining MMR [P = 0.03; 96% (95% CI, 88-100%) versus 71% (95% CI, 48-93%)].
CONCLUSIONS: With prolonged therapy, BCR-ABL continued to decline in most
patients and undetectable BCR-ABL was no longer a rare event. Loss of MMR was
only observed in patients with sustained detectable BCR-ABL.
PMID- 18056187
TI - Pilot study of neoadjuvant treatment with erlotinib in nonmetastatic head and
neck squamous cell carcinoma.
AB - PURPOSE: To determine the safety and efficacy of erlotinib given as neoadjuvant
treatment in patients with head and neck squamous cell carcinoma (HNSCC). Further
objectives were to identify markers of response to erlotinib and to assess the
pharmacodynamic effects of erlotinib in tumor cells. EXPERIMENTAL DESIGN:
Patients with locally advanced nonmetastatic HNSCC were treated with erlotinib
150 mg daily pending surgical management. Tumor samples were collected before and
after erlotinib treatment and were analyzed using immunohistochemistry. Epidermal
growth factor receptor copy number was determined in tumors using CISH analysis.
RESULTS: Between November 2003 and December 2005, 35 patients were included in
the study. Neoadjuvant treatment with erlotinib in HNSCC patients was well
tolerated and did not necessitate modification to routine surgical procedures.
Among 31 evaluable patients, erlotinib was given for a median of 20 days. At the
time of surgery, tumor shrinkage was observed in nine patients (29%).
Immunohistochemistry analyses were done for 31 patients and showed a decrease in
phosphorylated tyrosine residues and phosphorylated erk immunostaining after
erlotinib treatment. In a retrospective analysis, baseline p21(waf) expression in
the basal-like cell layer was statistically positively correlated with clinical
response to treatment. Epidermal growth factor receptor copy number did not
correlate with response to erlotinib. CONCLUSION: Neoadjuvant treatment of HNSCC
with erlotinib was well tolerated. Baseline p21(waf) expression was associated
with response to erlotinib and so might be useful as a tool to select patients
for erlotinib therapy in this setting.
PMID- 18056188
TI - Glucocorticoids in the treatment of children with acute lymphoblastic leukemia
and hodgkin's disease: a pilot study on the adverse psychological reactions and
possible associations with neurobiological, endocrine, and genetic markers.
AB - PURPOSE: We did a controlled study to assess adverse psychological reactions
(APR) associated with high-dose glucocorticoid therapy and tried to detect
somatic correlates for the observed reactions. PATIENTS AND METHODS: Our study
included 37 patients with acute lymphoblastic leukemia (ALL) and 11 patients with
Morbus Hodgkin (MH) disease, who were treated with high-dose glucocorticoid
therapy, and 26 control patients with other types of malignancies. APRs were
assessed with a standardized measure via parent-report. Patients with ALL and MH
were further analyzed for signs of neuronal cell death in the cerebrospinal
fluid, polymorphisms of the glucocorticoid receptor gene, as well as cortisol,
adrenocorticorticotropic hormone, and dehydroepiandrosterone sulfate blood
levels. RESULTS: Fifty-four percent of ALL, 36% of MH, and 23% of control
patients developed APR in the first few weeks of therapy. Approximately 3.5
months later, the majority of patients with ALL showed no APR, similar to control
patients. Patients demonstrating a higher, nonsuppressible secretion of cortisol
and/or adrenocorticorticotropic hormone during glucocorticoid therapy were found
to be more likely to develop APR. No sign of neuronal cell destruction and no
correlation of APR with specific glucocorticoid receptor polymorphisms were
found. CONCLUSION: Our results suggest that the development of APR due to
glucocorticoid therapy is measurable and correlates with hormonal reaction
patterns.
PMID- 18056189
TI - A phase I trial of lenalidomide in patients with recurrent primary central
nervous system tumors.
AB - PURPOSE: Inhibition of angiogenesis represents a promising new therapeutic
strategy for treating primary malignant brain tumors. Lenalidomide, a potent
analogue of the antiangiogenic agent thalidomide, has shown significant activity
in several hematologic malignancies, and therefore we chose to explore its
tolerability and activity in patients with primary central nervous system tumors.
EXPERIMENTAL DESIGN: A phase I interpatient dose escalation trial of lenalidomide
in patients with recurrent primary central nervous system tumors was conducted.
RESULTS: Thirty-six patients were accrued to the study, of which 28 were
evaluable for toxicity, the primary end point of the trial. We show that
lenalidomide can be given safely up to doses of 20 mg/m(2), with the only
toxicity being a probable increased risk of thromboembolic disease.
Pharmacokinetic studies reveal good bioavailability, linear kinetics, and no
effects of enzyme-inducing antiepileptic drugs on the metabolism of lenalidomide.
No objective radiographic responses were seen in any of the treated patients. In
the group of 24 patients with recurrent glioblastoma, the median time to tumor
progression was <2 months and only 12.5% of patients were progression-free at 6
months. CONCLUSION: Lenalidomide is well tolerated in patients with recurrent
glioma in doses up to 20 mg/m(2). Treatment may be associated with an increased
risk of thromboembolic disease. Preliminary data suggest that single agent
activity may be limited in patients with recurrent glioblastoma at the doses
evaluated although larger studies will be needed to confirm these observations.
PMID- 18056190
TI - Negative effect of DNA hypermethylation on the outcome of intensive chemotherapy
in older patients with high-risk myelodysplastic syndromes and acute myeloid
leukemia following myelodysplastic syndrome.
AB - PURPOSE: Promoter hypermethylation of, for example, tumor-suppressor genes, is
considered to be an important step in cancerogenesis and a negative risk factor
for survival in patients with myelodysplastic syndromes (MDS); however, its role
for response to therapy has not been determined. This study was designed to
assess the effect of methylation status on the outcome of conventional induction
chemotherapy. EXPERIMENTAL DESIGN: Sixty patients with high-risk MDS or acute
myeloid leukemia following MDS were treated with standard doses of daunorubicin
and 1-beta-d-arabinofuranosylcytosine. Standard prognostic variables and
methylation status of the P15(ink4b) (P15), E-cadherin (CDH), and hypermethylated
in cancer 1 (HIC) genes were analyzed before treatment. RESULTS: Forty percent of
the patients achieved complete remission (CR). CR rate was lower in patients with
high WBC counts (P = 0.03) and high CD34 expression on bone marrow cells (P =
0.02). Whereas P15 status alone was not significantly associated with CR rate (P
= 0.25), no patient with hypermethylation of all three genes achieved CR (P =
0.03). Moreover, patients with CDH methylation showed a significantly lower CR
rate (P = 0.008), and CDH methylation retained its prognostic value also in the
multivariate analysis. Hypermethylation was associated with increased CD34
expression, but not with other known predictive factors for response, such as
cytogenetic profile. CONCLUSIONS: We show for the first time a significant effect
of methylation status on the outcome of conventional chemotherapy in high-risk
MDS and acute myelogenous leukemia following MDS. Provided confirmed in an
independent study, our results should be used as a basis for therapeutic decision
making in this patient group.
PMID- 18056191
TI - Phase I evaluation of CDP791, a PEGylated di-Fab' conjugate that binds vascular
endothelial growth factor receptor 2.
AB - PURPOSE: Specific blocking of vascular endothelial growth factor receptor 2
(VEGFR-2) is a novel therapeutic approach. Here, we report the first phase I
clinical trial evaluation of CDP791, a PEGylated di-Fab' conjugate that binds
VEGFR-2. EXPERIMENTAL DESIGN: Cohorts of patients received CDP791 at doses
between 0.3 and 30 mg/kg every 3 weeks for the initial two doses. RESULTS: The
compound was well tolerated with no dose-limiting toxicity. Dose-related
hypertension was observed in patients receiving CDP791 10 mg/kg or more and
several patients on the higher doses developed infusion-related cutaneous
hemangiomata arising 28 to 106 days after the first drug administration and
resolving 3 weeks after cessation. Biopsy and histologic evaluation showed that
CDP791-bound VEGFR-2 is non-phosphorylated, suggesting that the drug is
biologically active. Concentrations of CDP791 considered biologically relevant
were sustained for 3 weeks when doses of 10 mg/kg or more were administered.
Although no reductions in vascular permeability were recorded using dynamic
contrast enhanced magnetic resonance imaging (DCE-MRI), there was a significant
dose level-related reduction in tumor growth. While challenging the recent dogma
that active VEGF inhibitors should modulate DCE-MRI measurements of vascular
permeability, this highlights the potential of serial three-dimensional tumor
measurements to detect tumor growth arrest. Twelve patients received drug for
more than two treatments, although no partial or complete responses were seen.
CONCLUSION: The data show that CDP791 is biologically active and well tolerated,
achieving appropriate plasma concentrations when administered at 10 mg/kg or more
every 3 weeks.
PMID- 18056192
TI - First in human phase I trial of 852A, a novel systemic toll-like receptor 7
agonist, to activate innate immune responses in patients with advanced cancer.
AB - PURPOSE: Recent advances in the understanding of innate immunity suggest that an
orchestrated sequence of events is required to elicit a productive immune
response against cancer. We studied the systemic administration of the Toll-like
receptor 7 agonist 852A, a small-molecule imidazoquinoline, in patients with
advanced cancer. Preclinical studies showed that 852A stimulates plasmacytoid
dendritic cells to produce multiple cytokines, such as IFN-alpha, interleukin-1
receptor antagonist, and IFN-inducible protein-10. Our goal was to define the
tolerated dose, pharmacokinetics, pharmacodynamics, and immunologic effects of
852A in humans. EXPERIMENTAL DESIGN: Eligible adult patients with refractory
solid organ tumors received i.v. 852A thrice weekly for 2 weeks. Patients who had
responses or stable disease were eligible for additional cycles. RESULTS: Twenty
five patients (median age, 55.0 years; 72% male) were enrolled in six cohorts at
dose levels of 0.15 to 2.0 mg/m(2). Serum drug levels showed dose proportionality
and no evidence of drug accumulation. The maximum tolerated dose was 1.2 mg/m(2);
higher doses were limited by fatigue and constitutional symptoms. Increases in
IFN-alpha, interleukin-1 receptor antagonist, and IFN-inducible protein-10,
immunologic activity, and clinical symptoms were observed in all patients
receiving dose levels > or =0.6 mg/m(2). Significant correlations were found
between pharmacodynamic biomarkers and pharmacokinetic variables, and an
objective clinical response was seen. CONCLUSIONS: 852A was safely administered
i.v. at doses up to 1.2 mg/m(2) thrice weekly for 2 weeks with transient or
reversible adverse effects. This novel Toll-like receptor 7 agonist is
biologically active and holds promise for stimulating innate immune responses.
Future trials are warranted to assess its therapeutic role in patients with
cancer.
PMID- 18056193
TI - Lack of association of single-nucleotide polymorphisms in pregnane X receptor,
hepatic nuclear factor 4alpha, and constitutive androstane receptor with
docetaxel pharmacokinetics.
AB - PURPOSE: This study aims to describe a population pharmacokinetic model for
docetaxel in Asian breast cancer patients and to evaluate the effects of single
nucleotide polymorphisms (SNP) in the cytochrome P450 3A (CYP3A) gene expression
regulators, constitutive androstane receptor (CAR), pregnane X receptor (PXR),
and hepatic nuclear factor 4alpha (HNF4alpha), on the pharmacokinetics of
docetaxel. EXPERIMENTAL DESIGN: Docetaxel was given as an i.v. infusion of 75
mg/m(2) over 1 h to 101 female breast cancer patients. CAR, PXR, and HNF4alpha
were comprehensively sequenced. Docetaxel concentrations were measured using a
liquid chromatography/tandem mass spectrometry method and its population
pharmacokinetic variables, and the covariate effects of clearance predictors were
estimated using a nonlinear mixed effects model. RESULTS: Final estimates for
docetaxel clearance was 47.1 L/h/70 kg/1.75 m. Between subject variability in
docetaxel clearance was 22.5%. Covariates that showed significant association
with docetaxel clearance included body size, alpha1 acid glycoprotein and liver
function. SNPs identified in the coding regions of CAR and HNF4alpha and 5'
untranslated region of PXR in this Asian breast cancer cohort did not seem to
improve predictability of docetaxel clearance. CONCLUSIONS: SNPs identified in
CYP3A gene expression regulators CAR, HNF4alpha, and PXR in the Asian female
breast cancer population do not seem to have any significant effect on the
clearance of docetaxel, a CYP3A substrate.
PMID- 18056194
TI - Phase I study of temozolomide and irinotecan for recurrent malignant gliomas in
patients receiving enzyme-inducing antiepileptic drugs: a north american brain
tumor consortium study.
AB - PURPOSE: To determine the maximum tolerated dose of irinotecan when administrated
with temozolomide every 28 days, in patients with recurrent malignant glioma who
were also receiving CYP450 enzyme-inducing antiepileptic drugs (EIAED), and to
characterize the pharmacokinetics of irinotecan and its metabolites. The study
was also intended to assess whether temozolomide affects the conversion of
irinotecan to SN-38. DESIGN: Patients with recurrent malignant glioma received a
fixed dose of temozolomide (150 mg/m(2)) daily for 5 days from days 1 to 5 every
28 days, and an i.v. infusion of irinotecan on days 1 and 15 of each cycle. The
starting dose of irinotecan was 350 mg/m(2), which was escalated to 550 mg/m(2)
in 50-mg/m(2) increments. The plasma pharmacokinetics of irinotecan and its
active metabolite, SN-38, were determined during the infusion of irinotecan on
cycle 1, day 1. RESULTS: Thirty-three patients were enrolled into the study and
treated. Thirty-one patients were evaluable for both tumor response and toxicity
and two patients were evaluable for toxicity only. Common toxicities included
neutropenia and thrombocytopenia, nausea, vomiting, and diarrhea. Dose-limiting
toxicities were grade 3 diarrhea and nausea/vomiting. The maximum tolerated dose
for irinotecan was determined to be 500 mg/m(2). CONCLUSIONS: The recommended
phase II dose of irinotecan in combination with temozolomide for patients
receiving EIAEDs is 500 mg/m(2), administrated every 15 days on a 28-day
schedule. This study also confirmed that concomitant administration of EIAEDs
increases irinotecan clearance and influences SN-38 disposition. No
pharmacokinetic interaction was observed between temozolomide and irinotecan.
PMID- 18056195
TI - Nutritional modulation of antitumor efficacy and diarrhea toxicity related to
irinotecan chemotherapy in rats bearing the ward colon tumor.
AB - PURPOSE: To evaluate and compare the influence of dietary elements on cancer
progression, chemotherapy efficacy, and toxicity, particularly severe, late-onset
diarrhea related to irinotecan (CPT-11) treatment. EXPERIMENTAL DESIGN: We used
laboratory rats fed a standardized basal diet, Ward colon tumor, and CPT-11
therapy for the study of CPT-11-induced diarrhea. Dietary interventions were
selected from nutrients already established to modify other forms of colitis and
which have been hypothesized to mitigate chemotherapy-induced gastrointestinal
injury (glutamine, n-3 fatty acids, prebiotic oligosaccharides). Animals adapted
to test diets were treated with CPT-11 at the maximum tolerated dose (125 mg/kg x
3 days) and diarrhea was followed continuously for 1 week. RESULTS: The inclusion
of n-3 fatty acids in the diet (5%, w/w of total fat) suppressed tumor growth and
enhanced CPT-11's efficacy; this treatment did not affect the incidence or
severity of diarrhea. By contrast, oral glutamine bolus (0.75 g/kg) administered
prior to each CPT-11 treatment reduced the incidence of severe diarrhea (34.1 +/-
4.7% versus 53.8 +/- 4.2%, P < 0.005) and decreased the area under the curve of
diarrhea score (16.5 +/- 1.0 versus 18.8 +/- 0.5, P < 0.05). Identical results
were obtained with i.v. bolus glutamine administration. Glutamine treatment did
not alter CPT-11's antitumor efficacy. The addition of prebiotic oligosaccharides
to the diet (8%, w/w of diet) did not mitigate the severity of diarrhea, and it
raised the activity of beta-glucuronidase in cecal contents, a key bacterial
enzyme mediating CPT-11-related intestinal toxicity. CONCLUSION: Our experiments
suggest that glutamine and n-3 fatty acids might be potentially useful adjuncts
to CPT-11 treatment.
PMID- 18056196
TI - Combination of measles virus virotherapy and radiation therapy has synergistic
activity in the treatment of glioblastoma multiforme.
AB - PURPOSE: Glioblastoma multiforme is the most frequent primary brain tumor in
adults and represents one of the most lethal malignancies with a median survival
of 12-16 months. We have previously shown that an oncolytic measles virus
derivative expressing soluble human carcinoembryonic antigen (MV-CEA) has
significant antitumor activity against glioblastoma multiforme cell lines and
xenografts. Radiation therapy (RT) represents one of the mainstays of glioma
treatment. Here we tested the hypothesis that the combination of RT with MV-CEA
would have synergistic activity against gliomas. EXPERIMENTAL DESIGN: 3-(4,5
Dimethyl-thiazol-2yl)-5-(3-carboxymethoxyphenyl)-2-(4-sulfophenyl)-2H-tetrazolium
(MTS) and clonogenic assays were used to test cytoxicity of the combination
treatment in vivo. To examine the mechanism of synergy, one-step viral growth
curves, terminal deoxyribonucleotidyl transferase-mediated dUTP nick end labeling
(TUNEL) assays, and Western blot analyses were performed. In vivo assessment of
synergistic antitumor activity was conducted in a U87 glioma model. RESULTS: MTS
and clonogenic assays showed a strong synergistic interaction between MV-CEA and
RT in glioblastoma multiforme cells including both primary and established glioma
lines. Furthermore, significant antitumor efficacy was observed in vivo in a
subcuteneous U87 xenograph model. There was significant prolongation of survival
(P = 0.001) in the combination treatment group as compared with single modality-
or control-treated animals. One-step viral growth curves showed increased viral
burst size by up to 2 log in MV/RT combination-treated cells, as compared with
single agent MV-CEA-treated glioma cells. Changes in CEA levels and expression of
viral N and H protein were also consistent with increased viral production.
Furthermore, TUNEL assays and Western blot analysis showed increase in apoptosis
in MV/RT combination-treated cells. The pan-caspase inhibitor Z-VAD-FMK and the
caspase-8 inhibitor Z-IETD-FMK, but not the caspase-9 inhibitor Z-IEHD-FMK,
protected glioma cells from MV-CEA/RT-induced cleavage of poly(ADP-ribose)
polymerase (PARP), indicating that the apoptotic death in combination-treated
cells is mostly mediated via the extrinsic caspase pathway. The Fas/Fas ligand
interaction blocking antibody NOK-1 blocked MV/RT-induced PARP cleavage whereas
the Fas agonistic antibody CH11 increased PARP cleavage in MV/RT combination
treated cells. Reverse transcription-PCR, fluorescence-activated cell sorting
analysis and immunohistochemistry showed up-regulation of Fas in combination
treated tumor in vitro and in vivo cells. CONCLUSIONS: There is synergy between
MV-CEA and RT in vitro and in vivo. The synergistic effect of the combination
seems to be due to increase in viral burst size and increase in apoptotic cell
death. This latter effect is mostly mediated via the extrinsic caspase-8 pathway,
activated via increased signaling through the Fas death receptor pathway. These
results could have translational implications in glioma therapy.
PMID- 18056197
TI - Anti-mesothelin immunotoxin SS1P in combination with gemcitabine results in
increased activity against mesothelin-expressing tumor xenografts.
AB - PURPOSE: To determine the antitumor activity of the anti-mesothelin immunotoxin
SS1P in combination with gemcitabine against mesothelin-expressing tumor
xenografts. EXPERIMENTAL DESIGN: The in vitro activity of SS1P in combination
with gemcitabine against the mesothelin-expressing cell line A431/K5 was
evaluated using cytotoxicity and apoptosis assays. The antitumor activity of this
combination was evaluated in nude mice bearing A431/K5 tumor xenografts. Tumor
bearing mice were treated with different doses and schedules of gemcitabine
alone, SS1P alone (0.2 mg/kg i.v. every other day x three doses), or with both
agents together, and tumor volumes were measured over time. RESULTS: In vitro
studies failed to show the synergy of SS1P plus gemcitabine against the
mesothelin-expressing A431/K5 cells. In contrast, in the in vivo setting, there
was a marked synergy when SS1P was combined with gemcitabine for the treatment of
mesothelin-expressing tumor xenografts. This synergy was present using different
doses and schedules of gemcitabine administration. In mice treated with
fractionated doses of gemcitabine in combination with SS1P, complete tumor
regression was observed in all mice and was long-lasting in 60% of the animals.
Also, this antitumor activity was specific to SS1P because HA22, an immunotoxin
targeting CD22 not expressed on A431/K5 cells, did not increase the efficacy of
gemcitabine. CONCLUSIONS: SS1P in combination with gemcitabine results in marked
antitumor activity against mesothelin-expressing tumors. This combination could
be potentially useful for the treatment of human cancers that express mesothelin
and are responsive to gemcitabine therapy.
PMID- 18056198
TI - CD8+ T cells induce complete regression of advanced ovarian cancers by an
interleukin (IL)-2/IL-15 dependent mechanism.
AB - PURPOSE: In vitro studies suggest that ovarian cancer evades immune rejection by
fostering an immunosuppressive environment within the peritoneum; however, the
functional responses of ovarian cancer-specific T cells have not been directly
investigated in vivo. Therefore, we developed a new murine model to enable
tracking of tumor-specific CD8(+) T-cell responses to advanced ovarian tumors.
EXPERIMENTAL DESIGN: The ovarian tumor cell line ID8 was transfected to stably
express an epitope-tagged version of HER-2/neu (designated Neu(OT-I/OT-II)).
After i.p. injection into C57BL/6 mice, ID8 cells expressing Neu(OT-I/OT-II) gave
rise to disseminated serous adenocarcinomas with extensive ascites. CD8(+) T
cells expressing a transgenic T-cell receptor specific for the OT-I epitope of
Neu(OT-I/OT-II) were adoptively transferred into tumor-bearing mice, and
functional responses were monitored. Cytokine signaling requirements were
evaluated by comparing the responses of wild-type donor T cells with those with
genetic deletion of the interleukin (IL)-2/IL-15 receptor beta subunit (CD122) or
the IL-2 receptor alpha subunit (CD25). RESULTS: On adoptive transfer into tumor
bearing hosts, wild-type OT-I T cells underwent a striking proliferative
response, reaching peak densities of approximately 40% and approximately 90% of
CD8(+) T cells in peripheral blood and ascites, respectively. OT-I cells
infiltrated and destroyed tumor tissue, and ascites completely resolved within 10
days. By contrast, CD122(-/-) OT-I cells and CD25(-/-) OT-I cells proliferated in
blood but failed to accumulate in ascites or tumor tissue or induce tumor
regression. CONCLUSIONS: Contrary to expectation, advanced ovarian cancers can
support extraordinary CD8(+) T-cell proliferation and antitumor activity through
an IL-2/IL-15-dependent mechanism.
PMID- 18056199
TI - Low-dose 12-O-tetradecanoylphorbol-13-acetate enhances tumor necrosis factor
related apoptosis-inducing ligand induced apoptosis in prostate cancer cells.
AB - PURPOSE: Previously, we have shown that c-Fos/activator protein-1 (AP-1) promotes
tumor necrosis factor (TNF)-related apoptosis-inducing ligand (TRAIL)-induced
apoptosis by repressing the antiapoptotic molecule c-FLIP(L). In this study, we
investigated whether synthetic induction of c-Fos/AP-1 by 12-O
tetradecanoylphorbol-13-acetate (TPA) converts the phenotype of TRAIL-resistant
prostate cancer cells to a TRAIL-sensitive phenotype in vitro and in vivo.
EXPERIMENTAL DESIGN: Low-dose TPA was used to determine whether LNCaP prostate
cancer cells could be converted to a TRAIL-sensitive phenotype in in vitro and in
vivo studies. We also assessed whether TPA enhancement of TRAIL-induced apoptosis
varies between androgen-sensitive and androgen-insensitive prostate cancer cells
and evaluated the role of TRAIL receptors, DR4 and DR5, in TPA-enhanced TRAIL
induced apoptosis. RESULTS: We show that the combination of TRAIL with low-dose
TPA has no effect on nonmalignant prostate epithelial cells; however, TPA up
regulates most AP-1 proteins and AP-1 activity, reduces c-FLIP(L), and
potentiates TRAIL-induced apoptosis. We show that the combination of TPA + TRAIL
is effective in promoting apoptosis in both hormone-sensitive LNCaP and hormone
insensitive LNCaP-C4-2 prostate cancer cells. Although TPA enhances the TRAIL
receptor 1 (DR4) level, sensitization of prostate cancer cells seems to be more
dependent on TRAIL-receptor 2 (DR5) than TRAIL-receptor 1 levels. In vivo
xenograft experiments suggest that TPA elevates the expression of c-Fos and
reduces c-FLIP(L). Combination of TPA with TRAIL-receptor 2 agonist antibody,
lexatumumab, effectively increases apoptosis and reduces LNCaP xenograft tumor
burden. CONCLUSIONS: TPA, when combined with the proapoptotic agent TRAIL, is
effective in changing the phenotype of some TRAIL-resistant prostate cancer cells
to a TRAIL-sensitive phenotype.
PMID- 18056200
TI - The Bcl-2/Bcl-XL family inhibitor ABT-737 sensitizes ovarian cancer cells to
carboplatin.
AB - PURPOSE: The effective treatment of ovarian cancer is hampered by the development
of drug resistance, which may be mediated by members of the Bcl-2 family of
apoptosis regulators. ABT-737 is a recently described inhibitor of members of
this family. We investigated whether this compound could sensitize ovarian cancer
cells to chemotherapeutic agents. EXPERIMENTAL DESIGN: The sensitivity of ovarian
cancer cell lines to ABT-737 in combination with either carboplatin or paclitaxel
was tested either in vitro by assessing cell growth/survival and apoptosis or in
xenograft studies. RESULTS: As a single agent, ABT-737 inhibited the growth of
eight ovarian cancer cell lines, although with relatively poor potency. However,
ABT-737, but not a less active enantiomer, increased the sensitivity of several
cell lines to carboplatin. The increased sensitivity to carboplatin was
accompanied by a decrease in time at which apoptosis was observed when assessed
according to the number of attached cells, PARP cleavage, and nucleosome
formation. ABT-737 was more effective at sensitizing IGROV-1 cells when ABT-737
was administered after carboplatin. In addition, ABT-737 significantly enhanced
the activity of carboplatin in one of three primary cultures derived directly
from ascitic tumor cells in patients recently treated with chemotherapy. Small
interfering RNA directed to Bcl-X(L) also increased the sensitivity of ovarian
cancer cell lines to carboplatin. ABT-737 was also able to augment the inhibition
of IGROV-1 tumor xenograft growth beyond that obtained with carboplatin alone.
CONCLUSIONS: These data suggest that ABT-737, in combination with carboplatin,
may find utility in the treatment of patients with ovarian cancer.
PMID- 18056201
TI - Alteration of cellular and humoral immunity by mutant p53 protein and processed
mutant peptide in head and neck cancer.
AB - PURPOSE: To determine if serologic recognition of p53 mutations at the protein
level depends upon the ability of mutant p53 to express new peptide epitopes that
bind to human leukocyte antigen (HLA) class II molecules, we used anti-p53
antibody production as a marker for HLA class II-restricted T-cell involvement in
head and neck cancer. EXPERIMENTAL DESIGN: An anti-p53 antibody response was
correlated with specific p53 mutations and the patients' HLA class II alleles and
haplotypes. HLA binding studies and in vitro stimulation (IVS) of peripheral
blood mononuclear cells were done using a mutant versus wild-type HLA-DQ7-binding
p53 peptide. RESULTS: Certain HLA-DQ and HLA-DR alleles were frequently present
in p53 seropositive patients who produced serum anti-p53 antibodies. Selected
mutated p53 peptides fit published allele-specific HLA class II binding motifs
for the HLA-DQ7 or HLA-DR1 molecules. Moreover, a mutant p53 peptide bound with a
10-fold greater affinity than the wild-type p53 peptide to HLA-DQ7 molecules. IVS
of CD4(+) T cells from seven healthy HLA-DQ7(+) donors using this mutant p53
peptide (p53(220C)) was associated with a partial T helper type 2 phenotype
compared with IVS using the wild-type p53(210-223) peptide. CONCLUSIONS: Our
results support the hypothesis that mutated p53 neoantigens can bind to specific
HLA class II molecules, leading to a break in tolerance. This may lead to skewing
of the CD4(+) T lymphocyte response toward a tumor-permissive T helper type 2
profile in head and neck cancer patients, as manifested by seropositivity for
p53.
PMID- 18056202
TI - Glutathione S-transferase T1 and M1: gene sequence variation and functional
genomics.
AB - PURPOSE: The glutathione S-transferases (GSTs) catalyze the glutathione
conjugation of reactive electrophiles, including carcinogens and many
antineoplastic drugs. GSTT1 and GSTM1 are polymorphically deleted, but the full
range of genetic variation in these two genes has not yet been explored. We set
out to systematically identify common polymorphisms in GSTT1 and GSTM1, followed
by functional genomic studies. EXPERIMENTAL DESIGN: First, multiplex PCR was used
to determine GSTT1 and GSTM1 copy number in 400 DNA samples (100 each from 4
ethnic groups). Exons, splice junctions, and 5'-flanking regions (5'-FR) were
then resequenced using DNA samples that contained at least one copy of GSTT1 or
GSTM1. RESULTS: Gene deletion frequencies among ethnic groups were from 33.5% to
73.5% for GSTT1 and from 50.5% to 78.0% for GSTM1. GSTT1 deletion data correlated
with the results of mRNA microarray expression studies. The 18 single nucleotide
polymorphisms (SNP) observed in GSTT1 included three nonsynonymous coding SNPs
(cSNPs) and one single-nucleotide deletion, whereas the 51 GSTM1 SNPs included
two nonsynonymous cSNPs. Two of the GSTT1 nonsynonymous cSNPs resulted in
decreases in levels of immunoreactive protein to 56% and 12% of wild type (WT),
whereas those in GSTM1 resulted in modest increases in protein levels. Reporter
gene assays showed that one GSTT1 5'-FR haplotype, with a frequency of 32% in
African-American subjects, resulted in an increase in transcription in JEG-3
cells to 351% of that for the WT sequence, and one GSTM1 5'-FR haplotype resulted
in an increase in transcription in JEG-3 cells to 129% of WT. CONCLUSIONS: These
observations suggest that functionally significant pharmacogenomic variation
beyond GSTT1 and GSTM1 gene deletion may contribute to carcinogenesis or
individual variation in antineoplastic drug therapy response.
PMID- 18056203
TI - Plasma, tumor, and tissue disposition of STEALTH liposomal CKD-602 (S-CKD602) and
nonliposomal CKD-602 in mice bearing A375 human melanoma xenografts.
AB - PURPOSE: S-CKD602 is a STEALTH liposomal formulation of CKD-602, a camptothecin
analogue. The cytotoxicity of camptothecin analogues is related to the duration
of exposure in the tumor. STEALTH liposomal formulations contain lipid conjugated
to methoxypolyethylene glycol and have been designed to prolong drug circulation
time, increase tumor delivery, and improve the therapeutic index. For STEALTH
liposomal formulations of anticancer agents to achieve antitumor effects, the
active drug must be released into the tumor extracellular fluid (ECF).
EXPERIMENTAL DESIGN: S-CKD602 at 1 mg/kg or nonliposomal CKD-602 at 30 mg/kg was
administered once via tail vein to mice bearing A375 human melanoma xenografts.
Mice (n = 3 per time point) were euthanized at 0.083 to 24 h, 48 h, and 72 h
after S-CKD02 and from 0.083 to 24 h after nonliposomal CKD-602. Plasma samples
were processed to measure encapsulated, released, and sum total (encapsulated
plus released) CKD-602, and tumor and tissue samples were processed to measure
sum total CKD-602. Microdialysis samples of tumor ECF were obtained from 0 to 2
h, 4 to 7 h, and 20 to 24 h after nonliposomal CKD-602 and from 0 to 2 h, 24 to
27 h, 48 to 51 h, and 72 to 75 h after S-CKD602. A liquid chromatography-mass
spectrometry assay was used to measure the total (sum of lactone and hydroxyl
acid) CKD-602. The area under the concentration-versus-time curves (AUC) from 0
to infinity and time >1 ng/mL in tumor were estimated. RESULTS: For S-CKD602, the
CKD-602 sum total AUC in plasma and tumor and the CKD-602 AUC in tumor ECF were
201,929, 13,194, and 187 ng/mL h, respectively. For S-CKD602, 82% of CKD-602
remains encapsulated in plasma. For nonliposomal CKD-602, the CKD-602 AUC in
plasma and tumor and the CKD-602 AUC in tumor ECF were 9,117, 11,661, and 639
ng/mL.h, respectively. The duration of time the CKD-602 concentration was >1
ng/mL in tumor ECF after S-CKD602 and nonliposomal CKD-602 was >72 and
approximately 20 h, respectively. For S-CKD602, the CKD-602 sum total exposure
was 1.3-fold higher in fat as compared with muscle. The ratio of CKD-602 sum
total exposure in fat to muscle was 3.8-fold higher after administration of S
CKD602 compared with nonliposomal CKD-602. CONCLUSION: S-CKD602 provides
pharmacokinetic advantages in plasma, tumor, and tumor ECF compared with
nonliposomal CKD-602 at 1/30th of the dose, which is consistent with the improved
antitumor efficacy of S-CKD602 in preclinical studies. The distribution of S
CKD602 is greater in fat compared with muscle whereas the distribution of
nonliposomal CKD-602 is greater in muscle compared with fat. These results
suggest that the body composition of a patient may affect the disposition of S
CKD602 and released CKD-602.
PMID- 18056204
TI - Tomorrow and tomorrow and tomorrow: wait times for multidisciplinary pain clinics
in Canada.
PMID- 18056205
TI - Mechanisms of opioid tolerance: merging evidence and therapeutic implications.
PMID- 18056206
TI - Challenges in accessing multidisciplinary pain treatment facilities in Canada.
AB - PURPOSE: The objective of this survey was to examine the services offered by
multidisciplinary pain treatment facilities (MPTFs) across Canada and to compare
access to care at these MPTFs. METHODS: A MPTF was defined as a clinic that
advertised specialized multidisciplinary services for the diagnosis and
management of patients with chronic pain, having a minimum of three different
health care disciplines (including at least one medical speciality) available and
integrated within the facility. The search method included approaching all
hospital and rehabilitation centre administrators in Canada, the Insurance Bureau
of Canada, the Workplace Safety and Insurance Board or similar body in each
province. Designated investigators were responsible for confirming and
supplementing MPTFs from the preliminary list for each province. Administrative
leads at each eligible MPTF were asked to complete a detailed questionnaire
regarding their MPTF infrastructure, clinical, research, teaching and
administrative activities. RESULTS: Completed survey forms were received from 102
MPTFs (response rate 85%) with 80% concentrated in major cities, and none in
Prince Edward Island and the Territories. The MPTFs offer a wide variety of
treatments including non-pharmacological modalities such as interventional,
physical and psychological therapy. The median wait time for a first appointment
in public MPTFs is six months, which is approximately 12 times longer than non
public MPTFs. Eighteen pain fellowship programs exist in Canadian MPTFs and 64%
engage in some form of research activities CONCLUSION: Canadian MPTFs are unable
to meet clinical demands of patients suffering from chronic pain, both in terms
of regional accessibility and reasonable wait time for patients' first
appointment.
PMID- 18056207
TI - Dedicated multidisciplinary pain management centres for children in Canada: the
current status.
AB - PURPOSE: The objective of this study was to examine the services currently
offered by multidisciplinary pain treatment facilities (MPTFs) dedicated for
pediatric chronic pain management across Canada. METHODS: A MPTF was defined as a
clinic that advertised specialized multidisciplinary services for the diagnosis
and management of chronic pain and had a minimum of three different health care
disciplines (including at least one medical speciality) available and integrated
within the facility. The search method was previously described in an
accompanying article. Designated investigators were responsible for confirming
and supplementing MPTFs from the preliminary list in their respective provinces.
Administrative leads at each eligible MPTF were asked to complete a detailed
questionnaire on their infrastructure, clinical, research, teaching and
administrative activities. Only MPTFs dedicated to pediatric populations were
included. RESULTS: Only five centres surveyed had dedicated pediatric MPTFs, all
located in major cities in five different provinces. While the median wait time
was four weeks, it could be as long as nine months in one MPTF. Headache and
neuropathic pain were the most commonly treated pain syndromes. All MPTFs
included physicians, nurses and psychologists, and used a rehabilitation model
that incorporated a wide variety of pharmacological, psychological and physical
therapies. All centres provided training for medical and other healthcare
professionals, and three of the five centres conducted research. Government
funding was the major source of funding for patient services and overhead costs.
CONCLUSIONS: There are very few pediatric MPTFs in Canada. These facilities exist
in five of ten provinces, each within large urban centres. Limited accessibility
leads to variable and prolonged wait times for pediatric patients suffering from
chronic pain.
PMID- 18056208
TI - Simulation performance checklist generation using the Delphi technique.
AB - PURPOSE: Performance assessment using high fidelity simulation is problematic,
due to the difficulty in developing valid and reliable evaluation tools. The
Delphi technique is a consensus based content generation method used for multiple
purposes such as policy development, best-evidence practice guidelines and
competency assessments. The purpose of this study was to develop checklists using
a modified Delphi technique to evaluate the performance of practicing
anesthesiologists managing two simulated scenarios. METHODS: The templates for
two simulation scenarios were emailed to five anesthesiologists who were asked to
generate performance items. Data were collated anonymously and returned. An a
priori decision was made to delete items endorsed by = 20% of participants.
This process of collection, collation and re-evaluation was repeated until
consensus was reached. Four independent raters used the checklist to assess three
subjects managing the two simulation scenarios. Interrater reliability was
assessed using average measures intraclass correlation (ICC) and repeated
measures analysis of variance (ANOVA) was used to assess differences in
difficulty between scenarios. RESULTS: The final checklists included 131 items
for scenario 1 and 126 items for scenario 2. The mean inter-rater reliability was
0.921 for scenario 1 and 0.903 for scenario 2. Repeated measures ANOVA revealed
no statistically significant difference in difficulty between scenarios.
DISCUSSION: The Delphi technique can be very useful to generate consensus based
evaluation tools with high content and face validity compared to subjective
evaluative tools. Since there was no difference in scenario difficulty, these
scenarios can be used to determine the effect of educational interventions on
performance.
PMID- 18056209
TI - MK-801 enhances gabaculine-induced loss of the righting reflex in mice, but not
immobility.
AB - PURPOSE: gamma-Aminobutyric acid (GABA) and N-methyl-D-aspartate (NMDA) receptors
are important targets for anesthetic action at the in vitro cellular level.
Gabaculine is a GABA-trans-aminase inhibitor that increases endogenous GABA in
the brain, and enhances GABA activity. We have recently shown that
unconsciousness is associated with the enhanced GABA activity due to gabaculine,
but that immobility is not. MK-801 is a selective NMDA channel blocker. In this
study, we examined behaviourally whether gabaculine in combination with MK-801
could produce these components of the general anesthetic state. We further
compared the effect of MK-801 with ketamine, another NMDA channel blocker.
METHODS: All drugs were administered intraperitoneally to adult male ddY mice. To
assess the general anesthetic components, two endpoints were used. One was loss
of the righting reflex (LORR; as a measure of unconsciousness) and the other was
loss of movement in response to tail-clamp stimulation (as a measure of
immobility). RESULTS: Large doses of MK-801 alone (10-50 mg.kg(-1)) induced
neither LORR nor immobility in response to noxious stimulation. However, even a
small dose (0.2 mgxkg(-1)) significantly enhanced gabaculine-induced LORR (P <
0.05), although gabaculine in combination with MK-801 (0.2-10 mgxkg(-1)) produced
no immobility. However, gabaculine plus a subanesthetic dose of ketamine (30
mgxkg(-1)), which acts on NMDA, opioid and nicotinic acetylcholine receptors and
neuronal Na(+) channels, suppressed the pain response, but did not achieve a full
effect. Ketamine alone dose-dependently produced both LORR and immobility.
CONCLUSION: These findings suggest that gabaculine-induced LORR is modulated by
blocking NMDA receptors, but that immobility is not mediated through GABA or NMDA
receptors.
PMID- 18056210
TI - Case series: Septa can influence local anesthetic spread during infraclavicular
brachial plexus blocks.
AB - PURPOSE: To ultrasonically identify the presence of septae within the
neurovascular sheath and to assess their effect on local anesthetic spread when
performing infraclavicular brachial plexus blocks. CLINICAL FEATURES: Thirty ASA
status I and II patients scheduled for minor hand surgeries were enrolled in the
study. Ultrasound guided infraclavicular brachial plexus blocks were performed on
28 patients. The images of the local anesthetic spread and the effect of the
septum within the neurovascular sheath were analyzed. Septae were present in four
of six patients where unilateral local anesthetic spread was seen. Septae were
not visualized in the 22 patients with unrestricted local anesthetic spread after
the initial injection. All 28 patients underwent their planned operations
successfully with adequate anesthesia. CONCLUSIONS: Our study shows that the
presence of septae within the neurovascular sheath may influence the pattern of
local anesthetic spread associated with the infraclavicular approach to brachial
plexus blocks.
PMID- 18056211
TI - Case report: transfusion-related acute lung injury (TRALI) - a clear and present
danger.
AB - PURPOSE: To describe a case of transfusion-related acute lung injury (TRALI)
after platelet transfusion immediately following cardiac surgery, and to review
the clinical features, pathophysiology, management, and morbidity and mortality
associated with such an event. CLINICAL FEATURES: A 62-yr-old man was transferred
to our centre for urgent coronary artery bypass grafting in the setting of recent
anti-platelet medication use. Soon after surgery he received platelet
transfusions despite having only moderate blood loss. Shortly following the
platelet transfusion, he suffered acute hypoxic and hypotensive decompensation
requiring nitric oxide therapy, inotropic support, and prolonged need for
mechanical ventilation. The patient was eventually discharged from the intensive
care unit nine days following the event. The diagnosis of TRALI was made by
clinical and radiographic criteria. CONCLUSION: Transfusion-related acute lung
injury is now the leading cause of transfusion-related fatalities. Early
diagnosis of TRALI is important and these reactions should be reported to the
blood transfusion service so that appropriate action can be taken to prevent
future morbidity and mortality in other patients. To reduce serious transfusion
reactions, inappropriate transfusions must be minimized and the decision to
transfuse blood products should be taken with care.
PMID- 18056212
TI - Diastolic rotational flow in the aortic arch.
PMID- 18056213
TI - Left ventricular outflow obstruction in a patient with undiagnosed hypertrophic
obstructive cardiomyopathy.
PMID- 18056214
TI - Anesthetic management of pediatric adenotonsillectomy.
PMID- 18056215
TI - Anesthesiologists and the pharmaceutical industry: awareness is paramount.
PMID- 18056216
TI - Interference of bispectral index monitoring with intraoperative use of the
electromyograph endotracheal tube.
PMID- 18056217
TI - A call to Mallinckrodt for a modified right-sided Broncho-Cath double lumen tube.
PMID- 18056218
TI - Laryngeal mask airways: proprietary issues.
PMID- 18056219
TI - Verification of vocal cord function using the Pentax-AirwayScope.
PMID- 18056220
TI - Recurrent postoperative deep vein thrombosis in a patient with obstructive sleep
apnea and malignant hyperthermia susceptibility.
PMID- 18056222
TI - An event-related brain potential study of direct and indirect semantic priming in
schizophrenia.
AB - OBJECTIVE: Following a meaningful prime stimulus, schizophrenia patients have
been hypothesized to exhibit impaired neurophysiological activation of related
concepts in general, and/or supranormal activation of weakly related concepts in
particular, within semantic memory. The former abnormality may occur at longer
intervals, and the latter at shorter intervals, after the prime. The authors
tested these hypotheses using the N400 event-related brain potential as a probe
of activation of concepts in semantic memory. METHOD: Event-related potentials
were recorded in 16 schizophrenia patients and 16 normal comparison subjects who
viewed prime words, each followed by a target that was a directly (strongly)
related word, indirectly (weakly) related word, unrelated word, or nonword, in a
lexical-decision task. Equal numbers of each target type were presented 300 and
750 msec after the prime. RESULTS: In the comparison subjects, N400 amplitude was
largest (most negative) following unrelated targets, intermediate after
indirectly related targets, and smallest after directly related targets. In
contrast, patients' N400 amplitudes did not differ between these target types,
reflecting larger amplitudes following both directly and indirectly related
targets in patients than in comparison subjects; these findings held regardless
of prime-to-target stimulus-onset asynchrony. Within patients, at the longer
asynchrony, larger N400 amplitudes after directly and indirectly related targets
correlated with positive psychotic symptoms. CONCLUSIONS: The results suggest
hypoactivation of strongly and weakly related concepts following a meaningful
stimulus, regardless of interval, in schizophrenia. An N400 index of this
hypoactivation correlated with severity of delusions, suggesting a role for
abnormal semantic processing in their pathogenesis.
PMID- 18056223
TI - Infections in the CNS during childhood and the risk of subsequent psychotic
illness: a cohort study of more than one million Swedish subjects.
AB - OBJECTIVE: Infections during early life have been suggested to play a role in the
etiology of schizophrenia. Most studies have focused on fetal life; few have
explored risk associated with infection during childhood. The results of these
have been inconsistent. The present study aims to investigate whether there is an
increased risk of schizophrenia and other nonaffective psychoses associated with
viral or bacterial CNS infections during childhood and, if so, which specific
agents are involved. METHOD: A national cohort consisting of 1.2 million children
born between 1973 and 1985 was followed up by using Swedish national registers to
retrieve data on hospital admissions for CNS infections at 0-12 years of age
(bacterial: N=2,435, viral: N=6,550) as well as admissions for nonaffective
psychotic illnesses from the 14th birthday (N=2,269). RESULTS: There was a
slightly increased risk of nonaffective psychotic illness associated with viral
CNS infections, as well as schizophrenia. There was no evidence of increased risk
in relation to bacterial infections. When divided into specific agents, exposures
to mumps virus or cytomegalovirus were associated with subsequent psychoses.
CONCLUSIONS: Serious viral CNS infections during childhood appear to be
associated with the later development of schizophrenia and nonaffective
psychoses. The association with specific viruses suggests that the risk is
related to infectious agents with a propensity to invade the brain parenchyma.
PMID- 18056224
TI - Acute effect of methadone maintenance dose on brain FMRI response to heroin
related cues.
AB - OBJECTIVE: Environmental drug-related cues have been implicated as a cause of
illicit heroin use during methadone maintenance treatment of heroin dependence.
The authors sought to identify the functional neuroanatomy of the brain response
to visual heroin-related stimuli in methadone maintenance patients. METHOD: Event
related functional magnetic resonance imaging was used to compare brain responses
to heroin-related stimuli and matched neutral stimuli in 25 patients in methadone
maintenance treatment. Patients were studied before and after administration of
their regular daily methadone dose. RESULTS: The heightened responses to heroin
related stimuli in the insula, amygdala, and hippocampal complex, but not the
orbitofrontal and ventral anterior cingulate cortices, were acutely reduced after
administration of the daily methadone dose. CONCLUSIONS: The medial prefrontal
cortex and the extended limbic system in methadone maintenance patients with a
history of heroin dependence remains responsive to salient drug cues, which
suggests a continued vulnerability to relapse. Vulnerability may be highest at
the end of the 24-hour interdose interval.
PMID- 18056225
TI - Efficacy of sibutramine for the treatment of binge eating disorder: a randomized
multicenter placebo-controlled double-blind study.
AB - OBJECTIVE: Preliminary evidence suggests that the antiobesity agent sibutramine
is effective in the treatment of binge eating disorder, impacting both binge
eating and weight. This study is the first large-scale, multisite, placebo
controlled trial to test the efficacy of sibutramine in binge eating disorder.
METHOD: Participants (N=304) who met DSM-IV criteria for binge eating disorder
were randomly assigned to 24 weeks of double-blind sibutramine (15 mg) or placebo
treatment. The outcome measures included the frequency of eating binges (primary
outcome), binge day frequency, body mass index, body weight, global improvement,
response categories, associated eating pathology, and quality of life. The
primary analysis for continuous measures was the difference between groups in the
change from baseline to endpoint using analysis of variance (ANOVA) with the last
observation carried forward. RESULTS: Compared with subjects receiving placebo,
participants who received sibutramine had a significantly greater reduction in
weekly binge frequency (sibutramine group mean=2.7 [SD=1.7], placebo group
mean=2.0 [SD=2.3]); weight loss (sibutramine group mean=4.3 kg [SD=4.8], placebo
group mean=0.8 kg [SD=3.5]); reduction in frequency of binge days; reduction in
body mass index; global improvement; level of response, including the percentage
of abstinence from binge eating (sibutramine group: 58.7%; placebo group: 42.8%);
and reduction in eating pathology (cognitive restraint, disinhibition, and
hunger). The change in quality of life scores was not significant. Sibutramine
was associated with significantly higher incidence of headache, dry mouth,
constipation, insomnia, and dizziness. CONCLUSIONS: This trial demonstrated the
efficacy of sibutramine in reducing binge eating, weight, and associated
psychopathology.
PMID- 18056226
TI - Bipolar disorder and pregnancy: risks revealed.
PMID- 18056227
TI - Religion, spirituality, and medicine.
PMID- 18056228
TI - Brain mechanisms of borderline personality disorder at the intersection of
cognition, emotion, and the clinic.
PMID- 18056230
TI - Issues for DSM-V: simplifying DSM-IV to enhance utility: the case of major
depressive disorder.
PMID- 18056231
TI - The revised warning for antidepressants and suicidality: unveiling the black box
of statistical analyses.
PMID- 18056232
TI - Elucidating the role of brain-derived neurotrophic factor in the brain.
PMID- 18056234
TI - Anorexia nervosa.
PMID- 18056233
TI - A meta-analysis of cognitive remediation in schizophrenia.
AB - OBJECTIVE: This study evaluated the effects of cognitive remediation for
improving cognitive performance, symptoms, and psychosocial functioning in
schizophrenia. METHOD: A meta-analysis was conducted of 26 randomized, controlled
trials of cognitive remediation in schizophrenia including 1,151 patients.
RESULTS: Cognitive remediation was associated with significant improvements
across all three outcomes, with a medium effect size for cognitive performance
(0.41), a slightly lower effect size for psychosocial functioning (0.36), and a
small effect size for symptoms (0.28). The effects of cognitive remediation on
psychosocial functioning were significantly stronger in studies that provided
adjunctive psychiatric rehabilitation than in those that provided cognitive
remediation alone. CONCLUSIONS: Cognitive remediation produces moderate
improvements in cognitive performance and, when combined with psychiatric
rehabilitation, also improves functional outcomes.
PMID- 18056235
TI - Frontotemporal dementia and mania.
PMID- 18056236
TI - Risk of recurrence in women with bipolar disorder during pregnancy: prospective
study of mood stabilizer discontinuation.
AB - OBJECTIVE: This study estimated the risk of recurrence of mood episodes among
women with a history of bipolar disorder who continued or discontinued treatment
with mood stabilizers during pregnancy. METHOD: In a prospective observational
clinical cohort study, the authors determined recurrence risk and survival
analysis-based time to recurrence of a new episode in 89 pregnant women with DSM
IV bipolar disorder. Eligible subjects were euthymic at conception and continued
mood stabilizer treatment or discontinued treatment proximate to conception.
RESULTS: The overall risk of at least one recurrence in pregnancy was 71%. Among
women who discontinued versus continued mood stabilizer treatment, recurrence
risk was twofold greater, median time to first recurrence was more than fourfold
shorter, and the proportion of weeks ill during pregnancy was five times greater.
Median recurrence latency was 11 times shorter after abrupt/rapid versus gradual
discontinuation of mood stabilizer. Most recurrences were depressive or mixed
(74%), and 47% occurred during the first trimester. Predictors of recurrence
included bipolar II disorder diagnosis, earlier onset, more recurrences/year,
recent illness, use of antidepressants, and use of anticonvulsants versus
lithium. CONCLUSIONS: Discontinuation of mood stabilizer, particularly abruptly,
during pregnancy carries a high risk for new morbidity in women with bipolar
disorder, especially for early depressive and dysphoric states. However, this
risk is reduced markedly by continued mood stabilizer treatment. Treatment
planning for pregnant women with bipolar disorder should consider not only the
relative risks of fetal exposure to mood stabilizers but also the high risk of
recurrence and morbidity associated with stopping maintenance mood stabilizer
treatment.
PMID- 18056237
TI - Religion, spirituality, and medicine: psychiatrists' and other physicians'
differing observations, interpretations, and clinical approaches.
AB - OBJECTIVE: This study compared the ways in which psychiatrists and
nonpsychiatrists interpret the relationship between religion/spirituality and
health and address religion/spirituality issues in the clinical encounter.
METHOD: The authors mailed a survey to a stratified random sample of 2,000
practicing U.S. physicians, with an oversampling of psychiatrists. The authors
asked the physicians about their beliefs and observations regarding the
relationship between religion/spirituality and patient health and about the ways
in which they address religion/spirituality in the clinical setting. RESULTS: A
total of 1,144 physicians completed the survey. Psychiatrists generally endorse
positive influences of religion/spirituality on health, but they are more likely
than other physicians to note that religion/spirituality sometimes causes
negative emotions that lead to increased patient suffering (82% versus 44%).
Compared to other physicians, psychiatrists are more likely to encounter
religion/spirituality issues in clinical settings (92% versus 74% report their
patients sometimes or often mention religion/spirituality issues), and they are
more open to addressing religion/spirituality issues with patients (93% versus
53% say that it is usually or always appropriate to inquire about
religion/spirituality). CONCLUSIONS: This study suggests that the vast majority
of psychiatrists appreciate the importance of religion and/or spirituality at
least at a functional level. Compared to other physicians, psychiatrists also
appear to be more comfortable, and have more experience, addressing
religion/spirituality concerns in the clinical setting.
PMID- 18056238
TI - Failure of frontolimbic inhibitory function in the context of negative emotion in
borderline personality disorder.
AB - OBJECTIVE: The authors sought to test the hypothesis that in patients with
borderline personality disorder, the ventromedial prefrontal cortex and
associated regions would not be activated during a task requiring motor
inhibition in the setting of negative emotion. Such a finding would provide a
plausible neural basis for the difficulty borderline patients have in modulating
their behavior during negative emotional states and a potential marker for
treatment interventions. METHOD: A specifically designed functional magnetic
resonance imaging (fMRI) activation probe was used, with statistical parametric
mapping analyses, to test hypotheses concerning decreased prefrontal inhibitory
function in the context of negative emotion in patients with borderline
personality disorder (N=16) and healthy comparison subjects (N=14). 3-T fMRI
scanning was used to study brain activity while participants performed an
emotional linguistic go/no-go task. RESULTS: Analyses confirmed that under
conditions associated with the interaction of behavioral inhibition and negative
emotion, borderline patients showed relatively decreased ventromedial prefrontal
activity (including medial orbitofrontal and subgenual anterior cingulate)
compared with healthy subjects. In borderline patients, under conditions of
behavioral inhibition in the context of negative emotion, decreasing ventromedial
prefrontal and increasing extended amygdalar-ventral striatal activity correlated
highly with measures of decreased constraint and increased negative emotion,
respectively. CONCLUSIONS: These findings suggest specific frontolimbic neural
substrates associated with core clinical features of emotional and behavioral
dyscontrol in borderline personality disorder.
PMID- 18056239
TI - Altered reward processing in women recovered from anorexia nervosa.
AB - OBJECTIVE: Individuals with anorexia nervosa are known to be ascetic and able to
sustain self-denial of food as well as most comforts and pleasures in life.
Building on previous findings of altered striatal dopamine binding in anorexia
nervosa, the authors sought to assess the response of the anterior ventral
striatum to reward and loss in this disorder. METHOD: Striatal responses to a
simple monetary reward task were investigated using event-related functional
magnetic resonance imaging. To avoid the confounding effects of malnutrition, the
authors compared 13 healthy comparison women and 13 women who had recovered from
restricting-type anorexia nervosa and had 1 year of normal weight and regular
menstrual cycles, without binge eating or purging. RESULTS: Recovered women
showed greater hemodynamic activation in the caudate than comparison women. Only
the recovered women showed a significant positive relationship between trait
anxiety and the percentage change in hemodynamic signal in the caudate during
either wins or losses. In contrast, in the anterior ventral striatum, comparison
women distinguished positive and negative feedback, whereas recovered women had
similar responses to both conditions. CONCLUSIONS: Individuals who have recovered
from anorexia nervosa may have difficulties in differentiating positive and
negative feedback. The exaggerated activation of the caudate, a region involved
in linking action to outcome, may constitute an attempt at "strategic" (as
opposed to hedonic) means of responding to reward stimuli. The authors
hypothesize that individuals with anorexia nervosa have an imbalance in
information processing, with impaired ability to identify the emotional
significance of a stimulus but increased traffic in neurocircuits concerned with
planning and consequences.
PMID- 18056240
TI - Gray matter decrease of the anterior cingulate cortex in anorexia nervosa.
AB - OBJECTIVE: The brain regions that are critically involved in the pathophysiology
of anorexia nervosa have not been clearly elucidated. Moreover, decrease in
cerebral tissue during extreme malnutrition has been demonstrated repeatedly in
anorexia nervosa, but data regarding the reversibility of this cerebral tissue
decrease are conflicting. The authors examined region-specific gray matter
changes and global cerebral volumes in recovered patients with anorexia nervosa.
METHOD: High-resolution, T1-weighted magnetic resonance imaging (MRI) and voxel
based morphometry were performed in 22 recovered women with anorexia nervosa and
in 37 healthy comparison women. Recovery was defined as a body mass index above
17.0 kg/m(2) and regular menses for at least 6 months. RESULTS: The global
volumes of gray matter (but not white matter) were decreased in patients with
anorexia nervosa by approximately 1%. Analyses of region-specific gray matter
changes revealed a gray matter decrease bilaterally in the anterior cingulate
cortex of approximately 5%, which remained significant after correction for
global effects. This gray matter decrease correlated significantly with the
lowest body mass index of lifetime but not with other clinical variables.
CONCLUSIONS: In anorexia nervosa, part of the global gray matter loss persists
over the long run. Region-specific gray matter loss in the anterior cingulate
cortex is directly related to the severity of anorexia nervosa, indicating an
important role of this area in the pathophysiology of the disorder. Further
research is warranted to determine the cause, specificity, and functional
consequences of this structural brain change in anorexia nervosa.
PMID- 18056241
TI - 5-HTT binding in recovered depressed patients and healthy volunteers: a positron
emission tomography study with [11C]DASB.
AB - OBJECTIVE: The serotonin transporter (5-HTT) is a key target for selective
serotonin reuptake inhibitors and may be involved in the pathophysiology of major
depression. It is now possible to image 5-HTT directly in the human brain, but
results from studies of acutely depressed patients have been inconsistent. The
purpose of this study was to determine whether abnormalities in 5-HTT might be
present in recovered depressed patients. METHOD: The authors measured the binding
potential of 5-HTT using [11C]DASB in conjunction with positron emission
tomography (PET) in 24 medication-free, recovered depressed male patients and 20
healthy male comparison subjects. The regional estimates of binding potential
were obtained using a metabolite-corrected plasma input function method followed
by Logan analysis, with the cerebellum as a reference region. RESULTS: The
authors found no significant difference in the binding potential of [11C]DASB
between the recovered depressed patients and healthy comparison subjects in any
of the brain regions (amygdala, anterior cingulate cortex, caudate nucleus,
frontal cortex, hippocampus, insula, thalamus, and dorsal raphe) studied.
CONCLUSIONS: Men who recover from depression have normal availability of 5-HTT in
brain regions thought to be involved in the pathophysiology of depression. The
findings therefore do not support the proposal that recurrent depression is
associated with long-standing deficits in 5-HTT.
PMID- 18056242
TI - The relationship between depressive personality disorder and major depressive
disorder: a population-based twin study.
AB - OBJECTIVE: One of the most important controversies regarding depressive
personality disorder is the overlap with mood disorders. The aim of this study
was to estimate the genetic and environmental sources of covariance between
depressive personality disorder and major depressive disorder and to what extent
genetic, shared, and unique environmental factors are specific to each disorder.
METHOD: A total of 2,801 young adult twins from the Norwegian Institute of Public
Health Twin Panel were assessed at personal interview for depressive personality
disorder and major depressive disorder with the Structured Interview for DSM-IV
Personality and the Composite International Diagnostic Interview. Bivariate
Cholesky models were fitted to the data by using the Mx statistical program.
RESULTS: In the best-fitting model, the covariation between depressive
personality disorder and major depressive disorder were accounted for by genetic
and unique environmental factors only. A model that did not include genetic
factors specific to major depressive disorder was rejected. The authors found no
clear evidence for gender differences in sources of comorbidity of depressive
personality disorder and major depressive disorder. CONCLUSIONS: Although
depressive personality disorder and major depressive disorder share a substantial
proportion of genetic and environmental risk factors, the results from this study
support the hypothesis that the two disorders are distinct entities with
overlapping, but not identical, etiologies.
PMID- 18056243
TI - Pupillary reactivity to emotional information in child and adolescent depression:
links to clinical and ecological measures.
AB - OBJECTIVE: Pupil dilation provides a quantitative index of the temporal pattern
of brain reactivity to emotional stimuli. Previous reports indicate that
depressed adults show sustained pupil dilation to emotional words, but this
phenomenon has not been investigated in children. This study investigated pupil
dilation in children with depression and examined how differences in pupillary
responses to emotional stimuli correlate with self-rated emotional experiences in
participants' natural environments in everyday life. METHOD: Participants were 20
children with major depressive disorder and 22 comparison children ages 8-17.
Pupil dilation was measured during a valence identification task. Participants
also rated positive and negative affect in their natural environments as part of
an ecological momentary assessment protocol. RESULTS: Children showed greater
pupil dilation to negative words than to neutral or positive words. Children with
major depression had diminished late pupil dilation relative to comparison
children 9-12 sec after a negative word was presented. Diminished late pupil
dilation to negative words was associated with greater severity of depression and
with higher levels of negative affect and lower levels of positive affect in the
natural environment. CONCLUSIONS: Depressed children exhibit a dynamic change in
cognitive-affective resources devoted to processing negative emotional words,
with more dramatic decreases than in comparison children after a negative word is
initially processed, a pattern that differs markedly from that observed in
depressed adults. Diminished late pupil dilation in children with major
depression could be a marker for problems in emotional reactivity and/or
regulation associated with pediatric depression.
PMID- 18056244
TI - Lateralized caudate metabolic abnormalities in adolescent major depressive
disorder: a proton MR spectroscopy study.
AB - OBJECTIVE: Proton magnetic resonance spectroscopy ((1)H-MRS) has been
increasingly used to examine striatal neurochemistry in adult major depressive
disorder. This study extends the use of this modality to pediatric major
depression to test the hypothesis that adolescents with major depression have
elevated concentrations of striatal choline and creatine and lower concentrations
of N-acetylaspartate. METHOD: Fourteen adolescents (ages 12-19 years, eight
female) who had major depressive disorder for at least 8 weeks and a severity
score of 40 or higher on the Children's Depression Rating Scale-Revised and 10
healthy comparison adolescents (six female) group-matched for gender, age, and
handedness were enrolled. All underwent three-dimensional 3-T (1)H-MRS at high
spatial resolution (0.75-cm(3) voxels). Relative levels of choline, creatine, and
N-acetylaspartate in the left and right caudate, putamen, and thalamus were
scaled into concentrations using phantom replacement, and levels were compared
for the two cohorts. RESULTS: Relative to comparison subjects, adolescents with
major depressive disorder had significantly elevated concentrations of choline
(2.11 mM versus 1.56 mM) and creatine (6.65 mM versus 5.26 mM) in the left
caudate. No other neurochemical differences were observed between the groups.
CONCLUSIONS: These findings most likely reflect accelerated membrane turnover and
impaired metabolism in the left caudate. The results are consistent with prior
imaging reports of focal and lateralized abnormalities in the caudate in adult
major depression.
PMID- 18056245
TI - Association between brain-derived neurotrophic factor Val66Met gene polymorphism
and progressive brain volume changes in schizophrenia.
AB - OBJECTIVE: Factors underlying progressive brain volume changes in schizophrenia
remain poorly understood. The authors investigated whether a gene polymorphism
influencing neuroplasticity may contribute to longitudinal brain volume
alterations. METHOD: High-resolution magnetic resonance (MR) images of the whole
brain were obtained for 119 patients with recent-onset schizophrenia spectrum
disorders. Changes in brain volumes over an average of 3 years were compared
between brain-derived neurotrophic factor (BDNF) val66met genotype groupings.
Exploratory analyses were conducted to examine relationships between
antipsychotic treatment and brain volume changes as well as the effects of BDNF
genotype on changes in cognition and symptoms. RESULTS: Significant genotype
effects were observed on within-subject changes in volumes of frontal lobe gray
matter, lateral ventricles, and sulcal CSF. Met allele carriers had significantly
greater reductions in frontal gray matter volume, with reciprocal volume
increases in the lateral ventricles and sulcal (especially frontal and temporal)
CSF than Val homozygous patients. Independent of BDNF genotype, more
antipsychotic exposure between MRI scans correlated with greater volume
reductions in frontal gray matter, particularly among patients who were initially
treatment naive. There were no statistically significant genotype effects on
within-subject changes in cognition or symptoms. CONCLUSIONS: BDNF(Met) variant
may be one of several factors affecting progressive brain volume changes in
schizophrenia.
PMID- 18056246
TI - Physiology of schizophrenia, bipolar disorder, and schizoaffective disorder.
AB - OBJECTIVE: Endophenotypes have been proposed to identify the genetic and
biological substrates of complex disorders. Three physiological inhibitory
endophenotypes of large effect size in schizophrenia include suppression of P50
auditory evoked responses, inhibition of leading (small anticipatory) saccades
during smooth pursuit eye movements, and cancellation of reflexive saccades in
the antisaccade eye movement task. The aim of this study was to determine if the
pattern of endophenotype abnormalities within individuals with schizophrenia
differed from that within individuals with bipolar disorder. A second aim was to
determine whether subjects with schizoaffective disorder, bipolar type, were
neurophysiologically more similar to subjects with schizophrenia or subjects with
bipolar disorder. METHOD: Endophenotypes were recorded for subjects diagnosed
with schizophrenia (N=29), bipolar disorder (DSM-IV-TR) (N=40), and
schizoaffective disorder, bipolar type (N=18). Data from normal comparison
subjects were used to establish normal performance. RESULTS: Logistic regression
determined that P50 ratio and frequency of leading saccades identified subjects
with schizophrenia and bipolar disorder with a sensitivity of 95% and a
specificity of 83%. The schizoaffective disorder group was split, with six
subjects physiologically classified as schizophrenia-like and 12 subjects as
bipolar-like. Those classified as schizophrenia-like were significantly younger
at illness onset and had higher symptom ratings. CONCLUSION: A composite
endophenotype of P50 ratio and frequency of leading saccades is consistent with
the current clinical nosology of schizophrenia and bipolar disorder and parses
patients with schizoaffective disorder, bipolar type, into two subgroups.
PMID- 18056247
TI - SSRI prescriptions and the rate of suicide.
PMID- 18056248
TI - The black box warning: decreased prescriptions and increased youth suicide?
PMID- 18056249
TI - Withdrawal of attention rather than pharmacological treatment affects suicide
rates in depressed children and adolescents.
PMID- 18056250
TI - Challenge to atypical antipsychotic drug effect on cognition.
PMID- 18056251
TI - Hyperammonemia and valproic acid-induced encephalopathy.
PMID- 18056252
TI - Treatment of Tourette's syndrome with finasteride.
PMID- 18056254
TI - CYP2D6-Mediated metabolism of a novel acyl coenzyme A:cholesterol acyltransferase
inhibitor, pactimibe, and its unique plasma metabolite, R-125528.
AB - Pactimibe sulfate is a novel acyl coenzyme A:cholesterol acyltransferase
inhibitor. We conducted metabolic studies of pactimibe and its plasma metabolite,
R-125528. Pactimibe had multiple metabolic pathways including indolin oxidation
to form R-125528, omega-1 oxidation, N-dealkylation, and glucuronidation. Among
them, the indolin oxidation and the omega-1 oxidation were dominant and were
mainly catalyzed by CYP3A4 and CYP2D6, respectively. The intrinsic clearance
(CL(int)) values for these pathways in human hepatic microsomes were 0.63 and
0.76 microl/min/mg-protein, respectively. On the other hand, the metabolic
reaction for R-125528 was restricted. It was demonstrated that omega-1 oxidation
was the only pathway that could eliminate R-125528 from the systemic circulation.
To our surprise, only CYP2D6-expressing microsomes could catalyze the reaction,
and omega-1 oxidation was strongly correlated with the CYP2D6 marker reaction,
dextromethorphan O-demethylation (r(2) = 0.90), in human hepatic microsomes.
Although R-125528 is an atypical substrate for CYP2D6 because of its acidity, the
K(m) value was 1.8 microM for the reaction in human hepatic microsomes and the
CL(int) value was as high as 75.0 microl/min/mg-protein. These results suggested
that the systemic clearance of R-125528 was highly dependent on CYP2D6 activity
and that several studies with CYP2D6 including drug-drug interaction and
polymorphism sensitivity should be performed during development from the
viewpoint of metabolite safety assessment. The finding that R-125528, an acidic
compound devoid of basic nitrogen, was a good substrate for CYP2D6 raised a
question about previously reported CYP2D6 models based on a critical
electrostatic interaction with Asp(301) and/or Glu(216).
PMID- 18056255
TI - Characterization and comparative studies of zebrafish and human recombinant
dihydrofolate reductases--inhibition by folic acid and polyphenols.
AB - Dihydrofolate reductase (DHFR) catalyzes folic acid reduction and recycles
dihydrofolate generated during dTMP biosynthesis to tetrahydrofolate. DHFR is the
main target of methotrexate, the most widely used agent for antifolate therapy.
Nevertheless, the emergence of methotrexate-resistance has greatly impeded the
curative potential of this drug. Therefore, drugs with improved efficacy are
still in demand, as well as an efficient in vitro assay system and animal model
for antifolate drug discovery. The aim of this study is to evaluate the
suitability of using zebrafish DHFR as an alternative assay system for antifolate
drug discovery. The cDNAs encoding zebrafish and human DHFR were cloned,
overexpressed, and purified. Similar structural and kinetic properties were
revealed between zebrafish and human recombinant DHFRs. The susceptibilities of
both enzymes to known DHFR inhibitors, including methotrexate and trimethoprim,
and compounds with antifolate potential, such as polyphenols, are also
comparable. In addition, the DHFR-mediated dihydrofolate reduction was
significantly inhibited by its own substrate folic acid. An unexpected tissue
specific distribution of DHFR was observed with the highest level present in ova
and brains of zebrafish. DHFR is also abundant in zebrafish embryos of early
stages and decreased abruptly after 3 days postfertilization. The substantial
resemblance between zebrafish and human DHFRs, as demonstrated in this study,
provides compelling evidence supporting the use of zebrafish DHFR as an in vitro
assay system for folate-related studies and drug discovery.
PMID- 18056257
TI - An endothelial cell genetic screen identifies the GTPase Rem2 as a suppressor of
p19ARF expression that promotes endothelial cell proliferation and angiogenesis.
AB - Angiogenesis requires an increase in endothelial cell proliferation to support an
increase in mass of blood vessels. We designed an in vitro endothelial cell model
to functionally screen for genes that regulate endothelial cell proliferation. A
gain of function screen for genes that bypass p53 endothelial cell arrest
identified Rem2, a Ras-like GTPase. We show that ectopic Rem2 suppresses p14(ARF)
(human) or p19(ARF) (mouse) expression that leads to increased endothelial cell
proliferation. Conversely, loss of ectopic Rem2 by RNA interference restores
p19(ARF) expression in endothelial cells. We further show that Rem2-interacting
14-3-3 proteins are involved in the cell localization of Rem2, regulation of
p19(ARF) expression, and endothelial cell proliferation. Finally, we demonstrate
using the RIP1 tag2 mouse model of pancreatic disease that Rem2 is up-regulated
in endothelial cells of stage IV disease. The data unravel a possible molecular
mechanism for Rem2-induced angiogenesis and suggests Rem2 as a potential novel
target for treating pathological angiogenesis.
PMID- 18056256
TI - Quantitative analysis of synaptic phosphorylation and protein expression.
AB - The postsynaptic density (PSD) signaling machinery contains proteins with diverse
functions. Brain region-specific variations in PSD components mediate distinct
physiological responses to synaptic activation. We have developed mass
spectrometry-based methods to comprehensively compare both relative protein
expression and phosphorylation status from proteins present in biochemical
preparations of postsynaptic density. Using these methods, we determined the
relative expression of 2159 proteins and 1564 phosphorylation sites in PSD
preparations from murine cortex, midbrain, cerebellum, and hippocampus. These
experiments were conducted twice using independent biological replicates, which
allowed us to assess the experimental and biological variability in this system.
Concerning protein expression, cluster analysis revealed that known functionally
associated proteins display coordinated synaptic expression. Therefore, proteins
identified as co-clustering with known protein complexes are prime candidates for
assignment as previously unrecognized components. Concerning degree of
phosphorylation, we observed more extensive phosphorylation sites on N-methyl-D
aspartate (NMDA) receptors than alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid (AMPA) receptors, consistent with the central role of N
methyl-D-aspartate receptors in processing synaptic transmission patterns.
Average kinase and phosphatase levels were highest in the hippocampus,
correlating with a higher overall phosphopeptide abundance present in this brain
region. These findings suggest that the hippocampus utilizes reversible protein
phosphorylation to a greater extent than other brain regions when modifying
synaptic strength.
PMID- 18056258
TI - Platelet fragmentation requires a specific structural conformation of human
monoclonal antibody against beta3 integrin.
AB - We have described an autoantibody against beta3 (GPIIIa49-66), a region of
platelet integrin alphaIIbbeta3 that is unique. It induces platelet fragmentation
in the absence of complement via antibody activation of platelet NADPH oxidase
and 12-lipoxygenase to release reactive oxygen species, which destroy platelets.
To study the mechanism of anti-GPIIIa antibody-induced platelet fragmentation, we
screened a human single chain Fv antibody library with the GPIIIa49-66 peptide.
Nine monoclonal antibodies were identified that were capable of binding to
GPIIIa49-66. Surprisingly, binding avidity for GPIIIa49-66 did not correlate with
activity of induction of platelet fragmentation. We therefore investigated the
requirements for platelet fragmentation. Mutations were introduced into the heavy
chain complementary-determining region-3 of clones 11, 43, and 54 by site
directed mutagenesis. The capability of these clones to induce platelet
fragmentation or bind to GPIIIa49-66 subsequently changed. Molecular modeling of
these clones with their mutants revealed that the ability to induce platelet
fragmentation is affected by the side chain orientation of positively charged
amino acids in the heavy chain of residues 99-102. Thus, a structural change in
the conformation of anti-GPIIIa49-66 antibody contributes to its binding to the
beta3 integrin and subsequent antibody-induced platelet fragmentation and
aggregate dissolution.
PMID- 18056259
TI - MAP1A light chain-2 interacts with GTP-RhoB to control epidermal growth factor
(EGF)-dependent EGF receptor signaling.
AB - Rho GTPases have been implicated in the control of several cellular functions,
including regulation of the actin cytoskeleton, cell proliferation, and
oncogenesis. Unlike RhoA and RhoC, RhoB localizes in part to endosomes and
controls endocytic trafficking. Using a yeast two-hybrid screen and a glutathione
S-transferase pulldown assay, we identified LC2, the light chain of the
microtubule-associated protein MAP1A, as a novel binding partner for RhoB. GTP
binding and the 18-amino acid C-terminal hypervariable domain of RhoB are
critical for its binding to MAP1A/LC2. Coimmunoprecipitation and
immunofluorescence experiments showed that this interaction occurs in U87 cells.
Down-regulation of MAP1A/LC2 expression decreased epidermal growth factor (EGF)
receptor expression and modified the signaling response to EGF treatment. We
concluded that MAP1A/LC2 is critical for RhoB function in EGF-induced EGF
receptor regulation. Because MAP1A/LC2 is thought to function as an adaptor
between microtubules and other molecules, we postulate that the RhoB and
MAP1A/LC2 interactions facilitate endocytic vesicle trafficking and regulate the
trafficking of signaling molecules.
PMID- 18056260
TI - Smarcd3 regulates the timing of zebrafish myogenesis onset.
AB - A cascade of signaling events triggers myogenesis in vertebrates. Although
studies of zebrafish indicate that fibroblast growth factor (Fgf), Hedgehog (Hh),
and the T-box transcription factors, No tail (Ntl) and T-box gene 16 (Tbx16),
regulate myogenesis, the hierarchy of these factors has not been determined.
Recently, another transcriptional cofactor, Smarcd3, a subunit of the SWI/SNF
chromatin-remodeling complex, has been shown to be required for heart muscle
formation in mouse. In zebrafish, fgf8 and ntl expression commences during
blastula stages, whereas myogenesis, as indicated by myod expression, does not
begin until much later during mid-gastrula stages. smarcd3b expression, on the
other hand, becomes enriched in the marginal zone just prior to the beginning of
myod expression. Overexpression of smarcd3 shifts the onset of myod and myf5
expression earlier, and myod and myf5 expression in adaxial cells, the earliest
muscle precursors, requires Smarcd3, indicating that Smarcd3 is the limiting
factor that regulates the onset of myogenesis. Smarcd3 physically interacts with
Ntl, and Smarcd3 overexpression fails to rescue myod expression in ntl mutants,
demonstrating that function of Smarcd3 depends on Ntl activity. We propose a
model in which cooperative activity of Fgf, Ntl, and Smarcd3 is required for the
onset of myogenesis, with Smarcd3b serving as the primary regulator of the timing
of myogenesis onset.
PMID- 18056261
TI - Human kallikrein-related peptidase 14 (KLK14) is a new activator component of the
KLK proteolytic cascade. Possible function in seminal plasma and skin.
AB - Human kallikrein-related peptidases (KLKs) are a family of 15 serine proteases
mainly known for their biomarker utility in various neoplastic and non-neoplastic
diseases. Despite significant progress in understanding their clinical
application, little is known about the activation mechanism(s) of this important
family of enzymes. Emerging evidence indicates that KLKs are activated in a
stepwise manner, which is a characteristic of proteolytic cascades. Thus far, KLK
cascades have been implicated in semen liquefaction and skin desquamation. Many
members of the KLK family have been reported to be active in seminal plasma
and/or skin, suggesting their involvement in common proteolytic cascades. KLK14,
in particular, is highly active and has recently been proposed as one of the key
trypsin-like proteases involved in skin desquamation. This study aims to
elucidate a probable cascade-mediated role of KLK14 by 1) examining KLK14
mediated cleavage of a heptapeptide library encompassing activation sites of the
15 KLKs and 2) verifying activation of certain candidate downstream targets of
KLK14 (i.e. pro-KLK1, -KLK3, and -KLK11). Heptapeptides encompassing activation
motifs of KLK2, -3, -5, and -11 were cleaved with a high (> or =85%) cleavage
efficiency. Activation of these candidates was confirmed using full-length
recombinant proteins. Pro-KLK11, -KLK3, and -KLK1 were rapidly activated in a
concentration-dependent manner. Pro-KLK3 regulation was bidirectional because
activation was followed by inactivation via internal cleavage of active KLK3. We
are proposing a putative cascade model, operating through multiple KLKs.
Identification of novel members of such proteolytic cascades will aid in further
defining mechanisms involved in seminal/skin homeostasis.
PMID- 18056262
TI - Scythe regulates apoptosis-inducing factor stability during endoplasmic reticulum
stress-induced apoptosis.
AB - Scythe (BAT3; HLA-B associated transcript 3, Bag 6) is a protein that has been
implicated in apoptosis because it can modulate the Drosophila melanogaster
apoptotic regulator, Reaper. Mice lacking Scythe show pronounced defects in
organogenesis and in the regulation of apoptosis and proliferation during
mammalian development. However, the biochemical pathways important for Scythe
function are unknown. We report here multiple levels of interaction between
Scythe and the apoptogenic mitochondrial intermembrane protein AIF (apoptosis
inducing factor). Scythe physically interacts with AIF and regulates its
stability. AIF stability is markedly reduced in Scythe(-/-) cells, which are more
resistant to endoplasmic reticulum stress induced by thapsigargin. Reintroduction
of Scythe or overexpression of AIF in Scythe(-/-) cells restores their
sensitivity to apoptosis. Together, these data implicate Scythe as a regulator of
AIF.
PMID- 18056263
TI - Norepinephrine- and epinephrine-induced distinct beta2-adrenoceptor signaling is
dictated by GRK2 phosphorylation in cardiomyocytes.
AB - Agonist-dependent activation of G protein-coupled receptors induces diversified
receptor cellular and signaling properties. Norepinephrine (NE) and epinephrine
(Epi) are two endogenous ligands that activate adrenoceptor (AR) signals in a
variety of physiological stress responses in animals. Here we use cardiomyocyte
contraction rate response to analyze the endogenous beta(2)AR signaling induced
by Epi or NE in cardiac tissue. The Epi-activated beta(2)AR induced a rapid
contraction rate increase that peaked at 4 min after stimulation. In contrast,
the NE-activated beta(2)AR induced a much slower contraction rate increase that
peaked at 10 min after stimulation. Whereas both drugs activated beta(2)AR
coupling to G(s) proteins, only Epi-activated receptors were capable of coupling
to G(i) proteins. Subsequent studies showed that the Epi-activated beta(2)AR
underwent a rapid phosphorylation by G protein-coupled receptor kinase 2 (GRK2)
and subsequent dephosphorylation on serine residues 355 and 356, which was
critical for sufficient receptor recycling and G(i) coupling. In contrast, the NE
activated beta(2)ARs underwent slow GRK2 phosphorylation, receptor
internalization and recycling, and failed to couple to G(i). Moreover, inhibiting
beta(2)AR phosphorylation by betaARK C terminus or dephosphorylation by okadaic
acid prevented sufficient recycling and G(i) coupling. Together, our data
revealed that distinct temporal phosphorylation of beta(2)AR on serine 355 and
356 by GRK2 plays a critical role for dictating receptor cellular events and
signaling properties induced by Epi or NE in cardiomyocytes. This study not only
helps us understand the endogenous agonist-dependent beta(2)AR signaling in
animal heart but also offers an example of how G protein-coupled receptor
signaling may be finely regulated by GRK in physiological settings.
PMID- 18056264
TI - Specific recognition of Rac2 and Cdc42 by DOCK2 and DOCK9 guanine nucleotide
exchange factors.
AB - Recognition of cognate Rho GTPases by guanine-nucleotide exchange factors (GEF)
is fundamental to Rho GTPase signaling specificity. Two main GEF families use
either the Dbl homology (DH) or the DOCK homology region 2 (DHR-2) catalytic
domain. How DHR-2-containing GEFs distinguish between the GTPases Rac and Cdc42
is not known. To determine how these GEFs specifically recognize the two Rho
GTPases, we studied the amino acid sequences in Rac2 and Cdc42 that are crucial
for activation by DOCK2, a Rac-specific GEF, and DOCK9, a distantly related Cdc42
specific GEF. Two elements in the N-terminal regions of Rac2 and Cdc42 were found
to be essential for specific interactions with DOCK2 and DOCK9. One element
consists of divergent amino acid residues in the switch 1 regions of the GTPases.
Significantly, these residues were also found to be important for GTPase
recognition by Rac-specific DOCK180, DOCK3, and DOCK4 GEFs. These findings were
unexpected because the same residues were shown previously to interact with
GTPase effectors rather than GEFs. The other element comprises divergent residues
in the beta3 strand that are known to mediate specific recognition by DH domain
containing GEFs. Remarkably, Rac2-to-Cdc42 substitutions of four of these
residues were sufficient for Rac2 to be specifically activated by DOCK9. Thus,
DOCK2 and DOCK9 specifically recognize Rac2 and Cdc42 through their switch 1 as
well as beta2-beta3 regions and the mode of recognition via switch 1 appears to
be conserved among diverse Rac-specific DHR-2 GEFs.
PMID- 18056265
TI - Activin A/bone morphogenetic protein (BMP) chimeras exhibit BMP-like activity and
antagonize activin and myostatin.
AB - Activins and bone morphogenetic proteins (BMPs) are members of the transforming
growth factor-beta family of growth and differentiation factors that induce
signaling in target cells by assembling type II and type I receptors at the cell
surface. Ligand residues involved in type II binding are located predominantly in
the C-terminal region that forms an extended beta-sheet, whereas residues
involved in type I binding are located in the alpha-helical and preceding loop
central portion of the molecule. To test whether the central residues are
sufficient to determine specificity toward type I receptors, activin A/BMP
chimeras were constructed in which the central residues (45-79) of activin A were
replaced with corresponding residues of BMP2 and BMP7. The chimeras were assessed
for activin type II receptor (Act RII) binding, activin-like bioactivity, and BMP
like activity as well as antagonistic properties toward activin A and myostatin.
ActA/BMP7 chimera retained Act RII binding affinity comparable with wild type
activin A, whereas ActA/BMP2 chimera showed a slightly reduced affinity toward
Act RII. Both the chimeras were devoid of significant activin bioactivity in 293T
cells in the A3 Lux reporter assay up to concentrations 10-fold higher than the
minimal effective activin A concentration (approximately 4 nM). In contrast,
these chimeras showed BMP-like activity in a BRE-Luc assay in HepG2 cells as well
as induced osteoblast-like phenotype in C2C12 cells expressing alkaline
phosphatase. Furthermore, both the chimeras activated Smad1 but not Smad2 in
C2C12 cells. Also, both the chimeras antagonized ligands that signal via activin
type II receptor, such as activin A and myostatin. These data indicate that
activin residues in the central region determine its specificity toward type I
receptors. ActA/BMP chimeras can be useful in the study of receptor specificities
and modulation of transforming growth factor-beta members, activins, and BMPs.
PMID- 18056266
TI - Heparin strongly enhances the formation of beta2-microglobulin amyloid fibrils in
the presence of type I collagen.
AB - The tissue specificity of fibrillar deposition in dialysis-related amyloidosis is
most likely associated with the peculiar interaction of beta2-microglobulin
(beta2-m) with collagen fibers. However, other co-factors such as
glycosaminoglycans might facilitate amyloid formation. In this study we have
investigated the role of heparin in the process of collagen-driven
amyloidogenesis. In fact, heparin is a well known positive effector of
fibrillogenesis, and the elucidation of its potential effect in this type of
amyloidosis is particularly relevant because heparin is regularly given to
patients subject to hemodialysis to prevent blood clotting. We have monitored by
atomic force microscopy the formation of beta2-m amyloid fibrils in the presence
of collagen fibers, and we have discovered that heparin strongly accelerates
amyloid deposition. The mechanism of this effect is still largely unexplained.
Using dynamic light scattering, we have found that heparin promotes beta2-m
aggregation in solution at pH 6.4. Morphology and structure of fibrils obtained
in the presence of collagen and heparin are highly similar to those of natural
fibrils. The fibril surface topology, investigated by limited proteolysis,
suggests that the general assembly of amyloid fibrils grown under these
conditions and in vitro at low pH is similar. The exposure of these fibrils to
trypsin generates a cleavage at the C-terminal of lysine 6 and creates the 7-99
truncated form of beta2-m (DeltaN6beta2-m) that is a ubiquitous constituent of
the natural beta2-m fibrils. The formation of this beta2-m species, which has a
strong propensity to aggregate, might play an important role in the acceleration
of local amyloid deposition.
PMID- 18056267
TI - Conformational changes in a pore-lining helix coupled to cystic fibrosis
transmembrane conductance regulator channel gating.
AB - Cystic fibrosis transmembrane conductance regulator (CFTR), the protein
dysfunctional in cystic fibrosis, is unique among ATP-binding cassette
transporters in that it functions as an ion channel. In CFTR, ATP binding opens
the channel, and its subsequent hydrolysis causes channel closure. We studied the
conformational changes in the pore-lining sixth transmembrane segment upon ATP
binding by measuring state-dependent changes in accessibility of substituted
cysteines to methanethiosulfonate reagents. Modification rates of three residues
(resides 331, 333, and 335) near the extracellular side were 10-1000-fold slower
in the open state than in the closed state. Introduction of a charged residue by
chemical modification at two of these positions (resides 331 and 333) affected
CFTR single-channel gating. In contrast, modifications of pore-lining residues
334 and 338 were not state-dependent. Our results suggest that ATP binding
induces a modest conformational change in the sixth transmembrane segment, and
this conformational change is coupled to the gating mechanism that regulates ion
conduction. These results may establish a structural basis of gating involving
the dynamic rearrangement of transmembrane domains necessary for vectorial
transport of substrates in ATP-binding cassette transporters.
PMID- 18056268
TI - Down-regulation of caveolin-1, an inhibitor of transforming growth factor-beta
signaling, in acute allergen-induced airway remodeling.
AB - Asthma can progress to subepithelial airway fibrosis, mediated in large part by
transforming growth factor-beta (TGF-beta). The scaffolding protein caveolin-1
(cav1) can inhibit the activity of TGF-beta, perhaps by forming membrane
invaginations that enfold TGF-beta receptors. The study goals were 1) to evaluate
how allergen challenge affects lung expression of cav1 and the density of
caveolae in vivo 2) to determine whether reduced cav1 expression is mediated by
interleukin (IL)-4 and 3) to measure the effects of decreased expression of cav1
on TGF-beta signaling. C57BL/6J, IL-4-deficient mice, and cav1-deficient mice,
sensitized by intraperitoneal injections of phosphate-buffered saline or
ovalbumin (OVA) at days 0 and 12, received intranasal phosphate-buffered saline
or OVA challenges at days 24, 26, and 28. Additionally, another group of C57BL/6J
mice received IL-4 by intratracheal instillation for 7 days. We confirmed that
the OVA-allergen challenge increased eosinophilia and T-helper type 2-related
cytokine levels (IL-4, IL-5, and IL-13) in bronchoalveolar lavage. Allergen
challenge reduced lung cav1 mRNA abundance by 40%, cav1 protein by 30%, and the
number of lung fibroblast caveolae by 50%. Administration of IL-4 in vivo also
substantially decreased cav1 expression. In contrast, the allergen challenge did
not decrease cav1 expression in IL-4-deficient mice. The reduced expression of
cav1 was associated with activation of TGF-beta signaling that was further
enhanced in OVA-sensitized and challenged cav1-deficient mice. This study
demonstrates a previously unknown modulation of TGF-beta signaling by IL-4, via
cav1, suggesting novel therapeutic targets for controlling the effects of TGF
beta and thereby ameliorating pathological airway remodeling.
PMID- 18056269
TI - Telithromycin resistance in Streptococcus pneumoniae is conferred by a deletion
in the leader sequence of erm(B) that increases rRNA methylation.
AB - A telithromycin-resistant clinical isolate of Streptococcus pneumoniae (strain
P1501016) has been found to contain a version of erm(B) that is altered by a 136
bp deletion in the leader sequence. By allele replacement mutagenesis, a second
strain of S. pneumoniae (PC13) with a wild-type erm(B) gene was transformed to
the telithromycin-resistant phenotype by introduction of the mutant erm(B) gene.
Whereas the wild-type PC13 strain showed slight telithromycin resistance only
after induction by erythromycin (telithromycin MIC increased from 0.06 to 0.5
microg/ml), the transformed PC13 strain is constitutively resistant (MIC of 16
mug/ml). Expression of erm(B) was quantified by real-time reverse transcription
PCR in the presence of erythromycin or telithromycin; erm(B) expression was
significantly higher in the transformed PC13 strain than the wild-type strain.
Furthermore, the transformed strain had significantly higher levels of ribosomal
methylation in the absence as well as in the presence of the antibiotics. Growth
studies showed that the transformed PC13 strain had a shorter lag phase than the
wild-type strain in the presence of erythromycin. Telithromycin resistance is
conclusively shown to be conferred by the mutant erm(B) gene that is expressed at
a constitutively higher level than the inducible wild-type gene. Elevated erm(B)
expression results in a higher level of rRNA methylation that presumably hinders
telithromycin binding to the ribosome.
PMID- 18056270
TI - Role of a sodium-dependent symporter homologue in the thermosensitivity of beta
lactam antibiotic resistance and cell wall composition in Staphylococcus aureus.
AB - Expression of high-level beta-lactam resistance is known to be thermosensitive in
many methicillin-resistant Staphylococcus aureus (MRSA) strains, including strain
COL, in which the high methicillin MIC for cultures grown at 37 degrees C (800
microg/ml) was reduced to 12 microg/ml at 42 degrees C. COL grew faster at 42
degrees C than at 37 degrees C and at the higher temperature produced cell walls
of abnormal composition: there was an over-representation of the monomeric
muropeptide without the oligoglycine chain and an increase in the representation
of multimers that contained this wall component as the donor molecule. Screening
of a Tn551 insertional library for mutants, in which the high and homogenous beta
lactam antibiotic resistance of strain COL is retained at 42 degrees C,
identified mutant C245, which expressed high-level methicillin resistance and
produced a cell wall of normal composition independent of the temperature. The
Tn551 inactivated gene was found, by homology search, to encode for a sodium
dependent symporter, homologues of which are ubiquitous in both prokaryotic and
eukaryotic genomes. Inactivation of this putative symporter in several
heteroresistant clinical MRSA isolates caused striking increases in the level of
their beta-lactam resistance.
PMID- 18056271
TI - Pharmacokinetic interaction between fosamprenavir-ritonavir and rifabutin in
healthy subjects.
AB - Rifabutin (RFB) is administered for treatment of tuberculosis and Mycobacterium
avium complex infection, including use for patients coinfected with human
immunodeficiency virus (HIV). Increased systemic exposure to RFB and its
equipotent active metabolite, 25-O-desacetyl-RFB (dAc-RFB), has been reported
during concomitant administration of CYP3A4 inhibitors, including ritonavir
(RTV), lopinavir, and amprenavir (APV); therefore, a reduction in the RFB dosage
is recommended when it is coadministered with these protease inhibitors.
Fosamprenavir (FPV), the phosphate ester prodrug of the HIV type 1 protease
inhibitor APV, is administered either with or without RTV. A randomized, open
label, two-period, two-sequence, balanced, crossover drug interaction study was
conducted with 22 healthy adult subjects to compare steady-state plasma RFB
pharmacokinetic parameters during concomitant administration of FPV-RTV (700/100
mg twice a day [BID]) with a 75%-reduced RFB dose (150 mg every other day [QOD])
to the standard RFB regimen (300 mg once per day [QD]) by geometric least-squares
mean ratios. Relative to results with RFB (300 mg QD), coadministration of dose
adjusted RFB with FPV-RTV resulted in an unchanged RFB area under the
concentration-time curve for 0 to 48 h (AUC(0-48)) and a 14% decrease in the
maximum concentration of drug in plasma (C(max)), whereas the AUC(0-48) and
C(max) of dAc-RFB were increased by 11- and 6-fold, respectively, resulting in a
64% increase in the total antimycobacterial AUC(0-48). Relative to historical
controls, the plasma APV AUC from 0 h to the end of the dosing interval (AUC(0
tau)) and C(max) were increased approximately 35%, and the concentration at the
end of the dosing interval at steady state was unchanged following
coadministration of RFB with FPV-RTV. The safety profile of the combination of
RFB and FPV-RTV was consistent with previously described events with RFB or FPV
RTV alone. Based on the results of this study, a reduction in the RFB dose by >
or =75% (to 150 mg QOD or three times per week) is recommended when it is
coadministered with FPV-RTV (700/100 mg BID).
PMID- 18056272
TI - Vancomycin-resistant Staphylococcus aureus isolates associated with Inc18-like
vanA plasmids in Michigan.
AB - Five of the seven cases of vancomycin-resistant Staphylococcus aureus (VRSA)
infection identified to date have occurred in southeastern Michigan. VRSA
isolates from the four most recent cases (all from Michigan) were characterized.
The vanA gene was localized to a single plasmid in each VRSA isolate. The pulsed
field gel electrophoresis patterns of chromosomal DNA and the restriction profile
of the plasmid demonstrated that the four isolates were unique and differed from
the first three VRSA isolates. Vancomycin-resistant Enterococcus (VRE) isolates,
all of which were Enterococcus faecalis, were recovered from case patients 4 to
6. Each VRE isolate transferred vancomycin resistance to E. faecalis JH2-2 by
conjugation. PCRs for vanA and the Inc18-like plasmid genes traA and repR
confirmed the presence of an Inc18-like vanA plasmid in all VRE isolates and
transconjugants. An Inc18-like vanA plasmid was identified in the VRSA isolate
from case patient 7. These findings suggest a role of Inc18-like plasmids as vanA
donors.
PMID- 18056273
TI - Community-acquired liver abscess caused by serotype K1 Klebsiella pneumoniae with
CTX-M-15-type extended-spectrum beta-lactamase.
PMID- 18056274
TI - In vitro activities of voriconazole, itraconazole, and terbinafine alone or in
combination against Pythium insidiosum isolates from Brazil.
AB - We evaluated the in vitro activities of voriconazole, itraconazole, and
terbinafine against 30 clinical isolates of Pythium insidiosum using a
checkerboard macrodilution method. The combined activity of terbinafine plus
itraconazole or plus voriconazole was synergic against 17% of the strains.
Antagonism was not observed.
PMID- 18056275
TI - Telithromycin and quinupristin-dalfopristin induce delayed death in Plasmodium
falciparum.
AB - Antibacterial agents are used in malaria therapy due to their effect on two
prokaryote organelles, the mitochondrion and the apicoplast. We demonstrate here
that the ribosome-blocking antibiotics telithromycin and quinupristin
dalfopristin, but not linezolid, inhibit the growth of Plasmodium falciparum.
Both drugs induce delayed death in the parasite, suggesting that their effect
involves the impairment of apicoplast translation processes.
PMID- 18056276
TI - Inhibition of ABC transporters abolishes antimony resistance in Leishmania
Infection.
AB - The emergence of antimony (Sb) resistance has jeopardized the treatment of
visceral leishmaniasis in various countries. Previous studies have considered the
part played by leishmanial parasites in antimony resistance, but the involvement
of host factors in the clinical scenario remained to be investigated. Here we
show that unlike infection with Sb-sensitive (Sbs) Leishmania donovani, infection
with Sb-resistant (Sb r) L. donovani induces the upregulation of multidrug
resistance-associated protein 1 (MRP1) and permeability glycoprotein (P-gp) in
host cells, resulting in a nonaccumulation of intracellular Sb following
treatment with sodium antimony gluconate (SAG) favoring parasite replication. The
inhibition of MRP1 and P-gp with resistance-modifying agents such as lovastatin
allows Sb accumulation and parasite killing within macrophages and offers
protection in an animal model in which infection with Sb r L. donovani is
otherwise lethal. The occurrence of a similar scenario in clinical cases is
supported by the findings that unlike monocytes from SAG-sensitive kala-azar (KA)
patients, monocytes from SAG-unresponsive KA patients overexpress P-gp and MRP1
and fail to accumulate Sb following in vitro SAG treatment unless pretreated with
inhibitors of ABC transporters. Thus, the expression status of MRP1 and P-gp in
blood monocytes may be used as a diagnostic marker for Sb resistance and the
treatment strategy can be designed accordingly. Our results also indicate that
lovastatin, which can inhibit both P-gp and MRP1, might be beneficial for
reverting Sb resistance in leishmaniasis as well as drug resistance in other
clinical situations, including cancer.
PMID- 18056277
TI - In vitro interactions between tacrolimus and azoles against Candida albicans
determined by different methods.
AB - Combination therapy could be of use for the treatment of fungal infections,
especially those caused by drug-resistant fungi. However, the methods and
approaches used for data generation and result interpretation need further
optimizing. The fractional inhibitory concentration index (FICI) is the most
commonly used method, but it has several drawbacks in characterizing antifungal
drug interaction. Alternatively, some new methods can be used such as the DeltaE
model (difference between the predicted and measured fungal growth percentages)
and the response surface approach, which uses the concentration-effect
relationship over the whole concentration range instead of just the MIC. In the
present study, in vitro interactions between tacrolimus (FK506) and three azoles
fluconazole (FLC), itraconazole (ITR), and voriconazole (VRC)-against Candida
albicans were evaluated by the checkerboard microdilution method and time-killing
test. The intensity of the interactions was determined by visual reading and the
spectrophotometric method in a checkerboard assay, and the nature of the
interactions was assessed by nonparametric models of FICI and DeltaE. Colony
counting and colorimetric viable detection methods (2,3-bis {2-methoxy-4-nitro-5
[(sulfenylamino) carbonyl]-2H-tetrazolium hydroxide} [XTT] reduction test) were
used for evaluating the combination antifungal effects over time. Synergistic and
indifferent effects were found for the combination of FK506 and azoles against
azole-sensitive strains, while strong synergy was found against azole-resistant
strains analyzed by FICI. The DeltaE model gave more consistent results with
FICI. The positive interactions were also confirmed by the time-killing test. Our
findings suggest a potential role for combination therapy with calcineurin
pathway inhibitors and azoles to augment activity against resistant C. albicans.
PMID- 18056278
TI - Cidofovir and (S)-9-[3-hydroxy-(2-phosphonomethoxy)propyl]adenine are highly
effective inhibitors of vaccinia virus DNA polymerase when incorporated into the
template strand.
AB - The acyclic nucleoside phosphonate drug (S)-9-[3-hydroxy-(2
phosphonomethoxy)propyl]adenine [(S)-HPMPA], is a broad-spectrum antiviral and
antiparasitic agent. Previous work has shown that the active intracellular
metabolite of this compound, (S)-HPMPA diphosphate [(S)-HPMPApp], is an analog of
dATP and targets DNA polymerases. However, the mechanism by which (S)-HPMPA
inhibits DNA polymerases remains elusive. Using vaccinia virus as a model system,
we have previously shown that cidofovir diphosphate (CDVpp), an analog of dCTP
and a related antiviral agent, is a poor substrate for the vaccinia virus DNA
polymerase and acts to inhibit primer extension and block 3'-to-5' proofreading
exonuclease activity. Based on structural similarities and the greater antiviral
efficacy of (S)-HPMPA, we predicted that (S)-HPMPApp would have a similar, but
more pronounced effect on vaccinia polymerase than CDVpp. Interestingly, we found
that (S)-HPMPApp is a good substrate for the viral enzyme, exhibiting K(m) and
V(max) parameters comparable to those of dATP, and certainly not behaving like
CDVpp as a functional chain terminator. Metabolic experiments indicated that (S)
HPMPA is converted to (S)-HPMPApp to a much greater extent than CDV is converted
to CDVpp, although both drugs cause identical effects on virus DNA replication at
their 50% effective concentration. Subsequent studies showed that both compounds
can be faithfully incorporated into DNA, but when CDV and (S)-HPMPA are
incorporated into the template strand, both strongly inhibit trans-lesion DNA
synthesis. It thus appears that nucleoside phosphonate drugs exhibit at least two
different effects on DNA polymerases depending upon in what form the enzyme
encounters the drug.
PMID- 18056279
TI - Posaconazole activity against Candida glabrata after exposure to caspofungin or
amphotericin B.
AB - We evaluated the effects of sequential therapy with caspofungin (CAS) or
amphotericin B (AMB) followed by posaconazole (POS) against Candida glabrata. The
susceptibilities to POS of yeast cells pre-exposed to CAS or AMB were identical
to those of untreated cells as shown by standard Clinical and Laboratory
Standards Institute broth dilution, cell viability, and disk diffusion methods.
We then investigated the activity of sequential regimens in an experimental model
of disseminated candidiasis. CAS given at 1 mg/kg/day for 2 days followed by POS
at either 15 or 30 mg/kg/day significantly reduced the counts compared to the
controls, but this treatment was not superior to the use of CAS alone. Also,
sequential regimens with AMB given at 1 mg/kg/day for 2 days followed by POS
(AMB/POS) were effective at reducing the fungal burden against the controls. In
addition, AMB/POS with both doses of the triazole were significantly more
effective than AMB alone. Overall, our data showed that there is no therapeutic
advantage in using CAS followed by POS, whereas an induction therapy with AMB
followed by a maintenance regimen with POS might be a suitable strategy in
managing C. glabrata infections.
PMID- 18056280
TI - Entecavir for treatment of hepatitis B virus displays no in vitro mitochondrial
toxicity or DNA polymerase gamma inhibition.
AB - Therapy with nucleoside reverse transcriptase inhibitors (NRTIs) can be
associated with mitochondrial toxicity. In vitro studies have been used to
predict the predisposition for and characterize the mechanisms causing
mitochondrial toxicity. Entecavir (ETV) is an approved deoxyguanosine nucleoside
for the treatment of chronic hepatitis B virus (HBV) infection that exhibits
potent activity against viral reverse transcriptase. We assessed the potential
for mitochondrial toxicity of ETV in long-term cultures of HepG2 hepatoma cells
by measuring mitochondrial function (through lactate secretion), levels of
mitochondrial DNA (mtDNA), and levels of mitochondrial proteins COX II and COX
IV. Furthermore, we tested the activity of ETV-triphosphate (ETV-TP) against
mitochondrial DNA polymerase gamma (Pol gamma) in vitro. ETV concentrations as
high as 100 times the maximal clinical exposure (C(max)) did not affect cell
proliferation, levels of lactate, mitochondrial DNA, or mitochondrial proteins
throughout the 15-day culture. The lack of mitochondrial toxicity was consistent
with the finding that ETV-TP was not recognized by mitochondrial DNA Pol gamma
and failed to be incorporated into DNA or inhibit the polymerase assay at the
highest levels tested, 300 microM. Combinations of ETV with each of the other HBV
NRTI antivirals, adefovir, tenofovir, and lamivudine at 10 times their respective
C(max) levels also failed to result in cellular or mitochondrial toxicity. In
summary, cell culture and enzymatic studies yielded no evidence that would
predict mitochondrial toxicity of ETV at exposure levels in excess of those
expected to be achieved clinically.
PMID- 18056281
TI - Intracellular metabolism of the nucleotide prodrug GS-9131, a potent anti-human
immunodeficiency virus agent.
AB - GS-9131 is a phosphonoamidate prodrug of the novel ribose-modified phosphonate
nucleotide analog GS-9148 that demonstrates potent anti-human immunodeficiency
virus type 1 (HIV-1) activity and an excellent resistance profile in vitro.
Prodrug moieties were optimized for the efficient delivery of GS-9148 and its
active diphosphate (DP) metabolite to lymphoid cells following oral
administration. To understand the intracellular pharmacology of GS-9131,
incubations were performed with various types of lymphoid cells in vitro. The
intracellular accumulation and antiviral activity levels of GS-9148 were limited
by its lack of cellular permeation, and GS-9131 increased the delivery of GS-9148
DP by 76- to 290-fold relative to that of GS-9148. GS-9131 activation was
saturable at high extracellular concentrations, potentially due to a high
affinity promoiety cleavage step. Once inside the cells, GS-9148 was efficiently
phosphorylated, forming similar amounts of anabolites in primary lymphoid cells.
The levels of GS-9148-DP formed in peripheral blood mononuclear cells infected
with HIV-1 were similar to that in uninfected PBMCs, and approximately equivalent
intracellular concentrations of GS-9148-DP and tenofovir (TVF)-DP were required
to inhibit viral replication by 90%. Once it was formed, GS-9148-DP was
efficiently retained in activated CD4(+) cells, with a half-life of 19 h. In
addition, GS-9131 showed a low potential for drug interactions with other adenine
nucleoside/nucleotide reverse transcriptase inhibitors, based on the lack of
competition for anabolism between suprapharmacologic concentrations of GS-9148
and TVF and the lack of activity of GS-9131 metabolites against purine nucleoside
phosphorylase, an enzyme involved in the clearance of 2',3'-dideoxyinosine.
Together, these observations elucidate the cellular pharmacology of GS-9131 and
illustrate its efficient loading of lymphoid cells, resulting in a prolonged
intracellular exposure to the active metabolite GS-9148-DP.
PMID- 18056283
TI - Plasmid-mediated carbapenem-hydrolyzing beta-lactamase KPC-2 in Klebsiella
pneumoniae isolate from Greece.
PMID- 18056282
TI - Design and profiling of GS-9148, a novel nucleotide analog active against
nucleoside-resistant variants of human immunodeficiency virus type 1, and its
orally bioavailable phosphonoamidate prodrug, GS-9131.
AB - GS-9148 [(5-(6-amino-purin-9-yl)-4-fluoro-2,5-dihydro-furan-2
yloxymethyl)phosphonic acid] is a novel ribose-modified human immunodeficiency
virus type 1 (HIV-1) nucleotide reverse transcriptase (RT) inhibitor (NRTI)
selected from a series of nucleoside phosphonate analogs for its favorable in
vitro biological properties including (i) a low potential for mitochondrial
toxicity, (ii) a minimal cytotoxicity in renal proximal tubule cells and other
cell types, (iii) synergy in combination with other antiretrovirals, and (iv) a
unique resistance profile against multiple NRTI-resistant HIV-1 strains. Notably,
antiviral resistance analysis indicated that neither the K65R, L74V, or M184V RT
mutation nor their combinations had any effect on the antiretroviral activity of
GS-9148. Viruses carrying four or more thymidine analog mutations showed a
substantially smaller change in GS-9148 activity relative to that observed with
most marketed NRTIs. GS-9131, an ethylalaninyl phosphonoamidate prodrug designed
to maximize the intracellular delivery of GS-9148, is a potent inhibitor of
multiple subtypes of HIV-1 clinical isolates, with a mean 50% effective
concentration of 37 nM. Inside cells, GS-9131 is readily hydrolyzed to GS-9148,
which is further phosphorylated to its active diphosphate metabolite (A. S. Ray,
J. E. Vela, C. G. Boojamra, L. Zhang, H. Hui, C. Callebaut, K. Stray, K.-Y. Lin,
Y. Gao, R. L. Mackman, and T. Cihlar, Antimicrob. Agents Chemother. 52:648-654,
2008). GS-9148 diphosphate acts as a competitive inhibitor of RT with respect to
dATP (K(i) = 0.8 muM) and exhibits low inhibitory potency against host
polymerases including DNA polymerase gamma. Oral administration of GS-9131 to
beagle dogs at a dose of 3 mg/kg of body weight resulted in high and persistent
levels of GS-9148 diphosphate in peripheral blood mononuclear cells (with a
maximum intracellular concentration of >9 microM and a half-life of >24 h). This
favorable preclinical profile makes GS-9131 an attractive clinical development
candidate for the treatment of patients infected with NRTI-resistant HIV.
PMID- 18056284
TI - Analysis of the beta-tubulin gene from Vittaforma corneae suggests benzimidazole
resistance.
AB - We amplified, cloned, and sequenced the beta-tubulin gene of Vittaforma corneae,
a microsporidium causing human infections. The beta-tubulin gene sequence has a
substitution at Glu(198) (with glutamine), which is one of six amino acids
reported to be associated with benzimidazole sensitivity. Benzimidazoles were
assayed for antimicrosporidial activity and showed poor parasite inhibition.
PMID- 18056285
TI - Multidrug transporters CaCdr1p and CaMdr1p of Candida albicans display different
lipid specificities: both ergosterol and sphingolipids are essential for
targeting of CaCdr1p to membrane rafts.
AB - In this study, we compared the effects of altered membrane lipid composition on
the localization of two membrane drug transporters from different superfamilies
of the pathogenic yeast Candida albicans. We demonstrated that in comparison to
the major facilitator superfamily multidrug transporter CaMdr1p, ATP-binding
cassette transporter CaCdr1p of C. albicans is preferentially localized within
detergent-resistant membrane (DRM) microdomains called 'rafts.' Both CaCdr1p and
CaMdr1p were overexpressed as green fluorescent protein (GFP)-tagged proteins in
a heterologous host Saccharomyces cerevisiae, wherein either sphingolipid
(Deltasur4 or Deltafen1 or Deltaipt1) or ergosterol (Deltaerg24 or Deltaerg6 or
Deltaerg4) biosynthesis was compromised. CaCdr1p-GFP, when expressed in the above
mutant backgrounds, was not correctly targeted to plasma membranes (PM), which
also resulted in severely impaired drug resistance. In contrast, CaMdr1p-GFP
displayed no sorting defect in the mutant background and remained properly
surface localized and displayed no change in drug resistance. Our data clearly
show that CaCdr1p is selectively recruited, over CaMdr1p, to the DRM microdomains
of the yeast PM and that any imbalance in the raft lipid constituents results in
missorting of CaCdr1p.
PMID- 18056286
TI - Bronchial smooth muscle remodeling involves calcium-dependent enhanced
mitochondrial biogenesis in asthma.
AB - Asthma and chronic obstructive pulmonary disease (COPD) are characterized by
different patterns of airway remodeling, which all include an increased mass of
bronchial smooth muscle (BSM). A remaining major question concerns the mechanisms
underlying such a remodeling of BSM. Because mitochondria play a major role in
both cell proliferation and apoptosis, we hypothesized that mitochondrial
activation in BSM could play a role in this remodeling. We describe that both the
mitochondrial mass and oxygen consumption were higher in the BSM from asthmatic
subjects than in that from both COPD and controls. This feature, which is
specific to asthma, was related to an enhanced mitochondrial biogenesis through
up-regulation of peroxisome proliferator-activated receptor gamma coactivator
(PGC)-1alpha, nuclear respiratory factor-1, and mitochondrial transcription
factor A. The priming event of such activation was an alteration in BSM calcium
homeostasis. BSM cell apoptosis was not different in the three groups of
subjects. Asthmatic BSM was, however, characterized by increased cell growth and
proliferation. Both characteristics were completely abrogated in mitochondria
deficient asthmatic BSM cells. Conversely, in both COPD and control BSM cells,
induction of mitochondrial biogenesis reproduced these characteristics. Thus, BSM
in asthmatic patients is characterized by an altered calcium homeostasis that
increases mitochondrial biogenesis, which, in turn, enhances cell proliferation,
leading to airway remodeling.
PMID- 18056287
TI - Multiple, conserved cryptic recombination signals in VH gene segments: detection
of cleavage products only in pro B cells.
AB - Receptor editing is believed to play the major role in purging newly formed B
cell compartments of autoreactivity by the induction of secondary V(D)J
rearrangements. In the process of immunoglobulin heavy (H) chain editing, these
secondary rearrangements are mediated by direct V(H)-to-J(H) joining or cryptic
recombination signals (cRSs) within V(H) gene segments. Using a statistical model
of RS, we have identified potential cRSs within V(H) gene segments at conserved
sites flanking complementarity-determining regions 1 and 2. These cRSs are active
in extrachromosomal recombination assays and cleaved during normal B cell
development. Cleavage of multiple V(H) cRSs was observed in the bone marrow of
C57BL/6 and RAG2:GFP and microMT congenic animals, and we determined that cRS
cleavage efficiencies are 30-50-fold lower than a physiological RS. cRS signal
ends are abundant in pro-B cells, including those recovered from microMT mice,
but undetectable in pre- or immature B cells. Thus, V(H) cRS cleavage regularly
occurs before the generation of functional preBCR and BCR. Conservation of cRSs
distal from the 3' end of V(H) gene segments suggests a function for these
cryptic signals other than V(H) gene replacement.
PMID- 18056290
TI - Assessment of children's health-related quality of life in the United States with
a multidimensional index.
AB - OBJECTIVE: Using nationally representative data, we examined biological, medical
system, and sociodemographic factors that are associated with health-related
quality of life as measured by a multidimensional index that accounts for a wide
range of child health domains. METHODS: Children aged > or = 6 years (N = 69,031)
were drawn from the 2003/2004 National Survey of Children's Health. A random 25%
sample was used to create a 12-item index of health-related quality of life with
a range of 0 to 100, based on the conceptual framework of the Child Health and
Illness Profile. Bivariate and multivariable regression analyses were conducted
to identify the unadjusted and independent associations of key biological,
medical system, and sociodemographic variables with health-related quality of
life. RESULTS: The index mean was 72.3 (SD: 14.5), median value was 73.7, and
range was 11.1 to 99.9. Only 0.2% of children had a score at the ceiling. In
multivariable regression analysis, the following variables were independently
associated with lower health-related quality of life: biological factors (greater
disease burden, severe asthma, and overweight status); medical system factors
(unmet medical needs, lack of a regular health care provider, Medicaid insurance,
or being uninsured previously during the year); and sociodemographic factors
(older age groups, lower family education, single-mother family, having a smoker
in the household, black race, and poverty). CONCLUSIONS: Health-related quality
of life in the United States is poorest for children and youth in lower
socioeconomic status groups, those with access barriers, adolescents compared
with children, and individuals with medical conditions. A multidimensional health
related quality-of-life index is an alternative to conventional measures (eg,
mortality) for national monitoring of child health.
PMID- 18056288
TI - Antiribosomal-P autoantibodies from psychiatric lupus target a novel neuronal
surface protein causing calcium influx and apoptosis.
AB - The interesting observation was made 20 years ago that psychotic manifestations
in patients with systemic lupus erythematosus are associated with the production
of antiribosomal-P protein (anti-P) autoantibodies. Since then, the pathogenic
role of anti-P antibodies has attracted considerable attention, giving rise to
long-term controversies as evidence has either contradicted or confirmed their
clinical association with lupus psychosis. Furthermore, a plausible mechanism
supporting an anti-P-mediated neuronal dysfunction is still lacking. We show that
anti-P antibodies recognize a new integral membrane protein of the neuronal cell
surface. In the brain, this neuronal surface P antigen (NSPA) is preferentially
distributed in areas involved in memory, cognition, and emotion. When added to
brain cellular cultures, anti-P antibodies caused a rapid and sustained increase
in calcium influx in neurons, resulting in apoptotic cell death. In contrast,
astrocytes, which do not express NSPA, were not affected. Injection of anti-P
antibodies into the brain of living rats also triggered neuronal death by
apoptosis. These results demonstrate a neuropathogenic potential of anti-P
antibodies and contribute a mechanistic basis for psychiatric lupus. They also
provide a molecular target for future exploration of this and other psychiatric
diseases.
PMID- 18056289
TI - Chromosomal position of a VH gene segment determines its activation and
inactivation as a substrate for V(D)J recombination.
AB - Complete IgHC gene rearrangement occurs only in B cells in a stage-specific and
ordered manner. We used gene targeting to reposition a distal V(H) gene segment
to a region just 5' of the D(H) gene cluster and found its activation to be
highly dependent on the chromosomal domain within which it resides. The targeted
V(H) gene segment rearranged at a higher frequency than its endogenous
counterpart, its rearrangement was no longer ordered, and its ability to be
silenced by allelic exclusion was lost. Additionally, the targeted V(H) gene
segment lost lineage specificity, as VDJ(H) rearrangement was observed in
thymocytes. These data suggest that locus contraction, mimicked by proximal
targeting, can override any regulation imposed by DNA sequences immediately
surrounding V(H) gene segments.
PMID- 18056291
TI - Quality medical homes: meeting children's needs for therapeutic and supportive
services.
AB - OBJECTIVE: The objective of this study was to determine whether the quality of a
medical home is associated with access to needed therapeutic and supportive
services among children with special health care needs. METHODS: Data from the
2000-2001 National Survey of Children With Special Health Care Needs were used in
the analysis. The primary group of interest was children who were 0 to 17 years
of age and needed therapeutic (n = 15,793) or supportive (n = 23,376) services.
For each characteristic of a quality medical home, the percentage of children who
needed and received therapeutic and supportive services was generated. Logistic
regression was used to control for covariates while modeling the association
between overall quality of a child's medical home and having unmet needs for
therapeutic or supportive services. RESULTS: Of all children identified as
needing services, 16.2% had unmet therapeutic and 9.8% unmet supportive service
needs. Only 23.9% of the children who needed therapeutic and 32.5% of children
who needed supportive services met the criteria of having a quality medical home.
High-quality care within medical homes was associated with a decreased likelihood
of having unmet needs for therapeutic and supportive services. Each
characteristic of a quality medical home was associated with unmet need, as were
severity of the child's condition, family income of <200% of the federal poverty
level, underinsurance, and maternal education beyond high school. CONCLUSIONS:
Among other factors, having a poor-quality medical home seems to be a barrier to
receiving needed therapeutic or supportive services for children with special
health care needs. Efforts on the part of pediatricians to establish quality
medical homes for all children could have the added benefit of facilitating
access to needed therapeutic and supportive services and promoting the health and
well-being of children with special health care needs and their families.
PMID- 18056292
TI - Cultural competence in the college biology classroom.
PMID- 18056294
TI - Metagenomics: a call for bringing a new science into the classroom (while it's
still new).
PMID- 18056295
TI - Realms of the viruses online.
PMID- 18056296
TI - H. Craig Heller. Interviewed by Laura L. Mays Hoopes.
PMID- 18056297
TI - Building, using, and maximizing the impact of concept inventories in the
biological sciences: report on a National Science Foundation sponsored conference
on the construction of concept inventories in the biological sciences.
AB - The meeting "Conceptual Assessment in the Biological Sciences" was held March 3
4, 2007, in Boulder, Colorado. Sponsored by the National Science Foundation and
hosted by University of Colorado, Boulder's Biology Concept Inventory Team, the
meeting drew together 21 participants from 13 institutions, all of whom had
received National Science Foundation funding for biology education. Topics of
interest included Introductory Biology, Genetics, Evolution, Ecology, and the
Nature of Science. The goal of the meeting was to organize and leverage current
efforts to develop concept inventories for each of these topics. These diagnostic
tools are inspired by the success of the Force Concept Inventory, developed by
the community of physics educators to identify student misconceptions about
Newtonian mechanics. By working together, participants hope to lessen the risk
that groups might develop competing rather than complementary inventories.
PMID- 18056298
TI - Stem cell biology should be taught in high schools.
PMID- 18056299
TI - Keeping it real: substantive learning on a short calendar.
AB - Many institutions offer courses that last less than a quarter and are a student's
sole academic responsibility for that short term. There is an unfortunate and
incorrect perception that such short classes cannot be used to teach
substantively. At Colorado College, we teach all of our courses in 3.5 wk,
including majors' courses in molecular cell biology and related fields. The
article presents strategies for exploiting short terms as excellent venues for
deep learning in the biological sciences.
PMID- 18056300
TI - Grappling with the literature of education research and practice.
AB - The absence of a central database and use of specialized language hinder
nonexperts in becoming familiar with the science teaching and learning literature
and using it to inform their work. The challenge of locating articles related to
a specific question or problem, coupled with the difficulty of comprehending
findings based on a variety of different perspectives and practices, can be
prohibitively difficult. As I have transitioned from bench to classroom-based
research, I have become familiar with how to locate, decipher, and evaluate the
education research literature. In this essay, I point out analogies to the
literature of science research and practice, and I reference some of the
literature that I have found useful in becoming an education researcher. I also
introduce a new regular feature, "Current Insights: Recent Research in Science
Teaching and Learning," which is designed to point CBE--Life Sciences Education
(CBE-LSE) readers to current articles of interest in life sciences education, as
well as more general and noteworthy publications in education research.
PMID- 18056301
TI - Undergraduate research experiences support science career decisions and active
learning.
AB - The present study examined the reliability of student evaluations of summer
undergraduate research experiences using the SURE (Survey of Undergraduate
Research Experiences) and a follow-up survey disseminated 9 mo later. The survey
further examines the hypothesis that undergraduate research enhances the
educational experience of science undergraduates, attracts and retains talented
students to careers in science, and acts as a pathway for minority students into
science careers. Undergraduates participated in an online survey on the benefits
of undergraduate research experiences. Participants indicated gains on 20
potential benefits and reported on career plans. Most of the participants began
or continued to plan for postgraduate education in the sciences. A small group of
students who discontinued their plans for postgraduate science education reported
significantly lower gains than continuing students. Women and men reported
similar levels of benefits and similar patterns of career plans. Undergraduate
researchers from underrepresented groups reported higher learning gains than
comparison students. The results replicated previously reported data from this
survey. The follow-up survey indicated that students reported gains in
independence, intrinsic motivation to learn, and active participation in courses
taken after the summer undergraduate research experience.
PMID- 18056302
TI - Using Saccharomyces cerevisiae to test the mutagenicity of household compounds:
an open ended hypothesis-driven teaching lab.
AB - In our Fundamentals of Genetics lab, students perform a wide variety of labs to
reinforce and extend the topics covered in lecture. I developed an active
learning lab to augment the lecture topic of mutagenesis. In this lab exercise,
students determine if a compound they bring from home is a mutagen. Students are
required to read extensive background material, perform research to find a
potential mutagen to test, develop a hypothesis, and bring to the lab their own
suspected mutagen. This lab uses a specially developed strain of Saccharomyces
cerevisiae, D7, to determine if a compound is a mutagen. Mutagenesis of the D7
genome can lead to a scorable alteration in the phenotypes of this strain.
Students outline and carry out a protocol for treatment of the yeast tester
strain, utilizing the concept of dose/response and positive and negative
controls. Students report on their results using a PowerPoint presentation to
simulate giving a scientific presentation. The students' self-assessment of their
knowledge indicated that, in all cases, the students felt that they knew more
about the assay, mutagenesis, and the relationship between genotype and phenotype
(P < 0.05) after completing the exercise.
PMID- 18056303
TI - Identifying future scientists: predicting persistence into research training.
AB - This study used semistructured interviews and grounded theory to look for
characteristics among college undergraduates that predicted persistence into
Ph.D. and M.D./Ph.D. training. Participants in the summer undergraduate and
postbaccalaureate research programs at the Mayo Clinic College of Medicine were
interviewed at the start, near the end, and 8-12 months after their research
experience. Of more than 200 themes considered, five characteristics predicted
those students who went on to Ph.D. and M.D./Ph.D. training or to M.D. training
intending to do research: 1) Curiosity to discover the unknown, 2) Enjoyment of
problem solving, 3) A high level of independence, 4) The desire to help others
indirectly through research, and 5) A flexible, minimally structured approach to
the future. Web-based surveys with different students confirmed the high
frequency of curiosity and/or problem solving as the primary reason students
planned research careers. No evidence was found for differences among men, women,
and minority and nonminority students. Although these results seem logical
compared with successful scientists, their constancy, predictive capabilities,
and sharp contrast to students who chose clinical medicine were striking. These
results provide important insights into selection and motivation of potential
biomedical scientists and the early experiences that will motivate them toward
research careers.
PMID- 18056304
TI - A semester-long student-directed research project involving enzyme immunoassay:
appropriate for immunology, endocrinology, or neuroscience courses.
AB - The following project aimed at promoting integrated and long-lasting learning is
described for an Immunology course, but it may be adapted to other disciplines.
Students were asked to develop and carry out a research project to examine the
relationship between immune function and stress. The experiments were required to
include the assessment of salivary cortisol and salivary IgA (sIgA) with enzyme
immunoassays. All other aspects of the experiments were developed by student
groups with appropriate guidance from the instructor. Data are presented for one
group project that assessed the effect of music on cortisol and sIgA. Overall
levels of sIgA and cortisol were consistent with reported values. Students found
a significant decrease in cortisol over time. Additionally, there was a trend
that supported the overall student hypothesis regarding the effect of stress and
immune function. Compared with the same Immunology course that included an
instructor-designed experiment using enzyme immunoassays for cortisol and sIgA,
several assessments (e.g., final grades and comments on student evaluations) show
that overall learning seemed to be much better in the course with the student
directed research project.
PMID- 18056305
TI - A new paradigm for mentored undergraduate research in molecular microbiology.
AB - Science educators agree that an undergraduate research experience is critical for
students who are considering graduate school or research careers. The process of
researching a topic in the primary literature, designing experiments,
implementing those experiments, and analyzing the results is essential in
developing the analytical skills necessary to become a true scientist. Because
training undergraduates who will only be in the laboratory for a short period is
time consuming for faculty mentors, many students are unable to find appropriate
research opportunities. We hypothesized that we could effectively mentor several
students simultaneously, using a method that is a hybrid of traditional
undergraduate research and a traditional laboratory course. This article
describes a paradigm for mentored undergraduate research in molecular
microbiology where students have ownership of their individual projects, but the
projects are done in parallel, enabling the faculty mentor to guide multiple
students efficiently.
PMID- 18056306
TI - The annotated bibliography and citation behavior: enhancing student scholarship
in an undergraduate biology course.
AB - Contemporary undergraduates in the biological sciences have unprecedented access
to scientific information. Although many of these students may be savvy
technologists, studies from the field of library and information science
consistently show that undergraduates often struggle to locate, evaluate, and use
high-quality, reputable sources of information. This study demonstrates the
efficacy and pedagogical value of a collaborative teaching approach designed to
enhance information literacy competencies among undergraduate biology majors who
must write a formal scientific research paper. We rely on the triangulation of
assessment data to determine the effectiveness of a substantial research paper
project completed by students enrolled in an upper-level biology course. After
enhancing library-based instruction, adding an annotated bibliography
requirement, and using multiple assessment techniques, we show fundamental
improvements in students' library research abilities. Ultimately, these
improvements make it possible for students to more independently and effectively
complete this challenging science-based writing assignment. We document critical
information literacy advances in several key areas: student source-type use,
annotated bibliography enhancement, plagiarism reduction, as well as student and
faculty/librarian satisfaction.
PMID- 18056308
TI - A correction: how I fixed my mistake.
PMID- 18056310
TI - Using a sledgehammer to crack a walnut: the modern management of concussion.
PMID- 18056311
TI - An international review of head and spinal cord injuries in alpine skiing and
snowboarding.
AB - BACKGROUND: Alpine skiing and snowboarding are popular winter activities
worldwide, enjoyed by participants of all ages and skill levels. There is some
evidence that the incidence of traumatic brain injury (TBI) and spinal cord
injury (SCI) in these activities may be increasing. These injuries can cause
death or severe debilitation, both physically and emotionally, and also result in
enormous financial burden to society. Indeed, TBI is the leading cause of death
and catastrophic injury in the skiing and snowboarding population. Furthermore,
there are severe limitations to therapeutic interventions to restore neurological
function after TBI and SCI, and thus the emphasis must be on prevention.
OBJECTIVES: (1) To examine the worldwide epidemiology of TBI and SCI in skiing
and snowboarding; (2) to describe and examine the effectiveness of prevention
strategies to reduce the incidence of TBI and SCI in skiing and snowboarding.
SEARCH STRATEGY: Searches were performed on a variety of databases to identify
articles relevant to catastrophic central nervous system injury in skiing and
snowboarding. The databases included PubMed, Medline, EMBASE, CDSR, ACP Journal
Club, DARE, CCTR, SportDiscus, CINAHL, and Advanced Google searches. SELECTION
CRITERIA AND DATA COLLECTION: After initial prescreening, articles included in
the review required epidemiological data on SCI, TBI, or both. Articles had to be
directly associated with the topic of skiing and/or snowboarding and published
between January 1990 and December 2004. RESULTS: 24 relevant articles, from 10
different countries, were identified. They indicate that the incidence of TBI and
SCI in skiing and snowboarding is increasing. The increases coincide with the
development and acceptance of acrobatic and high-speed activities on the
mountains. There is evidence that helmets reduce the risk of head injury by 22
60%. Head injuries are the most common cause of death among skiers and
snowboarders, and young male snowboarders are especially at risk of death from
head injury. CONCLUSIONS: There should be enhanced promotion of injury prevention
that includes the use of helmets and emphasizes the skier's and snowboarder's
responsibility code.
PMID- 18056312
TI - Personality factors as predictors of persistent risky driving behavior and crash
involvement among young adults.
AB - OBJECTIVES: The aim of this study was to examine the relationship between
personality factors assessed during adolescence and persistent risky driving
behavior and traffic crash involvement among young adults. DESIGN: Data for this
investigation were drawn from the Dunedin Multidisciplinary Health and
Development Study, a longitudinal study of a cohort born in Dunedin, New Zealand.
SUBJECTS: The study population was 1037 young people born between 1 April 1972
and 31 March 1973. MAIN OUTCOME MEASURES: The main outcome measures were
persistent risky driving behaviors and crash involvement, collected in a face-to
face road-safety interview at ages 21 and 26. RESULTS: The only outcomes for
which there were sufficient numbers of females were a driver involved in any
crash and a driver involved in an injury crash. Univariate logistic regression
revealed that there were no significant predictors for either of these outcomes.
For the males, at the univariate level, aggression, traditionalism, and
alienation were the personality scales most frequently associated with risky
driving behavior and crash risk. After adjusting for driving exposure, only high
levels of aggression predicted being a driver involved in a crash, and alienation
predicted being a driver involved in an injury crash. CONCLUSION: These results
suggest that road-safety interventions seeking to deter young adult males from
persistent risky driving behavior need to be directed at those who do not endorse
traditional views, are aggressive, and feel alienated from the rest of society.
PMID- 18056314
TI - Association between road vehicle collisions and recent medical contact in older
drivers: a case-crossover study.
AB - OBJECTIVE: To estimate the association between past medical contacts and the risk
of vehicle collision in a population of older drivers from the province of
Quebec, Canada. DESIGN: Case-crossover study. SETTING: Quebec. PARTICIPANTS: 111
699 older drivers involved in at least one vehicle collision between January 1988
and December 2000. MAIN OUTCOME MEASURES: For each driver, the risk of having a
vehicle collision while exposed and not exposed to a medical contact was
compared. Separate conditional logistic regression analyses were conducted for
all drivers and in four diagnostic-specific subgroups. RESULTS: The study found a
weak but statistically significant increased risk of all collisions being
associated with a medical contact within 1 month before the collision, for all
drivers (OR=1.10, 95% CI 1.08 to 1.11) and for drivers with diabetes (OR=1.07,
95% CI 1.03 to 1.11). CONCLUSION: Older drivers who have a collision are more
likely to have been in contact with a physician shortly before the collision.
These findings suggest that there might be an opportunity to detect medical
conditions that put older drivers at higher risk of collision; however, further
research is needed to assess the potential effectiveness and practical modalities
of screening.
PMID- 18056315
TI - Pattern of seat belt wearing in Nanjing, China.
AB - OBJECTIVE: To describe the patterns of seat belt wearing in Nanjing, China for
drivers, front seat passengers, and rear occupants of motor vehicles. DESIGN:
Roadside observational study. SETTING: Four sites in central and northern Nanjing
during daylight hours over 1 week in April 2005. SUBJECTS: Drivers and passengers
of 17 147 cars, taxis, goods vans, and pickups, which traveled in the inside
traffic lane. MAIN OUTCOME MEASURES: Percentage seat belt wearing for each of
seating position, age/sex, time of day, vehicle type, day of week. RESULTS: The
rate of seat belt wearing was significantly higher in drivers (67.3%, 95% CI 66.6
to 68.0) than front seat passengers (18.9%, 95% CI, 18.0 to 19.8). It was
negligible for second front seat passengers (2.6%, 95% CI 0.3 to 4.9) and rear
seat passengers (0.5%, 95% CI 0.3 to 0.7). Belt tampering, such that protection
would be reduced in the event of a crash, was observed for 18.5% of taxi drivers.
Drivers were most likely to wear seat belts in cars and vans and at a city
roundabout; front seat passengers were most likely to wear seat belts in non-taxi
vehicles, during the evening rush hour, if the driver was wearing a belt, and on
the local north road. Drivers were least likely to wear a belt in the early
morning, in pickups and taxis, on Tuesday (or the following week), and on the
local north road; front seat passengers were least likely to wear a belt in taxis
and if the driver was not wearing a belt. CONCLUSIONS: Rates of seat belt wearing
by passengers were low despite national legislation and provincial regulations
coming into effect several months before the survey. Combined education and
enforcement are necessary accompaniments to legislation.
PMID- 18056316
TI - Understanding high traffic injury risks for children in low socioeconomic areas:
a qualitative study of parents' views.
AB - OBJECTIVE: To gain an in-depth qualitative understanding of parents' views about
their children's exposure to road traffic injury risk in low socioeconomic areas.
DESIGN: Focus groups facilitated by a moderator with content analysis of data.
SETTING: Focus groups were conducted in 10 low socioeconomic English districts
that also have high rates of child pedestrian injury. Research was conducted in
community venues within each area. SUBJECTS: Parents of children aged 9-14 years
living in low socioeconomic areas. RESULTS: Parents believe that children play in
their local streets for the following reasons: they like playing out with friends
near home; there are few safe, secure, and well-maintained public spaces for
children; children are excluded from affordable leisure venues because of their
costs; insufficient parental responsibility. For children that play in the
street, the key sources of risk identified by parents were: illegal riding and
driving around estates and on the pavements; the speed and volume of traffic;
illegal parking; drivers being poorly informed about where children play;
children's risk-taking behavior. CONCLUSIONS: Intervention programs need to take
into account multiple reasons why children in low socioeconomic areas become
exposed to hazardous environments thereby increasing their risk of injury. Multi
agency partnerships involving the community are increasingly needed to implement
traditional road safety approaches, such as education, engineering, and
enforcement, and provide safe and accessible public space, affordable activities
for children, and greater support for parents.
PMID- 18056317
TI - Car safety seats for children: rear facing for best protection.
AB - OBJECTIVE: To compare the injury risk between rear-facing (RFCS) and forward
facing (FFCS) car seats for children less than 2 years of age in the USA.
METHODS: Data were extracted from a US National Highway Traffic Safety
Administration vehicle crash database for the years 1988-2003. Children 0-23
months of age restrained in an RFCS or FFCS when riding in passenger cars, sport
utility vehicles, or light trucks were included in the study. Logistic regression
models and restraint effectiveness calculations were used to compare the risk of
injury between children restrained in RFCSs and FFCSs. RESULTS: Children in FFCSs
were significantly more likely to be seriously injured than children restrained
in RFCSs in all crash types (OR=1.76, 95% CI 1.40 to 2.20). When considering
frontal crashes alone, children in FFCSs were more likely to be seriously injured
(OR=1.23), although this finding was not statistically significant (95% CI 0.95
to 1.59). In side crashes, however, children in FFCSs were much more likely to be
injured (OR=5.53, 95% CI 3.74 to 8.18). When 1 year olds were analyzed
separately, these children were also more likely to be seriously injured when
restrained in FFCSs (OR=5.32, 95% CI 3.43 to 8.24). Effectiveness estimates for
RFCSs (93%) were found to be 15% higher than those for FFCSs (78%). CONCLUSIONS:
RFCSs are more effective than FFCSs in protecting restrained children aged 0-23
months. The same findings apply when 1 year olds are analyzed separately. Use of
an RFCS, in accordance with restraint recommendations for child size and weight,
is an excellent choice for optimum protection up to a child's second birthday.
PMID- 18056318
TI - Maternal depression, child behavior, and injury.
AB - BACKGROUND: Few data exist on the effect of maternal depression on child injury
outcomes and mediators of this relationship. OBJECTIVE: To examine the
relationship between mothers' depressive symptoms and medically attended injuries
in their children and the potential mediating role of child behavior.
DESIGN/METHODS: A cohort of mother-child dyads from the National Longitudinal
Study of Youth followed from 1992 to 1994. The primary exposure variable was
maternal depressive symptoms as measured by the Center for Epidemiologic Studies
Depression Scale in 1992. Child behavior was assessed by the Behavior Problems
Index externalizing subscale. Logistic regression was used to examine the
relationship between depressive symptoms, child behavior, and injury reported in
the prior year in 1994. RESULTS: 94 medically attended injuries were reported in
the 1106 children (8.5%); two-thirds were sustained in the home environment.
Maternal depressive symptoms significantly increased the risk of child injury;
injury risk increased 4% for every 1-point increase in depressive symptoms
(adjusted OR 1.04, 95% CI 1.01 to 1.08, p=0.02). Increasing maternal depressive
symptoms also increased the risk of externalizing behavior problems (adjusted OR
1.06, 95% CI 1.03 to 1.09), but externalizing behavior problems did not
significantly mediate the relationship between maternal symptoms and child
injury. CONCLUSIONS: Increasing depressive symptoms in mothers was associated
with an increased risk of child injury. Child behavior did not significantly
mediate the association between maternal depressive symptoms and child injury in
this cohort. Greater recognition, referral, and treatment of depressive symptoms
in mothers may have effects on child behavior and injury risk.
PMID- 18056319
TI - Work practices and childhood agricultural injury.
AB - OBJECTIVE: To evaluate whether children's agricultural work practices were
associated with agricultural injury and to identify injury and work practice
predictors. DESIGN: Analyses were based on nested case-control data collected by
the Regional Rural Injury Study-II (RRIS-II) surveillance study in 1999 and 2001
by computer-assisted telephone interviews. SUBJECTS: Cases (n=425) and controls
(n=1886) were persons younger than 20 years of age from Midwestern agricultural
households. Those reporting agricultural injuries became cases; controls (no
injury) were selected using incidence density sampling. MAIN OUTCOME MEASURES:
Multivariate logistic regression was used to estimate the risks of injury
associated with agricultural work, performing chores earlier than developmentally
appropriate, hours worked per week, and number of chores performed. RESULTS:
Increased risks of injury were observed for children who performed chores 2-3
years younger than recommended, compared to being "age-appropriate" (odds ratio
(OR)=2.6, 95% confidence interval (CI)=1.4-4.5); performed any agricultural work
(3.9 (2.6-5.6)); performed seven to ten chores per month compared to one chore
(2.2 (1.3-3.5)); and worked 11-30 or 31-40 h per week compared to 1-10 h (1.6
(1.2-2.1) and 2.2 (1.3-3.7), respectively). Decreased risks of injury were
observed for non-working children compared to children performing what are
commonly considered safe levels of agricultural work. CONCLUSIONS: This study
demonstrated elevated risks of agricultural injury among children who perform
developmentally inappropriate chores. Results suggest that the efficacy of age
restrictions for preventing the occurrence of childhood agricultural injuries
warrants further evaluation.
PMID- 18056321
TI - Completeness and accuracy of International Classification of Disease (ICD)
external cause of injury codes in emergency department electronic data.
AB - The accuracy of external cause of injury codes (E codes) for work-related and non
work-related injuries in Massachusetts emergency department data were evaluated.
Medical records were reviewed and coded by a nosologist with expertise in E
coding for a stratified random sample of 1000 probable work-related (PWR) and 250
probable non-work-related (PNWR) cases. Cause of injury E codes were present for
98% of reviewed cases and accurate for 65% of PWR cases and 57% of PNWR cases.
Place of occurrence E codes were present in less than 30% of cases. Broad cause
of injury categories were accurate for about 85% of cases. Non-specific
categories (not elsewhere classified, not specified) accounted for 34% of broad
category misclassifications. Among specified causes, machinery injuries were
misclassified most often (39/60, 65%), predominantly as cut/pierce or struck
by/against. E codes reliably identify the broad mechanism of injury, but
inaccuracies and incompleteness suggest areas for training of hospital admissions
staff, providers, and coders.
PMID- 18056320
TI - Cost of injuries from a prospective cohort study of North Carolina high school
athletes.
AB - OBJECTIVE: To estimate the economic cost of injuries in a population of US high
school varsity athletes. DESIGN AND SETTING: The North Carolina High School
Athletic Injury Study, conducted from 1996 to 1999, was a prospective cohort
study of injury incidence and severity. A two-stage cluster sampling technique
was used to select athletic teams from 100 high schools in North Carolina. An
injury cost model was used to estimate the economic cost of injury. PARTICIPANTS:
Varsity athletes from 12 sports: football, girls' and boy's soccer, girls' and
boys' track, girls' and boy's basketball, baseball, softball, wrestling,
volleyball, and cheerleading. MAIN OUTCOME MEASURES: Descriptive data were
collected at the time of injury. Three types of costs were estimated: medical,
human capital (medical costs plus loss of future earnings), and comprehensive
(human capital costs plus lost quality of life). RESULTS: The annual statewide
estimates were $9.9 million in medical costs, $44.7 million in human capital
costs, and $144.6 million in comprehensive costs. The mean medical cost was $709
per injury (95% CI $542 to $927), $2223 per injury (95% CI $1709 to $2893) in
human capital costs, and $10,432 per injury (95% CI $8062 to $13,449) in
comprehensive costs. Sport and competition division were significant predictors
of injury costs. CONCLUSIONS: Injuries among high school athletes represent a
significant economic cost to society. Further research should estimate costs in
additional populations to begin to develop cost-effective sports injury
prevention programs.
PMID- 18056322
TI - Family fun--family tragedy: ATV-related deaths involving family members.
PMID- 18056323
TI - Recognizing the importance of injury in other policy forums: the case of
motorcycle licensing policy in Spain.
PMID- 18056327
TI - Advocating for PET/CT.
PMID- 18056324
TI - Survey of patient release information on radiation and security checkpoints.
PMID- 18056329
TI - "Focus on molecular imaging".
PMID- 18056330
TI - Comparison of imaging techniques for tracking cardiac stem cell therapy.
PMID- 18056331
TI - PET/CT in evaluating pediatric malignancies: a clinician's perspective.
PMID- 18056332
TI - 18F-FDG PET/CT in evaluating non-CNS pediatric malignancies.
AB - We reviewed our experience of (18)F-FDG PET/CT in noncentral nervous system (CNS)
pediatric malignancies and evaluated if PET/CT provided additional information to
conventional imaging (CI) examinations to determine the efficacy of this new
imaging modality in the clinical setting. METHODS: One-hundred fifty-one
consecutive FDG PET/CT examinations in 55 pediatric patients with non-CNS
malignant tumors were reviewed. Among them, 108 PET/CT examinations were
accompanied by CI, such as contrast CT or MRI, performed within a month of PET/CT
in our hospital. Two radiologists reviewed the indication, purpose, and PET/CT
findings and compared the findings with those of CI, if available, on the
representative lesion in each of the 6 separate body regions. Positive findings
included abnormal findings related to malignant lesions (suspected) as well as
equivocal findings, in which the presence of malignancy could not be denied, but
excluded findings considered to relate to past treatment. Accuracy of the
findings was determined on the basis of the reference standard comprising
histopathologic findings or informative follow-up of >1 y. An examination-based
analysis was also performed in terms of additional information of PET/CT to CI.
RESULTS: There were 56 PET/CT-positive-CI-positive, 26 PET/CT-positive-CI
negative, and 54 PET/CT-negative-CI-positive lesions in 108 PET/CT examinations
accompanied by CI, of which 54, 20, and 52 exhibited accurate PET/CT findings,
respectively. Seventeen of the 20 PET/CT true-positive-CI false-negative lesions
represented small lymph nodes diagnosed as negative on CI. All 52 PET/CT true
negative-CI false-positive findings were observed in lesions in a posttreatment
status including 30 mediastinal masses in lymphoma. Examination-based analysis
revealed that additional information of PET/CT to CI was found in 37 (34%) of the
108 examinations: 23 (21%) as negative and 14 (13%) as positive PET/CT findings.
CONCLUSION: PET/CT exhibited better diagnostic performance than CI and showed
accurate findings in 90% (72/80) of lesions with discordant findings between
them. Additional information of PET/CT to CI was observed in more than one third
of examinations compared. PET/CT was demonstrated to be an accurate imaging
modality in evaluating pediatric patients with non-CNS malignancies.
PMID- 18056333
TI - Nuclear imaging in cardiac resynchronization therapy.
AB - Recently, cardiac resynchronization therapy (CRT) has become implemented in the
treatment of patients with severe heart failure. Although the improvement in
systolic function after CRT implantation can be considerable, 20%-30% of patients
do not respond to CRT. Evidence is accumulating that the presence of left
ventricular (LV) dyssynchrony is mandatory for a response to CRT. Since the early
1980s attempts have been made to assess cardiac dyssynchrony with nuclear
imaging, and it has been reported recently that information on LV dyssynchrony
can be obtained from gated myocardial perfusion SPECT with phase analysis. Other
studies with SPECT have shown that extensive scar tissue will limit the response
to CRT; similarly, it has been demonstrated that viable tissue (assessed with
SPECT) in the target zone for the LV pacing lead (usually the lateral wall) is
needed for a response to CRT. Moreover, studies with PET have provided insight
into the changes in myocardial perfusion, metabolism, and efficiency after CRT.
In the current review, a comprehensive summary is provided on the potential role
of nuclear imaging in the selection of heart failure patients for CRT. The value
of other imaging techniques is also addressed.
PMID- 18056334
TI - L-3-11C-lactate as a PET tracer of myocardial lactate metabolism: a feasibility
study.
AB - Lactate is a key myocardial energy source. Lactate metabolism is altered in a
variety of conditions, such as exercise and diabetes mellitus. However, to our
knowledge, noninvasive quantitative measurements of myocardial lactate metabolism
have never been performed because of the lack of an adequate radiotracer. In this
study we tested L-3-(11)C-lactate ((11)C-lactate) as such a tracer. METHODS:
Twenty-three dogs were studied under a wide range of metabolic interventions.
(11)C-Lactate and (13)C-lactate were injected as boluses and PET data were
acquired for 1 h. Concomitant arterial and coronary sinus (ART/CS) blood samples
were collected to identify (13)C-lactate metabolites and to measure fractional
myocardial extraction/production of (11)C metabolite fractions ((11)C acidic:
(11)CO(2) and (11)C-lactate; (11)C basic: (11)C-labeled amino acids; and (11)C
neutral: (11)C-glucose). Lactate metabolism was quantified using 2 PET
approaches: monoexponential clearance analysis (oxidation only) and kinetic
modeling of PET (11)C-myocardial curves. RESULTS: Arterial (11)C acidic, neutral,
and basic metabolites were identified as primarily (11)C-labeled lactate +
pyruvate, glucose, and alanine, respectively. Despite a significant contribution
of (11)C-glucose (23%-45%) and (11)C-alanine (<11%) to total arterial (11)C
activity, both were minimally extracted(+)/produced(-) by the heart (1.7% +/-
1.0% and -0.12% +/- 0.84%, respectively). Whereas extraction of (11)C-lactate
correlated nonlinearly with that of unlabeled lactate extraction (r = 0.86, P <
0.0001), (11)CO(2) production correlated linearly with extraction of unlabeled
lactate (r = 0.89, P < 0.0001, slope = 1.20 +/- 0.13). In studies with
physiologic free fatty acids (FFA) (415 +/- 216 nmol/mL), (11)C-lactate was
highly extracted (32% +/- 12%) and oxidized (26% +/- 14%), and PET
monoexponential clearance and kinetic modeling analyses resulted in accurate
estimates of lactate oxidation and metabolism. In contrast, supraphysiologic
levels of plasma FFA (4,111 +/- 1,709 nmol/mL) led to poor PET estimates of
lactate metabolism due to negligible lactate oxidation (1% +/- 2%) and complete
backdiffusion of unmetabolized (11)C-lactate into the vasculature (28% +/- 22%).
CONCLUSION: Under conditions of net lactate extraction, L-3-(11)C-lactate
faithfully traces myocardial metabolism of exogenous lactate. Furthermore, in
physiologic substrate environments, noninvasive measurements of lactate
metabolism are feasible with PET using myocardial clearance analysis (oxidation)
or compartmental modeling. Thus, L-3-(11)C-lactate should prove quite useful in
widening our understanding of the role that lactate oxidation plays in the heart
and other tissues and organs.
PMID- 18056335
TI - L-type amino acid transporters LAT1 and LAT4 in cancer: uptake of 3-O-methyl-6
18F-fluoro-L-dopa in human adenocarcinoma and squamous cell carcinoma in vitro
and in vivo.
AB - Expression of system L amino acid transporters (LAT) is strongly increased in
many types of tumor cells. The purpose of this study was to demonstrate that
(18)F-labeled amino acids, for example, 3-O-methyl-6-(18)F-fluoro-L-dopa ((18)F
OMFD), that accumulate in tumors via LAT represent an important class of imaging
agents for visualization of tumors in vivo by PET. METHODS: (18)F-OMFD uptake
kinetics, transport inhibition, and system L messenger RNA expression were
studied in vitro in human adenocarcinoma (HT-29), squamous cell carcinoma (FaDu),
macrophages (THP-1), and primary aortic endothelial cells (HAEC) and in vivo in
the corresponding mouse tumor xenograft models. RESULTS: Uptake of (18)F-OMFD in
all cell lines tested was mediated mainly by the sodium-independent high-capacity
LAT. We found higher uptake in FaDu cells (V(max), 10.6 +/- 1.1 nmol/min x mg of
cell protein) and in the corresponding FaDu tumor xenografts than in the other
cells and corresponding xenograft models studied. Quantitative messenger RNA
analysis revealed that tumor cells and xenografts have a higher expression of
LAT1 than do HAEC and THP-1 macrophages. However, only in the FaDu tumor model
did an increased (18)F-OMFD uptake seem to be explained by increased LAT
expression. Furthermore, we demonstrated a high expression of LAT4, a recently
identified LAT. CONCLUSION: Our findings support the hypothesis that (18)F-OMFD
is a tracer for visualization of tumor cells. (18)F-OMFD particularly seems to be
a suitable tracer for diagnostic imaging of amino acid transport in poorly
differentiated squamous cell head and neck carcinoma with increased LAT1 and LAT4
expression.
PMID- 18056339
TI - TLR ligands differentially modulate T cell responses to acute and chronic antigen
presentation.
AB - The outcome of peripheral T cell activation is thought to be largely determined
by the context in which the cognate Ag is initially presented. In this framework,
microbial products that can activate APCs via TLRs are considered critical in
converting an otherwise tolerogenic context to an immunogenic one. We examine
this idea using a model system where naive T cells are stimulated in the
periphery by a persistent self Ag. The addition of multiple TLR ligands to this
context, acutely or chronically, failed to significantly alter the tolerogenic
phenotype in the responding T cells. This contrasts with the ability of such
adjuvants to improve T cell responses to soluble peptide immunizations. We
reconcile this difference by revealing a hitherto poorly appreciated property of
TLR ligands, which extends the duration of soluble Ag presentation in vivo by an
additional two to three days. Finally, we could replace the requirement for TLR
mediated APC activation in soluble-Ag-induced T cell expansion and
differentiation, by maintaining the Ag depot in vivo using repeated
immunizations. These data suggest a novel process by which TLR ligands modulate T
cell responses to acute Ags, without disrupting the induction of tolerance to
persistent self Ags.
PMID- 18056338
TI - COPs and POPs: modulators of inflammasome activity.
AB - Inflammasomes represent molecular platforms for the activation of inflammatory
caspases and are essential for processing and secretion of the inflammatory
cytokines IL-1beta and IL-18. Multiple key proteins of inflammasomes contain
caspase recruitment domains (CARDs) or pyrin domains (PYDs). Dissecting CARD- and
PYD-mediated interactions substantially improved our understanding of the
mechanisms by which these protein platforms are activated and emphasized their
essential role during the inflammatory cytokine response. However, their precise
regulation is still poorly understood. A family of small proteins that are
composed of either a CARD or a PYD only emerged as important inflammasome
regulators. These CARD-only proteins (COPs) and PYD-only proteins (POPs) function
as endogenous dominant negative proteins that modulate the activity of
inflammasomes in response to pathogen infection and tissue destruction. In this
review we will summarize the most recent advances in the regulation of
inflammasomes and highlight their importance for immunity and inflammatory
disease.
PMID- 18056340
TI - Inappropriate recruitment and activity by the Src homology region 2 domain
containing phosphatase 1 (SHP1) is responsible for receptor dominance in the SHIP
deficient NK cell.
AB - We have previously demonstrated that the NKR repertoire is profoundly disrupted
by SHIP deficiency. This repertoire disruption is characterized by receptor
dominance where inhibitory signals from 2B4 repress killing of complex targets
expressing MHC class I and activating ligands. In this study, we examine the
molecular basis of receptor dominance in SHIP-/- NK cells. In this study, we show
that in SHIP-/- NK cells there is a pronounced bias toward the 2B4 long isoform.
We have also characterized signaling molecules recruited to 2B4 in SHIP-/- NK
cells. Interestingly, we find that approximately 10- to 16-fold more Src homology
region 2 domain-containing phosphatase 1 (SHP1) is recruited to 2B4 in SHIP-/- NK
cells when compared with wild type. Consistent with SHP1 overrecruitment,
treatment with sodium orthovanadate or a novel inhibitor with micromolar activity
against SHP1 restores the ability of SHIP-/- NK cells to kill Rae1+ RMA and M157+
targets. These findings define the molecular basis for hyporesponsiveness by SHIP
deficient NK cells.
PMID- 18056341
TI - Altered distribution of H60 minor H antigen-specific CD8 T cells and attenuated
chronic vasculopathy in minor histocompatibility antigen mismatched heart
transplantation in Cxcr3-/- mouse recipients.
AB - Chemokine-chemokine receptor interactions and the subsequent recruitment of T
lymphocytes to the graft are believed to be among the initial events in the
development of acute and chronic rejection of heart transplants. We sought to
determine the role of chemokine receptor Cxcr3 on the development of acute and
chronic rejection in a multiple minor Ag mismatched mouse heart transplant model.
The frequencies and kinetics of immunodominant H60 (LTFNYRNL) miHA-specific CD8 T
cells in wild-type or Cxcr3-/- C57BL/6 recipients were monitored using MHC class
I tetramer after BALB/b donor hearts were transplanted. Acceptance of grafts,
severity of rejection, and infiltration of T cells were not altered in Cxcr3-/-
recipients. However, graft survival was moderately prolonged in Cxcr3-/-
recipient mice undergoing acute rejection. Analyses of splenocytes, PBLs, and
graft-infiltrating cells revealed increased alloreactive T cells (H60-specific
CD8 T cells) in the peripheral blood and spleen but not in the graft. Adoptively
transferred Cxcr3-/- CD8 T cells in the BALB/b heart-bearing B6 scid mice showed
retention of alloreactive CD8 T cells in the blood but less infiltration into the
graft. Cxcr3-/- recipients with long-term graft survival also showed a marked
decrease of CD8+ T cell infiltration and reduced neo-intimal hyperplasia. These
data indicate that Cxcr3 plays a critical role in the trafficking as well as
activation of alloreactive T cells. This role is most eminent in a transplant
model when a less complex inflammatory milieu is involved such as a well-matched
graft and chronic rejection.
PMID- 18056342
TI - Inverse Rap1 and phospho-ERK expression discriminate the maintenance phase of
tolerance and priming of antigen-specific CD4+ T cells in vitro and in vivo.
AB - T cell recognition of Ag can result in priming or tolerance depending on the
context in which Ag is recognized. Previously, we have reported that these
distinct functional outcomes are associated with marked differences in the
amplitude, kinetics, and cellular localization of activated, pERK signals at the
level of individual Ag-specific T cells in vitro. Here, we show that the GTPase
Rap1, which can antagonize the generation of such pERK signals and has been
reported to accumulate in tolerant cells, exhibits an inverse pattern of
expression to pERK in individual Ag-specific primed and tolerized T cells.
Although pERK is expressed by more primed than tolerized T cells when
rechallenged with Ag in vitro, Rap1 is expressed by higher percentages of
tolerant compared with primed Ag-specific T cells. Moreover, whereas pERK
localizes to the TCR and lipid rafts in primed cells, but exhibits a diffuse
cellular distribution in tolerized cells, Rap1 colocalizes with the TCR and lipid
raft structures under conditions of tolerance, but not priming, in vitro. This
inverse relationship between Rap1 and pERK expression is physiologically
relevant, given that we observed the same patterns in Ag-specific T cells in
situ, following induction of priming and tolerance in vivo. Together, these data
suggest that the maintenance of tolerance of individual Ag-specific T cells may
reflect the recruitment of up-regulated Rap1 to the immune synapse, potentially
resulting in sequestration of Raf-1 and uncoupling of the TCR from the Ras-ERK
MAPK cascade.
PMID- 18056343
TI - A novel role of IL-2 in organ-specific autoimmune inflammation beyond regulatory
T cell checkpoint: both IL-2 knockout and Fas mutation prolong lifespan of Scurfy
mice but by different mechanisms.
AB - Mutation of the Foxp3 transcription factor in Scurfy (Sf) mice results in
complete absence of the CD4+Foxp3+ regulatory T cells (Tregs), severe multiorgan
autoimmune syndrome, and early death at 4 wk of age. However, Sf mice
simultaneously bearing the Il2-/- (Sf.Il2-/-) or Faslpr/lpr gene (Sf.Faslpr/lpr)
have extended lifespan despite totally lacking Tregs, indicating a role of IL-2
and CD95 (Fas) signaling pathways in the multiorgan autoimmune syndrome beyond
the Treg checkpoint. IL-2 has been implicated in regulating lymphoproliferation
and CD178 (FasL) expression. However, Sf.Il2-/- mice have increased
lymphoproliferation and FasL expression. Importantly, the pattern of organ
specific autoimmune response of Sf.Il2-/-mice resembled IL-2 knockout mice
whereas that of Sf.Faslpr/lpr was similar to Sf mice, indicating that the
distinct and weakened autoimmune manifestation in IL-2 knockout mice was not
caused by the residual Tregs. Our study demonstrated a novel role of IL-2 in
regulating multiorgan autoimmune inflammation beyond the Treg checkpoint and
indicated that both Il2-/- and Faslpr/lpr genes prolong the lifespan of Sf mice
but by different mechanisms.
PMID- 18056344
TI - Passive immunization against the MHC class I molecule Mamu-AG disrupts rhesus
placental development and endometrial responses.
AB - The unique MHC phenotype of the human and nonhuman primate placenta has suggested
a potential role in maternal-fetal immune tolerance, pregnancy success, and
maternal as well as fetal well-being. In the rhesus monkey (Macaca mulatta) a
nonclassical MHC class I molecule, Mamu-AG, is a putative homologue of HLA-G and
is hypothesized to play a role in maternal-fetal immune interactions during
pregnancy. Rhesus monkeys were passively immunized during the second week after
implantation with a mAb against Mamu-AG. Passive immunization altered the growth
and vascularization of the fetal placenta, the placental modification of maternal
endometrial vessels, the maternal leukocyte response to implantation, and the
differentiation of epithelial and stromal cells in the endometrium. These data
are the first to demonstrate in vivo the importance of MHC class I molecules
expressed on primate trophoblasts in establishing an important environment for
pregnancy success through coordinated interactions between endometrial and fetal
tissues.
PMID- 18056345
TI - Receptor for advanced glycation end products expression on T cells contributes to
antigen-specific cellular expansion in vivo.
AB - Receptor for advanced glycation end products (RAGE) is an activation receptor
triggered by inflammatory S100/calgranulins and high mobility group box-1
ligands. We have investigated the importance of RAGE on Ag priming of T cells in
murine models in vivo. RAGE is inducibly up-regulated during T cell activation.
Transfer of RAGE-deficient OT II T cells into OVA-immunized hosts resulted in
reduced proliferative responses that were further diminished in RAGE-deficient
recipients. Examination of RAGE-deficient dendritic cells did not reveal
functional impairment in Ag presentation, maturation, or migratory capacities.
However, RAGE-deficient T cells showed markedly impaired proliferative responses
in vitro to nominal and alloantigens, in parallel with decreased production of
IFN-gamma and IL-2. These data indicate that RAGE expressed on T cells is
required for efficient priming of T cells and elucidate critical roles for RAGE
engagement during cognate dendritic cell-T cell interactions.
PMID- 18056346
TI - Chronic antigen stimulation in vivo induces a distinct population of antigen
specific Foxp3 CD25 regulatory T cells.
AB - The concept of immune regulation/suppression has been well-established and,
besides thymus-derived CD4+CD25+ regulatory T (TR) cells, it became clear that a
variety of additional peripherally induced TR cells play vital roles in
protection from many harmful immune responses including intestinal inflammation.
In the present study, we have analyzed in vivo-induced Ag-specific CD4+ TR cells
with respect to their molecular and functional phenotype. By comparative genomics
we could show that these Ag-specific TR cells induced by chronic Ag stimulation
in vivo clearly differ in their genetic program from naturally occurring thymus
derived CD4+CD25+ TR cells. This distinct population of induced TR cells express
neither CD25 nor the TR-associated transcription factor Foxp3. Strikingly, CD25
is not even up-regulated upon stimulation. Despite the lack in Foxp3 expression,
these in vivo-induced CD25- TR cells are able to interfere with an Ag-specific
CD8+ T cell-mediated intestinal inflammation without significant increase in CD25
and Foxp3 expression. Thus, our results demonstrate that in vivo-induced Ag
specific TR cells represent a distinct population of Foxp3-CD25- TR cells with
regulatory capacity both in vitro and in vivo.
PMID- 18056347
TI - Lymphotoxin beta receptor is required for the migration and selection of
autoreactive T cells in thymic medulla.
AB - How organ-specific central tolerance is established and regulated has been an
intriguing question. Lymphotoxin beta receptor (LTbetaR) deficiency is associated
with autoimmune phenotypes characterized by humoral and cellular autoreactivity
to peripheral organs. Whether this results from defective negative selection of T
cells directed at tissue-restricted Ags has not been well understood. By tracing
the development of OT-I thymocytes in rat insulin 2 promoter-mOVA transgenic mice
on either Ltbr+/+ or Ltbr-/- background, we demonstrate that LTbetaR is necessary
for thymic negative selection. LTbetaR deficiency resulted in a dramatic escape
of "neo-self" specific OT-I cells that persist in circulation and lead to
development of peri-insulitis. When the underlying mechanism was further
explored, we found interestingly that LTbetaR deficiency did not result in
reduced thymic expression of mOVA. Instead, LTbetaR was revealed to control the
expression of thymic medullary chemokines (secondary lymphoid tissue chemokine
(SLC) and EBV-induced molecule 1 ligand chemokine (ELC)) which are required for
thymocytes migration and selection in medulla. Furthermore, RIP-mOVA transgenic
mice on SLC/ELC deficient background (plt) demonstrated significant impaired
negative selection of OT-I cells, suggesting that the dysregulation of SLC/ELC-
expression alone in Ltbr-/- thymi can be sufficient to impair thymic negative
selection. Thus, LTbetaR has been revealed to play an important role in thymic
negative selection of organ-specific thymocytes through thymic medullary
chemokines regulation.
PMID- 18056348
TI - CD28 deficiency exacerbates joint inflammation upon Borrelia burgdorferi
infection, resulting in the development of chronic Lyme arthritis.
AB - Lyme disease, caused by the tick-borne spirochete Borrelia burgdorferi (Bb), is a
multisystem illness, affecting many organs, such as the heart, the nervous
system, and the joints. Months after Bb infection, approximately 60% of patients
experience intermittent arthritic attacks, a condition that in some individuals
progresses to chronic joint inflammation. Although mice develop acute arthritis
in response to Bb infection, the joint inflammation clears after 2 wk, despite
continuous infection, only very rarely presenting with chronic Lyme arthritis.
Thus, the lack of an animal system has so far prevented the elucidation of this
persistent inflammatory process that occurs in humans. In this study, we report
that the majority of Bb-infected CD28-/- mice develop chronic Lyme arthritis.
Consistent with observations in chronic Lyme arthritis patients, the infected
mutant, but not wild-type mice present recurring monoarticular arthritis over an
extended time period, as well as anti-outer surface protein A of Bb serum titers.
Furthermore, we demonstrate that anti-outer surface protein A Abs develop in
these mice only after establishment of chronic Lyme arthritis. Thus, the Bb
infected CD28-/- mice provide a murine model for studying chronic Lyme arthritis.
PMID- 18056349
TI - Enhancement of NF-kappaB activation in lymphocytes prevents T cell apoptosis and
improves survival in murine sepsis.
AB - Sepsis induces extensive lymphocyte apoptosis that contributes to
immunosuppression and mortality. Activation of the canonical NF-kappaB pathway,
however, prevents TNF-alpha-induced lymphocyte apoptosis. In this study the
function of canonical NF-kappaB in T cells was studied in the context of murine
sepsis. Upon cecal ligation and puncture (CLP), NF-kappaB DNA binding activity in
thymocytes declines relative to sham-operated mice. This decline in NF-kappaB
activity is most likely due to posttranslational modifications such as
deacetylation of p65. In parallel, cleavage of procaspase-3 is increased, whereas
expression of NF-kappaB-dependent antiapoptotic genes Bcl-xL and c-IAP2 is
suppressed upon sepsis induction. Interestingly, adoptive transfer of
IkappaBalpha-deficient fetal liver stem cells into sublethally irradiated
lymphopenic host mice reduced the decline in thymocyte survival, increased
peripheral T cell numbers, and improved the mortality rate relative to wild-type
reconstituted hosts after cecal ligation and puncture. In conclusion, lymphocyte
directed augmentation of canonical NF-kappaB ameliorates immunosuppression during
murine sepsis. These data provide evidence for a new approach in sepsis therapy.
PMID- 18056350
TI - Selective inhibition of inducible NO synthase activity in vivo reverses
inflammatory abnormalities in surfactant protein D-deficient mice.
AB - Surfactant protein D (SP-D)-deficient (SP-D-/-) mice exhibit early development of
emphysema. Previously we have shown that SP-D deficiency results in increased
production and activity of inducible NO synthase (iNOS). In this study, we
examined whether treatment with the iNOS inhibitor 1400W could inhibit the
inflammatory phenotype. Mice were treated with 1400W systemically for 7 wk from 3
wk of age. Treatment reduced total lung NO synthase activity to 14.7+/-6.1% of
saline-treated 10-wk-old SP-D-/- littermates. Long-term administration of 1400W
reduced lung inflammation and cellular infiltration; and significantly attenuated
the increased levels of matrix metalloproteinases 2 and 9, chemokines (KC, TARC),
and cytokines (IFN-gamma) seen in bronchoalveolar lavage (BAL) of SP-D-/- mice.
Abrogation of these levels was associated with decreasing BAL chemotactic
activity for RAW cells. Two weeks of treatment with 1400W reduced total lung NO
synthase (NOS) activity to 12.7+/-6.3% of saline-treated SP-D-/- mice. Short-term
iNOS inhibition resulted in attenuation of pulmonary inflammation within SP-D-/-
mice as shown by decreases in total BAL cell count (63+/-6% of SP-D-/- control),
macrophage size (>25 microm) within the BAL (62+/-10% of SP-D-/- control), and a
percentage of BAL macrophages producing oxidants (76+/-9% of SP-D-/- control).
These studies showed that s.c. delivery of 1400W can be achieved in vivo and can
attenuate the inflammatory processes within SP-D deficiency. Our results
represent the first report linking defects in the innate immune system in the
lung with alterations in NO homeostasis.
PMID- 18056351
TI - IL-22 is expressed by Th17 cells in an IL-23-dependent fashion, but not required
for the development of autoimmune encephalomyelitis.
AB - Lately, IL-17-secreting Th cells have received an overwhelming amount of
attention and are now widely held to be the major pathogenic population in
autoimmune diseases. In particular, IL-22-secreting Th17 cells were shown to
specifically mark the highly pathogenic population of self-reactive T cells in
experimental autoimmune encephalomyelitis (EAE). As IL-17A itself was found to
only play a minor role during the development of EAE, IL-22 is now postulated to
contribute to the pathogenic function of Th17 cells. The goal of this study was
to determine the role and function of IL-22 during the development of CNS
autoimmunity in vivo. We found that CNS-invading encephalitogenic Th17 cells
coexpress IL-22 and that IL-22 is specifically induced by IL-23 in autoimmune
pathogenic CD4+ T cells in a time- and dose-dependent manner. We next generated
IL-22-/- mice, which--in contrast to the prediction that expression of
inflammatory cytokines by CNS-invading T cells inevitably confers pathogenic
function--turned out to be fully susceptible to EAE. Taken together, we show that
self-reactive Th cells coexpress IL-17 and IL-22, but that the latter also does
not appear to be directly involved in autoimmune pathogenesis of the CNS.
PMID- 18056352
TI - Transduction of phosphatase and tensin homolog deleted on chromosome 10 into
eosinophils attenuates survival, chemotaxis, and airway inflammation.
AB - Phosphatase and tensin homolog deleted on chromosome 10 (PTEN) is part of a
complex signaling system that affects a variety of important cell functions. PTEN
antagonizes the action of PI3K by dephosphorylating the signaling lipid
phosphatidylinositol 3,4,5-triphosphate. In the present study, we used a TAT
fusion protein transduction system to elucidate the role of PTEN in eosinophils
and airway inflammation. A small region of the HIV TAT protein (YGRKKRRQRRR), a
protein transduction domain known to enter mammalian cells efficiently, was fused
to the N terminus of PTEN. Flow cytometric analysis of annexin V- and propidium
iodide-stained cells was used to assess eosinophil survival. A chemotaxis assay
was performed using a Boyden chamber. Cell analysis in bronchoalveolar lavage
fluid and histological examinations were performed using OVA-challenged A/J mice.
We found that TAT-PTEN was successfully internalized into eosinophils and
functioned as a phosphatase in situ. TAT-PTEN, but not a TAT-GFP control protein,
blocked the ability of IL-5 to prevent the apoptosis of eosinophils from allergic
subjects. The eotaxin-induced eosinophil chemotaxis was inhibited by TAT-PTEN in
a dose-dependent manner. Intranasal pretreatment with TAT-PTEN, but not TAT-GFP,
significantly inhibited the OVA-induced eosinophil infiltration in
bronchoalveolar lavage fluid. Histological examination of the lung, including H&E
and Alcian blue/periodic acid-Schiff staining, revealed that TAT-PTEN, but not
TAT-GFP, abrogated eosinophilic inflammation and mucus production. Our results
suggest that PTEN negatively regulates eosinophil survival, chemotaxis, and
allergic inflammation. The pharmacological targeting of PTEN may constitute a new
strategy for the treatment of eosinophilic disorders.
PMID- 18056353
TI - Formyl peptide receptor-1 activation enhances intestinal epithelial cell
restitution through phosphatidylinositol 3-kinase-dependent activation of Rac1
and Cdc42.
AB - Inflammatory disorders of the gastrointestinal tract result in the breakdown of
the intestinal epithelial barrier in the form of erosion and ulceration. To
reestablish the epithelial barrier, the epithelium must efficiently migrate to
reseal wounds. Numerous signaling cascades are involved in the induction and
regulation of this complex process. N-formyl peptide receptors comprise a group
of Gi-coupled receptors that regulate innate immune responses. Previously, we
identified the expression of functional N-formyl peptide receptors in model SK
CO15 intestinal epithelial cells and observed a role for activation of these
receptors in regulating cellular invasive behavior. In these studies, we
performed formyl peptide receptor-1 (FPR) localization and evaluated its role in
regulating intestinal epithelial cell wound closure. Immunolocalization studies
using a recently developed specific monoclonal anti-FPR Ab demonstrated its
localization along the lateral membrane of crypt epithelial cells in normal human
colonic epithelium. In vitro studies using the classical FPR agonist fMLF showed
that FPR activation significantly enhances model intestinal epithelial cell
restitution and that FPR localized along actin filaments in lamellipodial and
filopodial extrusions. The increase in cell migration was associated with
activation of PI3K, Rac1, and Cdc42. Pharmacologic inhibition of PI3K activity
abrogated the fMLF-induced increase in wound closure and activation of both Rac1
and Cdc42. Inhibition of Rac1 and Cdc42 using pharmacologic inhibitors and
dominant negative mutants also inhibited the fMLF-induced increase in cell
migration. Taken together, theses results support a novel role for FPR
stimulation in enhancing intestinal epithelial cell restitution through PI3K
dependent activation of Rac1 and Cdc42.
PMID- 18056354
TI - Systemic and mucosal infection program protective memory CD8 T cells in the
vaginal mucosa.
AB - Whether mucosal immunization is required for optimal protective CD8 T cell memory
at mucosal surfaces is controversial. In this study, using an adoptive transfer
system, we compare the efficacy of two routes of acute lymphocytic
choriomeningitis viral infection on the generation, maintenance, and localization
of Ag-specific CD8 T cells in tissues, including the vaginal mucosa.
Surprisingly, at day 8, i.p. infection results in higher numbers of Ag-specific
CD8 T cells in the vaginal mucosa and iliac lymph node, as well as 2-3x more Ag
specific CD8 T cells that coexpress both IFN-gamma and TNF-alpha in comparison to
the intranasal route of infection. Expression of the integrin/activation marker
CD103 (alphaEbeta7) is low on vaginal mucosal Ag-specific CD8 T cells in
comparison to gut mucosal intraepithelial lymphocytes. At memory, no differences
are evident in the number, cytokine production, or protective function of Ag
specific CD8 T cells in the vaginal mucosa comparing the two routes of infection.
However, differences persist in the cytokine profile of genital tract vs
peripheral Ag-specific CD8 T cells. So although the initial route of infection,
as well as tissue microenvironment, appear to influence both the magnitude and
quality of the effector CD8 T cell response, both systemic and mucosal infection
are equally effective in the differentiation of protective memory CD8 T cell
responses against vaginal pathogenic challenge.
PMID- 18056356
TI - Analysis of direct and cross-presentation of antigens in TPPII knockout mice.
AB - Tripeptidyl peptidase II (TPPII) is an oligopeptidase forming giant complexes in
the cytosol that have high exo-, but also, endoproteolytic activity.
Immunohistochemically, the complexes appear as distinct foci in the cytosol. In
part controversial biochemical and functional studies have suggested that TPPII
contributes, on the one hand, positively to Ag processing by generating epitope
carboxyl termini or by trimming epitope precursors, and, on the other, negatively
by destroying potentially antigenic peptides. To clarify which of these roles is
predominant, we generated and analyzed TPPII-deficient mice. Cell surface levels
of MHC class I peptide complexes tended to be increased on most cell types of
these mice. Although presentation of three individual epitopes derived from
lymphocytic choriomeningitis virus was not elevated on TPPII-/- cells, that of
the immunodominant OVA epitope SIINFEKL was significantly enhanced. Consistent
with this, degradation of a synthetic peptide corresponding to the OVA epitope
and of another corresponding to a precursor thereof, both being proteasomally
generated OVA fragments, was delayed in TPPII-deficient cytosolic extracts. In
addition, dendritic cell cross-presentation of phagocytosed OVA and of OVA
internalized as an immune complex was increased to about the same level as direct
presentation of the Ag. The data suggest a moderate, predominantly destructive
role of TPPII in class I Ag processing, in line with our finding that TPPII is
not induced by IFN-gamma, which up-regulates numerous, predominantly constructive
components of the Ag processing and presentation machinery.
PMID- 18056357
TI - Pregnancy suppresses experimental autoimmune encephalomyelitis through
immunoregulatory cytokine production.
AB - Women with multiple sclerosis (MS) often experience a decrease in relapse rate
during pregnancy, most notably during the third trimester, with a flare of
disease activity 3-6 mo postpartum. Studies in experimental autoimmune
encephalomyelitis (EAE), an animal model for MS, have shown that pregnancy delays
the onset and decreases the incidence of disease. We investigated the effect of
pregnancy and the postpartum period in a remitting-relapsing model of murine EAE.
When immunization occurs during pregnancy, mice show a reduction in the incidence
of EAE as well as a decrease in clinical severity, while mice immunized during
the postpartum period exhibit more severe disease. No differences in lymphocyte
proliferation or expression of activation markers were noted when immunization
occurred during pregnancy as compared with the nonpregnant controls. Mice
immunized during pregnancy produced less TNF-alpha and IL-17, and showed an
increased number of IL-10-secreting cells within the CD11b+, CD11c+, CD19+, and
CD4+/CD25+ populations. No differences were noted in the production of IFN-gamma,
IL-2, IL-4, and IL-5. These results suggest that when an Ag is introduced during
pregnancy, an immunoregulatory rather than an immunosuppressive or Th2
environment predominates.
PMID- 18056355
TI - LILRA2 activation inhibits dendritic cell differentiation and antigen
presentation to T cells.
AB - The differentiation of monocytes into dendritic cells (DC) is a key mechanism by
which the innate immune system instructs the adaptive T cell response. In this
study, we investigated whether leukocyte Ig-like receptor A2 (LILRA2) regulates
DC differentiation by using leprosy as a model. LILRA2 protein expression was
increased in the lesions of the progressive, lepromatous form vs the self
limited, tuberculoid form of leprosy. Double immunolabeling revealed LILRA2
expression on CD14+, CD68+ monocytes/macrophages. Activation of LILRA2 on
peripheral blood monocytes impaired GM-CSF induced differentiation into immature
DC, as evidenced by reduced expression of DC markers (MHC class II, CD1b, CD40,
and CD206), but not macrophage markers (CD209 and CD14). Furthermore, LILRA2
activation abrogated Ag presentation to both CD1b- and MHC class II-restricted,
Mycobacterium leprae-reactive T cells derived from leprosy patients, while
cytokine profiles of LILRA2-activated monocytes demonstrated an increase in TNF
alpha, IL-6, IL-8, IL-12, and IL-10, but little effect on TGF-beta. Therefore,
LILRA2 activation, by altering GM-CSF-induced monocyte differentiation into
immature DC, provides a mechanism for down-regulating the ability of the innate
immune system to activate the adaptive T cell response while promoting an
inflammatory response.
PMID- 18056358
TI - Atypical memory phenotype T cells with low homeostatic potential and impaired TCR
signaling and regulatory T cell function in Foxn1Delta/Delta mutant mice.
AB - Foxn1Delta/Delta mutants have a block in thymic epithelial cell differentiation
at an intermediate progenitor stage, resulting in reduced thymocyte cellularity
and blocks at the double-negative and double-positive stages. Whereas naive
single-positive thymocytes were reduced >500-fold in the adult Foxn1Delta/Delta
thymus, peripheral T cell numbers were reduced only 10-fold. The current data
shows that Foxn1Delta/Delta peripheral T cells had increased expression of
activation markers and the ability to produce IL-2 and IFN-gamma. These cells
acquired this profile immediately after leaving the thymus as early as the
newborn stage and maintained high steady-state proliferation in vivo but
decreased proliferation in response to TCR stimulation in vitro. Single-positive
thymocytes and naive T cells also had constitutively low alphabetaTCR and IL7R
expression. These cells also displayed reduced ability to undergo homeostatic
proliferation and increased rates of apoptosis. Although the frequency of
Foxp3+CD4+CD25+ T cells was normal in Foxn1Delta/Delta mutant mice, these cells
failed to have suppressor function, resulting in reduced regulatory T cell
activity. Recent data from our laboratory suggest that T cells in the
Foxn1Delta/Delta thymus develop from atypical progenitor cells via a noncanonical
pathway. Our results suggest that the phenotype of peripheral T cells in
Foxn1Delta/Delta mutant mice is the result of atypical progenitor cells
developing in an abnormal thymic microenvironment with a deficient TCR and IL7
signaling system.
PMID- 18056359
TI - Anti-CD28 antibodies modify regulatory mechanisms and reinforce tolerance in
CD40Ig-treated heart allograft recipients.
AB - Blockade of CD40-CD40 ligand (CD40L) costimulation has been shown to synergize
with that of CTLA4/CD28-B7 to promote transplant tolerance. To date, however,
CD28-B7 interactions have been prevented using B7-blocking reagents like CTLA4-Ig
that inhibit CD28-B7 together with CTLA4-B7 interactions. In this study, we have
tested anti-CD28 Abs to prevent selectively CD28-B7 interactions while preserving
CTLA4-B7 in addition to CD40-CD40L blockade. In the LEW.1W to LEW.1A rat
combination, interfering with CD40-CD40L interactions by CD40Ig administration
through gene transfer resulted in indefinite heart allograft survival due to the
appearance of clonotypic CD8+CD45RClow regulatory T cells that were capable of
transferring the tolerant state to naive animals. However, cardiac transplants in
these recipients systematically developed chronic rejection lesions. Whereas anti
CD28 Ab monotherapy only delayed acute rejection and failed to induce tolerance,
coadministration of anti-CD28 Abs and CD40Ig resulted in the long-term
acceptation of allografts without chronic rejection lesions in 60% of the
recipients, reduced the level of intragraft mRNA transcripts for cytokines and
immune factors, and fully abrogated alloantibody production. In addition, the
nature of regulatory cells was modified: the CD8+CD45RClow clonotypic T cells
described in the CD40Ig-treated animals could not be found in cotreated animals,
and the other CD8+CD45RClow cells had no regulatory activity and a different
cytokine expression profile. Instead, in cotreated recipients we found IDO
dependent non-T cells with regulatory activity in vitro. Thus, the addition of a
short-term anti-CD28 treatment with CD40Ig resulted in decreased heart allograft
chronic rejection lesions, complete inhibition of Ab production, and modified
regulatory mechanisms.
PMID- 18056360
TI - Critical role for CXC chemokine ligand 16 (SR-PSOX) in Th1 response mediated by
NKT cells.
AB - The transmembrane chemokine CXCL 16 (CXCL16), which is the same molecule as the
scavenger receptor that binds phosphatidylserine and oxidized lipoprotein (SR
PSOX), has been shown to mediate chemotaxis and adhesion of CXC chemokine
receptor 6-expressing cells such as NKT and activated Th1 cells. We generated SR
PSOX/CXCL16-deficient mice and examined the role of this chemokine in vivo. The
mutant mice showed a reduced number of liver NKT cells, and decreased production
of IFN-gamma and IL-4 by administration of alpha-galactosylceramide
(alphaGalCer). Of note, the alphaGalCer-induced production of IFN-gamma was more
severely impaired than the production of IL-4 in SR-PSOX-deficient mice. In this
context, SR-PSOX-deficient mice showed impaired sensitivity to alphaGalCer
induced anti-tumor effect mediated by IFN-gamma from NKT cells. NKT cells from
wild-type mice showed impaired production of IFN-gamma, but not IL-4, after their
culture with alphaGalCer and APCs from mutant mice. Moreover, Propionibacterium
acnes-induced in vivo Th1 responses were severely impaired in SR-PSOX-deficient
as well as NKT KO mice. Taken together, SR-PSOX/CXCL16 plays an important role in
not only the production of IFN-gamma by NKT cells, but also promotion of Th1
inclined immune responses mediated by NKT cells.
PMID- 18056361
TI - Cytokine-mediated regulation of human B cell differentiation into Ig-secreting
cells: predominant role of IL-21 produced by CXCR5+ T follicular helper cells.
AB - Differentiation of B cells into Ig-secreting cells (ISC) is critical for the
generation of protective humoral immune responses. Because of the important role
played by secreted Ig in host protection against infection, it is necessary to
identify molecules that control B cell differentiation. Recently, IL-21 was
reported to generate ISC from activated human B cells. In this study, we examined
the effects of IL-21 on the differentiation of all human mature B cell subsets-
neonatal, transitional, naive, germinal center, IgM-memory, and isotype-switched
memory cells--into ISC and compared its efficacy to that of IL-10, a well-known
mediator of human B cell differentiation. IL-21 rapidly induced the generation of
ISC and the secretion of vast quantities IgM, IgG and IgA from all of these B
cell subsets. Its effect exceeded that of IL-10 by up to 100-fold, highlighting
the potency of IL-21 as a B cell differentiation factor. Strikingly, IL-4
suppressed the stimulatory effects of IL-21 on naive B cells by reducing the
expression of B-lymphocyte induced maturation protein-1 (Blimp-1). In contrast,
memory B cells were resistant to the inhibitory effects of IL-4. Finally, the
ability of human tonsillar CD4+CXCR5+CCR7- T follicular helper (TFH) cells, known
to be a rich source of IL-21, to induce the differentiation of autologous B cells
into ISC was mediated by the production of IL-21. These findings suggest that IL
21 produced by TFH cells during the primary as well as the subsequent responses
to T cell-dependent Ag makes a major contribution to eliciting and maintaining
long-lived humoral immunity.
PMID- 18056362
TI - Hyaluronan synthesis is required for IL-2-mediated T cell proliferation.
AB - Hyaluronan (HA) is a glycosaminoglycan composed of N-acetylglucosamine and
glucuronic acid subunits. Previous studies have suggested that CD44 expressed by
T cells bind exogenous HA for their proliferation. However, HA endogenously
synthesized by T cells may participate in their autocrine proliferation. In this
study, we examined the role of endogenous HA in T cell proliferation using the
highly specific HA synthase inhibitor, 4-methylumbelliferone (4-MU). We found
that 4-MU inhibited the mitogen-induced synthesis of HA by T cells. Moreover, 4
MU inhibited T cell proliferation in a dose-dependent manner when cells were
cultured with different stimuli, including Con A, PMA/ionomycin, and allogeneic
spleen cells. Furthermore, 4-MU inhibited mitogen-stimulated IL-2 secretion,
suggesting that HA may play a role in the production of this cytokine. Addition
of IL-2 to T cells treated with 4-MU and Con A reversed the block in cell
proliferation, showing that impaired IL-2 production is a likely mechanism for
the inhibited division of T cells. Surprisingly, an anti-CD44 Ab antagonistic for
HA binding did not reduce IL-2 secretion or T cell proliferation. Importantly, 4
MU did not alter the surface expression of CD44 or the ability of CD44 to bind to
HA. Thus, HA-mediated IL-2 production and T cell proliferation are CD44
independent. Our results strongly suggest that HA synthesized by T cells
themselves is critical for their IL-2-mediated proliferation and have revealed a
previously unrecognized role for endogenous HA in T cell biology.
PMID- 18056363
TI - Leishmania mexicana infection induces impaired lymph node expansion and Th1 cell
differentiation despite normal T cell proliferation.
AB - Leishmania mexicana infections in C57BL/6 mice are associated with minimal immune
responses and persistent cutaneous lesions. In contrast, Leishmania major elicits
a robust Th1 response that promotes lesion resolution. We investigated whether
the nonhealing phenotype associated with L. mexicana was due to a failure of L.
mexicana to activate T cells. In vivo T cell responses to infection were assessed
by tracking the behavior of labeled naive T cells following the transfer of these
cells into congenic mice. Although L. mexicana infection was associated with
minimal expansion of the draining lymph nodes, we observed no difference in the
percentage of T cells proliferating in response to L. mexicana and L. major.
Instead, differences in the size and cellularity of lymph nodes were associated
with decreased recruitment of cells trafficking to the lymph node. Furthermore,
we found that T cells responding to L. mexicana infection were less able to
differentiate into IFN-gamma producing cells, and this deficit extended to
previously activated T cells as well. Coadministration of CpG-containing
oligodeoxynucleotides at the time of infection overcame this deficit and promoted
disease resolution. Taken together, our results identify two distinct components
that contribute to the minimal immune response associated with L. mexicana
infection. First, despite ample levels of T cell proliferation, L. mexicana fails
to induce substantial lymph node expansion, which limits the number of responding
T cells. Second, L. mexicana infection fails to drive the differentiation of the
majority of responding cells into IFN-gamma producers.
PMID- 18056364
TI - Introduction of zwitterionic motifs into bacterial polysaccharides generates TLR2
agonists able to activate APCs.
AB - It was shown previously that bacterial polysaccharides (PS), which naturally
contain both positive and negative charges, are able to activate T cells and
APCs. However, the vast majority of bacterial PS are anionic and do not have
these properties. In this study, we show that chemical introduction of positive
charges into naturally anionic bacterial PS confers to the resulting zwitterionic
PS (ZPS) the ability to activate pure human monocytes, monocyte-derived dendritic
cells, and mouse bone marrow-derived dendritic cells, as do natural bacterial
ZPS. Cells are induced to up-regulate MHC class II and costimulatory molecules
and to produce cytokines. In mixed monocyte-T cell cocultures, ZPS induce MHC II
dependent T cell proliferation and up-regulation of activation markers. These
stimulatory qualities of ZPS disappear when the positive charge is chemically
removed from the molecules and thus the zwitterionic motif is destroyed. The
ability of natural and chemically derived ZPS to activate APCs can be blocked by
anti-TLR2 mAbs, and TLR2 transfectants show reporter gene transcription upon
incubation with ZPS. In conclusion, the generation of a zwitterionic motif in
bacterial PS confers the ability to activate both APCs and T cells. This finding
has important implications for the design of novel polysaccharide vaccines.
PMID- 18056365
TI - Dendritic cell maturation results in pronounced changes in glycan expression
affecting recognition by siglecs and galectins.
AB - Dendritic cells (DC) are the most potent APC in the organism. Immature dendritic
cells (iDC) reside in the tissue where they capture pathogens whereas mature
dendritic cells (mDC) are able to activate T cells in the lymph node. This
dramatic functional change is mediated by an important genetic reprogramming.
Glycosylation is the most common form of posttranslational modification of
proteins and has been implicated in multiple aspects of the immune response. To
investigate the involvement of glycosylation in the changes that occur during DC
maturation, we have studied the differences in the glycan profile of iDC and mDC
as well as their glycosylation machinery. For information relating to glycan
biosynthesis, gene expression profiles of human monocyte-derived iDC and mDC were
compared using a gene microarray and quantitative real-time PCR. This gene
expression profiling showed a profound maturation-induced up-regulation of the
glycosyltransferases involved in the expression of LacNAc, core 1 and sialylated
structures and a down-regulation of genes involved in the synthesis of core 2 O
glycans. Glycosylation changes during DC maturation were corroborated by mass
spectrometric analysis of N- and O-glycans and by flow cytometry using plant
lectins and glycan-specific Abs. Interestingly, the binding of the LacNAc
specific lectins galectin-3 and -8 increased during maturation and up-regulation
of sialic acid expression by mDC correlated with an increased binding of siglec
1, -2, and -7.
PMID- 18056366
TI - Latent membrane protein 1 of EBV activates phosphatidylinositol 3-kinase to
induce production of IL-10.
AB - EBV is a B lymphotrophic gamma-herpesvirus that is associated with multiple human
malignancies, including posttransplant lymphoproliferative disorder. The EBV
encoded protein, latent membrane protein 1 (LMP1), is required for oncogenic
transformation of human B cells by EBV. An important consequence of LMP1
expression in EBV-infected B cells is the induction of cellular IL-10, which acts
as an autocrine growth factor for B cell lymphomas. However, the mechanisms by
which LMP1 induces IL-10 are incompletely understood. We previously showed that
rapamycin, a clinically relevant immunosuppressant and mammalian target of
rapamycin inhibitor, could suppress IL-10 production by EBV-infected B cell
lines. To test the hypothesis that PI3K, which acts upstream of mammalian target
of rapamycin, might also be involved in LMP1-dependent IL-10 production, we
generated B cell lines expressing signaling-inducible chimeric LMP1. Our results
show that induced LMP1 signaling elicits both p38- and PI3K-dependent IL-10
production in EBV- B cells. Moreover, distinct regions of the LMP1 signaling tail
are associated with p38- vs PI3K-dependent IL-10 induction. We also demonstrate
that the LMP1-dependent p38 and PI3K activation regulates IL-10 induction through
discrete mechanisms. Whereas p38 activation is critical for the phosphorylation
of the transcription factor CREB, PI3K activation is required for the
inactivation of glycogen synthase kinase 3beta (GSK3beta), an inhibitory kinase
that can regulate CREB function. We find that GSK3beta regulates LMP1-dependent
IL-10 induction, with GSK3beta inhibition by pharmacologic or small interfering
RNA strategies enhancing LMP1-induced IL-10 induction. These findings demonstrate
that LMP1 uses both p38 and PI3K activation for maximal up-regulation of IL-10.
PMID- 18056367
TI - Molecular regulation of MHC class I chain-related protein A expression after HDAC
inhibitor treatment of Jurkat T cells.
AB - In this study, we characterize the molecular signal pathways that lead to MHC
class I chain-related protein A (MICA) expression after histone deacetylase
(HDAC)-inhibitor (HDAC-i) treatment of Jurkat T cells. Chelating calcium with
BAPTA-AM or EGTA potently inhibited HDAC- and CMV-mediated MICA/B expression. It
was further observed that endoplasmic reticulum calcium stores were depleted
after HDAC treatment. NF-kappaB activity can be induced by HDAC treatment.
However, nuclear translocation of NF-kappaB p65 was not observed after HDAC
treatment of Jurkat T cells and even though we could effectively inhibit p65
expression by siRNA, it did not modify MICA/B expression. To identify important
elements in MICA regulation, we made a promoter construct consisting of
approximately 3 kb of the proximal MICA promoter in front of GFP. Deletion
analysis showed that a germinal center-box containing a putative Sp1 site from
position -113 to -93 relative to the mRNA start site was important for HDAC and
CMV-induced promoter activity. Sp1 was subsequently shown to be important, as
targeted mutation of the Sp1 binding sequence or siRNA mediated down modulation
of Sp1-inhibited MICA promoter activity and surface-expression.
PMID- 18056368
TI - CD4 T cells are required for CD8 T cell survival during both primary and memory
recall responses.
AB - The role of CD4 T cell help in primary and secondary CD8 T cell responses to
infectious pathogens remains incompletely defined. The primary CD8 T response to
infections was initially thought to be largely independent of CD4 T cells, but it
is not clear why some primary, pathogen-specific CD8 T cell responses are CD4 T
cell dependent. Furthermore, although the generation of functional memory CD8 T
cells is CD4 T cell help dependent, it remains controversial when the "help" is
needed. In this study, we demonstrated that CD4 T cell help was not needed for
the activation and effector differentiation of CD8 T cells during the primary
response to vaccinia virus infection. However, the activated CD8 T cells showed
poor survival without CD4 T cell help, leading to a reduction in clonal expansion
and a diminished, but stable CD8 memory pool. In addition, we observed that CD4 T
cell help provided during both the primary and secondary responses was required
for the survival of memory CD8 T cells during recall expansion. Our study
indicates that CD4 T cells play a crucial role in multiple stages of CD8 T cell
response to vaccinia virus infection and may help to design effective vaccine
strategies.
PMID- 18056369
TI - 4-1BBL induces TNF receptor-associated factor 1-dependent Bim modulation in human
T cells and is a critical component in the costimulation-dependent rescue of
functionally impaired HIV-specific CD8 T cells.
AB - During chronic infection, HIV-specific CD8 T cells exhibit progressive signs of
functional impairment, attributed to persistent antigenic stimulation, up
regulation of the inhibitory receptor PD-1, and declining T cell help. Strategies
that directly improve CD8 T cell function offer the potential of restoring immune
control of HIV. Although PD-1 expression has been identified as a cause of
functional impairment in HIV, in this study, PD-1 expression was observed on only
a subfraction of HIV-specific CD8 T cells in a subfraction of donors, whereas HIV
specific CTL from all donors exhibited a limited repertoire of effector
functions. CD137L (4-1BBL) is emerging as an important stimulator of antiviral
CD8 T cell responses. Regardless of the PD-1 status of the donors, here we show
that 4-1BBL, when combined with CD80 or CD70, expands a population of Ag-specific
CD8 T cells expressing multiple markers of effector function, from the
functionally impaired starting population. In contrast, CD70 in combination with
CD80 was insufficient for these effects and the related TNF family ligand, LIGHT,
had negligible activity. The unique contribution of 4-1BBL correlated with down
regulation of the proapoptotic molecule Bim in activated CD8 T cells. Decreasing
the level of TNFR-associated factor 1 in T cells using small interfering RNA
resulted in increased levels of Bim in the 4-1BBL-stimulated T cells. Thus,
costimulation via 4-1BBL leads to TNFR-associated factor 1-dependent Bim down
modulation in T cells, resulting in increased T cell expansion. These studies
identify 4-1BBL as a critical component in therapeutic strategies aimed at
improving CD8 T cell function.
PMID- 18056370
TI - Influence of a single viral epitope on T cell response and disease after
infection of mice with respiratory syncytial virus.
AB - CTL are important for virus clearance but also contribute to immunopathology
after the infection of BALB/c mice with respiratory syncytial virus (RSV). The
pulmonary immune response to RSV is dominated by a CTL population directed
against the CTL epitope M2-1 82-90. Infection with a virus carrying an M2-1 N89A
mutation introduced by reverse genetics failed to activate this immunodominant
CTL population, leading to a significant decrease in the overall antiviral CTL
response. There was no compensatory increase in responses to the mutated epitope,
to the subdominant epitope F 85-93, or to yet undefined minor epitopes in the N
or the P protein. However, there was some increase in the response to the
subdominant epitope M2-1 127-135, which is located in the same protein and
presented by the same H-2Kd MHC molecule. Infection with the mutant virus
reversed the oligoclonality of the T cell response elicited by the wild-type
virus. These changes in the pattern and composition of the antiviral CTL response
only slightly impaired virus clearance but significantly reduced RSV-induced
weight loss. These data illustrate how T cell epitope mutations can influence the
virus-host relationship and determine disease after an acute respiratory virus
infection.
PMID- 18056371
TI - IL-23 is required for neutrophil homeostasis in normal and neutrophilic mice.
AB - IL-23 is secreted by macrophages and dendritic cells in response to microbial
products and inflammatory cytokines. IL-23 is a heterodimer composed of the
unique IL-23p19 subunit linked to the common p40 subunit that it shares with IL
12. IL-23 is implicated in autoimmune diseases, where it supports the expansion
of IL-17A-producing CD4+ Th17 cells. IL-23 also regulates granulopoiesis in a
neutrostat regulatory feedback loop through IL-17A-producing neutrophil
regulatory (Tn) cells, most of which express gammadelta TCR. This homeostatic
system is disrupted in mice lacking adhesion molecules like beta2-integrins
(Itgb2-/-) which have defective neutrophil trafficking and neutrophilia. To test
the role of IL-23 in the homeostatic regulation of circulating neutrophil
numbers, we measured blood neutrophil numbers in p40-deficient (IL12b-/-) mice
and found them reduced compared with wild-type mice. IL12b-/-Itgb2-/- mice,
lacking beta2-integrins, IL-12, and IL-23 showed significantly blunted
neutrophilia compared with Itgb2-/- mice. Treatment of both IL12b-/- and IL12b-/
Itgb2-/- mice with IL-23, but not IL-12, restored circulating neutrophil counts.
Serum levels of IL-17A were readily detectable in Itgb2-/- mice, but not in IL12b
/-Itgb2-/- mice, suggesting that IL-17A production is reduced when IL-23 is
absent. Similarly, tissue mRNA expression of IL-17A was reduced in IL12b-/-Itgb2
/-mice compared with Itgb2-/- controls. The total number of CD3+ IL-17A-producing
Tn cells were significantly reduced in the spleen and lamina propria of IL12b-/
Itgb2-/- mice, with the largest reduction found in gammadelta+ T cells. Our
results suggest a prominent role of IL-23 in the regulation of granulopoiesis and
the prevalence of IL-17A-producing Tn cells.
PMID- 18056372
TI - N-terminal trimer extension of nominal CD8 T cell epitopes is sufficient to
promote cross-presentation to cognate CD8 T cells in vivo.
AB - Cross-priming is the process in which Ag-presenting dendritic cells (DCs)
acquire, process, and present Ags scavenged from other cells, and use these cells
to activate naive CD8 T cells. Cross-priming of cognate CD8 cells can result in
either tolerance or immunity, depending upon the activation status of the Ag
presenting DC. Previous studies have shown that nominal peptide is inefficiently
cross-presented and that proteins and large polypeptides that require proteasomal
processing are the main source of naturally cross-presented Ags. In this study we
show that N-terminal extension of nominal peptide by as few as three residues is
sufficient to produce a substrate for TAP-dependent cross-presentation that is
highly efficient in cross-priming murine CD8 T cells in vivo. On a molar basis,
cross-priming with 3-mer-extended peptide is 20-fold more efficient than priming
with intact protein. This method of peptide extension should prove of great value
in facilitating in vivo studies of CD8 immunity and tolerance that rely on cross
presentation.
PMID- 18056373
TI - Prolonged (E)-4-hydroxy-3-methyl-but-2-enyl pyrophosphate-driven antimicrobial
and cytotoxic responses of pulmonary and systemic Vgamma2Vdelta2 T cells in
macaques.
AB - Although phosphoantigen-specific Vgamma2Vdelta2 T cells appear to play a role in
antimicrobial and anticancer immunity, mucosal immune responses and effector
functions of these gammadelta T cells during infection or phospholigand treatment
remain poorly characterized. In this study, we demonstrate that the microbial
phosphoantigen (E)-4-hydroxy-3-methyl-but-2-enyl pyrophosphate (HMBPP) plus IL-2
treatment of macaques induced a prolonged major expansion of circulating
Vgamma2Vdelta2 T cells that expressed CD8 and produced cytotoxic perforin during
their peak expansion. Interestingly, HMBPP-activated Vgamma2Vdelta2 T cells
underwent an extraordinary pulmonary accumulation, which lasted for 3-4 mo,
although circulating Vgamma2Vdelta2 T cells had returned to baseline levels weeks
prior. The Vgamma2Vdelta2 T cells that accumulated in the lung following HMBPP/IL
2 cotreatment displayed an effector memory phenotype, as follows: CCR5+CCR7
CD45RA-CD27+ and were able to re-recognize phosphoantigen and produce copious
amounts of IFN-gamma up to 15 wk after treatment. Furthermore, the capacity of
massively expanded Vgamma2Vdelta2 T cells to produce cytokines in vivo coincided
with an increase in numbers of CD4+ and CD8+ alphabeta T cells after HMBPP/IL-2
cotreatment as well as substantial perforin expression by CD3+Vgamma2- T cells.
Thus, the prolonged HMBPP-driven antimicrobial and cytotoxic responses of
pulmonary and systemic Vgamma2Vdelta2 T cells may confer immunotherapeutics
against infectious diseases and cancers.
PMID- 18056374
TI - Interaction between GATA-3 and the transcriptional coregulator Pias1 is important
for the regulation of Th2 immune responses.
AB - Th2 cytokine expression is dependent on the transcription factor GATA-3. However,
the molecular interactions of GATA-3 leading to Th2 cytokine gene activation have
not been well characterized. Here, we reported a number of GATA-3 associated
proteins in Th2 cells, and one of such proteins Pias1 functioned as a positive
transcriptional coregulator for GATA-3. When overexpressed in Th2 cells, Pias1
enhanced the expression of IL-13, and to lesser degrees, IL-4 and -5. Conversely,
Pias1 siRNA down-regulated the Th2 cytokine expression. In Leishmania major
infection, manipulating Pias1 expression in parasite-reactive CD4 T cells altered
severity of disease caused by Th2 responses. Mechanistically, Pias1 markedly
potentiated GATA-3-mediated activation of the IL-13 promoter by facilitating the
recruitment of GATA-3 to the promoter. In contrast, IL-5 promoter was modestly
enhanced by Pias1 and no effect was observed on IL-4 promoter. Thus, both
promoter activation and additional mechanisms are responsible for regulation by
Pias1.
PMID- 18056375
TI - Physiological fever temperature induces a protective stress response in T
lymphocytes mediated by heat shock factor-1 (HSF1).
AB - Heat shock factor-1 (HSF1) is a transcription factor that serves as the major
temperature-inducible sensor for eukaryotic cells. In most cell types, HSF1
becomes activated to the DNA binding form at 42 degrees C and mediates the
classical heat shock response, protecting the cells from subsequent lethal
temperatures. We have recently demonstrated that HSF1 is activated at a lower
temperature in T lymphocytes than in most other cell types (39 degrees C vs 42
degrees C), within the physiological range of fever. In this study, we show that
T cell activation at fever temperatures not only activates HSF1 but induces the
up-regulation of the HSF1 protein and the HSF1-regulated protein, HSP70i. T cells
from HSF1 knockout mice proliferate normally under optimal conditions but are
impaired in proliferation at physiological fever temperatures and low CO2
concentrations, conditions that do not impair wild-type T cells. This defect in
proliferation appears to be mediated by a block in the G1/S transition of the
cell cycle and is independent of HSP70. Elevated temperature and low CO2
concentrations resulted in a dramatic reduction of the intracellular reactive
oxygen species (ROS) levels in both normal and knockout T cells. Wild-type T
cells were able to restore ROS levels to normal within 5 h, whereas HSF1-/- T
cells were not. These results suggest that the proliferation defect seen in T
cells from HSF1-/- mice at fever temperatures was because of dysregulated ROS
levels and that HSF1 is important in maintaining ROS homeostasis and cell cycle
progression under the stressful conditions encountered during fever.
PMID- 18056376
TI - Prolonged antigen expression following DNA vaccination impairs effector CD8+ T
cell function and memory development.
AB - After priming, naive T cells undergo a program of expansion, contraction, and
memory formation. Numerous studies have indicated that only a brief period of
antigenic stimulation is required to fully commit CD8+ T cells to this program.
Nonetheless, the persistence of Ag may modulate the eventual fate of CD8+ T
cells. Using DNA delivery, we showed previously that direct presentation primes
high levels of effector CD8+ T cells as compared with cross-presentation. One
explanation now revealed is that prolonged cross-presentation limits effector
cell expansion and function. To analyze this, we used a drug-responsive system to
regulate Ag expression after DNA injection. Reducing expression to a single burst
expanded greater numbers of peptide-specific effector CD8+ T cells than sustained
Ag. Consequences for memory development were assessed after boosting and showed
that, although persistent Ag maintained higher numbers of tetramer-positive CD8+
T cells, these expanded less (approximately 4-fold) than those induced by
transient Ag expression (approximately 35-fold). Transient expression at priming
therefore led to a net higher secondary response. In terms of vaccine design, we
propose that the most effective DNA-based CD8+ T cell vaccines will be those that
deliver a short burst of Ag.
PMID- 18056378
TI - Biochemical and folding defects in a RAG1 variant associated with Omenn syndrome.
AB - The RAG1 and RAG2 proteins are required to assemble mature Ag receptor genes in
developing lymphocytes. Hypomorphic mutations in the gene encoding RAG1 are
associated with Omenn syndrome, a primary immunodeficiency. We explored the
biochemical defects resulting from a mutation identified in an Omenn syndrome
patient which generates an amino acid substitution in the RAG1 RING
finger/ubiquitin ligase domain (C325Y in murine RAG1) as well as an adjacent
substitution (P326G). RAG1 C325Y demonstrated a 50-fold reduction in
recombination activity in cultured pro-B cells despite the fact that its
expression and localization to the nucleus were similar to the wild-type protein.
The C325Y substitution severely abrogated ubiquitin ligase activity of the
purified RAG1 RING finger domain, and the tertiary structure of the domain was
altered. The P326G substitution also abrogated ubiquitin ligase activity but had
a less severe effect on protein folding. RAG1 P326G also demonstrated a
recombination impairment that was most pronounced when RAG1 levels were limiting.
Thus, a correctly folded RAG1 RING finger domain is required for normal V(D)J
recombination, and RAG1 ubiquitin ligase activity can contribute when the protein
is present at relatively low levels.
PMID- 18056377
TI - Rap1a null mice have altered myeloid cell functions suggesting distinct roles for
the closely related Rap1a and 1b proteins.
AB - The Ras-related GTPases Rap1a and 1b have been implicated in multiple biological
events including cell adhesion, free radical production, and cancer. To gain a
better understanding of Rap1 function in mammalian physiology, we deleted the
Rap1a gene. Although loss of Rap1a expression did not initially affect mouse size
or viability, upon backcross into C57BL/6J mice some Rap1a-/- embryos died in
utero. T cell, B cell, or myeloid cell development was not disrupted in Rap1a-/-
mice. However, macrophages from Rap1a null mice exhibited increased haptotaxis on
fibronectin and vitronectin matrices that correlated with decreased adhesion.
Chemotaxis of lymphoid and myeloid cells in response to CXCL12 or CCL21 was
significantly reduced. In contrast, an increase in FcR-mediated phagocytosis was
observed. Because Rap1a was previously copurified with the human neutrophil NADPH
oxidase, we addressed whether GTPase loss affected superoxide production.
Neutrophils from Rap1a-/- mice had reduced fMLP-stimulated superoxide production
as well as a weaker initial response to phorbol ester. These results suggest
that, despite 95% amino acid sequence identity, similar intracellular
distribution, and broad tissue distribution, Rap1a and 1b are not functionally
redundant but rather differentially regulate certain cellular events.
PMID- 18056379
TI - Interactions between Idd5.1/Ctla4 and other type 1 diabetes genes.
AB - Two loci, Idd5.1 and Idd5.2, that determine susceptibility to type 1 diabetes
(T1D) in the NOD mouse are on chromosome 1. Idd5.1 is likely accounted for by a
synonymous single nucleotide polymorphism in exon 2 of Ctla4: the B10-derived T1D
resistant allele increases the expression of the ligand-independent isoform of
CTLA-4 (liCTLA-4), a molecule that mediates negative signaling in T cells. Idd5.2
is probably Nramp1 (Slc11a1), which encodes a phagosomal membrane protein that is
a metal efflux pump and is important for host defense and Ag presentation. In
this study, two additional loci, Idd5.3 and Idd5.4, have been defined to 3.553
and 78 Mb regions, respectively, on linked regions of chromosome 1. The most
striking findings, however, concern the evidence we have obtained for strong
interactions between these four disease loci that help explain the association of
human CTLA4 with T1D. In the presence of a susceptibility allele at Idd5.4, the
CTLA-4 resistance allele causes an 80% reduction in T1D, whereas in the presence
of a protective allele at Idd5.4, the effects of the resistance allele at Ctla4
are modest or, as in the case in which resistance alleles at Idd5.2 and Idd5.3
are present, completely masked. This masking of CTLA-4 alleles by different
genetic backgrounds provides an explanation for our observation that the human
CTLA-4 gene is only associated with T1D in the subgroup of human T1D patients
with anti-thyroid autoimmunity.
PMID- 18056380
TI - Activation of phosphatase and tensin homolog on chromosome 10 mediates the
inhibition of FcgammaR phagocytosis by prostaglandin E2 in alveolar macrophages.
AB - PGE2 has important inhibitory effects on the macrophage host defense functions of
phagocytosis and killing, yet the molecular mechanisms involved remain to be
fully elucidated. PGE2 causes an elevation of cAMP in alveolar macrophages (AMs),
which in turn activates the cAMP effector targets, protein kinase A and the
exchange protein activated by cAMP (Epac)-1. We now report that FcgammaR-induced
PI3K/Akt and ERK-1/2 activation are inhibited by PGE2 in AMs. By specifically
inhibiting the phosphatase and tensin homolog deleted on chromosome 10 (PTEN) in
AMs, we attenuated the inhibitory effects of both PGE2 and a specific Epac-1
agonist (8-pCPT-2'-O-Me-cAMP) on FcgammaR-mediated phagocytosis and Akt/ERK-1/2
activation; PTEN inhibition also decreased PGE2-induced suppression of bacterial
killing by AMs. Moreover, PGE2 and the Epac-1 agonist induced an increase in PTEN
lipid phosphatase activity, and this was associated with decreased tyrosine
phosphorylation on PTEN-a mechanism known to regulate PTEN activity. Using a
pharmacological approach, we demonstrated a role for Src homology 2-containing
protein tyrosine phosphatase-1 in the PGE2-induced tyrosine dephosphorylation of
PTEN. Collectively, these data reveal that PGE2, via Epac-1 activation, enhances
SHP-1 activity, resulting in increased PTEN activity. We suggest that this
mechanism contributes to the ability of PGE2 to inhibit PI3K-dependent innate
immune signaling in primary macrophages.
PMID- 18056381
TI - Death receptor ligation or exposure to perforin trigger rapid egress of the
intracellular parasite Toxoplasma gondii.
AB - The obligate intracellular parasite Toxoplasma gondii chronically infects up to
one-third of the global population, can result in severe disease in
immunocompromised individuals, and can be teratogenic. In this study, we
demonstrate that death receptor ligation in T. gondii-infected cells leads to
rapid egress of infectious parasites and lytic necrosis of the host cell, an
active process mediated through the release of intracellular calcium as a
consequence of caspase activation early in the apoptotic cascade. Upon acting on
infected cells via death receptor- or perforin-dependent pathways, T cells induce
rapid egress of infectious parasites able to infect surrounding cells, including
the Ag-specific effector cells.
PMID- 18056382
TI - Analysis of the 5q31 33 locus shows an association between single nucleotide
polymorphism variants in the IL-5 gene and symptomatic infection with the human
blood fluke, Schistosoma japonicum.
AB - Genetic studies of human susceptibility to Schistosoma (blood fluke) infections
have previously identified a genetic locus determining infection intensity with
the African species, Schistosoma mansoni, in the 5q31-33 region of the human
genome that is known to contain the Th2 immune response cluster, including the
genes encoding the IL-4, IL-5, and IL-13 cytokines. These cytokines are key
players in inflammatory immune responses and have previously been implicated in
human susceptibility to infection with the Asian species, S. japonicum. In a
nested case control study, we genotyped 30 HapMap tagging single nucleotide
polymorphisms (SNPs) across these three genes in 159 individuals identified as
putatively susceptible to reinfection with S. japonicum and in 133 putatively
resistant individuals. A third group comprising 113 individuals demonstrating
symptomatic infection was also included. The results provided no significant
association at a global level between reinfection predisposition and any of the
individual SNPs or haplotype blocks. However, two tagging SNPs in IL-5
demonstrated globally significant association with susceptibility to symptomatic
infection. They were in strong linkage disequilibrium with each other and were
found to belong to the same haplotype block that also provided a significant
association after permutation testing. This haplotype was located in the 3'
untranslated region of IL-5, suggesting that variants in this region of IL-5 may
modulate the immune response in these individuals with symptomatic infection.
PMID- 18056383
TI - Mechanisms of vaccine-induced protective immunity against Coxiella burnetii
infection in BALB/c mice.
AB - To elucidate the mechanisms of vaccine-induced protective immunity against
Coxiella burnetii infection, we compared the protective efficacy and
immunogenicity between formalin-inactivated phase I vaccine (PI-V) and phase II
vaccine (PII-V) in BALB/c mice. PI-V generated significant protection while PII-V
did not confer measurable protection. Analysis of cytokine and subclass Ab
responses indicated that both PI-V and PII-V were able to induce a Th1-dominant
immune response but did not identify the component of host response that
distinguished their ability to induce protective immunity. Interestingly,
immunoblot analysis identified a difference between PI-V and PII-V vaccinates in
antigenic recognition by specific Ab isotypes. The observation that PI-LPS
elicited significant protection but PII-LPS did not confer measurable protection
suggests PI-LPS may play a key role in PI-V-induced protection. Adoptive transfer
of either immune sera or splenocytes mediated significant protection in naive
BALB/c mice, supporting the notion that both humoral and cellular immunity are
important for development of protective immunity. However, the evidence that
immune sera and B cells were unable to control infection while T cells conferred
significant protection in SCID mice supports the hypothesis that T cell-mediated
immunity is critical for host defense against C. burnetii infection. This report
presents novel evidence to highlight the importance of PI-LPS and Abs in
protective immunity and has important implications for the design of new
generation vaccines against Q fever.
PMID- 18056384
TI - Mycobacterium tuberculosis antigens specifically modulate CCR2 and MCP-1/CCL2 on
lymphoid cells from human pulmonary hilar lymph nodes.
AB - Macrophages and dendritic cells are involved in the immune response to
Mycobacterium tuberculosis (Mtb). Such a response, although extensively studied
using animal models and cells from human blood, has not been characterized in
cells from pulmonary hilar lymph nodes (PHLN). We characterized populations of
myeloid APC from PHLN and determined their expression of CCR2, CCR5, CCR7, CD40,
CD54, CD80, and CD86 as well as the cytokine/chemokine microenvironment before
and after purified protein derivative (PPD) and mannosilated lipoarabinomannan
(ManLAM) stimulation. Results show that there are at least three APC populations
in PHLN, defined as CD14highHLA-DRlow/-, CD14dimHLA-DRdim, and CD14-HLA
DRhigh/dendritic cells (DC), with the largest number represented by CD14dimHLA
DRdim cells (where dim indicates intermediate levels). CD14-HLA-DRhigh/DC
expressed higher levels of costimulatory molecules and lower levels of CCR2 and
CCR5, but all cell populations showed similar CCR7 levels. PPD and ManLAM
specifically down-regulated CCR2 expression but not that of CCR5 and CCR7, and
such down-regulation was observed on all APC populations. Mtb Ag did not affect
the expression of costimulatory molecules. PPD but not ManLAM specifically
induced MCP-1/CCL2 production, which was likely associated with the induction of
IFN-gamma because this cytokine was highly induced by PPD. We characterized, for
the first time, different APC from human PHLN and show that Mtb Ag exert fine and
specific regulation of molecules closely associated with the immune response to
Mtb infection. Because knowledge of this response in secondary lymphoid tissues
is still poorly understood in humans, such studies are necessary and important
for a better understanding of lymphoid cell microenvironment and migrating
capacities and their role in the immunopathogenesis of tuberculosis.
PMID- 18056385
TI - A replication-deficient murine gamma-herpesvirus blocked in late viral gene
expression can establish latency and elicit protective cellular immunity.
AB - The human gamma-herpesviruses, EBV and Kaposi's sarcoma-associated herpesvirus,
are widely disseminated and are associated with the onset of a variety of
malignancies. Thus, the development of prophylactic and therapeutic vaccination
strategies is an important goal. The experimental mouse gamma-herpesvirus,
gammaHV68 (or MHV-68), has provided an in vivo model for studying immune control
of these persistent viruses. In the current studies, we have examined
infectivity, immunogenicity, and protective efficacy following infection with a
replication-deficient gammaHV68 blocked in late viral gene expression, ORF31STOP.
The data show that ORF31STOP was able to latently infect B cells. However, the
anatomical site and persistence of the infection depended on the route of
inoculation, implicating a role for viral replication in viral spread but not the
infectivity per se. Furthermore, i.p. infection with ORF31STOP elicited strong
cellular immunity but a non-neutralizing Ab response. In contrast, intranasal
infection was poorly immunogenic. Consistent with this, mice infected i.p. had
enhanced control of both the lytic and latent viral loads following challenge
with wild-type gammaHV68, whereas intranasal infected mice were not protected.
These data provide important insight into mechanisms of infection and protective
immunity for the gamma-herpesviruses and demonstrate the utility of replication
deficient mutant viruses in direct testing of "proof of principal" vaccination
strategies.
PMID- 18056386
TI - West Nile virus envelope protein inhibits dsRNA-induced innate immune responses.
AB - The immune response against viral infection relies on the early production of
cytokines that induce an antiviral state and trigger the activation of immune
cells. This response is initiated by the recognition of virus-associated
molecular patterns such as dsRNA, a viral replication intermediate recognized by
TLR3 and certain RNA helicases. Infection with West Nile virus (WNV) can lead to
lethal encephalitis in susceptible individuals and constitutes an emerging health
threat. In this study, we report that WNV envelope protein (WNV-E) specifically
blocks the production of antiviral and proinflammatory cytokines induced by dsRNA
in murine macrophages. This immunosuppressive effect was not dependent on TLR3 or
its adaptor molecule Trif. Instead, our experiments show that WNV-E acts at the
level of receptor-interacting protein 1. Our results also indicate that WNV-E
requires a certain glycosylation pattern, specifically that of dipteran cells, to
inhibit dsRNA-induced cytokine production. In conclusion, these data show that
the major structural protein of WNV impairs the innate immune response and
suggest that WNV exploits differential vector/host E glycosylation profiles to
evade antiviral mechanisms.
PMID- 18056387
TI - CD8+ T cell responses in bronchoalveolar lavage fluid and peripheral blood
mononuclear cells of infants with severe primary respiratory syncytial virus
infections.
AB - A protective role for CD8+ T cells during viral infections is generally accepted,
but little is known about how CD8+ T cell responses develop during primary
infections in infants, their efficacy, and how memory is established after viral
clearance. We studied CD8+ T cell responses in bronchoalveolar lavage (BAL)
samples and blood of infants with a severe primary respiratory syncytial virus
(RSV) infection. RSV-specific CD8+ T cells with an activated effector cell
phenotype: CD27+CD28+CD45RO+CCR7-CD38+HLA-DR+Granzyme B+CD127- could be
identified in BAL and blood. A high proportion of these CD8+ T cells proliferated
and functionally responded upon in vitro stimulation with RSV Ag. Thus, despite
the very young age of the patients, a robust systemic virus-specific CD8+ T cell
response was elicited against a localized respiratory infection. RSV-specific T
cell numbers as well as the total number of activated effector type CD8+ T cells
peaked in blood around day 9-12 after the onset of primary symptoms, i.e., at the
time of recovery. The lack of a correlation between RSV-specific T cell numbers
and parameters of disease severity make a prominent role in immune pathology
unlikely, in contrast the T cells might be involved in the recovery process.
PMID- 18056388
TI - Improved protection against disseminated tuberculosis by Mycobacterium bovis
bacillus Calmette-Guerin secreting murine GM-CSF is associated with expansion and
activation of APCs.
AB - Modulating the host-immune response by the use of recombinant vaccines is a
potential strategy to improve protection against microbial pathogens. In this
study, we sought to determine whether secretion of murine GM-CSF by the bacillus
Calmette-Guerin (BCG) vaccine influenced protective immunity against
Mycobacterium tuberculosis. BCG-derived GM-CSF stimulated the in vitro generation
of functional APCs from murine bone marrow precursors, as demonstrated by the
infection-induced secretion of IL-12 by differentiated APCs, and the ability of
these cells to present Ag to mycobacterium-specific T cells. Mice vaccinated with
BCG secreting [corrected] murine GM-CSF (BCG:GM-CSF) showed increased numbers of
CD11c+MHCII+ and CD11c-CD11b+F480+ cells compared with those vaccinated with
control BCG, and this effect was most apparent in the draining lymph nodes at 7
and 14 days postvaccination. Vaccination with BCG:GM-CSF also resulted in
enhanced expression of costimulatory molecules on migratory dendritic cells in
the draining lymph nodes. The increased APC number was associated with an
increase in the frequency of anti-mycobacterial IFN-gamma-secreting T cells
generated after BCG:GM-CSF vaccination compared with vaccination with control
BCG, and this effect was sustained up to 17 wk in the spleens of immunized mice.
Vaccination with BCG:GM-CSF resulted in an approximately 10-fold increase in
protection against disseminated M. tuberculosis infection compared with control
BCG. This study demonstrates the potential of BCG secreting [corrected]
immunostimulatory molecules as vaccines to protect against tuberculosis and
suggests BCG:GM-CSF merits further appraisal as a candidate to control M.
tuberculosis infection in humans.
PMID- 18056389
TI - A short-form C-type lectin from amphioxus acts as a direct microbial killing
protein via interaction with peptidoglycan and glucan.
AB - To investigate the evolution and immune function of C-type lectin in amphioxus,
the primitive representative of the chordate phylum, we identified three C-type
lectins consisting solely of a carbohydrate recognition domain and N-terminal
signal peptide and found that they had distinct express patterns in special
tissues and immune response to stimulations analyzed by quantitative real-time
PCR. We characterized the biochemical and biological properties of AmphiCTL1,
which was dramatically up-regulated in amphioxus challenged with Staphylococcus
aureus, Saccharomyces cerevisiae, and zymosan. Immunohistochemistry demonstrated
that the localization of AmphiCTL1 protein was exclusively detected in the inner
folding tissues of the hepatic diverticulum. Recombinant AmphiCTL1 was
characterized as a typical Ca2+-dependent carbohydrate-binding protein possessing
hemagglutinating activity, preferentially bound to all examined four Gram
positive bacteria and two yeast strains, but had little binding activity toward
four Gram-negative bacteria we tested. It aggregated S. aureus and S. cerevisiae
in a Ca2+-dependent manner and specifically bound to insoluble peptidoglycan and
glucan, but not to LPS, lipoteichoic acid, and mannan. Calcium increased the
intensity of the interaction between AmphiCTL1 and those components, but was not
essential. This lectin directly killed S. aureus and S. cerevisiae in a Ca2+
independent fashion, and its binding to microorganism cell wall polysaccharides
such as peptidoglycan and glucan preceded microbial killing activity. These
findings suggested that AmphiCTL1 acted as a direct microbial killing C-type
lectin through binding microbial targets via interaction with peptidoglycan and
glucan. Thus, AmphiCTL1 may be an evolutionarily primitive form of antimicrobial
protein involved in lectin-mediated innate immunity.
PMID- 18056390
TI - Candida albicans triggers activation of distinct signaling pathways to establish
a proinflammatory gene expression program in primary human endothelial cells.
AB - Endothelial cells (EC) actively participate in the innate defense against
microbial pathogens. Under unfavorable conditions, defense reactions can turn
life threatening resulting in sepsis. We therefore studied the so far largely
unknown EC reaction patterns to the fungal pathogen Candida albicans, which is a
major cause of lethality in septic patients. Using oligonucleotide microarray
analysis, we identified 56 genes that were transcriptionally up-regulated and 69
genes that were suppressed upon exposure of ECs to C. albicans. The most
significantly up-regulated transcripts were found in gene ontology groups
comprising the following categories: chemotaxis/migration; cell death and
proliferation; signaling; transcriptional regulation; and cell-cell
contacts/intercellular signaling. Further examination of candidate signaling
cascades established a central role of the proinflammatory NF-kappaB pathway in
the regulation of the Candida-modulated transcriptome of ECs. As a second major
regulatory pathway we identified the stress-activated p38 MAPK pathway, which
critically contributes to the regulation of selected Candida target genes such as
CXCL8/IL-8. Depletion of MyD88 and IL-1R-associated kinase-1 by RNA interference
demonstrates that Candida-induced NF-kappaB activation is mediated by pattern
recognition receptor signaling. Additional experiments suggest that C. albicans
induced CXCL8/IL-8 expression is mediated by TLR3 rather than TLR2 and TLR4,
which previously have been implicated with MyD88/IkappaB kinase-2/NF-kappaB
activation by this fungus in other systems. Our study provides the first
comprehensive analysis of endothelial gene responses to C. albicans and presents
novel insights into the complex signaling patterns triggered by this important
pathogen.
PMID- 18056391
TI - An effect of parasite-encoded arginase on the outcome of murine cutaneous
leishmaniasis.
AB - Classical activation of macrophages infected with Leishmania species results in
expression and activation of inducible NO synthase (iNOS) leading to
intracellular parasite killing. Macrophages can contrastingly undergo alternative
activation with increased arginase activity, metabolism of arginine along the
polyamine pathway, and consequent parasite survival. An active role for parasite
encoded arginase in host microbicidal responses has not previously been
documented. To test the hypothesis that parasite-encoded arginase can influence
macrophage responses to intracellular Leishmania, a comparative genetic approach
featuring arginase-deficient mutants of L. mexicana lacking both alleles of the
gene encoding arginase (Deltaarg), as well as wild-type and complemented Deltaarg
controls (Deltaarg[pArg]), was implemented. The studies showed: 1) the absence of
parasite arginase resulted in a significantly attenuated infection of mice
(p<0.05); 2) poorer survival of Deltaarg in mouse macrophages than controls
correlated with greater NO generation; 3) the difference between Deltaarg or
control intracellular survival was abrogated in iNOS-deficient macrophages,
suggesting iNOS activity was responsible for increased Deltaarg killing; 4)
consistently, immunohistochemistry showed enhanced nitrotyrosine modifications in
tissues of mice infected with Deltaarg compared with control parasites.
Furthermore, 5) in the face of decreased parasite survival, lymph node cells
draining cutaneous lesions of Deltaarg parasites produced more IFN-gamma and less
IL-4 and IL-10 than controls. These data intimate that parasite-encoded arginase
of Leishmania mexicana subverts macrophage microbicidal activity by diverting
arginine away from iNOS.
PMID- 18056392
TI - CD66b regulates adhesion and activation of human eosinophils.
AB - Eosinophils and their products are likely important in the pathophysiology of
allergic diseases, such as bronchial asthma, and in host immunity to parasitic
organisms. However, the mechanisms for proinflammatory mediator release by
eosinophils are poorly understood. CD66b (CEACAM8, CGM6, NCA-95) is a single
chain, GPI-anchored, highly glycosylated protein belonging to the
carcinoembryonic Ag supergene family. CD66b is an activation marker for human
granulocytes; however, its biological functions are largely unknown in
eosinophils. We found that CD66b is highly expressed on the surface of human
peripheral blood eosinophils isolated from healthy individuals. Engagement of
CD66b, but not CD66a, by mAb or a natural ligand, galectin-3, activated a Src
kinase family molecule, hemopoietic cell kinase (Hck), and induced cellular
adhesion, superoxide production, and degranulation of eosinophils. CD66b
molecules were localized in lipid rafts, and disruption of lipid rafts or removal
of the GPI anchor inhibited the adhesion and activation of eosinophils.
Importantly, CD66b was constitutively and physically associated with a beta2
integrin, CD11b, and cross-linking of CD66b induced a striking clustering of
CD11b molecules. Thus, CD66b molecules are involved in regulating adhesion and
activation of eosinophils, possibly through their localization in lipid rafts and
interaction with other cell surface molecules, such as CD11b. Binding of
exogenous or endogenous carbohydrate ligands(s) to CD66b may be important in the
release of proinflammatory mediators by human eosinophils.
PMID- 18056393
TI - Blockade of chemokine receptor CXCR3 inhibits T cell recruitment to inflamed
joints and decreases the severity of adjuvant arthritis.
AB - T lymphocytes expressing the chemokine receptors, CCR2, CCR5, CXCR3, and CXCR6
are increased in inflamed tissues in rheumatoid arthritis. The role of CXCR3 in
autoimmune arthritis induced in Lewis rats was investigated. CXCR3+ T cells
migrated 2- to 3-fold more than CXCR3- T cells to inflamed joints in arthritic
animals. CXCR3-expressing in vivo Ag-activated T lymphoblasts and in vitro
activated lymph node cells from arthritic animals were strongly recruited to the
arthritic joints, and treatment with anti-CXCR3 mAb significantly inhibited this
T cell recruitment by 40-60%. Immune T cells from the spleen and lymph nodes of
actively immunized arthritic donors adoptively transferred arthritis to naive
rats. Treatment with anti-CXCR3 mAb delayed the onset of arthritis and
significantly reduced the severity of joint inflammation with a >50% decrease in
the clinical arthritis score. Blockade of CXCR3 also significantly reduced the
weight loss in the arthritic animals and inhibited neutrophil accumulation in the
joints by 50-60%. There was a marked reduction in the leukocyte infiltration of
the synovium in the presence of CXCR3 blockade and a decrease in the loss of
articular cartilage of the joints. In conclusion, CXCR3 on T cells has an
essential role in T cell recruitment to inflamed joints and the development of
joint inflammation in adjuvant arthritis.
PMID- 18056394
TI - E- and P-selectin are not required for the development of experimental autoimmune
encephalomyelitis in C57BL/6 and SJL mice.
AB - In multiple sclerosis and in its animal model experimental autoimmune
encephalomyelitis (EAE), inflammatory cells migrate across the endothelial blood
brain barrier (BBB) and gain access to the CNS. It is well-established that
alpha4 integrins are actively involved in leukocyte recruitment across the BBB
during EAE. In contrast, the role of endothelial E- and P-selectin in this
process has been a controversial issue. In this study, we demonstrate that P
selectin protein can be detected in meningeal blood vessel endothelial cells in
healthy SJL and C57BL/6 mice and on rare parenchymal CNS blood vessels in
C57BL/6, but not SJL, mice. During EAE, expression of P-selectin but not E
selectin was found up-regulated on inflamed CNS microvessels surrounded by
inflammatory infiltrates irrespective of their meningeal or parenchymal
localization with a more prominent immunostaining detected in C57BL/6 as compared
with SJL mice. P-selectin immunostaining could be localized to CNS endothelial
cells and to CD41-positive platelets adhering to the vessel wall. Despite the
presence of P-selectin in wild-type mice, E/P-selectin-deficient SJL and C57BL/6
mice developed clinical EAE indistinguishable from wild-type mice. Absence of E-
and P-selectin did neither influence the activation of myelin-specific T cells
nor the composition of the cellular infiltrates in the CNS during EAE. Finally,
endothelial-specific tetracycline-inducible expression of E-selectin at the BBB
in transgenic C57BL/6 mice did not alter the development of EAE. Thus, E- and P
selectin are not required for leukocyte recruitment across the BBB and the
development of EAE in C57BL/6 and in SJL mice.
PMID- 18056395
TI - Caspase-4 interacts with TNF receptor-associated factor 6 and mediates
lipopolysaccharide-induced NF-kappaB-dependent production of IL-8 and CC
chemokine ligand 4 (macrophage-inflammatory protein-1 ).
AB - Human caspase-4 does not have a corresponding mouse ortholog. Caspase-4 falls
within the class of "inflammatory caspases," being homologous with human caspases
1 and 5 and mouse caspases 1, 11, and 12. To address the function of caspase-4,
we generated caspase-4-deficient human THP1 monocytic cell lines which exhibited
substantially reduced LPS-induced secretion of several chemokines and cytokines,
including IL-8 (CXCL8), CCL4 (macrophage-inflammatory protein-1beta), CCL20
(macrophage-inflammatory protein-3alpha), and IL-1beta. The LPS-induced
expression of the mRNAs encoding these cytokines was correspondingly reduced in
the caspase-4-deficient clones. Because a specific NF-kappaB inhibitor blocked
LPS-induced IL-8 and CCL4 mRNA expression as well as IL-8 and CCL4 secretion in
THP1 cells, we investigated the role of caspase-4 in NF-kappaB signaling. LPS
induced NF-kappaB nuclear translocation and activation were inhibited in all
caspase-4-deficient clones. LPS stimulation led to the interaction of endogenous
caspase-4 and TNFR-associated factor 6 (TRAF6) via a TRAF6-binding motif
(PPESGE), which we identified in caspase-4. Mutation of this site in caspase-4
resulted in the loss of the TRAF6-caspase-4 interaction. Similar TRAF6-binding
motifs are known to be functionally important for TRAF6 interactions with other
molecules including caspase-8, and for mediating NF-kappaB activation in various
immune and nonimmune cell types. Our data suggest that the TRAF6-caspase-4
interaction, triggered by LPS, leads to NF-kappaB-dependent transcriptional up
regulation and secretion of important cytokines and chemokines in innate immune
signaling in human monocytic cells.
PMID- 18056396
TI - Pulmonary and systemic endotoxin tolerance in preterm fetal sheep exposed to
chorioamnionitis.
AB - In a model of human chorioamnionitis, fetal sheep exposed to a single injection,
but not repeated injections, of intra-amniotic endotoxin develop lung injury
responses. We hypothesized that repeated exposure to intra-amniotic endotoxin
induces endotoxin tolerance. Fetal sheep were given intra-amniotic injections of
saline (control) or Escherichia coli LPS O55:B5 (10 mg) either 2 days (2-day
group, single exposure), 7 days (7-day group, single exposure), or 2 plus 7 days
(2- and 7-day repeat exposure) before preterm delivery at 124 days gestation
(term=150 days). Endotoxin responses were assessed in vivo in the lung and liver,
and in vitro in monocytes from the blood and the lung. Compared with the single 2
day LPS exposure group, the (2 plus 7 days) repeat LPS-exposed lambs had: 1)
decreased lung neutrophil and monocyte inducible NO synthase (NOSII) expression,
and 2) decreased lung cytokine and liver serum amyloid A3 mRNA expression. In the
lung, serum amyloid A3 mRNA expression decreased in the airway epithelial cells
but not in the lung inflammatory cells. Unlike the single 7-day LPS exposure
group, peripheral blood and lung monocytes from the repeat-LPS group did not
increase IL-6 secretion or hydrogen peroxide production in response to in vitro
LPS. Compared with controls, TLR4 expression did not change but IL-1R-associated
kinase M expression increased in the monocytes from repeat LPS-exposed lambs.
These results are consistent with the novel finding of endotoxin tolerance in
preterm fetal lungs exposed to intra-amniotic LPS. The findings have implications
for preterm infants exposed to chorioamnionitis for both responses to lung injury
and postnatal nosocomial infections.
PMID- 18056397
TI - Monocyte chemoattractant protein-1/CC chemokine ligand 2 controls microtubule
driven biogenesis and leukotriene B4-synthesizing function of macrophage lipid
bodies elicited by innate immune response.
AB - Lipid bodies (also known as lipid droplets) are emerging as inflammatory
organelles with roles in the innate immune response to infections and
inflammatory processes. In this study, we identified MCP-1 as a key endogenous
mediator of lipid body biogenesis in infection-driven inflammatory disorders and
we described the cellular mechanisms and signaling pathways involved in the
ability of MCP-1 to regulate the biogenesis and leukotriene B4 (LTB4) synthetic
function of lipid bodies. In vivo assays in MCP-1-/- mice revealed that
endogenous MCP-1 produced during polymicrobial infection or LPS-driven
inflammatory responses has a critical role on the activation of lipid body
assembling machinery, as well as on empowering enzymatically these newly formed
lipid bodies with LTB4 synthetic function within macrophages. MCP-1 triggered
directly the rapid biogenesis of distinctive LTB4-synthesizing lipid bodies via
CCR2-driven ERK- and PI3K-dependent intracellular signaling in in vitro
stimulated macrophages. Disturbance of microtubule organization by microtubule
active drugs demonstrated that MCP-1-induced lipid body biogenesis also signals
through a pathway dependent on microtubular dynamics. Besides biogenic process,
microtubules control LTB4-synthesizing function of MCP-1-elicited lipid bodies,
in part by regulating the compartmentalization of key proteins, as adipose
differentiation-related protein and 5-lipoxygenase. Therefore, infection-elicited
MCP-1, besides its known CCR2-driven chemotactic function, appears as a key
activator of lipid body biogenic and functional machineries, signaling through a
microtubule-dependent manner.
PMID- 18056399
TI - NLRP2, an inhibitor of the NF-kappaB pathway, is transcriptionally activated by
NF-kappaB and exhibits a nonfunctional allelic variant.
AB - NLRP2 has been shown to inhibit the NF-kappaB signaling pathway, and thus may
contribute to modulate the inflammatory response, where NF-kappaB plays a major
role. In this study, we report that expression of NLRP2 is induced upon
differentiation of CD34+ hemopoietic progenitors into granulocyte or
monocyte/macrophages. We also found that NLRP2 was up-regulated following
differentiation of mesenchymal stem cells toward adipocytes. Notably, stimulation
of HEK293T cells with TNF-alpha or overexpression of the p65 subunit of NF-kappaB
resulted in up-regulation of NLRP2 and the formation of NF-kappaB-NLRP2 promoter
complexes. Moreover, ectopic expression of p65 but not of other transcriptional
regulators induced transactivation of the NLRP2 promoter. Thus, NLRP2 may control
NF-kappaB activation through a regulatory loop. Nucleotide changes within the
NACHT domain of other NLRP proteins have been associated with hereditary fever
syndromes and chronic inflammatory diseases. We identified five single nucleotide
polymorphisms present in the NACHT domain of NLRP2 by sequencing genomic DNA from
319 healthy controls. The frequencies of the rare alleles varied between 0.2 and
10%. Of note, one of these variants, I352S was unable to block the
transcriptional activity of NF-kappaB and the formation of NF-kappaB-DNA-binding
complexes following stimulation with TNF-alpha. Overall, our findings provide
molecular insight into the expression of NLRP2 by NF-kappaB and suggest that a
polymorphism within the NACHT domain of NLRP2 may contribute to the amplification
of inflammatory responses due to a reduction of inhibitory signals on the NF
kappaB pathway.
PMID- 18056398
TI - Skin-homing receptors on effector leukocytes are differentially sensitive to
glyco-metabolic antagonism in allergic contact dermatitis.
AB - T cell recruitment into inflamed skin is dependent on skin-homing receptor
binding to endothelial (E)- and platelet (P)-selectin. These T cell receptors, or
E- and P-selectin ligands, can be targeted by the metabolic fluorosugar
inhibitor, 4-F-GlcNAc, to blunt cutaneous inflammation. Compelling new data
indicate that, in addition to T cells, NK cells are also recruited to inflamed
skin in allergic contact hypersensitivity (CHS) contingent on E- and P-selectin
binding. Using a model of allergic CHS, we evaluated the identity and impact of
NK cell E-selectin ligand(s) on inflammatory responses and examined the oral
efficacy of 4-F-GlcNAc. We demonstrated that the predominant E-selectin ligands
on NK cells are P-selectin glycoprotein ligand-1 and protease-resistant
glycolipids. We showed that, unlike the induced E-selectin ligand expression on
activated T cells upon exposure to Ag, ligand expression on NK cells was
constitutive. CHS responses were significantly lowered by orally administered 4-F
GlcNAc treatment. Although E-selectin ligand on activated T cells was suppressed,
ligand expression on NK cells was insensitive to 4-F-GlcNAc treatment. These
findings indicate that downregulating effector T cell E- and P-selectin ligand
expression directly correlates with anti-inflammatory efficacy and provides new
insight on metabolic discrepancies of E-selectin ligand biosynthesis in effector
leukocytes in vivo.
PMID- 18056400
TI - Selective proinflammatory activation of astrocytes by high-mobility group box 1
protein signaling.
AB - Extracellular high-mobility group box 1 protein (HMGB1) triggers inflammatory
events in the brain. We demonstrate that astrocytes, the main glial cells in the
brain, acquire a specific reactive phenotype when exposed to HMGB1. This cell
activation, which involves the receptor for advanced glycation end-products and
the MAPK/ERK1/2 cascade, results in the transcriptional/translational induction
of a restricted number of inflammatory mediators, including cyclooxygenase-2,
matrix metalloproteinase-9, and several chemokines of the CC and CXC families.
The mixture of factors released by HMGB1-reactive astrocytes displays a potent
chemotactic activity on human monocytic cells. This study is the first to suggest
that HMGB1/astrocyte interaction plays a specific functional role in the
progression of inflammatory processes in the CNS by facilitating local leukocyte
infiltration.
PMID- 18056401
TI - The required role of endogenously produced lipoxin A4 and annexin-1 for the
production of IL-10 and inflammatory hyporesponsiveness in mice.
AB - The appropriate development of an inflammatory response is central for the
ability of a host to deal with any infectious insult. However, excessive,
misplaced, or uncontrolled inflammation may lead to acute or chronic diseases.
The microbiota plays an important role in the control of inflammatory
responsiveness. In this study, we investigated the role of lipoxin A4 and annexin
1 for the IL-10-dependent inflammatory hyporesponsiveness observed in germfree
mice. Administration of a 15-epi-lipoxin A4 analog or an annexin-1-derived
peptide to conventional mice prevented tissue injury, TNF-alpha production, and
lethality after intestinal ischemia/reperfusion. This was associated with
enhanced IL-10 production. Lipoxin A4 and annexin-1 failed to prevent reperfusion
injury in IL-10-deficient mice. In germfree mice, there was enhanced expression
of both lipoxin A4 and annexin-1. Blockade of lipoxin A4 synthesis with a 5
lipoxygenase inhibitor or Abs against annexin-1 partially prevented IL-10
production and this was accompanied by partial reversion of inflammatory
hyporesponsiveness in germfree mice. Administration of BOC-1, an antagonist of
ALX receptors (at which both lipoxin A4 and annexin-1 act), or simultaneous
administration of 5-lipoxygenase inhibitor and anti-annexin-1 Abs, was associated
with tissue injury, TNF-alpha production, and lethality similar to that found in
conventional mice. Thus, our data demonstrate that inflammatory responsiveness is
tightly controlled by the presence of the microbiota and that the innate capacity
of germfree mice to produce IL-10 is secondary to their endogenous greater
ability to produce lipoxin A4 and annexin-1.
PMID- 18056403
TI - IL-21 induces inhibitor of differentiation 2 and leads to complete abrogation of
anaphylaxis in mice.
AB - IL-21 exerts pleiotrophic immunomodulatory activities on a variety of target
cells including B cells that undergo class switch recombination (CSR) to IgE. In
this study, we examined whether IgE-mediated systemic anaphylaxis was controlled
by in vivo administration of IL-21 using the peanut allergy model in mice and
investigated the molecular mechanisms underlying the IL-21-induced regulation of
IgE. The anaphylactic reaction was completely abolished by the administration of
recombinant mouse IL-21 or an IL-21 expression plasmid in terms of the change of
body temperature and anaphylactic symptoms. The recombinant mouse IL-21 treatment
remarkably suppressed IgE CSR in splenic B cells, resulting in significant
decrease in serum concentrations of total as well as allergen-specific IgE. In
the meanwhile, IL-21 provoked B cells in normal as well as allergic mice to
express the inhibitor of differentiation 2 (Id2) gene that was shown to be
crucially involved in the regulation of the activation-induced cytidine deaminase
and IgE CSR. Moreover, mice genetically deficient for Id2 were completely
unsusceptible to IL-21-induced prevention of IgE CSR and anaphylaxis. The present
study strongly suggests that IL-21 is capable of regulating systemic allergic
reactions by inducing the transcriptional regulator Id2, and the cytokine may be
useful for clinical intervention for allergic diseases including anaphylaxis.
PMID- 18056402
TI - Inhibition of neutrophil apoptosis by ATP is mediated by the P2Y11 receptor.
AB - Neutrophils undergo rapid constitutive apoptosis that is delayed by a range of
pathogen- and host-derived inflammatory mediators. We have investigated the
ability of the nucleotide ATP, to which neutrophils are exposed both in the
circulation and at sites of inflammation, to modulate the lifespan of human
neutrophils. We found that physiologically relevant concentrations of ATP cause a
concentration-dependent delay of neutrophil apoptosis (assessed by morphology,
annexin V/To-Pro3 staining, and mitochondrial membrane permeabilization). We
found that even brief exposure to ATP (10 min) was sufficient to cause a long
lasting delay of apoptosis and showed that the effects were not mediated by ATP
breakdown to adenosine. The P2 receptor mediating the antiapoptotic actions of
ATP was identified using a combination of more selective ATP analogs, receptor
expression studies, and study of downstream signaling pathways. Neutrophils were
shown to express the P2Y11 receptor and inhibition of P2Y11 signaling using the
antagonist NF157 abrogated the ATP-mediated delay of neutrophil apoptosis, as did
inhibition of type I cAMP-dependent protein kinases activated downstream of
P2Y11, without effects on constitutive apoptosis. Specific targeting of P2Y11
could retain key immune functions of neutrophils but reduce the injurious effects
of increased neutrophil longevity during inflammation.
PMID- 18056404
TI - Anti-C5 antibody treatment ameliorates weakness in experimentally acquired
myasthenia gravis.
AB - Myasthenia gravis (MG) is a neuromuscular transmission disorder in which damage
to acetylcholine receptors (AChR) on motor endplates by autoantibody-induced
complement attack causes muscle weakness. To determine whether and, if so, to
what extent, blockade of complement cascade at the C5 step ameliorates disease,
we evaluated the effect of administering a functionally blocking anti-C5 mAb in
passive experimental MG in Lewis rats induced with AChR Ab McAb-3. In contrast to
uniform severe weakness at 24 h requiring euthanasia in untreated animals, anti
C5 mAb-pretreated rats showed no weakness at 48 h. Anti-C5 mAb treatment 24 h
after disease induction restored strength in two-thirds of the rats.
Immunofluorescence staining of endplates from the treated animals showed that C9
deposition at AChR was reduced and ultrastructural analyses showed that endplates
were intact. The results argue that targeting C5 may warrant testing in MG
patients and that this approach may be particularly valuable for myasthenic
crisis.
PMID- 18056405
TI - Stefan Westermann: a close look at kinetochore function. Interviewed by Caitlin
Sedwick.
AB - Stefan Westermann is probing the structure and function of the yeast kinetochore.
PMID- 18056406
TI - Chromatin modification of Apaf-1 restricts the apoptotic pathway in mature
neurons.
AB - Although apoptosis has been extensively studied in developing neurons, the
dynamic changes in this pathway after neuronal maturation remain largely
unexplored. We show that as neurons mature, cytochrome c- mediated apoptosis
progresses from inhibitor of apoptosis protein-dependent to -independent
regulation because of a complete loss of Apaf-1 expression. However, after DNA
damage, mature neurons resynthesize Apaf-1 through the cell cycle-related E2F1
pathway and restore their apoptotic potential. Surprisingly, we find that E2F1 is
sufficient to induce Apaf-1 expression in developing but not mature neurons.
Rather, Apaf-1 up-regulation in mature neurons requires both chromatin
derepression and E2F1 transcriptional activity. This differential capacity of
E2F1 to induce Apaf-1 transcription is because of the association of the Apaf-1
promoter with active chromatin in developing neurons and repressed chromatin in
mature neurons. These data specifically illustrate how the apoptotic pathway in
mature neurons becomes increasingly restricted by a novel mechanism involving the
regulation of chromatin structure.
PMID- 18056407
TI - Lack of TRF2 in ALT cells causes PML-dependent p53 activation and loss of
telomeric DNA.
AB - Alternative lengthening of telomere (ALT) tumors maintain telomeres by a
telomerase-independent mechanism and are characterized by a nuclear structure
called the ALT-associated PML body (APB). TRF2 is a component of a telomeric
DNA/protein complex called shelterin. However, TRF2 function in ALT cells remains
elusive. In telomerase-positive tumor cells, TRF2 inactivation results in
telomere de-protection, activation of ATM, and consequent induction of p53
dependent apoptosis. We show that in ALT cells this sequence of events is
different. First, TRF2 inactivation/silencing does not induce cell death in p53
proficient ALT cells, but rather triggers cellular senescence. Second, ATM is
constitutively activated in ALT cells and colocalizes with TRF2 into APBs.
However, it is only following TRF2 silencing that the ATM target p53 is
activated. In this context, PML is indispensable for p53-dependent p21 induction.
Finally, we find a substantial loss of telomeric DNA upon stable TRF2 knockdown
in ALT cells. Overall, we provide insight into the functional consequences of
shelterin alterations in ALT cells.
PMID- 18056408
TI - Endoplasmic reticulum remains continuous and undergoes sheet-to-tubule
transformation during cell division in mammalian cells.
AB - The endoplasmic reticulum (ER) is a multifaceted cellular organelle both
structurally and functionally, and its cell cycle-dependent morphological changes
are poorly understood. Our quantitative confocal and EM analyses show that the ER
undergoes dramatic reorganization during cell division in cultured mammalian
cells as mitotic ER profiles become shorter and more branched. 3D modeling by
electron tomography reveals that the abundant interphase structures, sheets, are
lost and subsequently transform into a branched tubular network that remains
continuous. This is confirmed by observing the most prominent ER subdomain, the
nuclear envelope (NE). A NE marker protein spreads to the mitotic ER tubules,
although it does not show a homogenous distribution within the network. We
mimicked the mitotic ER reorganization using puromycin to strip the membrane
bound ribosomes from the interphase ER corresponding to the observed loss of
ribosomes normally occurring during mitosis. We propose that the structural
changes in mitotic ER are linked to ribosomal action on the ER membranes.
PMID- 18056409
TI - Megf10 regulates the progression of the satellite cell myogenic program.
AB - We identify here the multiple epidermal growth factor repeat transmembrane
protein Megf10 as a quiescent satellite cell marker that is also expressed in
skeletal myoblasts but not in differentiated myofibers. Retroviral expression of
Megf10 in myoblasts results in enhanced proliferation and inhibited
differentiation. Infected myoblasts that fail to differentiate undergo cell cycle
arrest and can reenter the cell cycle upon serum restimulation. Moreover,
experimental modulations of Megf10 alter the expression levels of Pax7 and the
myogenic regulatory factors. In contrast, Megf10 silencing in activated satellite
cells on individual fibers or in cultured myoblasts results in a dramatic
reduction in the cell number, caused by myogenin activation and precocious
differentiation as well as a depletion of the self-renewing Pax7+/MyoD-
population. Additionally, Megf10 silencing in MyoD-/- myoblasts results in down
regulation of Notch signaling components. We conclude that Megf10 represents a
novel transmembrane protein that impinges on Notch signaling to regulate the
satellite cell population balance between proliferation and differentiation.
PMID- 18056410
TI - A function for tyrosine phosphorylation of type 1 inositol 1,4,5-trisphosphate
receptor in lymphocyte activation.
AB - Sustained elevation of intracellular calcium by Ca2+ release-activated Ca2+
channels is required for lymphocyte activation. Sustained Ca2+ entry requires
endoplasmic reticulum (ER) Ca2+ depletion and prolonged activation of inositol
1,4,5-trisphosphate receptor (IP(3)R)/Ca2+ release channels. However, a major
isoform in lymphocyte ER, IP3R1, is inhibited by elevated levels of cytosolic
Ca2+, and the mechanism that enables the prolonged activation of IP(3)R1 required
for lymphocyte activation is unclear. We show that IP(3)R1 binds to the
scaffolding protein linker of activated T cells and colocalizes with the T cell
receptor during activation, resulting in persistent phosphorylation of IP(3)R1 at
Tyr353. This phosphorylation increases the sensitivity of the channel to
activation by IP(3) and renders the channel less sensitive to Ca2+ -induced
inactivation. Expression of a mutant IP3R1-Y353F channel in lymphocytes causes
defective Ca2+ signaling and decreased nuclear factor of activated T cells
activation. Thus, tyrosine phosphorylation of IP3R1-Y353 may have an important
function in maintaining elevated cytosolic Ca2+ levels during lymphocyte
activation.
PMID- 18056411
TI - Site-specific ubiquitination exposes a linear motif to promote interferon-alpha
receptor endocytosis.
AB - Ligand-induced endocytosis and lysosomal degradation of cognate receptors
regulate the extent of cell signaling. Along with linear endocytic motifs that
recruit the adaptin protein complex 2 (AP2)-clathrin molecules,
monoubiquitination of receptors has emerged as a major endocytic signal. By
investigating ubiquitin-dependent lysosomal degradation of the interferon (IFN)
alpha/beta receptor 1 (IFNAR1) subunit of the type I IFN receptor, we reveal that
IFNAR1 is polyubiquitinated via both Lys48- and Lys63-linked chains. The
SCF(betaTrcp) (Skp1-Cullin1-F-box complex) E3 ubiquitin ligase that mediates
IFNAR1 ubiquitination and degradation in cells can conjugate both types of chains
in vitro. Although either polyubiquitin linkage suffices for postinternalization
sorting, both types of chains are necessary but not sufficient for robust IFNAR1
turnover and internalization. These processes also depend on the proximity of
ubiquitin-acceptor lysines to a linear endocytic motif and on its integrity.
Furthermore, ubiquitination of IFNAR1 promotes its interaction with the AP2
adaptin complex that is required for the robust internalization of IFNAR1,
implicating cooperation between site-specific ubiquitination and the linear
endocytic motif in regulating this process.
PMID- 18056412
TI - Biogenesis of gamma-secretase early in the secretory pathway.
AB - Gamma-Secretase is responsible for proteolytic maturation of signaling and cell
surface proteins, including amyloid precursor protein (APP). Abnormal processing
of APP by gamma-secretase produces a fragment, Abeta(42), that may be responsible
for Alzheimer's disease (AD). The biogenesis and trafficking of this important
enzyme in relation to aberrant Abeta processing is not well defined. Using a cell
free reaction to monitor the exit of cargo proteins from the endoplasmic
reticulum (ER), we have isolated a transient intermediate of gamma-secretase.
Here, we provide direct evidence that the gamma-secretase complex is formed in an
inactive complex at or before the assembly of an ER transport vesicle dependent
on the COPII sorting subunit, Sec24A. Maturation of the holoenzyme is achieved in
a subsequent compartment. Two familial AD (FAD)-linked PS1 variants are
inefficiently packaged into transport vesicles generated from the ER. Our results
suggest that aberrant trafficking of PS1 may contribute to disease pathology.
PMID- 18056413
TI - Requirements for sulfate transport and the diastrophic dysplasia sulfate
transporter in fibronectin matrix assembly.
AB - Diastrophic dysplasia sulfate transporter (DTDST) is a sulfate/chloride
antiporter whose function is impaired in several human chondrodysplasias. We show
that DTDST is upregulated by dexamethasone stimulation of HT1080 fibrosarcoma
cells and is required for fibronectin (FN) extracellular matrix deposition by
these cells. DTDST imports sulfate for the modification of glycosaminoglycans. We
find that N-sulfation of these chains is important for FN matrix assembly and
that sulfation of cell surface proteoglycans is reduced in the absence of DTDST.
Of the candidate HT1080 cell surface proteoglycans, only loss of syndecan-2
compromises FN assembly, as shown by syndecan-2 small interfering RNA knockdown.
DTDST is both necessary and sufficient to induce FN matrix assembly in HT1080
cells. Knockdown of DTDST ablates FN matrix, whereas its overexpression increases
assembly without dexamethasone stimulation. These results identify a previously
unrecognized regulatory pathway for matrix assembly via modulation of a sulfate
transporter and proteoglycan sulfation. These data raise the possibility that FN
assembly defects contribute to chondrodysplasias.
PMID- 18056414
TI - Structural basis of filamin A functions.
AB - Filamin A (FLNa) can effect orthogonal branching of F-actin and bind many
cellular constituents. FLNa dimeric subunits have N-terminal spectrin family F
actin binding domains (ABDs) and an elongated flexible segment of 24
immunoglobulin (Ig) repeats. We generated a library of FLNa fragments to examine
their F-actin binding to define the structural properties of FLNa that enable its
various functions. We find that Ig repeats 9-15 contain an F-actin-binding domain
necessary for high avidity F-actin binding. Ig repeats 16-24, where most FLNa
binding partners interact, do not bind F-actin, and thus F-actin does not compete
with Ig repeat 23 ligand, FilGAP. Ig repeats 16-24 have a compact structure that
suggests their unfolding may accommodate pre-stress-mediated stiffening of F
actin networks, partner binding, mechanosensing, and mechanoprotection properties
of FLNa. Our results also establish the orientation of FLNa dimers in F-actin
branching. Dimerization, mediated by FLNa Ig repeat 24, accounts for rigid high
angle FLNa/F-actin branching resistant to bending by thermal forces, and high
avidity F-actin binding and cross-linking.
PMID- 18056416
TI - Vinculin controls focal adhesion formation by direct interactions with talin and
actin.
AB - Focal adhesions (FAs) regulate cell migration. Vinculin, with its many potential
binding partners, can interconnect signals in FAs. Despite the well-characterized
structure of vinculin, the molecular mechanisms underlying its action have
remained unclear. Here, using vinculin mutants, we separate the vinculin head and
tail regions into distinct functional domains. We show that the vinculin head
regulates integrin dynamics and clustering and the tail regulates the link to the
mechanotransduction force machinery. The expression of vinculin constructs with
unmasked binding sites in the head and tail regions induces dramatic FA growth,
which is mediated by their direct interaction with talin. This interaction leads
to clustering of activated integrin and an increase in integrin residency time in
FAs. Surprisingly, paxillin recruitment, induced by active vinculin constructs,
occurs independently of its potential binding site in the vinculin tail. The
vinculin tail, however, is responsible for the functional link of FAs to the
actin cytoskeleton. We propose a new model that explains how vinculin
orchestrates FAs.
PMID- 18056415
TI - Dual roles of myocardin-related transcription factors in epithelial mesenchymal
transition via slug induction and actin remodeling.
AB - Epithelial-mesenchymal transition (EMT) is a critical process occurring during
embryonic development and in fibrosis and tumor progression. Dissociation of cell
cell contacts and remodeling of the actin cytoskeleton are major events of the
EMT. Here, we show that myocardin-related transcription factors (MRTFs; also
known as MAL and MKL) are critical mediators of transforming growth factor beta
(TGF-beta) 1-induced EMT. In all epithelial cell lines examined here, TGF-beta1
triggers the nuclear translocation of MRTFs. Ectopic expression of constitutive
active MRTF-A induces EMT, whereas dominant-negative MRTF-A or knockdown of MRTF
A and -B prevents the TGF-beta1-induced EMT. MRTFs form complexes with Smad3. Via
Smad3, the MRTF-Smad3 complexes bind to a newly identified cis-element GCCG-like
motif in the promoter region of Canis familiaris and the human slug gene, which
activates slug transcription and thereby dissociation of cell-cell contacts.
MRTFs also increase the expression levels of actin cytoskeletal proteins via
serum response factor, thereby triggering reorganization of the actin
cytoskeleton. Thus, MRTFs are important mediators of TGF-beta1-induced EMT.
PMID- 18056417
TI - Monomer dimer dynamics and distribution of GPI-anchored uPAR are determined by
cell surface protein assemblies.
AB - To search for functional links between glycosylphosphatidylinositol (GPI) protein
monomer-oligomer exchange and membrane dynamics and confinement, we studied
urokinase plasminogen activator (uPA) receptor (uPAR), a GPI receptor involved in
the regulation of cell adhesion, migration, and proliferation. Using a
functionally active fluorescent protein-uPAR in live cells, we analyzed the
effect that extracellular matrix proteins and uPAR ligands have on uPAR dynamics
and dimerization at the cell membrane. Vitronectin directs the recruitment of
dimers and slows down the diffusion of the receptors at the basal membrane. The
commitment to uPA-plasminogen activator inhibitor type 1-mediated endocytosis and
recycling modifies uPAR diffusion and induces an exchange between uPAR monomers
and dimers. This exchange is fully reversible. The data demonstrate that cell
surface protein assemblies are important in regulating the dynamics and
localization of uPAR at the cell membrane and the exchange of monomers and
dimers. These results also provide a strong rationale for dynamic studies of GPI
anchored molecules in live cells at steady state and in the absence of cross
linker/clustering agents.
PMID- 18056418
TI - RecQ helicases queuing with Srs2 to disrupt Rad51 filaments and suppress
recombination.
PMID- 18056419
TI - Dynamics and interplay of nuclear architecture, genome organization, and gene
expression.
AB - The organization of the genome in the nucleus of a eukaryotic cell is fairly
complex and dynamic. Various features of the nuclear architecture, including
compartmentalization of molecular machines and the spatial arrangement of genomic
sequences, help to carry out and regulate nuclear processes, such as DNA
replication, DNA repair, gene transcription, RNA processing, and mRNA transport.
Compartmentalized multiprotein complexes undergo extensive modifications or
exchange of protein subunits, allowing for an exquisite dynamics of structural
components and functional processes of the nucleus. The architecture of the
interphase nucleus is linked to the spatial arrangement of genes and gene
clusters, the structure of chromatin, and the accessibility of regulatory DNA
elements. In this review, we discuss recent studies that have provided exciting
insight into the interplay between nuclear architecture, genome organization, and
gene expression.
PMID- 18056420
TI - The hematopoietic stem cell and its niche: a comparative view.
AB - Stem cells have been identified as a source of virtually all highly
differentiated cells that are replenished during the lifetime of an animal. The
critical balance between stem and differentiated cell populations is crucial for
the long-term maintenance of functional tissue types. Stem cells maintain this
balance by choosing one of several alternate fates: self-renewal, commitment to
differentiate, and senescence or cell death. These characteristics comprise the
core criteria by which these cells are usually defined. The self-renewal property
is important, as it allows for extended production of the corresponding
differentiated cells throughout the life span of the animal. A microenvironment
that is supportive of stem cells is commonly referred to as a stem cell niche. In
this review, we first present some general concepts regarding stem cells and
their niches, comparing stem cells of many different kinds from diverse
organisms, and in the second part, we compare specific aspects of hematopoiesis
and the niches that support hematopoiesis in Drosophila, zebrafish and mouse.
PMID- 18056421
TI - Atg7-dependent autophagy promotes neuronal health, stress tolerance, and
longevity but is dispensable for metamorphosis in Drosophila.
AB - Autophagy, a cellular process of cytoplasmic degradation and recycling, is
induced in Drosophila larval tissues during metamorphosis, potentially
contributing to their destruction or reorganization. Unexpectedly, we find that
flies lacking the core autophagy regulator Atg7 are viable, despite severe
defects in autophagy. Although metamorphic cell death is perturbed in Atg7
mutants, the larval-adult midgut transition proceeds normally, with extended
pupal development compensating for reduced autophagy. Atg7-/- adults are short
lived, hypersensitive to nutrient and oxidative stress, and accumulate ubiquitin
positive aggregates in degenerating neurons. Thus, normal levels of autophagy are
crucial for stress survival and continuous cellular renewal, but not
metamorphosis.
PMID- 18056422
TI - Human Y5 RNA specializes a Ro ribonucleoprotein for 5S ribosomal RNA quality
control.
AB - Humans express four distinct non-protein-coding Y RNAs (ncRNAs). To investigate Y
RNA functional diversification, we exploited an RNA-based affinity purification
method to isolate ribonucleoproteins (RNPs) assembled on individual human Y RNAs.
Silver staining and mass spectrometry revealed that the Ro and La proteins
assemble with all Y RNAs, while additional proteins associate with specific Y
RNAs. Unexpectedly, Y5 RNA uniquely copurified ribosomal protein L5 and its
binding partner 5S RNA. These findings reveal a contribution of Y5 to 5S
surveillance and suggest that interactions between Ro-Y5 and L5-5S RNPs establish
5S RNA as a target of quality control.
PMID- 18056423
TI - Hepatic IGFBP1 is a prosurvival factor that binds to BAK, protects the liver from
apoptosis, and antagonizes the proapoptotic actions of p53 at mitochondria.
AB - Liver is generally refractory to apoptosis induced by the p53 tumor suppressor
protein, but the molecular basis remains poorly understood. Here we show that p53
transcriptional activation leads to enhanced expression of hepatic IGFBP1
(insulin-like growth factor-binding protein-1). Exhibiting a previously
unanticipated role, a portion of intracellular IGFBP1 protein localizes to
mitochondria where it binds to the proapoptotic protein BAK and hinders BAK
activation and apoptosis induction. Interestingly, in many cells and tissues p53
also has a direct apoptotic function at mitochondria that includes BAK binding
and activation. When IGFBP1 is in a complex with BAK, formation of a proapoptotic
p53/BAK complex and apoptosis induction are impaired, both in cultured cells and
in liver. In contrast, livers of IGFBP1-deficient mice exhibit spontaneous
apoptosis that is accompanied by p53 mitochondrial accumulation and evidence of
BAK oligomerization. These data support the importance of BAK as a mediator of
p53's mitochondrial function. The results also identify IGFBP1 as a negative
regulator of the BAK-dependent pathway of apoptosis, whose expression integrates
the transcriptional and mitochondrial functions of the p53 tumor suppressor
protein.
PMID- 18056424
TI - Dnmt3b promotes tumorigenesis in vivo by gene-specific de novo methylation and
transcriptional silencing.
AB - Increased methylation of CpG islands and silencing of affected target genes is
frequently found in human cancer; however, in vivo the question of causality has
only been addressed by loss-of-function studies. To directly evaluate the role
and mechanism of de novo methylation in tumor development, we overexpressed the
de novo DNA methyltransferases Dnmt3a1 and Dnmt3b1 in Apc Min/+ mice. We found
that Dnmt3b1 enhanced the number of colon tumors in Apc Min/+ mice approximately
twofold and increased the average size of colonic microadenomas, whereas Dnmt3a1
had no effect. The overexpression of Dnmt3b1 caused loss of imprinting and
increased expression of Igf2 as well as methylation and transcriptional silencing
of the tumor suppressor genes Sfrp2, Sfrp4, and Sfrp5. Importantly, we found that
Dnmt3b1 but not Dnmt3a1 efficiently methylates the same set of genes in tumors
and in nontumor tissues, demonstrating that de novo methyltransferases can
initiate methylation and silencing of specific genes in phenotypically normal
cells. This suggests that DNA methylation patterns in cancer are the result of
specific targeting of at least some tumor suppressor genes rather than of random,
stochastic methylation followed by clonal selection due to a proliferative
advantage caused by tumor suppressor gene silencing.
PMID- 18056425
TI - Mechanism of mRNA deadenylation: evidence for a molecular interplay between
translation termination factor eRF3 and mRNA deadenylases.
AB - In eukaryotes, shortening of the 3'-poly(A) tail is the rate-limiting step in the
degradation of most mRNAs, and two major mRNA deadenylase complexes--Caf1-Ccr4
and Pan2-Pan3--play central roles in this process, referred to as deadenylation.
However, the molecular mechanism triggering deadenylation remains elusive.
Previously, we demonstrated that eukaryotic releasing factor eRF3 mediates
deadenylation and decay of mRNA in a manner coupled to translation termination.
Here, we report the mechanism of mRNA deadenylation. The eRF3-mediated
deadenylation is catalyzed by both Caf1-Ccr4 and Pan2-Pan3. Interestingly,
translation termination complexes eRF1-eRF3, Pan2-Pan3, and Caf1-Ccr4
competitively interact with polyadenylate-binding protein PABPC1. In each
complex, eRF3, Pan3, and Tob, respectively, mediate PABPC1 binding, and a
combination of a PAM2 motif and a PABC domain is commonly utilized for their
contacts. A translation-dependent exchange of eRF1-eRF3 for the deadenylase
occurs on PABPC1. Consequently, PABPC1 binding leads to the activation of Pan2
Pan3 and Caf1-Ccr4. From these results, we suggest a mechanism of mRNA
deadenylation by Pan2-Pan3 and Caf1-Ccr4 in cooperation with eRF3 and PABPC1.
PMID- 18056426
TI - The mechanism of an exceptional case of reinitiation after translation of a long
ORF reveals why such events do not generally occur in mammalian mRNA translation.
AB - The subgenomic mRNA of feline caliciviruses is bicistronic with the two cistrons
overlapping by four nucleotides, ..AUGA. The upstream cistron encodes a 75-kDa
major capsid protein precursor (pre-VP1), and the downstream cistron a 10-kDa
minor capsid protein. The kinetics of translation in reticulocyte lysates show
that the downstream cistron is translated by a termination-reinitiation process,
which is unusual in not requiring eIF4G or the eIF4F complex. Reinitiation
requires the 3'-terminal 87 nucleotides (nt) of the pre-VP1 ORF, but no other
viral sequences. The reinitiation site is selected by virtue of its proximity to
this 87-nt element, and not its proximity to the pre-VP1 ORF stop codon, although
this must be located not more than approximately 30 nt downstream from the
restart codon. This 87-nt element was shown to bind 40S ribosomal subunits and
initiation factor eIF3, and addition of supplementary eIF3 enhanced reinitiation
efficiency. Mutants defective in reinitiation showed reduced affinity for eIF3 or
defective 40S subunit binding (or both). These results suggest a mechanism in
which some of the eIF3/40S complexes formed during disassembly of post
termination ribosomes bind to this 87-nt element in a position appropriate for
reinitiation following acquisition of an eIF2/GTP/Met-tRNA i ternary complex.
PMID- 18056427
TI - Genome-wide view of cell fate specification: ladybird acts at multiple levels
during diversification of muscle and heart precursors.
AB - Correct diversification of cell types during development ensures the formation of
functional organs. The evolutionarily conserved homeobox genes from ladybird/Lbx
family were found to act as cell identity genes in a number of embryonic tissues.
A prior genetic analysis showed that during Drosophila muscle and heart
development ladybird is required for the specification of a subset of muscular
and cardiac precursors. To learn how ladybird genes exert their cell identity
functions we performed muscle and heart-targeted genome-wide transcriptional
profiling and a chromatin immunoprecipitation (ChIP)-on-chip search for direct
Ladybird targets. Our data reveal that ladybird not only contributes to the
combinatorial code of transcription factors specifying the identity of muscle and
cardiac precursors, but also regulates a large number of genes involved in
setting cell shape, adhesion, and motility. Among direct ladybird targets, we
identified bric-a-brac 2 gene as a new component of identity code and inflated
encoding alphaPS2-integrin playing a pivotal role in cell-cell interactions.
Unexpectedly, ladybird also contributes to the regulation of terminal
differentiation genes encoding structural muscle proteins or contributing to
muscle contractility. Thus, the identity gene-governed diversification of cell
types is a multistep process involving the transcriptional control of genes
determining both morphological and functional properties of cells.
PMID- 18056428
TI - The C. elegans protein CEH-30 protects male-specific neurons from apoptosis
independently of the Bcl-2 homolog CED-9.
AB - The developmental control of apoptosis is fundamental and important. We report
that the Caenorhabditis elegans Bar homeodomain transcription factor CEH-30 is
required for the sexually dimorphic survival of the male-specific CEM (cephalic
male) sensory neurons; the homologous cells of hermaphrodites undergo programmed
cell death. We propose that the cell-type-specific anti-apoptotic gene ceh-30 is
transcriptionally repressed by the TRA-1 transcription factor, the terminal
regulator of sexual identity in C. elegans, to cause hermaphrodite-specific CEM
death. The established mechanism for the regulation of specific programmed cell
deaths in C. elegans is the transcriptional control of the BH3-only gene egl-1,
which inhibits the Bcl-2 homolog ced-9; similarly, most regulation of vertebrate
apoptosis involves the Bcl-2 superfamily. In contrast, ceh-30 acts within the CEM
neurons to promote their survival independently of both egl-1 and ced-9.
Mammalian ceh-30 homologs can substitute for ceh-30 in C. elegans. Mice lacking
the ceh-30 homolog Barhl1 show a progressive loss of sensory neurons and
increased sensory-neuron cell death. Based on these observations, we suggest that
the function of Bar homeodomain proteins as cell-type-specific inhibitors of
apoptosis is evolutionarily conserved.
PMID- 18056429
TI - Control of sex-specific apoptosis in C. elegans by the BarH homeodomain protein
CEH-30 and the transcriptional repressor UNC-37/Groucho.
AB - Apoptosis is essential for proper development and tissue homeostasis in
metazoans. It plays a critical role in generating sexual dimorphism by
eliminating structures that are not needed in a specific sex. The molecular
mechanisms that regulate sexually dimorphic apoptosis are poorly understood. Here
we report the identification of the ceh-30 gene as a key regulator of sex
specific apoptosis in Caenorhabditis elegans. Loss-of-function mutations in ceh
30 cause the ectopic death of male-specific CEM neurons. ceh-30 encodes a BarH
homeodomain protein that acts downstream from the terminal sex determination gene
tra-1, but upstream of, or in parallel to, the cell-death-initiating gene egl-1
to protect CEM neurons from undergoing apoptosis in males. The second intron of
the ceh-30 gene contains two adjacent cis-elements that are binding sites for TRA
1A and a POU-type homeodomain protein UNC-86 and acts as a sensor to regulate
proper specification of the CEM cell fate. Surprisingly, the N terminus of CEH-30
but not its homeodomain is critical for CEH-30's cell death inhibitory activity
in CEMs and contains a conserved eh1/FIL domain that is important for the
recruitment of the general transcriptional repressor UNC-37/Groucho. Our study
suggests that ceh-30 defines a critical checkpoint that integrates the sex
determination signal TRA-1 and the cell fate determination and survival signal
UNC-86 to control the sex-specific activation of the cell death program in CEMs
through the general transcription repressor UNC-37.
PMID- 18056430
TI - Nuclear factor-kappaB, an unappreciated tumor suppressor.
AB - The notion that nuclear factor-kappaB (NF-kappaB) is a tumor-promoting
transcription factor has become a widely accepted dogma in biology. However,
recent findings suggest an inhibitory role for NF-kappaB in carcinogenesis and
tumorigenesis. Although the tumor suppressor-like effect of NF-kappaB remains to
be rigorously established by further studies using cellular and animal models,
these latest findings warrant caution with respect to blockage of NF-kappaB
activation as a broad strategy in treating cancers.
PMID- 18056431
TI - The guardian's little helper: microRNAs in the p53 tumor suppressor network.
AB - Several microRNAs (miRNAs) have been implicated in tumor development based on
both changes in their expression patterns and gene structural alterations in
human tumors. However, we are only now beginning to see how miRNAs interact with
classic oncogene and tumor suppressor mechanisms. Several recent studies have
implicated the miR-34 family of miRNAs in the p53 tumor suppressor network. The
expression of miR-34a, miR-34b, and miR-34c is robustly induced by DNA damage and
oncogenic stress in a p53-dependent manner. When overexpressed, miR-34 leads to
apoptosis or cellular senescence, whereas reduction of miR-34 function attenuates
p53-mediated cell death. These findings, together with the fact that miR-34 is
down-regulated in several types of human cancer, show that miRNAs can affect
tumorigenesis by working within the confines of well-known tumor suppressor
pathways.
PMID- 18056432
TI - Polo-like kinase 1 is involved in invasion through extracellular matrix.
AB - Polo-like kinase 1 (PLK1) has important functions in maintaining genome stability
via its role in mitosis. Because PLK1 is up-regulated in many invasive
carcinomas, we asked whether it may also play a role in acquisition of
invasiveness, a crucial step in transition to malignancy. In a model of
metaplastic basal-like breast carcinoma progression, we found that PLK1
expression is necessary but not sufficient to induce invasiveness through laminin
rich extracellular matrix. PLK1 mediates invasion via vimentin and beta1
integrin, both of which are necessary. We observed that PLK1 phosphorylates
vimentin on Ser82, which in turn regulates cell surface levels of beta1 integrin.
We found PLK1 to be also highly expressed in preinvasive in situ carcinomas of
the breast. These results support a role for the involvement of PLK1 in the
invasion process and point to this pathway as a potential therapeutic target for
preinvasive and invasive breast carcinoma treatment.
PMID- 18056433
TI - MicroRNAs as potential agents to alter resistance to cytotoxic anticancer
therapy.
AB - Tumor cells use preexisting prosurvival signaling pathways to evade the damaging
and cytotoxic effects of anticancer agents. Radiation therapy is a primary form
of cytotoxic anticancer treatment, but agents that successfully modify the
radiation response in vivo are lacking. MicroRNAs (miRNA) are global gene
regulators that play critical roles in oncogenesis and have been found to
regulate prosurvival pathways. However, there is little understanding of how
cellular miRNA expression affects the response of a cancer to cytotoxic therapy
and ultimately outcome. The let-7 family of miRNAs regulates expression of
oncogenes, such as RAS, and is specifically down-regulated in many cancer
subtypes. In fact, low levels of let-7 predict a poor outcome in lung cancer.
Here, we report that the let-7 family of miRNAs is overrepresented in a class of
miRNAs exhibiting altered expression in response to radiation. More strikingly,
we also can create a radiosensitive state when the select let-7 family of miRNAs
is overexpressed in vitro in lung cancer cells and in vivo in a Caenorhabditis
elegans model of radiation-induced cell death, whereas decreasing their levels
causes radioresistance. In C. elegans, we show that this is partly through
control of the proto-oncogene homologue let-60/RAS and genes in the DNA damage
response pathway. These findings are the first direct evidence that miRNAs can
suppress resistance to anticancer cytotoxic therapy, a common feature of cancer
cells, and suggest that miRNAs may be a viable tool to augment current cancer
therapies.
PMID- 18056434
TI - Cells deficient in the FANC/BRCA pathway are hypersensitive to plasma levels of
formaldehyde.
AB - Formaldehyde is an aliphatic monoaldehyde and is a highly reactive environmental
human carcinogen. Whereas humans are continuously exposed to exogenous
formaldehyde, this reactive aldehyde is a naturally occurring biological compound
that is present in human plasma at concentrations ranging from 13 to 97
micromol/L. It has been well documented that DNA-protein crosslinks (DPC) likely
play an important role with regard to the genotoxicity and carcinogenicity of
formaldehyde. However, little is known about which DNA damage response pathways
are essential for cells to counteract formaldehyde. In the present study, we
first assessed the DNA damage response to plasma levels of formaldehyde using
chicken DT40 cells with targeted mutations in various DNA repair genes. Here, we
show that the hypersensitivity to formaldehyde is detected in DT40 mutants
deficient in the BRCA/FANC pathway, homologous recombination, or translesion DNA
synthesis. In addition, FANCD2-deficient DT40 cells are hypersensitive to
acetaldehyde, but not to acrolein, crotonaldehyde, glyoxal, and methylglyoxal.
Human cells deficient in FANCC and FANCG are also hypersensitive to plasma levels
of formaldehyde. These results indicate that the BRCA/FANC pathway is essential
to counteract DPCs caused by aliphatic monoaldehydes. Based on the results
obtained in the present study, we are currently proposing that endogenous
formaldehyde might have an effect on highly proliferating cells, such as bone
marrow cells, as well as an etiology of cancer in Fanconi anemia patients.
PMID- 18056435
TI - Plasma cysteinylglycine levels and breast cancer risk in women.
AB - Cysteinylglycine, a prooxidant generated during the catabolism of glutathione,
has been suggested to induce oxidative stress and lipid peroxidation, leading to
the development of human cancers. Observational data relating cysteinylglycine
status to breast cancer risk are lacking. We prospectively evaluated plasma
cysteinylglycine levels and invasive breast cancer risk among 812 case-control
pairs nested in the Women's Health Study, a completed randomized trial evaluating
low-dose aspirin and vitamin E in middle-aged and older women. We additionally
evaluated the effect modification by risk factors for oxidative stress, such as
vitamin E assignment, alcohol consumption, obesity, and postmenopausal hormone
use. Logistic regression controlling for matching factors, as well as other risk
factors for breast cancer, was used to estimate relative risks (RR) and 95%
confidence intervals (95% CI). All statistical tests were two sided. We observed
no overall association between plasma cysteinylglycine and invasive breast cancer
risk. However, higher cysteinylglycine levels were marginally associated with an
increased risk of breast cancer in the high oxidative stress groups. Women in the
highest quintile group of cysteinylglycine relative to the lowest group had
multivariate RRs (95% CIs) of 1.64 (1.01-2.66; P(trend) = 0.04) in the vitamin E
placebo group, 2.51 (1.01-6.24; P(trend) = 0.07) in the high alcohol intake group
(>or=9 g/day), and 1.66 (0.97-2.84; P(trend) = 0.03) in the overweight and obese
group. Our findings suggest that women who are susceptible to experiencing
oxidative stress may be at a greater risk for developing breast cancer.
PMID- 18056436
TI - Variants on 9p24 and 8q24 are associated with risk of colorectal cancer: results
from the Colon Cancer Family Registry.
AB - Recent publications have reported that common variants on 8q24 are associated
with both prostate and colorectal cancers (CRC). In addition, one of these
studies (the ARCTIC study) initially observed an association with a single
nucleotide polymorphism (SNP) on 9p24 that was not confirmed in some of their
validation data sets. In the research described here, we conducted a case
unaffected sibling analysis using population- and clinic-based discordant
sibships (N = 1,567 sibships) from the Colon Cancer Family Registry (Colon CFR)
to investigate the associations between common variants at 9p24 and 8q24 and risk
of CRC. We also evaluated whether these associations differed by age, family
history, and tumor characteristics, including microsatellite instability and
tumor site. Associations were estimated using conditional logistic regression,
treating sibship as the matching factor. Analyses were adjusted for age and sex,
and stratified by ascertainment source (population versus clinic). We observed an
association between a SNP on 9p24 (rs719725) and risk of CRC in the population
based series (AA versus CC: odds ratios, 1.46; 95% confidence interval, 1.06
2.02; AC versus CC: odds ratios, 1.50; 95% confidence interval, 1.14-1.98; P =
0.011 on 2 df). In the population-based series, we also detected statistically
significant associations between two SNPs on 8q24, rs10505477 and rs6983267, and
risk of CRC (P = 0.005 and P = 0.002, respectively). There was no evidence of
statistically significant heterogeneity by age at diagnosis, family history of
CRC, microsatellite instability, or tumor site at either locus and no evidence of
interaction between SNPs on 8q24 and 9p24. These data suggest that common
variants may play important roles in the risk of CRC.
PMID- 18056437
TI - Direct transcriptional activation of promyelocytic leukemia protein by IFN
regulatory factor 3 induces the p53-dependent growth inhibition of cancer cells.
AB - IFN regulatory factor 3 (IRF3) is a transcriptional factor that plays a crucial
role in activation of innate immunity and inflammation in response to viral
infection, and is also involved in p53-dependent inhibition of cell growth.
Although functional activation of IRF3 by viral infection is relatively well
documented, the biological role and regulatory mechanism underlying cell growth
inhibition by IRF3 are poorly understood. Here, we show a novel regulatory
pathway connecting IRF3-promyelocytic leukemia protein (PML)-p53 in primary and
cancer cell lines. Overexpression of IRF3 induces p53-dependent cell growth
inhibition in cancer cell lines with normal p53 activity. In addition,
doxycycline-induced expression of IRF3 in U87MG cells inhibits tumor growth in
nude mice in vivo. IRF3 is found to increase expression of PML by a direct
transcriptional activation as determined by PML-promoter-luciferase and chromatin
immunoprecipitation assays. When PML is depleted by RNA interference-mediated
knockdown, IRF3 fails to increase p53 acetylation and its transcriptional
activity. Taken together, the results of the present study indicate that direct
transcriptional activation of PML by IRF3 results in the p53-dependent growth
inhibition of normal and cancer cells in vitro and in vivo, which is suggestive
of a novel regulatory network between the innate immune response and tumor
suppression.
PMID- 18056438
TI - Role of CYP2E1 in diethylnitrosamine-induced hepatocarcinogenesis in vivo.
AB - CYP2E1 metabolizes many low-molecular weight toxins and carcinogens. Some in
vitro experiments suggest that CYP2E1 may be involved in the metabolic activation
of diethylnitrosamine. However, there has been no direct evidence demonstrating a
role for CYP2E1 in diethylnitrosamine-mediated carcinogenesis in vivo. To clarify
this, we carried out a diethylnitrosamine-induced hepatocarcinogenesis experiment
using Cyp2e1-null mice. Male 14-day-old wild-type and Cyp2e1-null mice were
treated with diethylnitrosamine (10 mg/kg of body weight) and killed at weeks 24
and 36 after diethylnitrosamine treatment for investigation of tumors and at 6,
24, and 48 h for examination of apoptosis and gene expression. Liver weights of
Cyp2e1-null mice were significantly different at weeks 24 and 36 compared with
wild-type mice (P < 0.01). Liver tumor incidences of Cyp2e1-null mice were
significantly decreased at weeks 24 and 36 compared with wild-type mice (P <
0.01). Cyp2e1-null mice showed significant decrease in the multiplicities of
hepatocellular adenoma at weeks 24 and 36 (P < 0.05 and P < 0.01, respectively),
and of hepatocellular carcinoma at week 36 (P < 0.01) compared with wild-type
mice. Apoptotic index and caspase-3 and/or Bax mRNA expression of Cyp2e1-null
mice were significantly different at 6, 24, and 48 h after diethylnitrosamine
treatment compared with wild-type mice (P < 0.05). We conclude that Cyp2e1-null
mice show lower tumor incidence and multiplicity compared with wild-type mice in
diethylnitrosamine-induced hepatocarcinogenesis. It is suggested that CYP2E1
completely participates in diethylnitrosamine-induced hepatocarcinogenesis, and
high frequency of tumors in wild-type mice could be associated with the increased
apoptosis.
PMID- 18056439
TI - Epithelial to mesenchymal transition in human breast epithelial cells transformed
by 17beta-estradiol.
AB - The estrogen dependence of breast cancer has long been recognized; however, the
role of 17beta-estradiol (E(2)) in cancer initiation was not known until we
showed that it induces complete neoplastic transformation of the human breast
epithelial cells MCF-10F. E(2) treatment of MCF-10F cells progressively induced
high colony efficiency and loss of ductulogenesis in early transformed (trMCF)
cells and invasiveness in Matrigel invasion chambers. The cells that crossed the
chamber membrane were collected and identified as bsMCF; their subclones were
designated bcMCF; and the cells harvested from carcinoma formation in severe
combined immunodeficient mice were designated caMCF. These phenotypes correlated
with gene dysregulation during the progression of the transformation. The highest
number of dysregulated genes was observed in caMCF, being slightly lower in
bcMCF, and lowest in trMCF. This order was consistent with the extent of
chromosome aberrations (caMCF > bcMCF >>> trMCF). Chromosomal amplifications were
found in 1p36.12-pter, 5q21.1-qter, and 13q21.31-qter. Losses of the complete
chromosome 4 and 8p11.21-23.1 were found only in tumorigenic cells. In tumor
derived cell lines, additional losses were found in 3p12.1-14.1, 9p22.1-pter, and
18q11.21-qter. Functional profiling of dysregulated genes revealed progressive
changes in the integrin signaling pathway, inhibition of apoptosis, acquisition
of tumorigenic cell surface markers, and epithelial-mesenchymal transition. In
tumorigenic cells, the levels of E-cadherin, epithelial membrane antigen, and
various keratins were low and CD44E/CD24 were negative, whereas SNAI2, vimentin,
S100A4, FN1, HRAS, transforming growth factor beta1, and CD44H were high. The
phenotypic and genomic changes triggered by estrogen exposure that lead normal
cells to tumorigenesis confirm the role of this steroid hormone in cancer
initiation.
PMID- 18056440
TI - Novel NBS1 heterozygous germ line mutation causing MRE11-binding domain loss
predisposes to common types of cancer.
AB - DNA damage response (DDR) pathways maintain genomic stability. A 657del5 mutation
of NBS1, a key DDR component, causing the rare cancer-predisposing Nijmegen
breakage syndrome has been reported nearly exclusively in Slavic populations. In
this study, we describe the first identification in a Japanese population of an
unprecedented type of heterozygous NBS1 mutant, termed IVS11+2insT, lacking the
MRE11- and ATM-binding site at the COOH terminus. Profoundly defective in crucial
binding to MRE11, MDC1, BRCA1, and wild-type NBS1, the mutant caused impaired ATM
phosphorylation in response to low-dose irradiation in a heterozygous state.
Importantly, whereas IVS11+2insT was found in only 2 (0.09%) of 2,348 control
subjects, it was identified in 2% (2 of 96) of heterozygotes with gastric cancer,
0.8% (3 of 376) of those with colorectal cancer, and 0.4% (2 of 532) of those
with lung cancer, which were comparable to frequencies reported for other DDR
related genes known to confer cancer susceptibility. The presence of the
heterozygous IVS11+2insT mutation seemed to be associated with an increased risk
for gastrointestinal cancers, with an odds ratio of 12.6 and 95% confidence
interval (95% CI) of 2.05 to 132.1 (P = 0.0001). The odds ratios separately
calculated for gastric and colorectal cancers were 25.0 (95% CI, 1.78-346.0) and
9.43 (95% CI, 1.08-113.1), respectively. These findings suggest that IVS11+2insT
is associated with an increased risk for the development of certain types of
common cancers, warranting future investigation including detailed phenotypic
characterization of age of onset and penetrance in heterozygotes, as well as
screening in other ethnic groups.
PMID- 18056441
TI - Sigma-1 receptors bind cholesterol and remodel lipid rafts in breast cancer cell
lines.
AB - Lipid rafts are membrane platforms that spatially organize molecules for specific
signaling pathways that regulate various cellular functions. Cholesterol is
critical for liquid-ordered raft formation by serving as a spacer between the
hydrocarbon chains of sphingolipids, and alterations in the cholesterol contents
of the plasma membrane causes disruption of rafts. The role that sigma receptors
play in cancer is not clear, although it is frequently up-regulated in human
cancer cells and tissues and sigma receptors inhibit proliferation in carcinoma
and melanoma cell lines, induce apoptosis in colon and mammary carcinoma cell
lines, and reduce cellular adhesion in mammary carcinoma cell lines. In this
study, we provide molecular and functional evidence for the involvement of the
enigmatic sigma 1 receptors in lipid raft modeling by sigma 1 receptor-mediated
cholesterol alteration of lipid rafts in breast cancer cell lines. Cholesterol
binds to cholesterol recognition domains in the COOH terminus of the sigma 1
receptor. This binding is blocked by sigma receptor drugs because the cholesterol
binding domains form part of the sigma receptor drug-binding site, mutations of
which abolish cholesterol binding. Furthermore, we outline a hypothetical
functional model to explain the myriad of biological processes, including cancer,
in which these mysterious receptors are involved. The findings of this study
provide a biological basis for the potential therapeutic applications of lipid
raft cholesterol regulation in cancer therapy using sigma receptor drugs.
PMID- 18056442
TI - Adaptor protein LAPF recruits phosphorylated p53 to lysosomes and triggers
lysosomal destabilization in apoptosis.
AB - Evidence suggests a functional association between the tumor suppressor p53 and
apoptosis-involved organelle lysosome; however, the detailed mechanisms remain
poorly understood. We recently reported that a lysosome-targeting protein, LAPF
(lysosome-associated and apoptosis-inducing protein containing PH and FYVE
domains), could initiate apoptosis of L929 cells through a lysosomal
mitochondrial pathway. In this study, we show that LAPF specifically interacted
with phosphorylated p53 (Ser(15/18)) both in vitro and in vivo, which could be
enhanced by apoptotic stimuli, such as tumor necrosis factor alpha (TNF-alpha)
and ionizing irradiation. The PH domain of LAPF and the transactivation domain of
p53 mediated the interaction between both molecules. Phosphorylated p53
(Ser(15/18)) could translocate to lysosomes before lysosomal membrane
permeabilization (LMP) in LAPF-initiated and TNF-induced apoptosis. Silencing of
LAPF expression abrogated lysosomal translocation of phosphorylated p53
(Ser(15/18)), whereas silencing of p53 expression had no effect on lysosomal
translocation of LAPF. Similar to that of LAPF silencing, silencing of endogenous
p53 expression in L929 cells could significantly impair TNF-alpha-induced LMP and
apoptosis. However, reexpression of wild-type p53, p53S15D (substitution of
Ser(15) to Asp that mimics a phosphorylated state), and p53R175H (a transcription
deficient mutant) in p53-knockdown L929 cells could rescue the decrease in TNF
induced apoptosis. The data suggest that phosphorylated p53 (Ser(15/18)) might
translocate to lysosome via forming complexes with adaptor protein LAPF and
subsequently result in LMP and apoptosis, which might be in a transcription
independent manner.
PMID- 18056443
TI - Aurora-A kinase regulates breast cancer associated gene 1 inhibition of
centrosome-dependent microtubule nucleation.
AB - Breast cancer-associated gene 1 (BRCA1) regulates the duplication and the
function of centrosomes in breast cells. We have previously shown that BRCA1
ubiquitin ligase activity directly inhibits centrosome-dependent microtubule
nucleation. However, there is a paradox because centrosome microtubule nucleation
potential is highest during mitosis, a phase when BRCA1 is most abundant at the
centrosome. In this study, we resolve this conundrum by testing whether
centrosomes from cells in M phase are regulated differently by BRCA1 when
compared with other phases of the cell cycle. We observed that BRCA1-dependent
inhibition of centrosome microtubule nucleation was high in S phase but was
significantly lower during M phase. The cell cycle-specific effects of BRCA1 on
centrosome-dependent microtubule nucleation were detected in living cells and in
cell-free experiments using centrosomes purified from cells at specific stages of
the cell cycle. We show that Aurora-A kinase modulates the BRCA1 inhibition of
centrosome function by decreasing the E3 ubiquitin ligase activity of BRCA1. In
addition, dephosphorylation of BRCA1 by protein phosphatase 1 alpha enhances the
E3 ubiquitin ligase activity of BRCA1. These observations reveal that the
inhibition of centrosome microtubule nucleation potential by the BRCA1 E3
ubiquitin ligase is controlled by Aurora-A kinase and protein phosphatase 1 alpha
mediated phosphoregulation through the different phases of the cell cycle.
PMID- 18056444
TI - Differing phenotypes between intraepithelial and stromal lymphocytes in early
stage tongue cancer.
AB - The significance of tumor-infiltrating lymphocytes (TIL) has attracted much
attention in relation to the prognosis of patients. We herein examined the
activation status of the TILs in relation to the tumor microenvironment. By using
frozen sections of human early-stage tongue cancers (n = 22), the TILs in the
cancer nests and those in the cancer stroma were compared for the expression of
PD-1, NKG2A, NKG2D, CD69, and Ki-67. The lymphocytes in oral lichen planus, an
active immune response-mediated mucosal disease, were also analyzed for
comparison purposes. All of the cancer specimens were abundantly infiltrated by
CD8(+) T cells and CD56(+) natural killer (NK) cells in the stroma, as well as in
the tumor nest. The tumor nest-infiltrating (intraepithelial) CD8(+) T cells
frequently expressed PD-1, an inhibitory receptor, in sharp contrast to those in
the stroma or in the lichen planus. Conversely, the intraepithelial CD8(+) T
cells only infrequently expressed NKG2D, an activating receptor, in contrast to
those in the stroma or in the lichen planus. No intraepithelial CD8(+) T cells
expressed Ki-67, a proliferation-associated marker, whereas those in the stroma
frequently expressed it. Furthermore, the intraepithelial NK cells expressed
NKG2A, an inhibitory receptor, more frequently than those in the stroma or the
lichen planus. Collectively, the intraepithelial CD8(+) T cells and NK cells are
phenotypically inactivated, whereas stromal counterparts are phenotypically just
as active as those in the lichen planus. These results suggest the first-step
occurrence of an immune evasion mechanism in the tumor nest of oral squamous cell
carcinoma.
PMID- 18056445
TI - CD83 gene polymorphisms increase susceptibility to human invasive cervical
cancer.
AB - We previously mapped a nonrandom frequent loss of heterozygosity (LOH) region in
cervical cancers to 1 Mb of 6p23. Here, we describe the identification of a novel
cervical cancer susceptibility gene, CD83. The gene was identified by several
complementary approaches, including a family-based association study, comparison
of transcript expression in normal and cancerous tissue, and genomic sequencing
of candidate. CD83 encodes an inducible glycoprotein in the immunoglobulin
superfamily and is a marker for mature dendritic cells. The association study
that includes 377 family trios showed that five single nucleotide polymorphisms
(SNP) within 8 kb of its 3'-end showed significant allelic association that was
strengthened in a subgroup of women with invasive cancers infected by high-risk
human papillomavirus type 16 and 18 (rs9296925, P = 0.0193; rs853360, P = 0.0035;
rs9230, P = 0.0011; rs9370729, P = 0.0012; rs750749, P = 0.0133). Investigation
of CD83 uncovered three alternative transcripts in cervical tissue and cell
lines, with variant 3 (lacking exons 3 and 4) being more frequent in cervical
cancer than in normal cervical epithelium (P = 0.0181). Genomic sequencing on 36
paired normal and cervical tumors revealed several somatic mutations and novel
SNPs in the promoter, exons, and introns of CD83. LOH was confirmed in >90% of
cervical cancer specimens. Immunofluorescence colocalized CD83 protein to the
Golgi apparatus and cell membrane of cervical cancer cell lines. None of seven
nearby genes was differentially expressed in cervical cancer. The importance of
CD83 in epithelial versus dendritic cells needs to be determined, as does its
role in promoting cervical cancer.
PMID- 18056447
TI - Traf1 induction and protection from tumor necrosis factor by nuclear factor
kappaB p65 is independent of serine 536 phosphorylation.
AB - Abnormal nuclear factor-kappaB (NF-kappaB) signaling has been attributed to the
initiation and progression of cancer. Posttranslational modification of p65
facilitates optimal NF-kappaB signaling after activation. Here, we show that the
phosphorylation of serine 536 was required for p65-mediated transcription and I
kappa B alpha expression in fibroblasts. Furthermore, tumor necrosis factor (TNF)
treatment slightly induced p65 phosphorylation, and both unphosphorylated and
phosphorylated p65 translocated into the nucleus. The phosphorylation of serine
536 was not required for p65-mediated protection from TNF cytotoxicity and Traf1
induction in fibroblasts. Also, the corecruitment of p65 and RNA polymerase II to
the Traf1 enhancer region did not require p65 phosphorylation. However, the
corecruitment of p65 and RNA polymerase II to the Csf2 promoter required the
phosphorylation of serine 536. These findings suggested that the requirement of
serine phosphorylation at residue 536 and the distance between the NF-kappaB
response element and the start of transcription may influence which genes will be
transcribed.
PMID- 18056446
TI - c-myc Repression of TSC2 contributes to control of translation initiation and Myc
induced transformation.
AB - The c-myc oncogene plays a key role in cellular growth control, and translation
initiation factors are among the transcriptional targets of Myc. Here, we
describe a defect in translation initiation control in myc-null cells due to
alterations in the mammalian target of rapamycin (mTOR) pathway. Myc loss
increased sensitivity to dominant inhibition of eukaryotic translation initiation
factor 4E function. Polysomal profiles of myc(-/-) cells revealed decreased
translation initiation rates, which were accompanied by decreased 40S/60S
ribosomal subunit ratios. Because the 40S small ribosomal subunit contains the
key regulatory ribosomal protein S6 (rpS6), we considered that myc loss might
affect expression of components of the mTOR signaling pathway that regulate rpS6
function. Among mTOR signaling components, Myc directly affected transcription of
tuberous sclerosis 2 (TSC2), as shown by quantitative mRNA analysis and by Myc
binding to its promoter in chromatin immunoprecipitation assays. Importantly, Myc
acted as a strong and direct repressor for TSC2 expression because its loss
increased TSC2 mRNA in myc-null and in HL60 shRNA experiments, activation of a
mycER construct in myc(-/-) cells suppressed TSC2 induction in a myc box II
dependent manner, and mycER activation recruited Myc to the TSC2 promoter. The
biological significance of the effect of Myc on TSC2 expression was shown by
markedly reduced TSC2 mRNA levels in myc-transformed cells, stimulation of S6
kinase activity in myc-null cells by TSC2 siRNA, and decreased Myc-induced soft
agar colony formation following retroviral transduction of TSC2. Together, these
findings show that regulation of TSC2 can contribute to the effects of Myc on
cell proliferation and neoplastic growth.
PMID- 18056448
TI - Identification of novel modifier loci of Apc Min affecting mammary tumor
development.
AB - Genetic background affects the susceptibility to mammary tumor development in
Apc(Min/+) mice. Here we report the identification of four novel modifier loci
that influence different aspects of mammary tumor development in Apc(Min/+) mice.
Analysis of tumor development in a backcross of (FVBB6 Apc(Min/+)) x B6
Apc(Min/+) mice has identified a modifier on chromosome 9 that significantly
affects tumor multiplicity, and a modifier on chromosome 4 that significantly
affects tumor latency and affects tumor number with suggestive significance. This
modifier was also identified in a backcross involving 129X1/SvJ and B6 Apc(Min/+)
mice. A modifier on chromosome 18 specifically affects tumor latency but not
tumor number. Kaplan-Meier analysis suggests there is at least an additive
interaction affecting tumor latency between the loci on chromosomes 4 and 18. We
also identified a modifier locus on chromosome 6 that interacts with the loci on
chromosome 4 and chromosome 9 to affect tumor number. These results suggest that
multiple genetic loci control different aspects of mammary tumor development.
None of these modifiers is associated with intestinal tumor susceptibility, which
indicates that these modifiers act on tumor development in a tissue-specific
manner.
PMID- 18056449
TI - Neuroblastoma cells isolated from bone marrow metastases contain a naturally
enriched tumor-initiating cell.
AB - Neuroblastoma is a heterogeneous pediatric tumor thought to arise from the
embryonic neural crest. Identification of the cell responsible for propagating
neuroblastomas is essential to understanding this often recurrent, rapidly
progressing disease. We have isolated and characterized putative tumor-initiating
cells from 16 tumors and bone marrow metastases from patients in all
neuroblastoma risk groups. Dissociated cells from tumors or bone marrow grew as
spheres in conditions used to culture neural crest stem cells, were capable of
self-renewal, and exhibited chromosomal aberrations typical of neuroblastoma.
Primary spheres from all tumor risk groups differentiated under neurogenic
conditions to form neurons. Tumor spheres from low-risk tumors frequently formed
large neuronal networks, whereas those from high-risk tumors rarely did. As few
as 10 passaged tumor sphere cells from aggressive neuroblastoma injected
orthotopically into severe combined immunodeficient/Beige mice formed large
neuroblastoma tumors that metastasized to liver, spleen, contralateral adrenal
and kidney, and lung. Furthermore, highly tumorigenic tumor spheres were isolated
from the bone marrow of patients in clinical remission, suggesting that this
population of cells may predict clinical behavior and serve as a biomarker for
minimal residual disease in high-risk patients. Our data indicate that high-risk
neuroblastoma contains a cell with cancer stem cell properties that is enriched
in tumor-initiating capacity. These cells may serve as a model system to identify
the molecular determinants of neuroblastoma and to develop new therapeutic
strategies for this tumor.
PMID- 18056450
TI - Delta-like 4 Notch ligand regulates tumor angiogenesis, improves tumor vascular
function, and promotes tumor growth in vivo.
AB - The vascular endothelial growth factor (VEGF) plays a key role in tumor
angiogenesis. However, clinical trials targeting the VEGF pathway are often
ineffective, suggesting that other factors/pathways are also important in tumor
angiogenesis. We have previously shown that the Notch ligand Delta-like 4 (DLL4)
is up-regulated in tumor vasculature. Here, we show that DLL4, when expressed in
tumor cells, functions as a negative regulator of tumor angiogenesis by reducing
the number of blood vessels in all five types of xenografts, but acts as a
positive driver for tumor growth in two of them (human glioblastoma and prostate
cancer). The growth of in vivo models was not related to the effects on growth in
vitro. DLL4 expressed in the tumor cells activated Notch signaling in host
stromal/endothelial cells, increased blood vessel size, and improved vascular
function within tumors. The promotion of tumor growth was, to some extent, due to
a reduction of tumor hypoxia and apoptosis. DLL4-expressing tumor cells responded
to anti-VEGF therapy with bevacizumab. A soluble form of DLL4 (D4ECD-Fc) blocked
tumor growth in both bevacizumab-sensitive and bevacizumab-resistant tumors by
disrupting vascular function despite increased tumor vessel density. In addition,
we show that DLL4 is up-regulated in tumor cells and tumor endothelial cells of
human glioblastoma. Our findings provide a rational basis for the development of
novel antiangiogenic strategies via blockade of DLL4/Notch signaling and suggest
that combined approaches for interrupting both DLL4 and VEGF pathways may improve
antiangiogenic therapy.
PMID- 18056451
TI - Epithelial-mesenchymal transition events during human embryonic stem cell
differentiation.
AB - Epithelial-mesenchymal transition (EMT) occurs during embryonic development and
may also be associated with the metastatic spread of epithelial tumors. During
EMT, E-cadherin is down-regulated and this correlates with increased motility and
invasion of cells. We show that differentiation of human embryonic stem (ES)
cells in monolayer culture is associated with an E- to N-cadherin switch,
increased vimentin expression, up-regulation of E-cadherin repressor molecules
(Snail and Slug proteins), and increased gelatinase (matrix metalloproteinases;
MMP-2 and MMP-9) activity and cellular motility, all characteristic EMT events.
The 5T4 oncofetal antigen, previously shown to be associated with early human ES
cell differentiation, is also part of this process. Abrogation of E-cadherin
mediated cell-cell contact in undifferentiated ES cells using neutralizing
antibody (nAb) SHE78.7 resulted in increased cellular motility, altered actin
cytoskeleton arrangement and a mesenchymal phenotype together with presentation
of the 5T4 antigen at the cell surface. nAb-treated ES cells remained in an
undifferentiated state, as assessed by OCT-4 protein expression, and did not
express EMT-associated transcripts. Removal of nAb from ES cells resulted in the
restoration of cell-cell contact, absence of cell surface 5T4, decreased
mesenchymal cellular morphology and motility, and enabled the differentiation of
the cells to the three germ layers upon their removal from the fibroblast feeder
layer. We conclude that E-cadherin functions in human ES cells to stabilize the
cortical actin cyoskeletal arrangement and this prevents cell surface
localization of the 5T4 antigen. Furthermore, human ES cells represent a useful
model system with which to study EMT events relevant to embryonic development and
tumor cell metastasis.
PMID- 18056452
TI - Runx2 disruption promotes immortalization and confers resistance to oncogene
induced senescence in primary murine fibroblasts.
AB - The Runx genes play paradoxical roles in cancer where they can function either as
dominant oncogenes or tumor suppressors according to context. We now show that
the ability to induce premature senescence in primary murine embryonic
fibroblasts (MEF) is a common feature of all three Runx genes. However, ectopic
Runx-induced senescence contrasts with Ras oncogene-induced senescence, as it
occurs directly and lacks the hallmarks of proliferative stress. Moreover, a
fundamental role for Runx function in the senescence program is indicated by the
effects of Runx2 disruption, which renders MEFs prone to spontaneous
immortalization and confers an early growth advantage that is resistant to stress
induced growth arrest. Runx2(-/-) cells are refractory to H-Ras(V12)-induced
premature senescence, despite the activation of a cascade of growth inhibitors
and senescence markers, and are permissive for oncogenic transformation. The
aberrant behavior of Runx2(-/-) cells is associated with signaling defects and
elevated expression of S-G(2)-M cyclins and their associated cyclin dependent
kinase activities that may override the effects of growth inhibitory signals.
Coupling of stress responses to the cell cycle represents a novel facet of Runx
tumor suppressor function and provides a rationale for the lineage-specific
effects of loss of Runx function in cancer.
PMID- 18056453
TI - Differential regulation of elafin in normal and tumor-derived mammary epithelial
cells is mediated by CCAAT/enhancer binding protein beta.
AB - CCAAT/enhancer binding protein beta (C/EBP beta) is a transcription factor
implicated in the control of development, differentiation, and proliferation of
mammary epithelial cells. However, it remains unclear how C/EBP beta is involved
in tumor suppression through its interaction with specific downstream genes in
breast cancer. Tumor cells overexpress serine proteases, which play crucial roles
in tumor invasion and metastasis. Elafin is an endogenous serine protease
inhibitor and is transcriptionally down-regulated in most tumor cell lines. In
this study, we show that C/EBP beta is differentially expressed in normal versus
tumor cell lines and normal adjacent versus tumor tissues obtained from breast
cancer patients. We identified elafin as a downstream effector of C/EBP beta and
show that elafin is also differentially regulated between normal and tumor cells.
The mechanism by which C/EBP beta regulates elafin expression is through its
direct interaction with the elafin promoter. There are three C/EBP beta binding
sites involved in the elafin promoter activity, and the overexpression of C/EBP
beta transactivates the elafin gene through these sites in tumor cells. RNA
interference studies in normal cells further evidenced the requirement of the
C/EBP beta for the elafin expression and negative feedback loop between C/EBP
beta and elafin. We suggest that elafin is a novel substrate of C/EBP beta, and
alterations in C/EBP beta isoforms result in their differential binding to the
elafin promoter, leading to the altered expression of the elafin between normal
and tumor cells.
PMID- 18056454
TI - Choline kinase down-regulation increases the effect of 5-fluorouracil in breast
cancer cells.
AB - Identifying strategies to increase cancer cell kill while sparing normal tissue
is critically important in cancer chemotherapy. Choline kinase (Chk), the enzyme
that converts choline to phosphocholine (PC), is elevated in cancer cells and
presents a novel target for increasing cell kill. Here, we have examined the
effects of transiently down-regulating Chk by small interfering RNA against Chk
(siRNA-chk) on PC and total choline-containing compound (tCho) levels and on the
viability/proliferation of estrogen receptor-negative and estrogen receptor
positive breast cancer cell lines and a nonmalignant mammary epithelial cell
line. We investigated the effects of combination treatment with transient siRNA
chk transfection and the anticancer drug 5-fluorouracil (5-FU) in those cell
lines. Microarray analysis of the invasive estrogen receptor-negative MDA-MB-231
cell line was done to characterize molecular changes associated with Chk down
regulation. Chk down-regulation decreased PC and tCho levels in the malignant
cell lines, whereas the cell viability/proliferation assays detected a decrease
in proliferation in these cells. In contrast, Chk down-regulation had an almost
negligible effect on PC and tCho levels as well as cell viability/proliferation
in the nonmalignant cell line. A combination of siRNA-chk with 5-FU treatment
resulted in a larger reduction of cell viability/proliferation in the breast
cancer cell lines; this reduction was evident to a much lesser degree in the
nonmalignant cells. Microarray analysis showed that Chk down-regulation affected
33 proliferation-related genes and 9 DNA repair-related genes. Chk down
regulation with siRNA-chk may provide a novel alternative to enhance the effect
of anticancer drugs in malignant cells.
PMID- 18056455
TI - WP1066 disrupts Janus kinase-2 and induces caspase-dependent apoptosis in acute
myelogenous leukemia cells.
AB - Several cytokines and growth factors that stimulate the proliferation of acute
myelogenous leukemia (AML) cells transduce their signals by activating the
transcription factor Janus-activated kinase 2 (JAK2). Accordingly, the inhibition
of JAK2 or of its downstream signaling pathways suppresses the proliferation of
AML cells. Because (E)-3(6-bromopyridin-2-yl)-2-cyano-N-((S0-1
phenylethyl)acrylamide) (WP1066) is a novel analogue of the JAK2 inhibitor AG490,
we tested its activity in AML cells and investigated its mechanism of action.
Using clonogenic assays, we found that although WP1066 had a marginal effect on
normal marrow progenitors, it inhibited the proliferation of AML colony-forming
cells obtained from patients with newly diagnosed AML and that of the AML cell
lines OCIM2 and K562. WP1066 inhibited OCIM2 cell multiplication by inducing
accumulation of cells at the G(0)-G(1) phase of the cell cycle. Similar to its
parent compound AG490, WP1066 inhibited the phosphorylation of JAK2, but unlike
AG490, WP1066 also degraded JAK2 protein, thereby blocking its downstream signal
transducer and activator of transcription (STAT) and phosphoinositide-3-kinase
pathways. These effects resulted in the activation of the caspase pathway.
Incubation of both OCIM2 and K562 cells with WP1066 activated caspase-3, induced
cleavage of poly(ADP-ribose) polymerase, and caused caspase-dependent apoptotic
cell death. Thus, WP1066 is a potent JAK2 inhibitor whose effects in AML and
other hematologic malignancies merit further investigation.
PMID- 18056456
TI - Targeting protein translation in human non small cell lung cancer via combined
MEK and mammalian target of rapamycin suppression.
AB - Lung cancer is a genetically heterogeneous disease characterized by the
acquisition of somatic mutations in numerous protein kinases, including
components of the rat sarcoma viral oncogene homolog (RAS) and AKT signaling
cascades. These pathways intersect at various points, rendering this network
highly redundant and suggesting that combined mitogen-activated
protein/extracellular signal-regulated kinase (MEK) and mammalian target of
rapamycin (mTOR) inhibition may be a promising drug combination that can overcome
its intrinsic plasticity. The MEK inhibitors, CI-1040 or PD0325901, in
combination with the mTOR inhibitor, rapamycin, or its analogue AP23573,
exhibited dose-dependent synergism in human lung cancer cell lines that was
associated with suppression of proliferation rather than enhancement of cell
death. Concurrent suppression of MEK and mTOR inhibited ribosomal biogenesis by
40% within 24 h and was associated with a decreased polysome/monosome ratio that
is indicative of reduced protein translation efficiency. Furthermore, the
combination of PD0325901 and rapamycin was significantly superior to either drug
alone or PD0325901 at the maximum tolerated dose in nude mice bearing human lung
tumor xenografts or heterotransplants. Except for a PTEN mutant, all tumor models
had sustained tumor regressions and minimal toxicity. These data (a) provide
evidence that both pathways converge on factors that regulate translation
initiation and (b) support therapeutic strategies in lung cancer that
simultaneously suppress the RAS and AKT signaling network.
PMID- 18056457
TI - An oncolytic adenovirus redirected with a tumor-specific T-cell receptor.
AB - To improve safety and specificity of oncolytic adenoviruses, we introduced T-cell
receptors (TCR) specific for a unique class of truly tumor-specific antigens into
the adenoviral fiber protein. The adenoviral fiber knob responsible for
attachment to the coxsackie-adenoviral receptor (CAR) on target cells was
replaced by a single-chain TCR (scTCR) molecule with specificity for the melanoma
associated cancer-testis antigen MAGE-A1, presented by HLA-A1, and an extrinsic
trimerization motif in a replicating Ad5 vector (Ad5.R1-scTCR). The production of
the recombinant virus was initiated in a novel producer cell line that expressed
an antibody-based hexon-specific receptor (293T-AdR) in the cell membrane. This
new production system allowed CAR-independent and target antigen-independent
propagation of Ad5.R1-scTCR. Infection with adenovirus bearing the scTCR-based
fiber resulted in an efficient killing of target tumor cells. The infection was
cell type specific because only HLA-A1(+)/MAGE-A1(+) melanoma cells were killed,
and thus, this retargeting strategy provides a versatile tool for future clinical
application.
PMID- 18056458
TI - Ribosomal protein S27-like, a p53-inducible modulator of cell fate in response to
genotoxic stress.
AB - Activation of the p53 tumor suppressor upon DNA damage elicits either cell cycle
arrest or apoptosis, and the precise mechanism governing cell fate after p53
response has not been well defined. Through genomic analysis, we have identified
the ribosomal protein S27-like (RPS27L) as a novel p53 transcriptional target
gene. Although RPS27L mRNA levels were consistently induced after diverse p53
activating signals, its change in protein level was stimuli-dependent: it was up
regulated when cells were arrested in response to DNA-damaging agents Adriamycin
or VP16 but was down-regulated when cells underwent apoptosis in response to
antimetabolite agent 5-fluorouracil. RPS27L is a nuclear protein that forms
nuclear foci upon DNA damage. Depletion of RPS27L resulted in deficiency in DNA
damage checkpoints, leading to conversion of DNA damage-induced p53 response from
cell cycle arrest to apoptosis. We further show that RPS27L positively regulates
p21 protein expression. Through this mechanism, RPS27L induction by p53
facilitates p21-mediated cell cycle arrest and protects against DNA damage
induced apoptosis. Thus, RPS27L modulates DNA damage response and functions as a
part of the control switch to determine cell fate to DNA damage-p53 response.
PMID- 18056459
TI - Histone deacetylase inhibitors promote the tumoricidal effect of HAMLET.
AB - Histone deacetylase inhibitors (HDIs) and HAMLET (human alpha-lactalbumin made
lethal to tumor cells) interact with histones, modify the structure of chromatin,
and trigger tumor cell death. This study investigated how the combination of HDIs
and HAMLET influences cell viability, histone acetylation, and DNA integrity. The
pretreatment of tumor cells with HDIs was shown to enhance the lethal effect of
HAMLET and the histone hyperacetylation response to HDIs increased even further
after HAMLET treatment. HDIs and HAMLET were shown to target different histone
domains as HAMLET bound tailless core histones, whereas HDIs modify the
acetylation of the histone tail. DNA damage in response to HAMLET was increased
by HDIs. The DNA repair response (p21WAFI expression) was induced by both
agonists but abolished when the two agonists were combined. The results suggest
that the synergy of HDIs and HAMLET is based on different but converging death
pathways, both involving chromatin alterations. We speculate that HAMLET and HDIs
might be combined to promote tumor cell death in vivo.
PMID- 18056460
TI - Drug sensitivity prediction by CpG island methylation profile in the NCI-60
cancer cell line panel.
AB - Aberrant promoter hypermethylation and associated gene silencing are epigenetic
hallmarks of tumorigenesis. It has been suggested that aberrant DNA methylation
can affect the sensitivity of cancers to antineoplastic agents by altering
expression of genes critical to drug response. To study this issue, we used
bisulfite PCR to assess DNA methylation of 32 promoter-associated CpG islands in
human cancer cell lines from the National Cancer Institute (NCI) drug-screening
panel (NCI-60 panel). The frequency of aberrant hypermethylation of these islands
ranged from 2% to 81% in NCI-60 cancer cells, and provided a database that can be
analyzed for the sensitivity to approximately 30,000 drugs tested in this panel.
By correlating drug activity with DNA methylation, we identified a list of
methylation markers that predict sensitivity to chemotherapeutic drugs. Among
them, hypermethylation of the p53 homologue p73 and associated gene silencing was
strongly correlated with sensitivity to alkylating agents. We used small
interfering RNA to down-regulate p73 expression in multiple cell lines, including
the resistant cell lines TK10 (renal cancer) and SKMEL28 (melanoma). Down
regulating p73 substantially increased sensitivity to commonly used alkylating
agents, including cisplatin, indicating that epigenetic silencing of p73 directly
modulates drug sensitivity. Our results confirm that epigenetic profiles are
useful in identifying molecular mediators for cancer drug sensitivity (pharmaco
epigenomics).
PMID- 18056461
TI - Novel quinazoline-based compounds impair prostate tumorigenesis by targeting
tumor vascularity.
AB - Previous evidence showed the ability of the quinazoline-based alpha(1)
adrenoreceptor antagonist doxazosin to suppress prostate tumor growth via
apoptosis. In this study, we carried out structural optimization of the chemical
nucleus of doxazosin and a subsequent structure-function analysis toward the
development of a novel class of apoptosis-inducing and angiogenesis-targeting
agents. Our lead compound, DZ-50, was effective at reducing endothelial cell
viability via a nonapoptotic mechanism. Treatment with DZ-50 effectively
prevented in vitro tube formation and in vivo chorioallantoic membrane vessel
development. Confocal microscopy revealed a significantly reduced ability of
tumor cells to attach to extracellular matrix and migrate through endothelial
cells in the presence of DZ-50. In vivo tumorigenicty studies using two androgen
independent human prostate cancer xenografts, PC-3 and DU-145, showed that DZ-50
treatment leads to significant suppression of tumorigenic growth. Exposure to the
drug at the time of tumor cell inoculation led to prevention of prostate cancer
initiation. Furthermore, DZ-50 resulted in a reduced formation of prostate-tumor
derived metastatic lesions to the lungs in an in vivo spontaneous metastasis
assay. Thus, our drug discovery approach led to the development of a class of
lead (quinazoline-based) compounds with higher potency than doxazosin in
suppressing prostate growth by targeting tissue vascularity. This new class of
quinazoline-based compounds provides considerable promise as antitumor drugs for
the treatment of advanced prostate cancer.
PMID- 18056462
TI - Gpx2 is an overexpressed gene in rat breast cancers induced by three different
chemical carcinogens.
AB - Gene expression alterations are essential for the process of carcinogenesis. A
carcinogen may have specific mechanisms for inducing tumors, which may involve
inducing characteristic gene expression alterations. In this study, we attempted
to identify genes crucial for mammary carcinogenesis. For this purpose, we used
human c-Ha-ras proto-oncogene transgenic rats (Hras128), which are highly
sensitive to mammary carcinogens including N-methyl-N-nitrosourea, 7,12-dimethyl
benz[a]anthracene, and 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine. DNA
microarray analysis revealed that glutathione peroxidase 2 (Gpx2) was commonly up
regulated in the mammary carcinomas induced by the three different carcinogens,
and its up-regulation was confirmed by quantitative reverse transcriptase-PCR and
Western blotting analysis. In addition, expression of GPX2 was recognized in all
41 immunohistochemically examined cases of human breast cancer. Forced
suppression of GPX2 expression by siRNA resulted in significant growth inhibition
in both rat and human mammary carcinoma cell lines with wild-type p53 cells.
Thus, these data suggested that GPX2 may be involved in mammary carcinogenesis
and cell proliferation in both rats and humans, indicating that GPX2 may be a
novel target for the prevention and therapy of breast cancer.
PMID- 18056463
TI - The novel combination of chlorpromazine and pentamidine exerts synergistic
antiproliferative effects through dual mitotic action.
AB - Combination therapy has proven successful in treating a wide variety of
aggressive human cancers. Historically, combination treatments have been
discovered through serendipity or lengthy trials using known anticancer agents
with similar indications. We have used combination high-throughput screening to
discover the unexpected synergistic combination of an antiparasitic agent,
pentamidine, and a phenothiazine antipsychotic, chlorpromazine. This combination,
CRx-026, inhibits the growth of tumor cell lines in vivo more effectively than
either pentamidine or chlorpromazine alone. Here, we report that CRx-026 exerts
its antiproliferative effect through synergistic dual mitotic action.
Chlorpromazine is a potent and specific inhibitor of the mitotic kinesin KSP/Eg5
and inhibits tumor cell proliferation through mitotic arrest and accumulation of
monopolar spindles. Pentamidine treatment results in chromosomal segregation
defects and delayed progression through mitosis, consistent with inhibition of
the phosphatase of regenerating liver family of phosphatases. We also show that
CRx-026 synergizes in vitro and in vivo with the microtubule-binding agents
paclitaxel and vinorelbine. These data support a model where dual action of
pentamidine and chlorpromazine in mitosis results in synergistic antitumor
effects and show the importance of systematic screening for combinations of
targeted agents.
PMID- 18056464
TI - Genetic alterations in the tyrosine kinase transcriptome of human cancer cell
lines.
AB - Protein tyrosine kinases (PTKs) play a critical role in the manifestation of
cancer cell properties, and respective signaling mechanisms have been studied
extensively on immortalized tumor cells. To characterize and analyze commonly
used cancer cell lines with regard to variations in the primary structure of all
expressed PTKs, we conducted a cDNA-based sequence analysis of the entire
tyrosine kinase transcriptome of 254 established tumor cell lines. The profiles
of cell line intrinsic PTK transcript alterations and the evaluation of 155
identified polymorphisms and 234 somatic mutations are made available in a
database designated "Tykiva" (tyrosine kinome variant). Tissue distribution
analysis and/or the localization within defined protein domains indicate
functional relevance of several genetic alterations. The cysteine replacement of
the highly conserved Y367 residue in fibroblast growth factor receptor 4 or the
Q26X nonsense mutation in the tumor-suppressor kinase CSK are examples, and may
contribute to cell line-specific signaling characteristics and tumor progression.
Moreover, known variants, such as epidermal growth factor receptor G719S, that
were shown to mediate anticancer drug sensitivity could be detected in other than
the previously reported tumor types. Our data therefore provide extensive system
information for the design and interpretation of cell line-based cancer research,
and may stimulate further investigations into broader clinical applications of
current cancer therapeutics.
PMID- 18056465
TI - Down-regulation of platelet-derived growth factor-D inhibits cell growth and
angiogenesis through inactivation of Notch-1 and nuclear factor-kappaB signaling.
AB - Platelet-derived growth factor-D (PDGF-D) signaling plays critical roles in the
pathogenesis and progression of human malignancies; however, the precise
mechanism by which PDGF-D causes tumor cell invasion and angiogenesis remain
unclear. Because Notch-1, nuclear factor-kappaB (NF-kappaB), vascular endothelial
growth factor (VEGF), and matrix metalloproteinases (MMP) are critically involved
in the processes of tumor cell invasion and metastasis, we investigated whether
PDGF-D down-regulation could be mechanistically associated with the down
regulation of Notch-1, NF-kappaB, VEGF, and MMP-9, resulting in the inhibition of
tumor cell invasion and angiogenesis. Our data showed that down-regulation of
PDGF-D leads to the inactivation of Notch-1 and NF-kappaB DNA-binding activity
and, in turn, down regulates the expression of its target genes, such as VEGF and
MMP-9. We also found that the down-regulation of PDGF-D by small interfering RNA
(siRNA) decreased tumor cell invasion, whereas PDGF-D overexpression by cDNA
transfection led to increased cell invasion. Consistent with these results, we
also found that the down-regulation of PDGF-D not only decreased MMP-9 mRNA and
its protein expression but also inhibited the processing of pro-MMP-9 protein to
its active form. Moreover, conditioned medium from PDGF-D siRNA-transfected cells
showed reduced levels of VEGF and, in turn, inhibited the tube formation of human
umbilical vascular endothelial cells, suggesting that down-regulation of PDGF-D
leads to the inhibition of angiogenesis. Taken together, we conclude that the
down-regulation of PDGF-D by novel approaches could lead to the down-regulation
of Notch-1 and, in turn, inactivate NF-kappaB and its target genes (i.e., MMP-9
and VEGF), resulting in the inhibition of invasion and angiogenesis.
PMID- 18056466
TI - Automated, quantitative screening assay for antiangiogenic compounds using
transgenic zebrafish.
AB - Pathologic angiogenesis has emerged as an important therapeutic target in several
major diseases. Zebrafish offer the potential for high-throughput drug discovery
in a whole vertebrate system. We developed the first quantitative, automated
assay for antiangiogenic compound identification using zebrafish embryos. This
assay uses transgenic zebrafish with fluorescent blood vessels to facilitate
image analysis. We developed methods for automated drugging and imaging of
zebrafish in 384-well plates and developed a custom algorithm to quantify the
number of angiogenic blood vessels in zebrafish. The assay was used to screen the
LOPAC1280 compound library for antiangiogenic compounds. Two known antiangiogenic
compounds, SU4312 and AG1478, were identified as hits. Additionally, one compound
with no previously known antiangiogenic activity, indirubin-3'-monoxime (IRO),
was identified. We showed that each of the hit compounds had dose-dependent
antiangiogenic activity in zebrafish. The IC(50) of SU4312, AG1478, and IRO in
the zebrafish angiogenesis assay was 1.8, 8.5, and 0.31 micromol/L, respectively.
IRO had the highest potency of the hit compounds. Moreover, IRO inhibited human
umbilical vein endothelial cell tube formation and proliferation (IC(50) of 6.5
and 0.36 micromol/L, respectively). It is therefore the first antiangiogenic
compound discovered initially in a zebrafish assay that also has demonstrable
activity in human endothelial cell-based angiogenesis assays.
PMID- 18056467
TI - Cks1 regulates cdk1 expression: a novel role during mitotic entry in breast
cancer cells.
AB - Cks1, a small protein whose expression is strongly associated with aggressive
breast tumors, is a component of cyclin-cdk complexes, as well as the SCF(Skp2)
ubiquitin ligase. In these studies, we explored its roles in estrogen receptor
positive breast tumor cells. When exposed to the antiestrogen ICI 182780, these
cells accumulate in G(1) by reducing the expression of Cks1, and increasing the
levels of p130/Rb2, a cdk2 inhibitor and SCF(Skp2) target. Heregulin beta1 or
estradiol abrogate antiestrogen effects by increasing Cks1 expression, down
regulating p130/Rb2 and inducing S phase entry. Depletion of Cks1 in these cells
by RNA interference concomitantly decreased Skp2 and up-regulated p130/Rb2 and
another SCF(Skp2) target, p27(Kip1). Remarkably, however, Cks1-depleted cells not
only exhibit slowed G(1) progression, but also accumulate in G(2)-M due to
blocked mitotic entry. Notably, we show that cdk1 expression, which is crucial
for M phase entry, is drastically diminished by Cks1 depletion, and that
restoration of cdk1 reduces G(2)-M accumulation in Cks1-depleted cells. cdk1
reduction in Cks1-depleted cells is a consequence of a marked decrease in its
mRNA and not due to alteration in its proteolytic turnover. Both heregulin beta1
and estradiol could neither restore cdk1 nor sustain cycling in Cks1-depleted
cells, although classical estrogen receptor function remained unaltered. Cks1
depletion also decreased Skp2 in human mammary epithelial cells without altering
cell cycle progression. Thus, the indispensability of Cks1 to the breast cancer
cell cycle, versus its redundancy in normal cells, suggests that Cks1 abrogation
could be an effective interventional strategy in breast cancer.
PMID- 18056468
TI - The p38 MAPK pathway mediates aryl propionic acid induced messenger rna stability
of p75 NTR in prostate cancer cells.
AB - The p75(NTR) acts as a tumor suppressor in the prostate, but its expression is
lost as prostate cancer progresses and is minimal in established prostate cancer
cell lines such as PC-3, DU-145, and LNCaP. Previously, we showed that treatment
with R-flurbiprofen or ibuprofen induced p75(NTR) expression in PC-3 and DU-145
cells leading to p75(NTR)-mediated decreased survival. Here, we investigate the
mechanism by which these drugs induce p75(NTR) expression. We show that the
observed increase in p75(NTR) protein due to R-flurbiprofen and ibuprofen
treatment was accompanied by an increase in p75(NTR) mRNA, and this increase in
mRNA was the result of increased mRNA stability and not by an up-regulation of
transcription. In addition, we show that treatment with R-flurbiprofen or
ibuprofen led to sustained activation of the p38 mitogen-activated protein kinase
(MAPK) pathway. Furthermore, inhibition of the p38 MAPK pathway with the p38 MAPK
specific inhibitor SB202190 or by small interfering RNA (siRNA) knockdown of p38
MAPK protein prevented induction of p75(NTR) by R-flurbiprofen and ibuprofen. We
also observed that siRNA knockdown of MAPK-activated protein kinase (MK)-2 and
MK3, the kinases downstream of p38 MAPK that are responsible for the mRNA
stabilizing effects of the p38 MAPK pathway, also prevented an induction of
p75(NTR) by R-flurbiprofen and ibuprofen. Finally, we identify the RNA
stabilizing protein HuR and the posttranscriptional regulator eukaryotic
translation initiation factor 4E as two possible mechanisms by which the p38 MAPK
pathway may increase p75(NTR) expression. Collectively, the data suggest that R
flurbiprofen and ibuprofen induce p75(NTR) expression by increased mRNA stability
that is mediated through the p38 MAPK pathway.
PMID- 18056469
TI - Identification of genes required for protection from doxorubicin by a genome-wide
screen in Saccharomyces cerevisiae.
AB - Anthracyclines are chemotherapeutic agents commonly used to treat a broad range
of malignancies. Although effective, these drugs present serious complications,
most notably cardiotoxicity. To determine the mechanisms that mediate
cytoprotection from doxorubicin, we have screened the collection of Saccharomyces
cerevisiae haploid gene deletion mutants. We have identified 71 deletion strains
that display varying degrees of hypersensitivity to doxorubicin at a
concentration that does not significantly reduce the viability of wild-type
cells. Complementation of the doxorubicin-sensitive phenotype of the deletion
strains with the wild-type genes proves that the sensitivity of the strain to
doxorubicin is due to the gene deletion. The genes that mediate cytoprotection
from doxorubicin belong to multiple pathways including DNA repair, RNA
metabolism, chromatin remodeling, amino acid metabolism, and heat shock response.
In addition, proteins with mitochondrial, osmosensing, vacuolar, and ribosomal
functions are also required for protection from doxorubicin. We tested the
sensitivity of the deletion strains to other cytotoxic agents, which resulted in
different drug-specific sensitive groups. Most of the identified genes have
mammalian homologues that participate in conserved pathways. Our data may prove
useful to develop strategies aimed at sensitizing tumor cells to doxorubicin as
well as protecting cardiac cells from its cytotoxic effects.
PMID- 18056470
TI - Identification and characterization of a membrane receptor for proteolysis
inducing factor on skeletal muscle.
AB - Proteolysis-inducing factor (PIF) is a sulfated glycoprotein produced by cachexia
inducing tumors, which induces atrophy of skeletal muscle. PIF has been shown to
bind specifically with high affinity (K(d), in nanomolar) to sarcolemma membranes
from skeletal muscle of both the mouse and the pig, as well as murine myoblasts
and a human muscle cell line. Ligand binding was abolished after enzymatic
deglycosylation, suggesting that binding was mediated through the oligosaccharide
chains in PIF. Chondroitin sulfate, but not heparan or dermatan sulfate, showed
competitive inhibition (K(d), 1.1 x 10(-7) mol/L) of binding of PIF to the
receptor, suggesting an interaction with the sulfated oligosaccharide chains.
Ligand blotting of [(35)S]PIF to triton solublized membranes from C(2)C(12) cells
provided evidence for a binding protein of apparent M(r) of approximately 40,000.
Amino acid sequence analysis showed the PIF receptor to be a DING protein.
Antisera reactive to a 19mer from the N-terminal amino acid residues of the
binding protein attenuated protein degradation and activation of the ubiquitin
proteasome pathway induced by PIF in murine myotubes. In addition, the antisera
was highly effective in attenuating the decrease in body weight in mice bearing
the MAC16 tumor, with a significant increase in muscle wet weight due to an
increase in the rate of protein synthesis, together with a reduction in protein
degradation through attenuation of the increased proteasome expression and
activity. These results confirm that the PIF binding protein has a functional
role in muscle protein atrophy in cachexia and that it represents a potential new
therapeutic target.
PMID- 18056471
TI - Sustained antigen-specific antitumor recall response mediated by gene-modified
CD4+ T helper-1 and CD8+ T cells.
AB - Given that specific subsets of T helper 1 (Th1) and T helper 2 (Th2) CD4(+) T
cells have been shown to play key roles in tumor rejection models, we wanted to
assess the contribution of either Th1 or Th2 CD4(+) cell subtypes for redirected
T-cell immunotherapy. In this study, we have developed a novel method involving
retroviral transduction and in vitro T-cell polarization to generate gene
engineered mouse CD4(+) Th1 and Th2 cells or T helper intermediate (Thi) cells
expressing an anti-erbB2-CD28-zeta chimeric receptor. Gene-modified Th1 and Th2
polarized CD4(+) cells were characterized by the preferential secretion of IFN
gamma and interleukin-4, respectively, whereas Thi cells secreted both cytokines
following receptor ligation. In adoptive transfer studies using an erbB2(+) lung
metastasis model, complete survival of mice was observed when transduced Th1,
Th2, or Thi CD4(+) cells were transferred in combination with an equivalent
number of transduced CD8(+) T cells. Tumor rejection was consistently associated
with transduced T cells at the tumor site and interleukin-2 secretion. However,
the surviving mice treated with gene-modified Th1 CD4(+) cells were significantly
more resistant to a subsequent challenge with a different erbB2(+) tumor (4T1.2)
implanted s.c. This result correlated with both increased expansion of Th1 CD4(+)
and CD8(+) T cells in the blood and a greater number of these cells localizing to
the tumor site following rechallenge. These data support the use of gene-modified
CD4(+) Th1 and CD8(+) T cells for mediating a sustained antitumor response.
PMID- 18056472
TI - Amino-biphosphonate-mediated MMP-9 inhibition breaks the tumor-bone marrow axis
responsible for myeloid-derived suppressor cell expansion and macrophage
infiltration in tumor stroma.
AB - BALB-neuT mice expressing an activated rat c-erbB-2/neu transgene under the mouse
mammary tumor virus long terminal repeat show enhanced hematopoiesis with
hyperproduction of myeloid-derived suppressor cells (MDSC) because of vascular
endothelial growth factor (VEGF) secreted by the tumor. Here, we show that both
tumor and stromal cells express matrix metalloproteinase-9 (MMP-9), thereby
increasing the levels of pro-MMP-9 in the sera of tumor-bearing mice. Treatment
with amino-biphosphonates impaired tumor growth, significantly decreased MMP-9
expression and the number of macrophages in tumor stroma, and reduced MDSC
expansion both in bone marrow and peripheral blood by dropping serum pro-MMP-9
and VEGF. We dissected the role of tumor-derived MMP-9 from that secreted by
stromal leukocytes by transplanting bone marrow from MMP-9 knockout mice into
BALB-neuT mice. Although bone marrow progenitor-derived MMP-9 had a major role in
driving MDSC expansion, amino-biphosphonate treatment of bone marrow chimeras
further reduced both myelopoiesis and the supportive tumor stroma, thus enhancing
tumor necrosis. Moreover, by reducing MDSC, amino-biphosphonates overcome the
tumor-induced immune suppression and improved the generation and maintenance of
antitumor immune response induced by immunization against the p185/HER-2. Our
data reveal that suppression of MMP-9 activity breaks the vicious loop linking
tumor growth and myeloid cell expansion, thus reducing immunosuppression. Amino
biphosphonates disclose a specific MMP-9 inhibitory activity that may broaden
their application above their current usage.
PMID- 18056473
TI - Suppression of proximal T cell receptor signaling and lytic function in CD8+
tumor-infiltrating T cells.
AB - CD8(+) tumor-infiltrating lymphocytes (TIL) lack in vivo and in vitro lytic
function due to a signaling deficit characterized by failure to flux calcium or
activate tyrosine kinase activity upon contact with cognate tumor cells. Although
CD3 zeta is phosphorylated by conjugation in vitro with cognate tumor cells,
showing that TIL are triggered, PLC gamma-1, LAT, and ZAP70 are not activated and
LFA-1 is not affinity-matured, and because p56(lck) is required for LFA-1
activation, this implies that the signaling blockade is very proximal. Here, we
show that TIL signaling defects are transient, being reversed upon purification
and brief culture in vitro, implying a fast-acting "switch". Biochemical analysis
of purified nonlytic TIL shows that contact with tumor cells causes transient
activation of p56(lck) ( approximately 10 s) which is rapidly inactivated. In
contrast, tumor-induced activation of p56(lck) in lytic TIL is sustained
coincident with downstream TCR signaling and lytic function. Shp-1 is robustly
active in nonlytic TIL compared with lytic TIL, colocalizes with p56(lck) in
nonlytic TIL, and inhibition of Shp-1 activity in lytic TIL in vitro blocks tumor
induced defective TIL cytolysis. Collectively, our data support the notion that
contact of nonlytic TIL with tumor cells, and not with tumor-infiltrating myeloid
derived suppressor cells, causes activation of Shp-1 that rapidly
dephosphorylates the p56(lck) activation motif (Y394), thus inhibiting effector
phase functions.
PMID- 18056474
TI - The cooked meat carcinogen 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine
activates the extracellular signal regulated kinase mitogen-activated protein
kinase pathway.
AB - During the cooking of meat, mutagenic and carcinogenic heterocyclic amines are
formed, the most abundant of which, 2-amino-1-methyl-6-phenylimidazo[4-5
b]pyridine (PhIP), induces tumors of the prostate, colon, and mammary gland in
rats. Humans consuming cooked meat are exposed to PhIP on a daily basis, yet few
studies have assessed the effects of PhIP at dietary relevant concentrations. In
addition to its genotoxic properties, recent studies have shown that PhIP can
activate estrogen receptor-mediated signaling pathways at doses that are similar
to those that may be present in the body following consumption of a cooked meat
meal. In the present study, we examined whether such doses of PhIP can affect
estrogen receptor-independent signal transduction via the mitogen-activated
protein kinase (MAPK) extracellular signal-related kinase (ERK) pathway to
influence proliferation and migration in the human mammary epithelial cell line
MCF10A and the prostate cancer cell line PC-3. At doses shown to have a
proliferative effect on MCF10A cells (10(-11)-10(-7) mol/L), PhIP induced a
rapid, transient increase in phosphorylation of both MAPK/ERK kinase 1/2 and
ERKs. Inhibition of this pathway significantly reduced the PhIP-induced
proliferation of MCF10A cells and the migration of PC-3 cells. The data presented
here show that levels of PhIP that approximate to human dietary exposure
stimulate cellular signaling pathways and result in increased growth and
migration, processes linked to the promotion and progression of neoplastic
disease. These findings provide strong evidence that PhIP acts as a tumor
initiator and promoter and that dietary exposure to this compound could
contribute to carcinogenesis in humans.
PMID- 18056475
TI - 3'-deoxy-3'-[18F]fluorothymidine positron emission tomography is a sensitive
method for imaging the response of BRAF-dependent tumors to MEK inhibition.
AB - Activating mutations of BRAF occur in approximately 7% of all human tumors and in
the majority of melanomas. These tumors are very sensitive to pharmacologic
inhibition of mitogen-activated protein kinase/extracellular signal-regulated
kinase kinase (MEK), which causes loss of D-cyclin expression,
hypophosphorylation of Rb, and G(1) arrest. Growth arrest is followed by
differentiation or senescence and, in a subset of BRAF mutant tumors, by
apoptosis. The former effects result in so-called "stable disease" and, in
patients with cancer, can be difficult to distinguish from indolent tumor growth.
The profound G(1) arrest induced by MEK inhibition in BRAF mutant tumors is
associated with a marked decline in thymidine uptake and is therefore potentially
detectable in vivo by noninvasive 3'-deoxy-3'-[(18)F]fluorothymidine ([(18)F]FLT)
positron emission tomography (PET) imaging. In SKMEL-28 tumor xenografts, MEK
inhibition completely inhibited tumor growth and induced differentiation with
only modest tumor regression. MEK inhibition also resulted in a rapid decline in
the [(18)F]FLT signal in V600E BRAF mutant SKMEL-28 xenografts but not in BRAF
wild-type BT-474 xenografts. The data suggest that [(18)F]FLT PET can effectively
image induction of G(1) arrest by MEK inhibitors in mutant BRAF tumors and may be
a useful noninvasive method for assessing the early biological response to this
class of drugs.
PMID- 18056476
TI - MisR/MisS two-component regulon in Neisseria meningitidis.
AB - Two-component regulatory systems are involved in processes important for
bacterial pathogenesis. Inactivation of the misR/misS system in Neisseria
meningitidis results in the loss of phosphorylation of the lipooligosaccharide
inner core and causes attenuation in a mouse model of meningococcal infection.
One hundred seventeen (78 up-regulated and 39 down-regulated) potential
regulatory targets of the MisR/MisS (MisR/S) system were identified by
transcriptional profiling of the NMBmisR mutant and the parental wild-type
meningococcal strain NMB. The regulatory effect was further confirmed in a subset
of target genes by quantitative real-time PCR and beta-galactosidase
transcriptional fusion reporter assays. The MisR regulon includes genes encoding
proteins necessary for protein folding in the bacterial cytoplasm and periplasm,
transcriptional regulation, metabolism, iron assimilation, and type I protein
transport. Mutation in the MisR/S system caused increased sensitivity to
oxidative stress and also resulted in decreased susceptibility to complement
mediated killing by normal human serum. To identify the direct targets of MisR
regulation, electrophoretic mobility shift assays were carried out using purified
MisR-His(6) protein. Among 22 genes examined, misR directly interacted with 14
promoter regions. Six promoters were further investigated by DNase I protection
assays, and a MisR-binding consensus sequence was proposed. Thus, the direct
regulatory targets of MisR and the minimal regulon of the meningococcal MisR/S
two-component signal transduction system were characterized. These data indicate
that the MisR/S system influences a wide range of biological functions in N.
meningitidis either directly or via intermediate regulators.
PMID- 18056477
TI - Neutrophils contribute to development of a protective immune response during
onset of infection with Leishmania donovani.
AB - Neutrophils are key components of the inflammatory response and as such
contribute to the killing of microorganisms. In addition, recent evidence
suggests their involvement in the development of the immune response. The role of
neutrophils during the first weeks post-infection with Leishmania donovani was
investigated in this study. When L. donovani-infected mice were selectively
depleted of neutrophils with the NIMP-R14 monoclonal antibody, a significant
increase in parasite numbers was observed in the spleen and bone marrow and to a
lesser extent in the liver. Increased susceptibility was associated with enhanced
splenomegally, a delay in the maturation of hepatic granulomas, and a decrease in
inducible nitric oxide synthase expression within granulomas. In the spleen,
neutrophil depletion was associated with a significant increase in interleukin 4
(IL-4) and IL-10 levels and reduced gamma interferon secretion by CD4(+) and
CD8(+) T cells. Increased production of serum IL-4 and IL-10 and higher levels of
Leishmania-specific immunoglobulin G1 (IgG1) versus IgG2a revealed the
preferential induction of Th2 responses in neutrophil-depleted mice. Altogether,
these data suggest a critical role for neutrophils in the early protective
response against L. donovani, both as effector cells involved in the killing of
the parasites and as significant players influencing the development of a
protective Th1 immune response.
PMID- 18056478
TI - Listeria monocytogenes desensitizes immune cells to subsequent Ca2+ signaling via
listeriolysin O-induced depletion of intracellular Ca2+ stores.
AB - Listeriolysin O (LLO), the pore-forming toxin of Listeria monocytogenes, is a
prototype of the cholesterol-dependent cytolysins (CDCs) secreted by several
pathogenic and nonpathogenic gram-positive bacteria. In addition to mediating the
escape of the bacterium into the cytosol, this toxin is generally believed to be
a central player in host-pathogen interactions during L. monocytogenes infection.
LLO triggers the influx of Ca(2+) into host cells as well as the release of
Ca(2+) from intracellular stores. Thus, many of the cellular responses induced by
LLO are related to calcium signaling. Interestingly, in this study, we report
that prolonged exposure to LLO desensitizes cells to Ca(2+) mobilization upon
subsequent stimulations with LLO. Cells preexposed to LLO-positive L.
monocytogenes but not to the LLO-deficient Deltahly mutant were found to be
highly refractory to Ca(2+) induction in response to receptor-mediated
stimulation. Such cells also exhibited diminished Ca(2+) signals in response to
stimulation with LLO and thapsigargin. The presented results suggest that this
phenomenon is due to the depletion of intracellular Ca(2+) stores. The ability of
LLO to desensitize immune cells provides a significant hint about the possible
role played by CDCs in the evasion of the immune system by bacterial pathogens.
PMID- 18056479
TI - Cross-species immunity in malaria vaccine development: two, three, or even four
for the price of one?
PMID- 18056480
TI - Heterodimerization of TLR2 with TLR1 or TLR6 expands the ligand spectrum but does
not lead to differential signaling.
AB - TLR are primary triggers of the innate immune system by recognizing various
microorganisms through conserved pathogen-associated molecular patterns. TLR2 is
the receptor for a functional recognition of bacterial lipopeptides (LP) and is
up-regulated during various disorders such as chronic obstructive pulmonary
disease and sepsis. This receptor is unique in its ability to form heteromers
with TLR1 or TLR6 to mediate intracellular signaling. According to the fatty acid
pattern as well as the assembling of the polypeptide tail, LP can signal through
TLR2 in a TLR1- or TLR6-dependent manner. There are also di- and triacylated LP,
which stimulate TLR1-deficient cells and TLR6-deficient cells. In this study, we
investigated whether heterodimerization evolutionarily developed to broaden the
ligand spectrum or to induce different immune responses. We analyzed the signal
transduction pathways activated through the different TLR2 dimers using the three
LP, palmitic acid (Pam)octanoic acid (Oct)(2)C-(VPGVG)(4)VPGKG, fibroblast
stimulating LP-1, and Pam(2)C-SK(4). Dominant-negative forms of signaling
molecules, immunoblotting of MAPK, as well as microarray analysis indicate that
all dimers use the same signaling cascade, leading to an identical pattern of
gene activation. We conclude that heterodimerization of TLR2 with TLR1 or TLR6
evolutionarily developed to expand the ligand spectrum to enable the innate
immune system to recognize the numerous, different structures of LP present in
various pathogens. Thus, although mycoplasma and Gram-positive and Gram-negative
bacteria may activate different TLR2 dimers, the development of different signal
pathways in response to different LP does not seem to be of vital significance
for the innate defense system.
PMID- 18056481
TI - The IL-4Ralpha pathway in macrophages and its potential role in silica-induced
pulmonary fibrosis.
AB - Crystalline silica exposure can result in pulmonary fibrosis, where the pulmonary
macrophage is key as a result of its ability to react to silica particles. In the
mouse silicosis model, there is initial Th1-type inflammation, characterized by
TNF-alpha and IFN-gamma. Previous studies determined that Th2 mediators (i.e., IL
13) are vital to development of pulmonary fibrosis. The present study, using in
vivo and in vitro techniques, compares silica exposures between Balb/c and Th2
deficient mice in an effort to determine the link between Th2 immunity and
silicosis. In long-term experiments, a significant increase in fibrosis and
activated interstitial macrophages was observed in Balb/c but not IL-4Ralpha(-/-)
mice. Additionally, a significant increase in Ym1 mRNA levels, a promoter of Th2
immunity, was determined in the interstitial leukocyte population of silica
exposed Balb/c mice. To elucidate the effects of silica on macrophage function,
bone marrow-derived macrophages (BMdM) were exposed to particles and assayed for
T cell (TC) stimulation activity. As a control, Ym1 mRNA expression in Balb/c
BMdM was determined using IL-4 stimulation. In the in vitro assay, a significant
increase in TC activation, as defined by surface markers and cytokines, was
observed in the cultures containing the silica-exposed macrophages in wild-type
and IL-4Ralpha(-/-) mice, with one exception: IL-4Ralpha(-/-) BMdM were unable to
induce an increase in IL-13. These results suggest that crystalline silica alters
cellular functions of macrophages, including activation of TC, and that the
increase in Th2 immunity associated with silicosis is via the IL-4Ralpha-Ym1
pathway.
PMID- 18056482
TI - Lipopolysaccharide binding protein promoter variants influence the risk for Gram
negative bacteremia and mortality after allogeneic hematopoietic cell
transplantation.
AB - Lipopolysaccharide binding protein (LBP) function is dependent on circulating LBP
levels. Disturbance of LBP transcription regulation may influence the risk for
clinical events. In a nested case-control study using a single nucleotide
polymorphism haplotype tagging (tagSNP) approach, we assessed whether genetic
variation in the LBP gene influences the risk for Gram-negative (GN) bacteremia
after allogeneic hematopoietic cell transplantation (HCT), then validated the
association in a prospective cohort by correlating genetic variation with basal
serum LBP levels and mortality. Presence of the tagSNP 6878 C allele among
patients was associated with a 2-fold higher risk for GN bacteremia (odds ratio =
2.15; 95% confidence interval [CI], 1.31-3.52, P = .002). TagSNP 6878 was in
strong linkage disequilibrium with 3 SNPs in the LBP promoter, one of which was
SNP 1683 (r(2) = 0.8), located in a CAAT box that regulates LBP promoter
efficiency. SNP 1683 was associated with higher median basal serum LBP levels (TT
8.07 microg/mL; TC 10.40 microg/mL; CC 17.39 microg/mL; P = .002), and a 5-fold
increase in GN bacteremia related mortality after HCT (hazard ratio = 4.83; 95%
CI, 1.38-16.75, P = .013). These data suggest that transcriptional regulation of
the LBP gene contributes to the risk for developing GN bacteremia and death after
HCT.
PMID- 18056484
TI - Semaphorin3A signaling controls Fas (CD95)-mediated apoptosis by promoting Fas
translocation into lipid rafts.
AB - Semaphorins and their receptors (plexins) have pleiotropic biologic functions,
including regulation of immune responses. However, the role of these molecules
inside the immune system and the signal transduction mechanism(s) they use are
largely unknown. Here, we show that Semaphorin3A (Sema3A) triggers a proapoptotic
program that sensitizes leukemic T cells to Fas (CD95)-mediated apoptosis. We
found that Sema3A stimulation provoked Fas translocation into lipid raft
microdomains before binding with agonistic antibody or FasL (CD95L). Disruption
of lipid rafts reduced sensitivity to Fas-mediated apoptosis in the presence of
Sema3A. Furthermore, we show that plexin-A1, together with Sema3A-binding
neuropilin-1, was rapidly incorporated into membrane rafts after ligand
stimulation, resulting in the transport of actin-linking proteins into Fas
enriched rafts. Cells expressing a dominant-negative mutant of plexin-A1 did not
show Fas clustering and apoptosis on Sema3A/Fas costimulation. This work
identifies a novel biologic function of semaphorins and presents an unexpected
signaling mechanism linking semaphorin to the tumor necrosis factor family
receptors.
PMID- 18056483
TI - A critical role for Lyn in acute myeloid leukemia.
AB - Receptor or nonreceptor tyrosine kinases (TKs) are known to play an important
role in leukemogenesis. Here we studied the level of protein tyrosine
phosphorylations in a series of fresh AML samples and evaluated the effect of TK
inhibitors. Compared with normal hematopoietic progenitors, a high level of
tyrosine phosphorylation was detected in most acute myeloid leukemia (AML)
samples. The Src family kinases (SFKs) appeared constitutively activated in most
cases, including in the CD34(+)CD38(-)CD123(+) compartment as revealed by the
level of phosphorylated tyrosine 416. Lyn was the major SFK family member
expressed in an active form in AML cells where it was abnormally distributed
throughout the plasma membrane and the cytosol as opposed to normal hematopoietic
progenitors. The SFK inhibitor, PP2, strongly reduced the global level of
tyrosine phosphorylations, inhibited cell proliferation, and induced apoptosis in
patient samples without affecting normal granulomonocytic colony forming units.
Moreover, silencing Lyn expression by small interfering RNA in primary AML cells
strongly inhibited proliferation. Interestingly, a link between Lyn and the mTOR
pathway was observed as PP2 and a Lyn knockdown both affected the phosphorylation
of mTOR targets without inhibiting Akt phosphorylation. Lyn should be considered
as a novel pharmacologic target for AML therapy.
PMID- 18056485
TI - The sugar-binding ability of ERGIC-53 is enhanced by its interaction with MCFD2.
AB - Combined deficiency of factors V and VIII (F5F8D) is a bleeding disorder caused
by mutations in LMAN1 or MCFD2. LMAN1 encodes ERGIC-53, a cargo receptor with an
L-type lectin domain, and MCFD2 is a EF-hand-containing protein. We prepared a
biotinylated, soluble form of ERGIC-53, which we labeled with R-phycoerythrin
conjugated streptavidin. By flow cytometry, sERGIC-53-SA bound to HeLaS3 cells in
the presence of calcium but only after preincubation with MCFD2. Treating the
cells with endo H or incubating them with high mannose-type oligosaccharides,
especially M(8B), abrogated sERGIC-53-SA binding. Surface plasmon resonance
experiments demonstrated that MCFD2 specifically bound to sERGIC-53 and 2 MCFD2
mutants found in F5F8D patients had a K(a) that was 3 or 4 orders of magnitude
lower for sERGIC-53 than for wild-type MCFD2. The K(a) of sERGIC-53 and MCFD2 was
measured at several pH values and calcium concentrations, and we found that at a
calcium concentration less than 0.2 mM, this interaction became significantly
weaker. These results demonstrate that the binding of ERGIC-53 to sugar is
enhanced by its interaction with MCFD2, and defects in this interaction in F5F8D
patients may be the cause for reduced secretion of factors V and VIII.
PMID- 18056486
TI - PAX2 expression by HHV-8-infected endothelial cells induced a proangiogenic and
proinvasive phenotype.
AB - In the present study, we evaluated whether infection of microvascular endothelial
cells (HMECs) with HHV-8 can trigger the expression of PAX2 oncogene and whether
PAX2 protein is involved in HHV-8-induced transformation of HMECs. We found that
HHV-8 infection induced the expression of both the PAX2 gene and PAX2 protein in
HMECs but failed to induce PAX2 protein in HMECs stably transfected with PAX2
antisense (HMEC-AS). HHV-8-infected HMECs but not HMEC-AS acquired proinvasive
proadhesive properties, enhanced survival and in vitro angiogenesis, suggesting a
correlation between PAX2 expression and the effects triggered by HHV-8 infection.
When HMEC-expressing PAX2 by stable transfection with PAX2 sense gene or by HHV-8
infection were implanted in vivo in severe combined immunodeficient (SCID) mice,
enhanced angiogenesis and proliferative lesions resembling KS were observed. HHV
8-infected HMEC-AS failed to induce angiogenesis and KS-like lesions. These
results suggest that the expression of PAX2 is required for the proangiogenic and
proinvasive changes induced by HHV-8 infection in HMECs. In conclusion, HHV-8
infection may activate an embryonic angiogenic program in HMECs by inducing the
expression of PAX2 oncogene.
PMID- 18056488
TI - Immediate mobilization compared with conventional immobilization for the impacted
nonoperatively treated proximal humeral fracture. A randomized controlled trial.
AB - BACKGROUND: There have been few randomized controlled trials evaluating
nonoperative treatment of proximal humeral fractures. To investigate shortening
the period of dependence, we assessed the feasibility and efficacy of early
mobilization of the shoulder (within three days after the fracture) in comparison
with those of conventional three-week immobilization followed by physiotherapy.
METHODS: We randomly assigned seventy-four patients with an impacted proximal
humeral fracture to receive early passive mobilization or conventional treatment.
The primary outcome was the overall shoulder functional status (as measured with
the Constant score) at three months. The secondary outcomes were the Constant
score at six weeks and at six months, the change in pain (on a visual analog
scale), and the active and passive range of motion. RESULTS: At three months and
at six weeks, the early mobilization group had a significantly better Constant
score than did the conventional-treatment group (between-group difference, 9.9
[95% confidence interval, 1.9 to 17.8] [p = 0.02] and 10.1 [95% confidence
interval, 2.0 to 18.1] [p = 0.02], respectively) and better active mobility in
forward elevation (between-group difference, 12.0 [95% confidence interval, 1.7
to 22.4] [p = 0.02] and 28.1 [95% confidence interval, 7.1 to 49.1] [p = 0.01],
respectively). At three months, the early mobilization group had significantly
reduced pain compared with the conventional-treatment group (between-group
difference, 15.7 [95% confidence interval, 0.52 to 30.8] [p = 0.04]). No
complications in displacement or nonhealing were noted. CONCLUSIONS: Early
mobilization for impacted nonoperatively treated proximal humeral fractures is
safe and is more effective for quickly restoring the physical capability and
performance of the injured arm than is conventional immobilization followed by
physiotherapy.
PMID- 18056489
TI - Anterior transposition compared with simple decompression for treatment of
cubital tunnel syndrome. A meta-analysis of randomized, controlled trials.
AB - BACKGROUND: There is currently no consensus on the optimal operative treatment
for cubital tunnel syndrome. The objective of this meta-analysis of randomized,
controlled trials was to evaluate the efficacy of simple decompression compared
with that of anterior transposition of the ulnar nerve in the treatment of this
condition. METHODS: Multiple databases were searched for randomized, controlled
trials on the outcome of operative treatment of cubital tunnel syndrome in
patients who had not previously sustained trauma or undergone a surgical
procedure involving the elbow. Two reviewers abstracted baseline characteristics,
clinical scores, and motor nerve-conduction velocities independently. Data were
pooled across studies, standard mean differences in effect sizes weighted by
study sample size were calculated, and heterogeneity across studies was assessed.
RESULTS: We identified four randomized, controlled trials comparing simple
decompression with anterior ulnar nerve transposition (two submuscular and two
subcutaneous). In three studies that included a total of 261 patients, a clinical
scoring system was used as the primary clinical outcome. There were no
significant differences between simple decompression and anterior transposition
in terms of the clinical scores in those studies (standard mean difference in
effect size = -0.04 [95% confidence interval = -0.36 to 0.28], p = 0.81). We did
not find significant heterogeneity across these studies (I(2) = 34.2%, p = 0.22).
Two reports, on a total of 100 patients, presented postoperative motor nerve
conduction velocities; they showed no significant differences between the
procedures (standard mean difference in effect size = 0.24 [95% confidence
interval -0.15 to 0.63] in favor of simple decompression, p = 0.23; I(2) = 0%, p
= 0.9). CONCLUSIONS: The results of this meta-analysis suggest that there is no
difference in motor nerve-conduction velocities or clinical outcome scores
between simple decompression and ulnar nerve transposition for the treatment of
ulnar nerve compression at the elbow in patients with no prior traumatic injuries
or surgical procedures involving the affected elbow. Confidence intervals around
the points of estimate were narrow, which probably exclude the possibility of
clinically meaningful differences. These data suggest that simple decompression
of the ulnar nerve is a reasonable alternative to anterior transposition for the
surgical management of ulnar nerve compression at the elbow.
PMID- 18056490
TI - Femoral nerve block for diaphyseal and distal femoral fractures in the emergency
department.
AB - BACKGROUND: Diaphyseal and distal femoral fractures are painful injuries that are
frequently seen in patients requiring a trauma work-up in the hospital emergency
department prior to definitive management. The purpose of this study was to
determine whether a femoral nerve block administered in the emergency department
could provide better pain relief for patients with femoral fractures than
currently used pain management practices. METHODS: Patients who presented with an
acute diaphyseal or distal femoral fracture were identified as potential
candidates for this study. Eligible patients were randomized by medical record
number to receive either (a) the femoral nerve block (20 mL of 0.5% bupivacaine)
along with standard pain management or (b) standard pain management alone
(typically intravenous narcotics). The pain was assessed with use of a visual
analog scale at the initial evaluation and at five, fifteen, thirty, sixty, and
ninety minutes following the initial evaluation. Fifty-four patients were
enrolled in the study from April 2005 to May 2006. Thirty-one patients received a
femoral nerve block, and twenty-three patients received standard pain management
alone. RESULTS: Baseline scores on the visual analog pain scale did not differ
between the groups at the initial evaluation. The patients who received a femoral
nerve block (along with standard pain management) had significantly lower pain
scores at five, fifteen, thirty, sixty, and ninety minutes following the block
than did the patients who received standard pain management alone (p < 0.001).
The score on the visual analog pain scale across these time-points was an average
of 3.6 points less (on a 10-point scale) for those who received the block. There
were no infections, paresthesias, or other complications related to the femoral
nerve block. CONCLUSIONS: The acute pain of a diaphyseal or distal femoral
fracture can be significantly decreased through the use of a femoral nerve block
which can be administered safely in the hospital emergency department.
PMID- 18056491
TI - Corticosteroid injection in diabetic patients with trigger finger. A prospective,
randomized, controlled double-blinded study.
AB - BACKGROUND: It is generally accepted that the initial treatment for trigger
finger is injection of corticosteroid into the flexor tendon sheath. In this
study, the efficacy of corticosteroid injections for the treatment of trigger
finger in patients with diabetes mellitus was evaluated in a prospective,
randomized, controlled, double-blinded fashion and the efficacy in nondiabetic
patients was evaluated in a prospective, unblinded fashion. METHODS: Thirty
diabetic patients (thirty-five digits) and twenty-nine nondiabetic patients
(twenty-nine digits) were enrolled. The nondiabetic patients were given
corticosteroid injections in an unblinded manner. The cohort with diabetes was
randomized into a corticosteroid group (twenty digits) or a placebo group
(fifteen digits). Both of these groups were double-blinded. Additional
injections, surgical intervention, and recurrent symptoms of trigger finger were
recorded. Treatment success was defined as complete or nearly complete resolution
of trigger finger symptoms such that surgical intervention was not required.
RESULTS: After one or two injections, twenty-five of the twenty-nine digits in
the nondiabetic group had a successful outcome compared with twelve of the
nineteen in the diabetic corticosteroid group (p = 0.03) and eight of the fifteen
in the diabetic placebo group (p = 0.006). With the numbers studied, no
significant difference was found between the diabetic groups. Surgery was
performed in three of the twenty-nine digits in the nondiabetic group compared
with seven of the nineteen in the diabetic corticosteroid group and six of the
fifteen in the diabetic placebo group. There was a significant difference in the
prevalence of surgery between the nondiabetic group and both the diabetic
corticosteroid group and the diabetic placebo group (p = 0.035 and p = 0.020,
respectively). With the numbers studied, no difference was found between the
diabetic groups with regard to the persistence of symptoms. Nephropathy and
neuropathy were significantly associated with the need for surgery (p = 0.008 and
p = 0.03, respectively). CONCLUSIONS: Corticosteroid injections were
significantly more effective in the digits of nondiabetic patients than in those
of diabetic patients. In patients with diabetes, corticosteroid injections did
not decrease the surgery rate or improve symptom relief compared with the
placebo. The use of corticosteroid injections for the treatment of trigger finger
may be less effective in patients with systemic manifestations of diabetes
mellitus.
PMID- 18056492
TI - Revision total hip arthroplasty in octogenarians. A case-control study.
AB - BACKGROUND: Revision total hip arthroplasty in the very elderly is believed to be
associated with a high complication rate. We evaluated the early outcomes and
prevalence of complications following revision total hip arthroplasty in patients
older than eighty years of age and compared them with those in a younger patient
population. METHODS: We retrospectively reviewed the results of 170 revision
total hip arthroplasties that had been performed in 159 octogenarians in our
institute between 1992 and 1999. The mean patient age at the time of surgery was
83.8 years, and the mean follow-up time was 6.8 years. We then compared these
results with those of 170 revision total hip arthroplasties, done with the same
surgical technique and prosthesis, in 162 patients who were seventy years old or
younger and followed for a mean of six years. The functional outcome and the
quality of life following the arthroplasties were assessed with use of the Harris
hip score and the Short Form-36 (SF-36), respectively. Risk factors for
complications and mortality were identified, and Kaplan-Meier analysis was used
to determine survivorship. RESULTS: The octogenarians had a significant
improvement in the mean Harris hip score, from 47 points preoperatively to 85
points at the time of the latest follow-up. In the control group, the Harris hip
score also improved significantly, from a preoperative mean of 44.3 points to a
mean of 87.9 points at the time of the latest follow-up. There was no significant
difference between the two groups in the magnitude of improvement of the Harris
hip score. There were 100 deaths (a rate of 58.8%) at a mean of 5.3 years
postoperatively in the octogenarian group compared with twelve deaths (7.1%) in
the control group (p < 0.0001). There were no intraoperative deaths in either
group. Of the 100 octogenarian patients who died, 94% had a well-functioning hip
at the time of death. Perioperative medical complications developed in thirty
patients (thirty-eight hips [22.4%]) in the octogenarian group and in twenty-five
patients (twenty-six hips [15.3%]) in the control group (p > 0.05). There were
thirteen repeat revisions and four other types of reoperations in the
octogenarian group and twenty-three repeat revisions and six other types of
reoperations in the control group (p = 0.08). A dislocation was sustained by four
patients in the octogenarian group and sixteen patients in the control group (p =
0.01). CONCLUSIONS: Revision total hip arthroplasty can provide substantial
clinical benefit to patients over eighty years of age. The medical complication
rate for octogenarians may not differ significantly from that for patients
seventy years of age or younger, and the prevalence of technical complications
and dislocations can be expected to be lower than that for younger patients.
PMID- 18056493
TI - Outcomes following plate fixation of fractures of both bones of the forearm in
adults.
AB - BACKGROUND: Internal fixation of diaphyseal forearm fractures has been associated
with high union rates and satisfactory forearm motion. The purpose of this study
was to investigate patient-based functional outcomes and to objectively measure
strength following plate fixation of fractures of both bones of the forearm.
METHODS: Range of motion, quantitative strength measurements, and validated
outcome measures-i.e., DASH (Disabilities of the Arm, Shoulder and Hand) and SF
36 (Short Form-36) scores-were assessed in a cohort of thirty patients (nineteen
men and eleven women with a mean age of 43.9 years) treated with plate fixation
for fractures of both bones of the forearm. The mean duration of follow-up was
5.4 years, and standardized radiographs of the forearm were evaluated. Univariate
and multivariate analyses were performed to identify determinants of the DASH and
SF-36 Physical and Mental Component Summary (PCS and MCS) scores. RESULTS:
Compared with the uninjured arms, the injured arms had reduced strength of
forearm pronation (70% of that of the normal arm, p < 0.0001), forearm supination
(68%, p < 0.0001), wrist flexion (84%, p = 0.0011), wrist extension (63%, p <
0.0001), and grip (75%, p < 0.0001). In addition, the injured arms had a
significantly reduced active range of forearm supination (90% of that of the
uninjured arm, p = 0.0001), forearm pronation (91%, p = 0.0028), and wrist
flexion (82%, p < 0.0001). The mean DASH score (18.6 points; range, 0 to 61
points) was significantly higher than the normative value in the United States (p
= 0.02). Limitations in strength correlated with worse DASH and SF-36 PCS scores.
Pain and a work-related injury were independent determinants of the DASH score.
CONCLUSIONS: Stabilization with internal plate fixation following fracture of
both bones of the forearm restores nearly normal anatomy and motion. However, a
moderate reduction in the strength of the forearm, the wrist, and grip should be
expected following this injury. Perceived disability as measured with the DASH
and SF-36 questionnaires is determined by pain more than by objective physical
impairment.
PMID- 18056494
TI - The influence of insurance status on the transfer of femoral fracture patients to
a level-I trauma center.
AB - BACKGROUND: The aim of the present study was to evaluate transfer patterns and
insurance status for patients with a femoral fracture who were definitively
managed within a six-hospital health-care system. We hypothesized that insurance
status significantly influenced transfer of these patients to the level-I trauma
center and that the level-I center provided definitive care for a
disproportionate percentage of uninsured femoral fracture patients. METHODS: The
present retrospective cohort study was performed within a six-hospital health
care system. The system comprises a single American College of Surgeons
designated level-I trauma center and five nondesignated community hospitals. We
identified 243 patients with 251 femoral shaft fractures that had been
definitively treated with intramedullary nail fixation within the system. From
the health-care system billing database and trauma registries, we obtained
diagnosis and procedure codes, insurance status, and trauma center transfer data.
Differences in the proportions of uninsured and insured patients were calculated.
RESULTS: One hundred and seventy-two (71%) of the 243 patients who were
definitively managed within our health-care system initially had been taken to
the regional level-I center, and thirty-eight patients (16%) had been transferred
to the trauma center. Of the thirty-eight patients who had been transferred,
eighteen (47%) had met appropriate transfer criteria. Of the twenty patients with
an isolated femoral fracture who had been transferred from hospitals with regular
orthopaedic coverage, four (20%) had met appropriate transfer criteria. Twenty
two (58%) of the thirty-eight patients who had been transferred were uninsured,
and all thirty-three patients who had not been transferred were insured (p =
0.0008); this observation remained when controlling for injury severity and
available orthopaedic coverage (p < 0.0001). The proportion of insured patients
definitively managed at the trauma center (52%) differed significantly from the
proportion of insured patients definitively managed at the community hospitals
(100%) (p < 0.0001). CONCLUSIONS: The majority (71%) of the patients with a
femoral fracture who had been managed definitively within our health-care system,
regardless of injury severity, had been taken directly to the trauma center. This
finding suggests over-triage, which errs on the side of patient well-being.
Because there was a significant difference in insurance status between patients
who had been transferred to the level-I center and those who had not been
transferred as well as between patients who had been definitively managed at the
level-I center and those who had been managed in community hospitals, it can be
assumed that insurance status as well as injury severity and orthopaedic surgeon
availability influence the decision to transfer femoral fracture patients to a
level-I trauma center.
PMID- 18056495
TI - Transphyseal anterior cruciate ligament reconstruction in skeletally immature
pubescent adolescents.
AB - BACKGROUND: Management of anterior cruciate ligament injuries in skeletally
immature patients is controversial. Conventional surgical reconstruction
techniques for adults can cause iatrogenic growth disturbance due to physeal
damage in children. The purpose of this study was to evaluate the results of a
transphyseal reconstruction technique in pubescent but skeletally immature
adolescents. METHODS: Between 1996 and 2004, sixty-one knees in fifty-nine
skeletally immature pubescent adolescents (Tanner stage 3) with a mean
chronological age of 14.7 years (range, 11.6 to 16.9 years) underwent
transphyseal reconstruction of the anterior cruciate ligament with use of an
autogenous quadrupled hamstrings-tendon graft and metaphyseal fixation. Thirty
one knees had additional meniscal surgery. The functional outcome, graft
survival, radiographic outcome, and any growth disturbance were evaluated at a
mean of 3.6 years (range, 2.0 to 10.2 years) after the surgery. RESULTS: Two
patients (3%) underwent revision anterior cruciate ligament reconstruction
because of graft failure at fourteen and twenty-one months postoperatively. For
the remaining fifty-nine knees, the mean International Knee Documentation
Committee subjective knee score (and standard deviation) was 89.5 +/- 10.2 points
and the mean Lysholm knee score was 91.2 +/- 10.7 points. The result of the
Lachman examination was normal in fifty-one knees and nearly normal in eight; it
was not abnormal or severely abnormal in any knee. The result of the pivot-shift
examination was normal in fifty-six knees and nearly normal in three knees; it
also was not abnormal or severely abnormal in any knee. The mean increase in
total height was 8.2 cm (range, 1.2 to 25.4 cm) from the time of surgery to the
time of final follow-up. No angular deformities of the lower extremity were
measured radiographically, and no lower-extremity length discrepancies were
measured clinically. Complications included three cases of arthrofibrosis
requiring manipulation with the patient under anesthesia. CONCLUSIONS:
Transphyseal reconstruction of the anterior cruciate ligament with use of an
autogenous quadrupled hamstrings-tendon graft with metaphyseal fixation in
skeletally immature pubescent adolescents provides an excellent functional
outcome with a low revision rate and a minimal risk of growth disturbance.
PMID- 18056496
TI - Use of structural allograft in revision total knee arthroplasty in knees with
severe tibial bone loss.
AB - BACKGROUND: Tibial bone loss is frequently encountered at the time of revision
total knee arthroplasty, and the outcome of the revision often depends on the
management of this bone deficiency. We examined the clinical and radiographic
outcomes of a series of revision total knee arthroplasties in which a structural
allograft had been used to reconstruct a tibial bone defect encountered at the
time of the revision procedure. METHODS: From January 1985 through September
1999, one surgeon performed revision arthroplasty in forty-nine knees (forty
seven patients) with a severe tibial bone defect. The reasons for the revisions
included polyethylene wear and osteolysis in twenty-four knees, aseptic loosening
in seventeen knees, infection in five knees, and failure for another reason for
three knees. Structural allograft was used alone in thirty-five knees and in
conjunction with a tibial augment in fourteen knees. The mean age of the patients
at the time of the revision arthroplasty with the allograft was sixty-seven
years. The patients were assessed clinically with use of the Knee Society score
and radiographically. RESULTS: The status of the implant was known for forty-six
of the forty-nine knees in this study. It was unknown for one patient (one knee)
who was lost to follow-up and for two patients (two knees) who died less than
five years postoperatively. Four revision procedures in four patients failed and
required a reoperation. Two of the failures were due to infection. At a mean of
ninety-seven months postoperatively, the mean Knee Society clinical score was 84
points for the knees that had not had a reoperation due to failure. The mean arc
of motion improved from 87 degrees preoperatively to 103 degrees at the most
recent follow-up evaluation. Histological evaluation of specimens retrieved at
two autopsies demonstrated graft union to host bone. CONCLUSIONS: A structural
allograft provides a stable and durable reconstruction of a tibial bone
deficiency. At a mean of ninety-five months postoperatively, we found no instance
of graft collapse or aseptic loosening associated with the structural allograft.
We recommend the use of a structural allograft for the management of severe
tibial bone deficiency at the time of revision total knee arthroplasty.
PMID- 18056498
TI - Mortality after periprosthetic fracture of the femur.
AB - BACKGROUND: Management of periprosthetic femoral fractures is often complex, and
few studies have documented its associated mortality. METHODS: We retrospectively
identified from our trauma and surgical registries 106 patients who underwent
surgery for a periprosthetic femoral fracture. We then identified a
contemporaneous age and sex-matched control cohort of 309 patients who had a hip
fracture (femoral neck or intertrochanteric) and 311 patients who underwent
primary hip or knee replacement. Mortality at one year was identified with use of
the Social Security database. RESULTS: Twelve (11%) of 106 patients died within
one year following surgical treatment of a periprosthetic fracture. During the
same follow-up period, fifty-one (16.5%) of 309 patients died following surgery
for a hip fracture and nine (2.9%) of 311 patients died following primary joint
replacement. The mortality rate after a periprosthetic femoral fracture was
significantly higher (p < 0.0001) compared with that for matched patients who had
undergone primary joint replacement, and it was similar to the mortality rate
after a hip fracture. For periprosthetic fractures, a delay of greater than two
days from admission to the time of surgery was associated with an increased
mortality rate at one year (p < 0.0007). Forty-nine patients underwent revision
arthroplasty for the treatment of a Vancouver type-B periprosthetic fracture, and
six (12%) died. In contrast, twenty-four patients with a Vancouver type-B
periprosthetic fracture were treated with open reduction and internal fixation
and eight (33%) died. The difference was significant (p < 0.03). CONCLUSIONS: The
mortality rate within one year following surgical treatment of periprosthetic
femoral fractures is high and is similar to that after treatment for hip
fractures. Because revision arthroplasty for the treatment of type-B
periprosthetic fractures was associated with a one-year mortality rate that was
significantly less than that after surgical treatment with open reduction and
internal fixation, in instances when either treatment option is feasible,
revision arthroplasty may be the preferred option.
PMID- 18056497
TI - Multimodal thromboprophylaxis for total hip and knee arthroplasty based on risk
assessment.
AB - BACKGROUND: Orthopaedic surgeons are increasingly challenged to find a
prophylaxis regimen that protects patients from thromboembolism while minimizing
adverse clinical outcomes such as bleeding. We used a multimodal approach in
which the treatment regimen is selected according to patient risk factors.
METHODS: We retrospectively reviewed the records on 1179 consecutive total joint
arthroplasties in 970 patients who had undergone primary and revision total hip
and total knee replacement. Preoperatively, patients were assigned to one of two
deep venous thrombosis prophylactic regimens on the basis of an assessment of
their risk factors. Eight hundred and fifty-six patients (1046 operations) were
considered to be low risk and were managed with aspirin, dipyridamole, or
clopidogrel bisulfate as well as intermittent pneumatic calf compression devices.
One hundred and fourteen patients (133 operations) were considered to be high
risk and were managed with low-molecular-weight heparin or warfarin and
intermittent calf compression. All patients were mobilized from bed within twenty
four hours after surgery, and all underwent Doppler ultrasonography within the
twenty-four hours before hospital discharge. All of the patients were followed
for six months postoperatively. The prevalence of asymptomatic and symptomatic
distal and proximal deep venous thrombosis, symptomatic and fatal pulmonary
emboli, overall mortality, and bleeding complications was determined. Thrombotic
events were expressed as a percentage of 1179 operations because some patients
had two or more operations. RESULTS: Overall, there were no fatal pulmonary
emboli, three symptomatic pulmonary emboli (prevalence, 0.25%), and five
clinically symptomatic deep venous thrombi (0.4%). Sixty-one asymptomatic deep
venous thrombi (5.2%) were found with use of routine postoperative Doppler
ultrasound scans. There were three deaths (prevalence, 0.25%) that were unrelated
to thromboembolism, and there were two nonfatal gastrointestinal bleeding events
(prevalence, 0.17%). Wound hematomas occurred in association with five (0.4%) of
the 1179 operations. Three nonfatal pulmonary emboli (prevalence, 0.3%) were
detected in association with the 1046 procedures in the low-risk group, and none
were detected in association with the 133 operations in the high-risk group (p =
0.767). Clinically symptomatic deep venous thrombosis was detected in association
with four (0.38%) of the 1046 operations in the low-risk group and one (0.75%) of
the 133 operations in the high-risk group (p = 0.93). Asymptomatic distal deep
venous thrombosis was detected in association with thirty-seven (3.5%) of the
1046 procedures in the low-risk group and four (3.0%) of the 133 operations in
the high-risk group. Asymptomatic proximal thrombosis was detected in association
with fourteen (1.3%) of the 1046 procedures in the low-risk group and six (4.5%)
of the 133 procedures in the high-risk group (p = 0.03). Wound hematomas occurred
only in patients being managed with warfarin or low-modular-weight heparin (p =
0.0001). CONCLUSIONS: A multimodal thromboembolic prophylactic regimen is
consistent with protecting patients while limiting adverse clinical outcomes
secondary to thromboembolic, vascular, and bleeding complications.
PMID- 18056499
TI - Natural history of thoracic insufficiency syndrome: a spondylothoracic dysplasia
perspective.
AB - BACKGROUND: Spondylothoracic dysplasia is a condition in which bilateral chest
wall deformity due to costovertebral rib fusion with shortening of the thoracic
spine results in severe thoracic insufficiency syndrome and early death. Little
is known about the long-term respiratory natural history of this disorder and the
specific anatomic deformity. METHODS: We conducted a multicenter prospective and
retrospective study of patients with spondylothoracic dysplasia. Medical
evaluations, respiratory history, physical examination findings, radiographs,
computed tomographic scans, and pulmonary function tests were studied. Anatomic,
radiographic, and functional parameters for the disorder were established to
determine the natural history of the thoracic insufficiency syndrome. RESULTS:
Twenty-eight patients were identified. Eight patients had died in the neonatal
period, and twenty were evaluated (eleven prospectively and nine
retrospectively). The survivors were doing well clinically, but the average
spirometric values were 27.9% of the predicted normal value for the forced vital
capacity (FVC), 29.5% of the predicted normal value for the forced expiratory
volume in the first second (FEV1), and 0.92 for the FEV1/FVC ratio, demonstrating
a severe restrictive respiratory pattern. The computed tomographic scan lung
volumes were an average of 28% of the expected values for age and gender. The
thorax was stiff from rib fusion and was severely shortened posteriorly,
averaging 24.2% of the predicted normal length. The thoracic spine was
predominantly composed of block vertebrae, whereas in the lumbar region there
were multiple hemivertebrae. Minimal scoliosis was seen, and there were no
neurological deficits. CONCLUSIONS: Spondylothoracic dysplasia has a unique
pathoanatomy of volume depletion deformity of the thorax with chest wall
stiffness, resulting in thoracic insufficiency syndrome. Clinical tolerance of
the restrictive lung disease in this disorder is impressive, but no clear reason
has yet been identified for the clinical pulmonary health in the face of severe
restrictive lung disease. Patients who survive infancy show no progression of
congenital anomalies and can have a good quality of life. This disease may serve
as a model of the natural history of thoracic insufficiency syndrome due to
growth inhibition of the thoracic spine either as a result of congenital causes
or secondary to surgical fusion early in life.
PMID- 18056500
TI - Third-generation alumina-on-alumina ceramic bearings in cementless total hip
arthroplasty.
AB - BACKGROUND: Wear debris has been implicated in the pathogenesis of osteolysis.
Alumina-on-alumina ceramic bearings have a low wear rate, which may reduce the
prevalence of osteolysis. The purpose of this study was to determine the rates of
wear and osteolysis associated with modern cementless hip arthroplasty with
alumina-on-alumina bearings at five years. METHODS: We analyzed a series of 301
third-generation alumina-on-alumina cementless primary total hip replacements in
283 patients. The average age of the patients at the time of the arthroplasty was
fifty-eight years. All procedures were performed with use of the same surgical
technique and the same implant at a single center. At a minimum of five years
postoperatively, ten patients had died and twenty-two patients were lost to
follow-up. We assessed patients clinically and radiographically, and all
retrieved bearings were analyzed for wear. RESULTS: At the time of the latest
follow-up, the mean Harris hip score was 95 points. All surviving implants had
radiographic evidence of stable bone ingrowth. There were nine revisions of one
or both components. Four stems were revised following periprosthetic fracture,
one stem was revised because of aseptic loosening at two months, and one stem was
revised to facilitate a femoral shortening osteotomy. Two cups were revised
because of psoas tendinitis, and both components of one arthroplasty were revised
because of impingement and osteolysis. The rate of survival of both components,
with revision because of aseptic loosening or osteolysis as the end point, was
99% at seven years. The retrieved femoral heads showed an early median wear rate
of 0.2 mm(3) per year. CONCLUSIONS: Cementless primary total hip prostheses with
a third-generation alumina-on-alumina bearing showed very low wear and were
associated with minimal osteolysis at the time of follow-up, at a minimum of five
years.
PMID- 18056501
TI - Are surgeons' preferences for instrumentation related to patient outcomes? A
randomized clinical trial of two implants for idiopathic scoliosis.
AB - BACKGROUND: Although many techniques for the surgical treatment of scoliosis have
been described, we are not aware of any randomized trials that have compared
implant systems. The relationship between surgeons' preferences for implants and
patient outcomes is unknown. The purpose of the present study was to compare
quality of life and curve correction associated with use of the Moss Miami system
and the Universal Spine System for spinal fusion in patients with adolescent
idiopathic scoliosis. METHODS: The present study was a double-blind, randomized
clinical trial. All adolescent patients with idiopathic scoliosis who were
scheduled for posterior instrumentation and arthrodesis with or without anterior
release were screened for eligibility. Patients were randomly allocated to
treatment with either the Moss Miami system or the Universal Spine System. The
primary outcome measure for this trial was Quality of Life Profile for Spinal
Disorders. RESULTS: Of the 129 subjects who were enrolled in the trial, sixty
(95%) of sixty-three from the Universal Spine System group and sixty (91%) of
sixty-six from the Moss Miami group were included in the final analysis. Two
years postoperatively, the total Quality of Life score did not differ by the
clinically important value of 5.5 between the two groups (difference, 1.07; 95%
confidence interval, -3.67 to 5.82; p = 0.66). The percentage of Cobb angle
correction was not significantly different for thoracic curves (55.1% +/- 18.3%
for the Moss Miami system group, compared with 54.1% +/- 18.7% for the Universal
Spine System group) (difference, -1%; 95% confidence interval, -7% to 5%; p =
0.77) or lumbar curves (45.4% +/- 24.6% for the Moss Miami system group, compared
with 41.9% +/- 26.8% for the Universal Spine System group) (difference, -4%; 95%
confidence interval, -16% to 11%; p = 0.57). Although surgeons were more
satisfied with the Universal Spine System (difference, 42%; 95% confidence
interval, 29% to 55%; p < 0.0001), satisfaction ratings were not related to any
surgical outcomes. CONCLUSIONS: The Moss Miami system and the Universal Spine
Systems provided similar quality of life and curve correction. Surgeon preference
may be an unreliable means of selecting implants from the patient outcomes
perspective.
PMID- 18056502
TI - Radial head dislocation and subluxation in osteogenesis imperfecta.
AB - BACKGROUND: Upper limb deformity in children with osteogenesis imperfecta may
substantially impair function. The aims of this retrospective work were to study
the prevalence of radial head malalignment (dislocation or subluxation) in
different types of osteogenesis imperfecta and to identify factors linked to it.
METHODS: We assessed 489 upper limbs from 254 patients (with a mean age of 9.6
years and including 130 female patients) who had various types of osteogenesis
imperfecta. Radiographs representing a single time-point for each patient were
assessed for the presence and direction of radial head malalignment and
associated abnormalities (dysplasia of the capitellum or of the radial head or
neck, calcification of the interosseous membrane, or radioulnar synostosis).
Deformations of the humerus, radius, and ulna were assessed with regard to
location, direction, and magnitude. The forearm range of motion in pronation and
supination and the hand grip force were measured in a subset of patients.
RESULTS: We observed radial head dislocation or subluxation in forty-four and
thirty-nine upper extremities, respectively. The frequency of radial head
malalignment was significantly higher in type-V osteogenesis imperfecta (86%)
than in the other types (0% to 29%) (p < 0.001). Dysplasia of the humeral
capitellum, radial head, or radial neck was associated with malalignment in all
types of osteogenesis imperfecta, with the exception of capitellum dysplasia in
type V. Malalignment in type V was associated with calcification of the
interosseous membrane, an abnormality that was specific for type V. In the other
osteogenesis imperfecta types, malalignment was commonly linked with radial and
ulnar deformation and was associated with decreased forearm range of motion in
supination and pronation and a lower grip force. CONCLUSIONS: Radial head
malalignment is common in osteogenesis imperfecta, especially in type V.
Malalignment is associated with bowing characteristics and impaired function of
the upper limb. These findings may provide support for surgical correction of
radial and ulnar bowing in selected patients with osteogenesis imperfecta.
PMID- 18056503
TI - Metal-on-metal hip resurfacing for obese patients.
AB - BACKGROUND: The effect of obesity on the outcomes of metal-on-metal resurfacing
arthroplasty is not currently known. In this study, we assessed the influence of
body mass index on the survival of a metal-on-metal hybrid hip resurfacing
prosthesis by comparing the clinical results of patients with a body mass index
of >or=30 with those of patients with a body mass index of <30. METHODS: We
retrospectively reviewed our registry to identify all patients who had been
followed for at least two years after a metal-on-metal hip resurfacing
arthroplasty, and we divided those patients according to whether they had had a
body mass index of >or=30 (the study group) or <30 (the control group) at the
time of the surgery. One hundred and twenty-five patients (144 hips) with an
average weight of 104.6 kg and an average body mass index of 33.4 were included
in the study group, and 531 patients (626 hips) with an average weight of 78.3 kg
and an average body mass index of 25.4 were included in the control group. We
compared the clinical results (UCLA [University of California at Los Angeles] and
Harris hip scores, SF-12 [Short Form-12] survey results, and complication rates),
radiographic results, and prosthetic survival rates of the two groups. RESULTS:
There was no significant difference postoperatively between the groups with
regard to the UCLA pain or walking scores or the mental component score of the SF
12. However, the UCLA function and activity scores were lower in the study group
than in the control group (9.2 compared with 9.6 points [p = 0.001] and 7.1
compared with 7.6 points [p = 0.002], respectively). The control group had a
significantly higher postoperative physical component score on the SF-12 (51.4
points compared with 49.3 points in the study group, p = 0.01) and postoperative
Harris hip score (93.8 compared with 90.6 points, p = 0.0003). Two hips (1.4%)
were revised in the study group. In contrast, thirty-one hips (5.0%) were
converted to a total hip replacement in the control group; twenty of the thirty
one were revised because of loosening of the femoral component. The five-year
survivorship of the hip prostheses was 98.6% in the study group and 93.6% in the
control group (p = 0.0401). When the entire cohort was divided into three groups
according to whether the body mass index was <25, 25 to 29, or >or=30, the risk
of revision was found to have decreased twofold from one group to the next as the
body mass index increased (p = 0.013). No acetabular component loosened in either
group. The average diameter of the femoral component was 48.3 mm in the study
group and 46.8 mm in the control group (p = 0.0001). There were no revisions for
any reason and no radiolucencies were observed in a subset of twenty-seven
patients with a body mass index of >or=35. CONCLUSIONS: Metal-on-metal
resurfacing hip arthroplasty is performing well in patients with a high body mass
index, although the function scores are reduced compared with those for patients
with a body mass index of <30. The protective effect of a high body mass index on
survivorship results may be explained by a reduced activity level and a greater
component size in this patient population.
PMID- 18056504
TI - Legg-calve-perthes disease: a review of cases with onset before six years of age.
AB - BACKGROUND: The prognosis for children in whom Legg-Calve-Perthes disease
develops before the age of six years was initially reported to be good, but later
studies demonstrated a less favorable prognosis. To assess the natural history of
this condition in this age group, we reviewed a large cohort of children who had
received minimal treatment for the disease. METHODS: The medical records and
radiographs of all children with Legg-Calve-Perthes disease seen at our
institution between 1944 and 2000 were reviewed. The cases of 172 patients with a
total of 188 affected hips were studied. The course of the disease and the final
clinical and radiographic outcomes were evaluated in all patients. RESULTS:
Typical Legg-Calve-Perthes disease was noted in 164 hips of 160 patients.
According to the lateral patellar classification, seven of these hips were in
group A; 101, in group B; twenty-seven, in the B/C border group; and twenty-nine,
in group C. According to the Stulberg classification, there were 131 Class-I or
II (good) results, fourteen Class-III (fair) results, and nineteen Class-IV
(poor) results. The lateral pillar classification was highly correlated with the
outcome, whereas treatment did not correlate with the outcome. Only lateral
pillar group-B/C border and C hips with an onset of the disease between the ages
of four years and five years and eleven months had a poor prognosis. We
unexpectedly encountered a group of twelve patients with bilateral, simultaneous
development of apparent Legg-Calve-Perthes disease. In that group, there were
twenty-one Stulberg Class-I or II (good), three Stulberg Class-III (fair), and no
Stulberg Class-IV (poor) results, although fourteen of the twenty-four hips were
in lateral pillar group C. The outcome in the hips in lateral pillar group C was
significantly better when the patient had bilateral simultaneous involvement than
when the patient had typical Legg-Calve-Perthes disease. CONCLUSIONS: The
prognosis for patients with the onset of Legg-Calve-Perthes disease before the
age of six years is favorable, with 80% having a good result. Only children
between the ages of four years and five years and eleven months with a B/C or C
lateral pillar classification of involvement have a less favorable prognosis.
Treatment was not found to influence outcome. Simultaneous bilateral disease,
which had a more favorable prognosis, may represent a unique disorder.
PMID- 18056505
TI - Relationship between varus-valgus alignment and patellar kinematics in
individuals with knee osteoarthritis.
AB - BACKGROUND: Abnormal varus-valgus alignment is a risk factor for patellofemoral
osteoarthritis, but tibiofemoral alignment alone does not explain compartmental
patellofemoral osteoarthritis progression. Other mechanical factors, such as
patellar kinematics, probably play a role in the initiation and progression of
the disease. The objective of this study was to determine which three-dimensional
patellar kinematic parameters (patellar flexion, spin, and tilt and patellar
proximal, lateral, and anterior translation) are associated with varus and valgus
alignment in subjects with osteoarthritis. METHODS: Ten individuals with knee
osteoarthritis and varus (five subjects) or valgus (five subjects) knee alignment
underwent assessment of three-dimensional patellar kinematics. We used a
validated magnetic resonance imaging-based method to measure three-dimensional
patellar kinematics in knee flexion while the subjects pushed against a pedal
with constant load (80 N). A linear random-effects model was used to test the
null hypothesis that there was no difference in the relationship between
tibiofemoral flexion and patellar kinematics between the varus and valgus groups.
RESULTS: Patellar spin was significantly different between groups (p = 0.0096),
with the varus group having 2 degrees of constant internal spin and the valgus
group having 4.5 degrees of constant external spin. In the varus group, the
patellae tracked with a constant medial tilt of 9.6 degrees with flexion, which
was significantly different (p = 0.0056) from the increasing medial tilt (at a
rate of 1.8 degrees per 10 degrees of increasing knee flexion) in the valgus
group. The patellae of the valgus group were 7.5 degrees more extended (p =
0.0093) and positioned 8.8 mm more proximally (p = 0.0155) than the varus group
through the range of flexion that was studied. The pattern of anterior
translation differed between the groups (p = 0.0011). CONCLUSIONS: Our results
suggest that authors of future large-scale studies of the relationships between
knee mechanics and patellofemoral osteoarthritis should not rely solely on
measurements of tibiofemoral alignment and should assess three-dimensional
patellar kinematics directly.
PMID- 18056506
TI - Crowned Dens syndrome.
AB - BACKGROUND: Patients with crowned dens syndrome typically present with severe
neck pain and have calcium deposits around the odontoid process of the axis on
radiographs. To our knowledge, the cases of only thirty-five patients have been
reported in the English-language literature and the clinical features remain
unclear. The purposes of this study were to examine the clinical features of
crowned dens syndrome, determine treatment outcomes, and propose diagnostic
criteria. METHODS: Forty patients with severe neck pain had calcium deposition
around the odontoid process on computed tomography scans, and they were thus
diagnosed as having crowned dens syndrome. Data were collected in relation to
these patients, including the date of onset of neck pain, the presence of
inflammatory indicators (increased body temperature, C-reactive protein levels,
and white blood-cell count), and treatment outcomes. RESULTS: The male-to-female
ratio was 0.6, and two-thirds of the patients were more than seventy years of
age. All patients had markedly restricted neck motion, particularly in rotation,
and all had one or more positive inflammatory indicators. Calcium deposition was
detected in all areas around the odontoid process, but chiefly behind the
process. Pain was typically relieved by nonsteroidal anti-inflammatory drugs,
prednisolone, or both. A combination of both appeared to be the most effective.
CONCLUSIONS: We believe that crowned dens syndrome is more common than previously
recognized, especially in elderly patients. It is diagnosed on the basis of acute
and severe neck pain; marked restriction of neck motion, particularly in
rotation; the presence of inflammatory indicators, such as an elevated C-reactive
protein level; calcium deposition around the odontoid process detected by
computed tomography; no history of trauma; and the exclusion of other
inflammatory diseases and tumors. Prednisolone and nonsteroidal anti-inflammatory
drugs in combination are the recommended treatment for symptom relief.
PMID- 18056507
TI - Skeletal age assessment from the olecranon for idiopathic scoliosis at Risser
grade 0.
AB - BACKGROUND: The main progression of idiopathic scoliosis occurs during peak
height growth velocity, which is between the ages of eleven and thirteen years in
girls and thirteen and fifteen years in boys and corresponds to the accelerating
phase of pubertal growth. The Risser sign remains at grade 0 during this stage of
growth. Triradiate cartilage closure occurs at approximately twelve years of age
in girls and fourteen years in boys, which is in the middle of this phase. In
addition to regular height measurements, a more detailed evaluation of skeletal
maturity would be desirable prior to the identification of Risser grade 1. From
the method of Sauvegrain et al., Dimeglio derived a simplified method based on
the radiographic appearance of the olecranon, which allows skeletal age to be
assessed in six-month intervals. The purpose of this study was to determine the
accuracy and the value of this simple method for the follow-up of patients with
scoliosis. METHODS: Five radiographic images demonstrate the typical
characteristics of the olecranon during pubertal growth: two ossification nuclei,
a half-moon image, a rectangular shape, the beginning of fusion, and complete
fusion. This classification method was evaluated by three experienced and
independent observers from lateral radiographs of the elbow in 100 boys and 100
girls with idiopathic scoliosis during the time of peak height velocity. Skeletal
ages were correlated with the integral Sauvegrain method. The degree of
interobserver concordance was determined, and skeletal age was compared with
chronological age and the time of triradiate cartilage closure. RESULTS: For the
three observers, the average concordance between the Sauvegrain and olecranon
methods was excellent (r = 0.977 for boys and r = 0.938 for girls). The
interobserver agreement was also excellent (r = 0.987 for the olecranon method
and r = 0.958 for the Sauvegrain method for boys, and r = 0.992 and r = 0.985,
respectively, for girls). Skeletal and chronological age were considered to
correspond to each other within a six-month range for 49% of the boys and 51% of
the girls, while 25% of the boys and 26% of the girls had an advanced skeletal
age and 26% of boys and 23% of girls had a delayed skeletal age. Triradiate
cartilage closure occurred at the same time as the appearance of the rectangular
shape of the olecranon in 65% of the boys and 61% of the girls, corresponding to
skeletal ages of fourteen and twelve years, respectively. In 91% of the boys and
88% of the girls, the triradiate cartilage fused within six months before to six
months after the appearance of the rectangular shape of the olecranon, which
occurred between the half-moon image and the beginning of fusion of the
olecranon. CONCLUSIONS: The method of assessing skeletal age from the olecranon
allows skeletal maturity to be evaluated in regular six-month intervals during
the phase of peak height velocity. This method is simple, precise, and reliable.
It complements the Risser grade-0 and the triradiate cartilage evaluation.
PMID- 18056508
TI - Biomechanical effects of kneeling after total knee arthroplasty.
AB - BACKGROUND: Kneeling following total knee arthroplasty can be a difficult task,
impairing the activities of patients to varying degrees. Little is known about
the biomechanical effects of kneeling following total knee replacement. The
objective of this study was to quantify the effects of kneeling on patellofemoral
joint contact areas and pressures, knee joint reaction force, and patellar
kinematics. METHODS: Total knee arthroplasties were performed on eight fresh
frozen cadaveric knees, and they were tested with use of a custom knee jig, which
permits the simulation of physiologic quadriceps loading as well as the
application of an anterior force to simulate kneeling. The knees were tested at
flexion angles of 90 degrees , 105 degrees , 120 degrees , and 135 degrees with
no anterior force (mimicking a squatting position) and with an anterior force
application simulating double-stance kneeling and single-stance kneeling.
Patellofemoral joint contact areas and pressures were measured with pressure
sensitive film, and the knee joint reaction force was measured with use of a six
degree-of-freedom load cell. Patellar kinematics were assessed with use of
digital photographs tracking fixed markers on the patella. RESULTS: Compared with
the condition without kneeling, both single-stance and double-stance kneeling
demonstrated significant increases in patellofemoral contact area (p < 0.05) and
pressure at all flexion angles (p < 0.05), with the exception of double-stance
kneeling at 135 degrees of flexion. The resultant knee joint -reaction force
increased with kneeling at all flexion angles. The compressive component of this
force increased with kneeling for most conditions, while the lateral component of
this force decreased significantly (p < 0.05) with kneeling only at 90 degrees ,
and the anterior component of this force increased significantly at all knee
flexion angles (p < 0.05). Overall, kneeling had minimal changes on patellar
tilt, with significant changes in patellar tilt seen only with kneeling at 120
degrees (p = 0.02 for double stance, and p = 0.03 for single stance).
CONCLUSIONS: The findings of this study suggest that kneeling at a higher flexion
angle (135 degrees ) after total knee arthroplasty has a smaller effect on
patellofemoral joint contact area and pressure than kneeling at lower flexion
angles ( 4 cups per day. Medication use and fasting glucose were assessed
at 0.5, 1, 1.5, 2.5, and 3.5 years. Risk was evaluated with Cox proportional
hazards with time-varying covariates. The main outcome measure was the cumulative
incidence of cardiovascular events (cardiovascular death, nonfatal myocardial
infarction, and nonfatal stroke). A total of 1167 cardiovascular events occurred
during 36,961 person-years of follow-up. After multivariable adjustment for
potential confounders in the time-dependent analysis, the relative risk of
cardiovascular events across categories of coffee consumption was 1.02 (95% CI
0.87 to 1.20) for < 2 cups per day, 0.91 (95% CI 0.75 to 1.09) for 2 to 4 cups
per day, and 0.88 (95% CI 0.64 to 1.20) for > 4 cups per day compared with
abstainers (P for trend=0.18). Ultimately, coffee consumption did not change the
risk of coronary heart disease events, stroke, and sudden death. CONCLUSIONS: No
association between moderate coffee intake and cardiovascular events was observed
in post-myocardial infarction patients.
PMID- 18056529
TI - Exploring the behavior of hospital composite performance measures: an example
from coronary artery bypass surgery.
AB - BACKGROUND: Composite scores that combine several performance measures into a
single ranking are becoming the accepted metric for assessing hospital
performance. In particular, the Centers for Medicare & Medicaid Services Hospital
Quality Incentive Demonstration (HQID) project bases financial rewards and
penalties on these scores. Although the HQID composite calculation is
straightforward and easily understood, its method of combining process and
outcome measures has not been validated. METHODS AND RESULTS: Using data on 530
hospitals from the Society of Thoracic Surgeons National Cardiac Database, we
replicated the HQID methodology with 6 nationally endorsed performance measures
(5 process measures plus survival) for coronary artery bypass surgery. Composite
scores were essentially determined by process measure performance alone; the
survival component explained only 4% of the composite score's total variance.
This result persisted even when the survival component was allowed a 5-fold
greater weighting in the composite summary. The popular "all-or-none" measurement
approach was also dominated by the process component. Substantial disagreement
was found among hospital rankings when several alternative methods were used; up
to 60% of hospitals eligible for the top financial reward under HQID would change
designation depending on the composite methodology used. The application of a
simple statistical adjustment (standardization) to each method would provide more
consistent results and a more balanced assessment of performance based on both
process and outcomes. CONCLUSIONS: Existing methods used to create composite
performance measures have remarkably different weighting of process versus
outcomes metrics and lead to highly divergent provider rankings. Simple
alternative methods can create more balanced process-outcome performance
assessments.
PMID- 18056528
TI - Rad GTPase deficiency leads to cardiac hypertrophy.
AB - BACKGROUND: Rad (Ras associated with diabetes) GTPase is the prototypic member of
a subfamily of Ras-related small G proteins. The aim of the present study was to
define whether Rad plays an important role in mediating cardiac hypertrophy.
METHODS AND RESULTS: We document for the first time that levels of Rad mRNA and
protein were decreased significantly in human failing hearts (n=10) compared with
normal hearts (n=3; P<0.01). Similarly, Rad expression was decreased
significantly in cardiac hypertrophy induced by pressure overload and in cultured
cardiomyocytes with hypertrophy induced by 10 micromol/L phenylephrine. Gain and
loss of Rad function in cardiomyocytes significantly inhibited and increased
phenylephrine-induced hypertrophy, respectively. In addition, activation of
calcium-calmodulin-dependent kinase II (CaMKII), a strong inducer of cardiac
hypertrophy, was significantly inhibited by Rad overexpression. Conversely,
downregulation of CaMKIIdelta by RNA interference technology attenuated the
phenylephrine-induced hypertrophic response in cardiomyocytes in which Rad was
also knocked down. To further elucidate the potential role of Rad in vivo, we
generated Rad-deficient mice and demonstrated that they were more susceptible to
cardiac hypertrophy associated with increased CaMKII phosphorylation than wild
type littermate controls. CONCLUSIONS: The present data document for the first
time that Rad is a novel mediator that inhibits cardiac hypertrophy through the
CaMKII pathway. The present study will have significant implications for
understanding the mechanisms of cardiac hypertrophy and setting the basis for the
development of new strategies for treatment of cardiac hypertrophy.
PMID- 18056530
TI - Progesterone regulates cardiac repolarization through a nongenomic pathway: an in
vitro patch-clamp and computational modeling study.
AB - BACKGROUND: Female sex is an independent risk factor for torsade de pointes in
long-QT syndrome. In women, QT interval and torsade de pointes risk fluctuate
dynamically during the menstrual cycle and pregnancy. Accumulating clinical
evidence suggests a role for progesterone; however, the effect of progesterone on
cardiac repolarization remains undetermined. METHODS AND RESULTS: We investigated
the effects of progesterone on action potential duration and membrane currents in
isolated guinea pig ventricular myocytes. Progesterone rapidly shortened action
potential duration, which was attributable mainly to enhancement of the slow
delayed rectifier K+ current (I(Ks)) under basal conditions and inhibition of L
type Ca2+ currents (I(Ca,L)) under cAMP-stimulated conditions. The effects of
progesterone were mediated by nitric oxide released via nongenomic activation of
endothelial nitric oxide synthase; this signal transduction likely takes place in
the caveolae because sucrose density gradient fractionation experiments showed
colocalization of the progesterone receptor c-Src, phosphoinositide 3-kinase,
Akt, and endothelial nitric oxide synthase with KCNQ1, KCNE1, and Ca(V)1.2 in the
caveolae fraction. We used computational single-cell and coupled-tissue action
potential models incorporating the effects of progesterone on I(Ks) and I(Ca,L);
the model reproduces the fluctuations of cardiac repolarization during the
menstrual cycle observed in women and predicts the protective effects of
progesterone against rhythm disturbances in congenital and drug-induced long-QT
syndrome. CONCLUSIONS: Our data show that progesterone modulates cardiac
repolarization by nitric oxide produced via a nongenomic pathway. A combination
of experimental and computational analyses of progesterone effects provides a
framework to understand complex fluctuations of QT interval and torsade de
pointes risks in various hormonal states in women.
PMID- 18056532
TI - Harmonising Cardiology Training Across Europe.
PMID- 18056531
TI - Direct left atrial pressure monitoring in ambulatory heart failure patients:
initial experience with a new permanent implantable device.
AB - BACKGROUND: We describe the first human experience with a permanently
implantable, direct left atrial pressure (LAP) monitoring system in ambulatory
patients with chronic heart failure. METHODS AND RESULTS: Eight patients with
established heart failure and at least 1 heart failure hospitalization or
unplanned visit for parenteral therapy in the last year underwent device
implantation under fluoroscopic guidance. All subjects received aspirin 150 mg
and clopidogrel 75 mg daily. Subjects measured LAP twice daily and attended a
clinic regularly for data upload and device calibration. Right heart
catheterization was performed at the time of device implantation and at 12 weeks.
The device was implanted in all subjects with no procedural complications. At the
12-week follow-up, 87% of device LAP measurements were within +/-5 mm Hg of
simultaneous pulmonary capillary wedge pressure readings over a wide range of
pressures (1.6 to 71 mm Hg). Net drift corrected by calibration was -0.2+/-1.9 mm
Hg/mo. During short-term follow-up, there were no device-related complications or
systemic emboli. There were no deaths, no unplanned heart failure clinic visits,
and no admissions for heart failure. CONCLUSIONS: Ambulatory monitoring of direct
LAP with a new implantable device was well tolerated, feasible, and accurate at a
short-term follow-up. Further follow-up and investigation are warranted to
evaluate the clinical utility of LAP monitoring in patients with heart failure.
PMID- 18056533
TI - Predominant, severe right ventricular outflow tract obstruction in hypertrophic
cardiomyopathy.
PMID- 18056534
TI - Letter by Ly regarding article, "Direct intramyocardial but not intracoronary
injection of bone marrow cells induces ventricular arrhythmias in a rat chronic
ischemic heart failure model".
PMID- 18056535
TI - Evaluating the optimal timing of angiography: landmark or off the mark?
PMID- 18056536
TI - Testosterone making an entry into the cardiometabolic world.
PMID- 18056537
TI - Quantifying diastolic function in hypertrophic cardiomyopathy: the ongoing search
for the holy grail.
PMID- 18056539
TI - Clinical use of electrocardiography in adults with congenital heart disease.
PMID- 18056538
TI - Sirolimus and cardiac transplantation: is it the "magic bullet"?
PMID- 18056540
TI - The effects of biological sex and diet on the development of heart failure.
PMID- 18056541
TI - The Water-lily pond--symphony in green.
PMID- 18056542
TI - Substantial genetic overlap between neurocognition and schizophrenia: genetic
modeling in twin samples.
AB - CONTEXT: The use of endophenotypes, biological traits that increase the liability
to a disorder, represents one strategy to facilitate the detection of
susceptibility genes for complex behavioral disorders such as schizophrenia.
Establishing that a candidate trait is both heritable and linked genetically to
schizophrenia is integral to its validity as an endophenotypic marker.
Neurocognitive deficits are among the most promising indicators of increased risk
for schizophrenia; however, it is not clear to what extent these deficits are
genetically linked to the disorder. OBJECTIVES: To quantify the genetic and
environmental contributions to the variability of selected neurocognitive
measures and to estimate the genetic relationship between these and
schizophrenia. DESIGN: Genetic model fitting to monozygotic and dizygotic twin
data. SETTING: United Kingdom psychiatric research institute. PARTICIPANTS: Two
hundred sixty-seven monozygotic and dizygotic twins concordant and discordant for
schizophrenia, and healthy monozygotic and dizygotic control twin pairs. MAIN
OUTCOME MEASURES: The heritabilities of intelligence, working memory, processing
speed, perceptual organization, and verbal comprehension were estimated, and the
genetic relationship between each of these and schizophrenia was quantified.
RESULTS: Genetic influences contributed substantially to all of the cognitive
domains, but intelligence and working memory were the most heritable. A
significant correlation was found between intelligence and schizophrenia (r =
0.61; 95% confidence interval, -0.71 to -0.48), with shared genetic variance
accounting for 92% of the covariance between the two. Genetic influences also
explained most of the covariance between working memory and schizophrenia.
Significant but lesser portions of covariance between the other cognitive domains
and schizophrenia were also found to be genetically shared. Environmental
effects, although separately linked to neurocognition and schizophrenia, did not
generally contribute to their covariance. CONCLUSION: Genomewide searches using
factorial designs stratifying for levels of intelligence and working memory will
assist in the search for finding quantitative trait loci for schizophrenia.
PMID- 18056543
TI - Limbic activation associated with misidentification of fearful faces and flat
affect in schizophrenia.
AB - CONTEXT: Deficits in emotion processing are prominent in schizophrenia, and flat
affect is resistant to treatment and portends poor outcome. Investigation of the
underlying neural circuitry can elucidate affective dysfunction. OBJECTIVE: To
examine the brain circuitry for facial emotion processing, dissecting response to
task demands from effects of the appearance of facial expressions. DESIGN: A
facial emotion identification task was presented during high-field (4-T) magnetic
resonance imaging. Blood oxygenation level-dependent changes were contrasted for
task compared with a scrambled face baseline (blocked analysis) and for the
appearance of each of the following 4 target expressions compared with neutral
faces (event related): happy, sad, anger, and fear. SETTING: Participants from
the Schizophrenia Research Center underwent a functional magnetic resonance
imaging study at the University of Pennsylvania Medical Center. PARTICIPANTS:
Patients with DSM-IV-defined schizophrenia (n = 16) and healthy controls (n = 17)
were recruited from the community. MAIN OUTCOME MEASURES: The percentage of
signal change for each contrast and performance and clinical symptom severity
ratings. RESULTS: Patients showed reduced limbic activation compared with
controls for the emotion identification task. However, event-related analysis
revealed that whereas in controls greater amygdala activation was associated with
correct identifications of threat-related (anger and fear) expressions, patients
showed the opposite effect of greater limbic activation, portending
misidentifications. Furthermore, greater amygdala activation to the presentation
of fearful faces was highly correlated with greater severity of flat affect.
CONCLUSIONS: Abnormal amygdala activation in schizophrenia in response to
presentation of fearful faces is paradoxically associated with failure to
recognize the emotion and with more severe flat affect. This finding suggests
that flat affect in schizophrenia relates to overstimulation of the limbic
system.
PMID- 18056544
TI - Risk of malignancy in patients with schizophrenia or bipolar disorder: nested
case-control study.
AB - CONTEXT: There is conflicting evidence on whether people with schizophrenia have
a different risk of cancer from that of the general population. OBJECTIVE: To
determine the risk of 6 common cancers in patients with schizophrenia or bipolar
disorder. DESIGN: Population-based, nested, case-control study. SETTING: A total
of 454 practices contributing to the QRESEARCH general practice database.
PARTICIPANTS: We analyzed 40,441 incident cases of 6 cancers (breast, colon,
rectal, gastroesophageal, prostate, and respiratory) and up to 5 controls per
case matched by single year of age, sex, general practice, and calendar time.
MAIN OUTCOME MEASURES: Odds ratios (ORs) for cancer risk associated with
schizophrenia and bipolar disorder, adjusting for smoking, body mass index,
socioeconomic status, comorbidities, and prescribed medications, including
antipsychotics. RESULTS: For breast cancer, we identified 10,535/50,074
cases/controls; colon cancer, 5108/24,458; rectal cancer, 3248/15,552;
gastroesophageal cancer, 3854/18,477; prostate cancer, 10,190/48,748; and
respiratory cancer, 7506/35,981. After adjustment, patients with schizophrenia
had a 190% increased colon cancer risk (adjusted OR, 2.90; 95% confidence
interval [CI], 1.85-4.57), a marginal increased breast cancer risk (adjusted OR,
1.52; 95% CI, 1.10-2.11), and a 47% decreased respiratory cancer risk (adjusted
OR, 0.53, 95% CI, 0.34-0.85). Patients with schizophrenia taking antipsychotics
had a 308% increased colon cancer risk (adjusted OR, 4.08; 95% CI, 2.43-6.84).
Patients with bipolar disorder had cancer risks similar to patients with neither
condition after adjustment. CONCLUSIONS: Patients with schizophrenia have a
significantly higher risk of colon cancer and a lower risk of respiratory cancer
compared with patients without schizophrenia after adjustment for confounders. In
contrast, the risks of cancer in patients with and without bipolar disorder are
similar, suggesting that residual confounding is unlikely to explain the
findings. The increased risk of colon cancer is particularly marked in patients
with schizophrenia who take antipsychotic medications.
PMID- 18056545
TI - High income, employment, postgraduate education, and marriage: a suicidal
cocktail among psychiatric patients.
AB - CONTEXT: Studies dating back over 100 years have shown that the risk of suicide
in the general population is associated with low income, unemployment,
educational underachievement, and singleness. However, little is known about the
association between suicide risk and these factors in psychiatric patients.
OBJECTIVE: To estimate the association between suicide risk, socioeconomic
position, and marital status in psychiatric patients. DESIGN, SETTING, AND
PATIENTS: Population-based cohort study of all first-ever psychiatric patients
aged 16 to 65 years admitted from 1981 to 1998, with administrative longitudinal
data on income, labor market affiliation, educational attainment, and marital and
cohabitational status (96,369 patients, 256,619 admissions, and 2727 suicides).
MAIN OUTCOME MEASURES: Suicide risks after hospital discharge were depicted using
Kaplan-Meier product-limit methods. Hazard ratios (HRs) for suicide from Cox
proportional hazards regression and case-crossover/individually stratified
analyses were calculated while adjusting for overall social drift. RESULTS: Using
Cox proportional hazards regression, compared with patients in the highest income
quartile, the suicide HR decreased from 0.90 (95% confidence interval [CI], 0.79
1.02) in the third lowest to 0.83 (95% CI, 0.73-0.93) in the second lowest and to
0.68 (95% CI, 0.61-0.76) in the lowest income group. Compared with the fully
employed, the HR for unemployed patients was 0.85 (95% CI, 0.77-0.93); for social
benefits' recipients, 0.58 (95% CI, 0.48-0.70); and for disability or age
pensioners, 0.63 (95% CI, 0.55-0.71). Compared with postgraduate education, HRs
(95% CIs) associated with a bachelor's degree, vocational school, or primary
school education were 0.82 (0.67-1.02), 0.66 (0.55-0.80), and 0.54 (0.44-0.65),
respectively. The HRs (95% CIs) for widowed, divorced, and never-married patients
were 1.07 (0.89-1.30), 0.74 (0.66-0.84), and 0.88 (0.79-0.98), respectively.
Using individually stratified analyses, HRs (95% CIs) for transition into the
third lowest, the second lowest, and the lowest income quartile were 1.19 (0.76
1.86), 1.47 (0.92-2.34), and 1.84 (1.14-2.97), respectively. The HRs (95% CIs)
for patients who became unemployed, social benefits' recipients, disability or
age pensioners, widowed patients, and divorced patients were 1.41 (1.01-1.95),
1.73 (1.06-2.80), 1.45 (0.91-2.30), 2.59 (0.76-8.89), and 1.86 (1.07-3.21),
respectively. CONCLUSIONS: Suicide risk is generally associated with low income,
unemployment, educational underachievement, and singleness, but this study
suggests that the opposite is true among psychiatric patients. However, loss of
income, labor market status, and marriage increase the suicide risk.
PMID- 18056546
TI - Increased risk of depressive and anxiety disorders in relatives of patients with
Parkinson disease.
AB - CONTEXT: Relatives of patients with Parkinson disease (PD) have an increased risk
of PD and other neurologic disorders; however, their risk of psychiatric
disorders remains uncertain. OBJECTIVE: To study the risk of depressive disorders
and anxiety disorders among first-degree relatives of patients with PD compared
with first-degree relatives of controls. DESIGN, SETTING, AND PARTICIPANTS: In a
population-based, historical cohort study, we included 1000 first-degree
relatives of 162 patients with PD and 850 first-degree relatives of 147 controls.
Both patients with PD and controls were representative of the population of
Olmsted County, Minnesota. MAIN OUTCOME MEASURES: Documentation of psychiatric
disorders was obtained for each relative separately through a combination of
telephone interviews with the relatives (or their proxies) and review of their
medical records from a records-linkage system (family study method). Psychiatric
disorders were defined using clinical criteria from the DSM-IV or routine
diagnoses. RESULTS: We found an increased risk of several psychiatric disorders
in first-degree relatives of patients with PD compared with first-degree
relatives of controls (hazard ratio [HR], 1.54; 95% confidence interval [CI],
1.21-1.95; P <.001). In particular, we found an increased risk of depressive
disorders (HR, 1.45; 95% CI, 1.11-1.89; P = .006) and anxiety disorders (HR,
1.55; 95% CI, 1.05-2.28; P = .03). The results were consistent in analyses that
adjusted for type of interview, excluded relatives who developed parkinsonism, or
excluded relatives who developed both a depressive disorder and an anxiety
disorder. CONCLUSION: These findings suggest that depressive disorders and
anxiety disorders may share familial susceptibility factors with PD.
PMID- 18056547
TI - Emotional vitality and incident coronary heart disease: benefits of healthy
psychological functioning.
AB - CONTEXT: The potentially toxic effects of psychopathology and poorly regulated
emotion on physical health have long been considered, but less work has addressed
whether healthy psychological functioning may also benefit physical health.
Emotional vitality--characterized by a sense of energy, positive well-being, and
effective emotion regulation--has been hypothesized to reduce risk of heart
disease, but no studies have examined this relationship. OBJECTIVES: To examine
whether emotional vitality is associated with reduced risk of coronary heart
disease (CHD). Secondary aims are to consider whether effects are independent of
negative emotion and how they may occur. DESIGN: A prospective population-based
cohort study. SETTING: National Health and Nutrition Examination Survey I and
follow-up studies (a probability sample of US adults). PARTICIPANTS: Six thousand
twenty-five men and women aged 25 to 74 years without CHD at baseline, followed
up for a mean 15 years after the baseline interview. MAIN OUTCOME MEASURES:
Measures of incident CHD were obtained from hospital records and death
certificates. During the follow-up period, 1141 cases of incident CHD occurred.
RESULTS: At the baseline interview (1971-1975), participants completed the
General Well-being Schedule from which we derived a measure of emotional
vitality. Compared with individuals with low levels, those reporting high levels
of emotional vitality had multivariate-adjusted relative risks of 0.81 (95%
confidence interval, 0.69-0.94) for CHD. A dose-response relationship was evident
(P < .001). Significant associations were also found for each individual
emotional vitality component with CHD, but findings with the overall emotional
vitality measure were more reliable. Further analyses suggested that one way in
which emotional vitality may influence coronary health is via health behaviors.
However, the effect remained significant after controlling for health behaviors
and other potential confounders, including depressive symptoms or other
psychological problems. CONCLUSION: Emotional vitality may protect against risk
of CHD in men and women.
PMID- 18056548
TI - Intrauterine hormonal environment and risk of developing anorexia nervosa.
AB - CONTEXT: Anorexia nervosa (AN) is approximately 10 times more common in females
than in males. The reasons for this difference are not yet understood. Several
mechanisms have been hypothesized as possible causes. OBJECTIVE: To determine
whether the different hormonal environments to which male and female fetuses are
exposed in utero might contribute to the increased risk of developing AN in
females. DESIGN, SETTING, AND PARTICIPANTS: The study is based on a large
population-based cohort of Swedish twins. The strategy used is to compare the
prevalence for AN between same-sex and opposite-sex twins. RESULTS: The study
shows that the risk of developing AN in female twins is higher than in male
twins, as expected. The only exception is male members of opposite-sex pairs, who
have a higher risk of developing the illness when compared with other males (P =
.62 for narrow diagnostic criteria and P = .60 for broad diagnostic criteria). In
fact, their risk is at a level that is not statistically significantly different
from that of females from such a pair. A plausible explanation for this
phenomenon is that in pregnancies bearing a female fetus, a substance is
produced, probably hormonal, that increases the risk of having AN in adulthood.
Because the male half of an opposite-sex twin pair would also be exposed to this
substance, it could account for the observed elevated risk in males with female
twins. The most likely candidates are sex steroid hormones. CONCLUSIONS: The
results of our study are compatible with the hypothesis that intrauterine
exposure to sex hormones might influence neurodevelopment, affecting the risk of
developing AN in adult life. This might be a factor contributing to the higher
risk of developing AN in females.
PMID- 18056549
TI - Changes in genetic and environmental influences on disordered eating across
adolescence: a longitudinal twin study.
AB - CONTEXT: Previous research suggests substantial increases in genetic effects on
disordered eating across adolescence. Unfortunately, these studies were cross
sectional and focused primarily on early (age 11 years) vs late (age 17 years)
adolescence. OBJECTIVE: To examine longitudinal changes in genetic and
environmental influences on disordered eating across early, mid, and late
adolescence. DESIGN AND SETTING: Population-based study of female same-sex twins.
PARTICIPANTS: Seven hundred seventy-two female adolescent twins from the
Minnesota Twin Family Study assessed at ages 11, 14, and 18 years. MAIN OUTCOME
MEASURES: Disordered eating symptoms (ie, body dissatisfaction, weight
preoccupation, binge eating, and the use of compensatory behaviors) were assessed
with the total score from the Minnesota Eating Behavior Survey. RESULTS:
Biometric model-fitting indicated significant changes in genetic and shared
environmental effects across early to mid adolescence. Although genetic factors
accounted for a negligible proportion (6%) of variance at age 11 years, genes
increased in importance and accounted for roughly half of the variance (46%) in
disordered eating at ages 14 and 18 years. Shared environmental influences
decreased substantially across these same ages. CONCLUSIONS: Findings highlight
the transition from early to mid adolescence as a critical time for the emergence
of a genetic diathesis for disordered eating. The increase in genetic effects
during this developmental stage corroborates previous research implicating
puberty in the genetic etiology of eating disorders.
PMID- 18056550
TI - Visual information processing of faces in body dysmorphic disorder.
AB - CONTEXT: Body dysmorphic disorder (BDD) is a severe psychiatric condition in
which individuals are preoccupied with perceived appearance defects. Clinical
observation suggests that patients with BDD focus on details of their appearance
at the expense of configural elements. This study examines abnormalities in
visual information processing in BDD that may underlie clinical symptoms.
OBJECTIVE: To determine whether patients with BDD have abnormal patterns of brain
activation when visually processing others' faces with high, low, or normal
spatial frequency information. DESIGN: Case-control study. SETTING: University
hospital. PARTICIPANTS: Twelve right-handed, medication-free subjects with BDD
and 13 control subjects matched by age, sex, and educational achievement.
Intervention Functional magnetic resonance imaging while performing matching
tasks of face stimuli. Stimuli were neutral-expression photographs of others'
faces that were unaltered, altered to include only high spatial frequency visual
information, or altered to include only low spatial frequency visual information.
MAIN OUTCOME MEASURE: Blood oxygen level-dependent functional magnetic resonance
imaging signal changes in the BDD and control groups during tasks with each
stimulus type. RESULTS: Subjects with BDD showed greater left hemisphere activity
relative to controls, particularly in lateral prefrontal cortex and lateral
temporal lobe regions for all face tasks (and dorsal anterior cingulate activity
for the low spatial frequency task). Controls recruited left-sided prefrontal and
dorsal anterior cingulate activity only for the high spatial frequency task.
CONCLUSIONS: Subjects with BDD demonstrate fundamental differences from controls
in visually processing others' faces. The predominance of left-sided activity for
low spatial frequency and normal faces suggests detail encoding and analysis
rather than holistic processing, a pattern evident in controls only for high
spatial frequency faces. These abnormalities may be associated with apparent
perceptual distortions in patients with BDD. The fact that these findings
occurred while subjects viewed others' faces suggests differences in visual
processing beyond distortions of their own appearance.
PMID- 18056551
TI - Exposure to hurricane-related stressors and mental illness after Hurricane
Katrina.
AB - CONTEXT: Uncertainty exists about the prevalence, severity, and correlates of
mental disorders among people exposed to Hurricane Katrina. OBJECTIVE: To
estimate the prevalence and associations between DSM-IV anxiety-mood disorders
and hurricane-related stressors separately among prehurricane residents of the
New Orleans metropolitan area and the remainder of the areas in Alabama,
Louisiana, and Mississippi affected by Katrina. DESIGN: Community survey. SETTING
AND PARTICIPANTS: A probability sample of 1043 English-speaking prehurricane
residents of the areas affected by Hurricane Katrina was administered via
telephone survey between January 19 and March 31, 2006. The survey assessed
hurricane-related stressors and screened for 30-day DSM-IV anxiety-mood
disorders. MAIN OUTCOME MEASURES: The K6 screening scale of anxiety-mood
disorders and the Trauma Screening Questionnaire scale for posttraumatic stress
disorder (PTSD), both calibrated against blinded structured clinical reappraisal
interviews to approximate the 30-day prevalence of DSM-IV disorders. RESULTS:
Prehurricane residents of the New Orleans metropolitan area were estimated to
have a 49.1% 30-day prevalence of any DSM-IV anxiety-mood disorder (30.3%
estimated prevalence of PTSD) compared with 26.4% (12.5% PTSD) in the remainder
of the sample. The vast majority of respondents reported exposure to hurricane
related stressors. Extent of stressor exposure was more strongly related to the
outcomes in the New Orleans metropolitan area subsample than the remainder of the
sample. The stressors most strongly related to these outcomes were physical
illness/injury and physical adversity in the New Orleans metropolitan area
subsample and property loss in the remainder of the sample. Sociodemographic
correlates were not explained either by differential exposure or reactivity to
hurricane-related stressors. CONCLUSIONS: The high prevalence of DSM-IV anxiety
mood disorders, the strong associations of hurricane-related stressors with these
outcomes, and the independence of sociodemographics from stressors argue that the
practical problems associated with ongoing stressors are widespread and must be
addressed to reduce the prevalence of mental disorders in this population.
PMID- 18056552
TI - Incidence of drug problems in young adults exposed to trauma and posttraumatic
stress disorder: do early life experiences and predispositions matter?
AB - CONTEXT: Most estimated associations of posttraumatic stress disorder (PTSD) with
DSM-IV drug dependence and abuse are from cross-sectional studies or from
prospective studies of adults that generally do not take into account suspected
causal determinants measured in early childhood. OBJECTIVE: To estimate risk for
incident drug disorders associated with prior DSM-IV PTSD. DESIGN: Multiwave
longitudinal study of an epidemiologic sample of young adults first assessed at
entry to first grade of primary school in the fall semesters of 1985 and 1986,
with 2 young adult follow-up assessments. SETTING: Mid-Atlantic US urban
community. PARTICIPANTS: Young adults (n = 988; aged 19-24 years) free of
clinical features of DSM-IV drug use disorders at the first young adult
assessment and therefore at risk for newly incident drug use disorders during the
1-year follow-up period. MAIN OUTCOME MEASURES: During the 12-month interval
between the 2 young adult follow-up assessments, newly incident (1) DSM-IV drug
abuse or dependence; (2) DSM-IV drug abuse; (3) DSM-IV drug dependence; and (4)
emerging dependence problems (1 or 2 newly incident clinical features of DSM-IV
drug dependence), among subjects with no prior clinical features of drug use
disorders. RESULTS: Prior PTSD (but not trauma only) was associated with excess
risk for drug abuse or dependence (adjusted relative risk, 4.9; 95% confidence
interval, 1.6-15.2) and emerging dependence problems (adjusted relative risk,
4.9; 95% confidence interval, 1.2-20.1) compared with the no-trauma group
controlling for childhood factors. Subjects with PTSD also had a greater adjusted
relative risk for drug abuse or dependence compared with subjects exposed to
trauma only (adjusted relative risk, 2.0; 95% confidence interval, 1.1-3.8)
controlling for childhood factors. CONCLUSIONS: Association of PTSD with
subsequent incident drug use disorders remained substantial after statistical
adjustment for early life experiences and predispositions reported in previous
studies as carrying elevated risk for both disorders. Posttraumatic stress
disorder might be a causal determinant of drug use disorders, possibly
representing complications such as attempts to self-medicate troubling trauma
associated memories, nightmares, or painful hyperarousal symptoms.
PMID- 18056554
TI - Is psychosocial management effective?
PMID- 18056553
TI - Clinical prediction of Alzheimer disease dementia across the spectrum of mild
cognitive impairment.
AB - OBJECTIVE: To determine whether clinical assessment methods that grade the
severity of impairments within the spectrum of mild cognitive impairment (MCI)
can predict clinical course, particularly among very mildly impaired individuals
who do not meet formal MCI criteria as implemented in clinical trials. DESIGN:
Cohort. SETTING: Community volunteers. PARTICIPANTS: From a longitudinal study of
normal (Clinical Dementia Rating [CDR] = 0; n = 77) and mildly impaired (CDR =
0.5; n = 167) participants with 5 or more annual clinical assessments, baseline
level of cognitive impairment in daily life was graded using CDR sum of boxes
(CDR-SB) and level of cognitive performance impairment was graded using
neuropsychological test scores. MAIN OUTCOME MEASURES: Five-year outcome measures
included (1) probable Alzheimer disease (AD) diagnosis and (2) clinical "decline"
(CDR-SB increase > or = 1.0). Logistic regression models were used to assess the
ability of baseline measures to predict outcomes in the full sample and
separately in the subjects who did not meet formal MCI criteria as implemented in
a multicenter clinical trial (n = 125; "very mild cognitive impairment" [vMCI]).
RESULTS: The presence of both higher CDR-SB and lower verbal memory and executive
function at baseline predicted greater likelihood of probable AD and decline.
Five-year rates of probable AD and decline in vMCI (20%, AD; 49%, decline) were
intermediate between normal participants (0%, AD; 28%, decline) and participants
with MCI (41%, AD; 62%, decline). Within vMCI, likelihood of probable AD was
predicted by higher CDR-SB and lower executive function. CONCLUSIONS: Even in
very mildly impaired individuals who do not meet strict MCI criteria as
implemented in clinical trials, the degree of cognitive impairment in daily life
and performance on neuropsychological testing predict likelihood of an AD
diagnosis within 5 years. The clinical determination of relative severity of
impairment along the spectrum of MCI may be valuable for trials of putative
disease-modifying compounds, particularly as target populations are broadened to
include less impaired individuals.
PMID- 18056555
TI - Trauma in childhood.
PMID- 18056556
TI - Efficacy of a parent-based sexual-risk prevention program for African American
preadolescents: a randomized controlled trial.
AB - OBJECTIVE: To evaluate the efficacy of a parent-based sexual-risk prevention
program for African American preadolescents. DESIGN: Randomized controlled trial.
SETTING: Community-based study conducted in Athens, Georgia; Atlanta, Georgia;
and Little Rock, Arkansas from 2001 to 2004. PARTICIPANTS: From 1545 inquiries,
1115 African American parent-preadolescent dyads (child, aged 9-12 years) formed
the analytic sample. INTERVENTION: Participants were randomized into 1 of 3 study
arms: enhanced communication intervention (five 2 1/2-hour sessions), single
session communication intervention (one 2 1/2-hour session), and general health
intervention (control, one 2 1/2-hour session). OUTCOME MEASURES: Continuous
measures of parent-preadolescent sexual communication and parental responsiveness
to sex-related questions at preintervention, postintervention, and at 6- and 12
month follow-ups; and dichotomous measure of preadolescent sexual risk (having
engaged in or intending to engage in sexual intercourse at 12-month follow-up).
RESULTS: Using intent-to-treat participants, differences of mean change from
baseline for continuous measures and relative risk for the dichotomous measure of
sexual risk were calculated. Participants in the enhanced intervention had higher
mean changes from baseline scores, indicating more sexual communication and
responsiveness to sexual communication at each assessment after intervention for
all continuous measures than those in the control intervention and single-session
intervention. Preadolescents whose parents attended all 5 sessions of the
enhanced intervention had a likelihood of sexual risk at the 12-month follow-up
of less than 1.00 relative to those whose parents attended the control (relative
risk, 0.65; 95% confidence interval, 0.41-1.03) and single-session (relative
risk, 0.62; 95% confidence interval, 0.40-0.97) interventions. CONCLUSIONS: These
results provide preliminary evidence for the efficacy of a parenting program
designed to teach sexual communication skills to prevent sexual risk in
preadolescents. TRIAL REGISTRATION; clinicaltrials.gov Identifier: NCT00137943.
PMID- 18056557
TI - Reduction in human immunodeficiency virus risk among youth in developing
countries.
AB - OBJECTIVE: To address the 6-month efficacy of a human immunodeficiency virus
(HIV) prevention intervention targeted to youth and delivered with and without a
parental monitoring intervention in a developing country (the Bahamas). DESIGN:
Randomized, controlled, 3-cell intervention trial with a 6-month postintervention
follow-up. SETTING: Elementary schools in the Bahamas. PARTICIPANTS: A total of
1282 Bahamian sixth-grade students (and 1175 parents) in 15 schools.
INTERVENTIONS: Youth and parents were randomized at the level of the school to
receive the following interventions: (1) Focus on Youth in the Caribbean (FOYC)
plus Caribbean Informed Parents and Children Together (CImPACT), (2) FOYC plus an
attention control for parents (Goal for It [GFI]), or (3) an attention control
for the youth (Wonderous Wetlands [WW]) plus the GFI. The 10-session FOYC or WW
curriculum was delivered as part of the elementary school curriculum. The GFI or
CImPACT was delivered to parents in the evenings or on weekends. MAIN OUTCOME
MEASURES: Risk and protective knowledge, condom use skills, perceptions,
interventions, and self-reported behaviors. RESULTS: Compared with the WW, the
FOYC significantly increased knowledge, condom use skills, protective
perceptions, and intentions to engage in safer behaviors. Among youth, no
differences were found in knowledge or condom use skills based on parent
intervention; among parents, those receiving the CImPACT demonstrated superior
condom use skills after the intervention. CONCLUSIONS: Protective knowledge,
skills, perceptions, and intentions of youth from 1 developing country can be
significantly improved by youth intervention delivered through the schools.
Longer follow-up is needed to determine if risk behaviors will be reduced and how
long protective results will be sustained.
PMID- 18056558
TI - Effect of honey, dextromethorphan, and no treatment on nocturnal cough and sleep
quality for coughing children and their parents.
AB - OBJECTIVES: To compare the effects of a single nocturnal dose of buckwheat honey
or honey-flavored dextromethorphan (DM) with no treatment on nocturnal cough and
sleep difficulty associated with childhood upper respiratory tract infections.
DESIGN: A survey was administered to parents on 2 consecutive days, first on the
day of presentation when no medication had been given the prior evening and then
the next day when honey, honey-flavored DM, or no treatment had been given prior
to bedtime according to a partially double-blinded randomization scheme. SETTING:
A single, outpatient, general pediatric practice. PARTICIPANTS: One hundred five
children aged 2 to 18 years with upper respiratory tract infections, nocturnal
symptoms, and illness duration of 7 days or less. INTERVENTION: A single dose of
buckwheat honey, honey-flavored DM, or no treatment administered 30 minutes prior
to bedtime. MAIN OUTCOME MEASURES: Cough frequency, cough severity, bothersome
nature of cough, and child and parent sleep quality. RESULTS: Significant
differences in symptom improvement were detected between treatment groups, with
honey consistently scoring the best and no treatment scoring the worst. In paired
comparisons, honey was significantly superior to no treatment for cough frequency
and the combined score, but DM was not better than no treatment for any outcome.
Comparison of honey with DM revealed no significant differences. CONCLUSIONS: In
a comparison of honey, DM, and no treatment, parents rated honey most favorably
for symptomatic relief of their child's nocturnal cough and sleep difficulty due
to upper respiratory tract infection. Honey may be a preferable treatment for the
cough and sleep difficulty associated with childhood upper respiratory tract
infection. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT00127686.
PMID- 18056559
TI - The effect of honey on nocturnal cough and sleep quality for children and their
parents.
PMID- 18056560
TI - Accuracy of adolescent self-report of height and weight in assessing overweight
status: a literature review.
AB - OBJECTIVE: To examine the accuracy of self-reported height and weight data to
classify adolescent overweight status. Self-reported height and weight are
commonly used with minimal consideration of accuracy. DATA SOURCES: Eleven
studies (4 nationally representative, 7 convenience sample or locally based).
STUDY SELECTION: Peer-reviewed articles of studies conducted in the United States
that compared self-reported and directly measured height, weight, and/or body
mass index data to classify overweight among adolescents. MAIN EXPOSURES: Self
reported and directly measured height and weight. MAIN OUTCOME MEASURES:
Overweight prevalence; missing data, bias, and accuracy. RESULTS: Studies varied
in examination of bias. Sensitivity of self-reported data for classification of
overweight ranged from 55% to 76% (4 of 4 studies). Overweight prevalence was
0.4% to -17.7% lower when body mass index was based on self-reported data vs
directly measured data (5 of 5 studies). Females underestimated weight more than
males (ranges, -4.0 to -1.0 kg vs -2.6 to 1.5 kg, respectively) (9 of 9 studies);
overweight individuals underestimated weight more than nonoverweight individuals
(6 of 6 studies). Missing self-reported data ranged from 0% to 23% (9 of 9
studies). There was inadequate information on bias by age and race/ethnicity.
CONCLUSIONS: Self-reported data are valuable if the only source of data. However,
self-reported data underestimate overweight prevalence and there is bias by sex
and weight status. Lower sensitivities of self-reported data indicate that one
fourth to one-half of those overweight would be missed. Other potential biases in
self-reported data, such as across subgroups, need further clarification. The
feasibility of collecting directly measured height and weight data on a
state/community level should be explored because directly measured data are more
accurate.
PMID- 18056561
TI - Health care utilization for pneumonia in young children after routine
pneumococcal conjugate vaccine use in the United States.
AB - OBJECTIVE: To estimate the effect of 7-valent pneumococcal conjugate vaccine
(PCV7) on rates of pneumonia-related health care utilization and costs in
children younger than 2 years. DESIGN: Retrospective population study. SETTING:
Approximately 40 large employers each year, from 1997 to 2004. PARTICIPANTS:
Enrollees in the MarketScan databases collected by Thomson Medstat. MAIN
EXPOSURE: Pneumococcal conjugate vaccine immunization program. MAIN OUTCOME
MEASURES: Rates of International Classification of Diseases, Ninth Revision-coded
hospitalizations and ambulatory visits due to all-cause and pneumococcal
pneumonia and their medical expenditures. RESULTS: Comparing the rates in 2004
with those in the baseline period of 1997 to 1999 among children younger than 2
years, hospitalizations due to all-cause pneumonia declined from 11.5 to 5.5 per
1000 children (52.4% decline; P < .001) and ambulatory visits due to all-cause
pneumonia declined from 99.3 to 58.5 per 1000 children (41.1% decline; P < .001).
Rates of hospitalizations due to pneumococcal pneumonia declined from 0.6 to 0.3
per 1000 children (57.6% decline; P < .001) and rates of ambulatory visits
declined from 1.7 to 0.9 per 1000 children (46.9% decline; P < .001). Projecting
from these data to the US population, the total estimated direct medical
expenditures for all-cause pneumonia-related hospitalizations and ambulatory
visits in young children were reduced from an annual average of $688.2 million
during the period of 1997 to 1999 to $376.7 million in 2004 (45.3% decline and
approximately $310 million decrease). CONCLUSIONS: In children younger than 2
years, the age group targeted for vaccination, pneumonia-related health care
utilization in a privately insured population declined substantially following
PCV7 introduction. These results suggest that PCV7 may play an important role in
reducing the burden of pneumonia, resulting in major savings in medical care
cost.
PMID- 18056562
TI - Discussion of illness during well-child care visits with parents of children with
and without special health care needs.
AB - OBJECTIVES: To compare parents of children with special health care needs (CSHCN)
with other parents to determine parents' expectations and priorities for
discussing concerns related to a child's acute or chronic illness at well-child
care visits, the association of unmet expectations and priorities with
satisfaction, and whether discussing illness displaces prevention topics. DESIGN:
Written, self-administered survey of parents at well-child care visits. SETTING:
Two community-based pediatric practices in suburban southeast Michigan.
PARTICIPANTS: Five hundred parents with children aged 6 months to 12 years. MAIN
EXPOSURE: Having a special health care need. MAIN OUTCOME MEASURES: Expectations
and priorities for discussing illness-related topics (chronic and acute
illnesses, medications, specialist referrals, and effects of health on life
overall), actual discussion regarding illness and preventive topics, and
satisfaction. RESULTS: Compared with parents of children without chronic
conditions, parents of CSHCN were more likely to expect to discuss their child's
illness (81% vs 92%, respectively; P < .001); 79% of parents of CSHCN ranked
illness among their top 3 priorities (vs 53% of other parents [P < .001]).
Parents of CSHCN reported discussing a mean of 3.2 illness topics, as compared
with a mean of 2.2 illness topics for other parents (P < .001). Having more than
1 unmet expectation for discussing illness was associated with higher odds of
lower satisfaction (for parents of CSHCN: odds ratio, 7.2; 95% confidence
interval, 2.9-18.3; for other parents: odds ratio, 3.0; 95% confidence interval,
1.7-5.5). Discussing more illness topics was associated with discussing more
preventive topics (P < .001). CONCLUSIONS: Discussing illness is frequently
expected and highly prioritized at well-child care visits, particularly for
parents of CSHCN. Unmet expectations are associated with lower satisfaction.
Incorporating illness concerns at well-child care visits may improve chronic
disease management.
PMID- 18056563
TI - Hepatitis B vaccination and the risk of childhood-onset multiple sclerosis.
AB - OBJECTIVE: To investigate whether vaccination against hepatitis B (HB) increases
the risk of incident multiple sclerosis (MS) in childhood in the short and long
terms. DESIGN: Case-control study. SETTING: Population-based study conducted in
France from January 1, 1994, to December 31, 2003. PARTICIPANTS: The case
patients had incident MS with onset before age 16 years. Each case was
individually matched for age, sex, and geographic location (current place of
residence) to 12 control participants randomly selected from the general
population of France. EXPOSURE: Hepatitis B vaccine. MAIN OUTCOME MEASURE: The
risk of MS associated with HB vaccine exposure. RESULTS: One hundred forty-three
case patients with MS were matched to 1122 control participants. The rate of HB
vaccination in the 3 years before the index date was approximately 32% for both
cases and controls. Vaccination against HB within the 3-year study period was not
associated with an increased rate of a first episode of MS (adjusted odds ratio,
1.03; 95% confidence interval, 0.62-1.69). The rate was also not increased for HB
vaccination within 6 months of the index date or at any time since birth or as a
function of the number of injections or the brand of HB vaccine. CONCLUSION:
Vaccination against HB does not seem to increase the risk of a first episode of
MS in childhood.
PMID- 18056564
TI - Growth of the corpus callosum in adolescents born preterm.
AB - OBJECTIVE: To examine the growth of the corpus callosum between adolescence and
early adulthood in individuals who were born before 33 weeks' gestation (very
preterm [VPT]) and its relation to neuropsychological function. DESIGN: A
longitudinal cohort study of VPT individuals born between January 4, 1982, and
December 29, 1984, and a term-born comparison group. SETTING: A long-term follow
up study into perinatal predictors of outcome after preterm birth at University
College Hospital, London. PARTICIPANTS: A total of 72 VPT and 34 term-born
individuals were assessed in adolescence (aged 15 years) and in early adulthood
(aged 19 years). Adult assessments took place between June 6, 2002, and October
23, 2004. MAIN EXPOSURE: Birth before 33 weeks' gestation. OUTCOME MEASURE: The
cross-sectional area of 4 segments of the corpus callosum, measured on the
midsagittal slice of high-resolution structural magnetic resonance images in
adolescence and young adulthood. RESULTS: Total corpus callosum size increased in
term and VPT groups, but growth was much greater in the VPT group (13.4% in the
VPT group vs 3.3% in the term group). There were significant associations between
adult performance IQ and growth of anterior (P = .001), midposterior (P = .009),
and posterior (P = .009) segments in the VPT group. CONCLUSIONS: The corpus
callosum grows dramatically in VPT adolescents, and this growth is associated
with neuropsychological outcome. This may represent a delay of a normal
maturational process in VPT individuals.
PMID- 18056565
TI - What new information pediatric autopsies can provide: a retrospective evaluation
of 100 consecutive autopsies using family-centered criteria.
AB - OBJECTIVE: To determine the proportions of pediatric autopsies yielding various
types of new information (eg, genetic diagnosis, additional explanation) that
might be valued by families. DESIGN: Retrospective case series analysis. SETTING:
Large urban children's hospital. PARTICIPANTS: One hundred consecutive autopsies,
2003-2004. INTERVENTION: Using both traditional criteria and a novel
classification scheme developed with expert clinicians and nonmedical parent
faculty, 3 reviewers independently assessed each case for new information found
at autopsy. Classifications were based on unanimous consensus. MAIN OUTCOME
MEASURE: Proportions of autopsies yielding new information. RESULTS: Decedents'
ages ranged from 1 to 24 years. Using traditional criteria, major unexpected
findings related to death occurred in 28% of the autopsies. Applying our novel
criteria to the same 100 autopsies, we found new information that had the
potential to further clarify the cause(s) of a child's death (53% of cases);
inform the future reproductive choices of either the parents (10%) or siblings
(8%); affect siblings' future health care (6%); or contribute to patient care
quality control (36%) or publishable knowledge (7%). CONCLUSIONS: Pediatric
autopsies can yield different types of information that may be important to
families. While the proportion of autopsies providing specific types of new
information will vary between hospitals (depending on case mix, autopsy policies,
and clinician/pathologist expertise) and across time (depending on available
diagnostic modalities), hospital-specific data classified in this manner may be
useful to physicians counseling families about autopsy.
PMID- 18056566
TI - Persistence and remission in childhood asthma: a population-based asthma birth
cohort study.
AB - OBJECTIVES: To examine and predict the persistence of childhood asthma. DESIGN:
Longitudinal population-based cohort study. SETTING: Ontario, Canada.
PARTICIPANTS: Children born in 1994 and diagnosed with asthma before age 6 years
were followed up until age 11 years. Diagnosis of asthma was defined as 1 asthma
hospitalization or 2 asthma physician claims within 3 years prior to age 6 years.
MAIN EXPOSURE: Intensity of health services use within 1 year postdiagnosis. MAIN
OUTCOME MEASURES: Those who continued to have asthma events (hospitalization
and/or physician visit) between ages 6 and 11 years were considered to have
"persistent asthma," while others were in "remission." Cumulative rates of health
services use for asthma during follow-up were calculated. Logistic regression
analysis was used to estimate risks of persistent asthma. RESULTS: The study
included 34,216 children diagnosed with asthma before age 6 years. More than half
(54.4%) experienced a second asthma health care encounter within 1 year after
diagnosis. By age 12 years, nearly half (48.6%) were in remission. Children with
asthma hospitalization during the first year postdiagnosis had a 3-fold risk of
persistent asthma by age 12 years (95% confidence interval, 2.69-3.39; P < .001).
Those with at least 4 physician visits also had a 2.6-fold risk of persistent
asthma during follow-up (95% confidence interval, 2.34-2.81; P < .001).
CONCLUSION: The concentration of health services use within 1 year following the
initial diagnosis of childhood asthma points to the need for attentive follow-up
and ongoing management and education strategies in the early years.
PMID- 18056567
TI - Maternal waist circumference and the prediction of children's metabolic syndrome.
AB - OBJECTIVE: To determine the association between metabolic syndrome (MS)
components in 620 children and their mothers. DESIGN: Cross-sectional assessment.
SETTING: Three public elementary schools in Buenos Aires, Argentina.
PARTICIPANTS: A total of 620 students at a mean +/- SD age of 9.00 +/- 2.07 years
and their mothers at a mean +/- SD age of 37.69 +/- 7.19 years. MAIN OUTCOMES
MEASURES: The association between MS in children and components of MS in their
mothers, such as body mass index (BMI) (calculated as weight in kilograms divided
by height in meters squared), high-density lipoprotein cholesterol concentration,
triglycerides concentration, glucose concentration, homeostasis model assessment
of insulin resistance, blood pressure, and age. RESULTS: Ninety-five (15.3%) of
the children were obese (BMI > or = 95th percentile), 108 (17.4%) were overweight
(BMI > or = 85th percentile and < 95th percentile), and 418 (67.3%) were a
healthy weight (BMI < 85th percentile). One hundred twelve (18.1%) of the mothers
were obese (BMI > or = 30), 183 (29.5%) were overweight (BMI > or = 25 and < 30),
and 325 (52.4%) were a healthy weight (BMI < 25). Low concentration of high
density lipoprotein cholesterol (in 46.0% and 56.9% of mothers and children,
respectively) and central obesity (in 36.0% and 25.0% of mothers and children,
respectively) were common, whereas hypertension (in 10.5% and 1.9% of mothers and
children, respectively) and impaired fasting glucose (in 2.9% and 0.3% of mothers
and children, respectively) were infrequent. The prevalence of MS was 10.8% in
children and 11.0% in mothers. Central obesity was less frequent in mothers of
children without MS vs mothers of those with MS (41.2% vs 78.8%, respectively; P
< .001). Mothers of children without MS had fewer MS components than did mothers
of children with MS (BMI z score, 0.09 vs 0.69, respectively [P < .001]; waist
circumference z score, 0.15 vs 0.87, respectively [P < .001]). Mothers' waist
circumference was a significant independent predictor of their children's MS when
adjusted for mothers' concentrations of triglycerides, cholesterol, and glucose
and age (odds ratio, 2.11; 95% confidence interval, 1.36-3.26). CONCLUSION: A
mother's waist circumference predicts her child's MS, consistent with known
familial associations of obesity and type 2 diabetes.
PMID- 18056568
TI - Picture of the month--quiz case. Scrofuloderma with lupus vulgaris.
PMID- 18056569
TI - The march of science.
PMID- 18056570
TI - Should children with special health care needs have separate preventive care
visits?
PMID- 18056571
TI - Sequential survey of body piercing and tattooing prevalence and medical
complication incidence among college students.
PMID- 18056573
TI - Clinical reasoning: a case of multiple intracerebral hemorrhages.
PMID- 18056574
TI - Highlights of the December 4 issue.
PMID- 18056575
TI - Enumerating Meissner corpuscles: future gold standard of large fiber sensorimotor
polyneuropathy?
PMID- 18056576
TI - What you see is what you get: coupling function with structure in the visual
system.
PMID- 18056577
TI - Altered functional and structural connectivities in patients with MS: a 3-T
study.
AB - OBJECTIVE: To determine the functional and structural substrates of motor network
dysfunction in patients with relapsing-remitting multiple sclerosis (RRMS).
METHODS: Using a 3-T scanner, in 12 right-handed RRMS patients and 14 matched
controls, we acquired diffusion tensor (DT) MRI and functional MRI during the
performance of a simple motor task with the right (R) hand. Using DT MRI
tractography, we calculated DT-derived metrics from several motor and nonmotor
white matter (WM) fiber bundles. Functional connectivity analysis was performed
using SPM2. RESULTS: Compared with control, MS patients had abnormal DT MRI
metrics of all the WM bundles studied. Compared with controls, MS patients had
more significant activations of the left (L) supplementary motor area (SMA), the
L primary sensorimotor cortex (SMC), and the R cerebellum. They also had
increased functional connectivity between the R primary SMC and the R cerebellum
(p = 0.01) and the L SMA and the L primary SMC (p = 0.04). Coefficients of
altered connectivity were correlated with structural MRI metrics of tissue damage
of the corticospinal and the dentatorubrothalamic tract (r values ranging from
0.73 to 0.85). CONCLUSIONS: The correlations found between measures of functional
connectivity and structural damage to some of the major brain motor white matter
bundles suggest an adaptive role of functional connectivity changes in limiting
the clinical consequences of structural damage in patients with relapsing
remitting multiple sclerosis. Combining measures of altered functional and
structural connectivities of specific brain networks is a promising tool to
elucidate the mechanisms responsible for clinical manifestations of CNS damage.
PMID- 18056578
TI - A structural basis for reading fluency: white matter defects in a genetic brain
malformation.
AB - BACKGROUND: Multiple lines of evidence have suggested that developmental dyslexia
may be associated with abnormalities of neuronal migration or axonal
connectivity. In patients with periventricular nodular heterotopia--a rare
genetic brain malformation characterized by misplaced nodules of gray matter
along the lateral ventricles--a specific and unexpected reading disability is
present, despite normal intelligence. We sought to investigate the cognitive and
structural brain bases of this phenomenon. METHODS: Ten adult subjects with
heterotopia, 10 with dyslexia, and 10 normal controls were evaluated, using a
battery of neuropsychometric measures. White matter integrity and fiber tract
organization were examined in six heterotopia subjects, using diffusion tensor
imaging methods. RESULTS: Subjects with heterotopia and those with developmental
dyslexia shared a common behavioral profile, with specific deficits in reading
fluency. Individuals with dyslexia seemed to have a more prominent phonological
impairment than heterotopia subjects. Periventricular nodular heterotopia was
associated with specific, focal disruptions in white matter microstructure and
organization in the vicinity of gray matter nodules. The degree of white matter
integrity correlated with reading fluency in this population. CONCLUSIONS: We
demonstrate that a genetic disorder of gray matter heterotopia shares behavioral
characteristics with developmental dyslexia, and that focal white matter defects
in this disorder may serve as the structural brain basis of this phenomenon. Our
findings represent a potential model for the use of developmental brain
malformations in the investigation of abnormal cognitive function.
PMID- 18056579
TI - The AMC Linear Disability Score in patients with newly diagnosed Parkinson
disease.
AB - OBJECTIVE: The aim of this study was to examine the clinimetric properties of the
AMC Linear Disability Score (ALDS), a new generic disability measure based on
Item Response Theory, in patients with newly diagnosed Parkinson disease (PD).
METHODS: A sample of 132 patients with PD was evaluated using the Hoehn and Yahr
(H&Y), the Unified PD Rating Scale motor examination, the Schwab and England
scale (S&E), the Short Form-36, the PD Quality of Life Questionnaire, and the
ALDS. RESULTS: The internal consistency reliability of the ALDS was good (alpha =
0.95) with 55 items extending the sufficient item-total correlation criterion (r
> 0.20). The ALDS was correlated with other disability measures (r = 0.50 to
0.63) and decreasingly associated with measures reflecting impairments (r = 0.36
to 0.37) and mental health (r = 0.23 to -0.01). With regard to know-group
validity, the ALDS indicated that patients with more severe PD (H&Y stage 3) were
more disabled than patients with mild (H&Y stage 1) or moderate PD (H&Y stage 2)
(p < 0.0001). The ALDS discriminated between more or less severe extrapyramidal
symptoms (p = 0.001) and patients with postural instability showed lower ALDS
scores compared to patients without postural instability (p = or< 0.0001).
Compared to the S&E (score 100% = 19%), the ALDS showed less of a ceiling effect
(5%). CONCLUSION: The AMC Linear Disability Score is a flexible, feasible, and
clinimetrically promising instrument to assess the level of disability in
patients with newly diagnosed Parkinson disease.
PMID- 18056580
TI - Neurophysiologic study of central pain in patients with Parkinson disease.
AB - BACKGROUND: Patients with Parkinson disease (PD) may present with various types
of pain. In some instances, no cause can be identified and pain is considered a
primary disorder (primary central pain [PCP]). We hypothesized that PCP in
patients with PD (PD-PCP) may be due to a dysfunction of pain pathways or the
processing of pain inputs in the CNS. METHODS: We carried out a psychophysical
and neurophysiologic study in 9 patients with PD-PCP, 9 patients with PD without
pain (PD-NoP), and 9 healthy control subjects. We assessed the clinical
characteristics of pain, performed quantitative sensory testing with thermal
probes, and recorded laser-evoked potentials (LEPs) and laser-induced sudomotor
skin responses (1-SSRs) in "off" and "on" conditions. RESULTS: In "off"
condition, patients with PD-PCP had lower heat pain and laser pinprick
thresholds, higher LEP amplitudes, and less habituation of the l-SSR in
comparison with PD-NoP patients and control subjects. Abnormalities were more
marked in the most affected side. In "on" condition, psychophysical and
neurophysiologic differences disappeared or were significantly attenuated.
CONCLUSION: Conduction along peripheral and central pain pathways is normal in
patients with Parkinson disease with or without primary central pain. However,
apart from signs of hyperalgesia, our patients exhibited lack of habituation of
sympathetic sudomotor responses to repetitive pain stimuli, suggesting an
abnormal control of the effects of pain inputs on autonomic centers.
Abnormalities were attenuated by l-dopa, suggesting that the dysfunction may
occur in dopamine-dependent centers regulating both autonomic function and
inhibitory modulation of pain inputs.
PMID- 18056581
TI - Systematic analysis of three FHM genes in 39 sporadic patients with hemiplegic
migraine.
AB - BACKGROUND: Familial (FHM) and sporadic (SHM) hemiplegic migraine are severe
subtypes of migraine associated with transient hemiparesis. For FHM, three genes
have been identified encoding subunits of a calcium channel (CACNA1A), a sodium
potassium pump (ATP1A2), and a sodium channel (SCN1A). Their role in SHM is
unknown. Establishing a genetic basis for SHM may further the understanding of
its pathophysiology and relationship with common types of migraine. It will also
facilitate the often difficult differential diagnosis from other causes of
transient hemiparesis. METHODS: We systematically scanned 39 well-characterized
patients with SHM without associated neurologic features for mutations in the
three FHM genes. Functional assays were performed for all new sequence variants.
RESULTS: Sequence variants were identified in seven SHM patients: one CACNA1A
mutation, five ATP1A2 mutations, and one SCN1A polymorphism. All six mutations
caused functional changes in cellular assays. One SHM patient later changed to
FHM because another family member developed FHM attacks. CONCLUSION: We show that
FHM genes are involved in at least a proportion of SHM patients without
associated neurologic symptoms. Screening of ATP1A2 offers the highest likelihood
of success. Because FHM gene mutations were also found in family members with
"nonhemiplegic" typical migraine with and without aura, our findings reinforce
the hypothesis that FHM, SHM, and "normal" migraine are part of a disease
spectrum with shared pathogenetic mechanisms.
PMID- 18056582
TI - Neonatal status epilepticus vs recurrent neonatal seizures: clinical findings and
outcome.
AB - BACKGROUND: Although most children with status epilepticus have a good prognosis,
its effects on newborns are unclear. OBJECTIVE: We compare the neurodevelopmental
consequences of recurrent seizures and status epilepticus in newborns. METHODS:
One hundred six newborns with video-EEG-confirmed seizures, consecutively
admitted to the neonatal intensive care unit of the University of Parma between
January 1999 and December 2004, were enrolled in the study. Fifty-one were
preterm and 55 were full-term newborns. Neonatal status epilepticus was defined
as continuous seizure activity for at least 30 minutes or recurrent seizures
lasting a total of >30 minutes without definite return to the baseline neurologic
condition of the newborn between seizures. Neurologic outcome was assessed at 24
months of corrected age. RESULTS: Thirty-six newborns had a normal outcome, 20
died, and 50 presented an adverse outcome. All but 1 of the 26 subjects with
neonatal status epilepticus had an adverse outcome. Birth weight, severely
abnormal cerebral ultrasound scans, and status epilepticus were independent
predictors of abnormal outcome. Depending on gestational age (GA), neonatal
status epilepticus seems to be a risk factor of adverse outcome in full-term
newborns (GA >or= 37 weeks: odds ratio [OR] 20.312, 95% CI 2.417 to 170.679, p =
0.006), and a risk factor of epilepsy in early preterm and full-term newborns (GA
or= 37 weeks: OR
6.517, 95% CI 1.321 to 32.148, p = 0.021). CONCLUSION: Newborns with status
epilepticus are at high risk of severe neurologic disability and postneonatal
epilepsy. This is particularly evident in early preterm and full-term infants.
PMID- 18056583
TI - CIND and MCI in the Italian elderly: frequency, vascular risk factors,
progression to dementia.
PMID- 18056584
TI - Toxicokinetics and tissue distribution of deltamethrin in adult Sprague-Dawley
rats.
AB - The objectives of this study were twofold: (1) to characterize the toxicokinetics
and dose-dependent systemic/tissue distribution of deltamethrin (DLM) over a
range of doses in adult Sprague-Dawley (S-D) rats; (2) to provide comprehensive
time course blood and tissue data for development of a physiologically based
toxicokinetic (PBTK) model for DLM. DLM is one of the more neurotoxic members of
a relatively new and commonly used class of insecticides, the pyrethroids.
Despite widespread exposure of the general population to pyrethroids, there is
little basic toxicokinetic (TK) data to use in health risk assessments or in
development of PBTK models. Male S-D rats were dosed orally with 0.4, 2, or 10 mg
DLM/kg dissolved in glycerol formal (GF). Another group received 2 mg/kg iv.
Serial blood and tissue samples were taken at sacrifice and analyzed by high
performance liquid chromatography for their DLM content, in order to obtain
comprehensive time course data sets for estimation of classical TK, as well as
PBTK parameters (e.g., tissues:blood partition coefficients). Gastrointestinal
(GI) absorption of DLM was rapid but incomplete. Bioavailability was just 18%.
Some 83% of DLM in blood was present in the plasma. Just 0.1-0.3% of systemically
absorbed doses reached the brain, the target organ of the bioactive parent
compound. Fat, skin and surprisingly, skeletal muscle, accumulated large amounts
of the highly lipophilic chemical and served as slow-release depots. Tissue
distribution was dose dependent, though generally not proportional to dose.
Clearance was dose independent in this dosage range. The time-profiles were used
by A. Mirfazaelian et al. (2006, Toxicol. Sci. 93, 432-442) to construct and
adjust a PBTK model. Much remains to be learned about physiological/biochemical
processes and barriers that govern the GI absorption, transport, brain
deposition, and elimination of DLM and other pyrethroids in laboratory animals
and humans.
PMID- 18056586
TI - Beyond the "science of sophomores": does the rational choice explanation of crime
generalize from university students to an actual offender sample?
AB - Much of the criminological literature testing rational choice theory has utilized
hypothetical scenarios presented to university students. Although this research
generally supports rational choice theory, a common criticism is that conclusions
from these studies may not generalize to samples of actual offenders. This study
proceeds to examine this issue in two steps. First, a traditional sample of
university students is examined to determine how various costs and benefits
relate to their hypothetical likelihood of offending. Then the same data
collection procedures are employed with a somewhat different sample of younger,
adjudicated, and institutionalized offenders to determine whether the conclusions
drawn from the student sample generalize to this offender sample. Results
generally suggest that the content and process of hypothetical criminal decision
making differ in the sample of known offenders relative to the university
students. Limitations of the current study, as well as suggestions for future
research, are discussed.
PMID- 18056587
TI - What shall I do now? Released offenders' expectations for supervision upon
release.
AB - In an outcome evaluation of recovering addicts who participated in a prison-based
therapeutic community, 39 clients (a nonrandomized subsample) were interviewed
about their rehabilitation and reintegration experience. The study focused on
participants' perceptions of how essential the continuum of treatment and
supervision after release was. Whereas many studies have shown that participating
in prison-based drug treatment programs reduces likelihood of recidivism, clients
in this study suggested that other factors might be equally important-in
particular, the importance of the continuum of treatment after release and/or
being supervised in the community. Findings are discussed in regard to inmates'
expectations, confusion upon release, and formal support mechanisms; suggestions
for further research are made.
PMID- 18056588
TI - Food for all.
PMID- 18056589
TI - Ideological chasms divide US presidential hopefuls.
PMID- 18056590
TI - India moves to improve black fever tracking.
PMID- 18056591
TI - Prescribing patterns drive up health care costs.
PMID- 18056592
TI - Searching for solutions to the North's quiet epidemic.
PMID- 18056593
TI - Gairdner winners honoured at Toronto symposium.
PMID- 18056594
TI - West Nile rates soar in 2007.
PMID- 18056595
TI - Goats and sewing machines.
PMID- 18056596
TI - Pediatric hypocalcemia: making the diagnosis.
PMID- 18056597
TI - Necrobiosis lipoidica diabeticorum.
PMID- 18056598
TI - Appropriateness of current thresholds for obesity-related measures among
Aboriginal people.
AB - BACKGROUND: Despite the high prevalence of obesity and diabetes in the Canadian
Aboriginal population, it is unknown whether the current thresholds for body mass
index and waist circumference derived from white populations are appropriate for
Aboriginal people. We compared the risk of cardiovascular disease among Canadian
Aboriginal and European populations using the current thresholds for body mass
index and waist circumference. METHODS: Healthy Aboriginal (n = 195) and European
(n = 201) participants (matched for sex and body mass index range) were assessed
for demographic characteristics, lifestyle factors, total and central adiposity
and risk factors for cardiovascular disease. Among Aboriginal and European
participants, we compared the relation between body mass index and each of the
following 3 factors: percent body fat, central adiposity and cardiovascular
disease risk factors. We also compared the relation between waist circumference
and the same 3 factors. RESULTS: The use of body mass index underestimated
percent body fat by 1.3% among Aboriginal participants compared with European
participants (p = 0.025). The use of waist circumference overestimated abdominal
adipose tissue by 26.7 cm2 among Aboriginal participants compared with European
participants (p = 0.007). However, there was no difference in how waist
circumference estimated subcutaneous abdominal and visceral adipose tissue among
the 2 groups. At the same body mass index and waist circumference, we observed no
differences in the majority of cardiovascular disease risk factors among
Aboriginal and European participants. The prevalence of dyslipidemia,
hypertension, impaired fasting glucose and metabolic syndrome was similar among
participants in the 2 groups after adjustment for body mass index, waist
circumference, age and sex. INTERPRETATION: We found no difference in the
relation between body mass index and risk of cardiovascular disease between men
and women of Aboriginal and European descent. We also found no difference between
waist circumference and cardiovascular disease risk among these groups. These
data support the use of current anthropometric thresholds in the Canadian
Aboriginal population.
PMID- 18056599
TI - Parental intention to have daughters receive the human papillomavirus vaccine.
AB - BACKGROUND: Concerns have been raised that parents may be reluctant to have their
daughters receive the human papillomavirus (HPV) vaccine, because of a belief
that doing so might be interpreted as condoning earlier and more frequent sexual
activity. We determined intentions regarding vaccination among Canadian parents
and factors that predicted parental intention to have their daughters vaccinated
against HPV. METHODS: Parents of children 8-18 years of age, recruited from
across Canada, were asked to respond to questions in the context of a grade 6,
publicly funded, school-based HPV vaccine program. We performed backward logistic
regression analysis to identify factors predictive of parents' intention to have
their daughters vaccinated against HPV. RESULTS: Of the 1350 respondents with
female children, more than 70% (73.8%; 95% confidence interval [CI] 71.5%-76.1%)
intended to have their daughters undergo vaccination against HPV. In
multivariable modelling, parents who had positive attitudes toward vaccines (odds
ratio [OR] 9.9, 95% CI 4.7-21.1), those who were influenced by subjective norms
(OR 9.2, 95% CI 6.6-12.9), those who felt that the vaccine had limited influence
on sexual behaviour (OR 3.2, 95% CI 2.2-4.6) and those who thought someone they
knew was likely to get cervical cancer (OR 1.5, 95% CI 1.1-2.1) were more likely
to intend that their daughters receive the HPV vaccine. Parents who were older
(v. younger) (OR 0.6, 95% CI 0.4-0.8) and those who resided in British Columbia
or Yukon Territory (v. Atlantic Canada) (OR 0.5, 95% CI 0.3-0.9) were less likely
to intend that their daughters receive the HPV vaccine. INTERPRETATION: Most of
the parents surveyed intended that their daughters would receive vaccination
against HPV. Overall attitudes toward vaccines in general and toward the HPV
vaccine in particular constituted the most significant predictor of parental
intention with regard to vaccination.
PMID- 18056600
TI - Vaccination against human papillomavirus.
PMID- 18056601
TI - Vaccination against human papillomavirus.
PMID- 18056602
TI - Vaccination against human papillomavirus.
PMID- 18056603
TI - Vaccination against human papillomavirus.
PMID- 18056604
TI - Vaccination against human papillomavirus.
PMID- 18056605
TI - Vaccination against human papillomavirus.
PMID- 18056611
TI - Vitamin D deficiency among Italian children.
PMID- 18056616
TI - A cellular-telephone model of assessing frontal lobe function in physicians.
AB - As people age, they recognize that social conduct has become worse. This is not
just a failing of the young but also a reflection of modern technology, notably
the cellular telephone. We observed that the behaviour induced by the use of such
devices is so egregious as to be medically informative. We offer a descriptive
phenomenology and neuroanatomical classification of aberrant behaviour in
relation to cellular telephone use by physicians at medical conferences. Although
the cellular telephone is a scourge, its ability to add to the diagnostic
armamentarium in cognitive neurology should not be overlooked, especially if a
fee code can be attached.
PMID- 18056617
TI - One giant leap for mankind? A cost-utility analysis of abolishing the law of
gravity.
AB - BACKGROUND: Canada's Neo Rhino Party, a joke political party created in 2006 as a
successor to the Parti Rhinoceros, is planning a new regulation to repeal the law
of gravity, which could have an important impact on diseases attributable to
gravity on earth. METHODS: We sought to estimate the number of quality-adjusted
life-years that would be saved if the proposed regulation is passed and determine
the cost-effectiveness of adapting Boris Volfson's antigravity machine for use on
earth. We performed an economic analysis using a hidden Markov model. RESULTS:
Our results suggest that a microgravity environment would save over 2 million
quality-adjusted life-years. The cost for every quality-adjusted life-year saved
is estimated to be $328. INTERPRETATION: Microgravity is the solution to the
health care crisis in Canada. In addition, using technological, statistical and
medical jargon gives us the opportunity to defy the laws of physics, mathematics
and medicine.
PMID- 18056619
TI - Exercising privacy rights in medical science.
AB - Privacy laws are intended to preserve human well-being and improve medical
outcomes. We used the Sportstats website, a repository of competitive athletic
data, to test how easily these laws can be circumvented. We designed a haphazard,
unrepresentative case-series analysis and applied unscientific methods based on
an Internet connection and idle time. We found it both feasible and titillating
to breach anonymity, stockpile personal information and generate misquotations.
We extended our methods to snoop on celebrities, link to outside databases and
uncover refusal to participate. Throughout our study, we evaded capture and
public humiliation despite violating these 6 privacy fundamentals. We suggest
that the legitimate principle of safeguarding personal privacy is undermined by
the natural human tendency toward showing off.
PMID- 18056618
TI - A clinical trial gone awry: the Chocolate Happiness Undergoing More Pleasantness
(CHUMP) study.
AB - The randomized controlled trial is the "gold standard" for evaluating the
benefits and harms of interventions. The Chocolate Happiness Undergoing More
Pleasantness (CHUMP) study was designed to compare the effects of dark chocolate,
milk chocolate and normal chocolate consumption on happiness. Although the
intention-to-treat analysis showed that participants who received either dark or
milk chocolate were happier than those who received no additional chocolate, the
actual-consumption analysis showed that there were no differences between any of
the groups. The reason for this result is that many participants switched groups
mid-study because of their personal chocolate preferences. Although the CHUMP
study was pleasurable, it demonstrated the difficulties associated with
performing a truly blinded clinical trial.
PMID- 18056620
TI - The Goo Tolerance Index: a foolproof method for choosing a medical specialty.
PMID- 18056621
TI - Sound medicine: an introduction to cacophonology.
PMID- 18056622
TI - A do-it-yourself stereophonic stethoscope.
PMID- 18056623
TI - Pondering public health and purity.
PMID- 18056624
TI - Age at retirement and mortality in a general population sample: the Greek EPIC
study.
AB - Investigation of the health effects of retirement and age at retirement is
limited, but the issue is particularly important given the pressure for an
increase in the retirement age in Europe. In the Greek segment of the European
Investigation into Cancer and Nutrition study, 16,827 men and women enrolled from
1994 to 1999 were either gainfully employed or had retired from such employment
at enrollment; had not previously been diagnosed with stroke, cancer, coronary
heart disease, or diabetes mellitus; and had complete information on important
covariates and documented survival status as of July 2006. All-cause and cause
specific mortality in relation to employment status and age at retirement (among
retirees) was analyzed through Cox regression models, controlling for potential
confounders. In comparison to subjects still employed, retirees had a 51%
increase in all-cause mortality (95% confidence interval: 16, 98). Among
retirees, a 5-year increase in age at retirement was associated with a 10%
decrease in mortality (95% confidence interval: 4, 15). Findings were more
evident for cardiovascular than for cancer mortality, whereas, for injury
mortality, there was no evidence of association. Results indicate that early
retirement may be a risk factor for all-cause and cardiovascular mortality in
apparently healthy persons.
PMID- 18056625
TI - Immortal time bias in pharmaco-epidemiology.
AB - Immortal time is a span of cohort follow-up during which, because of exposure
definition, the outcome under study could not occur. Bias from immortal time was
first identified in the 1970s in epidemiology in the context of cohort studies of
the survival benefit of heart transplantation. It recently resurfaced in pharmaco
epidemiology, with several observational studies reporting that various
medications can be extremely effective at reducing morbidity and mortality. These
studies, while using different cohort designs, all involved some form of immortal
time and the corresponding bias. In this paper, the author describes various
cohort study designs leading to this bias, quantifies its magnitude under
different survival distributions, and illustrates it by using data from a cohort
of lung cancer patients. The author shows that for time-based, event-based, and
exposure-based cohort definitions, the bias in the rate ratio resulting from
misclassified or excluded immortal time increases proportionately to the duration
of immortal time. The bias is more pronounced with a decreasing hazard function
for the outcome event, as illustrated with the Weibull distribution compared with
a constant hazard from the exponential distribution. In conclusion, observational
studies of drug benefit in which computerized databases are used must be designed
and analyzed properly to avoid immortal time bias.
PMID- 18056626
TI - Ultrafine and respirable particles in an automotive grey iron foundry.
AB - Ultrafine particle number and respirable particle mass concentrations were
measured throughout an automotive grey iron foundry during winter, spring and
summer using a particle concentration mapping procedure. Substantial temporal and
spatial variability was observed in all seasons and attributed, in part, to the
batch nature of operations, process emission variability and frequent work
interruptions. The need for fine mapping grids was demonstrated, where elevations
in particle concentrations were highly localized. Ultrafine particle
concentrations were generally greatest during winter when incoming make-up air
was heated with direct fire, natural gas burners. Make-up air drawn from roof
level had elevated respirable mass and ultrafine number concentrations above
ambient outdoor levels, suggesting inadvertent recirculation of foundry process
emissions. Elevated respirable mass concentrations were highly localized on
occasions (e.g. abrasive blasting and grinding), depended on the area within the
facility where measurements were obtained, but were largely unaffected by season.
Particle sources were further characterized by measuring their respective number
and mass concentrations by particle size. Sources that contributed to ultrafine
particles included process-specific sources (e.g. melting and pouring
operations), and non-process sources (e.g. direct fire natural gas heating units,
a liquid propane-fuelled sweeper and cigarette smoking) were additionally
identified.
PMID- 18056627
TI - The preleukemic state of mice reconstituted with Mixl1-transduced marrow cells.
AB - Murine granulocytic cells, in becoming leukemic, need to acquire enhanced self
generation and a capacity for autocrine growth stimulation. Mice transplanted
with bone marrow cells transduced with the Mixl1 homeobox gene develop a very
high frequency of myeloid leukemia derived from the transduced cells. Preleukemic
mice contained a high frequency of transduced clonogenic granulocytic cells. They
exhibited an abnormally high capacity for self-replication and could generate
immortalized granulocytic cell lines that remained absolutely dependent on either
GM-CSF or IL-3 and were not leukemic. Organs from mice repopulated by marrow
cells transduced either with Mixl1 or the control murine stem cell virus vector
exhibited a capacity to produce IL-3 in vitro, activity being highest with the
lungs, marrow, bladder, and thymus. Supporting evidence for the in vivo
production of IL-3 was the frequent development of mast cells in the marrow.
Overexpression of Mixl1 appears capable of inducing an abnormal self-renewal
capacity in granulocytic precursors. Aberrant production of IL-3 was not present
in the continuous Mixl cell lines and was therefore not in itself likely to be a
leukemogenic change but it could support the enhanced survival and proliferation
of the Mixl1 granulocytic populations until a final leukemogenic mutation occurs
in them.
PMID- 18056628
TI - Two forces for arousal: Pitting hunger versus circadian influences and
identifying neurons responsible for changes in behavioral arousal.
AB - The mechanisms underlying CNS arousal in response to homeostatic pressures are
not known. In this study, we pitted two forces for CNS arousal against each other
(circadian influences vs. restricted food availability) and measured the neuronal
activation that occurs in a behaviorally defined group of animals that exhibited
increased arousal in anticipation of feeding restricted to their normal sleeping
time. The number of c-FOS+ neurons was significantly increased only in the
ventromedial nucleus of the hypothalamus (VMH) in these mice, compared with
control animals whose feeding was restricted to their normal active and feeding
time (P < 0.01). Because the activation of VMH neurons coincides with the
earliest signs of behavioral arousal preceding a change in meal time, we infer
that VMH activation is involved in the increased arousal in anticipation of food.
PMID- 18056629
TI - Mammary epithelial-specific disruption of the focal adhesion kinase blocks
mammary tumor progression.
AB - Elevated expression and activation of the focal adhesion kinase (FAK) occurs in a
large proportion of human breast cancers. Although several studies have
implicated FAK as an important signaling molecule in cell culture systems,
evidence supporting a role for FAK in mammary tumor progression is lacking. To
directly assess the role of FAK in this process, we have used the Cre/loxP
recombination system to disrupt FAK function in the mammary epithelium of a
transgenic model of breast cancer. Using this approach, we demonstrate that FAK
expression is required for the transition of premalignant hyperplasias to
carcinomas and their subsequent metastases. This dramatic block in tumor
progression was further correlated with impaired mammary epithelial
proliferation. These observations provide direct evidence that FAK plays a
critical role in mammary tumor progression.
PMID- 18056630
TI - Structural basis for reduced FGFR2 activity in LADD syndrome: Implications for
FGFR autoinhibition and activation.
AB - Mutations in fibroblast growth factor receptor 2 (FGFR2) and its ligand, FGF10,
are known to cause lacrimo-auriculo-dento-digital (LADD) syndrome. Multiple gain
of-function mutations in FGF receptors have been implicated in a variety of
severe skeletal disorders and in many cancers. We aimed to elucidate the
mechanism by which a missense mutation in the tyrosine kinase domain of FGFR2,
described in the sporadic case of LADD syndrome, leads to reduced tyrosine kinase
activity. In this report, we describe the crystal structure of a FGFR2 A628T LADD
mutant in complex with a nucleotide analog. We demonstrate that the A628T LADD
mutation alters the configuration of key residues in the catalytic pocket that
are essential for substrate coordination, resulting in reduced tyrosine kinase
activity. Further comparison of the structures of WT FGFR2 and WT FGFR1 kinases
revealed that FGFR2 uses a less stringent mode of autoinhibition than FGFR1,
which was also manifested in faster in vitro autophosphorylation kinetics.
Moreover, the nearly identical conformation of WT FGFR2 kinase and the A628T LADD
mutant to either the phosphorylated FGFR2 or FGFR2 harboring pathological
activating mutations in the kinase hinge region suggests that FGFR autoinhibition
and activation are better explained by changes in the conformational dynamics of
the kinase rather than by static crystallographic snapshots of minor structural
variations.
PMID- 18056631
TI - Insulin stimulates the cleavage and release of the extracellular domain of Klotho
by ADAM10 and ADAM17.
AB - Cleavage and release (shedding) of membrane proteins is a critical regulatory
step in many normal and pathological processes. Evidence suggests that the
antiaging transmembrane protein Klotho (KL) is shed from the cell surface by
proteolytic cleavage. In this study, we attempted to identify the enzymes
responsible for the shedding of KL by treating KL-transfected COS-7 cells with a
panel of proteinase inhibitors and measuring cleavage products by Western blot.
We report that metalloproteinase inhibitors, including EDTA, EGTA, and TAPI-1,
inhibit the shedding of KL, whereas insulin increases shedding. The effects of
the inhibitors in KL-transfected COS-7 cells were repeated in studies on rat
kidney slices ex vivo, which validates the use of COS-7 cells as our model
system. Tissue inhibitor of metalloproteinase (Timp)-3 effectively inhibits KL
cleavage, whereas Timp-1 and Timp-2 do not, a profile that indicates the
involvement of members of the A Desintegrin and Metalloproteinase (ADAM) family.
Cotransfection of KL with either ADAM10 or ADAM17 enhances KL cleavage, whereas
cotransfection of KL with small interference RNAs specific to ADAM10 and ADAM17
inhibits KL secretion. These results indicate that KL shedding is mediated mainly
by ADAM10 and ADAM17 in KL-transfected COS-7 cells. The effect of insulin is
abolished when ADAM10 or ADAM17 are silenced. Furthermore, we demonstrate that
the effect of insulin on KL shedding is inhibited by wortmannin, showing that
insulin acts through a PI3K-dependent pathway. Insulin enhances KL shedding
without increasing ADAM10 and ADAM17 mRNA and protein levels, suggesting that it
acts by stimulating their proteolytic activities.
PMID- 18056632
TI - Signal sequence mutation in autosomal dominant form of hypoparathyroidism induces
apoptosis that is corrected by a chemical chaperone.
AB - Autosomal dominant familial isolated hypoparathyroidism (AD-FIH) is caused by a
Cys --> Arg mutation (C18R) in the hydrophobic core of the signal peptide of
human preproparathyroid hormone (PPTH). Although this mutation impairs secretion
of the hormone, the mechanism by which one mutant allele produces the autosomal
dominant disease is unexplained. Using transfected HEK293 cells, we demonstrate
that the expressed mutant hormone is trapped intracellularly, predominantly in
the endoplasmic reticulum (ER). This ER retention was found to be toxic for the
cells, which underwent apoptosis, as evident from the marked increase in the
number of cells staining positive for Annexin V binding and for the TUNEL
reaction. The cells producing mutant hormone also had marked up-regulation of the
ER stress-responsive proteins, BiP and PERK, as well as the proapoptotic
transcription factor, CHOP. Up-regulation of these markers of the unfolded
protein response supported a causal link between the ER stress and the cell death
cascade. When the C18R PPTH was expressed in the presence of 4-phenylbutyric
acid, which is a pharmacological chaperone, intracellular accumulation was
reduced and normal secretion was restored. This treatment also produced
remarkable reduction of ER stress signals and protection against cell death.
These data implicate ER stress-induced cell death as the underlying mechanism for
AD-FIH and suggest that the pharmacological manipulation of this pathway by using
chemical chaperones offers a therapeutic option for treating this disease.
PMID- 18056633
TI - Trafficking-dependent phosphorylation of Kv1.2 regulates voltage-gated potassium
channel cell surface expression.
AB - Kv1.2 alpha-subunits are components of low-threshold, rapidly activating voltage
gated potassium (Kv) channels in mammalian neurons. Expression and localization
of Kv channels is regulated by trafficking signals encoded in their primary
structure. Kv1.2 is unique in lacking strong trafficking signals and in
exhibiting dramatic cell-specific differences in trafficking, which is suggestive
of conditional trafficking signals. Here we show that a cluster of cytoplasmic C
terminal phosphorylation sites regulates Kv1.2 trafficking. Using tandem MS to
analyze Kv1.2 purified from rat, human, and mouse brain, we identified in each
sample in vivo phosphoserine (pS) phosphorylation sites at pS434, pS440, and
pS441, as well as doubly phosphorylated pS440/pS441. We also found these sites,
as well as pS449, on recombinant Kv1.2 expressed in heterologous cells. We found
that phosphorylation at pS440/pS441 is present only on the post-endoplasmic
reticulum (ER)/cell surface pool of Kv1.2 and is not detectable on newly
synthesized and ER-localized Kv1.2, on which we did observe pS449
phosphorylation. Elimination of PS440/PS441 phosphorylation by mutation reduces
cell-surface expression efficiency and functional expression of homomeric Kv1.2
channels. Interestingly, mutation of S449 reduces phosphorylation at pS440/pS441
and also decreases Kv1.2 cell-surface expression efficiency and functional
expression. These mutations also suppress trafficking of Kv1.2/Kv1.4 heteromeric
channels, suggesting that incorporation of Kv1.2 into heteromeric complexes
confers conditional phosphorylation-dependent trafficking to diverse Kv channel
complexes. These data support Kv1.2 phosphorylation at these clustered C-terminal
sites as playing an important role in regulating trafficking of Kv1.2-containing
Kv channels.
PMID- 18056634
TI - Ubiquitin-dependent virus particle budding without viral protein ubiquitination.
AB - An essential step in the release of an extracellular enveloped virus particle is
a budding event that ultimately separates virion and host cell membranes. For
many enveloped viruses, membrane fission requires the recruitment of the class E
vacuolar protein sorting (VPS) machinery by short, virally encoded peptide
sequences termed "late-budding" or "L" domains. Some L-domain peptide sequences
(e.g., PSAP) bind directly to components of class E VPS machinery, whereas others
(e.g., PPxY) access it indirectly by recruiting ubiquitin ligases. Additionally,
ubiquitin itself is known to be generally important for the fission of virion
from cellular membranes, and because ubiquitination of cellular transmembrane
proteins can signal the recruitment of class E machinery, a popular model is that
deposition of ubiquitin on viral structural proteins mediates class E machinery
recruitment. To test this model, we took advantage of a retroviral Gag protein
from the prototypic foamy virus (PFV) that is almost devoid of ubiquitin
acceptors, and we engineered it to generate extracellular virus-like particles in
the complete absence of other viral proteins. Notably, we found that particle
budding, induced by a class E VPS machinery-binding L domain (PSAP), proceeded
efficiently in the absence of ubiquitin acceptors in PFV Gag. Moreover, when
particle release was engineered to be dependent on a viral PPXY motif, the
requirement for a catalytically active ubiquitin ligase was maintained,
irrespective of the presence or absence of ubiquitin acceptor sites in PFV Gag.
Thus, in this model system, ubiquitin conjugation to transacting factors, not
viral proteins, appears critical for ubiquitin-dependent enveloped viral particle
release.
PMID- 18056635
TI - Competitive release and facilitation of drug-resistant parasites after
therapeutic chemotherapy in a rodent malaria model.
AB - Malaria infections frequently consist of mixtures of drug-resistant and drug
sensitive parasites. If crowding occurs, where clonal population densities are
suppressed by the presence of coinfecting clones, removal of susceptible clones
by drug treatment could allow resistant clones to expand into the newly vacated
niche space within a host. Theoretical models show that, if such competitive
release occurs, it can be a potent contributor to the strength of selection,
greatly accelerating the rate at which resistance spreads in a population. A
variety of correlational field data suggest that competitive release could occur
in human malaria populations, but direct evidence cannot be ethically obtained
from human infections. Here we show competitive release after pyrimethamine
curative chemotherapy of acute infections of the rodent malaria Plasmodium
chabaudi in laboratory mice. The expansion of resistant parasite numbers after
treatment resulted in enhanced transmission-stage densities. After the
elimination or near-elimination of sensitive parasites, the number of resistant
parasites increased beyond that achieved when a competitor had never been
present. Thus, a substantial competitive release occurred, markedly elevating the
fitness advantages of drug resistance above those arising from survival alone.
This finding may explain the rapid spread of drug resistance and the subsequently
brief useful lifespans of some antimalarial drugs. In a second experiment, where
subcurative chemotherapy was administered, the resistant clone was only partly
released from competitive suppression and experienced a restriction in the size
of its expansion after treatment. This finding raises the prospect of harnessing
in-host ecology to slow the spread of drug resistance.
PMID- 18056636
TI - The origin of islet-like cells in Drosophila identifies parallels to the
vertebrate endocrine axis.
AB - Single-cell resolution lineage information is a critical key to understanding how
the states of gene regulatory networks respond to cell interactions and thereby
establish distinct cell fates. Here, we identify a single pair of neural stem
cells (neuroblasts) as progenitors of the brain insulin-producing neurosecretory
cells of Drosophila, which are homologous to islet beta cells. Likewise, we
identify a second pair of neuroblasts as progenitors of the neurosecretory
Corpora cardiaca cells, which are homologous to the glucagon-secreting islet
alpha cells. We find that both progenitors originate as neighboring cells from
anterior neuroectoderm, which expresses genes orthologous to those expressed in
the vertebrate adenohypophyseal placode, the source of endocrine anterior
pituitary and neurosecretory hypothalamic cells [Whitlock KE (2005) Trends
Endocrinol Metab 16:145-151]. This ontogenic-molecular concordance suggests that
a rudimentary brain endocrine axis was present in the common ancestor of humans
and flies, where it orchestrated the islet-like endocrine functions of insulin
and glucagon biology.
PMID- 18056637
TI - Anterior temporal lobes mediate semantic representation: mimicking semantic
dementia by using rTMS in normal participants.
AB - Studies of semantic dementia and PET neuroimaging investigations suggest that the
anterior temporal lobes (ATL) are a critical substrate for semantic
representation. In stark contrast, classical neurological models of comprehension
do not include ATL, and likewise functional MRI studies often fail to show
activations in the ATL, reinforcing the classical view. Using a novel application
of low-frequency, repetitive transcranial magnetic stimulation (rTMS) over the
ATL, we demonstrate that the behavioral pattern of semantic dementia can be
mirrored in neurologically intact participants: Specifically, we show that
temporary disruption to neural processing in the ATL produces a selective
semantic impairment leading to significant slowing in both picture naming and
word comprehension but not to other equally demanding, nonsemantic cognitive
tasks.
PMID- 18056638
TI - The interplay between the master transcription factor PU.1 and miR-424 regulates
human monocyte/macrophage differentiation.
AB - We describe a pathway by which the master transcription factor PU.1 regulates
human monocyte/macrophage differentiation. This includes miR-424 and the
transcriptional factor NFI-A. We show that PU.1 and these two components are
interlinked in a finely tuned temporal and regulatory circuitry: PU.1 activates
the transcription of miR-424, and this up-regulation is involved in stimulating
monocyte differentiation through miR-424-dependent translational repression of
NFI-A. In turn, the decrease in NFI-A levels is important for the activation of
differentiation-specific genes such as M-CSFr. In line with these data, both RNAi
against NFI-A and ectopic expression of miR-424 in precursor cells enhance
monocytic differentiation, whereas the ectopic expression of NFI-A has an
opposite effect. The interplay among these three components was demonstrated in
myeloid cell lines as well as in human CD34+ differentiation. These data point to
the important role of miR-424 and NFI-A in controlling the monocyte/macrophage
differentiation program.
PMID- 18056639
TI - A role for the inositol kinase Ipk1 in ciliary beating and length maintenance.
AB - Cilia project from cells as membranous extensions, with microtubule structural
cores assembling from basal bodies by intraflagellar transport (IFT). Here, we
report a ciliary role for the inositol 1,3,4,5,6-pentakisphosphate 2-kinase
(Ipk1) that generates inositol hexakisphosphate. In zebrafish embryos, reducing
Ipk1 levels inhibited ciliary beating in Kupffer's vesicle and decreased ciliary
length in the spinal canal, pronephric ducts, and Kupffer's vesicle. Electron
microscopy showed that ciliary axonemal structures were not grossly altered.
However, coincident knockdown of Ipk1 and IFT88 or IFT57 had synergistic
perturbations. With GFP-Ipk1 enriched in centrosomes and basal bodies, we propose
that Ipk1 plays a previously uncharacterized role in ciliary function.
PMID- 18056641
TI - Circuit theory predicts gene flow in plant and animal populations.
AB - Maintaining connectivity for broad-scale ecological processes like dispersal and
gene flow is essential for conserving endangered species in fragmented
landscapes. However, determining which habitats should be set aside to promote
connectivity has been difficult because existing models cannot incorporate
effects of multiple pathways linking populations. Here, we test an ecological
connectivity model that overcomes this obstacle by borrowing from electrical
circuit theory. The model vastly improves gene flow predictions because it
simultaneously integrates all possible pathways connecting populations. When
applied to data from threatened mammal and tree species, the model consistently
outperformed conventional gene flow models, revealing that barriers were less
important in structuring populations than previously thought. Circuit theory now
provides the best-justified method to bridge landscape and genetic data, and
holds much promise in ecology, evolution, and conservation planning.
PMID- 18056640
TI - An androgen-regulated miRNA suppresses Bak1 expression and induces androgen
independent growth of prostate cancer cells.
AB - Although prostate cancer (CaP) is the most frequently diagnosed malignant tumor
and the second leading cause of cancer deaths in American men, the mechanisms
explaining the development and progression of CaP remain largely unknown. Recent
studies have shown that some aberrantly expressed microRNAs (miRNAs) are involved
in tumorigenesis. Although aberrant expression of certain miRNAs has been
discovered in CaP, their function in this disease has not yet been defined. In
this study, we found differential expression of miR-125b in androgen-dependent
and independent CaP cells, as well as in benign and malignant prostate tissues.
Furthermore, androgen signaling was able to up-regulate the expression of miR
125b. In addition, transfection of synthetic miR-125b stimulated androgen
independent growth of CaP cells and down-regulated the expression of Bak1. Our
results suggest that miR-125b acts as an oncogene, contributing to the
pathogenesis of CaP.
PMID- 18056642
TI - Hyperpolarized 13C allows a direct measure of flux through a single enzyme
catalyzed step by NMR.
AB - (13)C NMR is a powerful tool for monitoring metabolic fluxes in vivo. The recent
availability of automated dynamic nuclear polarization equipment for
hyperpolarizing (13)C nuclei now offers the potential to measure metabolic fluxes
through select enzyme-catalyzed steps with substantially improved sensitivity.
Here, we investigated the metabolism of hyperpolarized [1-(13)C(1)]pyruvate in a
widely used model for physiology and pharmacology, the perfused rat heart.
Dissolved (13)CO(2), the immediate product of the first step of the reaction
catalyzed by pyruvate dehydrogenase, was observed with a temporal resolution of
approximately 1 s along with H(13)CO(3)(-), the hydrated form of (13)CO(2)
generated catalytically by carbonic anhydrase. In hearts presented with the
medium-chain fatty acid octanoate in addition to hyperpolarized [1
(13)C(1)]pyruvate, production of (13)CO(2) and H(13)CO(3)(-) was suppressed by
approximately 90%, whereas the signal from [1-(13)C(1)]lactate was enhanced. In
separate experiments, it was shown that O(2) consumption and tricarboxylic acid
(TCA) cycle flux were unchanged in the presence of added octanoate. Thus, the
rate of appearance of (13)CO(2) and H(13)CO(3)(-) from [1-(13)C(1)]pyruvate does
not reflect production of CO(2) in the TCA cycle but rather reflects flux through
pyruvate dehydrogenase exclusively.
PMID- 18056644
TI - Observational evidence for volcanic impact on sea level and the global water
cycle.
AB - It has previously been noted that there are drops in global sea level (GSL) after
some major volcanic eruptions. However, observational evidence has not been
convincing because there is substantial variability in the global sea level
record over periods similar to those at which we expect volcanoes to have an
impact. To quantify the impact of volcanic eruptions we average monthly GSL data
from 830 tide gauge records around five major volcanic eruptions. Surprisingly,
we find that the initial response to a volcanic eruption is a significant rise in
sea level of 9 +/- 3 mm in the first year after the eruption. This rise is
followed by a drop of 7 +/- 3 mm in the period 2-3 years after the eruption
relative to preeruption sea level. These results are statistically robust and no
particular volcanic eruption or ocean region dominates the signature we find.
Neither the drop nor especially the rise in GSL can be explained by models of
lower oceanic heat content. We suggest that the mechanism is a transient
disturbance of the water cycle with a delayed response of land river runoff
relative to ocean evaporation and global precipitation that affects global sea
level. The volcanic impact on the water cycle and sea levels is comparable in
magnitude to that of a large El Nino-La Nina cycle, amounting to approximately 5%
of global land precipitation.
PMID- 18056643
TI - Structure, inhibitor, and regulatory mechanism of Lyp, a lymphoid-specific
tyrosine phosphatase implicated in autoimmune diseases.
AB - The lymphoid-specific tyrosine phosphatase (Lyp) has generated enormous interest
because a single-nucleotide polymorphism in the gene (PTPN22) encoding Lyp
produces a gain-of-function mutant phosphatase that is associated with several
autoimmune diseases, including type I diabetes, rheumatoid arthritis, Graves
disease, and systemic lupus erythematosus. Thus, Lyp represents a potential
target for a broad spectrum of autoimmune disorders. Unfortunately, no Lyp
inhibitor has been reported. In addition, little is known about the structure and
biochemical mechanism that directly regulates Lyp function. Here, we report the
identification of a bidentate salicylic acid-based Lyp inhibitor I-C11 with
excellent cellular efficacy. Structural and mutational analyses indicate that the
inhibitor binds both the active site and a nearby peripheral site unique to Lyp,
thereby furnishing a solid foundation upon which inhibitors with therapeutic
potency and selectivity can be developed. Moreover, a comparison of the apo- and
inhibitor-bound Lyp structures reveals that the Lyp-specific region
S(35)TKYKADK(42), which harbors a PKC phosphorylation site, could adopt either a
loop or helical conformation. We show that Lyp is phosphorylated exclusively at
Ser-35 by PKC both in vitro and in vivo. We provide evidence that the status of
Ser-35 phosphorylation may dictate the conformational state of the insert region
and thus Lyp substrate recognition. We demonstrate that Ser-35 phosphorylation
impairs Lyp's ability to inactivate the Src family kinases and down-regulate T
cell receptor signaling. Our data establish a mechanism by which PKC could
attenuate the cellular function of Lyp, thereby augmenting T cell activation.
PMID- 18056645
TI - Perennial stream discharge in the hyperarid Atacama Desert of northern Chile
during the latest Pleistocene.
AB - A large fraction of the vital groundwater in the Atacama Desert of northern Chile
is likely composed of "fossil" or "ancient" reserves that receive little or no
recharge in today's hyperarid climate. Here, we present evidence for latest
Pleistocene perennial streamflow in canyons from the hyperarid core of the
Atacama Desert in northern Chile. Fluvial terraces in the Pampa del Tamarugal
(PdT) basin (21 degrees S) contain widespread fossil wood, in situ roots, and
well preserved leaf litter deposits indicative of perennial surface flow
currently absent in these channels. Nineteen radiocarbon dates on these deposits
from four separate drainages within this endorheic basin indicate ages from
16,380 to 13,740 cal yr BP, synchronous with paleolake Tauca on the Bolivian
Altiplano and other regional evidence for wetter conditions during the latest
Pleistocene. Groundwater-fed riparian ecosystems and associated fluvial deposits
abound today in the absence of direct rainfall in northern Atacama canyons with
perennial discharge. Our relict riparian ecosystems from the PdT basin are
indicative of conditions similar to these northern canyons. Given that discharge
was higher than present during this time, we propose that these deposits
represent the most important groundwater recharge events of the last 18,000
years. A lesser recharge event occurred during the Holocene, when phreatophytic
trees also grew in these drainages between 1,070 and 700 cal yr BP, during the
Medieval Climatic Anomaly. Taken together, our evidence lends further support for
gradient changes in the equatorial Pacific as a major driver of hydrologic change
in the Atacama on both centennial and millennial time scales.
PMID- 18056646
TI - Pseudomonas syringae type III effector AvrRpt2 alters Arabidopsis thaliana auxin
physiology.
AB - The Pseudomonas syringae type III effector AvrRpt2 promotes bacterial virulence
on Arabidopsis thaliana plants lacking a functional RPS2 gene (rps2 mutant
plants). To investigate the mechanisms underlying the virulence activity of
AvrRpt2, we examined the phenotypes of transgenic A. thaliana rps2 seedlings
constitutively expressing AvrRpt2. These seedlings exhibited phenotypes
reminiscent of A. thaliana mutants with altered auxin physiology, including
longer primary roots, increased number of lateral roots, and increased
sensitivity to exogenous auxin. They also had increased levels of free indole
acetic acid (IAA). The presence of AvrRpt2 also was correlated with a further
increase in free IAA levels during infection with P. syringae pv. tomato strain
DC3000 (PstDC3000). These results indicate that AvrRpt2 alters A. thaliana auxin
physiology. Application of the auxin analog 1-naphthaleneacetic acid promoted
disease symptom development in PstDC3000-infected plants, suggesting that
elevated auxin levels within host tissue promote PstDC3000 virulence. Thus,
AvrRpt2 may be among the virulence factors of P. syringae that modulate host
auxin physiology to promote disease.
PMID- 18056647
TI - Recruitment of conjugative DNA transfer substrate to Agrobacterium type IV
secretion apparatus.
AB - Bacterial type IV secretion system (T4SS) belongs to a growing class of
evolutionarily conserved transporters that translocate DNA and proteins into a
wide variety of organisms including bacterial and eukaryotic cells. Archetypal is
the Agrobacterium tumefaciens VirB/D4 T4SS that transfers oncogenic T-DNA to
various eukaryotic cells, which is transferred as a nucleoprotein T-complex with
VirD2 as the pilot protein. As a derivative of plasmid conjugation systems, the
VirB/D4 T4SS can also transfer certain mobilizable plasmids and bacterial
proteins like VirE2 and VirF, although it is unknown how the membrane-bound T4SS
recruits different transfer substrates. Here, we show that a cytoplasmic VirD2
binding protein (VBP) is involved in the recruitment of the T-complex to the
energizing components of the T4SS, including VirD4, VirB4, and VirB11. VBP is
also important for the recruitment of a conjugative plasmid to a different
transfer system independent of VirB/D4. These data indicate that VBP functions as
a previously unrecognized recruiting protein that helps couple nucleoprotein
substrates to the appropriate transport sites for conjugative DNA transfers. VBP
has three functionally redundant homologs, and similar homologs can be found in
different bacterial genomes, suggesting a previously uncharacterized class of
proteins involved in conjugative DNA transfers.
PMID- 18056648
TI - A phase II study of sorafenib in patients with chemo-naive castration-resistant
prostate cancer.
AB - BACKGROUND: The purpose of this trial was to evaluate the antitumor activity of
sorafenib, a multikinase inhibitor of cell proliferation and angiogenesis, in
patients with castration-resistant prostate cancer. PATIENTS AND METHODS: This
was a multicenter, two-stage, phase II study. Sorafenib 400 mg was administered
orally twice daily continuously. Primary end point was prostate-specific antigen
(PSA) 'response' defined as a > or =50% decrease for > or =4 weeks. RESULTS: In
all, 28 patients were enrolled. Eastern Cooperative Oncology Group performance
status was zero or one in 19 and 9 patients. Two patients had no metastases, and
26 had bone and/or lymph node disease. A median of two cycles (range 1-8) was
delivered. Adverse events were typical for sorafenib. The PSA response rate was
3.6% [95% confidence interval (CI) 0.1% to 18.3%] with response occurring in one
patient (baseline = 10 000 and nadir = 1643 microg/l). No measurable disease
responses occurred in eight patients. Time to PSA progression was 2.3 months (95%
CI 1.8-6.4). Of 16 patients who discontinued sorafenib and then did not receive
any immediate therapy, 10 had postdiscontinuation PSA declines of 7%-52%.
CONCLUSIONS: Sorafenib has limited activity using current PSA criteria. The
declines in PSA observed on treatment discontinuation indicate an effect on PSA
production/secretion. Further study may be warranted but needs to consider the
limitations of PSA as an indicator of progression and response.
PMID- 18056649
TI - The impact of follicular lymphoma on health-related quality of life.
AB - BACKGROUND: The purpose of this study was to determine whether there was a
relationship between disease activity and health functioning, as measured by a
range of patient-reported outcome (PRO) measures in patients with follicular
lymphoma (FL). PATIENTS AND METHODS: A total of 222 patients with FL were
recruited from eight sites across the UK and they completed a number of PRO
measures. The participants were analyzed across five disease states: 'active
disease-newly diagnosed', 'active disease-relapsed', 'partial response',
'complete response' and 'disease free'. The relationship between these disease
states and their level of health functioning was assessed as well as the
relationship between being 'on' or 'off' chemotherapy and disease state. RESULTS:
In terms of health-related quality of life (HRQoL), participants in the relapsed
category had the lowest mean physical well-being, emotional well-being,
functional well-being and social well-being score. In a regression analysis, the
'active disease-relapsed' group acted as a significant predictor for each PRO
variable. In addition, the remission group acted as a significant predictor of
high anxiety scores as measured by the Hospital Anxiety and Depression Scale.
CONCLUSION: The results of this study demonstrate that various aspects of patient
reported health outcomes differ according to disease state in patients with FL.
For those patients who have relapsed, they are more likely to experience worse
HRQoL and other patient-reported health outcomes than patients newly diagnosed,
in partial or complete remission or when completely disease free.
PMID- 18056650
TI - Compassionate use of bevacizumab (Avastin) in children and young adults with
refractory or recurrent solid tumors.
AB - BACKGROUND: The aim of this study was to evaluate feasibility and toxicity of
bevacizumab (Avastin), a monoclonal antibody directed against the vascular
endothelial growth factor in children and young adults. PATIENTS AND METHODS:
Fifteen patients (male: n = 8; female: n = 7; median age, 14.6 years) received
bevacizumab for recurrent or progressive solid tumors (carcinoma: n = 3;
neuroblastoma: n = 2; astrocytoma grade III: n = 2; rhabdomyosarcoma: n = 2;
nephroblastoma: n = 2; benign vascular tumors: n = 2; synovial sarcoma: n = 1;
and malignant hemangiopericytoma: n = 1) on a compassionate basis. Bevacizumab
was administered at 5-10 mg/kg body weight intravenously every 2-3 weeks. Most
patients received chemotherapy in addition to bevacizumab. Duration of
bevacizumab therapy ranged from 1.5 to 23 months. RESULTS: Bevacizumab-related
side-effects were mild and included hypertonia (n = 2), proteinuria/hematuria (n
= 2), epistaxis (n = 2), local erythema (n = 1), and defective wound healing and
ascites (n = 1). Radiographic objective responses (partial responses) were
observed in two patients with astrocytoma grade III and in one patient each with
neuroblastoma and pleomorphic rhabdomyosarcoma, respectively. CONCLUSIONS:
Bevacizumab seems to have a good acute safety profile and some antitumor activity
in heavily pretreated children and young adults with recurrent solid tumors.
Prospective clinical trials are urgently needed to further evaluate the safety
and efficacy of bevacizumab in pediatric patients.
PMID- 18056651
TI - Sensitive detection of isoglobo and globo series tetraglycosylceramides in human
thymus by ion trap mass spectrometry.
AB - Glycosphingolipids serve as ligands for receptors involved in signal transduction
and immune recognition, as exemplified by isoglobotrihexosylceramide, an
antigenic ligand for T cell receptors. Mechanistic studies on the regulation of
isoglobotrihexosylceramide require biochemical measurement of its lysosomal
precursor, isoglobotetraglycosylceramide. It remains a challenge to distinguish
between complex tetraglycosylceramide glycosphingolipid isomers with the same
sugar components but diverse internal linkages. Here we established a simple and
sensitive method to separate globo- and isoglobotetraglycosylceramide by MS5 ion
trap mass spectrometry, and report the identification of
isoglobotetraglycosylceramide in a CHO cell line transfected by iGb3 synthase, as
well as in human thymus.
PMID- 18056652
TI - Neural complex-specific expression of xylosyl N-glycan in Ciona intestinalis.
AB - We herein report N-glycosylation profiles of the individual tissues derived from
the ascidian Ciona intestinalis. Multidimensional HPLC mapping revealed that the
C. intestinalis expresses high-mannose-type oligosaccharides as major N-glycans,
along with paucimannose-type and complex-type oligosaccharides, in a tissue
specific manner. Notably, the trimannosyl core carrying beta1,2-xylose and
alpha1,3-fucose residues was identified as a principal N-glycan in the neural
complex. As far as we know, this is the first description of xylosyl N-glycan
expressed in deuterostome. Furthermore, we found that this xylosyl N-glycan is
exclusively displayed on a membrane-associated protein so far described as a
putative protein whose gene expression is specific for the neural complex. These
data suggested that the xylosyl N-glycan is associated with some neural functions
of C. intestinalis.
PMID- 18056653
TI - Learning from the health care systems of other countries.
PMID- 18056654
TI - Achieving a high-performance health care system with universal access: what the
United States can learn from other countries.
AB - This position paper concerns improving health care in the United States. Unlike
previous highly focused policy papers by the American College of Physicians, this
article takes a comprehensive approach to improving access, quality, and
efficiency of care. The first part describes health care in the United States.
The second compares it with health care in other countries. The concluding
section proposes lessons that the United States can learn from these countries
and recommendations for achieving a high-performance health care system in the
United States. The articles are based on a position paper developed by the
American College of Physicians' Health and Public Policy Committee. This policy
paper (not included in this article) also provides a detailed analysis of health
care systems in 12 other industrialized countries. Although we can learn much
from other health systems, the College recognizes that our political and social
culture, demographics, and form of government will shape any solution for the
United States. This caution notwithstanding, we have identified several
approaches that have worked well for countries like ours and could probably be
adapted to the unique circumstances in the United States.
PMID- 18056655
TI - Summaries for patients. Emergency department visits for adverse drug events in
older adults.
PMID- 18056657
TI - Summaries for patients. Screening for high blood pressure: U.S. Preventive
Services Task Force recommendation.
PMID- 18056656
TI - Summaries for patients. Risk for fatal pulmonary embolism after discontinuing
anticoagulation.
PMID- 18056658
TI - In the Clinic. Heart failure.
PMID- 18056659
TI - Medication use leading to emergency department visits for adverse drug events in
older adults.
AB - BACKGROUND: The Beers criteria identify inappropriate use of medications in older
adults. The number of and risk for adverse events from these medications are
unknown. OBJECTIVE: To estimate the number of and risk for emergency department
visits for adverse events involving Beers criteria medications compared with
other medications. DESIGN: Nationally representative, public health surveillance
of adverse drug events and a cross-sectional survey of outpatient medical visits.
SETTING: National Electronic Injury Surveillance System-Cooperative Adverse Drug
Event Surveillance System, 2004-2005; National Ambulatory Medical Care Survey,
2004; and National Hospital Ambulatory Medical Care Survey, 2004. PARTICIPANTS:
Persons 65 years of age or older seeking emergency department and outpatient
care. MEASUREMENTS: Estimated number of and risks for emergency department visits
for adverse drug events involving Beers criteria medications and other
medications. RESULTS: Among U.S. patients 65 years of age or older, an estimated
177,504 emergency department visits (95% CI, 100,155 to 254,854 visits) for
adverse drug events occurred both years. An estimated 3.6% (CI, 2.8% to 4.5%) of
these visits were for adverse events medications considered to be always
potentially inappropriate, according to the Beers criteria, and 33.3% (CI, 27.8%
to 38.7%) of visits were for adverse events from 3 other medications (warfarin
[17.3%], insulin [13.0%], and digoxin [3.2%]). Accounting for outpatient
prescription frequency, the risk for emergency department visits for adverse
events due to these 3 medications was 35 times (CI, 9.6 to 61) greater than that
for medications considered to be always potentially inappropriate. LIMITATION:
Adverse events were identified only in emergency departments. CONCLUSION:
Compared with other medications, Beers criteria medications caused low numbers of
and few risks for emergency department visits for adverse events. Performance
measures and interventions targeting warfarin, insulin, and digoxin use could
prevent more emergency department visits for adverse events.
PMID- 18056660
TI - The risk for fatal pulmonary embolism after discontinuing anticoagulant therapy
for venous thromboembolism.
AB - BACKGROUND: The long-term risk for fatal pulmonary embolism (PE) after treatment
of venous thromboembolism (VTE) may be an important factor in the decision to
discontinue this treatment. OBJECTIVE: To provide reliable and precise estimates
of the annual risk for fatal PE and the case-fatality rate of disease recurrence
and to assess these outcomes according to the initial presentation of VTE (deep
venous thrombosis [DVT], PE, or both) and its etiology (secondary or idiopathic)
in patients who have discontinued anticoagulant therapy. DESIGN: Prospective
cohort study. SETTING: Academic medical centers. PATIENTS: Inception cohort of
patients with a first episode of symptomatic VTE who discontinued anticoagulant
therapy. MEASUREMENTS: Incidence rates of any fatal PE (which included sudden
death from possible fatal PE) and definite or probable PE per 100 person-years of
follow-up and case-fatality rate of recurrent VTE. RESULTS: Of 2052 patients
studied, 1450 had DVT, 310 had PE, and 292 had DVT and PE. The mean duration of
previous anticoagulant therapy was 6 months (range, 3 to 39 months), and the mean
duration of follow-up after discontinuation of treatment was 54 months (range, 1
to 120 months). The annual risk for any fatal PE and definite or probable fatal
PE after discontinuation of anticoagulation was 0.49 events (95% CI, 0.36 to 0.64
events) per 100 person-years and 0.19 events (CI, 0.12 to 0.30 events) per 100
person-years, respectively. The case-fatality rate of recurrent disease was 9.0%
(CI, 6.8% to 11.8%) for any fatal PE and 3.8% (CI, 2.4% to 5.9%) for definite or
probable fatal PE. LIMITATION: The findings are less pertinent to patients with
active cancer, permanent immobility, or high-risk thrombophilia. CONCLUSION: The
risk for fatal PE is 0.19 to 0.49 events per 100 person-years for patients who
have finished a course of anticoagulant therapy for a first episode of
symptomatic VTE. The case-fatality rate for death from recurrent PE is 4% to 9%.
This information helps to inform patient prognosis and may assist clinicians in
deciding whether to discontinue anticoagulant therapy for VTE.
PMID- 18056661
TI - Update in oncology.
PMID- 18056662
TI - Screening for high blood pressure: U.S. Preventive Services Task Force
reaffirmation recommendation statement.
AB - DESCRIPTION: Reaffirmation of the 2003 U.S. Preventive Services Task Force
statement about screening for high blood pressure. METHODS: The U.S. Preventive
Services Task Force did a targeted literature search for evidence on the benefits
and harms of screening for high blood pressure. RECOMMENDATION: Screen for high
blood pressure in adults age 18 years or older. (Grade A recommendation).
PMID- 18056663
TI - Evidence for the reaffirmation of the U.S. Preventive Services Task Force
recommendation on screening for high blood pressure.
AB - BACKGROUND: High blood pressure is common, and screening is a well-established
evidence-based standard of current medical practice. PURPOSE: To perform a
literature search for new, substantial evidence on screening for high blood
pressure that would inform the reaffirmation of the U.S. Preventive Services Task
Force recommendation on screening for high blood pressure. DATA SOURCES: The
PubMed and Cochrane databases were searched. The searches were limited to English
language articles on studies of adult humans (age >18 years) that were published
between 1 October 2001 and 31 March 2006 in core clinical journals. STUDY
SELECTION: For the literature on benefits, meta-analyses; systematic reviews; and
randomized, controlled trials were included. For harms, meta-analyses; systematic
reviews; randomized, controlled trials; cohort studies; case-control studies; and
case series of large, multisite databases were included. Two reviewers
independently reviewed titles, abstracts, and full articles for inclusion. DATA
EXTRACTION: No new evidence was found on benefits or harms of screening. Two
reviewers extracted data from studies on the harms of early treatment, including
adverse effects of drug therapy and adverse quality-of-life outcomes. DATA
SYNTHESIS: No new evidence was found for the benefits of screening for high blood
pressure. New evidence on the harms of treatment of early hypertension shows that
pharmacologic therapy is associated with common side effects; serious adverse
events are uncommon. LIMITATIONS: The nonsystematic search may have missed some
smaller studies on the benefits and harms of screening and treatment for high
blood pressure. CONCLUSION: No new evidence was found on the benefits of
screening. Pharmacotherapy for early hypertension is associated with common side
effects.
PMID- 18056664
TI - Pay-for-performance principles that promote patient-centered care: an ethics
manifesto.
AB - Pay-for-performance programs are growing, but little evidence exists on their
effectiveness or on their potential unintended consequences and effects on the
patient-physician relationship. Pay-for-performance has the potential to help
improve the quality of care, if it can be aligned with the goals of medical
professionalism. Initiatives that provide incentives for a few specific elements
of a single disease or condition, however, may neglect the complexity of care for
the whole patient, especially the elderly patient with multiple chronic
conditions. Such programs could also result in the deselection of patients,
"playing to the measures" rather than focusing on the patient as a whole, and
misalignment of perceptions between physicians and patients. The primary focus of
the quality movement in health care should not be on "pay for" or "performance"
based on limited measures, but rather on the patient. The American College of
Physicians hopes to move the pay-for-performance debate forward with a patient
centered focus--one that puts the needs and interests of the patient first--as
these programs evolve.
PMID- 18056665
TI - Professionalism in medicine: results of a national survey of physicians.
AB - BACKGROUND: The prospect of improving care through increasing professionalism has
been gaining momentum among physician organizations. Although there have been
efforts to define and promote professionalism, few data are available on
physician attitudes toward and conformance with professional norms. OBJECTIVE: To
ascertain the extent to which practicing physicians agree with and act
consistently with norms of professionalism. DESIGN: National survey using a
stratified random sample. SETTING: Medical care in the United States.
PARTICIPANTS: 3504 practicing physicians in internal medicine, family practice,
pediatrics, surgery, anesthesiology, and cardiology. MEASUREMENTS: Attitudes and
behaviors were assessed by using indicators for each domain of professionalism
developed by the American College of Physicians and the American Board of
Internal Medicine. Of the eligible sampled physicians, 1662 responded, yielding a
58% weighted response rate (adjusting for noneligible physicians). RESULTS:
Ninety percent or more of the respondents agreed with specific statements about
principles of fair distribution of finite resources, improving access to and
quality of care, managing conflicts of interest, and professional self
regulation. Twenty-four percent disagreed that periodic recertification was
desirable. Physician behavior did not always reflect the standards they endorsed.
For example, although 96% of respondents agreed that physicians should report
impaired or incompetent colleagues to relevant authorities, 45% of respondents
who encountered such colleagues had not reported them. LIMITATIONS: Our measures
of behavior did not capture all activities that may reflect on the norms in
question. Furthermore, behaviors were self-reported, and the results may not be
generalizable to physicians in specialties not included in the study. CONCLUSION:
Physicians agreed with standards of professional behavior promulgated by
professional societies. Reported behavior, however, did not always conform to
those norms.
PMID- 18056666
TI - Ventilator-associated pneumonia--the wrong quality measure for benchmarking.
AB - Legislators, payers, and quality-of-care advocates across the United States are
considering requiring hospitals to report ventilator-associated pneumonia rates
as a way to benchmark and reward quality of care. Accurate diagnosis of
ventilator-associated pneumonia, however, is notoriously difficult because
several common complications of critical care can mimic the clinical appearance
of ventilator-associated pneumonia. The challenge is compounded by substantial
subjectivity inherent in the current surveillance definition. These sources of
variability make ventilator-associated pneumonia rates difficult to acquire,
interpret, and compare both within and among institutions. Ventilator-associated
pneumonia should be excluded from compulsory reporting initiatives until we
develop and validate more objective outcome measures that meaningfully reflect
quality of care for ventilated patients.
PMID- 18056667
TI - Evolution of therapy for chronic hepatitis B: progressing from the simple to the
complex.
PMID- 18056668
TI - Medical professionalism and the parable of the craft guilds.
PMID- 18056669
TI - An uneasy understanding.
PMID- 18056670
TI - Risks of intravenous immunoglobulin in sepsis affect trial design.
PMID- 18056671
TI - The declining number and variety of procedures done by general internists.
PMID- 18056672
TI - The declining number and variety of procedures done by general internists.
PMID- 18056673
TI - The declining number and variety of procedures done by general internists.
PMID- 18056674
TI - The declining number and variety of procedures done by general internists.
PMID- 18056675
TI - Strategies for timely and effective hospice discussions: end-stage renal disease.
PMID- 18056676
TI - Pharmacogenetics of efavirenz: adherence and virologic outcomes.
PMID- 18056677
TI - Protein biomarker identification in the CSF of patients with CNS lymphoma.
AB - PURPOSE: Elucidation of the CSF proteome may yield insights into the pathogenesis
of CNS disease. We tested the hypothesis that individual CSF proteins distinguish
CNS lymphoma from benign focal brain lesions. METHODS: We used a liquid
chromatography/mass spectrometry-based method to differentially quantify and
identify several hundred CSF proteins in CNS lymphoma and control patients. We
used enzyme-linked immunosorbent assay (ELISA) to confirm one of these markers in
an additional validation set of 101 cases. RESULTS: Approximately 80 CSF proteins
were identified and found to be present at significantly different
concentrations, both higher and lower, in training and test studies, which were
highly concordant. To further validate these observations, we defined in detail
the expression of one of these candidate biomarkers, antithrombin III (ATIII).
ATIII RNA transcripts were identified within CNS lymphomas, and ATIII protein was
localized selectively to tumor neovasculature. Determination of ATIII
concentration by ELISA was significantly more accurate (> 75% sensitivity; > 98%
specificity) than cytology in the identification of cancer. Measurement of CSF
ATIII levels was found to potentially enhance the ability to diagnose and predict
outcome. CONCLUSION: Our findings demonstrate for the first time that proteomic
analysis of CSF yields individual biomarkers with greater sensitivity in the
identification of cancer than does CSF cytology. We propose that the discovery of
CSF protein biomarkers will facilitate early and noninvasive diagnosis in
patients with lesions not amenable to brain biopsy, as well as provide improved
surrogates of prognosis and treatment response in CNS lymphoma and brain
metastasis.
PMID- 18056678
TI - Stem-cell transplantation for multiple myeloma in the era of novel drugs.
AB - The treatment of multiple myeloma (MM) is changing rapidly. During the last 10
years, higher rates of complete response (CR) and prolonged progression-free and
overall survival have been seen with high-dose chemotherapy plus autologous stem
cell transplantation (HDT-ASCT). Achievement of CR and good partial response have
been shown to be key prognostic factors for prolonged survival, with eradication
of minimal residual disease seeming crucial to long-term disease-free survival.
Until recently, high rates of CR and other major responses were primarily seen
with HDT-ASCT, but insights into the biology of MM have led to the development
and approval of new drugs with significant activity, and new induction regimens
based on these novel agents are offering improved responses. Thalidomide,
bortezomib, and lenalidomide have been combined with corticosteroids, alkylators,
and anthracyclines in front-line MM treatment. Phase II studies have indicated
that high rates of response and CR may be achieved. The substantial activity seen
with these new drug combinations has prompted a re-examination of the role of SCT
in MM treatment. Will achievement of major responses with these new regimens
translate into improved survival after consolidation with transplantation? Will
these improved induction regimens reduce the need for tandem transplantation, or
does achievement of CR obviate the need for front-line transplantation
altogether? To help address these questions, randomized trials are needed, as
well as tests with improved sensitivity to better define depth of remission.
PMID- 18056679
TI - Nonmyeloablative allogeneic hematopoietic cell transplantation in relapsed,
refractory, and transformed indolent non-Hodgkin's lymphoma.
AB - PURPOSE: Few effective treatment options exist for chemotherapy-refractory
indolent or transformed non-Hodgkin's lymphoma (NHL). We examined the outcome of
nonmyeloablative allogeneic hematopoietic cell transplantation (HCT) in this
setting. PATIENTS AND METHODS: Sixty-two patients with indolent or transformed
NHL were treated with allogeneic HCT from related (n = 34) or unrelated (n = 28)
donors after conditioning with 2 Gy of total-body irradiation with or without
fludarabine. Nine unrelated donors were mismatched for >/= one HLA antigen.
Sixteen patients had histologic transformation before HCT. Twenty patients (32%)
had progressive disease after previous high-dose therapy with autologous HCT.
Median age was 54 years, and patients had received a median of six lines of
treatment before HCT. Median follow-up time after HCT was 36.6 months. RESULTS:
At 3 years, the estimated overall survival (OS) and progression-free survival
(PFS) rates were 52% and 43%, respectively, for patients with indolent disease,
and 18% and 21%, respectively, for patients with transformed disease. Patients
with indolent disease and related donors (n = 26) had 3-year estimated OS and PFS
rates of 67% and 54%, respectively. The incidences of grade 2 to 4 acute graft
versus-host disease (GVHD), grade 3 and 4 acute GVHD, and extensive chronic GVHD
were 63%, 18%, and 47%, respectively. Among survivors, the median Karnofsky
performance status at last follow-up was 85%. CONCLUSION: Nonmyeloablative
allogeneic HCT can produce durable disease-free survival in patients with
relapsed or refractory indolent NHL, even in this relatively elderly and heavily
pretreated cohort. Outcomes were particularly good in patients with untransformed
disease and related donors, whereas patients with transformed disease did poorly.
Long-term survivors reported good overall functional status.
PMID- 18056680
TI - Combined use of clinical and pathologic staging variables to define outcomes for
breast cancer patients treated with neoadjuvant therapy.
AB - PURPOSE: Neoadjuvant chemotherapy is being used with increasing frequency for
operable breast cancer. We hypothesized that by using clinical and pathologic
staging parameters, in conjunction with biologic tumor markers, a novel means of
determining prognosis for patients treated with neoadjuvant chemotherapy could be
facilitated. PATIENTS AND METHODS: A prospective database of patients treated
with neoadjuvant chemotherapy from 1997 to 2003 was reviewed, and 932 patients
meeting inclusion criteria were identified. Clinical and pathologic tumor
characteristics, treatment regimens, and patient outcomes were recorded. Cox
proportional hazards models were used to create two prognostic scoring systems.
American Joint Committee on Cancer (AJCC) clinical and pathologic staging
parameters and biologic tumor markers were investigated to devise the scoring
systems. RESULTS: Median follow-up time was 5 years (range, 0.4 to 9.4 years).
Five-year disease-specific survival rate was 96% for patients who experienced a
pathologic complete response (pCR; n = 130) compared with 87% for patients who
did not have a pCR (n = 802; P = .001). Two scoring systems, based on summing
binary indicators for clinical substages >/= IIB and >/= IIIB, pathologic
substages >/= ypIIA and >/= ypIIIC, negative estrogen receptor status, and grade
3 pathology, were devised to predict 5-year patient outcomes. These scoring
systems facilitated separation of the study population into more refined
subgroups by outcome than the current AJCC staging system. CONCLUSION: The
scoring systems derived in this work provide a novel means for evaluating
prognosis after neoadjuvant therapy. Future work will focus on prospective
validation of these scoring systems and refinement of the scoring systems through
addition of new biologic markers.
PMID- 18056681
TI - Large-scale appearance of ultraconserved elements in tetrapod genomes and
slowdown of the molecular clock.
AB - Mammalian genomes contain millions of highly conserved noncoding sequences, many
of which are regulatory. The most extreme examples are the 481 ultraconserved
elements (UCEs) that are identical over at least 200 bp in human, mouse, and rat
and show 96% identity with chicken, which diverged approximately 310 MYA. If the
substitution rate in UCEs remained constant, these elements should also be
present with a high level of identity in fish (approximately 450 Myr), but this
is not the case, suggesting that many appeared in the amniotes or tetrapods or
that the molecular clock has slowed down in these lineages, or both. Taking
advantage of the availability of multiple genomes, we identified 13,736 UCEs in
the human genome that are identical over at least 100 bp in at least 3 of 5
placental mammals, including 2,189 sequences over at least 200 bp, thereby
greatly expanding the repertoire of known UCEs, and investigated the evolution of
these sequences in opossum, chicken, frog, and fish. We conclude that there was a
massive genome-wide acquisition and expansion of UCEs during tetrapod and then
amniote evolution, accompanied by a slowdown of the molecular clock, particularly
in the amniotes, a process consistent with their functional exaptation in these
lineages. The majority of tetrapod-specific UCEs are noncoding and associated
with genes involved in regulation of transcription and development. In contrast,
fish genomes contain relatively few UCEs, the majority of which are common to all
bony vertebrates. These elements are different from other conserved noncoding
elements and appear to be important regulatory innovations that became fixed
following the emergence of vertebrates from the sea to the land.
PMID- 18056682
TI - Commentary on Alex Cohen et al: "Questioning an axiom: better prognosis for
schizophrenia in the developing world".
PMID- 18056683
TI - A common VLDLR polymorphism interacts with APOE genotype in the prediction of
carotid artery disease risk.
AB - The genetic factors associated with carotid artery disease (CAAD) are not fully
known. Because of its role in lipid metabolism, we hypothesized that common
genetic variation in the very low density lipoprotein receptor (VLDLR) gene is
associated with severe CAAD (>80% stenosis), body mass index (BMI), and lipid
traits in humans. VLDLR was resequenced for variation discovery in 92 subjects,
and single nucleotide polymorphisms (tagSNPs) were chosen for genotyping in a
larger cohort (n = 1,027). Of the 17 tagSNPs genotyped, one tagSNP (SNP 1226;
rs1454626) located in the 5' flanking region of VLDLR was associated with CAAD,
BMI, and LDL-associated apolipoprotein B (apoB). We also identified receptor
ligand genetic interactions between VLDLR 1226 and APOE genotype for predicting
CAAD case status. These findings may further our understanding of VLDLR function,
its ligand APOE, and ultimately the pathogenesis of CAAD in the general
population.
PMID- 18056684
TI - An apolipoprotein A-I mimetic dose-dependently increases the formation of
prebeta1 HDL in human plasma.
AB - Prebeta1 HDL is the initial plasma acceptor of cell-derived cholesterol in
reverse cholesterol transport. Recently, small amphipathic peptides composed of D
amino acids have been shown to mimic apolipoprotein A-I (apoA-I) as a precursor
for HDL formation. ApoA-I mimetic peptides have been proposed to stimulate the
formation of prebeta1 HDL and increase reverse cholesterol transport in apoE-null
mice. The existence of a monoclonal antibody (MAb 55201) and a corresponding
ELISA method that is selective for the detection of the prebeta(1) subclass of
HDL provides a means of establishing a correlation between apoA-I mimetic dose
and prebeta1 HDL formation in human plasma. Using this prebeta1 HDL ELISA, we
demonstrate marked apoA-I mimetic dose-dependent prebeta1 HDL formation in human
plasma. These results correlated with increases in band density of the plasma
prebeta1 HDL, when observed by Western blotting, as a function of increased apoA
I mimetic concentration. Increased prebeta1 HDL formation was observed after as
little as 1 min and was maximal within 1 h. Together, these data suggest that a
high-throughput prebeta1 HDL ELISA provides a way to quantitatively measure a key
component of the reverse cholesterol transport pathway in human plasma, thus
providing a possible method for the identification of apoA-I mimetic molecules.
PMID- 18056685
TI - Effect of apolipoprotein A-V on plasma triglyceride, lipoprotein size, and
composition in genetically engineered mice.
AB - Transgenic (Tg) mice that overexpress the human apolipoprotein A-V gene (APOA5)
yet lack an endogenous mouse apoa5 gene (APOA5 Tg mice) were generated.
Subsequently, the effect of human apoA-V expression on plasma triglyceride (TG)
concentration and lipoprotein and apolipoprotein distribution was determined and
compared with that in mice deficient in apoA-V (apoa5(-/-) mice). NMR analysis of
plasma lipoproteins revealed that APOA5 Tg mice had a very low VLDL concentration
(26.4 +/- 7.7 nmol/dl), whereas VLDL in apoa5(-/-) mice was 18- fold higher (467
+/- 152 nmol/dl). SDS-PAGE analysis of the d < 1.063 g/ml plasma fraction
revealed that the apoB-100/apoB-48 ratio was 14-fold higher in APOA5 Tg versus
apoa5(-/-) mice and that the apoE/total apoB ratio was 7-fold greater in APOA5 Tg
versus apoa5(-/-) mice. It is anticipated that a reduction in apoB-100/apoB-48
ratio as well as that for apoE/apoB would impair the uptake of VLDL and remnants
in apoa5(-/-) mice, thereby contributing to increased plasma TG levels. The
concentration of apoA-V in APOA5 Tg mice was 12.5 +/- 2.9 microg/ml, which is
approximately 50- to 100-fold higher than that reported for normolipidemic
humans. ApoA-V was predominantly associated with HDL but was rapidly and
efficiently redistributed to apoA- V-deficient VLDL upon incubation. Consistent
with findings reported for human subjects, apoA-V concentration was positively
correlated with TG levels in normolipidemic APOA5 Tg mice. It is conceivable
that, in a situation in which apoA-V is chronically overexpressed, complex
interactions among factors regulating TG homeostasis may result in a positive
correlation of apoA-V with TG concentrations.
PMID- 18056686
TI - Predicting renal graft failure using multivariate longitudinal profiles.
AB - Patients who have undergone renal transplantation are monitored longitudinally at
irregular time intervals over 10 years or more. This yields a set of biochemical
and physiological markers containing valuable information to anticipate a failure
of the graft. A general linear, generalized linear, or nonlinear mixed model is
used to describe the longitudinal profile of each marker. To account for the
correlation between markers, the univariate mixed models are combined into a
multivariate mixed model (MMM) by specifying a joint distribution for the random
effects. Due to the high number of markers, a pairwise modeling strategy, where
all possible pairs of bivariate mixed models are fitted, is used to obtain
parameter estimates for the MMM. These estimates are used in a Bayes rule to
obtain, at each point in time, the prognosis for long-term success of the
transplant. It is shown that allowing the markers to be correlated can improve
this prognosis.
PMID- 18056687
TI - Robust combination of multiple diagnostic tests for classifying censored event
times.
AB - Recent advancement in technology promises to yield a multitude of tests for
disease diagnosis and prognosis. When there are multiple sources of information
available, it is often of interest to construct a composite score that can
provide better classification accuracy than any individual measurement. In this
paper, we consider robust procedures for optimally combining tests when test
results are measured prior to disease onset and disease status evolves over time.
To account for censoring of disease onset time, the most commonly used approach
to combining tests to detect subsequent disease status is to fit a proportional
hazards model (Cox, 1972) and use the estimated risk score. However, simulation
studies suggested that such a risk score may have poor accuracy when the
proportional hazards assumption fails. We propose the use of a nonparametric
transformation model (Han, 1987) as a working model to derive an optimal
composite score with theoretical justification. We demonstrate that the proposed
score is the optimal score when the model holds and is optimal "on average" among
linear scores even if the model fails. Time-dependent sensitivity, specificity,
and receiver operating characteristic curve functions are used to quantify the
accuracy of the resulting composite score. We provide consistent and
asymptotically Gaussian estimators of these accuracy measures. A simple model
free resampling procedure is proposed to obtain all consistent variance
estimators. We illustrate the new proposals with simulation studies and an
analysis of a breast cancer gene expression data set.
PMID- 18056689
TI - Rett syndrome: recent research progress.
AB - Recent progress in our understanding of Rett syndrome has been dramatic. Against
the background that the clinical features of Rett syndrome may be reversible, in
part or in whole, substantial optimism has emerged regarding possible therapies.
As such, it is timely to update recent research progress. This update summarizes
research advances during the past 18 to 24 months in terms of clinical and
translational research, as well as basic research.
PMID- 18056690
TI - Cognitive and psychological profile of males with Becker muscular dystrophy.
AB - Duchenne and Becker muscular dystrophy are allelic X-linked disorders causing
progressive muscle weakness in males. Duchenne muscular dystrophy is caused by
absence of dystrophin in muscle and brain; boys with Duchenne muscular dystrophy
have a static cognitive impairment with mean Full Scale IQ approximately 1
standard deviation below the mean. Less is known of the cognitive profile of
males with Becker muscular dystrophy, which is associated with variable
alterations in the amount or size of the dystrophin protein. The aim of this
study was to describe the cognitive and psychological profile of males with
Becker muscular dystrophy. This was a prospective cohort study. Clinical data
collected included age at diagnosis and assessment, socioeconomic status, serum
creatine kinase level, and site of gene deletion/mutation (by exon number). The
following psychological tests were used to assess general intellectual
functioning, academic achievement, incidence and nature of behavioral problems:
The Wechsler Intelligence Scales, The Wide Range Achievement Test-Revised, The
Developmental Test of Visual-Motor Integration, The Child Behavior Checklist, and
The Conner's Parent Rating Scale. Twenty-four males were enrolled. The Wechsler
Full Scale IQ was normally distributed with a mean of 95.6 (SD 23.3), which did
not differ significantly from the population mean. The frequency of learning
difficulties for reading was 21%, for spelling was 32%, and for arithmetic was
26%, significantly higher than the frequency in the general population. The
frequency of total behavioral problems in the clinical range was 67%, and the
frequency of autism was 8.3%. Patients with Becker muscular dystrophy demonstrate
a less homogeneous cognitive phenotype than that seen in Duchenne muscular
dystrophy. Males with Becker muscular dystrophy have a high incidence of learning
difficulties. Autism and behavioral and attention problems are also more common
in Becker muscular dystrophy than in the general population.
PMID- 18056688
TI - Transcranial magnetic stimulation in child neurology: current and future
directions.
AB - Transcranial magnetic stimulation (TMS) is a method for focal brain stimulation
based on the principle of electromagnetic induction, where small intracranial
electric currents are generated by a powerful, rapidly changing extracranial
magnetic field. Over the past 2 decades TMS has shown promise in the diagnosis,
monitoring, and treatment of neurological and psychiatric disease in adults, but
has been used on a more limited basis in children. We reviewed the literature to
identify potential diagnostic and therapeutic applications of TMS in child
neurology and also its safety in pediatrics. Although TMS has not been associated
with any serious side effects in children and appears to be well tolerated,
general safety guidelines should be established. The potential for applications
of TMS in child neurology and psychiatry is significant. Given its excellent
safety profile and possible therapeutic effect, this technique should develop as
an important tool in pediatric neurology over the next decade.
PMID- 18056691
TI - Autism spectrum disorders: concurrent clinical disorders.
AB - Individuals with autism spectrum disorder are heterogeneous in clinical
presentation, concurrent disorders, and developmental outcomes. This study
characterized the clinical co-occurrences and potential subgroups in 160 children
with autism spectrum disorders who presented to The Autism Center between 1999
and 2003. Medical and psychiatric co-occurrences included sleep disorders,
epilepsy, food intolerance, gastrointestinal dysfunction, mood disorder, and
aggressive and self-injurious behaviors. Sleep disorders were associated with
gastrointestinal dysfunction (P < .05) and mood disorders (P < .01). Food
intolerance was associated with gastrointestinal dysfunction (P = .001). Subjects
with mood disorder tended to develop aggressive or self-injurious behaviors (P <
.05). Developmental regression was not associated with increased co-occurrence of
medical or psychiatric disorders. Medical co-occurrence did not present as a risk
factor for psychiatric co-occurrence, and vice versa. These results showed a high
prevalence of multiple medical and psychiatric co-occurrences. There may be
common pathophysiologic mechanisms resulting in clinical subgroups of autism
spectrum disorders. Recognition of the co-occurrence of concurrent disorders may
provide insight into the therapeutic strategy.
PMID- 18056692
TI - Partial trisomy of 7q: case report and literature review.
AB - This case describes a boy with pure partial trisomy of the long arm of chromosome
7. The only prenatal finding on the boy was cerebral ventricular enlargement.
After birth, mild facial dysmorphic features and cardiac malformations (pulmonary
valve dysplasia, interatrial and interventricular septal defects) were detected.
The boy developed severe psychomotor retardation, failure to thrive, and poor
interaction with the environment. Focal seizures occurred in the neonatal period.
Left frontotemporal abnormalities were observed in the subsequent
electroencephalograms. An area of subependymal nodular heterotopia in the right
frontal region was detected. Eighteen cases of 7q pure trisomy have been
described in the literature over the years. The present study confirms that, in
7q trisomy cases, there are several common, yet nonspecific, features:
macrocephaly, frontal bossing, failure to thrive, psychomotor delay, low-set
ears, short neck, and genital-urinary tract abnormalities. Shortened life span
seems associated only with duplication of the entire arm, and correlation
phenotype-genotype seems questionable.
PMID- 18056693
TI - Transcranial Doppler ultrasound in children with Sturge-Weber syndrome.
AB - Transcranial Doppler ultrasound is a noninvasive vascular assessment technique
proved useful in the management of pediatric disorders predisposed to stroke and
may have similar utility for Sturge-Weber syndrome. Eight children with Sturge
Weber syndrome had velocities measured in the major cerebral arteries via the
Stroke Prevention Trial in Sickle Cell Anemia methodology. Velocities and
pulsatility indexes were compared between the unaffected and affected sides. All
subjects had reduced velocity on the affected side; the mean middle cerebral
artery percentage difference was 20% (95% CI, 15%-25%). Pulsatility index was
increased on the affected side; mean middle cerebral artery pulsatility index
percentage difference, 34% (95% CI, 15%-53%). Six subjects also had reduced
posterior cerebral artery velocity on the affected side. Side-to-side differences
in middle cerebral artery and posterior cerebral artery velocities correlated
with severity of MRI asymmetry (Spearman rho = 0.88, P = .02). Decreased arterial
flow velocity and increased pulsatility index in the middle cerebral artery and
posterior cerebral artery suggests a high resistance pattern that may reflect
venous stasis and may contribute to chronic hypoperfusion of brain tissue.
Further study of Transcranial Doppler in children with Sturge-Weber syndrome is
indicated.
PMID- 18056694
TI - Juvenile onset central nervous system folate deficiency and rheumatoid arthritis.
AB - Isolated cerebral folate deficiency was detected in a 13-year-old girl with
cognitive and motor difficulties and juvenile rheumatoid arthritis. Her serum
contains autoantibodies that block membrane-bound folate receptors that are on
the choroid plexus and diminish the uptake of folate into the spinal fluid.
Whereas her serum folate exceeded 21 ng/mL, her spinal fluid contained 3.2 ng/mL
of 5-methyltetrahydrofolate as a consequence of the autoantibodies diminishing
the uptake of this folate.
PMID- 18056695
TI - Endoscopic management of hydrocephalus in pediatric patients: a review of
indications, techniques, and outcomes.
AB - Although ventriculoperitoneal shunting remains the treatment of choice for many
children with hydrocephalus, advances in endoscopic technology have greatly
expanded the treatment options for these patients. For selected patients with
obstructive hydrocephalus, endoscopic third ventriculostomy and other endoscopic
techniques offer substantial advantages over shunting. As with any surgical
procedure, appropriate patient selection is critical to successful outcomes. This
article reviews modern endoscopic techniques available to treat hydrocephalus,
with a focus on selection criteria and outcomes.
PMID- 18056696
TI - Prevalence and outcome of congenital heart disease in patients with neural tube
defect.
AB - A prospective clinical study was designed to establish the risk factors, the
prevalence, and the progress of congenital heart defects in children with neural
tube defects. Study included 90 children with a mean age of 13.5 +/- 30.4 months.
There were 53 (59%) patients with spina bifida occulta and 37 (41%) patients with
spina bifida aperta. The overall prevalence of congenital heart disease was 27.8%
(40.5% in spina bifida aperta and 18.9% in spina bifida occulta; P = .024). There
was no statistically significant difference for maternal age, usage of
periconceptional folate, and maternal diabetes between the patient and control
groups. The authors conclude that congenital heart defects are more common than
reported in neural tube defects, and screening echocardiograms are warranted.
This should be kept in mind especially in patients requiring minor or major
surgical procedures. Furthermore, routine obstetric examination and therefore the
use of periconceptional folic acid during pregnancy is still lacking in our
country.
PMID- 18056697
TI - Male-to-female transsexuals show sex-atypical hypothalamus activation when
smelling odorous steroids.
AB - One working hypothesis behind transsexuality is that the normal sex
differentiation of certain hypothalamic networks is altered. We tested this
hypothesis by investigating the pattern of cerebral activation in 12
nonhomosexual male-to-female transsexuals (MFTRs) when smelling 4,16-androstadien
3-one (AND) and estra-1,3,5(10),16-tetraen-3-ol (EST). These steroids are
reported to activate the hypothalamic networks in a sex-differentiated way. Like
in female controls the hypothalamus in MFTRs activated with AND, whereas smelling
of EST engaged the amygdala and piriform cortex. Male controls, on the other
hand, activated the hypothalamus with EST. However, when restricting the volume
of interest to the hypothalamus activation was detected in MFTR also with EST,
and explorative conjunctional analysis revealed that MFTR shared a hypothalamic
cluster with women when smelling AND, and with men when smelling EST. Because the
EST effect was limited, MFTR differed significantly only from male controls, and
only for EST-AIR and EST-AND. These data suggest a pattern of activation away
from the biological sex, occupying an intermediate position with predominantly
female-like features. Because our MFTRs were nonhomosexual, the results are
unlikely to be an effect of sexual practice. Instead, the data implicate that
transsexuality may be associated with sex-atypical physiological responses in
specific hypothalamic circuits, possibly as a consequence of a variant neuronal
differentiation.
PMID- 18056698
TI - Effects of working memory load on oscillatory power in human intracranial EEG.
AB - Studies of working memory load effects on human EEG power have indicated
divergent effects in different frequency bands. Although gamma power typically
increases with load, the load dependency of the lower frequency theta and alpha
bands is uncertain. We obtained intracranial electroencephalography measurements
from 1453 electrode sites in 14 epilepsy patients performing a Sternberg task, in
order to characterize the anatomical distribution of load-related changes across
the frequency spectrum. Gamma power increases occurred throughout the brain, but
were most common in the occipital lobe. In the theta and alpha bands, both
increases and decreases were observed, but with different anatomical
distributions. Increases in theta and alpha power were most prevalent in frontal
midline cortex. Decreases were most commonly observed in occipital cortex,
colocalized with increases in the gamma range, but were also detected in lateral
frontal and parietal regions. Spatial overlap with group functional magnetic
resonance imaging results was minimal except in the precentral gyrus. These
findings suggest that power in any given frequency band is not a unitary
phenomenon; rather, reactivity in the same frequency band varies in different
brain regions, and may relate to the engagement or inhibition of a given area in
a cognitive task.
PMID- 18056699
TI - Functional organization of temporal frequency selectivity in primate visual
cortex.
AB - Several studies have shown that neurons with similar response properties are
arranged together in domains across primary visual cortex (V1). An orderly
pattern of domains has been described for preferences to ocular dominance,
orientation, and spatial frequency. Temporal frequency preference, another
important attribute of the visual scene, also might be expected to map into
different domains. Using optical imaging and a variety of quantitative methods,
we examined how temporal frequency selectivity is mapped in V1 of the prosimian
primate, bush baby (Otolemur garnetti). We found that unlike other attribute
maps, selectivity for different temporal frequencies is arranged uniformly across
V1 with no evidence of local clustering. Global tuning for temporal frequency,
based on magnitude of response, showed a good match to previous tuning curves for
single neurons. A peak response was found around 2.0 Hz, with smaller attenuation
at lower temporal frequencies than at higher frequencies. We also examined
whether the peak temporal frequency response differed between anatomical
compartments defined by cytochrome oxidase (CO). No significant differences in
the preference for temporal frequency were found between these CO compartments.
Our findings show that key sensory attributes that are linked in perception can
be organized in quite distinct ways in V1 of primates.
PMID- 18056700
TI - Evidence that a mutation in the MLH1 3'-untranslated region confers a mutator
phenotype and mismatch repair deficiency in patients with relapsed leukemia.
AB - Defects in DNA mismatch repair (MMR) are the molecular basis of certain cancers,
including hematological malignancies. The defects are often caused by mutations
in coding regions of MMR genes or promoter methylation of the genes. However, in
many cases, despite that a hypermutable phenotype is detected in a patient, no
mutations/hypermethylations of MMR genes can be detected. We report here a novel
mechanism that a mutation in the MLH1 3'-untranslated region (3'-UTR) leads to
MMR deficiency. A relapsed leukemia patient displayed microsatellite instability,
but no genetic and epigenetic alterations in key MMR genes were identifiable.
Instead, a 3-nucleotide (TTC) deletion in the MLH1 3'-UTR was found in the
patient's blood sample. The mutant MLH1 3'-UTR was found to significantly reduce
the expressions of both a firefly luciferase reporter gene and an ectopic MLH1
gene in model cell lines. Consistent with these observations, a significant
reduction in the steady-state level of MLH1 mRNA was observed in white blood
cells of the patient. These findings suggest that the mutant MLH1 3'-UTR can
cause a severely reduced/defective MMR activity conferring leukemia relapse,
likely by down-regulating MLH1 expression at the mRNA level. Although the exact
mechanism by which the mutant 3'-UTR down-regulates the MLH1 mRNA is not known,
our findings provide a novel marker for cancers with MMR defects.
PMID- 18056701
TI - Paraquat neurotoxicity is mediated by a Bak-dependent mechanism.
AB - Paraquat (PQ) causes selective degeneration of dopaminergic neurons in the
substantia nigra pars compacta, reproducing an important pathological feature of
Parkinson disease. Oxidative stress, c-Jun N-terminal kinase activation, and
alpha-synuclein aggregation are each induced by PQ, but details of the cell death
mechanisms involved remain unclear. We have identified a Bak-dependent cell death
mechanism that is required for PQ-induced neurotoxicity. PQ induced morphological
and biochemical features that were consistent with apoptosis, including dose
dependent cytochrome c release, with subsequent caspase-3 and poly(ADP-ribose)
polymerase cleavage. Changes in nuclear morphology and loss of viability were
blocked by cycloheximide, caspase inhibitor, and Bcl-2 overexpression. Evaluation
of Bcl-2 family members showed that PQ induced high levels of Bak, Bid, BNip3,
and Noxa. Small interfering RNA-mediated knockdown of BNip3, Noxa, and Bak each
protected cells from PQ, but Bax knockdown did not. Finally, we tested the
sensitivity of Bak-deficient mice and found them to be resistant to PQ treatments
that depleted tyrosine hydroxylase immuno-positive neurons in the substantia
nigra pars compacta of wild-type mice.
PMID- 18056702
TI - NF-kappaB-inducing kinase phosphorylates and blocks the degradation of Down
syndrome candidate region 1.
AB - Down syndrome, the most frequent genetic disorder, is characterized by an extra
copy of all or part of chromosome 21. Down syndrome candidate region 1 (DSCR1)
gene, which is located on chromosome 21, is highly expressed in the brain of Down
syndrome patients. Although its cellular function remains unknown, DSCR1
expression is linked to inflammation, angiogenesis, and cardiac development. To
explore the functional role of DSCR1 and the regulation of its expression, we
searched for novel DSCR1-interacting proteins using a yeast two-hybrid assay.
Using a human fetal brain library, we found that DSCR1 interacts with NF-kappaB
inducing kinase (NIK). Furthermore, we demonstrate that NIK specifically
interacts with and phosphorylates the C-terminal region of DSCR1 in immortalized
hippocampal cells as well as in primary cortical neurons. This NIK-mediated
phosphorylation of DSCR1 increases its protein stability and blocks its
proteasomal degradation, the effects of which lead to an increase in soluble and
insoluble DSCR1 levels. We show that an increase in insoluble DSCR1 levels
results in the formation of cytosolic aggregates. Interestingly, we found that
whereas the formation of these inclusions does not significantly alter the
viability of neuronal cells, the overexpression of DSCR1 without the formation of
aggregates is cytotoxic.
PMID- 18056703
TI - Neurotrophic activity of neudesin, a novel extracellular heme-binding protein, is
dependent on the binding of heme to its cytochrome b5-like heme/steroid-binding
domain.
AB - Neudesin is a secreted protein with neurotrophic activity in neurons and
undifferentiated neural cells. We report here that neudesin is an extracellular
heme-binding protein and that its neurotrophic activity is dependent on the
binding of heme to its cytochrome b(5)-like heme/steroid-binding domain. At
first, we found that at least a portion of the purified recombinant neudesin
appeared to bind hemin because the purified neudesin solution was tinged with
green and had a sharp absorbance peak at 402 nm. The addition of exogenous hemin
extensively increased the amount of hemin-bound neudesin. In contrast,
neudesinDeltaHBD, a mutant lacking the heme-binding domain, could not bind hemin.
The neurotrophic activity of the recombinant neudesin that bound exogenous hemin
(neudesin-hemin) was significantly greater than that of the recombinant neudesin
in either primary cultured neurons or Neuro2a cells, suggesting that the activity
of neudesin depends on hemin. The neurotrophic activity of neudesin was enhanced
by the binding of Fe(III)-protoporphyrin IX, but neither Fe(II)-protoporphyrin IX
nor protoporphyrin IX alone. The inhibition of endogenous neudesin by RNA
interference significantly decreased cell survival in Neuro2a cells. This
indicates that endogenous neudesin possibly contains hemin. The experiment with
anti-neudesin antibody suggested that the endogenous neudesin detected in the
culture medium of Neuro2a cells was associated with hemin because it was not
retained on a heme-affinity column at all. Neudesin is the first extracellular
heme-binding protein that shows signal transducing activity by itself. The
present findings may shed new light on the function of extracellular heme-binding
proteins.
PMID- 18056704
TI - mTORC1 signaling can regulate growth factor activation of p44/42 mitogen
activated protein kinases through protein phosphatase 2A.
AB - The mTORC1 complex (mammalian target of rapamycin (mTOR)-raptor) is modulated by
mitogen-activated protein (p44/42 MAP) kinases (p44/42) through phosphorylation
and inactivation of the tuberous sclerosis complex. However, a role for mTORC1
signaling in modulating activation of p44/42 has not been reported. We show that
in two cancer cell lines regulation of the p44/42 MAPKs is mTORC1-dependent. In
Rh1 cells rapamycin inhibited insulin-like growth factor-I (IGF-I)-stimulated
phosphorylation of Thr(202) but not Tyr(204) and suppressed activation of p44/42
kinase activity. Down-regulation of raptor, which inhibits mTORC1 signaling, had
a similar effect to rapamycin in blocking IGF-I-stimulated Tyr(204)
phosphorylation. Rapamycin did not block maximal phosphorylation of Tyr(204) but
retarded the rate of dephosphorylation of Tyr(204) following IGF-I stimulation.
IGF-I stimulation of MEK1 phosphorylation (Ser(217/221)) was not inhibited by
rapamycin. Higher concentrations of rapamycin (> or =100 ng/ml) were required to
inhibit epidermal growth factor (EGF)-induced phosphorylation of p44/42
(Thr(202)). Rapamycin-induced inhibition of p44/42 (Thr(202)) phosphorylation by
IGF-I was reversed by low concentrations of okadaic acid, suggesting involvement
of protein phosphatase 2A (PP2A). Both IGF-I and EGF caused dissociation of PP2A
catalytic subunit (PP2Ac) from p42. Whereas low concentrations of rapamycin (1
ng/ml) inhibited dissociation of PP2Ac after IGF-I stimulation, it required
higher concentrations (> or =100 ng/ml) to block EGF-induced dissociation,
consistent with the ability for rapamycin to attenuate growth factor-induced
activation of p44/42. The effect of rapamycin on IGF-I or insulin activation of
p44/42 was recapitulated by amino acid deprivation. Rapamycin effects altering
the kinetics of p44/42 phosphorylation were completely abrogated in Rh1mTORrr
cells that express a rapamycin-resistant mTOR, whereas the effects of amino acid
deprivation were similar in Rh1 and Rh1mTORrr cells. These results indicate
complex regulation of p44/42 by phosphatases downstream of mTORC1. This suggests
a model in which mTORC1 modulates the phosphorylation of Thr(202) on p44/42 MAPKs
through direct or indirect regulation of PP2Ac.
PMID- 18056705
TI - Activation of AMP-activated protein kinase induces p53-dependent apoptotic cell
death in response to energetic stress.
AB - Tumor suppressor p53-dependent stress response pathways play an important role in
cell fate determination. In this study, we have found that glucose depletion
promotes the phosphorylation of AMP-activated protein kinase catalytic subunit
alpha (AMPKalpha) in association with a significant up-regulation of p53, thereby
inducing p53-dependent apoptosis in vivo and in vitro. Thymocytes prepared from
glucose-depleted wild-type mice but not from p53-deficient mice underwent
apoptosis, which was accompanied by a remarkable phosphorylation of AMPKalpha and
a significant induction of p53 as well as pro-apoptotic Bax. Similar results were
also obtained in human osteosarcoma-derived U2OS cells bearing wild-type p53
following glucose starvation. Of note, glucose deprivation led to a significant
accumulation of p53 phosphorylated at Ser-46, but not at Ser-15 and Ser-20, and a
transcriptional induction of p53 as well as proapoptotic p53 AIP1. Small
interference RNA-mediated knockdown of p53 caused an inhibition of apoptosis
following glucose depletion. Additionally, apoptosis triggered by glucose
deprivation was markedly impaired by small interference RNA-mediated depletion of
AMPKalpha. Under our experimental conditions, down-regulation of AMPKalpha caused
an attenuation of p53 accumulation and its phosphorylation at Ser-46. In support
of these observations, enforced expression of AMPKalpha led to apoptosis and
resulted in an induction of p53 at protein and mRNA levels. Furthermore, p53
promoter region responded to AMPKalpha and glucose deprivation as judged by
luciferase reporter assay. Taken together, our present findings suggest that AMPK
dependent transcriptional induction and phosphorylation of p53 at Ser-46 play a
crucial role in the induction of apoptosis under carbon source depletion.
PMID- 18056706
TI - Regulation of FynT function by dual domain docking on PAG/Cbp.
AB - In resting T-cells, the transmembrane adaptor protein PAG (phosphoprotein
associated with glycosphingolipid-enriched microdomains) is constitutively
tyrosine-phosphorylated, a state maintained by the Src family kinase FynT. PAG
has a role in negative regulation of Src family kinases in T-cells by recruitment
of Csk (C-terminal Src kinase) to the membrane via binding to PAG phosphotyrosine
317. The interaction between FynT and PAG is essential for PAG function; however,
so far the FynT binding mode has been unknown. Here, we demonstrate that the FynT
PAG complex formation is a dual domain docking process, involving SH2 domain
binding to PAG phosphotyrosines as well as an SH3 domain interaction with the
first proline-rich region of PAG. This binding mode affects FynT kinase activity,
PAG phosphorylation, and recruitment of FynT and Csk, demonstrated in Jurkat TAg
cells after antibody stimulation of the T cell receptor. Furthermore, we show
that TCR-induced tyrosine phosphorylation is regulated by SH3 domain modulation
of the FynT-PAG interaction in human primary T-cells. Although FynT SH3 domain
association is shown to be crucial for efficiently initiating PAG
phosphorylation, we suggest that engagement of the SH2 domain on PAG renders FynT
insensitive to Csk negative regulation. Thus, in T-cells, PAG is involved in
positive as well as negative regulation of FynT activity.
PMID- 18056707
TI - Activator protein-1 contributes to high NaCl-induced increase in tonicity
responsive enhancer/osmotic response element-binding protein transactivating
activity.
AB - Tonicity-responsive enhancer/osmotic response element-binding protein
(TonEBP/OREBP) is a Rel protein that activates transcription of osmoprotective
genes at high extracellular NaCl. Other Rel proteins NFAT1-4 and NF-kappaB
complex with activator protein-1 (AP-1) to transactivate target genes through
interaction at composite NFAT/NF-kappaB.AP-1 sites. TonEBP/OREBP target genes
commonly have one or more conserved AP-1 binding sites near TonEBP/OREBP cognate
elements (OREs). Also, TonEBP/OREBP and the AP-1 proteins c-Fos and c-Jun are all
activated by high NaCl. We now find, using an ORE.AP-1 reporter from the target
aldose reductase gene or the same reporter with a mutated AP-1 site, that upon
stimulation by high extracellular NaCl, 1) the presence of a wild type, but not a
mutated, AP-1 site contributes to TonEBP/OREBP-dependent transcription and 2) AP
1 dominant negative constructs inhibit TonEBP/OREBP-dependent transcription
provided the AP-1 site is not mutated. Using supershifts and an ORE.AP-1 probe,
we find c-Fos and c-Jun present in combination with TonEBP/OREBP. Also, c-Fos and
c-Jun coimmunoprecipitate with TonEBP/OREBP, indicating physical association.
Small interfering RNA knockdown of either c-Fos or c-Jun inhibits high NaCl
induced increase of mRNA abundance of the TonEBP/OREBP target genes AR and BGT1.
Furthermore, a dominant negative AP-1 also reduces high NaCl-induced increase of
TonEBP/OREBP transactivating activity. Inhibition of p38, which is known to
stimulate TonEBP/OREBP transcriptional activity, reduces high NaCl-dependent
transcription of an ORE.AP-1 reporter only if the AP-1 site is intact. Thus, AP-1
is part of the TonEBP/OREBP enhanceosome, and its role in high NaCl-induced
activation of TonEBP/OREBP may require p38 activity.
PMID- 18056708
TI - Macrophage migration inhibitory factor induces B cell survival by activation of a
CD74-CD44 receptor complex.
AB - Macrophage migration inhibitory factor (MIF) is an upstream activator of innate
immunity that regulates subsequent adaptive responses. It was previously shown
that in macrophages, MIF binds to a complex of CD74 and CD44, resulting in
initiation of a signaling pathway. In the current study, we investigated the role
of MIF in B cell survival. We show that in B lymphocytes, MIF initiates a
signaling cascade that involves Syk and Akt, leading to NF-kappaB activation,
proliferation, and survival in a CD74- and CD44-dependent manner. Thus, MIF
regulates the adaptive immune response by maintaining the mature B cell
population.
PMID- 18056709
TI - Functional genomic characterization of mRNAs associated with TcPUF6, a pumilio
like protein from Trypanosoma cruzi.
AB - Trypanosoma cruzi is the protozoan parasite that causes Chagas disease or
American trypanosomiasis. Kinetoplastid parasites could be considered as model
organisms for studying factors involved in posttranscriptional regulation because
they control gene expression almost exclusively at this level. The PUF
(Pumilio/FBF1) protein family regulates mRNA stability and translation in
eukaryotes, and several members have been identified in trypanosomatids. We used
a ribonomic approach to identify the putative target mRNAs associated with
TcPUF6, a member of the T. cruzi PUF family. TcPUF6 is expressed in discrete
sites in the cytoplasm at various stages of the parasite life cycle and is not
associated with the translation machinery. The overexpression of a tandem
affinity purification-tagged TcPUF6 protein allowed the identification of
associated mRNAs by affinity purification assays and microarray hybridization
yielding nine putative target mRNAs. Whole expression analysis of transfected
parasites showed that the mRNAs associated with TcPUF6 were down-regulated in
populations overexpressing TcPUF6. The association of TcPUF6 with the TcDhh1
helicase in vivo and the cellular co-localization of these proteins in
epimastigote forms suggest that TcPUF6 promotes degradation of its associated
mRNAs through interaction with RNA degradation complexes. Analysis of the mRNA
levels of the putative TcPUF6-regulated genes during the parasite life cycle
showed that their transcripts were up-regulated in metacyclic trypomastigotes. In
these infective forms no co-localization between TcPUF6 and TcDhh1 was observed.
Our results suggest that TcPUF6 regulates the half-lives of its associated
transcripts via differential association with mRNA degradation complexes
throughout its life cycle.
PMID- 18056711
TI - Mutation of Gly721 alters DNA topoisomerase I active site architecture and
sensitivity to camptothecin.
AB - DNA topoisomerase I (Top1p) catalyzes the relaxation of supercoiled DNA via a
concerted mechanism of DNA strand cleavage and religation. Top1p is the cellular
target of the anti-cancer drug camptothecin (CPT), which reversibly stabilizes a
covalent enzyme-DNA intermediate. Top1p clamps around duplex DNA, wherein the
core and C-terminal domains are connected by extended alpha-helices (linker
domain), which position the active site Tyr of the C-terminal domain within the
catalytic pocket. The physical connection of the linker with the Top1p clamp as
well as linker flexibility affect enzyme sensitivity to CPT. Crystallographic
data reveal that a conserved Gly residue (located at the juncture between the
linker and C-terminal domains) is at one end of a short alpha-helix, which
extends to the active site Tyr covalently linked to the DNA. In the presence of
drug, the linker is rigid and this alpha-helix extends to include Gly and the
preceding Leu. We report that mutation of this conserved Gly in yeast Top1p
alters enzyme sensitivity to CPT. Mutating Gly to Asp, Glu, Asn, Gln, Leu, or Ala
enhanced enzyme CPT sensitivity, with the acidic residues inducing the greatest
increase in drug sensitivity in vivo and in vitro. By contrast, Val or Phe
substituents rendered the enzyme CPT-resistant. Mutation-induced alterations in
enzyme architecture preceding the active site Tyr suggest these structural
transitions modulate enzyme sensitivity to CPT, while enhancing the rate of DNA
cleavage. We postulate that this conserved Gly residue provides a flexible hinge
within the Top1p catalytic pocket to facilitate linker dynamics and the
structural alterations that accompany drug binding of the covalent enzyme-DNA
intermediate.
PMID- 18056710
TI - Structure of the DNA repair helicase hel308 reveals DNA binding and
autoinhibitory domains.
AB - Hel308 is a superfamily 2 helicase conserved in eukaryotes and archaea. It is
thought to function in the early stages of recombination following replication
fork arrest and has a specificity for removal of the lagging strand in model
replication forks. A homologous helicase constitutes the N-terminal domain of
human DNA polymerase Q. The Drosophila homologue mus301 is implicated in double
strand break repair and meiotic recombination. We have solved the high resolution
crystal structure of Hel308 from the crenarchaeon Sulfolobus solfataricus,
revealing a five-domain structure with a central pore lined with essential DNA
binding residues. The fifth domain is shown to act as an autoinhibitory domain or
molecular brake, clamping the single-stranded DNA extruded through the central
pore of the helicase structure to limit the helicase activity of the enzyme. This
provides an elegant mechanism to tune the processivity of the enzyme to its
functional role. Hel308 can displace streptavidin from a biotinylated DNA
molecule, and this activity is only partially inhibited when the DNA is pre-bound
with abundant DNA-binding proteins RPA or Alba1, whereas pre-binding with the
recombinase RadA has no effect on activity. These data suggest that one function
of the enzyme may be in the removal of bound proteins at stalled replication
forks and recombination intermediates.
PMID- 18056712
TI - A critical role of cavin (polymerase I and transcript release factor) in caveolae
formation and organization.
AB - Cavin (PTRF) has been shown to be a highly abundant protein component of
caveolae, but its functional role there is unknown. Here, we confirm that cavin
co-localizes with caveolin-1 in adipocytes by confocal microscopy and co
distributes with caveolin-1 in lipid raft fractions by sucrose gradient
flotation. However, cavin does not directly associate with caveolin-1 as
solubilization of caveolae disrupts their interaction. Cholesterol depletion with
beta-cyclodextrin causes a significant down-regulation of cavin from plasma
membrane lipid raft fractions. Overexpression of cavin in HEK293-Cav-1 cells and
knockdown of cavin in 3T3-L1 adipocytes enhances and diminishes caveolin-1
levels, respectively, indicating an important role for cavin in maintaining the
level of caveolin-1. A truncated form of cavin, eGFP-cavin-1-322, which lacks 74
amino acids from the C-terminal, reveals a microtubular network localization by
confocal microscopy. Disruption of cytoskeletal elements with latrunculin B or
nocodazole diminishes cavin expression without affecting the caveolin-1 amount.
We propose that the presence of cavin on the inside surface of caveolae
stabilizes these structures, probably through interaction with the cytoskeleton,
and cavin therefore plays an important role in caveolae formation and
organization.
PMID- 18056713
TI - An investigation of the ligand binding properties and negative cooperativity of
soluble insulin-like growth factor receptors.
AB - To investigate the interaction of the insulin-like growth factor (IGF) ligands
with the insulin-like growth factor type 1 receptor (IGF-1R), we have generated
two soluble variants of the IGF-1R. We have recombinantly expressed the
ectodomain of IGF-1R or fused this domain to the constant domain from the Fc
fragment of mouse immunoglobulin. The ligand binding properties of these soluble
IGF-1Rs for IGF-I and IGF-II were investigated using conventional ligand
competition assays and BIAcore biosensor technology. In ligand competition
assays, the soluble IGF-1Rs both bound IGF-I with similar affinities and a 5-fold
lower affinity than that seen for the wild type receptor. In addition, both
soluble receptors bound IGF-II with similar affinities to the wild type receptor.
BIAcore analyses showed that both soluble IGF-1Rs exhibited similar ligand
specific association and dissociation rates for IGF-I and for IGF-II. The soluble
IGF-1R proteins both exhibited negative cooperativity for IGF-I, IGF-II, and the
24-60 antibody, which binds to the IGF-1R cysteine-rich domain. We conclude that
the addition of the self-associating Fc domain to the IGF-1R ectodomain does not
affect ligand binding affinity, which is in contrast to the soluble ectodomain of
the IR. This study highlights some significant differences in ligand binding
modes between the IGF-1R and the insulin receptor, which may ultimately
contribute to the different biological activities conferred by the two receptors.
PMID- 18056714
TI - Structure and function of sedoheptulose-7-phosphate isomerase, a critical enzyme
for lipopolysaccharide biosynthesis and a target for antibiotic adjuvants.
AB - The barrier imposed by lipopolysaccharide (LPS) in the outer membrane of Gram
negative bacteria presents a significant challenge in treatment of these
organisms with otherwise effective hydrophobic antibiotics. The absence of L
glycero-D-manno-heptose in the LPS molecule is associated with a dramatically
increased bacterial susceptibility to hydrophobic antibiotics and thus enzymes in
the ADP-heptose biosynthesis pathway are of significant interest. GmhA catalyzes
the isomerization of D-sedoheptulose 7-phosphate into D-glycero-D-manno-heptose 7
phosphate, the first committed step in the formation of ADP-heptose. Here we
report structures of GmhA from Escherichia coli and Pseudomonas aeruginosa in
apo, substrate, and product-bound forms, which together suggest that GmhA adopts
two distinct conformations during isomerization through reorganization of
quaternary structure. Biochemical characterization of GmhA mutants, combined with
in vivo analysis of LPS biosynthesis and novobiocin susceptibility, identifies
key catalytic residues. We postulate GmhA acts through an enediol-intermediate
isomerase mechanism.
PMID- 18056715
TI - Nitrite reductase activity of hemoglobin S (sickle) provides insight into
contributions of heme redox potential versus ligand affinity.
AB - Hemoglobin A (HbA) is an allosterically regulated nitrite reductase that reduces
nitrite to NO under physiological hypoxia. The efficiency of this reaction is
modulated by two intrinsic and opposing properties: availability of unliganded
ferrous hemes and R-state character of the hemoglobin tetramer. Nitrite is
reduced by deoxygenated ferrous hemes, such that heme deoxygenation increases the
rate of NO generation. However, heme reactivity with nitrite, represented by its
bimolecular rate constant, is greatest when the tetramer is in the R quaternary
state. The mechanism underlying the higher reactivity of R-state hemes remains
elusive. It can be due to the lower heme redox potential of R-state ferrous hemes
or could reflect the high ligand affinity geometry of R-state tetramers that
facilitates nitrite binding. We evaluated the nitrite reductase activity of
unpolymerized sickle hemoglobin (HbS), whose oxygen affinity and cooperativity
profile are equal to those of HbA, but whose heme iron has a lower redox
potential. We now report that HbS exhibits allosteric nitrite reductase activity
with competing proton and redox Bohr effects. In addition, we found that solution
phase HbS reduces nitrite to NO significantly faster than HbA, supporting the
thesis that heme electronics (i.e. redox potential) contributes to the high
reactivity of R-state deoxy-hemes with nitrite. From a pathophysiological
standpoint, under conditions where HbS polymers form, the rate of nitrite
reduction is reduced compared with HbA and solution-phase HbS, indicating that
HbS polymers reduce nitrite more slowly.
PMID- 18056716
TI - BMP-2 induces Osterix expression through up-regulation of Dlx5 and its
phosphorylation by p38.
AB - Osterix, a zinc-finger transcription factor, is specifically expressed in
osteoblasts and osteocytes of all developing bones. Because no bone formation
occurs in Osterix null mice, Osterix is thought to be an essential regulator of
osteoblast differentiation. We report that bone morphogenetic protein-2 (BMP-2)
induces an increase in Osterix expression, which is mediated through a
homeodomain sequence located in the proximal region of the Osterix promoter. Our
results demonstrate that induction of Dlx5 by BMP-2 mediates Osterix
transcriptional activation. First, BMP-2 induction of Dlx5 precedes the induction
of Osterix. Second, Dlx5 binds to the BMP-responsive homeodomain sequences both
in vitro and in vivo. Third, Dlx5 overexpression and knock-down assays
demonstrate its role in activating Osterix expression in response to BMP-2.
Furthermore, we show that Dlx5 is a novel substrate for p38 MAPK in vitro and in
vivo and that Ser-34 and Ser-217 are the sites phosphorylated by p38.
Phosphorylation at Ser-34/217 increases the transactivation potential of Dlx5.
Thus, we propose that BMP activates expression of Osterix through the induction
of Dlx5 and its further transcriptional activation by p38-mediated
phosphorylation.
PMID- 18056717
TI - Defining the proton entry point in the bacterial respiratory nitric-oxide
reductase.
AB - The bacterial respiratory nitric-oxide reductase (NOR) is a member of the
superfamily of O(2)-reducing, proton-pumping, heme-copper oxidases. Even although
nitric oxide reduction is a highly exergonic reaction, NOR is not a proton pump
and rather than taking up protons from the cytoplasmic (membrane potential
negative) side of the membrane, like the heme-copper oxidases, NOR derives its
substrate protons from the periplasmic (membrane potential-positive) side of the
membrane. The molecular details of this non-electrogenic proton transfer are not
yet resolved, so in this study we have explored a role in a proposed proton
pathway for a conserved surface glutamate (Glu-122) in the catalytic subunit
(NorB). The effect of substituting Glu-122 with Ala, Gln, or Asp on a single
turnover of the reduced NOR variants with O(2), an alternative and experimentally
tractable substrate for NOR, was determined. Electron transfer coupled to proton
uptake to the bound O(2) is severely and specifically inhibited in both the E122A
and E122Q variants, establishing the importance of a protonatable side chain at
this position. In the E122D mutant, proton uptake is retained but it is
associated with a significant increase in the observed pK(a) of the group
donating protons to the active site. This suggests that Glu-122 is important in
defining this proton donor. A second nearby glutamate (Glu-125) is also required
for the electron transfer coupled to proton uptake, further emphasizing the
importance of this region of NorB in proton transfer. Because Glu-122 is
predicted to lie near the periplasmic surface of NOR, the results provide strong
experimental evidence that this residue contributes to defining the aperture of a
non-electrogenic "E-pathway" that serves to deliver protons from the periplasm to
the buried active site in NOR.
PMID- 18056718
TI - Analysis of serum FSH bioactivity in a patient with an FSH-secreting pituitary
microadenoma and multicystic ovaries: A case report.
AB - FSH-secreting pituitary adenoma (FSHoma) is often associated with increased
levels of serum FSH and ovarian hyperstimulation syndrome (OHSS). The OHSS has
historically been attributed to elevated FSH production by the FSHoma; however,
some FSHoma patients with OHSS have normal serum FSH levels. OHSS may result not
from increased FSH levels, but also from increased bioactivity of the FSH derived
from the adenoma. To address this, we measured the FSH bioactivity in the serum
of a 40-year-old woman with an FSHoma and OHSS, whose FSH levels were normal.
Chinese hamster ovary cells stably expressing FSH receptors were prepared and
transfected with a cAMP-responsive element-driven luciferase reporter plasmid.
Cells were then treated with recombinant human FSH (rhFSH), the patient's sera,
or sera from controls, collected at different time points, and subjected to a
luciferase assay. Luciferase activity was increased in response to rhFSH in a
dose-dependent manner. The responsiveness was further augmented by co-addition of
a 3-methyl isobutylxanthine, which improved the sensitivity of our assay.
Unexpectedly, the serum FSH bioactivity/immunoactivity ratio of the patient was
mostly equal to that of normal subjects. This was confirmed with a granulosa cell
aromatase assay. This case report suggests that alternate explanations may exist
for the OHSS phenotype seen in some FSHoma patients.
PMID- 18056719
TI - Urinary hMG versus recombinant FSH for controlled ovarian hyperstimulation
following an agonist long down-regulation protocol in IVF or ICSI treatment: a
systematic review and meta-analysis.
AB - BACKGROUND: Since the most recent Cochrane review on hMG versus rFSH for
controlled ovarian hyperstimulation following a long down-regulation protocol,
several new trials have emerged. METHODS: We conducted a systematic review and
meta-analysis of randomized trials comparing the effectiveness of hMG versus rFSH
following a long down-regulation protocol in IVF-ICSI cycles, on the primary
outcome of live birth per woman randomized, as well as several other secondary
outcomes. Searches were conducted in MEDLINE, EMBASE, Science Direct, Cochrane
Library and databases of abstracts (last search January 2007). RESULTS: Seven
randomized trials, consisting of a total of 2159 randomized women, were
identified. A meta-analysis of these trials showed a significant increase in live
birth rate with hMG when compared with rFSH (relative risk, RR = 1.18, 95% CI:
1.02-1.38, P = 0.03). The heterogeneity test was non-significant (P = 0.97),
suggesting that there was no statistical inconsistency between the seven studies.
The pooled risk difference (RD) for the outcome of live birth rate was 4% (95%
CI: 1-7%) for these study populations. There was an increase in clinical
pregnancy rates with hMG when compared with rFSH (RR = 1.17, 95% CI 1.03-1.34).
No significant differences were noted for gonadotrophin use, spontaneous
abortion, multiple pregnancy, cancellation and ovarian hyperstimulation syndrome
rates. CONCLUSIONS: For the populations in the randomized trials, hMG was
associated with a pooled 4% increase in live birth rate when compared with rFSH
in IVF-ICSI treatment following a long down-regulation protocol.
PMID- 18056722
TI - Translational genomics for bioenergy production: there's room for more than one
model.
PMID- 18056724
TI - Using qualitative methodology to inform an Indigenous-owned oral health promotion
initiative in Australia.
AB - Indigenous Australians experience poor oral health. Oral health perceptions among
a group of rural-dwelling Indigenous Australians were explored so that a
culturally appropriate, community-owned oral health promotion initiative might be
developed. Focus group methodology was used, with prompt questions including oral
health knowledge, oral health's role in general health, how community oral health
had changed in recent times, the causes of poor oral health and ways to prevent
poor oral health at a community level. Some 34 participants took part; age range
21-72 years. A core category emerged from the data and was labelled 'cultural
adaptation'. Five sub-categories were also identified; 'lifestyle changes', 'oral
health behaviours', 'barriers to dental care', 'impact of poor oral health' and
'oral health literacy'. Participants felt that historical legacy impacted on the
oral health of community members, through continued practices of being told what
to do, where to live and what oral health services were available to them.
Participants perceived they had little power over their oral health or oral
health care decisions. Findings from the focus group discussions were used in the
development of a context-specific, oral health promotion initiative, which
involved construction of an audiovisual tool in Phase I and a series of
interactive, context-specific seminars focused on key issues raised in the focus
groups in Phase II. Oral health promotion initiatives among rural-dwelling
Indigenous Australians may be more successful if perceptions of the anticipated
audience are considered in the design stage of such strategies.
PMID- 18056725
TI - The role of vitamin D3 in preventing infections.
PMID- 18056726
TI - Tongue necrosis: a rare presentation of temporal arteritis.
PMID- 18056727
TI - Determinants of outcome and safety of intravenous rt-PA therapy in the very old:
a clinical registry study and systematic review.
PMID- 18056729
TI - Treating dementia: will the NICE guidance 2006 change our clinical practice?
PMID- 18056728
TI - Lives of the artists: differences in longevity between old master sculptors and
painters.
PMID- 18056730
TI - Whither geriatrics? Do we need another Marjory Warren?
PMID- 18056731
TI - Rethinking individual and community fall prevention strategies: a meta-regression
comparing single and multifactorial interventions.
AB - BACKGROUND: Guidelines recommend that fall prevention programmes for older people
include multifactorial interventions. OBJECTIVE: We aimed to determine if
randomised controlled trial evidence supports interventions with multiple
components over single strategies in community based fall prevention. METHODS: We
searched the literature for trials of interventions aimed at preventing falls. We
included trials if they met the following criteria: (i) participants were
randomly allocated to intervention and control groups, (ii) all participants were
aged 65 years or older, (iii) the majority lived independently in the community,
(iv) fall events were recorded prospectively using a diary or calendar during the
entire trial and monitored at least monthly, (v) follow up was for 12 months or
longer, (vi) at least 70% of participants completed the trial, (vii) all falls
during the trial for at least 50 participants were included in the analysis, and
(viii) a relative rate ratio with 95% CI comparing the number of falls in the
intervention and control groups was reported. We calculated a pooled rate ratio
separately for trials testing multifactorial and single interventions and
compared their overall efficacy using meta-regression. RESULTS: Meta-regression
showed that single interventions were as effective in reducing falls as
interventions with multiple components (pooled rate ratios 0.77, 95% CI 0.67-0.89
and 0.78, 0.68-0.89 respectively). CONCLUSION: Multifactorial fall prevention
interventions are effective for individual patients. However, for community
programmes for populations at risk, targeted single interventions are as
effective as multifactorial interventions, may be more acceptable and cost
effective.
PMID- 18056732
TI - Palliative care ward for the elderly.
PMID- 18056733
TI - Mortality and morbidity attributable to inadequate empirical antimicrobial
therapy in patients admitted to the ICU with sepsis: a matched cohort study.
AB - OBJECTIVES: To determine the attributable mortality and excess length of stay
(LOS) associated with the use of inadequate empirical antimicrobial therapy in
patients with sepsis at admission to the intensive care unit (ICU). METHODS: A
retrospective matched cohort study was performed using a prospectively collected
database at a 40 bed general ICU at a university public hospital. Patients who
received inadequate antimicrobial therapy at admission to the ICU (exposed) were
matched with controls (unexposed) on the basis of origin of sepsis, inflammatory
response at admission, surgical or medical status, hospital- or community
acquired sepsis, APACHE II score (+/-2 points) and age (+/-10 years). Clinical
outcome was assessed by in-hospital mortality, and this analysis was also
performed in those pairs without nosocomial infection in the ICU. RESULTS: Eighty
seven pairs were successfully matched. Fifty-nine exposed patients died [67.8%
mortality (95% CI, 58.0-77.6%)] and 25 unexposed controls died [28.7% mortality
(95% CI, 19.2-38.2%)] (P < 0.001). Excess in-hospital mortality was estimated to
be 39.1%. The rate of nosocomial infection was significantly higher in patients
with inadequate empirical therapy (16.1%) than in those treated empirically with
adequate antibiotics (3.4%) (P = 0.013). Excess in-hospital mortality was 31.4%
after excluding those 17 pairs that developed a nosocomial infection in the ICU.
Inadequate antimicrobial therapy was associated with a significant increment in
duration of hospitalization (15 days in surviving pairs). CONCLUSIONS: Inadequate
antimicrobial therapy at admission to the ICU with sepsis is associated with
excess mortality and increases LOS.
PMID- 18056735
TI - Can prolonged exercise-induced myocardial ischaemia be innocuous?
PMID- 18056736
TI - Rfold: an exact algorithm for computing local base pairing probabilities.
AB - MOTIVATION: Base pairing probability matrices have been frequently used for the
analyses of structural RNA sequences. Recently, there has been a growing need for
computing these probabilities for long DNA sequences by constraining the maximal
span of base pairs to a limited value. However, none of the existing programs can
exactly compute the base pairing probabilities associated with the energy model
of secondary structures under such a constraint. RESULTS: We present an algorithm
that exactly computes the base pairing probabilities associated with the energy
model under the constraint on the maximal span W of base pairs. The complexity of
our algorithm is given by O(NW2) in time and O(N+W2) in memory, where N is the
sequence length. We show that our algorithm has a higher sensitivity to the true
base pairs as compared to that of RNAplfold. We also present an algorithm that
predicts a mutually consistent set of local secondary structures by maximizing
the expected accuracy function. The comparison of the local secondary structure
predictions with those of RNALfold indicates that our algorithm is more accurate.
Our algorithms are implemented in the software named 'Rfold.' AVAILABILITY: The
C++ source code of the Rfold software and the test dataset used in this study are
available at http://www.ncrna.org/software/Rfold/.
PMID- 18056737
TI - Normal-appearing white matter in multiple sclerosis is in a subtle balance
between inflammation and neuroprotection.
AB - Multiple sclerosis is a chronic inflammatory disease of the CNS. Although
progressive axonal injury and diffuse inflammatory damage has been shown in the
chronic phase of the disease, little is known about the molecular mechanisms
underlying these pathological processes. In order to identify these mechanisms,
we have studied the gene expression profile in non-lesion containing tissue, the
so-called normal-appearing white matter (NAWM). We performed differential gene
expression analysis and quantitative RT-PCR on subcortical white matter from 11
multiple sclerosis and 8 control cases. Differentially expressed genes were
further analysed in detail by in situ hybridization and immunofluorescence
studies. We show that genes known to be involved in anti-inflammatory and
protective mechanisms such as STAT6, JAK1, IL-4R, IL-10, Chromogranin C and Hif
1alpha are consistently upregulated in the multiple sclerosis NAWM. On the other
hand, genes involved in pro-inflammatory mechanisms, such as STAT4, IL-1beta and
MCSF, were also upregulated but less regularly. Immunofluorescence colocalization
analysis revealed expression of STAT6, JAK1, IL-4R and IL-13R mainly in
oligodendrocytes, whereas STAT4 expression was detected predominantly in
microglia. In line with these data, in situ hybridization analysis showed an
increased expression in multiple sclerosis NAWM of HIF-1alpha in oligodendrocytes
and HLA-DRalpha in microglia cells. The consistency of the expression levels of
STAT6, JAK1, JAK3 and IL-4R between the multiple sclerosis cases suggests an
overall activation of the STAT6-signalling pathway in oligodendrocytes, whereas
the expression of STAT4 and HLA-DRalpha indicates the activation of pro
inflammatory pathways in microglia. The upregulation of genes involved in anti
inflammatory mechanisms driven by oligodendrocytes may protect the CNS
environment and thus limit lesion formation, whereas the activation of pro
inflammatory mechanisms in microglia may favour disease progression. Altogether,
our data suggests an endogenous inflammatory reaction throughout the whole white
matter of multiple sclerosis brain, in which oligodendrocytes actively
participate. This reaction might further influence and to some extent facilitate
lesion formation.
PMID- 18056738
TI - CAG repeat size correlates to electrophysiological motor and sensory phenotypes
in SBMA.
AB - Spinal and bulbar muscular atrophy (SBMA) is an adult-onset, lower motor neuron
disease caused by an aberrant elongation of a CAG repeat in the androgen receptor
(AR) gene. The main symptoms are weakness and atrophy of bulbar, facial and limb
muscles, but sensory disturbances are frequently found in SBMA patients. Motor
symptoms have been attributed to the accumulation of mutant AR in the nucleus of
lower motor neurons, which is more profound in patients with a longer CAG repeat.
We examined nerve conduction properties including F-waves in a total of 106
patients with genetically confirmed SBMA (mean age at data collection = 53.8
years; range = 31-75 years) and 85 control subjects. Motor conduction velocities
(MCV), compound muscle action potentials (CMAP), sensory conduction velocities
(SCV) and sensory nerve action potentials (SNAP) were significantly decreased in
all nerves examined in the SBMA patients compared with that in the normal
controls, indicating that axonal degeneration is the primary process in both
motor and sensory nerves. More profound abnormalities were observed in the nerves
of the upper limbs than in those of the lower limbs. F-waves in the median nerve
were absent in 30 of 106 cases (28.3%), but no cases of absent F-waves were
observed in the tibial nerve. From an analysis of the relationship between CMAPs
and SNAPs, patients were identified with different electrophysiological
phenotypes: motor-dominant, sensory-dominant and non-dominant phenotypes. The CAG
repeat size and the age at onset were significantly different among the patients
with motor- and sensory-dominant phenotypes, indicating that a longer CAG repeat
is more closely linked to the motor-dominant phenotype and a shorter CAG repeat
is more closely linked to the sensory-dominant phenotype. Furthermore, when we
classified the patients by CAG repeat size, CMAP values showed a tendency to be
decreased in patients with a longer CAG repeat (> or =47), while SNAPs were
significantly decreased in patients with a shorter CAG repeat (<47). In addition,
we found that the frequency of aggregation in the sensory neuron cytoplasm tended
to inversely correlate with the CAG repeat size in the autopsy study, supporting
the view that the CAG repeat size differentially correlates with motor- and
sensory-dominant phenotypes. In conclusion, our results suggest that there are
unequivocal electrophysiological phenotypes influenced by CAG repeat size in
SBMA.
PMID- 18056739
TI - An investigation of the retinal nerve fibre layer in progressive multiple
sclerosis using optical coherence tomography.
AB - Axonal loss is thought to be the predominant cause of disability in progressive
multiple sclerosis (MS). The retinal nerve fibre layer (RNFL) is composed largely
of unmyelinated axons of retinal ganglion cells, and is accessible to study with
optical coherence tomography (OCT), giving a measure of axonal loss. OCT measures
of the RNFL thickness (RNFLT) and macular volume were studied in 23 patients with
primary progressive multiple sclerosis (primary progressive MS) (13 male; 10
female; mean age 52 years; median EDSS 6.0; mean disease duration 11 years), and
27 patients with secondary progressive multiple sclerosis (secondary progressive
MS) (8 male; 19 female; mean age 50 years; median EDSS 6; mean disease duration
22 years). Of the patients with secondary progressive MS, 14 had clinical history
of optic neuritis (ON) in a single eye; the remaining patients had not had ON.
Twenty healthy controls (11 male; 9 female; mean age 46 years) had RNFLT and
macular volume studied. Of the patients' eyes not previously affected by ON, both
the mean RNFL thickness and macular volume were reduced when compared with
control values. The mean RNFL thickness and macular volume were significantly
reduced in secondary progressive MS, but not in primary progressive MS when
compared with control RNFL thickness and macular volume. RNFL loss was most
evident in the temporal quadrant, where significant reduction was seen in primary
progressive MS versus controls and in secondary versus primary progressive MS.
There were significant correlations of decreased RNFLT and macular volume with
measures of visual acuity, low contrast visual acuity and visual field mean
deviation in the MS patients. There are significant global reductions in RNFLT
and macular volume in the eyes of secondary progressive MS patients not
previously affected by ON, but not in primary progressive MS patients, compared
with controls. This may indicate a difference in the extent of the pathological
processes that cause axonal loss in the retina, and by inference the optic nerve,
in secondary progressive MS and primary progressive MS.
PMID- 18056740
TI - Hemiarthroplasty or internal fixation for intracapsular displaced femoral neck
fractures: randomised controlled trial.
AB - OBJECTIVE: To compare the functional results after displaced fractures of the
femoral neck treated with internal fixation or hemiarthroplasty. DESIGN:
Randomised trial with blinding of assessments of functional results. SETTING:
University hospital. PARTICIPANTS: 222 patients; 165 (74%) women, mean age 83
years. Inclusion criteria were age above 60, ability to walk before the fracture,
and no major hip pathology, regardless of cognitive function. INTERVENTIONS:
Closed reduction and two parallel screws (112 patients) and bipolar cemented
hemiarthroplasty (110 patients). Follow-up at 4, 12, and 24 months. MAIN OUTCOME
MEASURES: Hip function (Harris hip score), health related quality of life (Eq
5d), activities of daily living (Barthel index). In all cases high scores
indicate better function. RESULTS: Mean Harris hip score in the hemiarthroplasty
group was 8.2 points higher (95% confidence interval 2.8 to 13.5 points, P=0.003)
at four months and 6.7 points (1.5 to 11.9 points, P=0.01) higher at 12 months.
Mean Eq-5d index score at 24 months was 0.13 higher in the hemiarthroplasty group
(0.01 to 0.25, P=0.03). The Eq-5d visual analogue scale was 8.7 points higher in
the hemiarthroplasty group after 4 months (1.9 to 15.6, P=0.01). After 12 and 24
months the percentage scoring 95 or 100 on the Barthel index was higher in the
hemiarthroplasty group (relative risk 0.67, 0.47 to 0.95, P=0.02. and 0.63, 0.42
to 0.94, P=0.02, respectively). Complications occurred in 56 (50%) patients in
the internal fixation group and 16 (15%) in the hemiarthroplasty group (3.44,
2.11 to 5.60, P<0.001). In each group 39 patients (35%) died within 24 months
(0.98, 0.69 to 1.40, P=0.92) CONCLUSIONS: Hemiarthroplasty is associated with
better functional outcome than internal fixation in treatment of displaced
fractures of the femoral neck in elderly patients. TRIAL REGISTRATION:
NCT00464230.
PMID- 18056741
TI - Osteoarthritis of the knee in primary care.
PMID- 18056742
TI - Influences on older people's decision making regarding choice of topical or oral
NSAIDs for knee pain: qualitative study.
AB - OBJECTIVE: To explore the factors that influence older people's decision making
regarding use of topical or oral ibuprofen for their knee pain. DESIGN:
Qualitative interview study nested within a randomised controlled trial and a
patient preference study that compared advice to use oral or topical non
steroidal anti-inflammatory drugs (NSAIDs) for knee pain in older people.
SETTING: 11 general practices. PARTICIPANTS: 30 people aged > or =50 with knee
pain. RESULTS: Participants' decision making was influenced by their perceptions
of the associated risk of adverse effects, presence of other illness, nature of
their pain, advice received, and practicality. Although participants'
understanding of how the medications worked was sometimes poor their decision
making about the use of NSAIDs seemed logical and appropriate. Participants'
model for treatment was to use topical NSAIDs for mild, local, and transient pain
and oral NSAIDs for moderate to severe, generalised, and constant pain (in the
absence of other more serious illness or risk of adverse effects). Participants
showed marked tolerance and normalisation of adverse effects. CONCLUSION:
Participants had clear ideas about the appropriate use of oral and topical
NSAIDs. Taking such views into account when prescribing may improve adherence,
judgment of efficacy, and the doctor-patient relationship. Tolerance and
normalisation of adverse effects in these patients indicate that closer
monitoring of older people who use NSAIDs might be needed.
PMID- 18056744
TI - Treatment of displaced intracapsular hip fractures in elderly patients.
PMID- 18056743
TI - Advice to use topical or oral ibuprofen for chronic knee pain in older people:
randomised controlled trial and patient preference study.
AB - OBJECTIVE: To determine whether older patients with chronic knee pain should be
advised to use topical or oral non-steroidal anti-inflammatory drugs (NSAIDs).
DESIGN: Randomised controlled trial and patient preference study. SETTING: 26
general practices. PARTICIPANTS: People aged > or =50 with knee pain: 282 in
randomised trial and 303 in preference study. INTERVENTIONS: Advice to use
topical or oral ibuprofen. Primary outcome measures WOMAC (Western Ontario and
McMaster Universities) osteoarthritis index, major and minor adverse effects.
RESULTS: Changes in global WOMAC scores at 12 months were equivalent. In the
randomised trial the difference (topical minus oral) was two points (95%
confidence interval -2 to 6); in the preference study, it was one point (-4 to
6). There were no differences in major adverse effects in the trial or study. The
only significant differences in secondary outcomes were in the randomised trial.
The oral group had more respiratory adverse effects (17% v 7%,95% confidence
interval for difference -17% to -2%), the change in serum creatinine was 3.7
mmol/l less favourable (0.9 micromol/l to 6.5 micromol/l); and more participants
changed treatments because of adverse effects (16% v 1%, -16% to -5%). In the
topical group more participants had chronic pain grade III or IV at three months,
and more participants changed treatment because of ineffectiveness. CONCLUSIONS:
Advice to use oral or topical preparations has an equivalent effect on knee pain
over one year, and there are more minor side effects with oral NSAIDs. Topical
NSAIDs may be a useful alternative to oral NSAIDs. TRIAL REGISTRATION: ISRCTN
79353052.
PMID- 18056745
TI - Apoptosis of cultured astrocytes induced by the copper and neocuproine complex
through oxidative stress and JNK activation.
AB - Astrocytes play a critical neurotrophic and neuroprotective role in the brain,
and improper function of these cells may contribute to the onset of
neurodegenerative diseases. Because astrocytes are known to be enriched with Cu
chaperone proteins, it is important to understand the factors that may lead to
cytotoxic effects of Cu on astrocytes. In this report, we demonstrated a dramatic
potentiating effect of neocuproine (NCP), a membrane permeable metal chelator, on
Cu, but not Fe or Pb, in inducing apoptosis of cultured astrocytes. It was
estimated that individually, CuCl2 and NCP only weakly exhibited cytotoxic
effects on astrocytes, with EC50 of 180 and 600 microM, respectively. However,
NCP at a nontoxic concentration of 10 microM markedly reduced EC50 of Cu to 0.35
microM (physiological concentration) and Cu (10 microM) reduced EC50 of NCP down
to 0.06 microM. The mechanisms underlying these dramatic potentiation effects are
elucidated. NCP increased the intracellular concentration of Cu in astrocytes and
a nonpermeable Cu chelator, bathocuproine disulfonate was able to abolish all of
the apoptotic signaling. Cell death was determined to be via apoptosis due to
increased reactive oxygen species production, mitochondrial dysfunction,
depletion of glutathione and adenosine triphosphate, cytochrome c release, c-Jun
N-terminal kinase, and caspase-3 activation, and poly-ADP-ribose polymerase
degradation. This finding, coupled with our previous reports, suggests that metal
chelators (NCP, dithiocarbamate and disulfiram) should be cautiously used as they
may potentiate a cytotoxic effect of endogenous Cu on astrocytes. Their clinical
implications in the etiology of neurodegenerative diseases deserve further
investigation.
PMID- 18056746
TI - Leading the way: a conversation with HHS secretary Mike Leavitt. Interview by
Leonard D. Schaeffer.
AB - In January 2005, Michael O. Leavitt was sworn in as the twentieth secretary of
health and human services (HHS). The former three-term governor of Utah reveals
how his management of HHS reflects his experiences managing private- and public
sector organizations. The discussion explores his perspective that using health
information technology (IT) to modernize health care and create value must be
done through collaboration, not government mandate. Secretary Leavitt says that
new approaches based on linking reimbursement with results must be adopted
incrementally, as more is learned about carrying out such approaches. But he also
says that it is time to begin linking reimbursement with health IT adoption.
PMID- 18056747
TI - How common is repetitive strain injury?
AB - OBJECTIVE: Statistics from Labour Force Surveys are widely quoted as evidence for
the scale of occupational illness in Europe. However, occupational attribution
depends on whether participants believe their health problem is caused or
aggravated by work, and personal beliefs may be unreliable. The authors assessed
the potential for error for work-associated arm pain. METHODS: A questionnaire
was mailed [corrected] to working-aged adults, randomly chosen from five British
general practices. They were asked about: occupational activities; mental health;
self-rated health; arm pain; and beliefs about its causation. Those in work (n =
1769) were asked about activities likely to cause arm pain, from which the
authors derived a variable for exposure to any "arm-straining" occupational
activity. The authors estimated the relative risk (RR) from arm-straining
activity, using a modified Cox model, and derived the population attributable
fraction (PAF). They compared the proportion of arm pain cases reporting their
symptom as caused or made worse by work with the calculated PAF, overall and for
subsets defined by demographic and other characteristics. RESULTS: Arm pain in
the past year was more common in the 1143 subjects who reported exposure to arm
straining occupational activity (RR 1.2, 95% CI 1.1 to 1.5). In the study sample
as a whole, 53.9% of 817 cases reported their arm pain as work-associated,
whereas the PAF for arm-straining occupational activity was only 13.9%. The ratio
of cases reported as work-related to the calculated attributable number was
substantially higher below 50 years (5.4) than at older ages (3.0) and higher in
those with worse self-rated and mental health. CONCLUSIONS: Counting people with
arm pain which they believe to be work-related can overestimate the number of
cases attributable to work substantially. This casts doubt on the validity of a
major source of information used by European governments to evaluate their
occupational health strategies.
PMID- 18056748
TI - Effort-reward imbalance and incidence of low back and neck injuries in San
Francisco transit operators.
AB - OBJECTIVES: Effort-reward imbalance (ERI) has been associated with
musculoskeletal disorders in cross-sectional and case-control studies, but no
longitudinal studies have been published yet. The effect of ERI on the incidence
of compensated low back and neck injuries was examined in a 7.5-year follow-up
study among 1179 San Francisco transit operators. METHODS: Data from medical
examination and a survey on working conditions and health were linked to
administrative workers' compensation databases. HRs for first low back and first
neck injury were calculated with multivariate Cox regression models. Additional
analyses accounted for severity of injury based on medical diagnosis. RESULTS: A
1 SD increase in ERI was associated with compensated low back (HR 1.13, 95% CI
1.02 to 1.26) and neck injuries (HR 1.14, 95% CI 1.02 to 1.27) after adjusting
for gender, age, height, weight, years of professional driving, weekly driving
hours, vehicle type, ergonomic problems, pain at baseline and job strain. The
highest quartile of ERI showed an HR of 1.32 (95% CI 0.94 to 1.86) for low back
injuries and an HR of 1.66 (95% CI 1.16 to 2.38) for neck injuries after
adjustment for all covariates. The associations between ERI and low back injury
were stronger for more severe injuries (HR 1.23, 95% CI 1.03 to 1.46 for a 1 SD
increase in ERI) than for less severe injuries (HR 1.11, 95% CI 0.96 to 1.28).
For neck injuries, stronger relationships were found for less severe injuries (HR
1.15, 95% CI 1.02 to 1.29) than for more severe injuries (HR 1.10, 95% CI 0.86 to
1.41). CONCLUSIONS: ERI is associated with low back and neck injuries in San
Francisco transit operators independently of individual worker characteristics,
physical workload, ergonomic problems, baseline pain and job strain. Effect sizes
differ by injury severity.
PMID- 18056749
TI - Short-term associations between fine and coarse particles and hospital admissions
for cardiorespiratory diseases in six French cities.
AB - OBJECTIVES: Little is known about the potential health effects of the coarse
fraction of ambient particles. The aim of this study is to estimate the links
between fine (PM(2.5)) and coarse particle (PM(2.5-10)) levels and
cardiorespiratory hospitalisations in six French cities during 2000-2003.
METHODS: Data on the daily numbers of hospitalisations for respiratory,
cardiovascular, cardiac and ischaemic heart diseases were collected. Associations
between exposure indicators and hospitalisations were estimated in each city
using a Poisson regression model, controlling for confounding factors (seasons,
days of the week, holidays, influenza epidemics, pollen counts, temperature) and
temporal trends. City-specific findings were combined to obtain excess relative
risks (ERRs) associated with a 10 mug/m(3) increase in PM(2.5) and PM(2.5-10
)levels. RESULTS: We found positive associations between indicators of
particulate pollution and hospitalisations for respiratory infection, with an ERR
of 4.4% (95% CI 0.9 to 8.0) for PM(2.5-10 )and 2.5% (95% CI 0.1 to 4.8) for
PM(2.5). Concerning respiratory diseases, no association was observed with
PM(2.5), whereas positive trends were found with PM(2.5-10), with a significant
association for the 0-14-year-old age group (ERR 6.2%, 95% CI 0.4 to 12.3).
Concerning cardiovascular diseases, positive associations were observed between
PM(2.5) levels and each indicator, although some did not reach significance;
trends with PM(2.5-10 )were weaker and non-significant except for ischaemic heart
disease in the elderly (ERR 6.4%, 95% CI 1.6 to 11.4). CONCLUSIONS: In accordance
with other studies, our results indicate that the coarse fraction may have a
stronger effect than the fine fraction on some morbidity endpoints, especially
respiratory diseases.
PMID- 18056750
TI - In vitro bioassays for androgens and their diagnostic applications.
AB - Androgen levels are measured in today's clinical practice almost exclusively by
immunoassays. The androgen that is most frequently determined is testosterone
(T), but sometimes also the levels of other testicular, ovarian and adrenal
androgens such as 5alpha-dihydrotestosterone, androstenedione,
dehydroepiandrosterone and its sulphate may be determined. In many instances,
especially when androgen levels are low (as in women and children), the quality
of the immunomeasurements is insufficient and the correlation between hormone
levels and clinical symptoms is poor. One alternative to improve the clinical
relevance of androgen measurements is provided by the recently developed in vitro
bioassays of total androgen bioactivity in serum. These assays are not yet ready
for routine laboratory diagnostics, but they provide a useful tool for clinical
research in disturbances of androgen production. Another application of these
assays is the screening for androgenic and antiandrogenic activity in chemical
compounds, environmental samples and when suspecting androgen abuse. The purpose
of this article is to introduce the current problems of androgen measurement by
immunoassays, to describe the novel in vitro bioassay techniques and to review
the current information on their application in clinical research.
PMID- 18056751
TI - Wernicke's encephalopathy revisited. Translation of the case history section of
the original manuscript by Carl Wernicke 'Lehrbuch der Gehirnkrankheiten fur
Aerzte and Studirende' (1881) with a commentary.
AB - AIMS: A translation into English of the case history section of Carl Wernicke's
original manuscript of 1881, with a discussion on its relevance for clinicians
today. METHODS: A copy of Carl Wernicke's original German text was obtained by
one of the authors (CCHC) and translated into English from the old German by a
professional translator. RESULTS: The translation was subsequently agreed by
native German speaking referees, and minor changes made. CONCLUSIONS: The authors
studied the translation in detail and concluded that Wernicke's description had
stood the test of time. The diagnosis of Wernicke's Encephalopathy remains a
clinical one.
PMID- 18056752
TI - Interventions used by physical therapists in home care for people after hip
fracture.
AB - BACKGROUND AND PURPOSE: The majority of older people who survive a hip fracture
have residual mobility disabilities. Any attempt to systematically reduce
mobility disabilities after hip fracture, however, requires knowledge of the
adequacy of current management practices. Therefore, the purpose of this study
was to begin to understand the nature of physical therapy home care management by
describing "usual care" for people after hip fracture. SUBJECTS AND METHODS: In
2003 and 2004, a national survey was conducted of all members of the American
Physical Therapy Association who identified home care as their primary practice
setting (n=3,130). "Usual care" was operationally defined as when more than 50%
of respondents reported that they "always" or "often" use a specific
intervention. RESULTS: Survey questionnaires (1,029) were returned with a
response rate of 32.9%. Functional training activities, including bed mobility,
transfer and gait training, balance training, safety training, and patient
education, were reported very frequently. Active-range-of-motion exercises were
performed much more frequently than exercises involving added resistance.
DISCUSSION AND CONCLUSION: This study provides a detailed description of the
physical therapy interventions provided in the home care setting for patients
after hip fracture. The sample size and national representation increase our
confidence that this description accurately depicts physical therapist practice.
PMID- 18056753
TI - Effects of education on return-to-work status for people with fear-avoidance
beliefs and acute low back pain.
AB - BACKGROUND AND PURPOSE: People with acute low back injury and fear-avoidance
beliefs are at risk for remaining off work for an extended period of time.
However, the effectiveness of intervention strategies for improving return-to
work status in people with acute low back pain and fear-avoidance beliefs has not
been reported. The objective of this study was to determine whether education and
counseling on pain management, physical activity, and exercise could
significantly decrease the number of days that people with low back injury are
off work. SUBJECTS: Thirty-four people who were unable to return to work
following a work-related episode of low back pain and who exhibited fear
avoidance beliefs participated in this study. METHODS: Participants who scored 50
points or higher on the Fear-Avoidance Beliefs Questionnaire were alternately
assigned to an education group or a comparison group. Both groups received
conventional physical therapy intervention. Participants in the education group
were given education and counseling on pain management tactics and the value of
physical activity and exercise. The effectiveness of the interventions was
measured by the number of days before people returned to work without
restrictions. RESULTS: All participants in the education group returned to
regular work duties within 45 days. One third of the participants in the
comparison group remained off work at 45 days. There was a statistically
significant difference between the groups with regard to the number of days
before returning to work. DISCUSSION AND CONCLUSION: Education and counseling
regarding pain management, physical activity, and exercise can reduce the number
of days off work in people with fear-avoidance beliefs and acute low back pain.
PMID- 18056754
TI - Estimation of thigh muscle mass with magnetic resonance imaging in older adults
and people with chronic obstructive pulmonary disease.
AB - BACKGROUND AND PURPOSE: Quantifying muscle mass is an essential part of physical
therapy assessment, particularly in older adults and in people with chronic
conditions associated with muscle atrophy. The purposes of this study were to
examine the relationship between muscle cross-sectional area (CSA) and volume by
use of magnetic resonance imaging (MRI) and to compare anthropometric estimations
of midthigh CSA with measurements obtained from MRI. SUBJECTS AND METHODS: Twenty
older adults who were healthy and 20 people with chronic obstructive pulmonary
disease (COPD), matched for age, sex, and body mass index, underwent MRI to
obtain measurements of thigh muscle CSA and volume. Anthropometric measurements
(skinfold thickness and thigh circumference) were used to estimate midthigh CSA.
RESULTS: Muscle volumes were significantly lower in the people with COPD than in
the older adults who were healthy. Moderate to high correlations were found
between midthigh CSA and volume in both groups (r=.61-.94). Anthropometric
measurements tended to overestimate midthigh CSA in both the people with COPD
(estimated CSA=64.9+/-17.8; actual CSA=48.3+/-10.2 cm(2)) and the older adults
who were healthy (estimated quadriceps femoris muscle CSA=65.0+/-14.0; actual
CSA=56.8+/-13.5 cm(2)). Furthermore, the estimated quadriceps femoris muscle CSAs
were not sensitive enough to detect a difference in muscle size between people
with COPD and controls. Thigh circumference alone was not different between
groups and showed only low to moderate correlations with muscle volume (r=.19
.47). DISCUSSION AND CONCLUSION: Muscle CSA measured from a single slice provides
a good indication of volume, but the most representative slice should be chosen
on the basis of the muscle group of interest. Thigh circumference is not
correlated with muscle volume and, therefore, should not be used as an indicator
of muscle size. The development of population-specific reference equations for
estimating muscle CSA from anthropometric measurements is warranted.
PMID- 18056755
TI - Adalimumab effectively reduces the signs and symptoms of active ankylosing
spondylitis in patients with total spinal ankylosis.
AB - OBJECTIVE: To evaluate the long-term safety and efficacy of adalimumab in
patients with ankylosing spondylitis (AS) and total spinal ankylosis (TSA).
DESIGN: Patients (n = 315) with active AS were randomised in a 2:1 ratio to
receive adalimumab 40 mg every other week or placebo for 24 weeks followed by
open-label adalimumab for up to 5 years. Two-year efficacy and safety data for 11
patients with investigator-defined TSA were evaluated. The primary end point was
the ASsessment in AS International Working Group criteria for 20% improvement
(ASAS20) at Week 12. On or after Week 12, ASAS20 non-responders could switch to
open-label adalimumab. Other efficacy measurements included ASAS40, ASAS 5/6,
ASAS partial remission, and 50% improvement in the Bath AS Disease Activity Index
(BASDAI 50). RESULTS: 6 of 11 TSA patients were randomised to adalimumab and 5 to
placebo. At Week 12, 50% of the adalimumab-treated patients achieved an ASAS20
response and 33% achieved an ASAS40, ASAS 5/6 and BASDAI 50. No placebo-treated
patients achieved any response criteria at Week 12. 4 placebo- and 2 adalimumab
treated patients switched to open-label adalimumab before Week 24. After 1 year
of adalimumab treatment, 8 of 11 patients achieved an ASAS20 response. After 2
years, 6 of the remaining 8 patients with TSA reported an ASAS20 response. There
were no serious adverse events or adverse event-related study discontinuations.
CONCLUSION: In patients with TSA, adalimumab treatment resulted in rapid and
clinically significant improvement in the signs and symptoms of active disease.
Adalimumab effectiveness and safety were sustained for at least 2 years. TRIAL
REGISTRATION NUMBER: NCT00085644.
PMID- 18056756
TI - Modulation of established murine collagen-induced arthritis by a single
inoculation of short-term lipopolysaccharide-stimulated dendritic cells.
AB - BACKGROUND: The use of regulatory or immature dendritic cells (DCs) as tools for
modulating experimental rheumatoid arthritis is very recent. Tumour necrosis
factor (TNF)-stimulated DCs have been shown to restore tolerance in experimental
autoimmune encephalomyelitis and collagen-induced arthritis (CIA). OBJECTIVE: We
investigated the capacity of short-term lipopolysaccharide (LPS)-stimulated DCs
pulsed with type II collagen (CII) to induce tolerance against established CIA.
METHODS: Bone marrow-derived DCs were generated in the presence of granulocyte
monocyte colony-stimulating factor (GM-CSF). After CIA induction, mice were
injected at day 35 with a single dose of 4- or 24-h LPS-stimulated DCs that had
been loaded with CII (4hLPS/CII/DCs or 24hLPS/CII/DCs). Arthritis progression was
monitored by clinical and histological evaluations. RESULTS: Flow cytometry of
4hLPS/CII/DCs showed intermediate CD40 and CD86 expression, lower than that of
24hLPS/CII/DCs (fully mature) and higher than that of CII/DCs (immature). A
functional assay showed that 4hLPS/CII/DCs display increased endocytosis ability
with respect to 24hLPS/CII/DCs, indicating a semimature state. The single
inoculation of 4hLPS/CII/DCs in mice with established CIA reduced disease
severity significantly over time. Histological evaluation of mice treated with
4hLPS/CII/DCs revealed diminished inflammatory synovitis, cartilage damage and
fibrosis. Co-cultures of DCs with splenocytes from CIA mice showed that collagen
specific interferon (IFN)gamma production was dramatically inhibited by
4hLPS/CII/DCs. 4hLPS/CII/DCs were high IL10 producers, which could explain the
inhibition of arthritis progression in mice receiving this treatment because
neither antibodies nor regulatory CD4+CD25+Foxp3+ T lymphocytes were demonstrated
to be involved. CONCLUSION: Short-term LPS-modulated DCs inoculation interferes
with CIA progression when loaded with CII.
PMID- 18056757
TI - The metastasis associated protein S100A4: a potential novel link to inflammation
and consequent aggressive behaviour of rheumatoid arthritis synovial fibroblasts.
AB - The metastasis-associated protein S100A4 belongs to the large family of S100
calcium-binding proteins that appear to play regulatory roles in diverse
biological activities. Moreover, a prognostic role of S100A4 has been suggested
for patients with several types of cancer. Cancer promoting properties for S100A4
have been demonstrated, particularly through its regulation of cell motility,
proliferation and apoptosis, as well as by stimulation of angiogenesis and
remodelling of the extracellular matrix. Increased expression of S100A4 mRNA has
been detected in proliferating synovial fibroblasts in rheumatoid arthritis.
Furthermore, strong upregulation of the S100A4 protein in rheumatoid arthritis
synovial tissue compared with osteoarthritis and control tissues has been
demonstrated recently, especially at sites of joint invasion. Several immune and
vascular cells were also identified to be producing S100A4 within the synovium.
The local upregulation of S100A4 was accompanied by high plasma and synovial
fluid concentrations of the S100A4 protein existing in the bioactive oligomeric
form in patients with rheumatoid arthritis. Consistent with data from cancer
studies, the extracellular S100A4 oligomer appears to be involved in regulation
of several matrix-degrading enzymes and modulation of the transcriptional
activation function of the tumour suppressor protein p53 in rheumatoid arthritis
synovial fibroblasts. Taken together, one can speculate that increased S100A4
protein in circulation and locally at sites of inflammation, particularly at
sites of joint destruction, might be linked to the process of aggressive
fibroblast behaviour contributing to the pathogenesis of chronic autoinflammatory
diseases such as rheumatoid arthritis.
PMID- 18056758
TI - Major genetic components underlying alcoholism in Korean population.
AB - Alcohol metabolism is one of the biological determinants that could significantly
be influenced by genetic polymorphisms in alcohol-metabolism genes. Alcohol
dehydrogenase (ADH) converts alcohol to acetaldehyde, and aldehyde dehydrogenase
(ALDH) converts acetaldehyde to acetate. The well-known genetic polymorphisms in
ADH1B(His47Arg) and ALDH2(Glu487Lys) have dramatic effects on the rate of
metabolizing alcohol and acetaldehyde, respectively. The protective allele of
ADH1B (ADH1B*47His) encodes for a rapid ethanol-metabolizing enzyme, and the
susceptible allele of the ALDH2 (ALDH2*487Lys) is strongly associated with
decreased rate of metabolizing acetaldehyde. However, the combined genetic
effects of both functional polymorphisms have not been clarified. The combined
analysis of two polymorphisms among a Korean population (n = 1,032) revealed
dramatic genetic effects on the risk of alcoholism. Individuals bearing
susceptible alleles at both loci have 91 times greater risk for alcoholism [odds
ratio (OR) = 91.43, P = 1.4 x 10(-32)] and individuals bearing one susceptible
and one protective allele at either loci have 11 times greater risk (OR = 11.40,
P = 3.5 x 10(-15)) compared with subjects who have both protective alleles. The
attributable fraction of those genetic factors, calculated based on population
controls, indicates that alcoholism in 86.5% of alcoholic patients can be
attributed to the detrimental effect of ADH1B*47Arg and/or ALDH2*487Glu in Korean
population.
PMID- 18056759
TI - Circulating smooth muscle progenitor cells: novel players in plaque stability.
PMID- 18056760
TI - The effect of cholesteryl ester transfer protein overexpression and inhibition on
reverse cholesterol transport.
AB - AIMS: Cholesteryl ester transfer protein (CETP) has a well-established role in
lipoprotein metabolism, but the effect of its overexpression or inhibition on the
efficiency of reverse cholesterol transport (RCT) is unclear. METHODS AND
RESULTS: Neither overexpression of CETP nor treatment with CETP inhibitor
Torcetrapib of RAW 264.7 macrophages or HepG2 hepatocytes affected cholesterol
efflux in vitro. Overexpression of CETP or treatment with Torcetrapib,
respectively, stimulated or inhibited HDL cholesteryl ester uptake by HepG2 but
not by RAW 264.7 cells. When RAW 264.7 cells transfected with CETP or ATP binding
cassette transporter A1 (ABCA1) were injected intraperitoneally into mice,
cholesterol egress from macrophages was elevated for ABCA1- but not for CETP
transfected macrophages. Systemic expression of CETP in mice by adenoviral
infection stimulated egress of cholesterol to plasma and liver without affecting
HDL levels. Treatment with Torcetrapib did not affect appearance of macrophage
cholesterol in plasma and liver, but inhibited its excretion into feces.
Treatment of hamsters with Torcetrapib led to elevation of HDL cholesterol, an
increase in the capacity of plasma to support cholesterol efflux, and increased
egress of cholesterol from macrophages to plasma and feces in vivo. CONCLUSION:
Both increased (mice study) and decreased (hamster study) CETP activity could
result in enhanced RCT.
PMID- 18056761
TI - Mechanisms underlying increased right ventricular conduction sensitivity to
flecainide challenge.
AB - AIMS: The cardiac sodium current (I(Na)) is a major determinant of conduction.
Mechanisms underlying regionally heterogeneous conduction slowing secondary to
reduced I(Na) in diseases such as the Brugada syndrome and heart failure remain
incompletely understood. Right precordial electrophysiological manifestations
during flecainide challenge suggest a decreased right ventricular depolarization
reserve. We hypothesized that heterogeneous cardiac sodium channel (Na(v)1.5)
distribution between ventricles causes interventricular depolarization
heterogeneities. METHODS AND RESULTS: Western blotting analysis revealed
Na(v)1.5, and Kir2.1 protein expressions were 18.2 and 12.0% lower, respectively,
in the guinea pig right ventricle (RV) compared with the left ventricle (LV).
Conduction velocity (theta) heterogeneities were quantified by optical mapping
during LV or RV pacing. Although RV transverse theta((thetaT)) was significantly
greater than LV (thetaT) by 33.09 +/- 1.38% under control conditions, there were
no differences in longitudinal theta. During partial sodium channel blockade
(flecainide, 0.5 microM), RV theta decreased by 35.3 +/- 1.3%, whereas LV theta
decreased by 29.2 +/- 1.0%. These data demonstrate that the RV has an increased
conduction dependence on sodium channel availability. Partial blockade of the
inward rectifier potassium current (I(K1)) by BaCl(2) (10 microm) significantly
increased theta in both ventricles under control conditions. However, BaCl(2)
only increased conduction dependence on sodium channel availability in the LV.
This suggests that the LV may have an increased depolarization reserve compared
with the RV, but the larger I(K1) depresses control LV theta. CONCLUSION:
Interventricular I(K1) heterogeneities may underlie conduction heterogeneities
observed under control conditions. However, under conditions where I(Na) is
functionally reduced in disease or during pharmacological sodium channel
blockade, the heterogeneity in Na(v)1.5 expression may become a significant
determinant of conduction heterogeneities.
PMID- 18056762
TI - Dystrophic cardiomyopathy: amplification of cellular damage by Ca2+ signalling
and reactive oxygen species-generating pathways.
AB - AIMS: Cardiac myopathies are the second leading cause of death in patients with
Duchenne and Becker muscular dystrophy, the two most common and severe forms of a
disabling striated muscle disease. Although the genetic defect has been
identified as mutations of the dystrophin gene, very little is known about the
molecular and cellular events leading to progressive cardiac muscle damage.
Dystrophin is a protein linking the cytoskeleton to a complex of transmembrane
proteins that interact with the extracellular matrix. The fragility of the cell
membrane resulting from the lack of dystrophin is thought to cause an excessive
susceptibility to mechanical stress. Here, we examined cellular mechanisms
linking the initial membrane damage to the dysfunction of dystrophic heart.
METHODS AND RESULTS: Cardiac ventricular myocytes were enzymatically isolated
from 5- to 9-month-old dystrophic mdx and wild-type (WT) mice. Cells were exposed
to mechanical stress, applied as osmotic shock. Stress-induced cytosolic and
mitochondrial Ca(2+) signals, production of reactive oxygen species (ROS), and
mitochondrial membrane potential were monitored with confocal microscopy and
fluorescent indicators. Pharmacological tools were used to scavenge ROS and to
identify their possible sources. Osmotic shock triggered excessive cytosolic
Ca(2+) signals, often lasting for several minutes, in 82% of mdx cells. In
contrast, only 47% of the WT cardiomyocytes responded with transient and moderate
intracellular Ca(2+) signals. On average, the reaction was 6-fold larger in mdx
cells. Removal of extracellular Ca(2+) abolished these responses, implicating
Ca(2+) influx as a trigger for abnormal Ca(2+) signalling. Our further
experiments revealed that osmotic stress in mdx cells produced an increase in ROS
production and mitochondrial Ca(2+) overload. The latter was followed by collapse
of the mitochondrial membrane potential, an early sign of cell death. CONCLUSION:
Overall, our findings reveal that excessive intracellular Ca(2+) signals and ROS
generation link the initial sarcolemmal injury to mitochondrial dysfunctions. The
latter possibly contribute to the loss of functional cardiac myocytes and heart
failure in dystrophy. Understanding the sequence of events of dystrophic cell
damage and the deleterious amplification systems involved, including several
positive feed-back loops, may allow for a rational development of novel
therapeutic strategies.
PMID- 18056763
TI - Non-canonical fibroblast growth factor signalling in angiogenesis.
AB - Whereas fibroblast growth factors (FGFs) classically transmit their signals via
high-affinity tyrosine kinase receptors (FGFR1-4), recent evidence strongly
implicates non-tyrosine kinase receptors (NTKR) or cell-surface FGFR-interacting
proteins as important players in FGF signalling. Although NTKR have lower
affinity for FGFs in comparison with cognate tyrosine kinase receptors, because
of their high abundance they can effectively bind FGFs and produce unique
biological effects independent of FGFRs. A prime example of such NTKR is the
syndecan family of plasma membrane proteoglycans and, in particular, syndecan-4,
which transmits FGF signalling via a protein kinase Calpha pathway. Another NTKR,
alpha(v)beta(3) integrin, functions as an FGF signalling modulator by binding
both FGF2 and FGFR1. Yet another NTKR, neural cell adhesion molecule (NCAM), can
serve as an FGFR ligand and assemble an FGFR signalling complex in the absence of
FGFs. Furthermore, N-cadherin, which has been reported to associate with FGFR,
appears to activate FGFR in both ligand (FGF)-dependent and ligand-independent
manners. Finally, gangliosides are implicated as a co-receptor system of FGFs.
The biological consequence of non-canonical FGF signalling tends to be less
discernable compared to the canonical FGFR activation because of the overlap
between these two pathways; nevertheless, non-canonical signalling is important
and sometimes essential for cellular functions. Given the diversity of FGF
activities through embryonic development to adult physiology, the existence of
the non-canonical signalling system may account for the different cellular
response to the FGF input in different biological contexts. In this review, we
will discuss recent findings related to non-canonical FGF signalling with
emphasis on the endothelial biology and angiogenesis.
PMID- 18056764
TI - Protein kinase C alpha promotes angiogenic activity of human endothelial cells
via induction of vascular endothelial growth factor.
AB - AIMS: Protein kinase C (PKC) plays an important role in the regulation of
angiogenesis. However, downstream targets of PKC in endothelial cells are poorly
defined. METHODS AND RESULTS: mRNA expression of vascular endothelial growth
factor (VEGF) was analysed by quantitative real-time RT-PCR in human umbilical
vein endothelial cells (HUVEC) and HUVEC-derived EA.hy 926 cells. siRNA was used
to knockdown PKC isoforms and VEGF. Matrigel tube formation assay was used to
analyse the angiogenic activity of endothelial cells. Phorbol-12-myristate-13
acetate (PMA) enhanced the ability of HUVEC to organize into tubular networks
when plated on Matrigel, a phenomenon that could be prevented by PKC inhibitors.
PMA markedly increased the expression of VEGF in HUVEC and EA.hy 926 cells. The
enhancement in VEGF expression was prevented by PKC inhibitors and by an
inhibitor of the Erk1/2 pathway. PMA-induced tube formation was reduced by
inhibition of the VEGF receptor kinase, or by VEGF knockdown. PMA led to an
activation of PKC isoforms alpha, delta and epsilon in HUVEC. Knockdown of PKC
alpha diminished PMA-induced VEGF expression and angiogenesis. Also endothelial
progenitor cells isolated from human peripheral blood showed enhanced VEGF
expression and improved angiogenic activity in response to PKC activation.
Moreover, incubation of HUVEC with VEGF led to PKC alpha activation and PKC
dependent VEGF upregulation. CONCLUSIONS: PKC alpha activation promotes
angiogenic activity of human endothelial cells. This is likely to be largely
mediated by induction of VEGF. VEGF enhances its own expression via a PKC alpha
dependent positive feedback mechanism.
PMID- 18056765
TI - Sarcomeric proteins and inherited cardiomyopathies.
AB - Over the last two decades, a large number of mutations have been identified in
sarcomeric proteins as a cause of hypertrophic, dilated or restrictive
cardiomyopathy. Functional analyses of mutant proteins in vitro have revealed
several important functional changes in sarcomeric proteins that might be
primarily involved in the pathogenesis of each cardiomyopathy. Creation of
transgenic or knock-in animals expressing mutant proteins in their hearts
confirmed that these mutations in genes for sarcomeric proteins induced distinct
types of cardiomyopathies and provided useful animal models to explore the
molecular pathogenic mechanisms and potential therapeutics of cardiomyopathy in
vivo. In this review, I discuss the functional consequences of mutations in
different sarcomeric proteins found in hypertrophic, dilated, and restrictive
cardiomyopathies in conjunction with their effects on cardiac structure and
function in vivo and their possible molecular and cellular mechanisms, which
underlie the pathogenesis of these inherited cardiomyopathies.
PMID- 18056766
TI - Gap junction remodelling in human heart failure is associated with increased
interaction of connexin43 with ZO-1.
AB - AIMS: Remodelling of gap junctions, involving reduction of total gap junction
quantity and down-regulation of connexin43 (Cx43), contributes to the arrhythmic
substrate in congestive heart failure. However, little is known of the underlying
mechanisms. Recent studies from in vitro systems suggest that the connexin
interacting protein zonula occludens-1 (ZO-1) is a potential mediator of gap
junction remodelling. We therefore examined the hypothesis that ZO-1 contributes
to reduced expression of Cx43 gap junctions in congestive heart failure. METHODS
AND RESULTS: Left ventricular myocardium from healthy control human hearts (n =
5) was compared with that of explanted hearts from transplant patients with end
stage congestive heart failure due to idiopathic dilated cardiomyopathy (DCM; n =
5) or ischaemic cardiomyopathy (ICM; n = 5). Immunoconfocal and immunoelectron
microscopy showed that ZO-1 is specifically localized to the intercalated disc of
cardiomyocytes in control and failing ventricles. ZO-1 protein levels were
significantly increased in both DCM and ICM (P = 0.0025), showing a significant,
negative correlation to Cx43 levels (P = 0.0029). There was, however, no
significant alteration of ZO-1 mRNA (P = 0.537). Double immunolabelling
demonstrated that a proportion of ZO-1 label is co-localized with Cx43, and that
co-localization of Cx43 with ZO-1 is significantly increased in the failing
ventricle (P = 0.003). Interaction between the two proteins was confirmed by co
immunoprecipitation. The proportion of Cx43 that co-immunoprecipitates with ZO-1
was significantly increased in the failing heart. CONCLUSION: Our findings
suggest that ZO-1, by interacting with Cx43, plays a role in the down-regulation
and decreased size of Cx43 gap junctions in congestive heart failure.
PMID- 18056767
TI - Effect of acidic reperfusion on prolongation of intracellular acidosis and
myocardial salvage.
AB - AIMS: It has been proposed that intracellular acidosis may be the basis of the
cardioprotection of different interventions, including postconditioning. However,
contradictory reports exist on the effects of acidic reperfusion on myocardial
salvage. Here we characterized the effect of lowering the pH of the reperfusion
media (pHo) on intracellular pH (pHi) and cell death. METHODS AND RESULTS: The
effect of acidic perfusion on reperfusion injury was studied in isolated rat
hearts submitted to 40 min of ischaemia and 30 min of reperfusion, and its effect
on the Na(+)/Ca(2+)-exchanger (NCX) was analysed in isolated myocytes. pHi and
phosphocreatine (PCr) were monitored by nuclear magnetic resonance spectroscopy.
Lowering pHo to 6.4 during the initial 3 min of reperfusion delayed pHi
normalization, improved PCr recovery, and markedly reduced (P < 0.001) lactate
dehydrogenase release and infarct size (tetrazolium reaction). This
cardioprotection was attenuated as pHo was increased, and was lost at pH0 7.0.
Extending acidic reperfusion to the first 15 or 30 min of reflow did not result
in further delay of pHi normalization and abolished the protection afforded by
the initial 3 min of acidic reperfusion unless the Na(+)/H(+)-exchanger (NHE)
blocker cariporide was added to the acidic perfusate and HCO(3)(-) substituted
for N-[2-hydroxyethyl]piperazine-N'-[2-ethanesulphonic acid]. In experiments
performed in fura-2-loaded myocytes exposed to low Na(+) buffer adjusted to pH
6.4, the lower Ca(2+) uptake indicated an inhibitory effect of acidosis on NCX.
CONCLUSION: Acidic reperfusion for 3 min delays normalization of pHi and enhances
myocardial salvage, but extending it beyond this period fails to further delay
pHi recovery. This is probably due to persisting NHE and Na(+)/HCO(3)(-)
cotransporter activities, and it is detrimental, possibly through prolonged NCX
inhibition.
PMID- 18056768
TI - Ligand-dependent activation of ER{beta} lowers blood pressure and attenuates
cardiac hypertrophy in ovariectomized spontaneously hypertensive rats.
AB - AIMS: The biological effects of oestrogens are mediated by two different
oestrogen receptor (ER) subtypes, ERalpha and ERbeta, which might play different,
redundant, or opposing roles in cardiovascular disease. Previously, we have shown
that the selective ERalpha agonist 16alpha-LE2 improves vascular relaxation,
attenuates cardiac hypertrophy, and increases cardiac output without lowering
elevated blood pressure in spontaneously hypertensive rats (SHR). Because ERbeta
deficient mice exhibit elevated blood pressure and since the ERbeta agonist 8beta
VE2 attenuated hypertension in aldosterone-salt-treated rats, we have now tested
the hypothesis that the isotype-selective ERbeta agonist 8beta-VE2 might be
capable of lowering elevated blood pressure in ovariectomized SHR. METHODS AND
RESULTS: Treatment of ovariectomized SHR with 8beta-VE2 for 12 weeks conferred no
uterotrophic effects but lowered elevated systolic blood pressure (-38 +/- 5
mmHg, n = 31, P < 0.001 vs. placebo) as well as peripheral vascular resistance (
31.3 +/- 4.6%, P < 0.001 vs. placebo). 8beta-VE2 enhanced aortic ERbeta
expression (+75.7 +/- 7.1%, P < 0.01 vs. placebo), improved NO-dependent
vasorelaxation, augmented phosphorylation of the vasodilator-stimulated
phosphoprotein in isolated aortic rings (P < 0.05 vs. placebo), increased cardiac
output (+20.4 +/- 2.5%, P < 0.01 vs. placebo), and attenuated cardiac hypertrophy
(-22.2 +/- 3.2%, p < 0.01 vs. placebo). 8beta-VE2, in contrast to oestradiol, did
not enhance cardiac alpha-myosin heavy chain expression. CONCLUSION: Ligand
dependent activation of ERbeta confers blood pressure lowering effects in SHR
that are superior to those of 17beta-estradiol or the ERalpha agonist 16alpha-LE2
and attenuates cardiac hypertrophy primarily by a reduction of cardiac afterload
without promoting uterine growth.
PMID- 18056770
TI - Late recurrences of Cushing's disease after initial successful transsphenoidal
surgery.
AB - CONTEXT: Few studies have systematically analyzed the long-term recurrence rates
of Cushing's disease after initial successful transsphenoidal surgery. SETTING:
This was a retrospective review of patients treated at the University of Virginia
Medical Center. PATIENTS: A total of 215 subjects with Cushing's disease who
underwent initial transsphenoidal surgery for resection of a presumed pituitary
microadenoma from 1992-2006 were included. MAIN OUTCOME MEASURES: Remission and
recurrence rates of Cushing's disease were examined. Recurrence was defined as an
elevated 24-h urine free cortisol with clinical symptoms consistent with
Cushing's disease. RESULTS: Of the 215 patients who underwent transsphenoidal
surgery for Cushing's disease, surgical remission was achieved in 184 (85.6%).
The mean length of follow-up was 45 months. Actuarial recurrence rates of
Cushing's disease after initially successful transsphenoidal surgery at 1, 2, 3,
and 5 yr were 0.5, 6.7, 10.8, and 25.5%, respectively. Among the 184 patients who
achieved remission, 32 (17.4%) patients followed for more than 6 months
ultimately had a recurrence of Cushing's disease. The median time to recurrence
was 39 months. Immediate postoperative hypocortisolemia (serum cortisol < or = 2
microg/dl within 72-h surgery) was achieved in 97 (45.1%) patients. Patients who
had postoperative serum cortisol of more than 2 microg/dl were 2.5 times more
likely to have a recurrence than patients who had serum cortisol less than or
equal to 2 microg/dl (odds ratio = 2.5; 95% confidence interval 1.12-5.52; P =
0.022). CONCLUSIONS: A quarter of the patients with Cushing's disease who achieve
surgical remission after transsphenoidal surgery, recur with long-term follow-up.
This finding emphasizes the need for continued biochemical and clinical follow-up
to ensure remission after surgery.
PMID- 18056769
TI - Effects of continuous versus cyclical oral contraception: a randomized controlled
trial.
AB - CONTEXT: Continuous oral contraception may better suppress the ovary and
endometrium, lending itself to the treatment of other medical conditions.
OBJECTIVE: Our objective was to determine the effects of continuous vs. cyclical
oral contraception. DESIGN: This was a randomized double-blind trial. SETTING:
This trial was performed at an academic medical center in Pennsylvania. PATIENTS:
A total of 62 healthy women with regular menses were included in the study.
INTERVENTION: Cyclical oral contraception (21-d active/7-d placebo given for six
consecutive 28-d cycles) vs. continuous (168-d active pill) therapy using a
monophasic pill (20 microg ethinyl estradiol and 1 mg norethindrone acetate) was
examined. MAIN OUTCOME MEASURES: The primary outcome was vaginal bleeding, and
secondary outcomes included hormonal, pelvic ultrasound, quality of life, and
safety measures. RESULTS: There was no statistically significant difference in
the number of total bleeding days between groups, but moderate/heavy bleeding was
significantly greater with the cyclical regimen [mean 11.0 d (sd 8.5) vs.
continuous 5.2 d (sd 6.8); P = 0.005], with both groups decreasing over time.
Endogenous serum and urinary estrogens measured over six cycles were
significantly lower (P = 0.02 and 0.04, respectively) in the continuous group
than the cyclical group. Women in the continuous group also had a smaller ovarian
volume and lead follicle size over the course of the trial by serial ultrasound
examinations. The Moos Menstrual Distress Questionnaire showed that women on
continuous therapy had less associated menstrual pain (P = 0.01) and favorable
improvements in behavior (P = 0.04) during the premenstrual period. CONCLUSIONS:
Continuous oral contraception does not result in a reduction of bleeding days
over a 168-d period of observation but provides greater suppression of the ovary
and endometrium. These effects are associated with improved patient
symptomatology.
PMID- 18056771
TI - An immortalized human cell line bearing a PRKAR1A-inactivating mutation: effects
of overexpression of the wild-type Allele and other protein kinase A subunits.
AB - CONTEXT: Inactivating mutations of PRKAR1A, the regulatory subunit type 1A
(RIalpha) of protein kinase A (PKA), are associated with tumor formation.
OBJECTIVE: Our objective was to evaluate the role of PKA isozymes on
proliferation and cell cycle. METHODS: A cell line with RIalpha
haploinsufficiency due to an inactivating PRKAR1A mutation (IVS2+1 G-->A) was
transfected with constructs encoding PKA subunits. Genetics, PKA subunit mRNA and
protein expression and proliferation, aneuploidy, and cell cycle status were
assessed. To identify factors that mediate PKA-associated cell cycle changes, we
studied E2F and cyclins expression in transfected cells and E2F's role by small
interfering RNA; we also assessed cAMP levels and baseline and stimulated cAMP
signaling in transfected cells. RESULTS: Introduction of PKA subunits led to
changes in proliferation and cell cycle: a decrease in aneuploidy and G(2)/M for
the PRKAR1A-transfected cells and an increase in S phase and aneuploidy for cells
transfected with PRKAR2B, a known PRKAR1A mutant (RIalphaP), and the PKA
catalytic subunit. There were alterations in cAMP levels, PKA subunit expression,
cyclins, and E2F factors; E2F1 was shown to possibly mediate PKA effects on cell
cycle by small interfering RNA studies. cAMP levels and constitutive and
stimulated cAMP signaling were altered in transfected cells. CONCLUSION: This is
the first immortalized cell line with a naturally occurring PRKAR1A-inactivating
mutation that is associated in vivo with tumor formation. PKA isozyme balance is
critical for the control of cAMP signaling and related cell cycle and
proliferation changes. Finally, E2F1 may be a factor that mediates dysregulated
PKA's effects on the cell cycle.
PMID- 18056772
TI - A more atherogenic serum lipoprotein profile is present in women with polycystic
ovary syndrome: a case-control study.
AB - CONTEXT: Polycystic ovary syndrome (PCOS) is associated with a higher frequency
of cardiovascular risk factors. Apolipoprotein (apo) A-I and apoB are potent
markers for cardiovascular risk. Data on apo levels in women with PCOS are scarce
and contradictory. OBJECTIVE: Our objective was to identify changes in lipid
metabolism in women with PCOS, and the relative impact of obesity, insulin
resistance, and hyperandrogenism on lipid parameters. DESIGN: This was a case
control study. SETTING: The study was performed at a single referral center.
SUBJECTS: PCOS was diagnosed according to the 2003 Rotterdam criteria. Healthy
mothers with regular menstrual cycles served as controls. MAIN OUTCOME
PARAMETERS: Fasting insulin, triglycerides (TGs), cholesterol, high-density
lipoprotein (HDL)-cholesterol, apoA-I, and apoB were determined. Low-density
lipoprotein (LDL)-cholesterol was calculated using the Friedewald formula.
RESULTS: We included 557 women with PCOS and 295 controls. After correction for
age and body mass index, PCOS women had higher median levels of insulin (10.1 vs.
6.9 mU/liter), TGs (95 vs. 81 mg/dl), cholesterol (196 vs. 178 mg/dl), and LDL
cholesterol (125 vs. 106 mg/dl) in combination with lower levels of HDL
cholesterol (46 vs. 55 mg/dl) and apoA-I (118 vs. 146 mg/dl) compared with
controls (all P values < or = 0.01). apoB levels were similar in cases and
controls. Free androgen index, body mass index, SHBG, and estradiol were
independent predictors of apoA-I levels in women with PCOS. CONCLUSIONS: PCOS is
associated with a more pronounced atherogenic lipid profile. Furthermore, obesity
and hyperandrogenism contribute to an adverse lipid profile. Finally, PCOS seems
to constitute an additional risk factor for an atherogenic lipid profile.
PMID- 18056773
TI - Determinants of skeletal age deviation in a cross-sectional study.
AB - OBJECTIVE: Skeletal age deviation (SAD) is associated with bone mass and fracture
risk in children, but factors determining this are unknown. The aim of this
population-based cross-sectional study was to describe the factors associated
with SAD. METHODS: A convenience sample of 640 male and female children aged 7-17
yr was studied. All were assessed for body composition (dual-energy x-ray
absorptiometry), diet, strength, dexterity, habitual physical activity, sunlight
exposure, smoking, and medication use. Skeletal age was assigned using the Tanner
Whitehouse-2 method. RESULTS: Subjects with a SAD greater than the 75th
percentile had significantly higher height, weight, and Tanner stage compared
with all other subjects. Bone-free lean mass, fat mass, and grip strength were
positively associated with SAD. In multivariate analysis, ever smoking and use of
inhaled corticosteroids were negatively associated with SAD, whereas milk
drinking was positively associated with SAD. There was no significant association
between sunlight exposure, television watching, light, or strenuous exercise and
SAD. CONCLUSIONS: The results of this study should be regarded as hypothesis
generating but are biologically plausible and suggest that body composition,
strength, diet, ever smoking, and inhaled corticosteroid use may be determinants
of bone maturity relative to age and thus affect fracture risk in children.
However, more studies are necessary to explore other determinants of SAD such as
genetic and perinatal factors and whether SAD influences peak bone mass.
PMID- 18056774
TI - XX Maleness and XX true hermaphroditism in SRY-negative monozygotic twins:
additional evidence for a common origin.
AB - CONTEXT: Differentiation of testicular tissue in 46,XX individuals is seen either
in XX males, the majority of them with SRY gene, or in individuals, usually SRY(
), with ovotesticular disorder of sex development (OT-DSD). Although they are
sporadic cases, there are some reports on familial recurrence, including
coexistence of XX maleness and OT-DSD in the same family. OBJECTIVE: We report on
a case of SRY(-) 46,XX monozygotic twins with genital ambiguity. METHODS:
Hormonal evaluation included testosterone, FSH, and LH measurements. SRY gene was
investigated by PCR and two-step PCR in peripheral leukocytes and gonadal
tissues, respectively. Direct DNA sequencing of the DAX-1 coding sequence was
performed. Real-time PCR for SOX9 region on chromosome 17 was obtained. RESULTS:
Both twins had a 46,XX karyotype. Twin A had a 1-cm phallus with chordee,
penoscrotal hypospadias, and palpable gonads. Serum levels of FSH (2.34 mIU/ml),
LH (8.8 mIU/ml), and testosterone (1.6 ng/ml) were normal, and biopsies revealed
bilateral testes. Twin B had a 0.5-cm phallus, perineal hypospadias, no palpable
gonad on the right, and a left inguinal hernia. Hormonal evaluation revealed high
FSH (8.2 mIU/ml) and LH (15 mIU/ml) and low testosterone (0.12 ng/ml). Upon
herniotomy, a right testis (crossed ectopia) and a small left ovotestis were
found. SRY gene was absent in both peripheral leukocytes and gonadal tissue
samples. Neither DAX-1 mutations nor SOX9 duplication was identified.
CONCLUSIONS: This case provides evidence that both XX maleness and XX OT-DSD are
different manifestations of the same disorder of gonadal development.
PMID- 18056776
TI - Update in andrology.
AB - CONTEXT: This is an invited review based on a presentation at the 2007 Annual
Scientific Meeting of The Endocrine Society. OBJECTIVE: The objective of the
review was to highlight a selection of the most important peer-reviewed papers in
andrology published over the last 1-2 yr. EVIDENCE ACQUISITION: This was a
comprehensive survey of all papers published in major endocrinology journals over
the last 2 yr augmented by personal knowledge and literature searching as well as
an e-mail survey of more than 40 leading andrologists. EVIDENCE SYNTHESIS: From
the list of suggested papers, the findings of a short list considered the most
important were reviewed, aiming to focus on findings that influence thinking and
practice in the field of andrology. CONCLUSIONS: Important advances highlighted
included establishing genetic paternity for men with Klinefelter's syndrome as a
realistic therapeutic option via testicular sperm aspiration coupled with
intracytoplasmic sperm injection in vitro fertilization, using population
registry linkage data to define the natural history of Klinefelter's syndrome in
the community and identifying active cellular uptake mechanisms for SHBG-bound
testosterone challenging the quasiaxiomatic status of the free hormone
hypothesis. Other important recent contributions reviewed are on testosterone
effects on the prostate, hormonal male contraception, possible temporal trends in
blood testosterone concentrations in American men, and The Endocrine Society's
position papers on testosterone assays and guidelines on testosterone
prescribing.
PMID- 18056775
TI - Prolonged fasting induces peripheral insulin resistance, which is not ameliorated
by high-dose salicylate.
AB - CONTEXT: Elevated plasma free fatty acids, excess reactive oxygen species,
inflammation, and gluco-counterregulatory hormones induce insulin resistance (IR)
through activation of Jun NH(2)-terminal kinase and nuclear factor-kappaB
inhibitor kappaB kinase, which leads to hyperphosphorylation of the insulin
receptor substrate type 1. Aspirin blocks nuclear factor-kappaB inhibitor kappaB
kinase and improves IR in type 2 diabetes mellitus. OBJECTIVE: We hypothesized
that high-dose aspirin would also attenuate fasting-induced IR in healthy lean
subjects. DESIGN: Glucose and glutathione (GHS) metabolism was studied after 12
and 60 h of fasting on two occasions: with and without aspirin (6 g/d). SETTING:
The study took place at the Academic Medical Center, Metabolic Research Unit.
PARTICIPANTS: Six healthy lean men participated. INTERVENTION: Intervention
included 60 h of fasting with or without aspirin ( approximately 6 g/d). MAIN
OUTCOME MEASURE: Main outcome measures included glucose and GSH metabolism.
RESULTS: Fasting decreased insulin-mediated peripheral glucose uptake by 46%
after 60 h (P = 0.03). Aspirin did not alter this effect of 60 h of fasting on
insulin sensitivity (P = 0.03). GSH concentration decreased during fasting, but
the fractional synthetic rate of GSH was unaffected either with or without
aspirin. Fasting did not affect inflammatory parameters, although aspirin
increased soluble TNF receptors I and II. CONCLUSION: Prolonged fasting induces
profound peripheral IR. In contrast to type 2 diabetes mellitus, high-dose
salicylate does not affect fasting-induced peripheral IR.
PMID- 18056777
TI - A Pulmonary adrenocorticotropin-secreting carcinoid tumor localized by 6-Fluoro
[18F]L-dihydroxyphenylalanine positron emission/computed tomography imaging in a
patient with Cushing's syndrome.
PMID- 18056778
TI - Glucose intolerance in polycystic ovary syndrome--a position statement of the
Androgen Excess Society.
AB - OBJECTIVES: Women with polycystic ovarian syndrome (PCOS) are at increased risk
for developing glucose intolerance and type 2 diabetes mellitus (DM).
Recommendations for the timing and method of screening have varied. The purpose
of this statement is to determine the optimal screening method, timing of
screening, and treatment modalities for impaired glucose tolerance (IGT) among
women with PCOS. PARTICIPANTS: The expert panel was appointed by the Androgen
Excess Society (AES) to review the literature and make recommendations based on
the available evidence. Meetings were open, and there was no funding for the
panel. EVIDENCE: A systematic review was conducted of the published, peer
reviewed medical literature using MEDLINE to identify studies that addressed the
prevalence, risk factors, testing, and treatment for IGT in both adults and
adolescents with PCOS. Unpublished data were not considered. CONSENSUS PROCESS:
The panel held meetings to review the literature and draft the statement as a
committee. The AES board members reviewed and critiqued the manuscript, and
changes were made based on their comments. CONCLUSIONS: The panel recommends that
all patients with PCOS be screened for IGT with a 2-h oral glucose tolerance
test. A few members of the AES board recommend alternatively screening women with
PCOS for IGT and type 2 DM using an oral glucose tolerance test only in patients
with a body mass index of 30 kg/m2 or greater or in lean patients with additional
risk factors. Patients with normal glucose tolerance should be rescreened at
least once every 2 yr, or more frequently if additional risk factors are
identified. Those with IGT should be screened annually for development of type 2
DM. PCOS patients with IGT should be treated with intensive lifestyle
modification and weight loss and considered for treatment with insulin
sensitizing agents.
PMID- 18056779
TI - Commentary on "increasing minority participation in clinical research": a white
paper from the endocrine society.
PMID- 18056780
TI - Upper limit of normal serum thyroid-stimulating hormone: a moving and now an
aging target?
PMID- 18056781
TI - Incretin hypersecretion in post-gastric bypass hypoglycemia--primary problem or
red herring?
PMID- 18056782
TI - Phenomics, lamin A/C, and metabolic disease.
PMID- 18056783
TI - Map of differential transcript expression in the normal human large intestine.
AB - While there is considerable research related to using differential gene
expression to predict disease phenotype classification, e.g., neoplastic tissue
from nonneoplastic controls, there is little understanding of the range of
expression in normal tissues. Understanding patterns of gene expression in
nonneoplastic tissue, including regional anatomic expression changes within an
organ, is vital to understanding gene expression changes in diseased tissue. To
explore the gene expression change along the proximal-distal axis of the large
intestine, we analyzed microarray data in 184 normal human specimens using
univariate and multivariate techniques. We found 219 probe sets that were
differentially expressed between the proximal and distal colorectal regions and
115 probe sets that were differentially expressed between the terminal segments,
i.e., the cecum and rectum. We did not observe any probe sets that were
statistically different between any two contiguous colorectal segments. The
dominant expression pattern (65 probe sets) follows a dichotomous expression
pattern consistent with the midgut-hindgut embryonic origins of the gut while a
second pattern (50 probe sets) depicts a gradual change in transcript levels from
the cecum to the rectum. While the dichotomous pattern includes roughly equal
numbers of probe sets that are elevated proximally and distally, nearly all probe
sets that show a gradual change demonstrate increasing expression levels moving
from proximal to distal segments. These patterns describe an expression map of
individual transcript variation as well as multigene expression patterns along
the large intestine. This is the first gene expression map of an entire human
organ.
PMID- 18056784
TI - Genome-wide gene expression profiling reveals renal genes regulated during
metabolic acidosis.
AB - Production and excretion of acids are balanced to maintain systemic acid-base
homeostasis. During metabolic acidosis (MA) excess acid accumulates and is
removed from the body, a process achieved, at least in part, by increasing renal
acid excretion. This acid-secretory process requires the concerted regulation of
metabolic and transport pathways, which are only partially understood. Chronic MA
causes also morphological remodeling of the kidney. Therefore, we characterized
transcriptional changes in mammalian kidney during MA to gain insights into
adaptive pathways. Total kidney RNA from control and 2- and 7-days NH(4)Cl
treated mice was subjected to microarray gene profiling. We identified 4,075
transcripts significantly (P < 0.05) regulated after 2 and/or 7 days of
treatment. Microarray results were confirmed by qRT-PCR. Analysis of candidate
genes revealed that a large group of regulated transcripts was represented by
different solute carrier transporters, genes involved in cell growth,
proliferation, apoptosis, water homeostasis, and ammoniagenesis. Pathway analysis
revealed that oxidative phosphorylation was the most affected pathway.
Interestingly, the majority of acutely regulated genes after 2 days, returned to
normal values after 7 days suggesting that adaptation had occurred. Besides these
temporal changes, we detected also differential regulation of selected genes
(SNAT3, PEPCK, PDG) between early and late proximal tubule. In conclusion, the
mammalian kidney responds to MA by temporally and spatially altering the
expression of a large number of genes. Our analysis suggests that many of these
genes may participate in various processes leading to adaptation and restoration
of normal systemic acid-base and electrolyte homeostasis.
PMID- 18056785
TI - Gene expression in mouse brain following chronic hypoxia: role of sarcospan in
glial cell death.
AB - Hypoxia is a hallmark of respiratory, neurological, or hematological diseases as
well as life at high altitude. For example, chronic constant hypoxia (CCH) occurs
in chronic lung diseases or at high altitude, whereas chronic intermittent
hypoxia (CIH) occurs in diseases such as sleep apnea or sickle cell disease.
Despite the fact that such conditions are frequent, the cellular and molecular
mechanisms underlying the effect of hypoxia, whether constant or intermittent,
are not well understood. In this study, we first determined the effect of CCH and
CIH on global gene expression in different regions of mouse brain using
microarrays and then investigated the biological role of genes of interest. We
found that: 1) in the cortical region, the expression level of 80 genes was
significantly altered by CIH (16 up- and 64 downregulated), and this number
increased to 137 genes following CCH (34 up- and 103 downregulated); 2) a similar
number of gene alterations was identified in the hippocampal area, and the
majority of the changes in this region were upregulations; 3) two genes (Sspn and
Ttc27) were downregulated in both brain regions and following both treatments;
and 4) RNA interference-mediated knockdown of Sspn increased cell death in
hypoxia in a cell culture system. We conclude that CIH or CCH induced significant
and distinguishable alterations in gene expression in cortex and hippocampus and
that Sspn seems to play a critical role in inducing cell death under hypoxic
conditions.
PMID- 18056786
TI - Gene expression changes of prostanoid synthases in endothelial cells and
prostanoid receptors in vascular smooth muscle cells caused by aging and
hypertension.
AB - The present study was designed to assess whether or not changes in genomic
expression of cyclooxygenases (COX-1, COX-2), endothelial nitric oxide synthase
(eNOS), and prostanoid synthases in the endothelium and of prostanoid receptors
in vascular smooth muscle contribute to the occurrence of endothelium-dependent
contractions during aging and hypertension. Gene expression was quantified by
real-time PCR using isolated endothelial cells and smooth muscle cells (SMC) from
the aorta of Wistar-Kyoto and spontaneously hypertensive rats. Genes for all
known prostanoid synthases and receptors were present in endothelial cells and
SMC, respectively. Aging caused overexpression of eNOS, COX-1, COX-2, thromboxane
synthase, hematopoietic-type prostaglandin D synthase, membrane prostaglandin E
synthase-2, and prostaglandin F synthase in endothelial cells and COX-1 and
prostaglandin E(2) (EP)(4) receptors in SMC. Hypertension augmented the
expression of COX-1, prostacyclin synthase, thromboxane synthase, and
hematopoietic-type prostaglandin D synthase in endothelial cells and
prostaglandin D(2) (DP), EP(3), and EP(4) receptors in SMC. The increase in
genomic expression of endothelial COX-1 explains why in aging and hypertension
the endothelium has greater propensity to release cyclooxygenase-derived
vasoconstrictive prostanoids. The expression of prostacyclin synthase was by far
the most abundant, explaining why the majority of the COX-1-derived endoperoxides
are transformed into prostacyclin, substantiating the role of prostacyclin as an
endothelium-derived contracting factor. The expression of thromboxane synthase
was increased in the cells of aging or hypertensive rats, explaining why the
prostanoid can contribute to endothelium-dependent contractions. It is uncertain
whether the gene modifications caused by aging and hypertension directly
contribute to endothelium-dependent contractions or rather to vascular aging and
the vascular complications of the hypertensive process.
PMID- 18056787
TI - Leptin increases endothelin type A receptor levels in vascular smooth muscle
cells.
AB - Leptin, one of the adipocyte-secreted peptides, is involved in the control of
appetite and body weight. Several studies have demonstrated that plasma leptin
levels are elevated in obese subjects and are positively correlated with body
weight. The arterial endothelin (ET) system plays an important role in the
regulation of vascular tone, and ET-1 overexpression may be involved in the
pathogenesis of the hypertension associated with insulin resistance. This study
was performed to explore the regulatory effects of leptin on ET receptor
expression and ET binding in A10 vascular smooth muscle cells (VSMCs) by use of
Northern blotting, immunoblotting, and a (125)I-labeled ET-1 binding assay. The
effect of leptin on ET receptor-mediated cell proliferation was also tested. The
results showed that leptin caused a significant increase in [(125)I]-ET-1
binding, which was time- and dose-dependent. Immunoblotting showed that
expression of the ET type A receptor (ET(A)R) in leptin (10(-7) M)-treated cells
was increased by up to 2.3-fold compared with controls. Levels of ET(A)R mRNA
measured by Northern blotting were also increased by up to 2.2-fold in leptin
(10(-7) M)-treated cells. Pretreatment with an ERK inhibitor, PD-98059 (2.5 x 10(
5) M), blocked the leptin-induced increase in (125)I-ET-1 binding. Finally, ET-1
(10(-7) M)-stimulated cell proliferation was enhanced by leptin (10(-7) M)
pretreatment, with a maximal increase of twofold compared with controls. In
conclusion, leptin increases ET(A)R expression in VSMCs in a time- and dose
dependent manner. This effect is ERK dependent and is associated with increased
ET-1-stimulated cell proliferation. These findings provide support for roles for
leptin and the ET system in the pathogenesis of obesity-associated hypertension.
PMID- 18056788
TI - Intraportally delivered GLP-1, in the presence of hyperglycemia induced via
peripheral glucose infusion, does not change whole body glucose utilization.
AB - After a meal, glucagon-like peptide-1 (GLP-1) and glucose levels are
significantly greater in the hepatic portal vein than in the artery. We have
previously reported that, in the presence of intraportal glucose delivery, a
physiological increase of GLP-1 in the hepatic portal vein increases nonhepatic
glucose uptake via a mechanism independent of changes in pancreatic hormone
secretion. The aim of the present study was to determine whether intraportal
glucose delivery is required to observe this effect. Experiments consisted of a
40-min basal period, followed by a 240-min experimental period, during which
conscious 42-h fasted dogs received glucose peripherally to maintain arterial
plasma glucose levels at approximately 160 mg/dl. In addition, either saline (n =
6) or GLP-1 (1 pmol.kg(-1).min(-1); GLP-1, n = 6) was administered intraportally
during the experimental period. As in the previous study, the presence of GLP-1
did not alter pancreatic hormone levels; however, in the present study,
intraportal GLP-1 infusion did not result in an increase in whole body glucose
utilization. This is despite the fact that arterial and hepatic portal vein GLP-1
levels were maintained at the same level as the previous study. Therefore, a
physiological elevation of GLP-1 in the hepatic portal vein does not increase
whole body glucose uptake when hyperglycemia is induced by peripheral glucose
infusion. This indicates that a physiological increase in GLP-1 augments glucose
utilization only when GLP-1 and glucose gradients conditions mimic the
postprandial state.
PMID- 18056790
TI - Diabetes models by screen for hyperglycemia in phenotype-driven ENU mouse
mutagenesis projects.
AB - More than 150 million people suffer from diabetes mellitus worldwide, and this
number is expected to rise substantially within the next decades. Despite its
high prevalence, the pathogenesis of diabetes mellitus is not completely
understood. Therefore, appropriate experimental models are essential tools to
gain more insight into the genetics and pathogenesis of the disease. Here, we
describe the current efforts to establish novel diabetes models derived from
unbiased, phenotype-driven, large-scale N-ethyl-N-nitrosourea (ENU) mouse
mutagenesis projects started a decade ago using hyperglycemia as a high
throughput screen parameter. Mouse lines were established according to their
hyperglycemia phenotype over several generations, thereby revealing a mutation as
cause for the aberrant phenotype. Chromosomal assignment of the causative
mutation and subsequent candidate gene analysis led to the detection of the
mutations that resulted in novel alleles of genes already known to be involved in
glucose homeostasis, like glucokinase, insulin 2, and insulin receptor.
Additional ENU-induced hyperglycemia lines are under genetic analysis.
Improvements in screen for diabetic animals are implemented to detect more subtle
phenotypes. Moreover, diet challenge assays are being employed to uncover
interactions between genetic and environmental factors in the pathogenesis of
diabetes mellitus. The new mouse mutants recovered in phenotype-driven ENU mouse
mutagenesis projects complement the available models generated by targeted
mutagenesis of candidate genes, all together providing the large resource of
models required for a systematic dissection of the pathogenesis of diabetes
mellitus.
PMID- 18056789
TI - Chronic late-gestation hypoglycemia upregulates hepatic PEPCK associated with
increased PGC1alpha mRNA and phosphorylated CREB in fetal sheep.
AB - Hepatic glucose production is normally activated at birth but has been observed
in response to experimental hypoglycemia in fetal sheep. The cellular basis for
this process remains unknown. We determined the impact of 2 wk of fetal
hypoglycemia during late gestation on enzymes responsible for hepatic
gluconeogenesis, focusing on the insulin-signaling pathway, transcription
factors, and coactivators that regulate gluconeogenesis. Hepatic
phosphoenolpyruvate carboxykinase and glucose-6-phosphatase mRNA increased 12
fold and 7-fold, respectively, following chronic hypoglycemia with no change in
hepatic glycogen. Chronic hypoglycemia decreased fetal plasma insulin with no
change in glucagon but increased plasma cortisol 3.5-fold. Peroxisome
proliferator-activated receptor-gamma coactivator-1alpha mRNA and phosphorylation
of cAMP response element binding protein at Ser(133) were both increased, with no
change in Akt, forkhead transcription factor FoxO1, hepatocyte nuclear factor
4alpha, or CCAAT enhancer binding protein-beta. These results demonstrate that
chronic fetal hypoglycemia triggers signals that can activate gluconeogenesis in
the fetal liver.
PMID- 18056792
TI - Effect of short-term intralipid infusion on the immune response during low-dose
endotoxemia in humans.
AB - Novel anti-inflammatory effects of insulin have recently been described, and
insulin therapy to maintain euglycemia suppresses the plasma levels of free fatty
acids (FFA) and increases the survival of critically ill patients. We aimed to
explore the effect of short-term high levels of plasma FFA on the inflammatory
response to a low dose of endotoxin. Fourteen healthy male volunteers underwent
the following two trials in a randomized crossover design: 1) continuous infusion
of 20% Intralipid [0.7 ml.kg(-1).h(-1) (1.54 g/kg)] for 11 h, and 2) infusion of
isotonic saline for 11 h (control). In each trial, heparin was given to activate
lipoprotein lipase, and an intravenous bolus of endotoxin (0.1 ng/kg) was given
after 6 h of Intralipid/saline infusion. Blood samples and muscle and fat
biopsies were obtained before the Intralipid/saline infusion and before as well
as after infusion of an endotoxin bolus. Plasma levels of FFA, triglycerides, and
glycerol were markedly increased during the Intralipid infusion. Endotoxin
exposure induced an increase in plasma levels of TNF-alpha, IL-6, and neutrophils
and further stimulated gene expression of TNF-alpha and IL-6 in both skeletal
muscle and adipose tissue. The systemic inflammatory response to endotoxin was
significantly pronounced during Intralipid infusion. Short-term hyperlipidemia
enhances the inflammatory response to endotoxin, and skeletal muscle and adipose
tissue are capable of producing essential inflammatory mediators after endotoxin
stimulation.
PMID- 18056791
TI - Leucine-enriched essential amino acid and carbohydrate ingestion following
resistance exercise enhances mTOR signaling and protein synthesis in human
muscle.
AB - We recently showed that resistance exercise and ingestion of essential amino
acids with carbohydrate (EAA+CHO) can independently stimulate mammalian target of
rapamycin (mTOR) signaling and muscle protein synthesis in humans. Providing an
EAA+CHO solution postexercise can further increase muscle protein synthesis.
Therefore, we hypothesized that enhanced mTOR signaling might be responsible for
the greater muscle protein synthesis when leucine-enriched EAA+CHOs are ingested
during postexercise recovery. Sixteen male subjects were randomized to one of two
groups (control or EAA+CHO). The EAA+CHO group ingested the nutrient solution 1 h
after resistance exercise. mTOR signaling was assessed by immunoblotting from
repeated muscle biopsy samples. Mixed muscle fractional synthetic rate (FSR) was
measured using stable isotope techniques. Muscle protein synthesis and 4E-BP1
phosphorylation during exercise were significantly reduced (P < 0.05).
Postexercise FSR was elevated above baseline in both groups at 1 h but was even
further elevated in the EAA+CHO group at 2 h postexercise (P < 0.05). Increased
FSR was associated with enhanced phosphorylation of mTOR and S6K1 (P < 0.05). Akt
phosphorylation was elevated at 1 h and returned to baseline by 2 h in the
control group, but it remained elevated in the EAA+CHO group (P < 0.05). 4E-BP1
phosphorylation returned to baseline during recovery in control but became
elevated when EAA+CHO was ingested (P < 0.05). eEF2 phosphorylation decreased at
1 and 2 h postexercise to a similar extent in both groups (P < 0.05). Our data
suggest that enhanced activation of the mTOR signaling pathway is playing a role
in the greater synthesis of muscle proteins when resistance exercise is followed
by EAA+CHO ingestion.
PMID- 18056793
TI - Regulation of Kruppel-like factor 4, 9, and 13 genes and the steroidogenic genes
LDLR, StAR, and CYP11A in ovarian granulosa cells.
AB - Kruppel-like factors (KLFs) are important Sp1-like eukaryotic transcriptional
proteins. The LDLR, StAR, and CYP11A genes exhibit GC-rich Sp1-like sites, which
have the potential to bind KLFs in multiprotein complexes. We now report that
KLF4, KLF9, and KLF13 transcripts are expressed in and regulate ovarian cells.
KLF4 and 13, but not KLF9, mRNA expression was induced and then repressed over
time (P < 0.001). Combined LH and IGF-I stimulation increased KLF4 mRNA at 2 h (P
< 0.01), whereas LH decreased KLF13 mRNA at 6 h (P < 0.05), and IGF-I reduced
KLF13 at 24 h (P < 0.01) compared with untreated control. KLF9 was not regulated
by either hormone. Transient transfection of KLF4, KLF9, and KLF13 suppressed
LDLR/luc, StAR/luc, and CYP11A/luc by 80-90% (P < 0.001). Histone-deacetylase
(HDAC) inhibitors stimulated LDLR/luc five- to sixfold and StAR/luc and
CYP11A/luc activity twofold (P < 0.001) and partially reversed suppression by all
three KLFs (P < 0.001). Deletion of the zinc finger domain of KLF13 abrogated
repression of LDLR/luc. Lentiviral overexpression of the KLF13 gene suppressed
LDLR mRNA (P < 0.001) and CYP11A mRNA (P = 0.003) but increased StAR mRNA (P =
0.007). Collectively, these data suggest that KLFs may recruit inhibitory
complexes containing HDAC corepressors, thereby repressing LDLR and CYP11A
transcription. Conversely, KLF13 may recruit unknown coactivators or stabilize
StAR mRNA, thereby explaining enhancement of in situ StAR gene expression. These
data introduce new potent gonadal transregulators of genes encoding proteins that
mediate sterol uptake and steroid biosynthesis.
PMID- 18056795
TI - N-{4-Chloro-2-[(1,3-dioxo-1,3-dihydro-2H-isoindol-2-yl)methyl]phenyl}-2
hydroxybenzamide (CPPHA) acts through a novel site as a positive allosteric
modulator of group 1 metabotropic glutamate receptors.
AB - Recent studies suggest that a novel positive allosteric modulator (PAM) of the
metabotropic glutamate receptor (mGluRs), mGluR5, termed 4-nitro-N-(1,3-diphenyl
1H-pyrazol-5-yl)benzamide (VU-29), potentiates mGluR5 responses by actions at a
site that is overlapping with the binding site of 2-methyl-6
(phenylethynyl)pyridine (MPEP), a previously identified negative allosteric
modulator of this receptor. It is interesting that a structurally distinct PAM, N
{4-Chloro-2-[(1,3-dioxo-1,3-dihydro-2H-isoindol-2-yl)methyl]phenyl}-2
hydroxybenzamide (CPPHA), does not to bind to the MPEP site. We now report that
CPPHA potentiates mGluR5 responses by a mechanism that is distinct from that of
VU-29. VU-29- and CPPHA-induced potentiation of mGluR5 responses are blocked by a
neutral ligand at the MPEP allosteric site termed 5-methyl-2
(phenylethynyl)pyridine (5MPEP). However, increasing concentrations of 5MPEP
induce parallel rightward shifts in the VU-29 concentration-response curve,
whereas 5MPEP inhibits CPPHA potentiation in a noncompetitive manner. Consistent
with this, a mutation (A809V/mGluR5) that reduces binding of ligands to the MPEP
site eliminates the effect of VU-29 but has no effect on the response to CPPHA.
On the other hand, a mutation (F585I/mGluRs) that eliminates the effect of CPPHA
does not alter the response to VU-29. CPPHA is also a PAM at mGluR1. It is
interesting that the corresponding mutation of F585I/mGluR5 in mGluR1
(F599I/mGluR1) eliminates CPPHA's effect without altering the potentiation of a
known PAM of mGluR1, (S)-2-(4-fluorophenyl)-1-(toluene-4-sulfonyl)pyrrolidine (Ro
67-7476). Likewise, another mutation (V757L/mGluR1) that abolishes potentiation
of Ro 67-7476 has no effect on CPPHA. Finally, CPPHA does not displace binding of
a radioligand for the mGluR1 allosteric antagonist characterized previously.
Together, these data suggest that CPPHA acts at a novel allosteric site on both
mGluR1 and -5 to potentiate responses to activation of these receptors.
PMID- 18056794
TI - Palmitate action to inhibit glycogen synthase and stimulate protein phosphatase
2A increases with risk factors for type 2 diabetes.
AB - Recent studies have suggested that abnormal regulation of protein phosphatase 2A
(PP2A) is associated with Type 2 diabetes in rodent and human tissues. Results
with cultured mouse myotubes support a mechanism for palmitate activation of
PP2A, leading to activation of glycogen synthase kinase 3. Phosphorylation and
inactivation of glycogen synthase by glycogen synthase kinase 3 could be the
mechanism for long-chain fatty acid inhibition of insulin-mediated carbohydrate
storage in insulin-resistant subjects. Here, we test the effects of palmitic acid
on cultured muscle glycogen synthase and PP2A activities. Palmitate inhibition of
glycogen synthase fractional activity is increased in subjects with high body
mass index compared with subjects with lower body mass index (r = -0.43, P =
0.03). Palmitate action on PP2A varies from inhibition in subjects with decreased
2-h plasma glucose concentration to activation in subjects with increased 2-h
plasma glucose concentration (r = 0.45, P < 0.03) during oral glucose tolerance
tests. The results do not show an association between palmitate effects on PP2A
and glycogen synthase fractional activity. We conclude that subjects at risk for
Type 2 diabetes have intrinsic differences in palmitate regulation of at least
two enzymes (PP2A and glycogen synthase), contributing to abnormal insulin
regulation of glucose metabolism.
PMID- 18056796
TI - More than "just a joke": the prejudice-releasing function of sexist humor.
AB - The results of two experiments supported the hypothesis that, for sexist men,
exposure to sexist humor can promote the behavioral release of prejudice against
women. Experiment 1 demonstrated that hostile sexism predicted the amount of
money participants were willing to donate to a women's organization after reading
sexist jokes but not after reading nonhumorous sexist statements or neutral
jokes. Experiment 2 showed that hostile sexism predicted the amount of money
participants cut from the budget of a women's organization relative to four other
student organizations upon exposure to sexist comedy skits but not neutral comedy
skits. A perceived local norm of approval of funding cuts for the women's
organization mediated the relationship between hostile sexism and discrimination
against the women's organization.
PMID- 18056797
TI - Microscopic evidence for the domestication and spread of maize.
PMID- 18056798
TI - Profile of Joachim Frank.
PMID- 18056799
TI - Genetic drift at expanding frontiers promotes gene segregation.
AB - Competition between random genetic drift and natural selection play a central
role in evolution: Whereas nonbeneficial mutations often prevail in small
populations by chance, mutations that sweep through large populations typically
confer a selective advantage. Here, however, we observe chance effects during
range expansions that dramatically alter the gene pool even in large microbial
populations. Initially well mixed populations of two fluorescently labeled
strains of Escherichia coli develop well defined, sector-like regions with
fractal boundaries in expanding colonies. The formation of these regions is
driven by random fluctuations that originate in a thin band of pioneers at the
expanding frontier. A comparison of bacterial and yeast colonies (Saccharomyces
cerevisiae) suggests that this large-scale genetic sectoring is a generic
phenomenon that may provide a detectable footprint of past range expansions.
PMID- 18056800
TI - Neural correlates of trust.
AB - Trust is a critical social process that helps us to cooperate with others and is
present to some degree in all human interaction. However, the underlying brain
mechanisms of conditional and unconditional trust in social reciprocal exchange
are still obscure. Here, we used hyperfunctional magnetic resonance imaging, in
which two strangers interacted online with one another in a sequential reciprocal
trust game while their brains were simultaneously scanned. By designing a
nonanonymous, alternating multiround game, trust became bidirectional, and we
were able to quantify partnership building and maintenance. Using within- and
between-brain analyses, an examination of functional brain activity supports the
hypothesis that the preferential activation of different neuronal systems
implements these two trust strategies. We show that the paracingulate cortex is
critically involved in building a trust relationship by inferring another
person's intentions to predict subsequent behavior. This more recently evolved
brain region can be differently engaged to interact with more primitive neural
systems in maintaining conditional and unconditional trust in a partnership.
Conditional trust selectively activated the ventral tegmental area, a region
linked to the evaluation of expected and realized reward, whereas unconditional
trust selectively activated the septal area, a region linked to social attachment
behavior. The interplay of these neural systems supports reciprocal exchange that
operates beyond the immediate spheres of kinship, one of the distinguishing
features of the human species.
PMID- 18056801
TI - A portrait of copy-number polymorphism in Drosophila melanogaster.
AB - Thomas Hunt Morgan and colleagues identified variation in gene copy number in
Drosophila in the 1920s and 1930s and linked such variation to phenotypic
differences [Bridges CB (1936) Science 83:210]. Yet the extent of variation in
the number of chromosomes, chromosomal regions, or gene copies, and the
importance of this variation within species, remain poorly understood. Here, we
focus on copy-number variation in Drosophila melanogaster. We characterize copy
number polymorphism (CNP) across genomic regions, and we contrast patterns to
infer the evolutionary processes acting on this variation. Copy-number variation
in D. melanogaster is nonrandomly distributed, presumably because of a mutational
bias produced by tandem repeats or other mechanisms. Comparisons of coding and
noncoding CNPs, however, reveal a strong effect of purifying selection in the
removal of structural variation from functionally constrained regions. Most
patterns of CNP in D. melanogaster suggest that negative selection and mutational
biases are the primary agents responsible for shaping structural variation.
PMID- 18056803
TI - Randomized algorithms for the low-rank approximation of matrices.
AB - We describe two recently proposed randomized algorithms for the construction of
low-rank approximations to matrices, and demonstrate their application (inter
alia) to the evaluation of the singular value decompositions of numerically low
rank matrices. Being probabilistic, the schemes described here have a finite
probability of failure; in most cases, this probability is rather negligible (10(
17) is a typical value). In many situations, the new procedures are considerably
more efficient and reliable than the classical (deterministic) ones; they also
parallelize naturally. We present several numerical examples to illustrate the
performance of the schemes.
PMID- 18056802
TI - Control of mitotic exit by PP2A regulation of Cdc25C and Cdk1.
AB - Inactivation of maturation-promoting factor [(MPF) Cdk1/Cyclin B] is a key event
in the exit from mitosis. Although degradation of Cyclin B is important for MPF
inactivation, recent studies indicate that Cdk1 phosphorylation and inactivation
occur before Cyclin B degradation and, therefore, also may be important steps in
the exit from mitosis. Cdk1 activity is controlled by the Cdc25C phosphatase,
which is turned on at the G(2)/M transition to catalyze Cdk1 activation.
PP2A:B56delta is a negative regulator of Cdc25C during interphase. We show here
that PP2A:B56delta also regulates Cdc25C at mitosis. Failure of PP2A:B56delta to
dephosphorylate Cdc25C at mitosis results in prolonged hyperphosphorylation and
activation of Cdc25C, causing persistent dephosphorylation and, hence, activation
of Cdk1. This constitutive activation of Cdc25C and Cdk1 leads to a delayed exit
from mitosis. Consistent with Cdk1 as a major biological target of B56delta,
stable knockdown and germ-line mouse KO of B56delta leads to compensatory
transcriptional up-regulation of Wee1 kinase to oppose the Cdc25C activity and
permit cell survival. These observations place PP2A:B56delta as a key upstream
regulator of Cdk1 activity upon exit from mitosis.
PMID- 18056804
TI - In vitamin B12 deficiency, higher serum folate is associated with increased total
homocysteine and methylmalonic acid concentrations.
AB - In a recent study of older participants (age >/=60 years) in the 1999-2002
National Health and Nutrition Examination Survey (NHANES), we showed that a
combination of high serum folate and low vitamin B(12) status was associated with
higher prevalence of cognitive impairment and anemia than other combinations of
vitamin B(12) and folate status. In the present study, we sought to determine the
joint influence of serum folate and vitamin B(12) concentrations on two
functional indicators of vitamin B(12) status, total homocysteine (tHcy) and
methylmalonic acid (MMA), among adult participants in phase 2 of the NHANES III
(1991-1994) and the NHANES 1999-2002. Exclusion of subjects who were <20 years
old, were pregnant, had evidence of kidney or liver dysfunction, or reported a
history of alcohol abuse or recent anemia therapy left 4,940 NHANES III
participants and 5,473 NHANES 1999-2002 participants for the study. Multivariate
analyses controlled for demographic factors, smoking, alcohol use, body mass
index, self-reported diabetes diagnosis, and serum concentrations of creatinine
and alanine aminotransferase revealed significant interactions between serum
folate and serum vitamin B(12) in relation to circulating concentrations of both
metabolites. In subjects with serum vitamin B(12) >148 pmol/liter (L),
concentrations of both metabolites decreased significantly as serum folate
increased. In subjects with lower serum vitamin B(12), however, metabolite
concentrations increased as serum folate increased starting at approximately 20
nmol/L. These results suggest a worsening of vitamin B(12)'s enzymatic functions
as folate status increases in people who are vitamin B(12)-deficient.
PMID- 18056805
TI - MicroRNA expression signatures accurately discriminate acute lymphoblastic
leukemia from acute myeloid leukemia.
AB - Acute lymphoblastic leukemia (ALL) is the most common childhood cancer, whereas
acute myeloid leukemia (AML) is the most common acute leukemia in adults. In
general, ALL has a better prognosis than AML. To understand the distinct
mechanisms in leukemogenesis between ALL and AML and to identify markers for
diagnosis and treatment, we performed a large-scale genome-wide microRNA (miRNA,
miR) expression profiling assay and identified 27 miRNAs that are differentially
expressed between ALL and AML. Among them, miR-128a and -128b are significantly
overexpressed, whereas let-7b and miR-223 are significantly down-regulated in ALL
compared with AML. They are the most discriminatory miRNAs between ALL and AML.
Using the expression signatures of a minimum of two of these miRNAs resulted in
an accuracy rate of >95% in the diagnosis of ALL and AML. The differential
expression patterns of these four miRNAs were validated further through large
scale real-time PCR on 98 acute leukemia samples covering most of the common
cytogenetic subtypes, along with 10 normal control samples. Furthermore, we found
that overexpression of miR-128 in ALL was at least partly associated with
promoter hypomethylation and not with an amplification of its genomic locus.
Taken together, we showed that expression signatures of as few as two miRNAs
could accurately discriminate ALL from AML, and that epigenetic regulation might
play an important role in the regulation of expression of miRNAs in acute
leukemias.
PMID- 18056806
TI - Monomeric myosin V uses two binding regions for the assembly of stable
translocation complexes.
AB - Myosin-motors are conserved from yeast to human and transport a great variety of
cargoes. Most plus-end directed myosins, which constitute the vast majority of
all myosin motors, form stable dimers and interact constitutively with their
cargo complexes. To date, little is known about regulatory mechanisms for cargo
complex assembly. In this study, we show that the type V myosin Myo4p binds to
its cargo via two distinct binding regions, the C-terminal tail and a coiled-coil
domain-containing fragment. Furthermore, we find that Myo4p is strictly monomeric
at physiologic concentrations. Because type V myosins are thought to require
dimerization for processive movement, a mechanism must be in place to ensure that
oligomeric Myo4p is incorporated into cargo-translocation complexes. Indeed, we
find that artificial dimerization of the Myo4p C-terminal tail promotes
stabilization of myosin-cargo complexes, suggesting that full-length Myo4p
dimerizes in the cocomplex as well. We also combined the Myo4p C-terminal tail
with the coiled-coil region, lever arm, and motor domain from a different myosin
to form constitutively dimeric motor proteins. This heterologous motor
successfully translocates its cargo in vivo, suggesting that wild-type Myo4p may
also function as a dimer during cargo-complex transport.
PMID- 18056807
TI - A developmental cycle masks output from the circadian oscillator under conditions
of choline deficiency in Neurospora.
AB - In Neurospora, metabolic oscillators coexist with the circadian
transcriptional/translational feedback loop governed by the FRQ (Frequency) and
WC (White Collar) proteins. One of these, a choline deficiency oscillator (CDO)
observed in chol-1 mutants grown under choline starvation, drives an
uncompensated long-period developmental cycle ( approximately 60-120 h). To
assess possible contributions of this metabolic oscillator to the circadian
system, molecular and physiological rhythms were followed in liquid culture under
choline starvation, but these only confirmed that an oscillator with a normal
circadian period length can run under choline starvation. This finding suggested
that long-period developmental cycles elicited by nutritional stress could be
masking output from the circadian system, although a caveat was that the CDO
sometimes requires several days to become consolidated. To circumvent this and
observe both oscillators simultaneously, we used an assay using a codon-optimized
luciferase to follow the circadian oscillator. Under conditions where the long
period, uncompensated, CDO-driven developmental rhythm was expressed for weeks in
growth tubes, the luciferase rhythm in the same cultures continued in a typical
compensated manner with a circadian period length dependent on the allelic state
of frq. Periodograms revealed no influence of the CDO on the circadian
oscillator. Instead, the CDO appears as a cryptic metabolic oscillator that can,
under appropriate conditions, assume control of growth and development, thereby
masking output from the circadian system. frq-driven luciferase as a reporter of
the circadian oscillator may in this way provide a means for assessing
prospective role(s) of metabolic and/or ancillary oscillators within cellular
circadian systems.
PMID- 18056808
TI - The modularity of pollination networks.
AB - In natural communities, species and their interactions are often organized as
nonrandom networks, showing distinct and repeated complex patterns. A prevalent,
but poorly explored pattern is ecological modularity, with weakly interlinked
subsets of species (modules), which, however, internally consist of strongly
connected species. The importance of modularity has been discussed for a long
time, but no consensus on its prevalence in ecological networks has yet been
reached. Progress is hampered by inadequate methods and a lack of large datasets.
We analyzed 51 pollination networks including almost 10,000 species and 20,000
links and tested for modularity by using a recently developed simulated annealing
algorithm. All networks with >150 plant and pollinator species were modular,
whereas networks with <50 species were never modular. Both module number and size
increased with species number. Each module includes one or a few species groups
with convergent trait sets that may be considered as coevolutionary units.
Species played different roles with respect to modularity. However, only 15% of
all species were structurally important to their network. They were either hubs
(i.e., highly linked species within their own module), connectors linking
different modules, or both. If these key species go extinct, modules and networks
may break apart and initiate cascades of extinction. Thus, species serving as
hubs and connectors should receive high conservation priorities.
PMID- 18056809
TI - A herpesvirus ubiquitin-specific protease is critical for efficient T cell
lymphoma formation.
AB - The herpesvirus ubiquitin-specific protease (USP) family, whose founding member
was discovered as a protease domain embedded in the large tegument protein of
herpes simplex virus 1 (HSV-1), is conserved across all members of the
Herpesviridae. Whether this conservation is indicative of an essential function
of the enzyme in vivo has not yet been established. As reported here, USP
activity is conserved in Marek's disease virus (MDV), a tumorigenic
alphaherpesvirus. A single amino acid substitution that abolishes the USP
activity of the MDV large tegument protein diminishes MDV replication in vivo,
and severely limits the oncogenic potential of the virus. Expression of the USP
transcripts in MDV-transformed cell lines further substantiates this hypothesis.
The herpesvirus USP thus appears to be required not only to maintain a foothold
in the immunocompetent host, but also to contribute to malignant outgrowths.
PMID- 18056810
TI - RET signaling does not modulate MPTP toxicity but is required for regeneration of
dopaminergic axon terminals.
AB - Activation of the RET (rearranged during transfection) receptor by glial cell
line-derived neurotrophic factor (GDNF) has been identified as an important
differentiation and survival factor for dopaminergic neurons of the midbrain in
preclinical experiments. These encouraging results have led to clinical trials of
GDNF in patients with Parkinson's disease, which have resulted in conflicting
findings. To investigate the potential benefit of Ret-dependent signaling on the
challenged dopaminergic system, we tested the effect of tissue-selective ablation
of the Ret gene on 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) toxicity
in mice, the most widely used animal model for Parkinson's disease. Ablation of
Ret did not modify the MPTP-induced loss of dopaminergic neurons in the
substantia nigra pars compacta and the dopaminergic innervation of the striatum
at 14 days. However, Ret ablation abolished the regeneration of dopaminergic
fibers and terminals, as well as the partial recovery of striatal dopamine
concentrations, that was observed in control mice between days 14 and 90 after
MPTP treatment. We therefore conclude that RET signaling has no influence on the
survival of dopaminergic neurons in the MPTP model of Parkinson's disease but
rather facilitates the regeneration of dopaminergic axon terminals.
PMID- 18056813
TI - Subliminal exposure to national flags affects political thought and behavior.
AB - Political thought and behavior play an important role in our lives, from ethnic
tensions in Europe, to the war in Iraq and the Middle Eastern conflict, to
parliamentary and presidential elections. However, little is known about how the
individual's political attitudes and decisions are shaped by subtle national cues
that are so prevalent in our environment. We report a series of experiments that
show that subliminal exposure to one's national flag influences political
attitudes, intentions, and decisions, both in laboratory settings and in "real
life" behavior. Furthermore, this manipulation consistently narrowed the gap
between those who score high vs. low on a scale of identification with Israeli
nationalism. The first two experiments examined participants' stance toward the
Israeli-Palestinian conflict and the Jewish settlers in the West Bank. Experiment
3 examined voting intentions and actual voting in Israel's recently held general
elections. The results portray a consistent picture: subtle reminders of one's
nationality significantly influence political thought and overt political
behavior.
PMID- 18056811
TI - Dramatic increase in naive T cell turnover is linked to loss of naive T cells
from old primates.
AB - The loss of naive T cells is a hallmark of immune aging. Although thymic
involution is a primary driver of this naive T cell loss, less is known about the
contribution of other mechanisms to the depletion of naive T cells in aging
primates. We examined the role of homeostatic cycling and proliferative expansion
in different T cell subsets of aging rhesus macaques (RM). BrdU incorporation and
the expression of the G(1)-M marker Ki-67 were elevated in peripheral naive CD4
and even more markedly in the naive CD8 T cells of old, but not young adult, RM.
Proliferating naive cells did not accumulate in old animals. Rather, the relative
size of the naive CD8 T cell compartment correlated inversely to its
proliferation rate. Likewise, T cell receptor diversity decreased in individuals
with elevated naive CD8 T cell proliferation. This apparent contradiction was
explained by a significant increase in turnover concomitant with the naive pool
loss. The turnover increased exponentially when the naive CD8 T cell pool
decreased below 4% of total blood CD8 cells. These results link the shrinking
naive T cell pool with a dramatic increase in homeostatic turnover, which has the
potential to exacerbate the progressive exhaustion of the naive pool and
constrict the T cell repertoire. Thus, homeostatic T cell proliferation exhibits
temporal antagonistic pleiotropy, being beneficial to T cell maintenance in
adulthood but detrimental to the long-term T cell maintenance in aging
individuals.
PMID- 18056814
TI - The hidden structure of overimitation.
AB - Young children are surprisingly judicious imitators, but there are also times
when their reproduction of others' actions appears strikingly illogical. For
example, children who observe an adult inefficiently operating a novel object
frequently engage in what we term overimitation, persistently reproducing the
adult's unnecessary actions. Although children readily overimitate irrelevant
actions that even chimpanzees ignore, this curious effect has previously
attracted little interest; it has been assumed that children overimitate not for
theoretically significant reasons, but rather as a purely social exercise. In
this paper, however, we challenge this view, presenting evidence that
overimitation reflects a more fundamental cognitive process. We show that
children who observe an adult intentionally manipulating a novel object have a
strong tendency to encode all of the adult's actions as causally meaningful,
implicitly revising their causal understanding of the object accordingly. This
automatic causal encoding process allows children to rapidly calibrate their
causal beliefs about even the most opaque physical systems, but it also carries a
cost. When some of the adult's purposeful actions are unnecessary-even
transparently so-children are highly prone to mis-encoding them as causally
significant. The resulting distortions in children's causal beliefs are the true
cause of overimitation, a fact that makes the effect remarkably resistant to
extinction. Despite countervailing task demands, time pressure, and even direct
warnings, children are frequently unable to avoid reproducing the adult's
irrelevant actions because they have already incorporated them into their
representation of the target object's causal structure.
PMID- 18056816
TI - Psychosocial interventions and successful aging: new paradigms for improving
outcome for older schizophrenia patients?
PMID- 18056815
TI - dsAAV type 2-mediated gene transfer of MORS196A-EGFP into spinal cord as a pain
management paradigm.
AB - We previously reported that mutations in the mu-opioid receptor (MOR), S196L or
S196A, rendered MOR responsive to the opioid antagonist naloxone without altering
the agonist phenotype. Subsequently, a mouse strain carrying the S196A mutation
exhibited in vivo naloxone antinociceptive activity without the development of
tolerance. In this study we investigated the possibility of combining the in vivo
site-directed delivery of MORS196A and systemic naloxone administration as a
paradigm for pain management. Double-stranded adenoassociated virus type 2
(dsAAV2) was used to deliver MORS196A-EGFP by injecting the virus into the spinal
cord (S2/S3) dorsal horn region of ICR mice. MORS196A-EGFP fluorescence
colocalized with some calcitonin gene-related peptide and neuron-specific protein
immunoreactivity in the superficial layers of the dorsal horn 1 week after
injection and lasted for at least 6 months. In mice injected with the mutant
receptor, morphine induced similar antinociceptive responses and tolerance
development or precipitated withdrawal symptoms and reward effects, similar to
those in the control mice (saline injected into the spinal cord). Conversely, in
the dsAAV2-injected mice, naloxone produced antinociceptive effects at the spinal
level but not at the supraspinal level, whereas naloxone had no measurable effect
on the control mice. Furthermore, the chronic administration of naloxone to mice
injected with dsAAV2-MORS196A-EGFP did not induce tolerance, dependence, or
reward responses. Thus, our current approach to activate a mutant receptor, but
not the endogenous receptor, with an opioid antagonist represents an alternative
to the use of traditional opioid agonists for pain management.
PMID- 18056817
TI - Depression in older adults with schizophrenia spectrum disorders: prevalence and
associated factors.
AB - RATIONALE: Although depression is common in older adults with schizophrenia, it
has not been well studied. The authors examine those factors that are related to
depression in a multiracial urban sample of older persons with schizophrenia.
METHODS: The schizophrenia group consisted of 198 persons aged 55 or older who
lived in the community and developed schizophrenia before age 45. Persons with
substantial cognitive impairment were excluded from the study. A community
comparison group (N = 113) was recruited using randomly selected census tract
data. The authors adapted George's Social Antecedent Model of Depression, which
consists of six categories comprising 16 independent variables, and used a
dichotomous dependent variable based on a Center for Epidemiologic Studies
Depression Scale cutoff score of > or = 16. RESULTS: The schizophrenia group had
significantly more persons with clinical depression than the community comparison
group (32% versus 11%, respectively; chi(2) = 28.23, df = 1, p = 0.001).
Bivariate analysis revealed that eight of the 16 variables were significantly
related to clinical depression in the schizophrenia group. In logistic
regression, six variables retained significance: physical illness (odds ratio
[OR] = 1.60, 95% confidence interval [CI], 1.17-2.18), quality of life (OR =
0.84, 95% CI, 0.76-0.93), presence of positive symptoms (OR = 1.12, 95% CI, 1.02
1.21), proportion of confidants (OR = 0.03, 95% CI, 0.01-0.39), copes by using
medications (OR = 2.12, 95% CI, 1.08-4.13), and copes with conflicts by keeping
calm (OR = 1.34, 95% CI, 1.03-1.74). CONCLUSION: Consistent with earlier studies
of schizophrenia in older persons, the authors found physical health, positive
symptoms, and several nonclinical variables to be associated with depression.
Potential points for intervention include strengthening social supports,
improving physical well-being, more aggressive treatment of positive symptoms,
and increasing the recognition and treatment of depression.
PMID- 18056818
TI - Psychotic symptoms and paranoid ideation in a population-based sample of 95-year
olds.
AB - OBJECTIVE: To examine the 1-year prevalence of psychotic symptoms and
schizophrenia in nondemented 95-year-olds, and to examine the relation between
psychotic symptoms and other psychiatric symptoms, sensory impairments, and
cognitive functioning. PARTICIPANTS: The representative sample was 95-year-olds
living in Goteborg, Sweden (N = 338). Individuals with dementia were excluded (N
= 175), leaving 163 subjects for this study. DESIGN: This was a cross-sectional
population study, including psychiatric and physical examinations, cognitive
tests, and interviews with close informants. MEASUREMENTS: Diagnosis of
schizophrenia, psychotic symptoms, paranoid ideation and dementia according to
Diagnostic and Statistical Manual of Mental Disorders, Third Revision (DSM-III)
were measured. Cognitive function was tested with the Mini-Mental State Exam.
Other psychiatric symptoms were measured by the Comprehensive Psychopathological
Rating Scale. RESULTS: The one-year prevalence of any psychotic symptom was 7.4%
(95% confidence interval [CI] 3.8-12.5); including hallucinations 6.7% (95% CI
3.4-11.8) and delusions 0.6% (95% CI 0.0-3.4). Four (2.4%) individuals fulfilled
DSM-III-R criteria for schizophrenia. Individuals with psychotic symptoms or
paranoid ideation did not differ regarding cognitive functioning compared with
individuals without these symptoms. Individuals with hallucinations and paranoid
ideation had an increased frequency of previous paranoid personality traits
compared with individuals without psychotic symptoms and paranoid ideation. No
individuals with psychotic symptoms had a formal thought disorder, incoherence of
speech, or flat affect. CONCLUSION: The authors found a high prevalence of
psychotic symptoms, paranoid ideation, and schizophrenia in the very old. Most of
the symptoms were elucidated by information from key informants, illustrating the
importance of including relatives in the evaluation of elderly persons.
PMID- 18056819
TI - Subsyndromal depressive symptoms in middle-aged and older persons with
schizophrenia.
AB - OBJECTIVE: The objectives are to delineate the nature of subsyndromal depressive
symptoms (SSD) in midlife and older patients with schizophrenia and
schizoaffective disorder by: 1) describing the relationship of SSD with a number
of other clinical features; and 2) examining which specific depressive symptoms
are increased in patients broadly defined as having SSD. METHODS: A total of 204
participants with schizophrenia or schizoaffective disorder and SSD who entered a
federally funded intervention study at the University of California San Diego
(UCSD) and University of Cincinnati were matched with schizophrenic and
schizoaffective participants from the Geriatric Research Center at UCSD who had
minimal or no depressive symptoms. The SSD and no depression groups were compared
on a variety of clinical features including general psychopathology, positive and
negative symptoms, medical and mental functioning, cognition, movement
abnormalities, and specific depressive symptomatology. RESULTS: SSD was
associated with increases in overall psychopathology; positive and negative
symptoms; severity of general medical conditions; impaired physical and mental
functioning; possibly more severe akathisia; and more depressive symptoms
throughout the spectrum of symptom clusters measured by the Hamilton Depression
Rating Scale, including anxiety and suicidality. CONCLUSIONS: SSD in middle aged
and older patients with schizophrenia is an important clinical dimension that
appears to be associated with substantial morbidity and distress. The findings
suggest that is important for clinicians to look for and assess subsyndromal
depressive symptoms in patients with chronic schizophrenia.
PMID- 18056820
TI - Factors affecting quality of life in a multiracial sample of older persons with
schizophrenia.
AB - OBJECTIVE: There have been few studies of quality of life (QOL) among older
persons with schizophrenia. The authors used an adaptation of Lehman's QOL model
to examine factors that impact QOL in a multiracial urban sample of older persons
with schizophrenia. METHODS: The schizophrenia group consisted of 198 community
dwelling persons aged 55 years and older who developed schizophrenia before age
45 years. A community comparison group (N = 113) was recruited using randomly
selected block-groups. The QOL model consisted of four variable sets
(demographic, objective, clinical, and subjective) comprising 19 independent
variables. The dependent variable was the Quality of Life Index (QLI). RESULTS:
The schizophrenia group had a significantly lower QLI score than the comparison
group (21.7 versus 24.2; t = -5.36, df = 362, p = 0.001). Within the
schizophrenia group, in bivariate analyses, 11 of 19 variables were significantly
related to QLI. In regression analysis, six variables were significantly
associated with the QLI: fewer depressive symptoms, more cognitive deficits,
fewer acute life stressors, fewer medication side effects, lower financial
strain, and better self-rated health. The model explained 55% of the variance in
QLI, with the demographic, objective, psychiatric illness, and subjective
variable sets accounting for 6%, 35%, 9%, and 5% of the variance, respectively.
With the exception of the demographic set, each of the variable sets added
significant variance. CONCLUSION: Our data confirmed earlier reports that older
persons with schizophrenia have lower self-reported quality of life than their
age-matched peers. Our findings suggest that the factors impacting on QOL are
potentially ameliorable and therefore provide an opportunity to enhance the well
being of this population.
PMID- 18056821
TI - The relationship between suicide ideation and late-life depression.
AB - OBJECTIVE: To describe the course of suicide ideation (SI) in primary-care based
late-life depression treatment, identify predictors of SI, characterize the
dynamic relationship between depression and SI, and test the hypothesis that
collaborative care decreases the likelihood of reporting SI by decreasing the
severity of depressive symptoms. METHODS: This was a secondary analysis of a
randomized controlled trial comparing collaborative care to usual care for late
life depression. Participants were 1,801 adults age 60 and older from eight
diverse primary-care systems. Depression was measured using the Hopkins Symptoms
Checklist (HSCL-20). SI was operationalized using one item from the HSCL-20.
Predictors of incident SI were identified by a series of univariate analyses
followed by multiple logistic regression. A mediator analysis was conducted to
test the hypothesis that the effect of collaborative care on SI can be ascribed
to the intervention's effect on depressive symptoms. RESULTS: The prevalence of
SI was 14% (N = 253); the cumulative incidence over 24 months was 21% (385). The
likelihood that SI emerged after baseline was highly dependent on change in
depression (odds ratio: 5.38, 95% confidence interval: 3.93-7.36, df = 81, t =
10.66, p <0.0001). As hypothesized, the effect of collaborative care on SI was
mediated by the treatment's effect on depression. CONCLUSION: SI is not uncommon
in depressed older adults being treated in primary care. The likelihood that
depressed older adults will report SI is strongly determined by the course of
their depression symptoms. Providers should monitor SI throughout the course of
depression treatment.
PMID- 18056822
TI - Diagnostic criteria influence dementia prevalence.
AB - OBJECTIVE: The objective of this study was to compare the prevalence of dementia
using different diagnostic systems, and to investigate the influence of the
different diagnostic components (memory impairment, personality changes,
definition of other intellectual functions) on the prevalence. METHODS: A general
population sample of 1,019 elderly living in Gothenburg, Sweden was investigated
by using the Comprehensive Psychopathological Rating Scale as well as specific
assessments relevant for dementia diagnoses. Diagnoses were given according to
the 9th and 10th version of the International Classification of Diseases (ICD-9,
ICD-10) as well as the 3rd revised and 4th edition of the Diagnostic and
Statistical Manual of Mental Disorders (DSM-III-R, DSM-IV). Further, "historical"
criteria for dementia were applied as had been used in older studies. RESULTS:
DSM-IV dementia occurred most frequently (9.6%), followed by dementia according
to "historical" criteria (7.4%), DSM-III-R (6.3%), ICD-10 (3.1%), and ICD-9
(1.2%). The kappa values for the agreement between the diagnostic systems were
between 0.166 and 0.810. The requirement of both long-term and short-term memory
impairment in DSM-III-R and personality changes in ICD-10 explained most of the
differences. When these requirements were held constant, DSM-III-R, DSM-IV, ICD
10 and "historical" criteria identified predominantly the same persons as
demented (kappa: 0.810-1.000). CONCLUSION: Prevalence of dementia varied widely
depending on diagnostic classification system used. For DSM-III-R, DSM-IV, ICD
10, and "historical" criteria, the definitions of personality changes and
combinations of memory impairment lead to differing prevalence rates, whereas the
definitions of other intellectual functions have little impact.
PMID- 18056823
TI - Mental illness and use of home care nationally in the U.S. Department of Veterans
Affairs.
AB - OBJECTIVE: To determine whether patients with mental health diagnoses are more
likely to utilize home-based primary care (HBPC), and to identify characteristics
associated with HBPC admission among elderly and nonelderly veterans,
specifically. METHODS: Patients receiving treatment during fiscal year (FY) 2003
and having no evidence of home care utilization during FY2002 were followed
through FY2005 using administrative claims data of the Veterans Health
Administration of the U.S. Department of Veterans Affairs (VA). Participants were
4,411,677 VA patients with no prior HBPC use. Cox proportional hazard models were
developed to identify correlates of HBPC use. RESULTS: Of VA patients with no
prior use of HBPC, 24.2% received a mental health diagnosis, of whom 1.5%
eventually used HBPC. Two in five new HBPC admissions were diagnosed with mental
illness. Patients diagnosed with dementia were 66% more likely to be admitted.
Patients diagnosed with nonschizophrenia psychoses (hazard ratio [HR]: 1.30),
miscellaneous affective disorders (HR: 1.22), and schizophrenia (HR: 1.21) had
the next highest probabilities. Risk of admission was highest for > or = 3
outpatient medical visits (HR: 2.61), followed by any inpatient medical/surgical
days (HR: 1.79) or outpatient mental health visits (HR: 1.30). Elderly patients
with any inpatient mental health days were less likely to be admitted; younger
patients with nursing home use, community residential care, and mental health
intensive care management were more likely to be admitted. CONCLUSION: Given that
mental illness is independently associated with the likelihood of admission, it
is critical that providers develop the skills and resources necessary to meet the
psychiatric needs of home care recipients.
PMID- 18056824
TI - Asymptomatic spontaneous cerebral emboli and mood in a cohort of older people: a
prospective study.
AB - OBJECTIVE: To examine whether asymptomatic spontaneous cerebral emboli (SCE)
predicts subsequent depression in older people. METHODS: Prospective cohort study
with 2.5 years of follow-up including 96 nondepressed older subjects in primary
care. Presence of SCE was measured at baseline by transcranial Doppler of the
middle cerebral artery and modeled on depression at follow-up using multiple
logistic and linear regression analyses. RESULTS: The prevalence of depressive
disorder according to Diagnostic and Statistical Manual of Mental Disorders,
Fourth Edition criteria did not differ significantly between SCE-positive and SCE
negative subjects (27% versus 12%), while the severity of depressive symptoms did
(Geriatric Depression Scale: beta = 0.22; Montgomery-Asberg Depression Rating
Scale: beta = 0.25). These differences disappeared after adjustment for
cardiovascular risk factors. CONCLUSION: These preliminary findings suggest that
asymptomatic SCE may be an interceding factor in the development of late-life
depression, consistent with the vascular depression hypothesis.
PMID- 18056828
TI - Designation of major mycobacterial interspersed repetitive-unit types within
Mycobacterium tuberculosis Beijing genotype, an important point.
PMID- 18056829
TI - New Vitek 2 colorimetric GN Card for identification of gram-negative
nonfermentative bacilli.
PMID- 18056830
TI - Is there convincing biological or behavioral evidence linking vitamin D
deficiency to brain dysfunction?
AB - Vitamin D insufficiency is common in the United States; the elderly and African
Americans are at particularly high risk of deficiency. This review, written for a
broad scientific readership, presents a critical overview of scientific evidence
relevant to a possible causal relationship between vitamin D deficiency and
adverse cognitive or behavioral effects. Topics discussed are 1) biological
functions of vitamin D relevant to cognition and behavior; 2) studies in humans
and rodents that directly examine effects of vitamin D inadequacy on cognition or
behavior; and 3) immunomodulatory activity of vitamin D relative to the
proinflammatory cytokine theory of cognitive/behavioral dysfunction. We conclude
there is ample biological evidence to suggest an important role for vitamin D in
brain development and function. However, direct effects of vitamin D inadequacy
on cognition/behavior in human or rodent systems appear to be subtle, and in our
opinion, the current experimental evidence base does not yet fully satisfy causal
criteria. Possible explanations for the apparent inconsistency between results of
biological and cognitive/behavioral experiments, as well as suggested areas for
further research are discussed. Despite residual uncertainty, recommendations for
vitamin D supplementation of at-risk groups, including nursing infants, the
elderly, and African-Americans appear warranted to ensure adequacy.
PMID- 18056831
TI - Buspirone for autistic disorder in a woman with an intellectual disability.
AB - OBJECTIVE: To describe a case of an intellectually disabled patient who was
diagnosed with autistic disorder (AD) and responded positively to buspirone,
demonstrated by a reduction in target behaviors of self-injury, property
destruction, and physical aggression. CASE SUMMARY: A 33-year-old, white,
nonverbal, profoundly intellectually impaired woman (IQ <20-25), residing in a
state-run facility, exhibited worsening aggressive behaviors associated with AD.
These behaviors were characterized by a history of self-injurious behavior (eg,
slapping and scratching herself); property destruction, including breaking
windows; and head butting of staff and peers. Additional diagnoses included a
seizure disorder, hyperprolactinemia, and osteoporosis. At the time of admission,
her drug regimen included 3 atypical antipsychotic agents: risperidone,
clozapine, and aripiprazole. Antipsychotic agents have been reported to lower the
seizure threshold, and elevated prolactin levels have been associated with
risperidone use. Aripiprazole and clozapine were discontinued on admission, and
risperidone was discontinued one month later following increased behavioral
deterioration. Buspirone was considered an appropriate replacement medication, as
it has not been associated with elevated prolactin levels or a lowered seizure
threshold, and was initiated at 15 mg/day. Significant reductions in aggression
were noted following titration to a total daily dose of 90 mg. DISCUSSION:
Buspirone is approved for treatment of generalized anxiety disorder in adults.
Dopaminergic agonist/antagonist actions have been reported with use of higher
doses. These receptor effects have been beneficial for aggressive behaviors in
the AD population that were exhibited by our patient, but effectiveness for
aggression in the intellectually disabled population is uncertain. In our
patient, problematic behaviors associated with AD responded positively to
buspirone. CONCLUSIONS: The reduction in target aggressive behaviors achieved
with buspirone therapy allowed other treatment interventions to proceed more
effectively in our patient. Clinicians may wish to consider use of buspirone in
patients with AD who do not respond to or have risk factors for use of other
therapy.
PMID- 18056832
TI - Accuracy of oral liquid measuring devices: comparison of dosing cup and oral
dosing syringe.
AB - BACKGROUND: Previous studies have found that teaspoons are commonly used to
administer liquid medications to children. The capacity of household teaspoons
ranges from 1.5 mL to 9 mL, potentially leading to errors in dosing. There are
few studies evaluating alternative measuring devices. OBJECTIVE: To assess adult
consumers' previous experience with measuring devices for oral liquids, compare
the accuracy of an oral syringe with that of a dosing cup, and determine consumer
perceptions of accuracy and ease of use of an oral syringe and a dosing cup.
METHODS: Individuals at least 18 years of age were shown a picture of 5 commonly
used measurement devices and asked their perceptions of and experience with the
devices. They were then asked to measure a 5 mL (1 teaspoon) dose of Tylenol
(acetaminophen) suspension, using the EZY Dose oral syringe and the dosing cup
provided by the manufacturer. An acceptable dose was defined as 5.0 +/- 0.5 mL.
Following the measurement, participants completed a 5 item survey that assessed
their perceptions of the accuracy and ease of use of the syringe and dosing cup.
RESULTS: A total of 96 subjects completed the study. Participants more commonly
reported use of droppers (68%), dosing cups (67%), and teaspoons (62%) versus
cylindrical spoons (49%) or oral syringes (49%) for measuring oral liquids. Sixty
four (66.7%) subjects measured an acceptable dose using the syringe versus 14
subjects (14.6%) using the cup (p < 0.001). The mean volumes +/- SD measured with
the syringe and cup were 4.5 +/- 0.7 mL and 6.3 +/- 0.7 mL, respectively (p <
0.001). After using both devices, the majority of subjects believed that the
syringe (80%) and cup (71%) would measure an accurate dose. Most (87%)
participants perceived that the cup was easy to use; 63% believed that the
syringe was easy to use. CONCLUSIONS: Droppers and dosing cups were the most
commonly used devices in the home for measuring liquid medications. Subjects were
more likely to measure an acceptable dose with an oral syringe when compared with
a dosing cup. However, a large proportion of study participants were unable to
measure an accurate dose with either device. Community pharmacists should educate
caregivers on the selection and proper use of measuring devices to improve the
accuracy of medication administration in the home.
PMID- 18056833
TI - Efficacy of memantine on behavioral and psychological symptoms related to
dementia: a systematic meta-analysis.
AB - BACKGROUND: The behavioral and psychological symptoms related to dementia (BPSD)
are difficult to manage and are associated with adverse patient outcomes.
OBJECTIVE: To systematically analyze the data on memantine in the treatment of
BPSD. METHODS: We searched MEDLINE, EMBASE, Pharm-line, the Cochrane Centre
Collaboration, www.clinicaltrials.gov, www.controlled-trials.com, and PsycINFO
(1966-July 2007). We contacted manufacturers and scrutinized the reference
sections of articles identified in our search for further references, including
conference proceedings. Two researchers (IM and CF) independently reviewed all
studies identified by the search strategy. We included 6 randomized, parallel
group, double-blind studies that rated BPSD with the Neuropsychiatric Inventory
(NPI) in our meta-analysis. Patients had probable Alzheimer's disease and
received treatment with memantine for at least one month. Overall efficacy of
memantine on the NPI was established with a t-test for the average difference
between means across studies, using a random effects model. RESULTS: Five of the
6 studies identified had NPI outcome data. In these 5 studies, 868 patients were
treated with memantine and 882 patients were treated with placebo. Patients on
memantine improved by 1.99 on the NPI scale (95% Cl -0.08 to -3.91; p = 0.041)
compared with the placebo group. CONCLUSIONS: Initial data appear to indicate
that memantine decreases NPI scores and may have a role in managing BPSD.
However, there are a number of limitations with the current data; the effect size
was relatively small, and whether memantine produces significant clinical benefit
is not clear.
PMID- 18056834
TI - Impaired response to GM-CSF and G-CSF, and enhanced apoptosis in C/EBPbeta
deficient hematopoietic cells.
AB - Transcription factors known as CCAAT enhancer binding proteins (C/EBPs) are
involved in hematopoietic differentiation, including myelopoiesis and
granulopoiesis. C/EBPbeta-deficient mice develop normally; however, they exhibit
defective macrophage function, resulting in increased susceptibility to
infection. Little is known about the role of C/EBPbeta in granulopoiesis;
therefore, we examined granulopoiesis in C/EBPbeta-deficient mice. Morphology,
the number of peripheral blood and bone marrow cells, and the expression of genes
specific for the myeloid lineage were normal in C/EBPbeta-deficient mice.
Interestingly, the hematopoietic progenitor cells of C/EBPbeta-deficient mice did
not respond normally to granulocyte/macrophage-colony stimulating factor and
granulocyte colony stimulating factor. In addition, C/EBPbeta-deficient
neutrophils displayed enhanced apoptosis compared with wild-type neutrophils. Our
present results indicate that C/EBPbeta helps regulate survival of neutrophils,
downstream of the granulocyte colony stimulating factor receptor.
PMID- 18056835
TI - Local production and activation of complement up-regulates the allostimulatory
function of dendritic cells through C3a-C3aR interaction.
AB - Donor cell expression of C3 enhances the alloimmune response and is associated
with the fate of transplantation. To clarify the mechanism for enhancement of the
immune response, we have explored the role of C3a receptor (C3aR)-ligand
interaction on murine bone marrow dendritic cells (DCs). We show that DCs either
lacked receptor for C3a (a C3 cleavage product) or were treated with C3aR
antagonist, elicited defective T-cell priming against alloantigen expressed on
the DCs. This was associated with reduced surface expression of major
histocompatibility complex (MHC) and costimulatory molecules on the DCs, and with
defective priming in skin allograft rejection. In addition, DCs lacking factor B
were unable to generate potent T-cell responses against donor antigen, whereas
lack of C4 had no detectable effect, suggesting a role for the alternative
pathway contributing to allostimulation. Furthermore, therapeutic complement
regulator can down-regulate DC allostimulatory function. These findings suggest
that the capacity of DCs for allostimulation depends on their ability to express,
activate, and detect relevant complement components leading to C3aR signaling.
This mechanism, in addition to underpinning the cell-autonomous action of donor
C3 on allostimulation, has implications for a wider range of immune responses in
self-restricted T-cell priming.
PMID- 18056836
TI - Hematopoiesis and immunity of HOXB4-transduced embryonic stem cell-derived
hematopoietic progenitor cells.
AB - The ability of embryonic stem (ES) cells to form cells and tissues from all 3
germ layers can be exploited to generate cells that can be used to treat
diseases. In particular, successful generation of hematopoietic cells from ES
cells could provide safer and less immunogenic cells than bone marrow cells,
which require severe host preconditioning when transplanted across major
histocompatibility complex barriers. Here, we exploited the self-renewal
properties of ectopically expressed HOXB4, a homeobox transcription factor, to
generate hematopoietic progenitor cells (HPCs) that successfully induce high
level mixed chimerism and long-term engraftment in recipient mice. The HPCs
partially restored splenic architecture in Rag2(-/-)gamma(c)(-/-)-immunodeficient
mice. In addition, HPC-derived newly generated T cells were able to mount a
peptide-specific response to lymphocytic choriomeningitis virus and specifically
secreted interleukin-2 and interferon-gamma upon CD3 stimulation. In addition,
HPC-derived antigen presenting cells in chimeric mice efficiently presented viral
antigen to wild-type T cells. These results demonstrate for the first time that
leukocytes derived from ES cells ectopically expressing HOXB4 are immunologically
functional, opening up new opportunities for the use of ES cell-derived HPCs in
the treatment of hematologic and immunologic diseases.
PMID- 18056837
TI - Soluble MD-2 is an acute-phase protein and an opsonin for Gram-negative bacteria.
AB - Myeloid differentiation factor-2 (MD-2) is a lipopolysaccharide (LPS)-binding
protein usually coexpressed with and binding to Toll-like receptor 4 (TLR4),
conferring LPS responsiveness of immune cells. MD-2 is also found as a soluble
protein. Soluble MD-2 (sMD-2) levels are markedly elevated in plasma from
patients with severe infections, and in other fluids from inflamed tissues. We
show that sMD-2 is a type II acute-phase protein. Soluble MD-2 mRNA and protein
levels are up-regulated in mouse liver after the induction of an acute-phase
response. It is secreted by human hepatocytic cells and up-regulated by
interleukin-6. Soluble MD-2 binds to Gram-negative but not Gram-positive
bacteria, and sMD-2 secreted by hepatocytic cells is an essential cofactor for
the activation of TLR4-expressing cells by Gram-negative bacteria. Soluble MD-2
opsonization of Gram-negative bacteria accelerates and enhances phagocytosis,
principally by polymorphonuclear neutrophils. In summary, our results demonstrate
that sMD-2 is a newly recognized type II acute-phase reactant, an opsonin for
Gram-negative bacteria, and a cofactor essential for the activation of TLR4
expressing cells. This suggests that sMD-2 plays a key role in the host innate
immune response to Gram-negative infections.
PMID- 18056838
TI - Regulation of adult erythropoiesis by prolyl hydroxylase domain proteins.
AB - Polycythemia is often associated with erythropoietin (EPO) overexpression and
defective oxygen sensing. In normal cells, intracellular oxygen concentrations
are directly sensed by prolyl hydroxylase domain (PHD)-containing proteins, which
tag hypoxia-inducible factor (HIF) alpha subunits for polyubiquitination and
proteasomal degradation by oxygen-dependent prolyl hydroxylation. Here we show
that different PHD isoforms differentially regulate HIF-alpha stability in the
adult liver and kidney and suppress Epo expression and erythropoiesis through
distinct mechanisms. Although Phd1(-/-) or Phd3(-/-) mice had no apparent
defects, double knockout of Phd1 and Phd3 led to moderate erythrocytosis. HIF
2alpha, which is known to activate Epo expression, accumulated in the liver. In
adult mice deficient for PHD2, the prototypic Epo transcriptional activator HIF
1alpha accumulated in both the kidney and liver. Elevated HIF-1alpha levels were
associated with dramatically increased concentrations of both Epo mRNA in the
kidney and Epo protein in the serum, which led to severe erythrocytosis. In
contrast, heterozygous mutation of Phd2 had no detectable effects on blood
homeostasis. These findings suggest that PHD1/3 double deficiency leads to
erythrocytosis partly by activating the hepatic HIF-2alpha/Epo pathway, whereas
PHD2 deficiency leads to erythrocytosis by activating the renal Epo pathway.
PMID- 18056839
TI - A2B adenosine receptor dampens hypoxia-induced vascular leak.
AB - Extracellular adenosine has been implicated in adaptation to hypoxia and previous
studies demonstrated a central role in vascular responses. Here, we examined the
contribution of individual adenosine receptors (ARs: A1AR/A2AAR/A2BAR/A3AR) to
vascular leak induced by hypoxia. Initial profiling studies revealed that siRNA
mediated repression of the A2BAR selectively increased endothelial leak in
response to hypoxia in vitro. In parallel, vascular permeability was
significantly increased in vascular organs of A2BAR(-/-)-mice subjected to
ambient hypoxia (8% oxygen, 4 hours; eg, lung: 2.1 +/- 0.12-fold increase). By
contrast, hypoxia-induced vascular leak was not accentuated in A1AR(-/-)-, A2AAR(
/-)-, or A3AR(-/-)-deficient mice, suggesting a degree of specificity for the
A2BAR. Further studies in wild type mice revealed that the selective A2BAR
antagonist PSB1115 resulted in profound increases in hypoxia-associated vascular
leakage while A2BAR agonist (BAY60-6583 [2-[6-amino-3,5-dicyano-4-[4
(cyclopropylmethoxy)-. phenyl]pyridin-2-ylsulfanyl]acetamide]) treatment was
associated with almost complete reversal of hypoxia-induced vascular leakage (eg,
lung: 2.0 +/- 0.21-fold reduction). Studies in bone marrow chimeric A2BAR mice
suggested a predominant role of vascular A2BARs in this response, while hypoxia
associated increases in tissue neutrophils were, at least in part, mediated by
A2BAR expressing hematopoietic cells. Taken together, these studies provide
pharmacologic and genetic evidence for vascular A2BAR signaling as central
control point of hypoxia-associated vascular leak.
PMID- 18056840
TI - MLD according to the WHO classification in AML has no correlation with age and no
independent prognostic relevance as analyzed in 1766 patients.
AB - Between February 1996 and December 2004, the German Leukemia Study Initiative
registered 1766 consecutive patients for the acute myeloid leukemia (AML) 96
study, all of whom were diagnosed by central cytomorphology according to the
French-American-British (FAB) and the new World Health Organization (WHO)
classification. We focused our analysis on the prognostic impact of multilineage
dysplasia (MLD) as a new parameter of the WHO classification for AML. We could
not confirm the WHO statement that MLD occurs most frequently in older
individuals, but we confirmed that MLD is often associated with an unfavorable
cytogenetic profile (P < .001). In 1332 individuals receiving intensive AML
therapy presence of MLD was negatively correlated with complete remission (P =
.001) in univariate, but not in multivariate, analysis. Multivariate analysis of
either event-free or overall survival again failed to show an independent
prognostic significance of MLD besides age, cytogenetics, and, in part, NPM1/FLT3
ITD mutations. Our data support a reassessment of the WHO classification in the
light of a more biologic understanding of AML. This study is registered at
www.ClinicalTrials.gov as #NCT00180115.
PMID- 18056841
TI - BCL-2 dependence and ABT-737 sensitivity in acute lymphoblastic leukemia.
AB - Cancer cells acquire disruptions in normal signal transduction pathways and
homeostatic mechanisms that would trigger apoptosis in normal cells. These
abnormalities include genomic instability, oncogene activation, and growth factor
independent proliferation. Therefore, cancer cells likely require a block in
apoptosis in order to survive. Overexpression of the antiapoptotic protein BCL-2
provides a block in apoptosis that is frequently observed in cancer cells. We
have developed methods for the detection and analysis of BCL-2 dependence and
here apply them to acute lymphoblastic leukemia (ALL). BH3 profiling, a
mitochondrial assay that classifies blocks in the intrinsic apoptotic pathway,
indicated a dependence on BCL-2 of both ALL cell lines and primary samples. This
dependence predicted that BCL-2 would be complexed with select pro-death BH3
family proteins, a prediction confirmed by the isolation of BCL-2 complexes with
BIM. Furthermore, the BH3 profiling and protein analysis predicted that ALL cell
lines and primary cells would be sensitive to ABT-737 as a single agent. Finally,
BH3 profiling and protein studies accurately predicted a relative degree of
sensitivity to BCL-2 antagonism in cell lines. The ALL cells studied exhibit BCL
2 dependence, supporting clinical trials of BCL-2 antagonists in ALL as single
agents or combination therapies.
PMID- 18056842
TI - Inhibition of collagen-induced platelet aggregation by anopheline antiplatelet
protein, a saliva protein from a malaria vector mosquito.
AB - During blood feeding, mosquitoes inject saliva containing a mixture of molecules
that inactivate or inhibit various components of the hemostatic response to the
bite injury as well as the inflammatory reactions produced by the bite, to
facilitate the ingestion of blood. However, the molecular functions of the
individual saliva components remain largely unknown. Here, we describe anopheline
antiplatelet protein (AAPP) isolated from the saliva of Anopheles stephensi, a
human malaria vector mosquito. AAPP exhibited a strong and specific inhibitory
activity toward collagen-induced platelet aggregation. The inhibitory mechanism
involves direct binding of AAPP to collagen, which blocks platelet adhesion to
collagen and inhibits the subsequent increase in intracellular Ca(2+)
concentration ([Ca(2+)]i). The binding of AAPP to collagen effectively blocked
platelet adhesion via glycoprotein VI (GPVI) and integrin alpha(2)beta(1). Cell
adhesion assay showed that AAPP inhibited the binding of GPVI to collagen type I
and III without direct effect on GPVI. Moreover, intravenously administered
recombinant AAPP strongly inhibited collagen-induced platelet aggregation ex vivo
in rats. In summary, AAPP is a malaria vector mosquito-derived specific
antagonist of receptors that mediate the adhesion of platelets to collagen. Our
study may provide important insights for elucidating the effects of mosquito
blood feeding against host hemostasis.
PMID- 18056843
TI - Effective and selective inhibition of chronic myeloid leukemia primitive
hematopoietic progenitors by the dual Src/Abl kinase inhibitor SKI-606.
AB - Imatinib mesylate (imatinib) is highly effective in the treatment of chronic
myeloid leukemia (CML) but is less effective in eliminating CML stem cells. We
investigated whether SKI-606, a potent Bcr-Abl and Src kinase inhibitor without
anti-PDGF or c-Kit activity, could effectively target primitive CML progenitors.
CML and normal progenitors were cultured with SKI-606 or imatinib. SKI-606
effectively inhibited Bcr-Abl kinase activity in CML CD34(+) cells and inhibited
Src phosphorylation more potently than imatinib. However, SKI-606 and imatinib
resulted in similar suppression of CML primitive and committed progenitor
proliferation and growth in CFC and LTC-IC assays. Exposure to either agent alone
or in combination resulted in only modest increase in apoptosis. Evaluation of
downstream signaling pathways indicated that Akt and STAT5 activity was not
changed, but a delayed increase in MAPK activity was seen at high concentrations
of SKI-606. SKI-606 inhibited normal progenitor proliferation to a lesser extent
than imatinib. SKI-606 effectively inhibits Bcr-Abl and Src kinase activity and
inhibits CML progenitor growth with relatively little effect on normal
progenitors. However, SKI-606 does not demonstrate increased ability to eliminate
primitive CML progenitors by apoptosis compared with imatinib, emphasizing the
need for additional strategies besides Bcr-Abl kinase inhibition for curative
therapy of CML.
PMID- 18056844
TI - Shaping the future of research: the NHLBI strategic plan.
PMID- 18056845
TI - Defective binding of factor XI-N248 to activated human platelets.
PMID- 18056847
TI - No more transplantation in CML?
PMID- 18056849
TI - HLA-identical sibling stem-cell transplantation in first-remission AML.
PMID- 18056850
TI - Polycythemia vera following autologous transplantation for AML: insights on the
kinetics of JAK2V617F clonal dominance.
PMID- 18056851
TI - Development of original donor cell leukemia after successful engraftment from a
second donor.
PMID- 18056852
TI - Potent graft-versus-leukemia effect in BCR/ABL negative chronic myelogenous
leukemia.
PMID- 18056853
TI - Donor CTLA-4 +49 A/G*GG genotype is associated with chronic GVHD after HLA
identical haematopoietic stem-cell transplantations.
PMID- 18056854
TI - Nodal metastasis in non-small cell lung cancer: accuracy of 3.0-T MR imaging.
AB - PURPOSE: To prospectively evaluate the diagnostic accuracy of 3.0-T magnetic
resonance (MR) imaging in the detection of non-small cell lung cancer nodal
metastasis, with histopathologic analysis as the reference standard. MATERIALS
AND METHODS: Institutional review board approval and informed consent were
obtained. From July 2005 to May 2006, 113 patients (91 men, 22 women; age range,
34-82 years; mean age, 61 years) with non-small cell lung cancer underwent
thoracic 3.0-T MR imaging followed by surgery or mediastinoscopy. The lymph node
to-tumor ratios (LTRs) of signal intensity and nodal morphologic characteristics
(such as eccentric cortical thickening or obliteration of the fatty hilum) were
assessed on T2-weighted triple-inversion black-blood fast spin-echo images. Nodal
short-axis diameter was assessed on T1-weighted three-dimensional fast field-echo
images. Receiver operating characteristic and multivariate logistic regression
analyses were used for statistical evaluation. RESULTS: The cutoff value (LTR >
0.84) proved to be most appropriate (area under the receiver operating
characteristic curve = 0.735, P < .001) in the detection of a nodal metastasis.
Of the various parameters examined, morphologic characteristics appeared to be
the most significant (P < .001) parameters for depicting a malignant node
(multivariate logistic regression analyses; odds ratio, 7.5). Nodal morphology
was analyzed, and diagnostic sensitivity, specificity, and accuracy were 53% (39
of 74 nodal stations), 91% (453 of 496 nodal stations), and 86% (492 of 570 nodal
stations), respectively. CONCLUSION: Morphologic details of lymph nodes on T2
weighted triple-inversion black-blood fast spin-echo MR images are significant
for detection of mediastinal or hilar nodal metastasis at 3.0-T MR imaging.
PMID- 18056855
TI - MR imaging of the brain 1 year after aneurysmal subarachnoid hemorrhage:
randomized study comparing surgical with endovascular treatment.
AB - PURPOSE: To prospectively evaluate, with magnetic resonance (MR) imaging, long
term outcome of the brain after endovascular versus neurosurgical treatment for
aneurysmal subarachnoid hemorrhage (aSAH). MATERIALS AND METHODS: Institutional
review board approval and informed consent were obtained. One hundred sixty-eight
(77 men, 91 women; mean age +/- standard deviation, 51 years +/- 13) patients
were randomly assigned to surgical versus endovascular treatment of the ruptured
aneurysm with 138 (67 endovascular, 71 surgical) MR examinations 1 year after
aSAH. The presence, localization, volumes, and cause of lesions were analyzed
with chi(2), Mann-Whitney U, and Student t tests. Furthermore, correlation
between MR-detectable brain parenchymal high-signal intensity (SI) lesions on T2-
and intermediate-weighted MR images and neuropsychologic outcome was evaluated by
using Spearman correlation coefficient. RESULTS: Only 44 (31.9%) of 138 patients
had no lesions associated with aSAH. According to intention to treat, lesions
were more frequent after surgical rather than endovascular treatment,
predominating in the frontal (surgical: n = 50, [70.4%] vs endovascular: n = 34
[50.7%], P = .018) and temporal (n = 34 [47.9%] vs n = 15 [22.4%], P = .002)
lobes. Only endovascular patients had subtentorial lesions (n = 4 [6.0%], P =
.037). Ischemic lesions in the parental artery territory were more frequent in
surgical (n = 33 [46.5%]) than in endovascular (n = 15 [22.4%], P = .003)
patients, with corresponding mean lesion volumes of 20.9 cm(3) +/- 46.5 versus
17.6 cm(3) +/- 35.8 (P = .209). Ischemic lesions in remote vascular territories
were equal in frequency and size. Retraction injuries were common in the surgical
(n = 40, [56.3%]) treatment group. Ischemic lesion volumes correlated with
neuropsychologic test scores. CONCLUSION: Parenchymal high-SI lesions on T2- and
intermediate-weighted MR images are more frequent after early surgical rather
than endovascular treatment of the ruptured aneurysm, and lesion volumes
correlate with the neuropsychologic test performance.
PMID- 18056856
TI - MR imaging of right ventricular function after the Ross procedure for aortic
valve replacement: initial experience.
AB - PURPOSE: To prospectively assess right ventricular (RV) function after the Ross
procedure by using magnetic resonance (MR) imaging. MATERIALS AND METHODS: The
local ethics committee approved the study and informed consent was obtained from
all participants prior to enrollment in the study. Seventeen patients (15 male,
two female; mean age +/- standard deviation, 19 years +/- 3.9; imaging performed
8.3 years after surgery +/- 3.2) and 17 matched controls (15 male, two female;
mean age +/- standard deviation, 20 years +/- 3.9) were studied by using MR
imaging. Standard velocity-encoded and multisection multiphase imaging sequences
were used to assess homograft valve function, systolic and diastolic RV function,
and RV mass. The two-tailed Mann-Whitney U test and the Spearman rank correlation
coefficient were used for statistical analysis. RESULTS: Minor degrees of
homograft stenosis (peak flow velocity between 1.5 and 3.0 m/sec across the
homograft valve) were found in 12 of 17 patients but not in controls (P < .001).
A larger RV mass was present in Ross patients than in controls (17.0 g/m(2) +/-
4.8 vs 10.9 g/m(2) +/- 5.6, P = .004). In addition, impaired diastolic RV
function was found, as shown by a decreased mean tricuspid valve early filling
phase-atrial contraction phase (E/A) peak flow velocity ratio (1.56 +/- 0.75 vs
2.05 +/- 0.58, P = .03). Peak flow velocity across the homograft valve correlated
with RV mass (r = 0.38, P = .03) and tricuspid valve E/A peak flow velocity ratio
(r = 0.39, P = .02). RV systolic function was normal in Ross patients (mean RV
ejection fraction, 52% +/- 8 vs 51% +/- 5; P = .74). CONCLUSION: RV hypertrophy
and RV diastolic dysfunction are frequently observed in patients after the Ross
procedure, even in the absence of overt homograft stenosis. RV systolic function
is still preserved.
PMID- 18056857
TI - Radiation dose to organs and tissues from mammography: Monte Carlo and phantom
study.
AB - PURPOSE: To prospectively determine the radiation dose to the organs of the body
during standard bilateral two-view mammography by using Monte Carlo simulations
and a phantom. MATERIALS AND METHODS: A modified version of the Cristy mathematic
anthropomorphic phantom was implemented in the Geant4 Monte Carlo tool kit to
simulate the conditions present in screen-film and digital mammography. The
breast was simulated with compression in both the craniocaudal and the
mediolateral oblique views. X-rays were tracked from the source until their
absorption in the body or in the detector or their exit from the simulation
limits, with recording of all the intermediate interactions in the body. The
simulation was performed with x-rays of energy ranging from 6 to 35 keV to obtain
results for clinically relevant spectra. The ratio of dose to an organ in the
body per unit glandular dose to the breast, denoted the relative organ dose
(ROD), was computed. The effect of using a body protective shield was also
investigated. RESULTS: The organs that received an ROD of 0.10% or higher in at
least one view and one spectrum were the contralateral breast, ipsilateral eye
and eye lens, heart, ipsilateral lung, and thymus. Among the organs, the maximum
ROD was 0.62%. The maximum ROD for the bone surfaces was 2.36% and that for the
red bone marrow was 0.56%. The highest ROD measured for the uterus or fetus at
the first trimester was less than 10(-5). CONCLUSION: The radiation dose to all
tissues other than the breast is extremely low. The dose to the first-trimester
fetus is minimal.
PMID- 18056858
TI - Advanced expression vector systems: new weapons for plant research and
biotechnology.
PMID- 18056859
TI - The analysis of protein-protein interactions in plants by bimolecular
fluorescence complementation.
PMID- 18056860
TI - New gateways to discovery.
PMID- 18056861
TI - Heterologous expression of viral RNA interference suppressors: RISC management.
PMID- 18056862
TI - Delivery of multiple transgenes to plant cells.
PMID- 18056863
TI - Chloroplast vector systems for biotechnology applications.
PMID- 18056864
TI - Recombinational cloning with plant gateway vectors.
PMID- 18056865
TI - Current status of binary vectors and superbinary vectors.
PMID- 18056867
TI - The butyrylcholinesterase knockout mouse as a model for human
butyrylcholinesterase deficiency.
AB - Butyrylcholinesterase (BChE) is an important enzyme for metabolism of ester
drugs. Many humans have partial or complete BChE deficiency due to genetic
variation. Our goal was to create a mouse model of BChE deficiency to allow
testing of drug toxicity. For this purpose, we created the BChE knockout mouse by
gene-targeted deletion of a portion of the BCHE gene (accession number M99492).
The BChE(-/-) mouse had no BChE activity in plasma, but it had low residual
butyrylthiocholine hydrolase activity in all other tissues attributed to
carboxylesterase ES-10. The BChE(-/-) mouse had a normal phenotype except when
challenged with drugs. Nicotinic receptor function as indicated by response to
nicotine seemed to be normal in BChE(-/-) mice, but muscarinic receptor function
as measured by response to oxotremorine and pilocarpine was altered. Heart rate,
blood pressure, and respiration, measured in a Vevo imager, were similar in
BChE(+/+) and BChE(-/-) mice. Like BChE(-/-) humans, the BChE(-/-) mouse
responded to succinylcholine with prolonged respiratory arrest. Bambuterol was
not toxic to BChE(-/-) mice, suggesting it is safe in BChE(-/-) humans. Challenge
with 150 mg/kg pilocarpine i.p., a muscarinic agonist, or with 50 mg/kg
butyrylcholine i.p., induced tonicclonic convulsions and death in BChE(-/-) mice.
This suggests that butyrylcholine, like pilocarpine, binds to muscarinic
receptors. In conclusion, the BChE(-/-) mouse is a suitable model for human BChE
deficiency.
PMID- 18056866
TI - Toward sequencing cotton (Gossypium) genomes.
PMID- 18056868
TI - p38alpha-selective mitogen-activated protein kinase inhibitor SD-282 reduces
inflammation in a subchronic model of tobacco smoke-induced airway inflammation.
AB - Chronic obstructive pulmonary disease (COPD) is characterized by pulmonary
inflammation, which is relatively insensitive to inhaled corticosteroids. The
extent of the pulmonary inflammation in COPD correlates with disease severity,
and it is thought to play a significant role in disease progression. We have
evaluated a selective p38alpha-selective mitogen-activated protein kinase (MAPK)
inhibitor, indole-5-carboxamide (ATP-competitive inhibitor of p38 kinase) (SD
282), in an 11-day model of tobacco smoke (TS)-induced pulmonary inflammation in
A/J mice, by using dexamethasone as a reference steroid. Two oral treatment
paradigms were evaluated in this TS model: prophylactic with daily pretreatment
before each daily exposure, and therapeutic with daily treatment for 6 days
commencing after 5 days of smoke exposure. Bronchoalveolar lavage and
histological evaluation of lung sections taken after exposure to TS revealed an
inflammatory response composed of increased numbers of macrophages and
neutrophils and enhanced mucin staining. Phospho-p38 staining in macrophages and
type II epithelial cells after TS exposure was also observed. Given
prophylactically or therapeutically, dexamethasone failed to inhibit any of the
TS-induced inflammatory changes. By contrast, SD-282 inhibited TS-induced
increases in macrophages and neutrophils. Furthermore, SD 282 reduced TS-induced
increases in cyclooxygenase-2 and interleukin-6 levels, and phospho-p38
expression in the lungs. In conclusion, SD-282 markedly reduced TS-induced
inflammatory responses when given prophylactically or therapeutically whereas
dexamethasone was ineffective. This is the first evidence that a p38alpha
selective MAPK inhibitor can exert pulmonary anti-inflammatory activity in a TS
exposure model when given in a therapeutic mode, establishing the potential of
p38 MAPK inhibitors as a therapy for COPD.
PMID- 18056869
TI - Program for the conservation and promotion of hearing among adolescents.
AB - PURPOSE: We describe a program for the promotion of hearing conservation aimed at
the adolescent population. The intent of our program is to (a) detect hearing
disorders early, as well as to establish their relation to psychosocial and
acoustic factors; (b) devise a follow-up procedure to study relevant variables;
(c) evaluate the relation between hearing disorders and genetic factors, and (d)
raise the social awareness of the effects of noise and its consequences. METHOD:
This program, designed to be carried out over a 7-year period, focuses on
participants from technical schools in the city of Cordoba, Argentina. Every
student will be examined at age 14-15 years and will be reexamined at age 17-18.
There will be a yearly follow-up in those cases in which disorders are detected.
RESULTS AND CONCLUSIONS: We discuss the organization and planning of this
program, together with its launching in the first of the selected schools. We
also describe the findings on the following topics: (a) the hearing data on
adolescents (age 14-15 years); (b) their recreational habits, personality traits,
and attitudes; and (c) the sound immision characteristics these individuals are
exposed to during recreational activities.
PMID- 18056870
TI - Effectiveness of "Dangerous Decibels," a school-based hearing loss prevention
program.
AB - PURPOSE: To evaluate the effectiveness of the "Dangerous Decibels" educational
program in increasing students' knowledge and positively changing their attitudes
and intended behaviors related to hearing and hearing loss prevention. METHOD:
Baseline questionnaires were completed by 478 4th-grade students and 550 7th
grade students. Approximately half of the students in each grade received a 35
min interactive classroom presentation on hearing and hearing loss prevention.
The remaining students served as comparison groups. Students who received the
curriculum filled out questionnaires immediately after the presentation. All
students filled out follow-up questionnaires 3 months after baseline. RESULTS:
Fourth-grade students who participated in the Dangerous Decibels presentation
exhibited significant improvements in knowledge and attitudes related to hearing
and hearing loss prevention. These improvements were maintained 3 months after
the presentation. Seventh-grade students also experienced long-term improvements
in their knowledge base. However, attitudes and intended behaviors in 7th graders
returned to baseline levels 3 months postpresentation. CONCLUSION: The Dangerous
Decibels hearing loss prevention program was effective at producing long-term
improvements in the knowledge base of 4th- and 7th-grade students. Future studies
should include components on peer pressure and should incorporate repeated,
multimodality interventions to increase the likelihood of long-term improvement
in adolescents.
PMID- 18056871
TI - Perceived hearing status and attitudes toward noise in young adults.
AB - PURPOSE: To estimate the prevalence of perceived hearing loss, tinnitus, and
temporary threshold shift (TTS) in community college students and to see whether
those students' attitudes toward noise affected their perception of their own
possible hearing loss, tinnitus, and TTS. METHOD: Young adults (N = 245; age 18
27) completed 3 questionnaires: the Hearing Symptom Description, Youth Attitude
to Noise Scale, and Adolescents' Habits and Hearing Protection Use. RESULTS:
Perceived TTS and pain associated with loud noise were the most common hearing
related factors, followed by perceived tinnitus and hearing loss. The students'
attitudes toward noise in their daily environment showed the most negative
response, whereas attitudes toward noise and concentration indicated a more
positive, or less harmful, response. Chi-square analysis indicated a significant
correlation between perceived hearing loss and respondents' overall attitudes
toward noise exposure. Hearing protection use was limited for all participants,
with the majority reporting never having used hearing protection. CONCLUSION:
Approximately 6% of respondents reported perceived hearing loss, and 13.5%
reported prolonged tinnitus. In general, participants had neutral attitudes
toward noise. Over 20% of participants reported ear pain, tinnitus, and/or TTS
after noise exposure at least sometimes. Coincidentally, few participants
reported consistent use of hearing protection.
PMID- 18056872
TI - Comparison of audiometric screening criteria for the identification of noise
induced hearing loss in adolescents.
AB - PURPOSE: To ascertain whether current pure-tone school hearing screening criteria
used across the United States are adequate for the early identification of noise
induced hearing loss (NIHL) in adolescents. METHOD: School-based pure-tone
hearing screening protocols were collected, reviewed, and consolidated from 46
state agencies. A retrospective categorical analysis of air-conduction
audiometric thresholds from a computerized database of 9th-grade (n = 376) and
12th-grade (n = 265) students from a suburban high school was conducted. The
database analysis was designed to determine whether each screening protocol would
identify high-frequency notched audiometric configurations suggestive of NIHL
when using the noise notch criteria described by A. S. Niskar et al. (2001).
RESULTS: All of the school-based hearing screening criteria identified
significantly (p 32 arbitrary units) GADA titers and 450 subjects with classic
type 2 diabetes (from the Non Insulin Requiring Autoimmune Diabetes [NIRAD] Study
cohort of 5,330 subjects with adult-onset diabetes) and in 558 subjects with
juvenile-onset type 1 diabetes and 545 normoglycemic subjects. RESULTS: Genotype,
allele, and phenotype distributions of the PTPN22 C1858T variant revealed similar
frequencies in autoimmune diabetes with high GADA titer and juvenile-onset type 1
diabetes. An increase in TT and CT genotypes was observed in individuals with a
high GADA titer compared with a low GADA titer, those with type 2 diabetes, and
control subjects (P < 0.002 for all comparisons). The PTPN22 1858T allele and
phenotype frequencies were increased in high GADA titer compared with a low GADA
titer, type 2 diabetic, and control subjects (P < 0.001 for all comparisons, odds
ratio 2.6). CONCLUSIONS: In adult-onset autoimmune diabetes, the PTPN22 1858T
variant is associated only with a high GADA titer, providing evidence of a
genetic background to clinical heterogeneity identified by GADA titer.
PMID- 18056890
TI - Should nonalcoholic fatty liver disease be included in the definition of
metabolic syndrome? A cross-sectional comparison with Adult Treatment Panel III
criteria in nonobese nondiabetic subjects.
AB - OBJECTIVE: The ability of the Adult Treatment Panel III (ATP III) criteria of
metabolic syndrome to identify insulin-resistant subjects at increased
cardiovascular risk is suboptimal, especially in the absence of obesity and
diabetes. Nonalcoholic fatty liver disease (NAFLD) is associated with insulin
resistance and is emerging as an independent cardiovascular risk factor. We
compared the strength of the associations of ATP III criteria and of NAFLD to
insulin resistance, oxidative stress, and endothelial dysfunction in nonobese
nondiabetic subjects. RESEARCH DESIGN AND METHODS: Homeostasis model assessment
of insulin resistance (HOMA-IR) >2, oxidative stress (nitrotyrosine), soluble
adhesion molecules (intracellular adhesion molecule-1, vascular cell adhesion
molecule-1, and E-selectin), and circulating adipokines (tumor necrosis factor
alpha, leptin, adiponectin, and resistin) were cross-sectionally correlated to
ATP III criteria and to NAFLD in 197 unselected nonobese nondiabetic subjects.
RESULTS: NAFLD more accurately predicted insulin resistance than ATP III
criteria: sensitivity 73 vs. 38% (P = 0.0001); positive predictive value: 81 vs.
62% (P = 0.035); negative predictive value 87 vs. 74% (P = 0.012); positive
likelihood ratio 4.39 vs. 1.64 (P = 0.0001); and negative likelihood ratio 0.14
vs. 0.35 (P = 0.0001). Adding NAFLD to ATP III criteria significantly improved
their diagnostic accuracy for insulin resistance. Furthermore, NAFLD
independently predicted HOMA-IR, nitrotyrosine, and soluble adhesion molecules on
logistic regression analysis; the presence of NAFLD entailed more severe
oxidative stress and endothelial dysfunction, independent of adiposity or any
feature of the metabolic syndrome in insulin-resistant subjects. CONCLUSIONS:
NAFLD is more tightly associated with insulin resistance and with markers of
oxidative stress and endothelial dysfunction than with ATP III criteria in
nonobese nondiabetic subjects and may help identify individuals with increased
cardiometabolic risk in this population.
PMID- 18056892
TI - Quantifying the excess risk of type 2 diabetes by body habitus measurements among
Australian aborigines living in remote areas.
AB - OBJECTIVE: To quantify the risk for type 2 diabetes by body habitus measurements
among remote-living Australian Aborigines relative to that measured in the
general Australian population (as characterized by the Australian Diabetes,
Obesity and Lifestyle [AusDiab] study). RESEARCH DESIGN AND METHODS:
Anthropometric measurements and diabetes status were assessed by standard
procedures among Aborigines (n = 1,456) and Australians aged >or=25 years (n =
11,247). Age-adjusted odds ratios (ORs) for diabetes among Aborigines relative to
AusDiab participants were calculated by commonly used categories of body size
measurements. RESULTS: The OR (95% CI) values for diabetes among normal,
overweight, and obese (by waist) Aboriginal women relative to AusDiab women were
2.6 (0.6-11.5), 13.1 (6.7-25.7), and 6.1 (4.6-8.0), respectively, and for
Aboriginal men relative to AusDiab men, they were 7.6 (4.6-12.5), 7.6 (4.3-13.4),
and 5.2 (3.4-8.0), respectively. Rates of diabetes were also excessive in
Aborigines for each standard category of BMI. CONCLUSIONS: Higher rates of
diabetes, even at normal and lower body habitus measurements, among Aborigines
suggest that strategies for prevention should expand beyond exclusive focus on
diet and weight management.
PMID- 18056893
TI - Diabetes-related complications, glycemic control, and falls in older adults.
AB - OBJECTIVE: Older adults with type 2 diabetes are more likely to fall, but little
is known about risk factors for falls in this population. We determined whether
diabetes-related complications or treatments are associated with risk of falls in
older diabetic adults. RESEARCH DESIGN AND METHODS: In the Health, Aging, and
Body Composition cohort of well-functioning older adults, participants reported
falls in the previous year at annual visits. Odds ratios (ORs) for more frequent
falls among 446 diabetic participants whose mean age was 73.6 years, with an
average follow-up of 4.9 years, were estimated with continuation ratio models.
RESULTS: In the first year, 23[corrected]% reported falling; 22, 26,
30[corrected], and 31[corrected]% fell in subsequent years. In adjusted models,
reduced peroneal nerve response amplitude (OR 1.50 -95% CI 1.07-2.12], worst
quartile versus others); higher cystatin-C, a marker of reduced renal function
(1.38 [1.11-1.71], for 1 SD increase); poorer contrast sensitivity (1.41 [0.97
2.04], worst quartile versus others); and low A1C in insulin users (4.36 [1.32
14.46], A1C 8%) were associated with risk of falls. In those using
oral hypoglycemic medications but not insulin, low A1C was not associated with
risk of falls (1.29 [0.65-2.54], A1C 8%). Adjustment for physical
performance explained some, but not all, of these associations. CONCLUSIONS: In
older diabetic adults, reducing diabetes-related complications may prevent falls.
Achieving lower A1C levels with oral hypoglycemic medications was not associated
with more frequent falls, but, among those using insulin, A1C or =16 years) with acute nonrecurrent sinusitis (had > or =2 diagnostic
criteria: purulent rhinorrhea with unilateral predominance, local pain with
unilateral predominance, purulent rhinorrhea bilateral, presence of pus in the
nasal cavity) at 58 family practices (74 family physicians) between November 2001
and November 2005. Patients were randomized to 1 of 4 treatment groups:
antibiotic and nasal steroid; placebo antibiotic and nasal steroid; antibiotic
and placebo nasal steroid; placebo antibiotic and placebo nasal steroid.
INTERVENTION: A dose of 500 mg of amoxicillin 3 times per day for 7 days and 200
mug of budesonide in each nostril once per day for 10 days. MAIN OUTCOME
MEASURES: Proportion clinically cured at day 10 using patient symptom diaries and
the duration and severity of symptoms. RESULTS: The proportions of patients with
symptoms lasting 10 or more days were 29 of 100 (29%) for amoxicillin vs 36 of
107 (33.6%) for no amoxicillin (adjusted odds ratio, 0.99; 95% confidence
interval, 0.57-1.73). The proportions of patients with symptoms lasting 10 or
more days were 32 of 102 (31.4%) for topical budesonide vs 33 of 105 (31.4%) for
no budesonide (adjusted odds ratio, 0.93; 95% confidence interval, 0.54-1.62).
Secondary analysis suggested that nasal steroids were significantly more
effective in patients with less severe symptoms at baseline. CONCLUSION: Neither
an antibiotic nor a topical steroid alone or in combination was effective as a
treatment for acute sinusitis in the primary care setting. TRIAL REGISTRATION:
isrctn.org Identifier: ISRCTN60825437.
PMID- 18056903
TI - Antithrombotic strategies in patients with acute coronary syndromes undergoing
early invasive management: one-year results from the ACUITY trial.
AB - CONTEXT: At 30-day follow-up, patients with moderate- and high-risk acute
coronary syndromes (ACS) undergoing early invasive treatment in the ACUITY trial
with bivalirudin monotherapy vs heparin plus glycoprotein (GP) IIb/IIIa
inhibitors had noninferior rates of adverse ischemic events with reduced rates of
major bleeding. Deferred upstream use of GP IIb/IIIa inhibitors for selective
administration to patients undergoing percutaneous coronary intervention (PCI)
resulted in a significant reduction in major bleeding, although a small increase
in composite ischemia could not be excluded. OBJECTIVE: To determine 1-year
ischemic outcomes for patients in the ACUITY trial. DESIGN, SETTING, AND
PATIENTS: A prospective, randomized, open-label trial with 1-year clinical follow
up at 450 academic and community-based institutions in 17 countries. A total of
13,819 patients with moderate- and high-risk ACS undergoing invasive treatment
were enrolled between August 23, 2003, and December 5, 2005. INTERVENTIONS:
Patients were assigned to heparin plus GP IIb/IIIa inhibitors (n = 4603),
bivalirudin plus GP IIb/IIIa inhibitors (n = 4604), or bivalirudin monotherapy (n
= 4612). Of these patients, 4605 were assigned to routine upstream GP IIb/IIIa
administration and 4602 were deferred to selective GP IIb/IIIa inhibitor
administration. MAIN OUTCOME MEASURE: Composite ischemia (death, myocardial
infarction, or unplanned revascularization for ischemia) at 1 year. RESULTS:
Composite ischemia at 1 year occurred in 15.4% of patients assigned to heparin
plus GP IIb/IIIa inhibitors and 16.0% assigned to bivalirudin plus GP IIb/IIIa
inhibitors (compared with heparin plus GP IIb/IIIa inhibitors, HR, 1.05; 95% CI,
0.95-1.16; P = .35), and 16.2% assigned to bivalirudin monotherapy (HR, 1.06; 95%
CI, 0.95-1.17; P = .29). Mortality at 1 year occurred in an estimated 3.9% of
patients assigned to heparin plus GP IIb/IIIa inhibitors, 3.9% assigned to
bivalirudin plus GP IIb/IIIa inhibitors (HR, 0.99; 95% CI, 0.80-1.22; P = .92),
and 3.8% assigned to bivalirudin monotherapy (HR, 0.96; 95% CI, 0.77-1.18; P =
.67). Composite ischemia occurred in 16.3% of patients assigned to deferred use
compared with 15.2% of patients assigned to upstream administration (HR, 1.08;
95% CI, 0.97-1.20; P = .15). CONCLUSIONS: At 1 year, no statistically significant
difference in rates of composite ischemia or mortality among patients with
moderate- and high-risk ACS undergoing invasive treatment with the 3 therapies
was found. There was no statistically significant difference in the rates of
composite ischemia between patients receiving routine upstream administration of
GP IIb/IIIa inhibitors vs deferring their use for patients undergoing PCI. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT00093158.
PMID- 18056904
TI - Cardiorespiratory fitness and adiposity as mortality predictors in older adults.
AB - CONTEXT: Although levels of physical activity and aerobic capacity decline with
age and the prevalence of obesity tends to increase with age, the independent and
joint associations among fitness, adiposity, and mortality in older adults have
not been adequately examined. OBJECTIVE: To determine the association among
cardiorespiratory fitness ("fitness"), adiposity, and mortality in older adults.
DESIGN, SETTING, AND PATIENTS: Cohort of 2603 adults aged 60 years or older (mean
age, 64.4 [SD, 4.8] years; 19.8% women) enrolled in the Aerobics Center
Longitudinal Study who completed a baseline health examination during 1979-2001.
Fitness was assessed by a maximal exercise test, and adiposity was assessed by
body mass index (BMI), waist circumference, and percent body fat. Low fitness was
defined as the lowest fifth of the sex-specific distribution of maximal treadmill
exercise test duration. The distributions of BMI, waist circumference, and
percent body fat were grouped for analysis according to clinical guidelines. MAIN
OUTCOME MEASURE: All-cause mortality through December 31, 2003. RESULTS: There
were 450 deaths during a mean follow-up of 12 years and 31 236 person-years of
exposure. Death rates per 1000 person-years, adjusted for age, sex, and
examination year were 13.9, 13.3, 18.3, and 31.8 across BMI groups of 18.5-24.9,
25.0-29.9, 30.0-34.9, and > or =35.0, respectively (P = .01 for trend); 13.3 and
18.2 for normal and high waist circumference (> or =88 cm in women; > or =102 cm
in men) (P = .004); 13.7 and 14.6 for normal and high percent body fat (> or =30%
in women; > or =25% in men) (P = .51); and 32.6, 16.6, 12.8, 12.3, and 8.1 across
incremental fifths of fitness (P < .001 for trend). The association between waist
circumference and mortality persisted after further adjustment for smoking,
baseline health status, and BMI (P = .02) but not after additional adjustment for
fitness (P = .86). Fitness predicted mortality risk after further adjustment for
smoking, baseline health, and either BMI, waist circumference, or percent body
fat (P < .001 for trend). CONCLUSIONS: In this study population, fitness was a
significant mortality predictor in older adults, independent of overall or
abdominal adiposity. Clinicians should consider the importance of preserving
functional capacity by recommending regular physical activity for older
individuals, normal-weight and overweight alike.
PMID- 18056906
TI - Update: an 81-year-old woman with temporal arteritis.
PMID- 18056905
TI - Persistence of contradicted claims in the literature.
AB - CONTEXT: Some research findings based on observational epidemiology are
contradicted by randomized trials, but may nevertheless still be supported in
some scientific circles. OBJECTIVES: To evaluate the change over time in the
content of citations for 2 highly cited epidemiological studies that proposed
major cardiovascular benefits associated with vitamin E in 1993; and to
understand how these benefits continued being defended in the literature, despite
strong contradicting evidence from large randomized clinical trials (RCTs). To
examine the generalizability of these findings, we also examined the extent of
persistence of supporting citations for the highly cited and contradicted
protective effects of beta-carotene on cancer and of estrogen on Alzheimer
disease. DATA SOURCES: For vitamin E, we sampled articles published in 1997,
2001, and 2005 (before, early, and late after publication of refuting evidence)
that referenced the highly cited epidemiological studies and separately sampled
articles published in 2005 and referencing the major contradicting RCT (HOPE
trial). We also sampled articles published in 2006 that referenced highly cited
articles proposing benefits associated with beta-carotene for cancer (published
in 1981 and contradicted long ago by RCTs in 1994-1996) and estrogen for
Alzheimer disease (published in 1996 and contradicted recently by RCTs in 2004).
DATA EXTRACTION: The stance of the citing articles was rated as favorable,
equivocal, and unfavorable to the intervention. We also recorded the range of
counterarguments raised to defend effectiveness against contradicting evidence.
RESULTS: For the 2 vitamin E epidemiological studies, even in 2005, 50% of citing
articles remained favorable. A favorable stance was independently less likely in
more recent articles, specifically in articles that also cited the HOPE trial
(odds ratio for 2001, 0.05 [95% confidence interval, 0.01-0.19; P < .001] and the
odds ratio for 2005, 0.06 [95% confidence interval, 0.02-0.24; P < .001], as
compared with 1997), and in general/internal medicine vs specialty journals.
Among articles citing the HOPE trial in 2005, 41.4% were unfavorable. In 2006,
62.5% of articles referencing the highly cited article that had proposed beta
carotene and 61.7% of those referencing the highly cited article on estrogen
effectiveness were still favorable; 100% and 96%, respectively, of the citations
appeared in specialty journals; and citations were significantly less favorable
(P = .001 and P = .009, respectively) when the major contradicting trials were
also mentioned. Counterarguments defending vitamin E or estrogen included diverse
selection and information biases and genuine differences across studies in
participants, interventions, cointerventions, and outcomes. Favorable citations
to beta-carotene, long after evidence contradicted its effectiveness, did not
consider the contradicting evidence. CONCLUSION: Claims from highly cited
observational studies persist and continue to be supported in the medical
literature despite strong contradictory evidence from randomized trials.
PMID- 18056907
TI - The "tobacco wars"--global litigation strategies.
PMID- 18056908
TI - Natural and manmade disasters and mental health.
PMID- 18056909
TI - Acute sinusitis--to treat or not to treat?
PMID- 18056911
TI - JAMA patient page. Acute sinusitis.
PMID- 18056913
TI - Genomic maintenance: the p53 poly(ADP-ribosyl)ation connection.
AB - The integrity of the genome in higher eukaryotes, as well as the modulation of
its complex structure and functions, is exquisitely regulated. This genomic
regulation occurs as a function of time in a very sophisticated and elaborate
biological process called cell cycle progression, resulting in cell division, and
is also controlled by a highly coordinated and intricate network of molecular
signaling pathways, which in turn orchestrate very specific macromolecular
interactions among nuclear proteins and DNA at the biochemical level. Among the
latter, a prominent enzymatic cycle that is involved in maintaining the integrity
of mammalian chromosomes is covalent protein-poly[adenosine diphosphate (ADP)
ribosyl]ation. The importance of this posttranslational modification is
illustrated by the close cooperation between two "guardian angels" of the genome,
one constitutive and one inducible protein, namely poly(ADP-ribose) polymerase-1
(PARP-1) and p53, and the integration of these pivotal signaling processes with
genomic maintenance.
PMID- 18056914
TI - Nuclear localization of growth hormone receptor: another age of discovery for
cytokine action?
AB - The conventional view of cytokine signaling is that receptors transmit
information to the nucleus without leaving the plasma membrane. However,
accumulating data suggest that some membrane receptors may signal by dissociating
from the plasma membrane and translocating to the nucleus, where they direct the
transcriptional machinery. One example is the growth hormone receptor (GHR),
which has been observed in the nuclei of various normal and neoplastic tissues.
Waters and colleagues now present new mechanistic data describing how GHR might
localize to the nucleus and influence gene transcription. These findings may have
implications for the way we think about cytokine signaling.
PMID- 18056915
TI - Variation of circulating tumor cell levels during treatment of metastatic breast
cancer: prognostic and therapeutic implications.
AB - BACKGROUND: This study aimed to evaluate the prognostic significance of
circulating tumor cells (CTCs) detection in advanced breast cancer patients.
PATIENTS AND METHODS: We tested 80 patients for CTC levels before starting a new
treatment and after 4, 8 weeks, at the first clinical evaluation and every 2
months thereafter. CTCs were detected using the CellSearch System. RESULTS: Forty
nine patients had >or=5 CTCs at baseline. At the multivariate analysis, baseline
number of CTCs was significantly associated with progression-free survival
[hazard ratio (HR) 2.5; 95% confidence interval (CI) 1.2-5.4]. The risk of
progression for patients with CTCs >or=5 at last available blood draw was five
times the risk of patients with 0-4 CTCs at the same time point (HR 5.3; 95% CI
2.8-10.4). Patients with rising or persistent >or=5 CTCs at last available blood
draw showed a statistically significant higher risk of progression with respect
to patients with <5 CTCs at both blood draws (HR 6.4; 95% CI 2.8-14.6).
CONCLUSION: CTCs basal value is a predictive indicator of prognosis and changes
in CTC levels during therapy may indicate a clinical response. Testing CTC levels
during targeted treatments might substitute other measurement parameters for
response evaluation.
PMID- 18056916
TI - Blood pressure rise following angiogenesis inhibition by bevacizumab. A crucial
role for microcirculation.
AB - Arterial hypertension (HT) has been reported in all studies involving
bevacizumab, an antiangiogenic agent designed to target vascular endothelial
growth factor (VEGF). The mechanism underlying bevacizumab-related HT is not yet
clearly understood. As far as endothelial dysfunction and microvascular
rarefaction are hallmarks in all forms of HT, we tested the hypothesis that anti
VEGF therapy could alter the microcirculation in nontumor tissues and, thus,
result in an increase in blood pressure (BP). We used intravital video microscopy
to measure dermal capillary densities in the dorsum of the fingers. Microvascular
endothelial function was assessed by laser Doppler flowmetry combined with
iontophoresis of pilocarpine (acetylcholine analogue). All measurements were
carried out in 18 patients before and after a 6-month treatment with bevacizumab
(mean cumulative dose: 3.16 +/- 0.90 g). Mean BP was increased after 6 months of
therapy compared with baseline, from 129 +/- 13/75 +/- 7 mmHg to 145 +/- 17/82 +/
7 mmHg for systolic BP and diastolic BP, respectively (P < 0.0001). Compared
with the baseline, mean dermal capillary density at 6 months was significantly
lower (75 +/- 12 versus 83 +/- 13/mm(2); P < 0.0001), as well as pilocarpine
induced vasodilation (P < 0.05). Thus, bevacizumab treatment resulted in
endothelial dysfunction and capillary rarefaction; both changes are closely
associated and could be responsible for the rise in BP observed in most patients.
PMID- 18056917
TI - Weight change and cancer risk in a cohort of more than 65,000 adults in Austria.
AB - BACKGROUND: To investigate relations between weight loss or weight gain and the
incidence of cancer. PATIENTS AND METHODS: Weight change was assessed in a
population-based cohort of >65 000 Austrian adults (28 711 men and 36 938 women)
for a period of 7 years, after which participants were followed for incident
cancers over 8 years on average. Incident cancers (other than nonmelanoma skin
cancers) were ascertained by a population-based cancer registry (n = 3128). Cox
proportional hazards models were used to estimate hazard rate ratios (HRs)
stratified by age and adjusted for smoking, occupational group, blood glucose and
body mass index at baseline. RESULTS: In both men and women, neither weight loss
nor weight gain was clearly associated with the incidence of all cancers
combined. Weight loss (>0.10 kg/m(2)/year) was inversely associated with colon
cancer in men [HR 0.50; 95% confidence interval (CI) 0.29-0.87], while high
weight gain (> or =0.50 kg/m(2)/year) was inversely associated with prostate
cancer (HR 0.43; 95% CI 0.24-0.76). Among women, high weight gain was positively
associated with ovarian cancer (HR 2.48; 95% CI 1.05-5.85). CONCLUSION: These
findings indicate that recent weight change may influence the incidence of
several types of cancer.
PMID- 18056918
TI - Low potency of Chlamydophila LPS to activate human mononuclear cells due to its
reduced affinities for CD14 and LPS-binding protein.
AB - Chlamydiaceae are small obligate intracellular parasites and classified as Gram
negative bacteria. Among Chlamydiaceae-derived components, LPS is known as an
immunomodulator and possesses a unique lipid A structure with longer but fewer
acyl chains. In this study, to elucidate the Chlamydiaceae-induced immune
responses, we evaluated the actions of Chlamydophila psittaci LPS as a
Chlamydiaceae LPS on human PBMCs and compared with those of Escherichia coli LPS.
Similar to E. coli LPS, C. psittaci LPS bound to monocytes and induced the pro
inflammatory cytokine production in an LPS-binding protein (LBP)-dependent
manner. However, C. psittaci LPS was much less potent than E. coli LPS in both
the LPS binding and cytokine production. Interestingly, although the binding of
C. psittaci LPS was mediated by CD14, Toll-like receptor 4 (TLR4) and CD11b, CD14
and TLR4 but not CD11b were involved in the cytokine production. Of note, ELISA
based binding assays revealed that C. psittaci LPS directly bound to LBP and
CD14; however, the affinities were much less than those of E. coli LPS. Together,
these observations possibly suggest that Chlamydiaceae LPS has low binding
affinities for LPS recognition molecules such as CD14 and LBP and exhibit weak
biological activities against host immune cells including monocytes, thereby
contributing to the chronic (persistent) inflammatory reactions during infection.
PMID- 18056919
TI - Involvement of CC chemokines in gammadelta T lymphocyte trafficking during
allergic inflammation: the role of CCL2/CCR2 pathway.
AB - In the present study, we show that the intra-thoracic injection of ovalbumin
(OVA, 12.5 microg per cavity) into C57BL/10 mice induced a significant increase
in gammadelta T lymphocyte numbers in the pleural cavity, blood and thoracic
lymph node of challenged mice. Such increase was significant within 12 h, peaked
within 48 h and returned to basal counts within 120 h. Levels of CC chemokine
ligand (CCL)-2/monocyte chemotactic protein-1, CCL5/regulated upon activation,
normal T cell expressed and secreted, CCL3/macrophage inflammatory protein-1
alpha and CCL25/thymus-expressed chemokine were above control values in pleural
washes recovered 24 h after OVA challenge (OPW) and were likely produced by
pleural macrophages and mesothelial cells. Antigenic challenge also induced an up
regulation in CC chemokine receptor (CCR)-2, CCR5 and CCR9 on gammadelta T cells
from pleural cavities, blood and lymph nodes, suggesting that cells found in mice
pleural cavity migrate from secondary lymphoid organs into the inflammatory site
via blood stream. The in vitro neutralization of CCL2 (but not of CCL3, CCL5 or
CCL25) abrogated OPW-induced gammadelta T lymphocyte transmigration. Confirming
such results, the in vivo administration of alpha-CCL2 mAb inhibited gammadelta T
lymphocyte accumulation in the pleural cavity of challenged mice, whereas the
blockade of CCL3, CCL5 or CCL25 showed no effect on gammadelta T cell
mobilization. In addition, OVA challenge failed to induce gammadelta T lymphocyte
accumulation in the pleural cavity of C57BL/6 CCR2 knockout mice, which also
showed decreased numbers of these cells in blood and lymph nodes when compared
with wild-type mice. Overall, such results demonstrate that CCR2/CCL2 pathway is
crucial for gammadelta T lymphocyte mobilization during the allergic response.
PMID- 18056920
TI - Evidence for positive selection on Drosophila melanogaster seminal fluid protease
homologs.
AB - Proteins present in the seminal fluid of Drosophila melanogaster (accessory gland
proteins Acps) contribute to female postmating behavioral changes, sperm storage,
sperm competition, and immunity. Consequently, male-female coevolution and host
pathogen interactions are thought to underlie the rapid, adaptive evolution that
characterizes several Acp-encoding genes. We propose that seminal fluid proteases
are likely targets of selection due to their demonstrated or potential roles in
between-sex interactions and immune processes. We use within- and between-species
sequence data for 5 predicted protease-encoding Acp loci to test this hypothesis.
Our polymorphism-based analyses find evidence for positive selection at 2 genes,
both of which encode predicted serine protease homologs. One of these genes,
CG6069, also shows evidence for consistent selection on a subset of codons over a
deeper evolutionary time scale. The second gene, CG9997, was previously shown to
be essential for normal sperm usage, suggesting that sexual selection may
underlie its history of adaptation.
PMID- 18056921
TI - Proteomics analysis of host cells infected with infectious bursal disease virus.
AB - The effect of infectious bursal disease virus (IBDV) infection on cellular
protein expression is essential for viral pathogenesis. To characterize the
cellular response to IBDV infection, the differential proteomes of chicken embryo
fibroblasts, with and without IBDV infection, were analyzed at different time
points with two-dimensional gel electrophoresis (2-DE) followed by MALDI-TOF/TOF
identification. Comparative analysis of multiple 2-DE gels revealed that the
majority of protein expression changes appeared at 48 and 96 h after IBDV
infection. Mass spectrometry identified 51 altered cellular proteins, including
13 up-regulated proteins and 38 down-regulated proteins 12-96 h after infection.
Notably 2-DE analysis revealed that IBDV infection induced the increased
expression of polyubiquitin, apolipoprotein A-I, heat shock 27-kDa protein 1,
actins, tubulins, eukaryotic translation initiation factor 4A isoform 2, acidic
ribosomal phosphoprotein, and ribosomal protein SA isoform 2. In addition, IBDV
infection considerably suppressed those cellular proteins involved in ubiquitin
mediated protein degradation, energy metabolism, intermediate filaments, host
translational apparatus, and signal transduction. Moreover 38 corresponding genes
of the differentially expressed proteins were quantitated by real time RT-PCR to
examine the transcriptional profiles between infected and uninfected chicken
embryo fibroblasts. Western blot further confirmed the inhibition of Rho protein
GDP dissociation inhibitor expression and the induction of polyubiquitin during
IBDV infection. Subcellular distribution analysis of the cytoskeletal proteins
vimentin and beta-tubulin clearly demonstrated that IBDV infection induced the
disruption of the vimentin network and microtubules late in IBDV infection. Thus,
this work effectively provides useful dynamic protein-related information to
facilitate further investigation of the underlying mechanism of IBDV infection
and pathogenesis.
PMID- 18056922
TI - High levels of multiple paternity in Littorina saxatilis: hedging the bets?
AB - The mating system of a species can have great effects on its genetic structure
and evolution. We studied the extent of multiple paternity in a gastropod with
internal fertilization, the intertidal snail Littorina saxatilis. Paternal
genotype reconstruction based on microsatellite markers was performed on the
offspring of wild, naturally fertilized females from 2 populations. The numbers
of males contributing to the offspring per female were among the highest detected
in invertebrates so far, with the exception of social insects. No reproductive
skew in favor of males that were genetically more distant from the females was
detected, and the pattern of fertilization appeared random. The result fits a
hypothesis of indiscriminate mating, with genetic bet hedging as the most likely
explanation. Bet hedging may have evolved as a form of inbreeding avoidance, if
the snails are not able to recognize relatives. However, nutritional benefits
from sperm or sexual conflict with males are additional possibilities that remain
to be assessed in this species. Whatever the causes, such high levels of multiple
paternity are remarkable and are likely to have a large impact on population
structure and dynamics in a species in which migration between populations is
spurious.
PMID- 18056923
TI - Associations of serum sex hormone binding globulin (SHBG) levels with SHBG gene
polymorphisms in the CARDIA Male Hormone Study.
AB - In the sex hormone binding globulin (SHBG) gene, a pentanucleotide-repeat
polymorphism [(TAAAA)(n)] and a single nucleotide polymorphism (D327N) have been
associated with circulating SHBG concentrations in women. Only one study, limited
to Scandinavians, has examined these associations in men. Using data from the
Coronary Artery Risk Development in Young Adults (CARDIA) Male Hormone Study, the
authors assessed associations of SHBG polymorphisms with serum SHBG levels in 511
Black men and 698 White men who had SHBG measured in multiple serum samples
collected over an 8-year period from 1987 to 1996 and were aged 20-34 years at
the time of the first SHBG measurement. Multivariable repeated-measures analyses
were used to assess associations of (TAAAA)(n) and D327N polymorphisms with SHBG
concentrations. Results showed statistically significant differences in mean SHBG
concentrations for White men with genotypes of (TAAAA) 6/6 (35.1 nmol/liter), 6/x
(30.8 nmol/liter), and x/x (29.6 nmol/liter), where x represents a repeat length
greater than 6 (p = 0.001). For Black men, the pattern of association was
similar, albeit not statistically significant (p = 0.35). There was no relation
between D327N genotype and SHBG levels. These results suggest that the (TAAAA)(n)
repeat length in the SHBG gene, but not the D327N variant, might contribute to
the interindividual variability in serum SHBG levels.
PMID- 18056924
TI - Life-course origins of social inequalities in metabolic risk in the population of
a developing country.
AB - In countries that have been industrialized for a long time, but not always
elsewhere, low socioeconomic position (SEP) is associated with ischemic heart
disease in men. The authors hypothesized that socioeconomic development could,
via pubertal sex steroids, promote an atherogenic lipid profile and body shape in
men but not in women. Therefore, they examined the associations of SEP with
ischemic heart disease risk in a developing-country population. The authors used
multivariable regression to examine the associations of SEP with the metabolic
syndrome and its components in 9,746 Chinese adults aged >/=50 years from the
Guangzhou Biobank Cohort Study, phase 2, recruited in 2005-2006. After adjustment
for age, smoking, alcohol use, and physical activity, high SEP at each of three
life stages, proxied by parental possesions in childhood, education, and longest
held-occupation, was inversely associated with the metabolic syndrome in women
but not in men. Higher SEP in men was associated with lower pulse pressure and
fasting plasma glucose level but also with greater waist circumference and a
lower high density lipoprotein cholesterol level. With socioeconomic development,
diet-related hormonal changes at puberty may outweigh the usual protective effect
of social advantage in men, with corresponding implications for boys currently
undergoing the nutrition transition in the developing world.
PMID- 18056925
TI - Tobacco smoking, smoking cessation, and cumulative risk of upper aerodigestive
tract cancers.
AB - Upper aerodigestive tract cancers are strongly related to smoking, and their
incidence is substantially lower in former smokers than in continuing smokers. To
estimate the effect of smoking cessation on the cumulative incidence of these
cancers by age 75 years (in the absence of competing causes of death), the
authors combined odds ratios for males from a network of Italian hospital-based
case-control studies (1984-2000) with 1993-1997 incidence data for Italian men.
The studies included 961 cases with oral/pharyngeal cancer, 618 cases with
esophageal cancer, and 613 cases with laryngeal cancer, plus 3,781 controls. For
all upper aerodigestive tract cancers, the cumulative risks by 75 years of age
were 6.3% for men who continued to smoke any type of tobacco, 3.1% and 1.2% for
men who stopped smoking at around 50 and 30 years of age, respectively, and 0.8%
among lifelong nonsmokers. Corresponding figures were 3.3%, 1.4%, 0.5%, and 0.2%
for oral/pharyngeal cancer; 1.0%, 0.5%, 0.4%, and 0.2% for esophageal cancer; and
2.1%, 1.1%, 0.2%, and 0.2% for laryngeal cancer. In this Italian population, men
who stopped smoking before age 50 years avoided more than half of the excess risk
of upper aerodigestive tract cancer as men who did not, and men who stopped
smoking before age 30 years avoided more than 90% of the risk.
PMID- 18056926
TI - The trans-10, cis-12 isomer of conjugated linoleic acid decreases adiponectin
assembly by PPARgamma-dependent and PPARgamma-independent mechanisms.
AB - The adipocyte-derived secretory protein adiponectin functions as an insulin
sensitizing agent. In plasma, adiponectin exists as low, medium, and high
molecular weight oligomers. Treatment with trans-10, cis-12 conjugated linoleic
acid (t-10, c-12 CLA) reduces levels of adiponectin as well as triglyceride (TG)
in mice and adipocyte cell culture models. The aim of this study was to determine
whether the effects of t-10, c-12 CLA on adiponectin and TG are mediated through
modulation of the transcription factor peroxisome proliferator-activated receptor
gamma (PPARgamma). 3T3-L1 cells were treated either during or after
differentiation into adipocytes with 100 microM t-10, c-12 CLA with or without 10
microM troglitazone, a PPARgamma agonist, or 1 microM GW9662, a PPARgamma
antagonist, and adiponectin and TG levels were analyzed. Treatment with t-10, c
12 CLA reduced TG as well as cellular and secreted adiponectin levels and
impaired the assembly of adiponectin oligomers. These changes were accompanied by
decreases in PPARgamma mass. Troglitazone was able to reverse the t-10, c-12 CLA
mediated decrease in TG levels and restore the assembly of adiponectin oligomers
but was unable to restore adiponectin synthesis. Conversely, treatment with
GW9662 decreased TG mass and impaired adiponectin oligomer assembly but did not
decrease total adiponectin mass. In a reporter assay, t-10, c-12 CLA appeared to
be a partial PPARgamma agonist and prevented the stimulation of reporter activity
by troglitazone. Therefore, the t-10, c-12 CLA isomer appears to alter adipocyte
adiponectin metabolism through PPARgamma-dependent and PPARgamma-independent
mechanisms.
PMID- 18056927
TI - New strategies in controlling drug resistance in chronic myeloid leukemia.
AB - PURPOSE: Imatinib has been quite effective in maintaining patients with CML in
the chronic phase (CP); however, some patients develop imatinib resistance. This
review addresses the mechanisms underlying imatinib resistance and the strategies
currently being used to overcome that resistance. SUMMARY: Chronic myeloid
leukemia (CML) is a stem cell cancer caused by BCR-ABL. Imatinib, a BCR-ABL
inhibitor, has significantly decreased CML mortality by stopping disease
progression in CP. This success has been tempered by the appearance of imatinib
resistant clones. These clones allow CML to progress to advanced stages of
disease where the prognosis is poor. Mechanisms of imatinib resistance include
plasma protein binding, drug efflux, mutation of BCR-ABL, gene amplification of
BCR-ABL, and activation of BCR-ABL independent proliferative pathways. The first
four of these mechanisms could potentially be addressed by increasing the
imatinib dose and recent clinical trials have shown this to be the case.
Pharmacokinetic analysis demonstrated that patients with low imatinib plasma
concentrations fared more poorly then patients with high plasma concentrations.
Doubling the standard dose of 400 mg per day increased patient responses while
decreasing the time to response. Toxicity was also increased resulting in
approximately 50% of patients decreasing the dose. The strategy of waiting to see
who failed imatinib at 400 mg per day and then increasing the dose of that
subpopulation was unsuccessful. The interpretation of these data is that it is
beneficial to treat CML as aggressively as possible as early as possible.
Dasatinib is the second BCR-ABL inhibitor to become available. It binds with a
350-fold greater affinity to BCR-ABL and shows efficacy against a number of
imatinib-resistant mutations. Dasatinib also inhibits SRC kinase, which may play
a role in both maintaining BCR-ABL activity and in BCR-ABL independent signaling
pathways. Clinical trials with dasatinib have had favorable results and are
comparable with high-dose imatinib. Imatinib also compares favorably with stem
cell transplant (SCT). Economic analysis shows imatinib and dasatinib therapies,
while quite expensive, are on par with dialysis in terms of cost of quality
adjusted life years. CONCLUSION: A better understanding of imatinib resistance
mechanisms has resulted in the development of useful strategies both to predict
responders and nonresponders and to minimize imatinib resistance and prolong the
life of the patient.
PMID- 18056928
TI - Chronic myeloid leukemia. Introduction.
PMID- 18056929
TI - Leukemia. Q&A highlights.
PMID- 18056931
TI - Chronic myeloid leukemia in 2007.
AB - PURPOSE: Chronic myeloid leukemia (CML), a hematopoietic stem cell cancer
representing 15-20% of adult leukemias, is discussed. Epidemiology, staging
biology, and monitoring techniques are reviewed. SUMMARY: CML is a
myeloproliferative disorder that affects all lineages of hematopoiesis. Final
confirmation of CML comes with detection of the Philadelphia Chromosome (Ph) or
BCR-ABL transcripts. The disease presents in one of three phases: chronic phase,
accelerated phase, or blast crisis. Progression from chronic phase to accelerated
phase usually involves the accumulation of additional cytogenetic aberrations and
the arising of resistance to therapy. Although at one point mortality associated
with CML was high, new kinase inhibitor therapies have markedly extended the life
span of these patients. These inhibitors were derived through the initial
observation of the association of the Ph with CML and the eventual identification
of the BCR-ABL oncogene which arises from this translocation. Analysis of the
mechanism by which BCR-ABL transforms cells identified this protein as a tyrosine
kinase and led to the targeting of this activity. The majority of patients
present in chronic phase, which is where these kinase inhibitors have their
greatest efficacy. Monitoring of disease progression is of critical importance as
the prognosis drops significantly for patients with advanced disease. Blood
counts, cytogenetics, and polymerase chain reaction (PCR) are currently used to
assess disease. Blood counts are inexpensive but lack sensitivity. Cytogenetic
karyotyping while requiring specialized training provides evidence of clonal
evolution. Quantitative PCR is capable of tremendous sensitivity making it well
suited for assessing response to therapy and the early detection of therapy
failures. CONCLUSION: Our understanding of BCR-ABL has allowed the development of
therapies, which may keep patients with CML in chronic phase indefinitely. This
has created a situation in which patient monitoring is essential for detecting
changes in the status of CML. The tests described here provide a comprehensive
assessment of disease status allowing for effective patient management.
PMID- 18056932
TI - Targeted chronic myeloid leukemia therapy: Seeking a cure.
AB - PURPOSE: This article focuses on imatinib, how it has altered CML therapy,
clinical trials that are the basis for its efficacy, and adverse effects
associated with its current clinical use. SUMMARY: Maintaining patients with CML
in chronic phase (CP) yields the prospect of improved long-term survival. As
recently as 1993, CML was limited to treatment with standard cytoreductive
therapies. These therapies provide temporary disease control but do not alter
progression to advanced disease with a median survival ranging 45 to 55 months
from diagnosis. In the 1990s, immunologically based therapy with interferon alpha
(IFNalpha) therapy was shown to be superior to cytoreductive therapies with a
median survival of 60 to 90 months. Allogeneic hematopoietic stem cell transplant
(HSCT) has offered curative potential for patients with CML; however, the median
age of diagnosis of 55 years, the lack of suitable donors, and the morbidity of
the procedure precludes widespread applicability of this treatment. Imatinib, the
first approved tyrosine kinase inhibitor, functions by blocking the ATP binding
site on the BCR-ABL kinase. It was first shown to be efficacious in patients who
failed IFNalpha and then tested as a front line therapy (the International
Randomized Study of Interferon [IRIS] trial). The five year follow up on the IRIS
trial found that the responses were durable with progression free survival
estimated at 93%. Imatinib has been found to have a lower rate of hematologic
response and shorter duration of response in patients with advanced disease.
Currently patients in blast crisis (BC) have the option to undergo a number of
induction chemotherapies, such as etoposide, cytarabine, carboplatin (VAC) with
the hope of temporarily restoring the patient to CP in preparation for HSCT.
Imatinib, when administered at the standard dose of 400 mg/day is relatively well
tolerated with major toxicities limited to myelo-suppression, edema, GI upset,
rash, and muscle pain. Many of these toxicities are managed by decreasing the
dose until the toxicity resolves. Imatinib is an inhibitor of cytochrome P450
enzymes necessitating careful monitoring of concomitant medications metabolized
by these enzymes. Resistance may develop to imatinib most often caused by the
evolution of mutations blocking imatinib interactions with the BCR-ABL adenosine
triphosphate (ATP) binding site. The second generation BCR-ABL inhibitor,
dasatinib, can block the activity of many of these mutations; however, the T315I
mutation, at present, is resistant to all available kinase inhibitors.
Experimental drugs that block this mutation are just entering phase two clinical
trials. CONCLUSION: The development of therapeutic agents targeting BCR-ABL has
revolutionized the treatment of chronic myeloid leukemia (CML). Imatinib has
successfully allowed CML patients to remain in CP for at least five years in 90%
of patients. Dasatinib has activity against a number of Imatinib-resistant
mutants providing an additional therapeutic option for these patients.
PMID- 18056933
TI - Community-acquired skin infections remain treatable.
PMID- 18056934
TI - Medicare updates outpatient payment system.
PMID- 18056935
TI - County health system finds Medicare Part D rewarding.
PMID- 18056936
TI - Trade group says thwarting drug counterfeiters remains challenging.
PMID- 18056937
TI - Effect of linezolid on the occurrence of vancomycin-resistant enterococci.
PMID- 18056938
TI - Dosage adjustments in hepatic dysfunction.
PMID- 18056940
TI - An appreciation of 40 years.
PMID- 18056941
TI - Providing feedback to enhance pharmacy students' performance.
PMID- 18056942
TI - Extended-dosage-interval regimens of erythropoietic agents in chemotherapy
induced anemia.
AB - PURPOSE: The safety and efficacy of extended-dosage-interval regimens of
erythropoiesis-stimulating agents (ESAs) for managing chemotherapy-induced anemia
(CIA) are reviewed. SUMMARY: Anemia is a frequent complication of chemotherapy.
The ESAs epoetin alfa and darbepoetin alfa have been shown to safely and
effectively manage CIA; comparable outcomes have been demonstrated between
epoetin alfa 40,000 units once weekly and darbepoetin alfa 200 microg every two
weeks. These commonly prescribed regimens necessitate extra clinic visits by
cancer patients receiving cyclic chemotherapy. ESA administration can now often
be synchronized with a three-week chemotherapy cycle because of the recent
approval of darbepoetin alfa 500 microg every three weeks for CIA. However, in
the Phase III trial providing the basis for this new dosage recommendation, more
than 70% of patients required a 40% reduction in the dosage, resulting in an
average dose of 375 microg every three weeks. The extended-dosage-interval
regimens have not been associated with an increase in cardiovascular or
thrombotic adverse events. Extended-dosage-interval regimens of epoetin alfa are
under investigation and may provide additional alternatives. Synchronizing ESA
therapy with scheduled chemotherapy visits would help minimize disruptions for
patients and caregivers and improve the use of health care resources. CONCLUSION:
Administration of darbepoetin alfa every three weeks offers the convenience of
synchronization of treatment with 21-day-cycle chemotherapy in many patients with
CIA. Extended-dosage-interval regimens for epoetin alfa are being investigated
and show promise.
PMID- 18056943
TI - Edema in a patient receiving methadone for chronic low back pain.
AB - PURPOSE: The case of a patient who developed edema after receiving methadone for
chronic low back pain is reported. SUMMARY: A 45-year-old white woman developed
edema in her lower extremities one week after starting methadone, etodolac, and
gabapentin as part of her treatment for chronic low back pain. She was taking
methadone as part of her treatment regimen to manage her pain in addition to
other agents, including etodolac and gabapentin. After several days on this
therapy, she developed edema and stated that she was "feeling drunk." At that
time the etodolac and gabapentin were stopped, and the methadone dosage was
increased. Several days later, the patient returned to the pain clinic,
complaining of continued swelling. The methadone dosage was then decreased, and a
diuretic was added to treat the edema; however, her edema did not resolve with
the lower dosage of methadone. Methadone was then discontinued, and a fentanyl
patch was prescribed. Prednisone was also prescribed, and the dosage of the
diuretic was increased. The patient's symptoms resolved, and prednisone was
ultimately tapered. The likelihood that the administration of methadone was
related to the development of edema in this patient was determined to be
probable. There have been a few cases reported in the literature regarding the
development of edema with methadone use. In the cases reported, the edema
developed after three to six months of methadone therapy. CONCLUSION: A patient
with chronic low back pain developed edema one week after receiving methadone as
part of her pain management regimen.
PMID- 18056944
TI - Comparative cost-effectiveness of voriconazole and amphotericin B in treatment of
invasive pulmonary aspergillosis.
AB - PURPOSE: The comparative cost-effectiveness of voriconazole and amphotericin B in
the treatment of invasive pulmonary aspergillosis (IPA) was examined. METHODS: A
decision-tree model was constructed comparing 12-week treatment outcomes in a
subset of patients enrolled in a clinical trial comparing initial treatment of
IPA with amphotericin B versus voriconazole. Patients included those with IPA who
underwent a thoracic computed tomographic (CT) scan at baseline. Cost and
survival were estimated for those with and without a halo sign at baseline.
Incremental cost-effectiveness ratios comparing voriconazole with amphotericin B
were calculated for both patient subgroups. RESULTS: Patients with a halo sign
had similar costs and better survival rates than those without the sign. Within
the subgroup of patients with the sign, total costs were lower and survival rates
higher for those treated with voriconazole than for those treated with
amphotericin B. For patients without a halo sign, total costs and survival rates
were higher for those treated with voriconazole versus amphotericin B.
CONCLUSION: Among patients treated for IPA, those with a baseline CT halo sign,
an early indicator of the condition, appeared to have better survival rates and
lower health care costs compared with patients without the sign. In patients with
the halo sign, survival rates were higher and costs were lower when voriconazole
rather than amphotericin B was used as first-line treatment; survival was better
with voriconazole than with amphotericin B when the halo sign was not present.
Voriconazole was cost-effective compared with amphotericin B when the halo sign
was present, but voriconazole's cost-effectiveness when the sign was not present
depended on the cost per life saved.
PMID- 18056945
TI - Stability of codeine phosphate in an extemporaneously compounded syrup.
AB - PURPOSE: The stability of codeine phosphate in an extemporaneously compounded
syrup is described. METHODS: Codeine phosphate 3-mg/mL syrup was prepared using
commercially available Codeine Phosphate, USP, Sterile Water for Irrigation, USP,
and Ora-Sweet syrup vehicle. Samples were stored in amber polyethylene
terephthalate bottles with child-resistant caps. A second batch of codeine
phosphate 3-mg/mL syrup was prepared and drawn into amber polyethylene oral
syringes with silicon elastomer tips. All samples were stored at room temperature
and in the dark. Samples were analyzed immediately and at 7, 14, 28, 42, 56, 70,
and 98 days. Codeine phosphate concentrations were measured using a modified
stability-indicating high-performance liquid chromatographic method. At each test
interval, the density of the syrup was determined gravimetrically using a 10-mL
amber oral syringe. Excessive degradation was defined as a greater than 7% loss
of the initial concentration. RESULTS: The stock internal standard was stable for
at least 98 days at room temperature. The compounded syrup retained more than 93%
of the initial codeine phosphate concentration for at least 98 days at 22-25
degrees C. No changes in color, clarity, or odor and no visible solids or
microbial growth were observed in any sample. The pH of the syrup was initially
4.2 and remained unchanged throughout the study. CONCLUSION: Codeine phosphate 3
mg/mL in Ora-Sweet syrup vehicle was stable in both amber polyethylene
terephthalate bottles and amber polyethylene oral syringes for at least 98 days
when stored at 22-25 degrees C and protected from light.
PMID- 18056946
TI - Effects of pharmacists' interventions on patient outcomes in an HIV primary care
clinic.
AB - PURPOSE: The effects of pharmacists' interventions on patient outcomes in an HIV
primary care clinic were studied. METHODS: All study participants were referred
to a pharmacist-managed drug optimization clinic (DOC) in a county-based HIV
primary care clinic between November 1, 2003, and September 30, 2004. Patients
were eligible for study participation if they were 18 years of age or older and
gave informed consent to participate. Pharmacists' interventions were categorized
as follows: patient education, addition of a medication, dosage adjustment,
discontinuation of a medication, and interpretation of viral-resistance tests.
Changes in baseline CD4+ T-lymphocyte counts and viral load were also measured
over the study period. Toxicities related to highly active antiretroviral therapy
were recorded and graded from 0 to 4, with 0 indicating no toxicity and 4
indicating severe toxicity. Study participants used a standardized survey to
measure their own health-related quality of life. Changes in CD4+ lymphocyte
counts and viral load were analyzed using Student's t test and analysis of
variance. Toxicity grades were analyzed using the Wilcoxon signed-rank test.
RESULTS: A total of 34 patients completed the study. Pharmacists made a total of
253 interventions, most of which were categorized as patient education. The mean
CD4+ lymphocyte count increased from baseline levels by 54 +/- 78 cells/mm3 over
the study period (p < 0.0002). The mean +/- S.D. reduction in circulating viral
load over the study period was 1.02 log10 copies/mL ( p < 0.004). CONCLUSION: HIV
infected patients who were managed by pharmacists in a DOC demonstrated
significant improvement from baseline in their CD4+ lymphocyte counts, viral
loads, and drug-related toxicities.
PMID- 18056948
TI - Brief intervention protocol for assisting patients with tobacco cessation.
PMID- 18056947
TI - Blood pressure management in acute hypertensive emergency.
AB - PURPOSE: The frequency with which patients coming to an emergency room with
hypertensive emergency received excessive or inadequate blood-pressure reduction
was studied. METHODS: A retrospective chart review was conducted for all patients
who were treated for hypertensive emergency at a 696-bed university teaching
hospital between November 2003 and April 2004. Patients who received a continuous
i.v. infusion of an antihypertensive agent for >30 minutes in the emergency
department or the intensive care unit were included in the study. The primary
outcomes measured were number of patients treated appropriately, number of
patients treated excessively (reduction in mean arterial pressure [MAP] beyond
25% at the end of the two-hour acute-phase treatment window), and number of
treatment failures within the two-hour window. RESULTS: A total of 427 patients
with hypertensive emergency were identified, of whom 47 met the study criteria.
Fifteen patients (32%) were appropriately treated, 27 (57%) were excessively
treated, and 5 (11%) had treatment failures during the two-hour acute-phase
treatment period. Only 6 patients (13%) had been appropriately treated at six
hours. Patients who were given nicardipine had a greater risk of an excessive MAP
reduction at two hours than all other patients. One or more treatment-related
adverse events occurred in 44 patients (94%). CONCLUSION: Excessive reduction of
MAP was common among patients who came to an emergency department with
hypertensive emergency.
PMID- 18056950
TI - Exposure of human diploid fibroblasts to hypoxia extends proliferative life span.
AB - Normal human mitotic cells do not proliferate indefinitely in culture but undergo
a limited number of divisions and progressively reach a state of irreversible
growth arrest, a process termed replicative senescence. Hypoxia is a situation of
reduced oxygen concentration that relates to many physiological and
pathophysiological conditions. In the current study we investigated the effects
of oxygen concentration, in both normoxic and hypoxic conditions, on the
proliferative capacity, cell viability, oxidative status, and protein expression
of human embryonic diploid fibroblasts. Maintenance of WI38 and IMR90 cells in
1.5% or 3% O(2) concentration significantly delayed the appearance of replicative
senescence compared to cells grown in 20% O(2), induced the hypoxia-inducible
factor-1alpha, and resulted in reduced expression levels of the key cell cycle
modulators, namely p21 and p16. Moreover, cell exposure to short-term hypoxia
affected their response to several cytotoxic agents, whereas adaptation to the
hypoxic environment prior to the treatment had no impact on cell viability. These
findings clearly identify that oxygen concentration plays a crucial role in
regulating cellular life span of normal human cells.
PMID- 18056951
TI - Cyclin D1 overexpression permits the reproducible detection of senescent human
vascular smooth muscle cells.
AB - The senescence of mitotic cells is hypothesized to play a causal role in
organismal aging. Cultures of normal human cells become senescent in vitro as a
result of a continuous decline in the mitotic fraction from cell turnover.
However, one potential barrier to the evaluation of the frequency and
distribution of senescent cells in tissues is the absence of a panel of robust
markers for the senescent state. In parallel with an analysis of the growth
kinetics of human vascular smooth muscle cells, we have undertaken transcriptomic
comparisons of early- and late-passage cultures of human vascular smooth muscle
cells to identify potential markers that can distinguish between senescent and
growth-competent cells. A wide range of genes are upregulated at senescence in
human vascular smooth muscle cells. In particular, we have identified a 12-fold
upregulation of expression in the cyclin D1 message, which is reflected in a
concomitant upregulation at the protein level. Quantitative cytochemical analysis
of senescent and growing vascular smooth muscle cells indicates that cyclin D1
reactivity is a considerably better marker of replicative senescence than
senescence-associated beta-galactosidase activity. We have applied this new
marker (in combination with Ki67, COMET, and TUNEL staining) to the study of
human vascular smooth muscle cells treated with resveratrol, a putative anti
aging molecule known to have significant effects on cell growth.
PMID- 18056952
TI - Morphological changes associated with aging: age spots and the microinflammatory
model of skin aging.
AB - Affymetrix gene-expression analysis was performed on mRNAs from involved and
noninvolved skin biopsies from three volunteers with Lentigo senilis. Of the
42,000 transcripts scanned, 17 were downregulated (<1.4 times below the control
level) and 23 were upregulated (>1.9 times above the control level). A serine
peptidase gene was downregulated in keeping with the suggestion that age spots
are associated with impaired melanin degradation. Three genes involved in the
keratinization and synthesis and the organization of fibers in the basement
membrane were downregulated, two metalloproteinase genes were upregulated, as
were six genes associated with the inflammatory response, in keeping with the
postulate that the visible aspects of aged skin are causally linked with a
microinflammatory response. The regulation of five genes associated with the Wnt
family was altered. Antiapoptotic genes were downregulated, and six genes
associated with transmembrane transport were upregulated.
PMID- 18056953
TI - Molecular mechanisms of skin aging: state of the art.
AB - The process of skin aging in humans is complex and is induced by multiple
factors, including genetic and various environmental ones. In particular, the
superposition of environmental factors, such as UV irradiation on skin, results
in massive wound-like morphological alterations mainly of the dermis. In sun
protected areas the most pronounced changes occur within the epidermis and affect
mostly the basal cell layer. As a result, while sun-protected aged skin appears
thin, finely wrinkled, and dry, photoaged skin is characterized by deep wrinkles,
laxity, and roughness. Although the fundamental mechanisms are still poorly
understood, a growing body of evidence points toward the involvement of multiple
pathways in the generation of aged skin. Recent data obtained by expression
profiling studies and studies of progeroid syndromes (e.g., Hutchinson-Gilford
progeria, Werner syndrome, Rothmund-Thomson syndrome, Cockayne syndrome, ataxia
teleangiectasia, and Down syndrome) illustrate that among the most important
biological processes involved in skin aging are alterations in DNA repair and
stability, mitochondrial function, cell cycle and apoptosis, ubiquitin-induced
proteolysis, and cellular metabolism. One of the major factors that has been
proposed to play an exquisite role in the initiation of aging is the
physiological hormone decline occurring with age. However, hormones at age
specific levels may not only regulate age-associated mechanisms but also regulate
tumor-suppressor pathways that influence carcinogenesis. Understanding the
molecular mechanisms of aging may open new strategies in dealing with the various
diseases accompanying aging, including cancer.
PMID- 18056954
TI - Acquisition of oxidative DNA damage during senescence: the first step toward
carcinogenesis?
AB - As a result of time and cumulative divisions in vitro and in vivo, normal cells
enter an irreversible nonproliferative state termed replicative or cellular
senescence that is thought to contribute to organism aging. Both telomere
shortening and cumulative oxidative damage were shown to contribute to
senescence, probably acting at different degrees according to proliferation
index, cell type, or environment. Because of its associated cohort of damages and
irreversible cell-cycle arrest induced by shortened telomeres, senescence is
commonly considered as a tumor-suppressor mechanism that stops the proliferation
of genetically altered cells (i.e., potentially cancerous). However, the
incidence of the most frequent cancers in humans, carcinomas, exponentially
increases with age; the phenotypes of progeroid syndromes are often associated
with an increase in tumor incidence, and inversely when aging is delayed by
caloric restriction, the cancer incidence decreases. How can this positive link
between aging and tumorigenesis be explained if senescence is a tumor-suppressor
mechanism? The present article considers data and arguments supporting a
protumoral role of senescence. We focus on the importance of the oxidative damage
that targets DNA during senescence. Indeed, because of its mutagenic effects,
oxidative damage could affect oncogenes and/or tumor-suppressor genes in some
senescent cells, hence promoting their evolution toward initiated cancer cells.
This mechanism could be particularly relevant for age-associated carcinomas
because senescence in epithelial cells is driven more by oxidative stress than by
telomere shortening.
PMID- 18056955
TI - Effect of the histone deacetylase inhibitor trichostatin a in human peripheral
blood lymphocytes as a function of donor age.
AB - The histone deacetylase inhibitor trichostatin A (TSA) is a promising agent for
the treatment of certain types of cancers alone or in synergistic combination
with other anticancer agents. One of the advantages of the use of histone
deacetylase inhibitors, such as TSA, is that its effects have been found to be
more potent toward cancer cells compared to normal cells. The effect of
anticancer agents on the immune system, and on lymphocytes in particular, is of
major importance to the success of anticancer regimens. In this respect,
information documenting the effect of such agents on normal lymphocytes compared
to malignant cells may be of significant value for the successful designing of
clinical protocols. Moreover, the parameter of age may be a factor in the
differential effects of such protocols. Histone deacetylase inhibitors lead to
the accumulation of acetylated histones and, depending on the cell type, may
induce either apoptosis, cell cycle arrest, or differentiation. Previous work
from our lab has shown that TSA induces the accumulation of histone H4
acetylation and apoptosis in human peripheral blood lymphocytes. In light of the
above, we have extended our investigation of the effects of TSA on human
lymphocytes to include the parameter of age, which has not been previously
studied. Our results show that TSA induces apoptosis of lymphocytes from donors
of all age groups, but no age-related changes in the levels of apoptosis are
observed.
PMID- 18056956
TI - Transient increased extracellular release of H2O2 during establishment of UVB
induced premature senescence.
AB - In this work, we found that extracellular release of H(2)O(2) is 1.5- to 6-fold
higher in skin human diploid fibroblasts exposed to UVB in conditions inducing
premature senescence when compared to control cells without exposure to UVB. The
apparent decrease in H(2)O(2) production from 0 to 72 h after the last exposure
to UVB was not due to increased enzymatic activity of catalase or glutathione
peroxidase.
PMID- 18056957
TI - Importance of the lon protease in mitochondrial maintenance and the significance
of declining lon in aging.
AB - Mitochondria are a major intracellular source of free radicals and related
oxidants. It is generally agreed that the mitochondrial production of such
reactive oxygen and nitrogen species increases with age. Antioxidant systems in
the mitochondria play an important role in limiting the amount of oxidative
damage to tolerable levels. The Lon protease degrades oxidatively modified
proteins in the mitochondrial matrix, a function similar to that of the 20S
proteasome in the cytoplasm. Recently it was shown that inactive aconitase, a
preferential substrate for the Lon protease, might be involved in the maintenance
of the mitochondrial genome. Lon protease expression and activity declines with
age, which may contribute to the accumulation of the oxidatively modified protein
aggregates typically observed in aging and diseased cells. In addition, Lon has
multiple functions, such as DNA binding and chaperone activity, for the assembly
of respiratory complexes in the Electron Transport Chain. Taken together, Lon and
aconitase may be key players in the maintenance of mitochondrial homeostasis
under conditions of stress, and (partial) compromise of their function may
contribute to both aging and degenerative diseases.
PMID- 18056958
TI - Protein oxidative modifications and replicative senescence of WI-38 human
embryonic fibroblasts.
AB - The age-related accumulation of oxidized proteins is dependent on the balance
between the generation of oxidatively modified proteins and their elimination by
protein degradation and repair systems. Previous studies have demonstrated that
replicative senescence represents a valid model of in vitro aging and that
senescent cells do accumulate oxidized proteins while both proteasome, which is
the major intracellular proteolytic system implicated in the removal of abnormal
and oxidized proteins, and the oxidized protein-repair enzymes, methionine
sulfoxide reductases, are being impaired. Declining proteasome activity with age
has been attributed to decreased proteasome subunits expression and/or
inactivation upon alteration of proteasome subunits, as well as accumulation of
endogeneous inhibitors, such as highly oxidized and cross-linked proteins. To
gain further insight into the mechanisms that might be implicated in the
decreased activity of the proteasome with replicative senescence, the occurrence
of proteins modified by glycoxidation and conjugation by lipid peroxidation
products has been investigated in senescent cells. Indeed, such modification as
the formation of protein adducts with the lipid peroxidation product 4-hydroxy-2
nonenal can generate cross-linked proteins that become resistant to degradation
by the proteasome and can act as inhibitors of the proteasome. Using specific
antibodies that recognize glycoxidation and lipid peroxidation adducts on
proteins, both modifications were demonstrated and found to increase in senescent
cells when compared with young fibroblasts. Moreover, the patterns of modified
proteins obtained after separation by SDS gel electrophoresis were indicative of
preferential protein targets for both modifications.
PMID- 18056959
TI - Lipofuscin: formation, distribution, and metabolic consequences.
AB - One of the highlights of postmitotic aging is the intracellular accumulation of
highly oxidized and cross-linked proteins, known as lipofuscin. Lipofuscin is
insoluble and not degradable by lysosomal enzymes or the proteasomal system,
which is responsible for the recognition and degradation of misfolded and
oxidatively damaged proteins. These aggregates have been found in various cell
types, including heart, liver, kidney, neuronal tissue, and dermal tissue, and
are associated with the life span of a single postmitotic cell and, consequently,
of the whole organism. Lipofuscin formation appears to depend on the rate of
oxidative damage to proteins, the functionality of mitochondrial repair systems,
the proteasomal system, and the functionality and effectiveness of the lysosomes.
This review highlights the current knowledge of the formation, distribution, and
effects of lipofuscin in mammalian cells.
PMID- 18056960
TI - Stress-mediated hormetic modulation of aging, wound healing, and angiogenesis in
human cells.
AB - Aging is amenable to intervention and prevention by mild stress-induced hormesis.
Previously, we have reported that repeated mild heat stress has antiaging and
other beneficial effects on growth and a range of cellular and biochemical
characteristics of normal human skin fibroblasts and keratinocytes undergoing
aging in vitro. We have also established a model system of sugar-induced
premature senescence in human cells, which can be useful for monitoring the
protective and hormetic effects of other treatments. We have now initiated
studies on testing the hormetic effects of glyoxal and heat shock on the wound
healing capacity of skin fibroblasts and on the angiogenic ability of endothelial
cells. The effects of glyoxal on the extent of wound closure in vitro showed a
typical biphasic hormetic curve with 20-40% stimulation at lower doses (up to
0.125 mmol) and more than 50% inhibition at concentrations above 0.5 mmol. In the
case of angiogenesis by endothelial cells, measured by the standard tube
formation assay on Matrigel, a prior exposure to mild heat shock at 41 degrees C
for 1 h increased the total tube length and total number of junctions by 30-60%
and 10-14%, respectively. In contrast, a severe heat shock at 42.5 degrees C had
slightly inhibitory effects on total tube length and the number of junctions.
These data add to the ever-growing body of evidence in support of the view that
mild stress-induced hormesis can be a useful approach for the modulation,
intervention, and prevention of aging and age-related impairments.
PMID- 18056961
TI - Real aging retardation in humans through diminishing risks to health.
AB - Many biologists do not believe that practical means of postponing human aging are
currently available. Human aging appears to result from the random accumulation
of damage in cells, tissues, or the organism as a whole that occurs over the
lifetime of the organism and increases the probability of death. It is possible
to decrease the rate of this accumulation of damage in humans, as already does
occur in developed countries. Initiating the sorts of changes that extend healthy
life span has been a relatively slow process, because it has been a byproduct of
general economic development, but it could be made to proceed more rapidly. Our
research shows that it is possible to postpone age-related changes by up to 20
years, by using what is already known about avoiding discomfort and risk factors
to health in practical application.
PMID- 18056962
TI - Zinc, metallothioneins, and longevity--effect of zinc supplementation: zincage
study.
AB - Aging is an inevitable biological process that is associated with gradual and
spontaneous biochemical and physiological changes and increased susceptibility to
diseases. Because nutritional factors are involved in improving immune functions,
metabolic harmony, and antioxidant defense, some nutritional factors, such as
zinc, may modify susceptibility to disease and promote healthy aging. In vitro
(human lymphocytes exposed to endotoxins) and in vivo (old or young mice fed with
low zinc dietary intake) studies revealed that zinc is important for immune
efficiency (innate and adaptive), antioxidant activity (supeoxide dismutase), and
cell differentiation via clusterin/apolipoprotein J. Intracellular zinc
homeostasis is regulated by metallothioneins (MT) via ion release through the
reduction of thiol groups in the MT molecule. This process is crucial in aging
because high MT levels are not able to release zinc, resulting in low
intracellular free ion availability for biological functions. Improvement in
these functions occurs in the elderly after physiological zinc supplementation.
In this study, the selection of elderly subjects for zinc supplementation is
discussed in relation to the genetic background of MT and pro-inflammatory
cytokines, such as interleukin-6, because the latter is involved both in MT-gene
expression and in intracellular zinc homeostasis.
PMID- 18056963
TI - Ghrelin receptor (GHS-R1A) agonists show potential as interventive agents during
aging.
AB - Administration of an orally active agonist (MK-0677) of the growth hormone
secretagogue receptor (GHS-R1a) to elderly subjects restored the amplitude of
endogenous episodic growth hormone (GH) release to that of young adults.
Functional benefits include increased lean mass and bone density and modest
improvements in strength. In old mice, a similar agonist partially restored
function to the thymus and reduced tumor cell growth and metastasis. Treatment of
old mice with the endogenous GHS-R1a agonist ghrelin restored a young liver
phenotype. The mechanism involves inhibition of cyclin D3:cdk4/cdk6 activity and
increased protein phosphatase-2A (PP2A) activity in liver nuclei, which
stabilizes the dephosphorylated form of the transcription factor C/EBPalpha
preventing the age-dependent formation of the C/EBPalpha-Rb-E2F4-Brm nuclear
complex. By inhibiting formation of this complex, repression of E2F target genes
is de-repressed and C/EBPalpha regulated expression of Pepck, a regulator of
gluconeogenesis, is normalized, thereby restoring a young liver phenotype. In the
brain, aging is associated with decline in dopamine function. We investigated the
potential neuromodulatory role of GHS-R1a on dopamine action. Neurons were
identified in the hippocampus, cortex, substantia nigra, and ventral tegmental
areas that coexpressed GHS-R1a and dopamine receptor subtype-1 (D1R). Cell
culture studies showed that, in the presence of ghrelin and dopamine, GHS-R and
D1R form heterodimers, which modified G-protein signal transduction resulting in
amplification of dopamine signaling. We speculate that aging is associated with
deficient endogenous ghrelin signaling that can be rescued by intervention with
GHS-R1a agonists to improve quality of life and maintain independence.
PMID- 18056964
TI - Functional significance of minor structural and expression changes in stress
chaperone mortalin.
AB - Mortalin is one of the highly conserved heat-shock chaperones. Some of the
established features of mortalin include its various subcellular localizations,
multiple binding partners, and differential subcellular distribution in normal
and immortal cells. It inhibits nuclear translocation, transcriptional
activation, and control of centrosome-duplication functions of p53. It also
functions as an adaptive protein in a variety of stress-response mechanisms and
contributes to human carcinogenesis. Interestingly, minor alterations in its
structure and level of expression may lead to drastic biological consequences
(for example, Myelodysplastic syndrome and old age pathologies, such as
Alzheimer's and Parkinson's disease). Besides being validated as a reliable
target for cancer therapy, mortalin also warrants attention from the perspectives
of management of old-age diseases and healthy aging.
PMID- 18056965
TI - GRO-alpha: a potential marker for cancer and aging silenced by RNA interference.
AB - Age-regulated genes may serve as markers of aging, enabling assessment of
physiological aging independent of chronological age. One gene with transcripts
that increase in abundance with age in human organs, inter alia in epithelial
skin cells, is the chemokine growth-regulated protein alpha (GRO-alpha). When
chemokines, such as GRO-alpha, become disregulated so that they are chronically
expressed, tissue damage, angiogenesis, and tumorigenesis can follow. To consider
the role of GRO-alpha as a potential marker for aging and cancer, we compared the
transient knockdown of GRO-alpha by RNA interference in the human sebaceous gland
cell line SZ95, which behaves like normal human sebocytes, and in the melanoma
cell line A375, which originates from a primary human tumor. The reduced GRO
alpha RNA expression, of about 75% in SZ95 sebocytes and 58% in A375 melanoma
cells, has functional consequences in normal aged cells and in cancer cells.
Silencing of the proangiogenic chemokine GRO-alpha is proportionally correlated
with interleukin-6 (IL-6), IL-8 and vascular endothelial growth factor secretion
in both cell types. Thus, GRO-alpha may be a novel diagnostic marker for age
related pathology, including cancer.
PMID- 18056966
TI - Caspase-3 and Bcl-2 expression in aging in adrenal zona reticularis after
dexamethasone administration.
AB - Adrenocortical cell death by apoptosis is a common finding when
adrenocorticotropic hormone is suppressed. Despite the well-known final
structural features exhibited by those cells, data on the mechanisms preceding
these final events are lacking. In this study, after 3 days of dexamethasone
administration to rats of different ages, rat adrenals were processed for
immunocytochemical study. In the zona reticularis, there was evidence of the
activation of Bcl-2 and caspase-3 proteins. Beyond a small age-related increase
in labeled cells, the number of cells presenting colocalization for both proteins
was noteworthy. The results confirm the involvement of Bcl-2 and caspase-3
proteins in the apoptotic pathway and suggest their simultaneous intervention.
PMID- 18056967
TI - Nutraceutical strategy in aging: targeting heat shock protein and inflammatory
profile through understanding interleukin-6 polymorphism.
AB - The aging process is paralleled by two- to fourfold increases in plasma/serum
levels of inflammatory mediators, such as cytokines and acute-phase proteins. In
this study we assessed the inflammatory profile and polymorphism of healthy
elderly subjects and the influence of a nutraceutical supplement. Forty elderly,
generally healthy subjects were recruited, divided into two matched groups, and
given either a fermented papaya preparation 9 g/day by mouth or the same amount
of placebo. Treatments were carried out in a cross-over manner with a 3-month
supplementation period followed by a 6-week washout period between treatments.
Ten healthy young subjects served as controls. Interleukin-6 (IL-6) promoter -174
G/C polymorphism genotype was determined together with blood levels for redox
status, proinflammatory cytokines, high sensitivity C-reactive protein, and serum
70 kDa heat shock protein (Hsp70) concentrations. Tumor necrosis factor-alpha and
IL-6 were higher in elderly subjects (P < 0.05 versus young controls). The
concentration of Hsp70 inversely correlated with markers of inflammation in -174
G/C-negative subjects (r = 0.62, P < 0.05). Nutraceutical intervention normalized
the inflammatory parameters (P < 0.05) with a rise of Hsp70 (P < 0.05). This
suggests that healthy elderly individuals may have a proinflammatory profile
playing as a downregulating factor for inducible Hsp70, particularly if -174 G/C
negative. A nutraceutical intervention seems able to beneficially modulate such a
phenomenon.
PMID- 18056968
TI - Role of TLR4 polymorphisms in inflammatory responses: implications for
unsuccessful aging.
AB - The total burden of infection at various sites may affect the progression of
atherosclerosis and Alzheimer's disease (AD), the risk being modulated by host
genotype. The role of lipopolysaccharide (LPS) receptor TLR4 is paradigmatic. It
initiates the innate immune response against gram-negative bacteria, and TLR4
single nucleotide polymorphisms (SNPs), such as +896A/G, known to attenuate
receptor signaling, have been described. This SNP shows a significantly lower
frequency in patients affected by myocardial infarction or AD. Thus, people
genetically predisposed to developing lower inflammatory activity seem to have
less chance of developing cardiovascular disease (CVD) or AD. In the present
report, to validate this hypothesis, the levels of the eicosanoids, leukotriene
B4 (LTB4) and prostaglandin E2 (PGE2), known to be involved as mediators in age
related diseases, were determined by an enzyme-linked immunosorbent assay in
supernatants from a whole blood assay, after stimulation with subliminal doses of
LPS from Escherichia coli. The samples, genotyped for the +896A/G SNP, were
challenged with LPS for 4, 24, and 48 h. Both LTB4 and PGE2 values were
significantly lower in carriers bearing the TLR4 mutation. Therefore, the
pathogen burden, by interacting with the host genotype, determines the type and
intensity of the inflammatory responses accountable for proinflammatory status,
CVD, AD, and unsuccessful aging (i.e., age-related inflammatory diseases).
PMID- 18056969
TI - ProNGF, sortilin, and age-related neurodegeneration.
AB - Several studies have sought to demonstrate that neurodegeneration during disease
and in old age is associated with reduced neurotrophic support. Little positive
evidence has been forthcoming, either in relation to the availability of
neurotrophins or to expression and function of the relevant receptors. Recently,
a novel way in which neurotrophins could contribute to neurodegeneration has been
suggested. In contrast to the well-known neurotrophic functions of the mature
beta-form of nerve growth factor (mNGF), its precursor proNGF has recently been
shown to be abundant in the adult brain and in the brains of patients with
Alzheimer's disease. proNGF is synthesized as 25 and 32 kDa isoforms, which are
glycosylated to form a principal 40 kDa species. Studies of the cortical targets
of NGF-responsive basal forebrain neurons show that the 40 kDa form of proNGF is
secreted in response to nerve stimulation, along with the proteases needed to
generate the 13 kDa mNGF, or to degrade it. We have recently found that levels of
40 kDa proNGF are elevated in the aging brain and also in targets of peripheral
NGF-responsive neurons. proNGF has been shown to be neurotoxic when bound in a
heterotrimer with the p75 receptor and the receptor sortilin (identical to the
neurotensin receptor NTS3). Interestingly, we find that sortilin levels increase
in aged central and peripheral neurons, perhaps making these neurons more
vulnerable to age-related increases in proNGF. Whether elevated levels of proNGF
in targets or of sortilin in neurons contribute to known patterns of age- and
disease-related neurodegeneration has not been previously investigated. Using in
vitro models, our preliminary data now indicate that proNGF is indeed neurotoxic
for aged, but not young, NGF-responsive basal forebrain and sympathetic neurons
and that blockade of sortilin rescues proNGF-induced cell death. We therefore
propose that increased proNGF in targets combined with increased sortilin
expression in projecting neurons contributes to age-related neuronal atrophy and
degeneration.
PMID- 18056970
TI - Identification of fasting-induced genes in the rat hypothalamus: relationship
with neuroprotection.
AB - During food shortage, organisms activate defense mechanisms to maximize their
chance of survival. At least in part, these responses are triggered by changes in
hormonal status and neural status during starvation. The hypothalamus is
organized as a collection of distinct autonomously active nuclei and is
considered to play crucial roles in these survival responses. To isolate factors
involved in these pathways, we carried out suppression subtractive hybridization
analyses using complementary DNAs (cDNA) from the hypothalami of fasted and fed
rats. We identified four genes, namely ubiquitin-conjugating enzyme E2D 3
(UBE2D3), cAMP-dependent protein kinase C beta subunit (PKCbeta), excitatory
amino acid carrier 1 (EAAC1), and ferritin heavy polypeptide 1 (Fth1), that were
upregulated after a 48-h fast compared to the fed status. According to previous
reports, these genes have been implicated in protection against neuronal cell
death under various neurodegenerative stresses, such as hypoxia-ischemia and
oxidative stress. Thus, the increased expressions of the genes identified in the
present study may have protective effects against neural damage that could
otherwise result in cell death.
PMID- 18056971
TI - Acute myocardial infarction and proinflammatory gene variants.
AB - We identified four genetic risk sets for acute myocardial infarction (AMI) from
information on functional gene variants that favor inflammation or modulate
cholesterol metabolism: IL6 -174 G/C, TNF -308 G/A, IL10 -1082 G/A, SERPINA3 -51
G/T, IFNG +874 T/A, HMGCR -911 C/A, and APOE epsilon2/3/4; 316 patients and 461
healthy subjects, all Italian. Putative risk alleles are shown underlined. The
sets were identified using grade-of-membership analysis. Membership scores in the
sets are automatically generated for individuals. The 'low intrinsic risk' set
had alleles that downregulate inflammation and cholesterol synthesis (IL6, TNF,
ILl0, HMGCR). 'AMI across a broad age range' carried multiple proinflammatory
alleles (IL6, TNF, IL10, SERPINA3): All 72 persons like this set were affected
yet had relatively low plasma cholesterol levels. 'A subset of AMI in middle age'
had numerous proinflammatory alleles (IL6, TNF, SERPINA3, IFNG, HMGCR). 'AMI
after age 80' had a reduced risk set (IL6, IL10, IFNG). A total of 95% of cases
had >/=50% membership in the high intrinsic risk sets. We conclude that
proinflammatory gene variants taken together strongly determine an individual's
risk for myocardial infarction. This information may better define the
pathogenesis of myocardial infarction and identify individuals who might benefit
from early interventions.
PMID- 18056972
TI - Bone mineral density in ukrainian women of different age.
AB - We determined the bone mineral density (BMD) of normal Ukrainian female subjects
and compared results with USA-European reference data. The research was conducted
at the Ukrainian Scientific-Medical Centre for the Problems of Osteoporosis and
included 353 women aged 20-79 years. Conventional BMD measurements of the spine
(L1-L4 in the anterior-posterior position), proximal femur (neck, Ward's
triangle, and trochanter regions), and radial shaft (33% site) were determined by
dual-energy X-ray absorptiometry using a densitometer Prodigy. Age-related
changes in BMD were similar in form to those of USA-European reference data. We
found that the BMD values of spine for Ukrainian women of 50-59 years were lower
than published values. Regression analyses showed that weight was a significant
predictor of female spine and femur BMD for both the premenopausal and the
postmenopausal decades. Age was a significant predictor of female spine BMD in
the 50- to 79-year age group. The prevalence of osteoporosis and osteopenia for
Ukrainian women was 11% at the femoral neck and 20% and 24% at the spine and
radial shaft, respectively.
PMID- 18056973
TI - Sex-specific effects of the DAF-12 steroid receptor on aging in Caenorhabditis
elegans.
AB - Sex differences in longevity and aging are seen throughout the animal kingdom.
These are likely to result, in part, from sex differences in endocrinology. In
the nematode Caenorhabditis elegans, males are the longer-lived sex. Here we
explore the possibility that sex differences in insulin/insulin-like growth
factor 1 (IGF-1) and steroid endocrinology contribute to this sex difference in
aging by studying C. elegans populations in liquid culture. We report that in
hermaphrodite populations, mutational loss of the DAF-12 steroid receptor
affected life span as in previous plate-culture studies: mutant longevity is
suppressed in a weak daf-2 insulin/IGF-1 receptor mutant but enhanced in a
stronger daf-2 mutant. However, in males, mutation of daf-12 had little effect on
aging in either weak or strong daf-2 mutants. Moreover, while mutation of daf-12
marginally reduced life span in daf-2(+) hermaphrodites, as in plate-cultured
populations, it did not in daf-2(+) males. These results could imply that in C.
elegans, as in mammals, sex differences in steroid endocrinology contribute to
sex differences in aging.
PMID- 18056974
TI - Modulating longevity in Drosophila by over- and underexpression of glutamate
cysteine ligase.
AB - A notable extension of life span (up to 50%) was achieved in Drosophila
melanogaster when the catalytic subunit of glutamate-cysteine ligase (GCLc) was
overexpressed in neuronal tissue, while a moderate increase (up to 24%) was
observed when the modulatory subunit of GCL (GCLm) was overexpressed globally. We
sought to identify specific tissue domains that are particularly sensitive to the
beneficial effects of GCLc overexpression. Overexpression of GCLc using the
mushroom body driver (OK107-GAL4) had a small but significant beneficial effect
on longevity (approximately 12%) while overexpression in serotonergic (MZ360
GAL4) neurons or dopaminergic and serotonergic neurons (Ddc-GAL4) had small,
nonsignificant effects on longevity. A significant beneficial effect (12-13%) was
also observed using the C23-GAL4 transverse muscle driver. Finally, a low-level
global driver (armadillo) was shown to increase life span significantly (15%). A
series of mutant and knockdown studies were also carried out. Reduction of GCLm
by > 95% had no discernable effect on longevity or resistance to oxidative
stress. In contrast, knockdown of GCLc by 30-70% using an RNAi-hairpin strategy
had a significant effect, resulting in greater sensitivity to H(2)O(2) and
reduced survivorship under normal conditions varying from a 50% reduction in
median life span to lethality. A GCLc null allele was identified and shown to be
recessive lethal. Overall, this study demonstrates that the longevity effects of
GCLc are dependent on dosage and that there are specific tissues (mushroom
bodies, motor neurons, and transverse muscle cells) particularly sensitive to the
benefits of GCLc overexpression.
PMID- 18056976
TI - Protein synthesis is a novel determinant of aging in Caenorhabditis elegans.
AB - Protein synthesis is a tightly regulated cellular process that affects growth,
reproduction, and survival in response to both intrinsic and extrinsic cues, such
as nutrient availability and energy levels. A pronounced, age-related decline of
the total protein synthesis rate has been observed in many organisms, including
humans. The molecular mechanisms underlying this decline and their role in the
aging process remain unclear. A series of recent studies in the nematode,
Caenorhabditis elegans, have revealed a novel link between protein synthesis and
aging. Remarkably, these research findings, in their totality, converge to
indicate that reduction of mRNA translation prolongs life in worms. Signal
transduction cascades implicated in aging, such as the insulin/insulin growth
factor-1 pathway, interface with mechanisms regulating protein synthesis via a
battery of key mRNA translation factors. Are the effects of these pathways on
aging mediated, in part, by alterations in protein synthesis? This is an
intriguing possibility in light of the latest discoveries. Whether attenuation of
protein synthesis promotes longevity across different phyla is an additional
important matter. Here, we survey work associating protein synthesis with aging
and discuss the basis of life-span extension under conditions that attenuate
protein synthesis.
PMID- 18056975
TI - Modeling Werner Syndrome in Drosophila melanogaster: hyper-recombination in flies
lacking WRN-like exonuclease.
AB - Human progeroid Werner syndrome provides the current best model for analysis of
human aging, recapitulating many aspects of normal aging as a result of mutation
of the WRN gene. This gene encodes a RecQ-type helicase with additional
exonuclease activity. While biochemical studies in vitro have proven invaluable
in determining substrate specificities of the WRN exonuclease and helicase, it
has been difficult to dissociate the two key enzyme activities in vivo. We are
developing Drosophila as a model system for analysis of WRN function; the
suitability of Drosophila for extensive and sophisticated genetic manipulation
permits us to investigate regulatory pathways and the impact of WRN loss at
organismal, cellular, and molecular levels. BLASTP screening of the Drosophila
genome with human WRN sequence allowed us to identify three RecQ helicases with
strong homology to human WRN, a presumed helicase component of the spliceosome,
and two DEAH-box putative RNA helicases with weaker WRN homology. None of these
helicases contain a WRN-like exonuclease domain, but two potential WRN-like
exonucleases in flies encoded by the loci CG7670 and CG6744 were also identified
in the BLAST search. CG6744 and CG7670 are more closely related to human WRN than
to each other. We have obtained a fly strain with a piggyBac insertional mutation
within the CG6744 locus, which decreases expression of the encoded mRNA. Such
flies show elevated levels of somatic recombination. We suggest that WRN-like
exonuclease activity is critical in maintaining genomic integrity in flies.
PMID- 18056977
TI - Nonpathological senescence arises from unsuitable external influences.
AB - One of the most exciting events in current biogerontology is the elucidation of
environmental control over the rate of aging. Many observations suggest that
appropriate external stimuli can ameliorate the state of various biological
entities and even rejuvenate them. Recent findings support the possibility that
nonpathological aging of cells may be caused solely by external signals and
moreover that this aging might be reversible. We have extended this principle to
the level of the whole organism. We have suggested that a range of natural
environmental conditions exists that corresponds to adequate vital activity
within which an organism can maintain optimal functioning, renew itself, and
remain ageless. But the environmental mortality of such organisms in natural
niches is rather high. To reduce this mortality, the organism requires a milder
but less stimulating environment in the presence of which (below some threshold
level), the organism's renewal process becomes incomplete and the organism starts
to age. Nevertheless, an age-dependent increase in the mortality rate due to
senescence can be compensated for by a significant initial reduction in mortality
due to environmental causes. The moderate present-day increase of life expectancy
is the result of just such an initial mortality reduction. Living in a safe
environment along with simulation of natural external positive influences or
adequate responses to negative influences can decelerate, stop, and even reverse
aging as well as considerably extend mean and extreme life span.
PMID- 18056978
TI - Multiple neuroendocrine pathways mediate seasonal immunity.
PMID- 18056979
TI - Key contributions of the Na+/H+ exchanger subunit 1 and HCO3- transporters in
regulating neuronal cell fate in prolonged hypoxia.
PMID- 18056980
TI - Muscle metabolic responses to exercise above and below the "critical power"
assessed using 31P-MRS.
AB - We tested the hypothesis that the asymptote of the hyperbolic relationship
between work rate and time to exhaustion during muscular exercise, the "critical
power" (CP), represents the highest constant work rate that can be sustained
without a progressive loss of homeostasis [as assessed using (31)P magnetic
resonance spectroscopy (MRS) measurements of muscle metabolites]. Six healthy
male subjects initially completed single-leg knee-extension exercise at three to
four different constant work rates to the limit of tolerance (range 3-18 min) for
estimation of the CP (mean +/- SD, 20 +/- 2 W). Subsequently, the subjects
exercised at work rates 10% below CP (CP) for
as long as possible, while the metabolic responses in the contracting quadriceps
muscle, i.e., phosphorylcreatine concentration ([PCr]), P(i) concentration
([P(i)]), and pH, were estimated using (31)P-MRS. All subjects completed 20 min
of CP
exercise was 14.7 +/- 7.1 min. During CP exercise, however, [PCr] continued to fall to the point of
exhaustion and [P(i)] and pH changed precipitously to values that are typically
observed at the termination of high-intensity exhaustive exercise (end-exercise
values = 26 +/- 16% of baseline [PCr], 564 +/- 167% of baseline [P(i)], and pH
6.87 +/- 0.10, all P < 0.05 vs. Glu mutant,
which retained the highest residual ATPase activity, it was possible to show that
occlusion of bound Ca(2+) was also impaired, thereby explaining the stronger
inhibition of Ca(2+) transport relative to ATPase activity. The effects of Val
304 mutations on phosphoenzyme turnover are attributed to interference with
mechanical links that couple movements of transmembrane segments and headpiece
domains. The effects of thermal activation energy on reaction rates are thereby
reduced. Furthermore, inadequate occlusion of bound Ca(2+) following utilization
of ATP in Val-304 side-chain mutations is attributed to inadequate stabilization
of the Glu-309 side chain and consequent defect of its gating function.
PMID- 18056992
TI - A critical role for HSP90 in cancer cell invasion involves interaction with the
extracellular domain of HER-2.
AB - HSP90 is a ubiquitously expressed molecular chaperone that controls the folding,
assembly, intracellular disposition, and proteolytic turnover of many proteins,
most of which are involved in signal transduction processes. Recently, a surface
form of HSP90 has been identified and associated with cell migration events. In
this paper, we explore the interaction of surface HSP90 with HER-2, a receptor
like glycoprotein and member of the ErbB family of receptor tyrosine kinases that
play central roles in cellular proliferation, differentiation, and migration as
well as in cancer progress. The involvement of HSP90 in the regulation of HER-2
has been attributed so far to receptor stabilization via interaction with its
cytoplasmic kinase domain. Here we present evidence, using glutathione S
transferase pull-down and transfection assays, for a novel interaction between
surface HSP90 and the extracellular domain of HER-2. Specific disruption of this
interaction using mAb 4C5, a function-blocking monoclonal antibody against HSP90,
inhibits cell invasion accompanied by altered actin dynamics in human breast
cancer cells under ligand stimulation conditions with heregulin. Additionally,
disruption of surface HSP90/HER-2 interaction leads to inhibition of heregulin
induced HER-2-HER-3 heterodimer formation, reduced HER-2 phosphorylation, and
impaired downstream kinase signaling. Interestingly, this disruption does not
affect HER-2 internalization. Our data suggest that surface HSP90 is involved in
heregulin-induced HER-2 activation and signaling, leading to cytoskeletal
rearrangement, essential for cell invasion.
PMID- 18056993
TI - Unstructured N terminus of the RNA polymerase II subunit Rpb4 contributes to the
interaction of Rpb4.Rpb7 subcomplex with the core RNA polymerase II of
Saccharomyces cerevisiae.
AB - Two subunits of eukaryotic RNA polymerase II, Rpb7 and Rpb4, form a subcomplex
that has counterparts in RNA polymerases I and III. Although a medium resolution
structure has been solved for the 12-subunit RNA polymerase II, the relative
contributions of the contact regions between the subcomplex and the core
polymerase and the consequences of disrupting them have not been studied in
detail. We have identified mutations in the N-terminal ribonucleoprotein-like
domain of Saccharomyces cerevisiae Rpb7 that affect its role in certain stress
responses, such as growth at high temperature and sporulation. These mutations
increase the dependence of Rpb7 on Rpb4 for interaction with the rest of the
polymerase. Complementation analysis and RNA polymerase pulldown assays reveal
that the Rpb4.Rbp7 subcomplex associates with the rest of the core RNA polymerase
II through two crucial interaction points: one at the N-terminal
ribonucleoprotein-like domain of Rpb7 and the other at the partially ordered N
terminal region of Rpb4. These findings are in agreement with the crystal
structure of the 12-subunit polymerase. We show here that the weak interaction
predicted for the N-terminal region of Rpb4 with Rpb2 in the crystal structure
actually plays a significant role in interaction of the subcomplex with the core
in vivo. Our mutant analysis also suggests that Rpb7 plays an essential role in
the cell through its ability to interact with the rest of the polymerase.
PMID- 18056994
TI - CYP2E1 substrate inhibition. Mechanistic interpretation through an effector site
for monocyclic compounds.
AB - In this study we offer a mechanistic interpretation of the previously known but
unexplained substrate inhibition observed for CYP2E1. At low substrate
concentrations, p-nitrophenol (pNP) was rapidly turned over (47 min(-1)) with
relatively low K(m) (24 microM); nevertheless, at concentrations of >100 microM,
the rate of pNP oxidation gradually decreased as a second molecule bound to
CYP2E1 through an effector site (K(ss) = 260 microm), which inhibited activity at
the catalytic site. 4-Methylpyrazole (4MP) was a potent inhibitor for both sites
through a mixed inhibition mechanism. The K(i) for the catalytic site was 2.0
microM. Although we were unable to discriminate whether an EIS or ESI complex
formed, the respective inhibition constants were far lower than K(ss). Bicyclic
indazole (IND) inhibited catalysis through a single CYP2E1 site (K(i) = 0.12
microM). Similarly, 4MP and IND yielded type II binding spectra that reflected
the association of either two 4MP or one IND molecule(s) to CYP2E1, respectively.
Based on computational docking studies with a homology model for CYP2E1, the two
sites for monocyclic molecules, pNP and 4MP, exist within a narrow channel
connecting the active site to the surface of the enzyme. Because of the presence
of the heme iron, one site supports catalysis, whereas the other more distal
effector site binds molecules that can influence the binding orientation and
egress of molecules for the catalytic site. Although IND did not bind these sites
simultaneously, the presence of IND at the catalytic site blocked binding at the
effector site.
PMID- 18056995
TI - Crystal structure of human kynurenine aminotransferase II.
AB - Human kynurenine aminotransferase II (hKAT-II) efficiently catalyzes the
transamination of knunrenine to kynurenic acid (KYNA). KYNA is the only known
endogenous antagonist of N-methyl-D-aspartate (NMDA) receptors and is also an
antagonist of 7-nicotinic acetylcholine receptors. Abnormal concentrations of
brain KYNA have been implicated in the pathogenesis and development of several
neurological and psychiatric diseases in humans. Consequently, enzymes involved
in the production of brain KYNA have been considered potential regulatory
targets. In this article, we report a 2.16 A crystal structure of hKAT-II and a
1.95 A structure of its complex with kynurenine. The protein architecture of hKAT
II reveals that it belongs to the fold-type I pyridoxal 5-phosphate (PLP)
dependent enzymes. In comparison with all subclasses of fold-type I-PLP-dependent
enzymes, we propose that hKAT-II represents a novel subclass in the fold-type I
enzymes because of the unique folding of its first 65 N-terminal residues. This
study provides a molecular basis for future effort in maintaining physiological
concentrations of KYNA through molecular and biochemical regulation of hKAT-II.
PMID- 18056996
TI - Crystal structure of human kynurenine aminotransferase II, a drug target for the
treatment of schizophrenia.
AB - Kynurenic acid is an endogenous neuroactive compound whose unbalancing is
involved in the pathogenesis and progression of several neurological diseases.
Kynurenic acid synthesis in the human brain is sustained by the catalytic
activity of two kynurenine aminotransferases, hKAT I and hKAT II. A wealth of
pharmacological data highlight hKAT II as a sensible target for the treatment of
neuropathological conditions characterized by a kynurenic acid excess, such as
schizophrenia and cognitive impairment. We have solved the structure of human KAT
II by means of the single-wavelength anomalous dispersion method at 2.3-A
resolution. Although closely resembling the classical aminotransferase fold, the
hKAT II architecture displays unique features. Structural comparison with a
prototypical aspartate aminotransferase reveals a novel antiparallel strand-loop
strand motif that forms an unprecedented intersubunit beta-sheet in the
functional hKAT II dimer. Moreover, the N-terminal regions of hKAT II and
aspartate aminotransferase appear to have converged to highly similar although 2
fold symmetry-related conformations, which fulfill the same functional role. A
detailed structural comparison of hKAT I and hKAT II reveals a larger and more
aliphatic character to the active site of hKAT II due to the absence of the
aromatic cage involved in ligand binding in hKAT I. The observed structural
differences could be exploited for the rational design of highly selective hKAT
II inhibitors.
PMID- 18056997
TI - The tuberculosis prodrug isoniazid bound to activating peroxidases.
AB - Isoniazid (INH, isonicotinic acid hydrazine) is one of only two therapeutic
agents effective in treating tuberculosis. This prodrug is activated by the heme
enzyme catalase peroxidase (KatG) endogenous to Mycobacterium tuberculosis but
the mechanism of activation is poorly understood, in part because the binding
interaction has not been properly established. The class I peroxidases ascorbate
peroxidase (APX) and cytochrome c peroxidase (CcP) have active site structures
very similar to KatG and are also capable of activating isoniazid. We report here
the first crystal structures of complexes of isoniazid bound to APX and CcP.
These are the first structures of isoniazid bound to any activating enzymes. The
structures show that isoniazid binds close to the delta-heme edge in both APX and
CcP, although the precise binding orientation varies slightly in the two cases. A
second binding site for INH is found in APX at the gamma-heme edge close to the
established ascorbate binding site, indicating that the gamma-heme edge can also
support the binding of aromatic substrates. We also show that in an active site
mutant of soybean APX (W41A) INH can bind directly to the heme iron to become an
inhibitor and in a different mode when the distal histidine is replaced by
alanine (H42A). These structures provide the first unambiguous evidence for the
location of the isoniazid binding site in the class I peroxidases and provide
rationalization of isoniazid resistance in naturally occurring KatG mutant
strains of M. tuberculosis.
PMID- 18056998
TI - Electrospray ionization mass spectrometry and exogenous heavy isotope-labeled
lipid species provide detailed information on aminophospholipid acyl chain
remodeling.
AB - Mammalian cells maintain the phospholipid compositions of their different
membranes remarkably constant. Beside de novo synthesis, degradation, and
intracellular trafficking, acyl chain remodeling plays an important role in
phospholipid homeostasis. However, many key details of this process remain
unresolved, largely because of limitations of existing methodologies. Here we
describe a novel approach that allows one to study metabolism of individual
phospholipid species in unprecedented detail. Forty different
phosphatidylethanolamine (PE) or -serine (PS) species with a deuterium-labeled
head group were synthesized and introduced to BHK21 or HeLa cells using
cyclodextrin-mediated transfer. Their metabolism was then monitored in detail by
electrospray ionization mass spectrometry. Atypical PE and PS species were
rapidly remodeled at both sn1 and sn2 position, yielding a molecular species
profile similar to that the endogenous PE and PS. In contrast, remodeling of
exogenous species identical or similar to major endogenous ones was more limited
and much slower. Major differences in remodeling pathways and kinetics were
observed between species within a class, as well as between corresponding PE and
PS species. These data along with those obtained with pharmacological inhibitors
strongly suggest that multiple lipid class-specific A-type phospholipases and
acyl transferases are involved in aminophospholipid remodeling. In conclusion,
the approach described here provides highly detailed information on remodeling of
exogenously added (amino)glycerophospholipids and should thus be very helpful
when elucidating the proteins and processes maintaining molecular species
homeostasis.
PMID- 18056999
TI - Mechanism of small heat shock protein function in vivo: a knock-in mouse model
demonstrates that the R49C mutation in alpha A-crystallin enhances protein
insolubility and cell death.
AB - alphaA-crystallin (Cryaa/HSPB4) is a small heat shock protein and molecular
chaperone that prevents nonspecific aggregation of denaturing proteins. Several
point mutations in the alphaA-crystallin gene cause congenital human cataracts by
unknown mechanisms. We took a novel approach to investigate the molecular
mechanism of cataract formation in vivo by creating gene knock-in mice expressing
the arginine 49 to cysteine mutation (R49C) in alphaA-crystallin (alphaA-R49C).
This mutation has been linked with autosomal dominant hereditary cataracts in a
four-generation Caucasian family. Homologous recombination in embryonic stem
cells was performed using a plasmid containing the C to T transition in exon 1 of
the cryaa gene. alphaA-R49C heterozygosity led to early cataracts characterized
by nuclear opacities. Unexpectedly, alphaA-R49C homozygosity led to small eye
phenotype and severe cataracts at birth. Wild type littermates did not show these
abnormalities. Lens fiber cells of alphaA-R49C homozygous mice displayed an
increase in cell death by apoptosis mediated by a 5-fold decrease in
phosphorylated Bad, an anti-apoptotic protein, but an increase in Bcl-2
expression. However, proliferation measured by in vivo bromodeoxyuridine labeling
did not decline. The alphaA-R49C heterozygous and homozygous knock-in lenses
demonstrated an increase in insoluble alphaA-crystallin and alphaB-crystallin and
a surprising increase in expression of cytoplasmic gamma-crystallin, whereas no
changes in beta-crystallin were observed. Co-immunoprecipitation analysis showed
increased interaction between alphaA-crystallin and lens substrate proteins in
the heterozygous knock-in lenses. To our knowledge this is the first knock-in
mouse model for a crystallin mutation causing hereditary human cataract and
establishes that alphaA-R49C promotes protein insolubility and cell death in
vivo.
PMID- 18057000
TI - Molecular evolution of Keap1. Two Keap1 molecules with distinctive intervening
region structures are conserved among fish.
AB - Keap1 is a BTB-Kelch-type substrate adaptor protein of the Cul3-dependent
ubiquitin ligase complex. Keap1 facilitates the degradation of Nrf2, a
transcription factor regulating the inducible expression of many cytoprotective
genes. Through comparative genome analyses, we found that amino acid residues
composing the pocket of Keap1 that interacts with Nrf2 are highly conserved among
Keap1 orthologs and related proteins in all vertebrates and in certain
invertebrates, including flies and mosquitoes. The interaction between Nrf2 and
Keap1 appears to be widely preserved in vertebrates. Similarly, cysteine residues
corresponding to Cys-273 and Cys-288 in the intervening region of mouse Keap1,
which are essential for the repression of Nrf2 activity in cultured cells, are
conserved among Keap1 orthologs in vertebrates and invertebrates, except fish. We
found that fish have two types of Keap1, Keap1a and Keap1b. To our surprise,
Keap1a and Keap1b contain the cysteine residue corresponding to Cys-288 and Cys
273, respectively. In our analysis of zebrafish Keap1a and Keap1b activities,
both Keap1a and Keap1b were able to facilitate the degradation of Nrf2 protein
and repress Nrf2-mediated target gene activation. Individual mutation of either
residual cysteine residue in Keap1a and Keap1b disrupted the ability of Keap1 to
repress Nrf2, indicating that the presence of either Cys-273 or Cys-288 is
sufficient for fish Keap1 molecules to fully function. These results provide an
important insight into the means by which Keap1 cysteines act as sensors of
electrophiles and oxidants.
PMID- 18057001
TI - Distinct linkage between post-translational processing and differential secretion
of progastrin derivatives in endocrine cells.
AB - Prohormones often undergo extensive cellular processing prior to secretion. These
post-translational processing events occur in organelles of the constitutive or
regulated secretory pathway. The aim of this study was to examine the
relationship between post-translational modifications and the secretory pathways
taken by peptides derived from progastrin, the prohormone of gastrin, which in
vivo is secreted by cells of the pyloric glands and stimulates the release of
gastric acid. Targeting progastrin to compartments of the early secretory pathway
shows that endoproteolytic processing is initiated in a pre-trans-Golgi network
compartment of endocrine but not non-endocrine cells. The resulting N-terminal
fragments of progastrin are secreted via the constitutive pathway, whereas
endoproteolytically processed C-terminal fragments are secreted via the regulated
or constitutive-like pathways. C-terminal fragments derived from progastrin
differ in characteristic manners in levels and patterns of carboxyamidation and
tyrosine sulfation in accordance with the secretory pathway taken. Point
mutations introduced into a sorting motif disrupt these patterns, suggesting that
differences in post-translational modifications are attributable to differential
intracellular sorting of precursors. The results suggest a two-step sorting
mechanism for progastrin leading to differential secretion of processed fragments
via different secretory pathways.
PMID- 18057002
TI - The differential impact of disulfide bonds and N-linked glycosylation on the
stability and function of CD14.
AB - Innate immunity is the first line defense against invading pathogens. During Gram
negative bacterial infection, the Toll-like receptor 4 and MD-2 complex recognize
lipopolysaccharide present in the bacterial cell wall. This recognition can be
enhanced 100-1000-fold by CD14. However, the beneficial role provided by CD14
becomes detrimental in the context of sepsis and septic shock. An understanding
of how CD14 functions will therefore benefit treatments targeted at both immune
suppression and immune enhancement. In the present study, we use site-directed
mutagenesis to address the role of disulfide bonds and N-linked glycosylation on
CD14. A differential impact is observed for the five disulfide bonds on CD14
folding, with the first two (Cys(6)-Cys(17) and Cys(15)-Cys(32)) being
indispensable, the third and fourth (Cys(168)-Cys(198) and Cys(222)-Cys(253))
being important, and the last (Cys(287)-Cys(333)) being dispensable. A functional
role is observed for the first disulfide bond because the C6A substitution
severely reduces the ability of CD14 to confer lipopolysaccharide responsiveness
to U373 cells. Two of the four predicted glycosylation sites, asparagines 132 and
263, are actually involved in N-linked glycosylation, resulting in heterogeneity
in CD14 molecular weight. Furthermore, glycosylation at Asn(132) plays a role in
CD14 trafficking and upstream and/or downstream ligand interactions. When mapped
onto the crystal structure of mouse CD14, the first two disulfide bonds and
Asn(132) are in close proximity to the initial beta strands of the leucine rich
repeat domain. Thus, disulfide bonds and N-linked glycosylation in the initial
beta sheets of the inner concave surface of CD14 are crucial for structure and
function.
PMID- 18057003
TI - The role of CXCR7/RDC1 as a chemokine receptor for CXCL12/SDF-1 in prostate
cancer.
AB - Several reports have recently documented that CXCR7/RDC1 functions as a chemokine
receptor for SDF-1/CXCL12, which regulates a spectrum of normal and pathological
processes. In this study, the role of CXCR7/RDC1 in prostate cancer (PCa) was
explored. Staining of high density tissue microarrays demonstrates that the
levels of CXCR7/RDC1 expression increase as the tumors become more aggressive. In
vitro and in vivo studies with PCa cell lines suggest that alterations in
CXCR7/RDC1 expression are associated with enhanced adhesive and invasive
activities in addition to a survival advantage. In addition, it was observed that
CXCR7/RDC1 levels are regulated by CXCR4. Among the potential downstream targets
of CXCR7/RDC1 are CD44 and cadherin-11, which are likely to contribute to the
invasiveness of PCa cells. CXCR7/RDC1 also regulates the expression of the
proangiogenic factors interleukin-8 or vascular endothelial growth factor, which
are likely to participate in the regulation of tumor angiogenesis. Finally, we
found that signaling by CXCR7/RDC1 activates AKT pathways. Together, these data
demonstrate a role for CXCR7/RDC1 in PCa metastasis and progression and suggest
potential targets for therapeutic intervention.
PMID- 18057004
TI - MyD88 functions as a negative regulator of TLR3/TRIF-induced corneal inflammation
by inhibiting activation of c-Jun N-terminal kinase.
AB - The adaptor molecule MyD88 is necessary for responses to all Toll-like receptors
except TLR3 and a subset of TLR4 signaling events, which are mediated by the
adaptor molecule TRIF. To determine the role of TRIF in host inflammatory
responses, corneal epithelium of C57BL/6, TLR3(-/-), TRIF(-/-), and MyD88(-/-)
mice was abraded and stimulated with the synthetic TLR3 ligand poly(I:C). We
found that poly(I:C) induced a pronounced cellular infiltration into the corneal
stroma, which was TLR3- and TRIF-dependent. Unexpectedly, the inflammatory
response was exacerbated in MyD88(-/-) mice, with enhanced neutrophil and
F4/80(+) cell infiltration into the corneal stroma and elevated corneal haze,
which is an indicator of loss of corneal transparency. To determine whether MyD88
dependent inhibition of TLR3/TRIF responses is a general phenomenon, we examined
cytokine production by MyD88(-/-) bone marrow-derived macrophages; however, no
significant difference was observed between MyD88(+/+) or MyD88(-/-) macrophages.
In contrast, human corneal epithelial cells (HCECs) transfected with MyD88 small
interfering RNA had significantly increased (2.5-fold) CCL5/RANTES production
compared with control HCECs, demonstrating a negative regulatory role for MyD88
in TLR3/TRIF responses in these cells. Finally, knockdown of MyD88 in HCECs
resulted in increased phosphorylation of c-Jun N-terminal kinase (JNK), but not
p38, IRF-3, or NF-kappaB. Consistent with this finding, the JNK inhibitor
SP600125, but not p38 inhibitor SB203580, ablated this response. Taken together,
these findings demonstrate a novel JNK-dependent inhibitory role for MyD88 in the
TLR3/TRIF activation pathway.
PMID- 18057005
TI - Phosphatidylinositol 3-kinase activation is required for stress protocol-induced
modification of hippocampal synaptic plasticity.
AB - Stress dramatically affects the induction of hippocampal synaptic plasticity;
however, the molecular details of how it does so remain unclear.
Phosphatidylinositol 3-kinase (PI3K) signaling plays a crucial role in promoting
neuronal survival and neuroplasticity, but its role, if any, in stress-induced
alterations of long term potentiation (LTP) and long term depression (LTD) is
unknown. We found here that inhibitors of PI3K signaling blocked the effects of
acute restraint-tail shock stress protocol on LTP and LTD. Therefore, the purpose
of the present study is to explore the signaling events involving PI3K in terms
of its role in mediating stress protocol-induced alterations of LTP and LTD. We
found that stress protocol-induced PI3K activation can be blocked by various
inhibitors, including RU38486 for glucocorticoid receptors, LY294002 for PI3K,
and dl-2-amino-5-phosphonopentanoic acid for N-methyl-D-aspartate receptors or
brain-derived neurotrophic factor antisense oligonucleotides. Also,
immunoblotting analyses revealed that stress protocol induced a profound and
prolonged phosphorylation of numbers of PI3K downstream effectors, including 3
phosphoinositide-dependent protein kinase-1, protein kinase B, mammalian target
of rapamycin (mTOR), p70 S6 kinase, and eukaryotic initiation factor 4B in
hippocampal CA1 homogenate, which was prevented by the PI3K inhibitor
pretreatment. More importantly, we found that stress protocol significantly
increased the protein expression of dendritic scaffolding protein PSD-95
(postsynaptic density-95), which is known to be involved in LTP and LTD, in an
mTOR-dependent manner. These results identify a key role of PI3K signaling in
mediating the stress protocol-induced modification of hippocampal synaptic
plasticity and further suggest that PI3K may do so by invoking the protein
expression of PSD-95.
PMID- 18057006
TI - Vpr.A3A chimera inhibits HIV replication.
AB - Several APOBEC3 proteins (A3F and A3G), that are cytidine deaminases restrict
human immunodeficiency virus (HIV) replication in the absence of the viral
infectivity factor (Vif) protein. However, Vif leads to their degradation and
counteracts their effects. Another member, A3A, restricts some retrotransposons
and another virus but not HIV. We reasoned that this failure was due to the lack
of appropriate targeting. Thus, we fused A3A to another viral protein, Vpr, which
binds p6 in Gag and is incorporated into viral cores. Indeed, the Vpr.A3A chimera
but not A3A was found abundantly in the viral core. It also restricted potently
the replication of HIV and simian immunodeficiency virus in the presence and
absence of Vif. Because we identified a high frequency of G to A mutations in
viral cDNAs, this antiviral activity was mediated by DNA editing. Interestingly,
our fusion protein did not restrict murine leukemia virus, which does not
incorporate Vpr. Thus, by targeting appropriately a potent single domain cytidine
deaminase, we rendered HIV and simian immunodeficiency virus restriction
resistant to Vif.
PMID- 18057007
TI - Structural basis of mechanochemical coupling in a hexameric molecular motor.
AB - The P4 protein of bacteriophage phi12 is a hexameric molecular motor closely
related to superfamily 4 helicases. P4 converts chemical energy from ATP
hydrolysis into mechanical work, to translocate single-stranded RNA into a viral
capsid. The molecular basis of mechanochemical coupling, i.e. how small
approximately 1 A changes in the ATP-binding site are amplified into nanometer
scale motion along the nucleic acid, is not understood at the atomic level. Here
we study in atomic detail the mechanochemical coupling using structural and
biochemical analyses of P4 mutants. We show that a conserved region, consisting
of superfamily 4 helicase motifs H3 and H4 and loop L2, constitutes the moving
lever of the motor. The lever tip encompasses an RNA-binding site that moves
along the mechanical reaction coordinate. The lever is flanked by gamma-phosphate
sensors (Asn-234 and Ser-252) that report the nucleotide state of neighboring
subunits and control the lever position. Insertion of an arginine finger (Arg
279) into the neighboring catalytic site is concomitant with lever movement and
commences ATP hydrolysis. This ensures cooperative sequential hydrolysis that is
tightly coupled to mechanical motion. Given the structural conservation, the
mutated residues may play similar roles in other hexameric helicases and related
molecular motors.
PMID- 18057008
TI - Cell surface levels of organellar Na+/H+ exchanger isoform 6 are regulated by
interaction with RACK1.
AB - In mammalian cells, four Na(+)/H(+) exchangers (NHE6 - NHE9) are localized to
intracellular compartments. NHE6 and NHE9 are predominantly localized to sorting
and recycling endosomes, NHE7 to the trans-Golgi network, and NHE8 to the mid
trans-Golgi stacks. The unique localization of NHEs may contribute to
establishing organelle-specific pH values and ion homeostasis in cells.
Mechanisms underlying the regulation and targeting of organellar NHEs are largely
unknown. We identified an interaction between NHE9 and RACK1 (receptor for
activated C kinase 1), a cytoplasmic scaffold protein, by yeast two-hybrid
screening using the NHE9 C terminus as bait. The NHE9 C terminus is exposed to
the cytoplasm, verifying that the interaction is topologically possible. The
binding region was further delineated to the central region of the NHE9 C
terminus. RACK1 also bound NHE6 and NHE7, but not NHE8, in vitro. Endogenous
association between NHE6 and RACK1 was confirmed by co-immunoprecipitation and co
localization in HeLa cells. The luminal pH of the recycling endosome was elevated
in RACK1 knockdown cells, accompanied by a decrease in the amount of NHE6 on the
cell surface, although the total level of NHE6 was not significantly altered.
These results indicate that RACK1 plays a role in regulating the distribution of
NHE6 between endosomes and the plasma membrane and contributes to maintaining
luminal pH of the endocytic recycling compartments.
PMID- 18057009
TI - Nuclear survivin has reduced stability and is not cytoprotective.
AB - Survivin is an essential mitotic protein that is overexpressed in many cancers,
and its presence is correlated with increased resistance to radiation and
chemotherapy. Here we demonstrate that sending survivin into the nucleus
accelerates its degradation in a cdh1-dependent manner, abolishes the radio
resistance normally conferred to cells by its overexpression, and prevents
survivin from inhibiting apoptosis without affecting its mitotic localization.
Our data suggest that targeting survivin to the nucleus provides an efficient
means of eliminating it from the cell and may prove a novel strategy in cancer
treatment, particularly in combination with radiotherapy.
PMID- 18057010
TI - Cdc42 regulates E-cadherin ubiquitination and degradation through an epidermal
growth factor receptor to Src-mediated pathway.
AB - E-cadherins play an essential role in maintaining epithelial polarity by forming
Ca2+-dependent adherens junctions between epithelial cells. Here, we report that
Ca2+ depletion induces E-cadherin ubiquitination and lysosomal degradation and
that Cdc42 plays an important role in regulating this process. We demonstrate
that Ca2+ depletion induces activation of Cdc42. This in turn up-regulates
epidermal growth factor receptor (EGFR) signaling to mediate Src activation,
leading to E-cadherin ubiquitination and lysosomal degradation. Silencing Cdc42
blocks activation of EGFR and Src induced by Ca2+ depletion, resulting in a
reduction in E-cadherin degradation. The role of Cdc42 in regulating E-cadherin
ubiquitination and degradation is underscored by the fact that constitutively
active Cdc42(F28L) increases the activity of EGFR and Src and significantly
enhances E-cadherin ubiquitination and lysosomal degradation. Furthermore, we
found that GTP-dependent binding of Cdc42 to E-cadherin is critical for Cdc42 to
induce the dissolution of adherens junctions. Our data support a model that
activation of Cdc42 contributes to mesenchyme-like phenotype by targeting of E
cadherin for lysosomal degradation.
PMID- 18057011
TI - Central venous access port-related complications in outpatient chemotherapy for
colorectal cancer.
AB - BACKGROUND: The current standard chemotherapy for advanced or metastatic
colorectal cancer in Japan is FOLFOX or FOLFIRI therapy. Although both therapies
include continuous infusion of 5-fluorouracil (5-FU), outpatient home
chemotherapy is possible by placing a central venous access port (CV-port) and
using a portable disposable pump. The port system has been placed more frequently
since the approval of FOLFOX. Consequently, more complications involving ports
and pumps have been encountered. METHODS: At our hospital, 232 patients with
colorectal cancer underwent outpatient home chemotherapy by placing a CV-port and
using a portable disposable pump for continuous infusion of 5-FU between 1998 and
2005. Incidence and contents of complications involving ports and pumps were
investigated retrospectively. RESULTS: A total of 54 incidents of complications
involving ports and pumps were identified in 3142 treatments (1.72%) from among
34 of the 232 patients (14.7%). In 2005, when FOLFOX was introduced, 31 incidents
occurred in 1903 treatments (1.63%) for 19 of 149 patients (12.8%). Incidents
involved port placement (n = 6), catheter and port system-related complications
(n = 15), puncture needle-related complications (n = 3), skin complications
related to tape fixation (n = 20) and pump-related complications (n = 10). In 10
patients (4.3%), system-related complications made therapy difficult to continue
and system exchange was required. CONCLUSIONS: Technical troubles involving ports
and pumps occurred at a certain rate, and skin incision was required for system
exchange in some cases. When performing outpatient chemotherapy using ports and
pumps, thorough prior guidance and double-checking must be implemented, and
proper countermeasures must be established.
PMID- 18057012
TI - Prognostic factors affecting the clinical outcome of adenoid cystic carcinoma of
the head and neck.
AB - BACKGROUND: Adenoid cystic carcinoma (ACC) is an uncommon tumor, constituting
approximately 10% of all head and neck tumors. Classically, ACC has been
described as a tumor with indolent, but persistent and recurrent, growth and late
onset of metastases, leading eventually to death. This study assessed the
prognostic factors affecting the clinical outcome in patients with ACC in the
head and neck region. METHODS: We performed a retrospective study of 42 patients
who underwent primary tumor resection or radiotherapy with curative intent and
evaluated the clinical parameters, treatment and clinical outcomes. RESULTS:
Forty-two patients (18 males and 24 females with a median age of 65 years)
received curative treatment. The overall 3- and 5-year survival was 87.4% and
55.3%, respectively, whereas the 3- and 5-year disease-free survival was 64.3%
and 36.1%, respectively. Of the 32 patients in whom recurrence could be
evaluated, 18 (56.3%) developed distant metastases, with the lung (72.2%) being
the most common site. Perivascular invasion influenced metastasis to the lung
with borderline significance (P = 0.053). The recurrence rate was higher (P =
0.045) in patients with high-grade tumor. The status of lymph node metastasis was
significantly associated with overall survival (P = 0.030). CONCLUSIONS: High
tumor grade and lymph node involvement were predictive of recurrence and overall
survival, respectively. Despite aggressive treatment, it seems to be impossible
to prevent the development of distant metastasis. Therefore, more research is
needed to identify molecular biomarkers that predict the clinical outcome and to
develop effective treatment for patients with ACC.
PMID- 18057013
TI - Prognostic value of carbonic anhydrase IX and Ki-67 expression in squamous cell
carcinoma of the tongue.
AB - BACKGROUND: Hypoxia-induced changes may allow tumor cells to survive under
sustained hypoxic microenvironments resulting in achievement of more aggressive
phenotypes. The purpose of this study is to determine the prognostic relevance of
the expression of carbonic anhydrase IX (CA IX), a hypoxia-related protein in
surgically resected squamous cell carcinoma of the tongue. We also relate CA IX
to Ki-67 expression representing tumor cell proliferation to provide a prognostic
model. METHODS: We analysed the expression of CA IX and Ki-67 with
immunohistochemistry in 60 patients with squamous cell carcinoma of the tongue.
RESULTS: The percentage of CA IX-positive tumor cells had a wide variation from
0.0 to 77.5%, and the Ki-67 expression was 1.50-75.1%. High CA IX and Ki-67
expression (>/=10.0% of tumor cells positively stained with CA IX and Ki-67) was
associated with a poorer overall survival (P < 0.05). High CA IX and Ki-67
expression showed shorter disease-free survival (DFS), although they are not
statistically significant. To make a risk model based on the expression of CA IX
and Ki-67, we divided the patients into three groups: high risk (high CA IX and
Ki-67), low risk (low CA IX and Ki-67) and intermediate risk (either high CA IX
or Ki-67). Being in the high-risk group was found to be an independent prognostic
factor for overall survival and DFS in multivariate analysis (P < 0.05).
CONCLUSION: The expression of CA IX and Ki-67 may be useful for predicting
prognosis in squamous cell carcinoma of the tongue.
PMID- 18057014
TI - Sarcomatoid carcinoma with a predominant basaloid squamous carcinoma component:
the first report of an unusual biphasic tumor of the ureter.
AB - Malignant tumors of the ureter that display biphasic patterns are very rare; they
include carcinosarcomas, sarcomatoid carcinomas and carcinomas with
pseudosarcomatous stroma. Although the distinction between carcinosarcomas and
sarcomatoid carcinomas has been extensively discussed in the past, the recent
World Health Organization classification of urinary tract tumors (2004) does not
distinguish the two lesions and use the term sarcomatoid carcinoma to represent
these biphasic tumors. The epithelial components of previously reported ureteral
biphasic tumors comprise transitional cell carcinoma, squamous cell carcinoma,
carcinoma in situ, small cell carcinoma and adenocarcinoma. In this paper, we
report the first case of sarcomatoid carcinoma of the ureter with a predominant
basaloid squamous carcinoma component. A 63-year-old man who had developed
asymptomatic gross hematuria was diagnosed with a right ureteral tumor and
underwent a right nephroureterectomy. Macroscopic examination of the excised
tumor revealed a polypoid mass. Histopathologic examination exposed a tumor with
malignant epithelial and sarcomatous components. The malignant epithelial
component was predominantly composed of basaloid squamous carcinoma, and the
sarcomatous component was mostly composed of undifferentiated spindle cells. A
small focus of a chondrosarcomatous component was present. There were also
transitional zones between the two components. In addition, the spindle cells of
the sarcomatous component were partially positive for cytokeratin 7. We believe
that the findings of this case study will increase the morphological diversity
used for diagnosing malignant tumors of the ureter.
PMID- 18057015
TI - A case of superficial hypopharyngeal cancer treated by EMR.
PMID- 18057016
TI - Comparison of time trends in oesophagus cancer incidence (1973-1997) in East
Asia, Europe, and the USA, from cancer incidence in five continents Vols IV VIII.
PMID- 18057018
TI - Bayesian ranking of biochemical system models.
AB - MOTIVATION: There often are many alternative models of a biochemical system.
Distinguishing models and finding the most suitable ones is an important
challenge in Systems Biology, as such model ranking, by experimental evidence,
will help to judge the support of the working hypotheses forming each model.
Bayes factors are employed as a measure of evidential preference for one model
over another. Marginal likelihood is a key component of Bayes factors, however
computing the marginal likelihood is a difficult problem, as it involves
integration of nonlinear functions in multidimensional space. There are a number
of methods available to compute the marginal likelihood approximately. A detailed
investigation of such methods is required to find ones that perform appropriately
for biochemical modelling. RESULTS: We assess four methods for estimation of the
marginal likelihoods required for computing Bayes factors. The Prior Arithmetic
Mean estimator, the Posterior Harmonic Mean estimator, the Annealed Importance
Sampling and the Annealing-Melting Integration methods are investigated and
compared on a typical case study in Systems Biology. This allows us to understand
the stability of the analysis results and make reliable judgements in uncertain
context. We investigate the variance of Bayes factor estimates, and highlight the
stability of the Annealed Importance Sampling and the Annealing-Melting
Integration methods for the purposes of comparing nonlinear models. AVAILABILITY:
Models used in this study are available in SBML format as the supplementary
material to this article.
PMID- 18057019
TI - Mutual information without the influence of phylogeny or entropy dramatically
improves residue contact prediction.
AB - MOTIVATION: Compensating alterations during the evolution of protein families
give rise to coevolving positions that contain important structural and
functional information. However, a high background composed of random noise and
phylogenetic components interferes with the identification of coevolving
positions. RESULTS: We have developed a rapid, simple and general method based on
information theory that accurately estimates the level of background mutual
information for each pair of positions in a given protein family. Removal of this
background results in a metric, MIp, that correctly identifies substantially more
coevolving positions in protein families than any existing method. A significant
fraction of these positions coevolve strongly with one or only a few positions.
The vast majority of such position pairs are in contact in representative
structures. The identification of strongly coevolving position pairs can be used
to impose significant structural limitations and should be an important
additional constraint for ab initio protein folding. AVAILABILITY: Alignments and
program files can be found in the Supplementary Information.
PMID- 18057020
TI - Association studies for untyped markers with TUNA.
AB - The software package TUNA (Testing UNtyped Alleles) implements a fast and
efficient algorithm for testing association of genotyped and ungenotyped variants
in genome-wide case-control studies. TUNA uses Linkage Disequilibrium (LD)
information from existing comprehensive variation datasets such as HapMap to
construct databases of frequency predictors using linear combination of haplotype
frequencies of genotyped SNPs. The predictors are used to estimate untyped allele
frequencies, and to perform association tests. The methods incorporated in TUNA
achieve great accuracy in estimation, and the software is computationally
efficient and does not demand a lot of system memory and CPU resources.
AVAILABILITY: The software package is available for download from the website:
http://www.stat.uchicago.edu/~wen/tuna/.
PMID- 18057021
TI - Assembly reconciliation.
AB - MOTIVATION: Many genomes are sequenced by a collaboration of several centers, and
then each center produces an assembly using their own assembly software. The
collaborators then pick the draft assembly that they judge to be the best and the
information contained in the other assemblies is usually not used. METHODS: We
have developed a technique that we call assembly reconciliation that can merge
draft genome assemblies. It takes one draft assembly, detects apparent errors,
and, when possible, patches the problem areas using pieces from alternative draft
assemblies. It also closes gaps in places where one of the alternative assemblies
has spanned the gap correctly. RESULTS: Using the Assembly Reconciliation
technique, we produced reconciled assemblies of six Drosophila species in
collaboration with Agencourt Bioscience and The J. Craig Venter Institute. These
assemblies are now the official (CAF1) assemblies used for analysis. We also
produced a reconciled assembly of Rhesus Macaque genome, and this assembly is
available from our website http://www.genome.umd.edu. AVAILABILITY: The
reconciliation software is available for download from
http://www.genome.umd.edu/software.htm
PMID- 18057022
TI - Differential targeting of nNOS and AQP4 to dystrophin-deficient sarcolemma by
membrane-directed alpha-dystrobrevin.
AB - alpha-Dystrobrevin associates with and is a homologue of dystrophin, the protein
linked to Duchenne and Becker muscular dystrophies. We used a transgenic approach
to restore alpha-dystrobrevin to the sarcolemma in mice that lack dystrophin (mdx
mice) to study two interrelated functions: (1) the ability of alpha-dystrobrevin
to rescue components of the dystrophin complex in the absence of dystrophin and
(2) the ability of sarcolemmal alpha-dystrobrevin to ameliorate the dystrophic
phenotype. We generated transgenic mice expressing alpha-dystrobrevin-2a linked
to a palmitoylation signal sequence and bred them onto the alpha-dystrobrevin
null and mdx backgrounds. Expression of palmitoylated alpha-dystrobrevin
prevented the muscular dystrophy observed in the alpha-dystrobrevin-null mice,
demonstrating that the altered form of alpha-dystrobrevin was functional. On the
mdx background, the palmitoylated form of alpha-dystrobrevin was expressed on the
sarcolemma but did not significantly ameliorate the muscular dystrophy phenotype.
Palmitoylated dystrobrevin restored alpha-syntrophin and aquaporin-4 (AQP4) to
the mdx sarcolemma but was unable to recruit beta-dystroglycan or the
sarcoglycans. Despite restoration of sarcolemmal alpha-syntrophin, neuronal
nitric oxide synthase (nNOS) was not localized to the sarcolemma, suggesting that
nNOS requires both dystrophin and alpha-syntrophin for correct localization.
Thus, although nNOS and AQP4 both require interaction with the PDZ domain of
alpha-syntrophin for sarcolemmal association, their localization is regulated
differentially.
PMID- 18057023
TI - Regulation of gene expression during M-G1-phase in fission yeast through Plo1p
and forkhead transcription factors.
AB - In fission yeast the expression of several genes during M-G1 phase is controlled
by binding of the PCB binding factor (PBF) transcription factor complex to Pombe
cell cycle box (PCB) promoter motifs. Three components of PBF have been
identified, including two forkhead-like proteins Sep1p and Fkh2p, and a MADS-box
like protein, Mbx1p. Here, we examine how PBF is controlled and reveal a role for
the Polo kinase Plo1p. plo1(+) shows genetic interactions with sep1(+), fkh2(+)
and mbx1(+), and overexpression of a kinase-domain mutant of plo1 abolishes M-G1
phase transcription. Plo1p binds to and directly phosphorylates Mbx1p, the first
time a Polo kinase has been shown to phosphorylate a MADS box protein in any
organism. Fkh2p and Sep1p interact in vivo and in vitro, and Fkh2p, Sep1p and
Plo1p contact PCB promoters in vivo. However, strikingly, both Fkh2p and Plo1p
bind to PCB promoters only when PCB-controlled genes are not expressed during S-
and G2-phase, whereas by contrast Sep1p contacts PCBs coincident with M-G1-phase
transcription. Thus, Plo1p, Fkh2p and Sep1p control M-G1-phase gene transcription
through a combination of phosphorylation and cell-cycle-specific DNA binding to
PCBs.
PMID- 18057024
TI - Functional diversification of centrins and cell morphological complexity.
AB - In addition to their key role in the duplication of microtubule organising
centres (MTOCs), centrins are major constituents of diverse MTOC-associated
contractile arrays. A centrin partner, Sfi1p, has been characterised in yeast as
a large protein carrying multiple centrin-binding sites, suggesting a model for
centrin-mediated Ca2+-induced contractility and for the duplication of MTOCs. In
vivo validation of this model has been obtained in Paramecium, which possesses an
extended contractile array - the infraciliary lattice (ICL) - essentially
composed of centrins and a huge Sfi1p-like protein, PtCenBP1p, which is essential
for ICL assembly and contractility. The high molecular diversity revealed here by
the proteomic analysis of the ICL, including ten subfamilies of centrins and two
subfamilies of Sf1p-like proteins, led us to address the question of the
functional redundancy, either between the centrin-binding proteins or between the
centrin subfamilies. We show that all are essential for ICL biogenesis. The two
centrin-binding protein subfamilies and nine of the centrin subfamilies are ICL
specific and play a role in its molecular and supramolecular architecture. The
tenth and most conserved centrin subfamily is present at three cortical locations
(ICL, basal bodies and contractile vacuole pores) and might play a role in
coordinating duplication and positioning of cortical organelles.
PMID- 18057026
TI - Arginine methylation at a glance.
PMID- 18057027
TI - Advances in fluorescent protein technology.
AB - Current fluorescent protein (FP) development strategies are focused on fine
tuning the photophysical properties of blue to yellow variants derived from the
Aequorea victoria jellyfish green fluorescent protein (GFP) and on the
development of monomeric FPs from other organisms that emit in the yellow-orange
to far-red regions of the visible light spectrum. Progress toward these goals has
been substantial, and near-infrared emitting FPs may loom over the horizon. The
latest efforts in jellyfish variants have resulted in new and improved monomeric
BFP, CFP, GFP and YFP variants, and the relentless search for a bright, monomeric
and fast-maturing red FP has yielded a host of excellent candidates, although
none is yet optimal for all applications. Meanwhile, photoactivatable FPs are
emerging as a powerful class of probes for intracellular dynamics and,
unexpectedly, as useful tools for the development of superresolution microscopy
applications.
PMID- 18057028
TI - Nucleotide P2Y1 receptor regulates EGF receptor mitogenic signaling and
expression in epithelial cells.
AB - Epidermal growth factor receptor (EGFR) function is transregulated by a variety
of stimuli, including agonists of certain G-protein-coupled receptors (GPCRs).
One of the most ubiquitous GPCRs is the P2Y(1) receptor (P2RY1, hereafter
referred to as P2Y(1)R) for extracellular nucleotides, mainly ADP. Here, we show
in tumoral HeLa cells and normal FRT epithelial cells that P2Y(1)R broadcasts
mitogenic signals by transactivating the EGFR. The pathway involves PKC, Src and
cell surface metalloproteases. Stimulation of P2Y(1)R for as little as 15-60
minutes triggers mitogenesis, mirroring the half-life of extracellular ADP.
Apyrase degradation of extracellular nucleotides and drug inhibition of P2Y(1)R,
both reduced basal cell proliferation of HeLa and FRT cells, but not MDCK cells,
which do not express P2Y(1)R. Thus, cell-released nucleotides constitute strong
mitogenic stimuli, which act via P2Y(1)R. Strikingly, MDCK cells ectopically
expressing P2Y(1)R display a highly proliferative phenotype that depends on EGFR
activity associated with an increased level of EGFR, thus disclosing a novel
aspect of GPCR-mediated regulation of EGFR function. These results highlight a
role of P2Y(1)R in EGFR-dependent epithelial cell proliferation. P2Y(1)R could
potentially mediate both trophic stimuli of basally released nucleotides and
first-line mitogenic stimulation upon tissue damage. It could also contribute to
carcinogenesis and serve as target for antitumor therapies.
PMID- 18057029
TI - Drosophila Vps35 function is necessary for normal endocytic trafficking and actin
cytoskeleton organisation.
AB - To identify novel proteins required for receptor-mediated endocytosis, we have
developed an RNAi-based screening method in Drosophila S2 cells, based on uptake
of a scavenger receptor ligand. Some known endocytic proteins are essential for
endocytosis in this assay, including clathrin and alpha-adaptin; however, other
proteins important for synaptic vesicle endocytosis are not required. In a small
screen for novel endocytic proteins, we identified the Drosophila homologue of
Vps35, a component of the retromer complex, involved in endosome-to-Golgi
trafficking. Loss of Vps35 inhibits scavenger receptor ligand endocytosis, and
causes mislocalisation of a number of receptors and endocytic proteins. Vps35 has
tumour suppressor properties because its loss leads to overproliferation of blood
cells in larvae. Its loss also causes signalling defects at the neuromuscular
junction, including upregulation of TGFbeta/BMP signalling and excessive
formation of synaptic terminals. Vps35 negatively regulates actin polymerisation,
and genetic interactions suggest that some of the endocytic and signalling
defects of vps35 mutants are due to this function.
PMID- 18057030
TI - A dileucine motif in its cytoplasmic domain directs beta-catenin-uncoupled E
cadherin to the lysosome.
AB - The E-cadherin-catenin complex regulates Ca(2+)-dependent cell-cell adhesion and
is localized to the basolateral membrane of polarized epithelial cells.
Uncoupling beta-catenin from E-cadherin by deletion or substitution mutations
causes accumulation of these proteins in intracellular compartments, including
the trans-Golgi network and early endosomes, and degradation in lysosomes.
Expression of a dominant-negative dynamin did not change the pattern of the
mutant E-cadherin localization, indicating that the endocytosis of the protein
from the cell surface does not contribute significantly to the accumulation of
the protein in the intracellular compartments. Alternatively, E-cadherin lacking
its entire cytoplasmic domain (tail-less E-cadherin) was detected on the surface
of cells and targeted to the basolateral membrane. We found that 20 amino acid
residues within the juxtamembrane region contain the signal responsible for
intracellular accumulation and the lysosomal targeting of E-cadherin. A dileucine
motif within this region seems crucial, because substitution of these residues to
alanines resulted in efficient surface expression of the protein. The tail-less E
cadherin construct and the dileucine-substitution construct were detected on the
basolateral membranes. Thus, the dileucine motif of E-cadherin is not required
for its basolateral targeting.
PMID- 18057031
TI - Munc18-1 prevents the formation of ectopic SNARE complexes in living cells.
AB - Membrane trafficking in eukaryotic cells must be strictly regulated both
temporally and spatially. The assembly at the plasma membrane of the ternary
SNARE complex, formed between syntaxin1a, SNAP-25 and VAMP, is essential for
efficient exocytotic membrane fusion. These exocytotic SNAREs are known to be
highly promiscuous in their interactions with other non-cognate SNAREs. It is
therefore an important cellular requirement to traffic exocytotic SNARE proteins
through the endoplasmic reticulum and Golgi complex while avoiding ectopic
interactions between SNARE proteins. Here, we show that syntaxin1a traffics in an
inactive form to the plasma membrane, requiring a closed-form interaction, but
not N-terminal binding, with munc18-1. If syntaxin is permitted to interact with
SNAP-25, both proteins fail to traffic to the plasma membrane, becoming trapped
in intracellular compartments. The munc18-1-syntaxin interactions must form
before syntaxin encounters SNAP-25 in the Golgi complex, preventing the formation
of intracellular exocytotic SNARE complexes there. Upon delivery to the plasma
membrane, most SNARE clusters in resting cells do not produce detectable FRET
between t-SNARE proteins. These observations highlight the crucial role that
munc18-1 plays in trafficking syntaxin through the secretory pathway.
PMID- 18057032
TI - Detention of insolvent patients in Burundian hospitals.
AB - Between February and June 2006, Human Rights Watch and the Association for the
Promotion of Human Rights and Detained Persons conducted an investigation into
the detention of insolvent hospital patients in Burundi. Of 11 hospitals visited,
nine were found to be holding former patients in detention for being unable to
pay their hospital bills. Thirty-seven detained patients, and key informants in
government, hospital administration and health services, were interviewed. The
detention of insolvent hospital patients was described as a routine practice,
dating from the 1990s. Conditions of detention included overcrowding,
insufficient food and water, and withholding of further medical treatment.
Seventy-two per cent of patients interviewed had been detained for 1 month or
longer at the time of interview. Mechanisms designed to exempt or reimburse the
health fees of low-income and indigent people failed to protect patients from
becoming detained. The detention of insolvent patients is a clear violation of
rights established under international law, including the right not to be
arbitrarily detained or detained as debtors and the right to accessible health
care. The abolition of user fees for women giving birth and for small children in
May 2006 has reduced the number of detained patients, but in June 2006 we visited
two hospitals and found 77 detained men, older children and women with other
health problems. Burundi, with the support of the international community, must
immediately stop the detention of patients and address the urgent financing needs
of health facilities.
PMID- 18057033
TI - NICE guidance does not tally with clinical practice--a district general
experience.
PMID- 18057034
TI - Hsp90 canalizes developmental perturbation.
AB - Stochastic processes are intrinsic phenomena that perturb developmental
processes. However, the canalization process restricts the magnitude of
perturbation and hence the magnitude of morphological variation during
development. Heat-shock protein 90 (Hsp90) chaperones are a class of proteins
stabilizing a network of 'client' proteins that are involved in diverse signal
transduction pathways affecting development. Here it is reported that a reduction
of Hsp90 gene dose creates canalization perturbations that affect many aspects of
Arabidopsis development and results in a plethora of morphological alterations.
Hence, Hsp90 restricts stochastic phenomena by minimizing perturbations, thereby
canalizing development. It is also shown that morphogenesis is determined by
three mutually inter-related parameters: genotype, environment, and time. Hsp90
is involved in the interaction of these three parameters which ultimately affect
developmental processes. The amount of phenotypic variation upon the reduction of
Hsp90 function could be perceived as adaptive and could have an impact on the
evolutionary process.
PMID- 18057035
TI - Effects of shoot bending on lateral fate and hydraulics: invariant and changing
traits across five apple genotypes.
AB - The aim of this work was to study the variability of physiological responses to
bending and the relationship with hydraulic conductance of the sap pathway to the
laterals for five apple genotypes. The study focuses on the fate of the laterals.
The genetic variability of bending can have two sources: a genetic variability of
stem geometry which can lead to differences in mechanical state; and a genetic
variability of sensitivity to bending. Since the aim was to check if some genetic
variability of sensitivity to bending exists, the genetic variability of shoot
geometry was taken into account. To do so, bending was controlled by imposing
different bending intensities using guides of different curvature conferring a
similar level of deformation to the five genotypes. Bending was done either in
the proximal zone or in the distal zone of shoots, in June and in the following
winter, respectively. A Principal Component Analysis comparing upright and bent
shoots revealed that bending in the proximal zone stimulated vegetative growth of
buds which would otherwise stay latent. A second Principal Component Analysis
restricted to bent shoots revealed that bending increased the abortion of
laterals in the lower face of the shoots. The abortion phenomenon was to the
detriment of sylleptic laterals or of inflorescence, depending on the genotype.
There was a strong effect of position around the shoot on within-shoot
hydraulics. Hydraulic conductance was significantly decreased in the lower face
of the shoot bent in winter. This result suggested a causal relationship between
this phenomenon and lateral abortion.
PMID- 18057036
TI - Transpiration efficiency of a tropical pioneer tree (Ficus insipida) in relation
to soil fertility.
AB - The response of whole-plant water-use efficiency, termed transpiration efficiency
(TE), to variation in soil fertility was assessed in a tropical pioneer tree,
Ficus insipida Willd. Measurements of stable isotope ratios (delta(13)C,
delta(18)O, delta(15)N), elemental concentrations (C, N, P), plant growth,
instantaneous leaf gas exchange, and whole-plant water use were used to analyse
the mechanisms controlling TE. Plants were grown individually in 19 l pots with
non-limiting soil moisture. Soil fertility was altered by mixing soil with
varying proportions of rice husks, and applying a slow release fertilizer. A
large variation was observed in leaf photosynthetic rate, mean relative growth
rate (RGR), and TE in response to experimental treatments; these traits were well
correlated with variation in leaf N concentration. Variation in TE showed a
strong dependence on the ratio of intercellular to ambient CO(2) mole fractions
(c(i)/c(a)); both for instantaneous measurements of c(i)/c(a) (R(2)=0.69, P
<0.0001, n=30), and integrated estimates based on C isotope discrimination
(R(2)=0.88, P <0.0001, n=30). On the other hand, variations in the leaf-to-air
humidity gradient, unproductive water loss, and respiratory C use probably played
only minor roles in modulating TE in the face of variable soil fertility. The
pronounced variation in TE resulted from a combination of the strong response of
c(i)/c(a) to leaf N, and inherently high values of c(i)/c(a) for this tropical
tree species; these two factors conspired to cause a 4-fold variation among
treatments in (1-c(i)/c(a)), the term that actually modifies TE. Results suggest
that variation in plant N status could have important implications for the
coupling between C and water exchange in tropical forest trees.
PMID- 18057037
TI - Model-assisted analysis of tomato fruit growth in relation to carbon and water
fluxes.
AB - This work proposed a model of tomato growth adapted from the Fishman and Genard
model developed to predict carbon and water accumulation in peach fruit. The main
adaptations relied on the literature on tomato and mainly concerned: (i) the
decrease in cell wall extensibility coefficient during fruit development; (ii)
the increase in the membrane reflection coefficient to solute from 0 to 1, which
accounted for the switch from symplasmic to apoplasmic phloem unloading, and
(iii) the negative influence of the initial fruit weight on the maximum rate of
active carbon uptake based on the assumption of higher competition for carbon
among cells in large fruits containing more cells. A sensitivity analysis was
performed and the model was calibrated and evaluated with satisfaction on 17
experimental datasets obtained under contrasting environmental (temperature, air
vapour pressure deficit) and plant (plant fruit load and fruit position)
conditions. Then the model was used to analyse the variations in the main fluxes
involved in tomato fruit growth and accumulation of carbon in response to virtual
carbon and water stresses. The conclusions are that this model, integrating
simple biophysical laws, was able to simulate the complex fruit behaviour in
response to external or internal factors and thus it may be a powerful tool for
managing fruit growth and quality.
PMID- 18057038
TI - Effect of cold acclimation on the photosynthetic performance of two ecotypes of
Colobanthus quitensis (Kunth) Bartl.
AB - The effects of cold acclimation of two ecotypes (Antarctic and Andes) of
Colobanthus quitensis (Kunth) Bartl. Caryophyllaceae on their photosynthetic
characteristics and performance under high light (HL) were compared. Non
acclimated plants of the Antarctic ecotype exhibited a higher (34%) maximal rate
of photosynthesis than the Andes ecotype. In cold-acclimated plants the light
compensation point was increased. Dark respiration was significantly increased
during the exposure to 4 degrees C in both ecotypes. Cold-acclimated Antarctic
plants showed higher Phi(PSII) and qP compared with the Andes ecotype. In
addition, the Antarctic ecotype exhibited higher heat dissipation (NPQ),
especially in the cold-acclimated state, which was mainly associated with the
fast relaxing component of non-photochemical quenching (NPQ(F)). By contrast, the
Andes ecotype exhibited a lower NPQ(F) and a significant increase in the slowly
relaxing component (NPQ(s)) at low temperature and HL, indicating higher
sensitivity to low temperature-induced photoinhibition. Although the xanthophyll
cycle was fully operational in both ecotypes, cold-acclimated Antarctic plants
exposed to HL exhibited higher epoxidation state of the xanthophyll cycle
pigments (EPS) compared with the cold-acclimated Andes ecotype. Thus, the
photosynthetic apparatus of the Antarctic ecotype operates more efficiently than
that of the Andes one, under a combination of low temperature and HL. The ecotype
differences are discussed in relation to the different climatic conditions of the
two Colobanthus.
PMID- 18057039
TI - Genome-wide analysis of the UDP-glucose dehydrogenase gene family in Arabidopsis,
a key enzyme for matrix polysaccharides in cell walls.
AB - Arabidopsis cell walls contain large amounts of pectins and hemicelluloses, which
are predominantly synthesized via the common precursor UDP-glucuronic acid. The
major enzyme for the formation of this nucleotide-sugar is UDP-glucose
dehydrogenase, catalysing the irreversible oxidation of UDP-glucose into UDP
glucuronic acid. Four functional gene family members and one pseudogene are
present in the Arabidopsis genome, and they show distinct tissue-specific
expression patterns during plant development. The analyses of reporter gene lines
indicate gene expression of UDP-glucose dehydrogenases in growing tissues. The
biochemical characterization of the different isoforms shows equal affinities for
the cofactor NAD(+) ( approximately 40 microM) but variable affinities for the
substrate UDP-glucose (120-335 microM) and different catalytic constants,
suggesting a regulatory role for the different isoforms in carbon partitioning
between cell wall formation and sucrose synthesis as the second major UDP-glucose
consuming pathway. UDP-glucose dehydrogenase is feedback inhibited by UDP-xylose.
The relatively (compared with a soybean UDP-glucose dehydrogenase) low affinity
of the enzymes for the substrate UDP-glucose is paralleled by the weak inhibition
of the enzymes by UDP-xylose. The four Arabidopsis UDP-glucose dehydrogenase
isoforms oxidize only UDP-glucose as a substrate. Nucleotide-sugars, which are
converted by similar enzymes in bacteria, are not accepted as substrates for the
Arabidopsis enzymes.
PMID- 18057040
TI - Functional analysis of a RING domain ankyrin repeat protein that is highly
expressed during flower senescence.
AB - A gene encoding a RING zinc finger ankyrin repeat protein (MjXB3), a putative E3
ubiquitin ligase, is highly expressed in petals of senescing four o'clock
(Mirabilis jalapa) flowers, increasing >40,000-fold during the onset of visible
senescence. The gene has homologues in many other species, and the Petunia
homologue is strongly up-regulated in senescing Petunia corollas. Silencing the
expression of this gene in Petunia, using virus-induced gene silencing, resulted
in a 2 d extension in flower life. In Mirabilis, a 2 kb promoter region, 5'
upstream of the MjXB3 gene, was isolated. The promoter sequence included putative
binding sites for many DNA-binding proteins, including the bZIP, Myb, homeodomain
leucine zipper (HD-Zip), MADS-box, and WRKY transcription factors. The construct
containing a 1 kb promoter region immediately upstream of the MjXB3 gene drove
the strongest expression of the beta-glucuronidase (GUS) reporter gene in a
transient expression assay. In Petunia, GUS expression under the control of this
heterologous promoter fragment was specific to senescing flowers. The Mirabilis
promoter GUS construct was tested in other flower species; while GUS activity in
carnation petals was high during senescence, no expression was detected in three
monocotyledonous flowers--daylily (Hemerocallis 'Stella d'Oro'), daffodil
(Narcissus pseudonarcissus 'King Alfred'), and orchid (Dendrobium 'Emma White').
PMID- 18057041
TI - Isolation and characterization of four ethylene signal transduction elements in
plums (Prunus salicina L.).
AB - Plums are climacteric fruits: their ripening is associated with a burst of
ethylene production and respiration rate. Stone fruits, including plum, have a
distinct pattern of growth and development, described as a double sigmoid
pattern. In order to understand the developmental control of ethylene perception
in plum, four ethylene perception and signal transduction components (EPSTCs)
were characterized, including two ETR1-like proteins (Ps-ETR1 and Ps-ERS1), a
CTR1-like protein, and an ethylene-responsive element-binding factor (ERF). Their
regulation was studied throughout fruit development and ripening in early and
late cultivars. Analysis of transcript levels revealed that only Ps-ERF1 and Ps
ERS1 accumulated immediately after fertilization. Increases in Ps-ETR1 and Ps
CTR1 transcript levels could not be detected before S3 of fruit development.
Marked differences associated with the ripening behaviour of early ('Early
Golden') and late ('Shiro') Japanese plum cultivars were observed. The early
cultivar showed ripening patterns typical of climacteric fruits accompanied by
sharp increases of the four transcript levels in an ethylene-dependent manner.
However, the late cultivar exhibited a suppressed-climacteric pattern, with a
slight increase in ethylene production related to ripening. The accumulation of
the Ps-ETR1 (and not Ps-CTR1) mRNA in the late cultivar was ethylene independent.
Ps-ERS1 mRNA was expressed at low, constant levels, while, Ps-ERF1 remained
undetectable. The differences between the two plum cultivars in the date and rate
of ripening in relation to the differences in the accumulation patterns of the
four mRNAs are discussed.
PMID- 18057042
TI - The conserved cysteine-rich domain of a tesmin/TSO1-like protein binds zinc in
vitro and TSO1 is required for both male and female fertility in Arabidopsis
thaliana.
AB - Development of reproductive tissue and control of cell division are common
challenges to all sexually reproducing eukaryotes. The Arabidopsis thaliana TSO1
gene is involved in both these processes. Mild tso1 mutant alleles influence only
ovule development, whereas strong alleles have an effect on all floral tissues
and cause cell division defects. The tso1 mutants described so far carry point
mutations in a conserved cysteine-rich domain, the CRC domain, but the reason for
the range of phenotypes observed is poorly understood. In the present study, the
tesmin/TSO1-like CXC (TCX) proteins are characterized at the biochemical,
genomic, transcriptomic, and functional level to address this question. It is
shown that the CRC domain binds zinc, offering an explanation for the severity of
tso1 alleles where cysteine residues are affected. In addition, the phylogenetic
and expression analysis of the TCX genes suggested an overlap in function between
AtTSO1 and the related gene AtTCX2. Their expression ratios indicated that
pollen, in addition to ovules, would be sensitive to loss of TSO1 function. This
was confirmed by analysis of novel tso1 T-DNA insertion alleles where the
development of both pollen and ovules was affected.
PMID- 18057043
TI - Gene expression associated with increased supercooling capability in xylem
parenchyma cells of larch (Larix kaempferi).
AB - Xylem parenchyma cells (XPCs) in larch adapt to subfreezing temperatures by deep
supercooling, while cortical parenchyma cells (CPCs) undergo extracellular
freezing. The temperature limits of supercooling in XPCs changed seasonally from
30 degrees C during summer to -60 degrees C during winter as measured by freezing
resistance. Artificial deacclimation of larch twigs collected in winter reduced
the supercooling capability from -60 degrees C to -30 degrees C. As an approach
to clarify the mechanisms underlying the change in supercooling capability of
larch XPCs, genes expressed in association with increased supercooling capability
were examined. By differential screening and differential display analysis, 30
genes were found to be expressed in association with increased supercooling
capability in XPCs. These 30 genes were categorized into several groups according
to their functions: signal transduction factors, metabolic enzymes, late
embryogenesis abundant proteins, heat shock proteins, protein synthesis and
chromatin constructed proteins, defence response proteins, membrane transporters,
metal-binding proteins, and functionally unknown proteins. All of these genes
were expressed most abundantly during winter, and their expression was reduced or
disappeared during summer. The expression of all of the genes was significantly
reduced or disappeared with deacclimation of winter twigs. Interestingly, all but
one of the genes were expressed more abundantly in the xylem than in the cortex.
Eleven of the 30 genes were thought to be novel cold-induced genes. The results
suggest that change in the supercooling capability of XPCs is associated with
expression of genes, including genes whose functions have not been identified,
and also indicate that gene products that have been thought to play a role in
dehydration tolerance by extracellular freezing also have a function by deep
supercooling.
PMID- 18057044
TI - MdERFs, two ethylene-response factors involved in apple fruit ripening.
AB - Two MdERFs (ethylene-response factors) were isolated from ripening apple
(Malusxdomestica Borkh. cv. Golden Delicious) fruit. The features of their
conserved motifs indicated that MdERF1 and MdERF2 belong to group VII and group
IX categories in Arabidopsis, respectively. MdERF1 was expressed predominantly in
ripening fruit, although a small degree of expression was also observed in non
fruit tissues, whereas MdERF2 was expressed exclusively in ripening fruit. The
increased expression in ripening fruit was repressed by treatment with 1
methylcyclopropene (1-MCP: a potent antagonist of ethylene receptors), indicating
that transcription is regulated positively by the ethylene signalling system.
Indeed, it was a tendency for cultivars with low ethylene production to show
lower MdERFs expression than those with high ethylene production. On the basis of
concomitant analyses of the expression of some genes related to ripening, the
functions of MdERFs and the role of ethylene in the ripening process are
discussed.
PMID- 18057045
TI - Identification of variation in adaptively important traits and genome-wide
analysis of trait-marker associations in Triticum monococcum.
AB - Einkorn wheat Triticum monococcum (2n=2x=14, A(m)A(m)) is one of the earliest
domesticated crops. However, it was abandoned for cultivation before the Bronze
Age and has infrequently been used in wheat breeding. Little is known about the
genetic variation in adaptively important biological traits in T. monococcum. A
collection of 30 accessions of diverse geographic origins were characterized for
phenotypic variation in various agro-morphological traits including grain storage
proteins and endosperm texture, nucleotide-binding site (NBS) domain profiles of
resistance (R) genes and resistance gene analogues (RGAs), and germination under
salt and drought stresses. Forty-six SSR (single sequence repeat) markers from
bread wheat (T. aestivum, 2n=6x=42, AABBDD) A genome were used to establish trait
marker associations using linear mixed models. Multiple significant associations
were identified, some of which were on chromosomal regions containing previously
known genetic loci. It is concluded that T. monococcum possesses large genetic
diversity in multiple traits. The findings also indicate that the efficiency of
association mapping is much higher in T. monococcum than in other plant species.
The use of T. monococcum as a reference species for wheat functional genomics is
discussed.
PMID- 18057046
TI - Sucrose phosphate synthase activity and the co-ordination of carbon partitioning
during sucrose and amino acid accumulation in desiccation-tolerant leaf material
of the C4 resurrection plant Sporobolus stapfianus during dehydration.
AB - Both sucrose and amino acids accumulate in desiccation-tolerant leaf material of
the C(4) resurrection plant, Sporobolus stapfianus Gandoger (Poaceae). The
present investigation was aimed at examining sucrose phosphate synthase (SPS)
activity and various metabolic checkpoints involved in the co-ordination of
carbon partitioning between these competing pathways during dehydration. In the
initial phase of dehydration, photosynthesis and starch content declined to
immeasurable levels, whilst significant increases in hexose sugars, sucrose, and
amino acids were associated with concomitant significant increases in SPS and
pyruvate kinase (PK) activities, and maximal activity levels of
phosphoenolpyruvate carboxylase (PEPCase), NADP-dependent isocitrate
dehydrogenase (NADP-ICDH), and NADH-dependent glutamate synthase (NADH-GOGAT).
The next phase of dehydration was characterized by changes in metabolism
coinciding with net hexose sugar phosphorylation. This phase was characterized by
a further significant increase in sucrose accumulation, with increased rates of
net sucrose accumulation and maximum rates of SPS activity measured under both
saturating and limiting (inhibitory) conditions. SPS protein was also increased.
The stronger competitive edge of SPS for carbon entering glycolysis during hexose
phosphorylation was also demonstrated by the further decrease in respiration and
the simultaneous, significant decline in both PEPCase and PK activities. A
decreased anabolic demand for 2-oxoglutarate (2OG), which remained constant, was
shown by the co-ordinated decrease in GOGAT. It is proposed that the further
increase in amino acids in this phase of dehydration may be in part attributable
to the breakdown of insoluble proteins.
PMID- 18057047
TI - Short, direct repeats (SDRs)-mediated post-transcriptional processing of a
transcription factor gene OsVP1 in rice (Oryza sativa).
AB - The various degrees of preharvest sprouting occurring in hybrid rice is a
limiting factor in the propagation and production of hybrid rice seeds. The
phenotype of sprouted rice is very similar to that of the maize (Zea mays) seed
specific mutation viviparous 1. VP1 has been shown to be a transcription factor
essential for seed maturation and dormancy induction. In this study, numerous
truncated transcripts of OsVP1 resulting from an unusual post-transcriptional
processing, were detected in four rice (Oryza sativa) cultivars. The observed
events took place at a region spanning exons 1 to 5, and led to a variety of
deletions that resulted in the loss of functional domain and frame-shifts with
premature termination by introducing a stop codon. Various proportions of the
transcripts expressed in both immature and mature embryos were observed to be
incorrectly processed and associated with the genetic variation of preharvest
sprouting rates among various rice varieties. In sprouting-susceptible rice
cultivars, G46B and HeiB, many more incorrectly processed OsVP1 transcripts were
expressed in immature than in mature embryos, indicating that the unusual post
transcriptional processing of the OsVP1 gene was developmentally regulated. In
addition, comprehensive sequence analyses demonstrated the presence of paired
short direct repeats (SDRs) at the junctions of the unusual excision sites in
exons of OsVP1 gene. Site selection for the deletion of exon materials was
altered along with the genotypes and developmental stages.
PMID- 18057048
TI - Identification and characterization of carrot HAP factors that form a complex
with the embryo-specific transcription factor C-LEC1.
AB - C-LEC1, an orthologue of Arabidopsis LEC1, is thought to be an essential
transcriptional activator required for normal development during the early and
late phases of embryogenesis. C-LEC1 is similar in sequence to the HAP3 subunits
of other organisms. To understand C-LEC1 function better, a cDNA library of
carrot somatic embryos was screened for factors that form complexes with C-LEC1.
Two carrot HAP5 homologues and two carrot HAP2 homologues were identified; these
factors have significant sequence similarity to the conserved regions of HAP5 and
HAP2, respectively. Some of these proteins form heterotrimeric complexes that
bind specifically to DNA fragments containing a CCAAT sequence in vitro. The
results suggest that C-LEC1 is a component of the CCAAT-box-binding factor and
forms a complex with C-HAP2B and C-HAP5A or C-HAP5B that regulates gene
expression during carrot embryo development.
PMID- 18057049
TI - Surgical pleurodesis for Vanderschueren's stage III primary spontaneous
pneumothorax.
AB - The aim of the present study was to determine the impact of various pleurodesis
procedures on post-operative morbidity and late recurrence rate after surgical
treatment of Vanderschueren's stage III primary spontaneous pneumothorax. Between
January 2001 and June 2004, 208 consecutive patients (169 male and 39 female;
mean (range) age 25 (12-39) yrs) were submitted to 220 video-assisted
thoracoscopic surgical procedures for primary spontaneous pneumothorax. All
patients underwent apical lung resection; 112 were assigned at random to
mechanical pleural abrasion (group A) and 108 to apical pleurectomy (group B).
The two groups of patients showed similar characteristics. No intra- or post
operative deaths occurred. Post-operative morbidity was 6.25% for group A and
12.9% for group B; the two groups exhibited a similar persistent post-operative
air leak rate (5.3% in group A and 5.5% in group B), whereas haemothorax was
significantly more frequent after apical pleurectomy (eight (7.4%) cases) than
after pleural abrasion (one (0.9%) case). The mean duration of follow-up was 46
(24-66) months. Late recurrence occurred in five cases (4.6%) after apical
pleurectomy, and in seven (6.2%) after mechanical pleural abrasion. Mechanical
pleural abrasion by video-assisted thoracoscopic surgery is safer than apical
pleurectomy in the treatment of primary spontaneous pneumothorax. No differences
in late recurrence rate were observed between the two procedures.
PMID- 18057050
TI - Inhibition of VEGF blocks TGF-beta1 production through a PI3K/Akt signalling
pathway.
AB - Vascular endothelial growth factor (VEGF) is a mediator of airway inflammation
and remodelling in asthma. Transforming growth factor (TGF)-beta(1) plays pivotal
roles in diverse biological processes, including tissue remodelling and repair in
a number of chronic lung diseases. However, there are few studies elucidating the
interactions between VEGF and TGF-beta(1) in allergic airway disease. A murine
model of allergic airway disease was used to define the mechanism by which VEGF
induces subepithelial fibrosis and to investigate a potential relationship
between VEGF and TGF-beta(1) and the mechanisms by which VEGF signalling
regulates TGF-beta(1) expression in allergic airway disease. The ovalbumin (OVA)
inhaled murine model revealed the following typical pathophysiological features
of allergic airway disease in the lungs: increased numbers of inflammatory cells
of the airways, airway hyperresponsiveness, increased peribronchial fibrosis, and
increased levels of VEGF and TGF-beta(1). Administration of VEGF inhibitors
reduced the pathophysiological signs of allergic airway disease and decreased the
increased TGF-beta(1) levels and peribronchial fibrosis, including
phosphoinositide 3-kinase (PI3K) activity after OVA inhalation. In addition, the
increased TGF-beta(1) levels and collagen deposition after OVA inhalation were
decreased by administration of PI3K inhibitors. These results suggest that
inhibition of vascular endothelial growth factor attenuates peribronchial
fibrosis, at least when mediated by regulation of transforming growth factor
beta(1) expression through phosphoinositide 3-kinase/Akt pathway in a murine
model of allergic airway disease.
PMID- 18057051
TI - Effect of increasing doses of mannitol on mucus clearance in patients with
bronchiectasis.
AB - Bronchiectasis is characterised by hypersecretion and impaired clearance of
mucus. A 400-mg dose of inhaled mannitol improves mucus clearance however, the
effect of other doses is unknown. A total of 14 patients, aged 63.3+/-5.7 yrs,
were studied on five visits. Mucus clearance at baseline and with mannitol (160,
320 and 480 mg) was measured using technetium-99m-sulphur colloid and imaging
with a gamma camera over 45 min, followed by a further 30 min involving 100
voluntary coughs. A control study assessed the effect of cough provoked by
mannitol during the intervention. Whole right lung clearance over 45 min was
4.7+/-1.2 and 10.6+/-2.6% on baseline and control days, respectively, and
increased to 16.7+/-4.2, 22.8+/-4.2 and 31+/-4.7% with 160, 320 and 480 mg
mannitol, respectively. Clearance over 45 min with 480 mg mannitol was greater
than clearance with 320 and 160 mg. Total clearance over 75 min, after mannitol
administration and voluntary coughs, was 36.1+/-5.5, 40.9+/-5.6 and 46.0+/-5.2%
with 160, 320 and 480 mg mannitol, respectively, all significantly different from
baseline (24.1+/-6.0%) and control (13.1+/-3.0%). Total clearance over 75 min
with 480 mg mannitol was greater compared with 160 mg. In conclusion, mucus
clearance increases with increasing doses of mannitol and can be further
increased by cough in patients with bronchiectasis.
PMID- 18057052
TI - Endurance shuttle walking test: responsiveness to salmeterol in COPD.
AB - Few studies have shown that the endurance shuttle walking test (ESWT) is
responsive to treatment in patients with chronic obstructive pulmonary disease
(COPD). This exercise test needs to be further investigated because of its
relevance for activity of daily living. The aim of the present study was to
evaluate, in patients with COPD, the responsiveness of the ESWT in detecting
improvement in walking performance after a single dose of salmeterol. In a
randomised, double-blind, placebo-controlled crossover trial, 20 patients with
COPD performed two ESWT at 80% of peak capacity 2.5 h after inhaling either a
placebo or 50 microg of salmeterol. Cardiorespiratory parameters were monitored
during each walking test. Inspiratory capacities and Borg ratings for dyspnoea
were obtained every other minute throughout the tests. Compared with placebo,
salmeterol produced a significant change in lung function and a significant
improvement in walking performance (mean+/-sd difference in time: 117+/-20 s;
difference in distance: 160+/-277 m). At isotime (the latest exercise time that
was reached on both ESWT), a significant reduction in dyspnoea was observed after
bronchodilation. Bronchodilation with salmeterol reduced dyspnoea during walking
and improved walking capacity in patients with chronic obstructive pulmonary
disease. These findings provide further support for the use of the endurance
shuttle walking test as an evaluative tool in chronic obstructive pulmonary
disease.
PMID- 18057053
TI - Indeterminate test results of T-SPOT.TB performed under routine field conditions.
AB - Interferon-gamma release assays for the diagnosis of tuberculosis (TB) can give
indeterminate results. The prevalence of indeterminate test results (ITRs) among
T-SPOT.TB tests was assessed. A retrospective analysis of samples processed in
2005 was performed. ITRs were assessed by age, sex, immunosuppression, distance
to the laboratory and season. A subgroup of tests performed for specific
indications (contact tracing, migrants with positive tuberculin skin test, TB
suspects and immunosuppression) were analysed separately. Of a total of 1,429
tests, 49 (3.4%) were indeterminate. ITRs were significantly associated with old
age (>75 versus 5-75 yrs; odds ratio (OR) 7.97, 95% confidence interval (CI)
3.968-15.438) and the season during which samples were transported (autumn and
winter versus spring and summer; OR 3.47, 95% CI 1.753-7.514). The incidence of
ITR was 302 (2.0%) among TB contacts, 75 (1.6%) among immigrants, 156 (3.0%) in
TB suspects and 32 (3.0%) among immunosuppressed patients. Sex, young age and
distance to the laboratory were not associated with the rate of ITR. Of the 13
tests with ITR that were repeated, 10 gave a clear positive or negative result.
Indeterminate test results with T-SPOT.TB under routine conditions were
infrequent and more common in individuals aged >75 yrs than in children and
younger adults. The incidence of indeterminate test results was low and similar
among healthy tuberculosis contacts, immigrants with a positive tuberculin skin
test, tuberculosis suspects and the immunosuppressed. The conditions of
transportation may influence the incidence of indeterminate test results.
PMID- 18057054
TI - Nanoparticle-driven DNA damage mimics irradiation-related carcinogenesis
pathways.
AB - The epidemiological association between cancer and exposure to ambient air
pollution particles (particles with a 50% cut-off aerodynamic diameter of 10
microm (PM(10))) has been related to the ability of PM(10) and its constituent
nanoparticles (NPs) to cause reactive oxidative species (ROS)-driven DNA damage.
However, there are no data on the molecular response to these genotoxic effects.
In order to assess whether PM(10), NP and ROS-driven DNA damage induce
carcinogenesis pathways, A549 cells were treated with tert-butyl-hyperperoxide
(Tbh), urban dust (UD), carbon black (CB), nanoparticulate CB (NPCB),
benzo(a)pyrene (BaP) and NPCB coated with BaP for 70 yrs. Their
reference values are generally based on extrapolations. The aim of the present
study was to calculate reference values for lung function screening of healthy,
never-smoking adults aged 18-80 yrs and to compare them with the most widely used
reference equations. Results of screening spirometry of 8,684 healthy, never
smoking adults were used to calculate mean values and fifth percentiles of lung
function variables. The European Community of Coal and Steel (ECCS) reference
equations underestimate forced expiratory volume in one second (FEV(1)) and
forced vital capacity (FVC). For example, in 50-yr-old males (height 175 cm),
lower limits of normal for FEV(1) are underestimated by 198 mL, and for FVC by
210 mL. In 50-yr-old females (height 165 cm), lower limits of normal for FEV(1)
are underestimated by 191 mL, and for FVC by 270 mL. The decline of FVC in
elderly subjects is steeper than predicted by the ECCS. Reference equations
derived from spirometry data locally collected in a practical setting by well
trained personnel might be more appropriate for everyday use than generally used
equations based on data from scientific studies in the distant past.
PMID- 18057058
TI - Gastro-oesophageal reflux and gastric aspiration in lung transplant patients with
or without chronic rejection.
AB - Acid gastro-oesophageal reflux (GOR) and gastric aspiration have been labelled as
risk factors for chronic rejection bronchiolitis obliterans syndrome (BOS) after
lung transplantation (LTx). The present study aimed to further characterise GOR
(both acid and nonacid) and the degree of gastric aspiration in LTx recipients
both with and without BOS. Impedance-pH recordings were used for GOR detection.
Pepsin and bile acid levels were measured in bronchoalveolar lavage fluid (BALF).
A total of 48% of patients had increased GOR, of which 27% had exclusively
increased nonacid reflux. Cystic fibrosis patients had the highest prevalence of
GOR. Pepsin was found in BALF of all patients and bile acids in BALF of 50% of
the patients. Patients with BOS had neither increased GOR nor elevated pepsin in
BALF. However, 70% of the patients with BOS had bile in BALF compared with 31% of
stable patients. Proton pump inhibitor (PPI) treatment reduced acid reflux but
did not affect nonacid reflux. Moreover, pepsin and bile levels in BALF were not
reduced by PPI. One-half of the lung transplant patients had increased reflux,
and nonacid reflux was common. Gastric aspiration occurred in most lung
transplant patients. Pepsin was a more general marker and bile acids a more
specific marker that might be associated with bronchiolitis obliterans syndrome.
Proton pump inhibitor treatment did not prevent nonacid reflux and gastric
aspiration.
PMID- 18057059
TI - Multidisciplinary interobserver agreement in the diagnosis of idiopathic
pulmonary fibrosis.
AB - The purpose of the present study was to evaluate the accuracy of the diagnosis of
idiopathic pulmonary fibrosis (IPF) by respiratory physicians in six European
countries, and to calculate the interobserver agreement between high-resolution
computed tomography reviewers and histology reviewers in IPF diagnosis. The
diagnosis of usual interstitial pneumonia (UIP) was assessed by a local
investigator, following the American Thoracic Society/European Respiratory
Society consensus statement, and confirmed when a minimum of two out of three
expert reviewers from each expert panel agreed with the diagnosis. The level of
agreement between readers within each expert panel was calculated by weighted
kappa. The diagnosis of UIP was confirmed by the expert panels in 87.2% of cases.
A total of 179 thoracic high-resolution computed tomography scans were
independently reviewed, and an interobserver agreement of 0.40 was found. Open or
thoracoscopic lung biopsy was performed in 97 patients, 82 of whom could be
reviewed by the expert committee. The weighted kappa between histology readers
was 0.30. It is concluded that, although the level of agreement between the
readers within each panel was only fair to moderate, the overall accuracy of a
clinical diagnosis of idiopathic pulmonary fibrosis in expert centres is good
(87.2%).
PMID- 18057060
TI - Fibrinogen Aalpha Thr312Ala polymorphism is associated with chronic
thromboembolic pulmonary hypertension.
AB - Although chronic thromboembolic pulmonary hypertension (CTEPH) is characterised
by the persistence of organised thrombus, few pro-thrombotic risk factors have
been identified in subjects with the disease. The aim of the present study was to
compare the prevalence of eight functionally relevant haemostatic polymorphisms
between CTEPH subjects and healthy controls. Genomic DNA was isolated from 214
CTEPH subjects and 200 healthy controls, and analysed for Factor V Leiden,
prothrombin guanine (G) to adenine (A) substitution at nucleotide 20210
(20210G>A), plasminogen activator inhibitor-1 4G/5G, tissue plasminogen activator
7351 cytosine (C)>thymidine (T), Factor XIII 100G>T, fibrinogen Aalpha
substitution of threonine with alanine at position 312 (Thr312Ala), fibrinogen
Bbeta substitution of arginine with lysine at position 448 (Arg448Lys) and
fibrinogen Bbeta 455G>A polymorphisms. A significant difference was demonstrated
in fibrinogen Aalpha Thr312Ala genotype and allele frequencies between CTEPH
subjects and controls. The presence of the alanine allele significantly increased
the risk of CTEPH. The fibrinogen Aalpha alanine 312 allele alters fibrinogen
alpha-alpha chain cross-linkage and has previously been associated with both
increased risk of embolisation and increased resistance to thrombolysis. An
association between this polymorphism and chronic thromboembolic pulmonary
hypertension, therefore, supports an embolic aetiology for this disease, and may
provide a mechanism by which thrombus persists following an acute event.
PMID- 18057061
TI - Myeloperoxidase modulates lung epithelial responses to pro-inflammatory agents.
AB - During extensive inflammation, neutrophils undergo secondary necrosis causing
myeloperoxidase (MPO) release that may damage resident lung cells. Recent
observations suggest that MPO has pro-inflammatory properties, independent of its
enzymatic activity. The aims of the present study were to characterise MPO
internalisation by lung epithelial cells and to investigate the effect of MPO on
oxidative stress, DNA damage and cytokine production by lung epithelial cells.
Human alveolar and bronchial epithelial cells were stimulated with MPO, with or
without priming the cells with pro-inflammatory stimuli. MPO protein was detected
in the cell cytoplasm. Expression of haemoxygenase (HO)-1 and DNA strand breakage
were determined. The production of interleukin (IL)-8 and -6 were measured.
Analyses of MPO-stimulated cells demonstrated MPO presence in the cells. HO-1
expression was increased after MPO stimulation and increased further when cells
were primed before MPO stimulation. MPO exposure also induced DNA strand
breakage. Interestingly, MPO inhibited IL-8 production in bronchial, but not
alveolar epithelium. In conclusion, alveolar and bronchial epithelial cells can
internalise myeloperoxidase. Stimulation with myeloperoxidase increases
haemoxygenase-1 expression and DNA strand breakage, suggesting cell damaging
capacity of myeloperoxidase. In addition, myeloperoxidase inhibited interleukin-8
production by bronchial epithelial cells, indicating a negative feedback loop for
neutrophil recruitment.
PMID- 18057062
TI - Exhaled nitric oxide and asthma control: a longitudinal study in unselected
patients.
AB - Controlled studies have shown that monitoring of the exhaled nitric oxide
fraction (F(eNO)) improves asthma management. However, the studies seldom
consider the full range of patients seen in clinical practise. In the present
study, the ability of F(eNO) to reflect asthma control over time is investigated
in a regular clinical setting, and meaningful F(eNO) cut-off points and changes
are identified. Answers to the Asthma Control Questionnaire and F(eNO) were
recorded at least once in 341 unselected asthma patients. The whole population
and subgroups were considered, i.e. both inhaled corticosteroid (ICS)-naive and
low or high-to-medium (= or >500 mug beclomethasone dipropionate
equivalents.day(-1)) ICS-dose groups. An F(eNO) decrease <40% or increase <30%
precludes asthma control optimisation or deterioration, respectively (negative
predictive value 79 and 82%, respectively). In the present study's low-dose
group, a decrease >40% indicated asthma control optimisation (positive predictive
value (PPV) 83%). In ICS-naive patients, F(eNO) >35 ppb predicted asthma control
improvement in response to ICS (PPV 68%). In most cases, forced expiratory volume
in one second assessments were not useful. In conclusion, in a given patient,
exhaled nitric oxide fraction was found to be significantly related to asthma
control over time. The overall ability of exhaled nitric oxide fraction to
reflect asthma control was reduced in patients using high doses of inhaled
corticosteroids. Forced expiratory volume in one second had little additional
value in assessing asthma control.
PMID- 18057063
TI - Childhood sarcoidosis: long-term follow-up.
AB - The aim of the present study was to describe clinical features and long-term
survival in childhood sarcoidosis. In total, 46 ethnic Caucasian Danish children
(aged <16 yrs, 24 males) with sarcoidosis were identified in 1979-1994. In 33
(72%) children, diagnosis was verified by histology and, in the remaining 13, by
clinical and radiological findings. In total, 37 subjects had a follow-up
examination. Median (range) age at onset of disease was 14 (0.7-15.8) yrs and
median (range) clinical follow-up was 15 (3-23) yrs after onset of disease. The
median (range) age at clinical follow-up was 28 (17-30) yrs. At follow-up: 36
(78%) children recovered completely; 30 (65%) showed complete clinical regression
at a median (range) 0.7 (0.6-5.9) yrs after onset of disease; two (4%) recovered
with organ damage (unilateral loss of vision, abnormal chest radiograph); five
(11%) still had chronic active disease with multiorgan involvement and impaired
lung function; and three (7%) were deceased, due to central nervous system
sarcoidosis and acute myeloid leukaemia probably caused by cytostatics. In Danish
children, sarcoidosis had a favourable prognosis; the majority recovered <6 yrs
after onset of disease. Some developed chronic active disease and impairment of
pulmonary function, demanding continuous medical treatment. Prognosis was not
related to the age at onset of disease. Erythema nodosum was associated with a
good prognosis, and central nervous system involvement with a poor prognosis.
PMID- 18057064
TI - Phenotypic characterisation of T-lymphocytes in COPD: abnormal CD4+CD25+
regulatory T-lymphocyte response to tobacco smoking.
AB - Tobacco smoking induces an inflammatory response in the lungs of all smokers but,
for reasons that are still poorly understood, only a proportion of them develop
chronic obstructive pulmonary disease (COPD). Recent evidence indicates that this
inflammatory response persists after smoking cessation, suggesting some type of
auto-perpetuation mechanism similar to that described in autoimmune disorders. T
lymphocytes (CD4+ and CD8+) have been implicated in the pathogenesis of both COPD
and several autoimmune processes. A subtype of regulatory CD4+ T-cells expressing
CD25 (Tregs) plays a critical role in the maintenance of peripheral tolerance and
the prevention of autoimmunity, but their potential role in COPD has not been
explored. The present study sought to evaluate maturation (CD45RA/CD45R0) and
activation markers (CD28) of T-lymphocytes and to explore potential Treg
abnormalities in COPD. Flow cytometry was used to characterise T-lymphocytes
obtained from blood and bronchoalveolar lavage fluid (BALF) in 23 patients with
moderate COPD, 29 smokers with normal lung function and seven never-smokers. The
main findings were that in BALF: patients with COPD showed higher CD8+CD45RA+ and
lower CD8+CD45R0+ than smokers with normal lung function; and compared with never
smokers, smokers with preserved lung function showed a prominent upregulation of
Tregs that was absent in patients with COPD. These observations indicate a final
maturation-activation state of CD8+ T-lymphocytes in chronic obstructive
pulmonary disease and, for the first time, identify a blunted regulatory T-cell
response to tobacco smoking in these patients, further supporting a potential
involvement of the acquired immune response in the pathogenesis of the disease.
PMID- 18057065
TI - Seasonal variation in CO2 efflux of stems and branches of Norway spruce trees.
AB - BACKGROUND AND AIMS: Stem and branch respiration, important components of total
forest ecosystem respiration, were measured on Norway spruce (Picea abies) trees
from May to October in four consecutive years in order (1) to evaluate the
influence of temperature on woody tissue CO2 efflux with special focus on
variation in Q10 (change in respiration rate resulting from a 10 degrees C
increase in temperature) within and between seasons, and (2) to quantify the
contribution of above-ground woody tissue (stem and branch) respiration to the
carbon balance of the forest ecosystem. METHODS: Stem and branch CO2 efflux were
measured, using an IRGA and a closed gas exchange system, 3-4 times per month on
22-year-old trees under natural conditions. Measurements of ecosystem CO2 fluxes
were also determined during the whole experiment by using the eddy covariance
system. Stem and branch temperatures were monitored at 10-min intervals during
the whole experiment. KEY RESULTS: The temperature of the woody tissue of stems
and branches explained up to 68% of their CO2 efflux. The mean annual Q10 values
ranged from 2.20 to 2.32 for stems and from 2.03 to 2.25 for branches. The mean
annual normalized respiration rate, R10, for stems and branches ranged from 1.71
to 2.12 micromol CO2 m(-2)s (-1) and from 0.24 to 0.31 micromol CO2 m(-2) s(-1),
respectively. The annual contribution of stem and branch CO2 efflux to total
ecosystem respiration were, respectively, 8.9 and 8.1% in 1999, 9.2 and 9.2% in
2000, 7.6 and 8.6% in 2001, and 8.6 and 7.9% in 2002. Standard deviation for both
components ranged from 3 to 8% of the mean. CONCLUSIONS: Stem and branch CO2
efflux varied diurnally and seasonally, and were related to the temperature of
the woody tissue and to growth. The proportion of CO2 efflux from stems and
branches is a significant component of the total forest ecosystem respiration,
approx. 8% over the 4 years, and predictive models must take their contribution
into account.
PMID- 18057066
TI - Agalsidase therapy in patients with Fabry disease on renal replacement therapy: a
nationwide study in Italy.
AB - BACKGROUND: In Fabry disease, end-stage renal disease (ESRD) and severe
neurologic and cardiac complications represent the leading causes of late
morbidity and mortality. A comprehensive Italian nationwide survey study was
conducted to explore changes in cardiac status and renal allograft function in
Fabry patients on renal replacement therapy (RRT) and enzyme replacement therapy
(ERT). METHODS: This study was designed as a cross-sectional survey study with
prospective follow-up. Of the 34 patients identified via searches in registries,
31 males and 2 females who received RRT and ERT (agalsidase beta in 30 patients,
agalsidase alpha in 3) were included. Left ventricular mass index (LVMI),
interventricular septal thickness at end diastole (IVSD), left ventricular
posterior wall thickness (LVPWT) and renal allograft function were assessed at
ERT baseline and subsequently at yearly intervals. RESULTS: The patients in the
dialysis and transplant groups had been started on dialysis at age 42.0 and 37.1
years (mean), respectively, and patients in the transplant group received their
renal allograft at age 39.8 years (mean). The mean age at the start of ERT was
similar, 44.1 and 44.6 years, respectively. The mean RRT follow-up was 61.1 and
110.6 months for dialysis and transplant patients, respectively, whereas the ERT
duration was 45.1 and 48.4 months, respectively. Cardiac parameters increased in
dialysis patients. In transplant patients, mean LVMI seemed to plateau during
agalsidase therapy at a lower level as compared to baseline. Decline in renal
allograft function was relatively mild (-1.92 ml/min/year). Agalsidase therapy
was well tolerated. Serious ERT-unrelated events occurred more often in the
dialysis group. CONCLUSIONS: Kidney transplantation should be the standard of
care for Fabry patients progressing towards ESRD. Transplanted Fabry patients on
ERT may do better than patients remaining on maintenance dialysis. Larger,
controlled studies in Fabry patients with ESRD will have to demonstrate if ERT is
able to change the trajectory of cardiac disease and can preserve graft renal
function.
PMID- 18057067
TI - In vivo effect of the natural antioxidant hydroxytyrosol on cyclosporine
nephrotoxicity in rats.
AB - BACKGROUND: Cyclosporine A (CsA) is the first-line immunosuppressant used in
transplant patients and in auto- immune diseases. Nephrotoxicity is the major
limitation of CsA use. Although the mechanisms of nephrotoxicity have not been
completely defined, some evidence suggests that reactive oxygen species (ROS)
play a causal role. The present study was designed to investigate in vivo effects
of hydroxytyrosol (DOPET), a natural olive oil antioxidant, on oxidative stress,
renal histology and haemodynamic alterations induced in rats by CsA treatment.
METHODS: Adult Sprague-Dawley rats were treated i.p. with CsA (15 mg/kg) alone or
in combination with DOPET (20 mg/kg) for 3 weeks. At the end of the treatment,
superoxide concentration within the cells of the abdominal aorta and renal artery
was quantified from the oxidation of dihydroethidium (DHE) using fluorescence
microscopic imaging analysis. In kidney tissues, lipid peroxidation was measured
by thiobarbituric acid-reacting substances (TBARS) assay, glutathione level was
assessed enzymatically and the expression of haem oxygenase-1 (HO-1) gene was
evaluated by semiquantitative RT-PCR. Renal morphology was studied by classical
histological techniques, while the glomerular filtration rate (GFR) was estimated
by inulin clearance. Systemic blood pressure was monitored by the tail method and
through the catheterization of the carotid artery. RESULTS: CsA administration
increased superoxide concentration both in the aorta and in the renal artery,
while DOPET completely prevented this effect. Higher levels of TBARS, a
significant decrease in GSH and an upregulation of HO-1 mRNA were observed in the
kidneys of CsA-treated rats. DOPET treatment reversed quantitatively these
effects. However, CsA-dependent changes in renal histology were only partially
reversed by DOPET. Finally, CsA induced a severe reduction in GFR and a
significant increase in both systolic and diastolic blood pressure; the DOPET
treatment had no significant effect on these haemodynamic alterations.
CONCLUSION: The reported data indicate that effective DOPET protection from CsA
induced oxidative stress is associated with a mild effect on histological damages
and does not affect the altered glomerular function and the hypertension, thus
indicating that kidney injury by CsA is only in part dependent on oxidative
stress.
PMID- 18057068
TI - Geriatric comorbidities, such as falls, confer an independent mortality risk to
elderly dialysis patients.
AB - BACKGROUND: As the number of patients aged >/=65 years starting haemodialysis
(HD) continues to increase, more patients are at risk of falls, functional
decline and cognitive impairment. In an earlier prospective cohort study, we
showed that 44% of elderly HD patients had more than one fall within a 1-year
period. The objective of this study was to assess whether falls remained
predictive of increased mortality risk even after controlling for age,
comorbidity, dialysis vintage and laboratory variables. METHODS: Using a
prospective, cohort study design, patients aged >/=65 years and on chronic HD
during the period April 2002-2003 were recruited. Patients were followed
biweekly, and falls occurring within the first year were recorded. Outcome data
were collected until death, study end (30 December 2006), transplantation or
transfer to another dialysis centre. RESULTS: A total of 162 patients were
followed for a median of 32.7 months (quartiles 14-57). In a univariate Cox model
with a time-dependent variable for falls status, survival was worse amongst
fallers compared to non-fallers (HR 2.13, 95% CI 1.32-3.45; P = 0.002). After
adjustment for age, dialysis vintage, comorbidity and laboratory variables, falls
were a significant predictor of mortality (HR 1.78, 95% CI 1.07-2.98, P = 0.03).
Exclusion of falls associated with concurrent illnesses did not alter the results
(HR 1.63, CI 1.02-2.28 P = 0.05). CONCLUSIONS: We conclude that the occurrence of
more than one accidental fall in a community-dwelling HD patient aged >/=65 years
is associated with an independent increased risk of death. As fall interventions
are effective, screening HD patients for falls may be a simple measure of
clinical importance.
PMID- 18057069
TI - A genome-wide association study of sporadic ALS in a homogenous Irish population.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease
characterized by progressive limb or bulbar weakness. Efforts to elucidate the
disease-associated loci have to date produced conflicting results. One strategy
to improve power in genome-wide studies is to genotype a genetically homogenous
population. Such a population exhibits extended linkage disequilibrium (LD) and
lower allelic heterogeneity to facilitate disease gene mapping. We sought to
identify associated variants for ALS in the Irish, a stable population of
relatively homogenous genetic background, and to replicate these findings in
larger genetically out-bred populations. We conducted a genome-wide association
study in 432 Irish individuals using Illumina HumanHap 550K single nucleotide
polymorphism chips. We demonstrated extended LD and increased homogeneity in the
Irish sample when compared to an out-bred population of mixed European ancestry.
The Irish scan identified 35 loci associated with P-values below 0.0001. For
replication, we identified seven chromosomal regions commonly associated in a
joint analysis of genome-wide data on 958 ALS cases and 932 controls from Ireland
and the previously published datasets from the US and The Netherlands. When
pooled, the strongest association was a variant in the gene encoding DPP6, a
component of type A neuronal transmembrane potassium channels. Further
confirmation of the candidate loci is warranted in additional genome-wide
datasets. We have made our individual genotyping data publicly available,
contributing to a powerful world-wide resource to refine our understanding of the
genetics of sporadic ALS.
PMID- 18057071
TI - The effect of antibiotics on methicillin-resistant Staphylococcus aureus.
AB - Antimicrobial drugs encourage the overgrowth of organisms resistant to the agents
used. Acquisition and subsequent overgrowth of methicillin-resistant
Staphylococcus aureus (MRSA) are particularly associated with beta-lactam
antibiotics and quinolones. These drugs allow rapid proliferation of an organism
that might have been merely colonizing the skin, leading to clinical infection,
treatment difficulties and potential transmission to others. In addition, there
is increasing evidence that inappropriate antibiotics not only encourage
overgrowth with MRSA but may also enhance pathogenicity. Such virulence is not
necessarily due to simple expansion of MRSA across skin and mucosal surfaces;
there appear to be molecular changes that facilitate mechanisms such as quorum
sensing, adhesion, phage mobilization, exotoxin production, intracellular
persistence and biofilm formation, all of which contribute towards more severe
infection. This review examines the association between MRSA and certain classes
of antibiotics and explores the molecular mechanisms underlying a perceived
increase in virulence following inappropriate therapy. It is possible that
empirical prescribing has a significant impact on the management of MRSA
infections and ultimately patient outcome. It is time to challenge the
prescribers' right to prescribe what they like, when they like, for patients at
risk of MRSA.
PMID- 18057072
TI - Extensible open source content management systems and frameworks: a solution for
many needs of a bioinformatics group.
AB - A common challenge for bioinformaticians, in either academic or industry
laboratory environments, is providing informatic solutions via the Internet or
through a web browser. Recently, the open source community began developing tools
for building and maintaining web applications for many disciplines. These content
management systems (CMS) provide many of the basic needs of an informatics group,
whether in a small company, a group within a larger organisation or an academic
laboratory. These tools aid in managing software development, website
development, document development, course development, datasets, collaborations
and customers. Since many of these tools are extensible, they can be developed to
support other research-specific activities, such as handling large biomedical
datasets or deploying bioanalytic tools. In this review of open source website
management tools, the basic features of content management systems are discussed
along with commonly used open source software. Additionally, some examples of
their use in biomedical research are given.
PMID- 18057073
TI - An overview of image-processing methods for Affymetrix GeneChips.
AB - We present an overview of image-processing methods for Affymetrix GeneChips. All
GeneChips are affected to some extent by spatially coherent defects and image
processing has a number of potential impacts on the downstream analysis of
GeneChip data. Fortunately, there are now a number of robust and accurate
algorithms, which identify the most disabling defects. One group of algorithms
concentrate on the transformation from the original hybridisation DAT image to
the representative CEL file. Another set uses dedicated pattern recognition
routines to detect different types of hybridisation defect in replicates. A third
type exploits the information provided by public repositories of GeneChips (such
as GEO). The use of these algorithms improves the sensitivity of GeneChips, and
should be a prerequisite for studies in which there are only few probes per
relevant biological signal, such as exon arrays and SNP chips.
PMID- 18057074
TI - Unravelling Bolero: progressive aphasia, transmodal creativity and the right
posterior neocortex.
AB - Most neurological lesion studies emphasize performance deficits that result from
focal brain injury. Here, we describe striking gains of function in a patient
with primary progressive aphasia, a degenerative disease of the human language
network. During the decade before her language deficits arose, Anne Adams (AA), a
lifelong scientist, developed an intense drive to produce visual art. Paintings
from AA's artistic peak revealed her capacity to create expressive transmodal
art, such as renderings of music in paint, which may have reflected an increased
subjective relatedness among internal perceptual and conceptual images. AA became
fascinated with Maurice Ravel, the French composer who also suffered from a
progressive aphasia, and painted his best-known work, 'Bolero', by translating
its musical elements into visual form. Later paintings, achieved when AA was
nearly mute, moved towards increasing photographic realism, perhaps because
visual representations came to dominate AA's mental landscape during this phase
of her illness. Neuroimaging analyses revealed that, despite severe degeneration
of left inferior frontal-insular, temporal and striatal regions, AA showed
increased grey matter volume and hyperperfusion in right posterior neocortical
areas implicated in heteromodal and polysensory integration. The findings suggest
that structural and functional enhancements in non-dominant posterior neocortex
may give rise to specific forms of visual creativity that can be liberated by
dominant inferior frontal cortex injury.
PMID- 18057075
TI - Total cavo-pulmonary connection without foreign material for asplenic heart
associated with partial anomalous pulmonary venous connection.
AB - The presented case was a 3-year-old boy diagnosed with asplenia (SLL), double
outlet right ventricle, pulmonary stenosis, atrioventricular septal defect,
hypoplastic left ventricle and partial anomalous pulmonary venous connection to
the superior vena cava. Partial anomalous pulmonary venous connection was
repaired by translocation of pulmonary artery to avoid pulmonary venous
obstruction when Glenn anastomosis was performed. Total cavo-pulmonary connection
was established by re-routing the inferior vena cava to pulmonary artery using
the atrial septal remnant and the left atrium free wall flap.
PMID- 18057076
TI - Papillary muscle sling and overlapping cardiac volume reduction with aortic valve
replacement for valvular cardiomyopathy.
AB - A 63-year-old male was admitted to our hospital because of severe aortic
regurgitation. The left ventricle was extremely dilated and mild functional
mitral regurgitation was detected because of outward displacement of papillary
muscles. We used a papillary muscle sling with aortic valve replacement to
correct the widened distance between the papillary muscles. A papillary muscle
sling when used for reducing tethering at the mitral valve also reduces the
posterior left ventricular volume. As well, a transmural longitudinal incision
along the left anterior descending artery in the left ventricular free wall was
sutured by an overlapping method to reduce the anterior left ventricular volume.
The combination of papillary muscle sling and the overlapping method does not
need any resection of the cardiac muscle and so would be beneficial for end-stage
valvular cardiomyopathy.
PMID- 18057077
TI - Simultaneous multi-vessel coronary artery bypass grafting, ischemic mitral
regurgitation repair and descending aortic aneurysm replacement: analysis of
technical points.
AB - The combination of coronary artery disease and its complications (ischemic mitral
regurgitation etc.) with the aneurysm of the descending thoracic aorta is not a
rare case. The single-stage correction of coronary/intracardiac/aortic lesions
may be considered as a way of managing the combined patients. Simultaneous multi
vessel coronary artery bypass grafting, suture mitral annuloplasty and descending
aortic aneurysm replacement with synthetic prosthesis is described. The operation
was performed through the left thoracotomy with cardiopulmonary bypass
established by the cannulation of the ascending aorta and of the right atrial
appendage. Ventricular fibrillation and no clamping of the ascending aorta were
used. The circulatory arrest was induced for the construction of the proximal
anastomosis between the descending aorta and the synthetic prosthesis. No
complications related to the operation were diagnosed for the 14-month follow-up.
Several technical points seem optimal for the combined procedure: (1)
Minimization of manipulations on the ascending aorta (using of pedicled left
internal thoracic artery; construction of the proximal anastomoses with synthetic
aortic prosthesis; unclamped ascending aorta). (2) Revascularization of all
coronary areas and correction of intracardiac lesions through the left
thoracotomy. Individual planning of the procedural technical points for every
patient may provide a safe feasibility of the combined procedure.
PMID- 18057078
TI - Seven genomic subtypes of chronic fatigue syndrome/myalgic encephalomyelitis: a
detailed analysis of gene networks and clinical phenotypes.
AB - AIM: Chronic fatigue syndrome/myalgic encephalomyelitis (CFS/ME) is a multisystem
disease, the pathogenesis of which remains undetermined. The authors have
recently reported a study of gene expression that identified differential
expression of 88 human genes in patients with CFS/ME. Clustering of quantitative
PCR (qPCR) data from patients with CFS/ME revealed seven distinct subtypes with
distinct differences in Medical Outcomes Survey Short Form-36 scores, clinical
phenotypes and severity. METHODS: In this study, for each CFS/ME subtype, those
genes whose expression differed significantly from that of normal blood donors
were identified, and then gene interactions, disease associations and molecular
and cellular functions of those gene sets were determined. Genomic analysis was
then related to clinical data for each CFS/ME subtype. RESULTS: Genomic analysis
revealed some common (neurological, haematological, cancer) and some distinct
(metabolic, endocrine, cardiovascular, immunological, inflammatory) disease
associations among the subtypes. Subtypes 1, 2 and 7 were the most severe, and
subtype 3 was the mildest. Clinical features of each subtype were as follows:
subtype 1 (cognitive, musculoskeletal, sleep, anxiety/depression); subtype 2
(musculoskeletal, pain, anxiety/depression); subtype 3 (mild); subtype 4
(cognitive); subtype 5 (musculoskeletal, gastrointestinal); subtype 6
(postexertional); subtype 7 (pain, infectious, musculoskeletal, sleep,
neurological, gastrointestinal, neurocognitive, anxiety/depression). CONCLUSION:
It was particularly interesting that in the seven genomically derived subtypes
there were distinct clinical syndromes, and that those which were most severe
were also those with anxiety/depression, as would be expected in a disease with a
biological basis.
PMID- 18057080
TI - Neisseria gonorrhoeae multi-antigen sequence typing (NG-MAST) of ciprofloxacin
resistant isolates of Pretoria, South Africa.
AB - Gonococcal isolates resistant to ciprofloxacin collected from 2004 to 2005, in
the Pretoria region, were characterised using Neisseria gonorrhoeae multi-antigen
sequence typing (NG-MAST). The isolates were obtained from men presenting with
urethritis to primary healthcare clinics and general practitioners. All isolates
were tested for susceptibility to ciprofloxacin by Etest, disc diffusion and agar
dilution methods. Sequence-based typing, directed at the por and tbpB genes, and
compared with international isolates using the NG-MAST database on the internet,
was done for 18 isolates (10 ciprofloxacin-resistant isolates and 8 susceptible
controls). There was one cluster (four isolates) of known sequence type (ST)
similar to the pattern seen among strains from Scotland, England, and Durban,
South Africa. Two other known STs were identified, while the remaining STs were
unique.
PMID- 18057079
TI - Detection of plasmid-mediated AmpC in Escherichia coli, Klebsiella pneumoniae and
Proteus mirabilis.
AB - AIMS: This study investigated the prevalence of plasmid-mediated AmpC production
in selected clinical isolates of Escherichia coli, Klebsiella species and Proteus
mirabilis, and compared the results of boronic acid disc screening with
conventional susceptibility testing for the detection of AmpC-positive isolates.
METHODS: E coli, Klebsiella species and P mirabilis with reduced susceptibility
to amoxycillin-clavulanate, cefuroxime and cephalexin, but without phenotypic
evidence of extended-spectrum beta-lactamases were screened for AmpC activity
using enzyme-extraction methods. The presence of plasmid-mediated ampC was
determined by multiplex PCR. Antibiotic susceptibilities were determined using
both disc and dilution-based methods. A disc-based screening method for detection
of AmpC-producing strains was evaluated using boronic acid as an inhibitor of
AmpC, and cefoxitin as the antibiotic substrate. RESULTS: Plasmid-mediated ampC
was present in 26% of study isolates, with CMY-like enzymes detected
predominantly in E coli and DHA-like enzymes predominantly in Klebsiella
pneumoniae. Current susceptibility methods failed to detect a significant
proportion of plasmid-mediated AmpC-producing isolates, with 33% of such strains
interpreted as susceptible to third-generation cephalosporins using current
Clinical Laboratory Standards Institute breakpoints. The boronic acid disc method
showed sensitivity and specificity of 90% and 98% respectively in detecting AmpC
positive isolates. CONCLUSION: The prevalence of plasmid-mediated ampC was high
in the study population, and may be missed by conventional susceptibility testing
methods. Inhibitor-based screening methods would improve detection of this
emerging resistance phenotype.
PMID- 18057081
TI - Molecular characterisation of a common SDHB deletion in paraganglioma patients.
AB - BACKGROUND: Hereditary susceptibility to familial paraganglioma syndromes is
mainly due to mutations in one of six genes, including three of the four genes
encoding the subunits of the mitochondrial succinate dehydrogenase complex II.
Although prevalence, penetrance and clinical characteristics of patients carrying
point mutations affecting the genes encoding succinate dehydrogenase have been
well studied, little is known regarding these clinical features in patients with
gross deletions. Recently, we found two unrelated Spanish families carrying the
previously reported SDHB exon 1 deletion, and suggested that this chromosomal
region could be a hotspot deletion area. METHODS: We present the molecular
characterisation of this apparently prevalent mutation in three new families, and
discuss whether this recurrent mutation is due either to the presence of a
founder effect or to a hotspot. RESULTS: The breakpoint analysis showed that all
Iberian Peninsular families described harbour the same exon 1 deletion, and that
a different breakpoint junction segregates in an affected French pedigree.
CONCLUSIONS: After haplotyping the SDHB region, we concluded that the deletion
detected in Iberian Peninsular people is probably due to a founder effect.
Regarding the clinical characteristics of patients with this alteration, it seems
that the presence of gross deletions rather than point mutations is more likely
related to abdominal presentations and younger age at onset. Moreover, we found
for the first time a patient with neuroblastoma and a germline SDHB deletion, but
it seems that this paediatric neoplasia in a pheochromocytoma family is not a key
component of this disease.
PMID- 18057082
TI - A patient with vertebral, cognitive and behavioural abnormalities and a de novo
deletion of NRXN1alpha.
AB - The authors report a patient with mild mental retardation, autistic features,
multiple vertebral malformations, and an unusual facial appearance who carries a
de novo submicroscopic deletion of chromosome 2p16.3. The patient's deletion is
approximately 320 kb in size and includes only the part of the NRXN1 gene that
codes for the neurexin1alpha promoter and initial coding exons. The more
downstream neurexin1beta promoter and the region surrounding it are intact.
Neurexin1beta has been associated with autism in several recent studies, but this
is the first reported patient with loss of only neurexin1alpha and not of
neurexin1beta. These findings suggest that neurexin1alpha function in correct
dosage is necessary for normal neurological development.
PMID- 18057083
TI - A low symptomatic form of neurodegeneration in younger carriers of the FMR1
premutation, manifesting typical radiological changes.
AB - Fragile X-associated tremor/ataxia (FXTAS) is a late onset disorder caused by a
premutation in the FMR1 gene, in which neurological symptoms are associated with
white matter (wm) changes, especially within the middle cerebellar peduncles (MCP
sign), seen on magnetic resonance images (MRIs). We report a discrepancy between
obvious radiological presentations and minimal clinical involvement in two
younger male premutation carriers. These carriers, aged 52 and 39 years, showed
distinct MCP sign, but reported no neurological symptoms. If this discrepancy
represents the initial stage of FXTAS, our findings suggest the possibility of
early diagnosis from MRI scans.
PMID- 18057084
TI - Alpha7 nicotinic acetylcholine receptor gene and reduced risk of Alzheimer's
disease.
AB - BACKGROUND: Sporadic Alzheimer's disease (AD) is a common disabling disease of
complex aetiology for which there are limited therapeutic options. We sought to
investigate the role of the alpha7 nicotinic acetylcholine receptor gene (CHRNA7)
in influencing risk of AD in a large population. CHRNA7 is a strong candidate
gene for AD for several reasons: (1) its expression is altered differentially in
the AD brain; (2) it interacts directly with beta amyloid peptide (Abeta(42));
and (3) agonist activation induces several neuroprotective pathways. METHODS: In
this study we used a genetic haplotype approach to assess the contribution of
common variation at the CHRNA7 locus to risk of AD. Fourteen single nucleotide
polymorphisms (SNPs) were genotyped in 764 AD patients and 314 controls. RESULTS:
Three blocks of high linkage disequilibrium (LD) and low haplotype diversity were
identified. The block 1 TCC haplotype was significantly associated with reduced
odds of AD (p = 0.001) and was independent of apolipoprotein E (APOE) status.
Individual SNPs were not associated with risk for AD. CONCLUSIONS: We conclude
that genetic variation in CHRNA7 influences susceptibility to AD. These results
provide support for the development of alpha7nAChR agonists or modulators as
potential drug treatments for AD. Further work is necessary to replicate the
findings in other populations.
PMID- 18057086
TI - Factors associated with a second hip fracture: a systematic review.
AB - OBJECTIVE: To provide a systematic review of factors associated with subsequent
hip fracture among individuals who have fractured a hip. DATA SOURCES: We
searched Ageline, CINAHL, EMBASE and MEDLINE, from database inceptions to the
week of 5 June 2006. REVIEW METHODS: Studies were selected if they provided
information regarding risk of subsequent hip fracture among individuals who had
fractured a hip. Study quality was assessed using the Jadad criteria for
randomized controlled trials (RCTs) and a simple scale based on the MOOSE
criteria for cohort studies. RESULTS: Four RCTs and seven cohort studies were
identified. Older age, cognitive impairment and lower bone mass appear to
increase the risk of subsequent fracture, as did impaired depth perception,
impaired mobility, previous falls, dizziness and poor or fair self-perceived
health. Pharmacologic treatment for osteoporosis decreased the risk of subsequent
fracture. Use of hip protectors by community-dwelling seniors did not appear to
protect against a second fracture. CONCLUSION: A number of easily observed risk
factors may help identify those individuals at higher risk for subsequent
fracture.
PMID- 18057085
TI - A family-specific use of the Measure of Processes of Care for Service Providers
(MPOC-SP).
AB - OBJECTIVE: To examine the validity and utility of the Dutch Measure of Processes
of Care for Service Providers (MPOC-SP) as a family-specific measure. DESIGN: A
validation study. SETTING: Five paediatric rehabilitation settings in the
Netherlands. MAIN MEASURES: The MPOC-SP was utilized in a general (reflecting on
services provided for all clients and clients' families) and family-specific way
(filled out in reference to a particular child and his or her family). SUBJECTS:
Professionals providing rehabilitation and educational services to children with
cerebral palsy. METHODS: For construct validity, Pearson's product-moment
correlation coefficients (r ) between the scales were calculated. The ability of
service providers to discriminate between general and family-specific ratings was
examined by exploration of absolute difference scores. RESULTS: One hundred and
sixteen service professionals filled out 240 family-specific MPOC-SPs. In
addition, a subgroup of 81 professionals filled out a general MPOC-SP. For each
professional, family-specific and general scores were paired, resulting in 151
general-family-specific MPOC-SP pairs. The construct validity analyses confirmed
the scale structure: 21 items (77.8%) loaded highest in the original MPOC-SP
factors, and all items correlated best and significantly with their own scale
score (r 0.565 to 0.897; P<0.001). Intercorrelations between the scales ranged
from r = 0.159 to r = 0.522. In total, 94.4% of the mean absolute difference
scores between general and family-specific scale scores were larger than the
expected difference. CONCLUSION: Service providers were able to discriminate
between general and family-specific MPOC-SP item ratings. The family-specific
MPOC-SP is a valid measure that can be used for individual evaluation of family
centred services and can be the impetus for family-related quality improvement.
PMID- 18057087
TI - Treadmill training for ataxic patients: a single-subject experimental design.
AB - OBJECTIVE: To investigate changes in gait quality, balance and mobility
associated with treadmill training for ataxic individuals. DESIGN: Single-subject
ABA design. Baseline phases (A) lasted three weeks and intervention (B) lasted
four weeks. SETTING: University rehabilitation clinic. SUBJECTS: A woman (25
years) and a man (53 years) with chronic ataxia due to head trauma. INTERVENTION:
Three 20-minute treadmill training sessions each week with progression in
velocity and step length. MAIN MEASURES: Rivermead Visual Gait Assessment, Timed
Up and Go, time to complete a balance task, walking speed, cadence, and stride
length assessments three times a week during the 10 weeks. Data were analysed
with the celeration line technique and two standard deviation band. RESULTS: Both
individuals demonstrated gains in all parameters over initial baseline and
subsequent phases, with performance increases ranging from 26% to 233% when first
and last assessments were compared. Significantly superior effects of treadmill
training over baseline conditions on cadence were detected (P<0.05). Gains in
walking speed were not significantly better during intervention, but intervention
withdrawal produced deceleration of performance gains. Gains in Timed Up and Go,
step length and balance were not consistent and were possibly caused by a
learning effect of the association between repeated testing and treadmill
training. Rivermead Visual Gait Assessment gains reached significance only for
subject 2 (P<0.05), probably because of increased variability of performance of
subject 1. Results suggest that the association between repeated testing and
treadmill training might have been responsible for the observed gains in the two
ataxic patients.
PMID- 18057088
TI - Prevention of pulmonary complications after upper abdominal surgery by
preoperative intensive inspiratory muscle training: a randomized controlled pilot
study.
AB - OBJECTIVE: To investigate the feasibility and effects of preoperative inspiratory
muscle training on the incidence of atelectasis in patients at high risk of
postoperative pulmonary complications scheduled for elective abdominal aortic
aneurysm surgery. DESIGN: Single-blind randomized controlled pilot study.
SETTING: Gelderse Vallei Hospital Ede, the Netherlands. SUBJECTS: Twenty high
risk patients undergoing elective abdominal aortic aneurysm surgery were randomly
assigned to receive preoperative inspiratory muscle training or usual care. MAIN
MEASURES: Effectiveness outcome variables were atelectasis, inspiratory muscle
strength and vital capacity, and feasibility outcome variables were adverse
effects and patient satisfaction with inspiratory muscle training. RESULTS:
Despite randomization, patients in the intervention group were significantly
older than the patients in the control group (70 +/- 6 years versus 59 +/- 6
years, respectively; P = 0.001). Eight patients in the control group and three in
the intervention group developed atelectasis (P = 0.07). The median duration of
atelectasis was 0 days in the intervention group and 1.5 days in the control
group (P = 0.07). No adverse effects of preoperative inspiratory muscle training
were observed and patients considered that inspiratory muscle training was a good
preparation for surgery. Mean postoperative inspiratory pressure was 10% higher
in the intervention group. CONCLUSION: Preoperative inspiratory muscle training
is well tolerated and appreciated and seems to reduce the incidence of
atelectasis in patients scheduled for elective abdominal aortic aneurysm surgery.
PMID- 18057089
TI - Postnatal programming of glucocorticoid metabolism in rats modulates high-fat
diet-induced regulation of visceral adipose tissue glucocorticoid exposure and
sensitivity and adiponectin and proinflammatory adipokines gene expression in
adulthood.
AB - OBJECTIVE: Alterations of the perinatal environment, which lead to increased
prevalence of the metabolic syndrome in adulthood, program an upregulation of
systemic and/or adipose tissue glucocorticoid metabolism (11 beta-hydroxysteroid
dehydrogenase type 1 [11 beta-HSD-1]-induced corticosterone reactivation). We
hypothesized that postnatal programming could modulate high-fat diet-induced
adipose tissue dysregulation in adulthood. RESEARCH DESIGN AND METHODS: We
compared the effects of chronic (since weaning) high- or low-fat diet in
postnatally normofed (control) or overfed (programmed) rats. RESULTS: Postnatal
programming accentuated high-fat diet-induced overweight, insulin resistance,
glucose intolerance, and decrease in circulating and epididymal adipose tissue
adiponectin. Neither manipulation altered liver function. Postnatal programming
or high-fat diet increased systemic corticosterone production, which was not
further modified when both manipulations were associated. Postnatal programming
suppressed high-fat diet-induced decrease in mesenteric adipose tissue (MAT)
glucocorticoid sensitivity and triggered high-fat diet-induced increase in MAT
glucocorticoid exposure, subsequent to enhanced MAT 11 beta-HSD-1 gene
expression. MAT tumor necrosis factor (TNF)-alpha, TNF-receptor 1, interleukin
(IL)-6, resistin, and plasminogen activator inhibitor-1 mRNAs were not changed by
high-fat feeding in control rats and showed a large increase in programmed
animals, with this effect further enhanced by high-fat diet for TNF-alpha and IL
6. CONCLUSIONS: Our data show for the first time that postnatal manipulation
programs high-fat diet-induced upregulation of MAT glucocorticoid exposure,
sensitivity, and inflammatory status and therefore reveal the pivotal role of the
environment during the perinatal period on the development of diet-induced
adipose tissue dysregulation in adulthood. They also urge the need for clinical
trials with specific 11 beta-HSD-1 inhibitors.
PMID- 18057090
TI - Thrombospondin-1 is an adipokine associated with obesity, adipose inflammation,
and insulin resistance.
AB - OBJECTIVE: We examined the relationship between the expression of thrombospondin
(TSP)1, an antiangiogenic factor and regulator of transforming growth factor-beta
activity, obesity, adipose inflammation, and insulin resistance. RESEARCH DESIGN
AND METHODS: TSP1 gene expression was quantified in subcutaneous adipose tissue
(SAT) of 86 nondiabetic subjects covering a wide range of BMI and insulin
sensitivity, from visceral adipose (VAT) and SAT from 14 surgical patients and
from 38 subjects with impaired glucose tolerance randomized to receive either
pioglitazone or metformin for 10 weeks. An adipocyte culture system was also used
to assess the effects of pioglitazone and coculture with macrophages on TSP1 gene
expression. RESULTS: TSP1 mRNA was significantly associated with obesity (BMI)
and insulin resistance (low insulin sensitivity index). Relatively strong
positive associations were seen with markers of inflammation, including CD68,
macrophage chemoattractant protein-1, and plasminogen activator inhibitor (PAI)-1
mRNA (r >/= 0.46, P = 0.001 for each), that remained significant after
controlling for BMI and S(i). However, TSP1 mRNA was preferentially expressed in
adipocyte fraction, whereas inflammatory markers predominated in stromal vascular
fraction. Coculture of adipocytes and macrophages augmented TSP1 gene expression
and secretion from both cell types. Pioglitazone (not metformin) treatment
resulted in a 54% decrease (P < 0.04) in adipose TSP gene expression, as did in
vitro pioglitazone treatment of adipocytes. CONCLUSIONS: TSP1 is a true adipokine
that is highly expressed in obese, insulin-resistant subjects; is highly
correlated with adipose inflammation; and is decreased by pioglitazone. TSP1 is
an important link between adipocytes and macrophage-driven adipose tissue
inflammation and may mediate the elevation of PAI-1 that promotes a prothrombotic
state.
PMID- 18057091
TI - Predictors of incretin concentrations in subjects with normal, impaired, and
diabetic glucose tolerance.
AB - OBJECTIVE: Defects in glucagon-like peptide 1 (GLP-1) secretion have been
reported in some patients with type 2 diabetes after meal ingestion. We addressed
the following questions: 1) Is the quantitative impairment in GLP-1 levels
different after mixed meal or isolated glucose ingestion? 2) Which endogenous
factors are associated with the concentrations of GLP-1? In particular, do
elevated fasting glucose or glucagon levels diminish GLP-1 responses? RESEARCH
DESIGN AND METHODS: Seventeen patients with mild type 2 diabetes, 17 subjects
with impaired glucose tolerance, and 14 matched control subjects participated in
an oral glucose tolerance test (75 g) and a mixed meal challenge (820 kcal), both
carried out over 240 min on separate occasions. Plasma levels of glucose,
insulin, C-peptide, glucagon, triglycerides, free fatty acids (FFAs), gastric
inhibitory polypeptide (GIP), and GLP-1 were determined. RESULTS: GIP and GLP-1
levels increased significantly in both experiments (P < 0.0001). In patients with
type 2 diabetes, the initial GIP response was exaggerated compared with control
subjects after mixed meal (P < 0.001) but not after oral glucose ingestion (P =
0.98). GLP-1 levels were similar in all three groups in both experiments. GIP
responses were 186 +/- 17% higher after mixed meal ingestion than after the oral
glucose load (P < 0.0001), whereas GLP-1 levels were similar in both experiments.
There was a strong negative association between fasting glucagon and integrated
FFA levels and subsequent GLP-1 concentrations. In contrast, fasting FFA and
integrated glucagon levels after glucose or meal ingestion and female sex were
positively related to GLP-1 concentrations. Incretin levels were unrelated to
measures of glucose control or insulin secretion. CONCLUSIONS: Deteriorations in
glucose homeostasis can develop in the absence of any impairment in GIP or GLP-1
levels. This suggests that the defects in GLP-1 concentrations previously
described in patients with long-standing type 2 diabetes are likely secondary to
other hormonal and metabolic alterations, such as hyperglucagonemia. GIP and GLP
1 concentrations appear to be regulated by different factors and are independent
of each other.
PMID- 18057092
TI - Insulin internalizes GLUT2 in the enterocytes of healthy but not insulin
resistant mice.
AB - OBJECTIVES: A physiological adaptation to a sugar-rich meal is achieved by
increased sugar uptake to match dietary load, resulting from a rapid transient
translocation of the fructose/glucose GLUT2 transporter to the brush border
membrane (BBM) of enterocytes. The aim of this study was to define the
contributors and physiological mechanisms controlling intestinal sugar
absorption, focusing on the action of insulin and the contribution of GLUT2
mediated transport. RESEARCH DESIGN AND METHODS: The studies were performed in
the human enterocytic colon carcinoma TC7 subclone (Caco-2/TC7) cells and in vivo
during hyperinsulinemic-euglycemic clamp experiments in conscious mice. Chronic
high-fructose or high-fat diets were used to induce glucose intolerance and
insulin resistance in mice. RESULTS AND CONCLUSIONS: In Caco-2/TC7 cells, insulin
action diminished the transepithelial transfer of sugar and reduced BBM and
basolateral membrane (BLM) GLUT2 levels, demonstrating that insulin can target
sugar absorption by controlling the membrane localization of GLUT2 in
enterocytes. Similarly, in hyperinsulinemic-euglycemic clamp experiments in
sensitive mice, insulin abolished GLUT2 (i.e., the cytochalasin B-sensitive
component of fructose absorption), decreased BBM GLUT2, and concomitantly
increased intracellular GLUT2. Acute insulin treatment before sugar intake
prevented the insertion of GLUT2 into the BBM. Insulin resistance in mice
provoked a loss of GLUT2 trafficking, and GLUT2 levels remained permanently high
in the BBM and low in the BLM. We propose that, in addition to its peripheral
effects, insulin inhibits intestinal sugar absorption to prevent excessive blood
glucose excursion after a sugar meal. This protective mechanism is lost in the
insulin-resistant state induced by high-fat or high-fructose feeding.
PMID- 18057093
TI - The repression of IRS2 gene by ATF3, a stress-inducible gene, contributes to
pancreatic beta-cell apoptosis.
AB - OBJECTIVE: beta-Cell failure is an essential component of all types of diabetes,
and the insulin receptor substrate 2 (IRS2) branch of signaling plays a key role
in beta-cell survival and function. We tested the hypothesis that activating
transcription factor 3 (ATF3), a stress-inducible proapoptotic gene,
downregulates the expression of IRS2 in beta-cells. RESEARCH DESIGN AND METHODS:
We used both the gain- and loss-of-function approaches to test the effects of
ATF3 on IRS2 gene expression. We also analyzed the binding of ATF3 to the IRS2
promoter by chromatin immunoprecipitation assay and the transcription of the IRS2
gene by polymerase II occupancy assay. Furthermore, we tested the ability of IRS2
to alleviate the proapoptotic effects of ATF3 in cultured beta-cells and in
transgenic mice using the rat insulin promoter to drive the transgenes. RESULTS:
Expression of ATF3 is sufficient to reduce IRS2 gene expression; in contrast,
knockdown or knockout of ATF3 reduces the ability of stress signals to
downregulate IRS2 expression. ATF3 binds to the IRS2 promoter in vivo, and the
binding of ATF3 correlates with decreased IRS2 gene transcription. Functionally,
expression of IRS2 protects beta-cells from ATF3-induced apoptosis. CONCLUSIONS:
IRS2 is a target gene of ATF3, and its repression by ATF3 contributes, at least
partly, to the apoptosis induced by ATF3. Because ATF3 is a stress-inducible
gene, our work provides a direct link to explain how environmental stress factors
can modulate IRS2 gene transcription.
PMID- 18057095
TI - Effect of non-invasive ventilation on respiratory muscle loading and endurance in
patients with Duchenne muscular dystrophy.
AB - BACKGROUND: Respiratory muscle weakness in patients with Duchenne muscular
dystrophy (DMD) leads to respiratory failure for which non-invasive positive
pressure ventilation (NIPPV) is an effective treatment. This is used initially at
night (n-NIPPV) but, as the disease progresses, diurnal use (d-NIPPV) is often
necessary. The connection between NIPPV and relief of respiratory muscle fatigue
remains unclear. A study was undertaken to determine the extent to which n-NIPPV
and d-NIPPV unload the respiratory muscles and improve respiratory endurance in
patients with DMD. METHODS: Fifty patients with DMD were assessed at 20.00 and
08.00 h. More severely affected patients with nocturnal hypoventilation received
n-NIPPV; those with daytime dyspnoea also received d-NIPPV via a mouthpiece
(14.00-16.00 h). Lung function, modified Borg dyspnoea score, spontaneous
breathing pattern, tension-time index (TT(0.1) = occlusion pressure
(P(0.1))/maximum inspiratory pressure (MIP) x duty cycle (Ti/Ttot)) and
respiratory muscle endurance time (Tlim) against a threshold load of 35% MIP were
measured. RESULTS: More severe respiratory muscle weakness was associated with a
higher TT(0.1) and lower Tlim. In contrast to non-dyspnoeic patients, patients
with dyspnoea (Borg score > 2.5/10) showed an increase in Tlim and decrease in
TT(0.1) after n-NIPPV. At 16.00 h, immediately after d-NIPPV, patients with
dyspnoea had lower TT(0.1) and Borg scores with unchanged Tlim. Compared with the
control day without d-NIPPV, TT(0.1), Borg scores and Tlim were all improved at
20.00 h. CONCLUSIONS: In patients with dyspnoea with DMD, the load on respiratory
muscles increases and endurance capacity decreases with increasing breathlessness
during the day, and this is reversed by n-NIPPV. An additional 2 h of d-NIPPV
unloads respiratory muscles and reverses breathlessness more effectively than n
NIPPV alone.
PMID- 18057094
TI - A central role for neuronal AMP-activated protein kinase (AMPK) and mammalian
target of rapamycin (mTOR) in high-protein diet-induced weight loss.
AB - OBJECTIVE: A high-protein diet (HPD) is known to promote the reduction of body
fat, but the mechanisms underlying this change are unclear. AMP-activated protein
kinase (AMPK) and mammalian target of rapamycin (mTOR) function as majors
regulators of cellular metabolism that respond to changes in energy status, and
recent data demonstrated that they also play a critical role in systemic energy
balance. Here, we sought to determine whether the response of the AMPK and mTOR
pathways could contribute to the molecular effects of an HPD. RESEARCH DESIGN AND
METHODS: Western blotting, confocal microscopy, chromatography, light microscopy,
and RT-PCR assays were combined to explore the anorexigenic effects of an HPD.
RESULTS: An HPD reduced food intake and induced weight loss in both normal rats
and ob/ob mice. The intracerebroventricular administration of leucine reduced
food intake, and the magnitude of weight loss and reduction of food intake in a
leucine-supplemented diet are similar to that achieved by HPD in normal rats and
in ob/ob mice, suggesting that leucine is a major component of the effects of an
HPD. Leucine and HPD decrease AMPK and increase mTOR activity in the
hypothalamus, leading to inhibition of neuropeptide Y and stimulation of pro
opiomelanocortin expression. Consistent with a cross-regulation between AMPK and
mTOR to control food intake, our data show that the activation of these enzymes
occurs in the same specific neuronal subtypes. CONCLUSIONS: These findings
provide support for the hypothesis that AMPK and mTOR interact in the
hypothalamus to regulate feeding during HPD in a leucine-dependent manner.
PMID- 18057096
TI - Telomerase activity in transthoracic fine needle biopsy aspirates as a marker of
peripheral lung cancer.
AB - BACKGROUND: A study was undertaken to evaluate the usefulness of telomerase
activity assay in transthoracic fine needle biopsy (TFNB) aspirates collected
from peripheral tumours of the lung in predicting the malignant aetiology of lung
infiltrations. METHODS: 100 patients with a peripheral infiltration of the lung
underwent TFNB of the focal lesion. The aspirates were subjected to standard
cytological evaluation. Telomerase activity in the specimens was determined with
the PCR-ELISA PLUS method. The sensitivity, specificity, accuracy and predictive
value of TFNB were calculated for cytological examination of aspirates alone and
cytological examination with additional telomerase activity assessment. RESULTS:
Lung cancer was newly diagnosed in 84 subjects and benign peripheral lesions were
found in 16. During the first TFNB, lung cancer was identified in 56 cases of
cancer (66.7%) while increased telomerase activity was found in 61 cancer
aspirates (72.6%). No subject with a benign infiltration had a false positive
result from cytological examination, but in one case (6.25%) increased telomerase
activity was observed. The diagnostic sensitivity, accuracy and negative
predictive value of the combination of cytological examination and telomerase
activity assay in TFNB specimens were significantly higher than for cytological
examination alone (89.3% vs 66.7%, p = 0.0004; 90% vs 72%, p = 0.001; 62.5% vs
36.4%, p = 0.039), but a combination of the two examinations was associated with
a lower specificity of TFNB (96.9% vs 100%, p = 0.002). CONCLUSION: Detection of
telomerase activity in aspirates taken during TFNB of a peripheral lung
infiltration should be considered as an indication of the risk of malignancy in
cases with false negative cytological results.
PMID- 18057097
TI - Inter-relationships between inflammatory markers in patients with stable COPD
with bronchitis: intra-patient and inter-patient variability.
AB - BACKGROUND: Measurements of pulmonary biomarkers can be used to monitor airway
inflammation in chronic obstructive pulmonary disease (COPD), but the variability
of sampled biomarkers and their inter-relationships are poorly understood. A
study was undertaken to examine the intra- and inter-patient variability in
spontaneous sputum samples from patients in the stable state and to describe the
relationship between biomarkers, cell counts and markers of disease. METHODS:
Sputum interleukin-1beta, tumour necrosis factor alpha, interleukin 8,
myeloperoxidase, leucotriene B4, growth-related oncogene alpha and differential
cell counts were measured in patients with moderate to severe stable COPD (n =
14) on 11 occasions over a 1-month period. RESULTS: There was significant
variability in all inflammatory indices (median intra-patient coefficient of
variation (CV) 35% (IQR 22-69), median inter-patient CV 102% (IQR 61-145)).
Variability could be reduced by using a rolling mean of individual patient data
points. Sample size calculations were undertaken to determine the number of
patients required to detect a 50% reduction in neutrophil count. Using a
crossover design of a putative effective treatment, the number needed using one
data point per patient was 72, reducing to 23 when a mean of three data points
was used. Significant correlations were demonstrated both between the
inflammatory biomarkers themselves and between inflammatory biomarkers and
markers of disease. Some relationships were not apparent when results from a
single sample were used. The reliability of inter-relationships improved as more
data points were used for each patient. CONCLUSIONS: Clear relationships exist
between inflammatory biomarkers in patients with stable COPD. Sequential sampling
reduced the variability of individual mediators and the potential number of
patients needed to power proof of concept interventional studies.
PMID- 18057098
TI - Glutathione S-transferase genotype increases risk of progression from bronchial
hyperresponsiveness to asthma in adults.
AB - BACKGROUND: Bronchial hyperresponsiveness (BHR) and variation in glutathione S
transferase (GST) genes have been associated with asthma risk. The relationship
of these two risk factors with adult onset asthma in the general population was
investigated. METHODS: GSTP1 Ile105Val single nucleotide polymorphism and GSTM1
and GSTT1 gene deletion polymorphisms were genotyped in the population
representative SAPALDIA cohort. BHR was assessed at baseline by methacholine
challenge and defined as a fall of > or =20% in forced expiratory volume in 1 s.
Independent effects of GST polymorphisms and BHR on new onset of asthma after 11
years of follow-up were estimated by multiple logistic regression analysis,
adjusting for relevant baseline measures. Effect modification was assessed by
including interaction terms in the model. RESULTS: Among 4426 asthma-free
participants at baseline, 14% had BHR. At follow-up, 3.3% reported new onset of
physician-diagnosed asthma. BHR (p<0.001) and GSTP1 Ile105Val genotype (p =
0.005) were independently associated with incident asthma, but no association was
seen for GSTT1 and GSTM1 gene deletion polymorphisms. Among subjects free of
respiratory symptoms at baseline, the effect of BHR on the risk of physician
diagnosed asthma at follow-up was restricted to GSTP1 105 Ile/Ile carriers (OR
4.57, 95% CI 2.43 to 8.57 vs 1.40, 95% CI 0.58 to 3.39; p for interaction =
0.023). CONCLUSIONS: If confirmed by independent studies, our results suggest
that GSTP1 Ile105Val genotype strongly determines the progression of BHR to
physician-diagnosed asthma in the general population.
PMID- 18057099
TI - Wnt canonical pathway restricts graded Shh/Gli patterning activity through the
regulation of Gli3 expression.
AB - Dorsoventral patterning of the vertebrate nervous system is achieved by the
combined activity of morphogenetic signals secreted from dorsal and ventral
signalling centres. The Shh/Gli pathway plays a major role in patterning the
ventral neural tube; however, the molecular mechanisms that limit target gene
responses to specific progenitor domains remain unclear. Here, we show that
Wnt1/Wnt3a, by signalling through the canonical beta-catenin/Tcf pathway, control
expression of dorsal genes and suppression of the ventral programme, and that
this role in DV patterning depends on Gli activity. Additionally, we show that
Gli3 expression is controlled by Wnt activity. Identification and
characterization of highly conserved non-coding DNA regions around the human Gli3
gene revealed the presence of transcriptionally active Tcf-binding sequences.
These indicated that dorsal Gli3 expression might be directly regulated by
canonical Wnt activity. In turn, Gli3, by acting as a transcriptional repressor,
restricted graded Shh/Gli ventral activity to properly pattern the spinal cord.
PMID- 18057100
TI - Maternally derived FILIA-MATER complex localizes asymmetrically in cleavage-stage
mouse embryos.
AB - Initial cell lineages that presage the inner cell mass and extra-embryonic
trophectoderm are established when eight blastomeres compact to form polarized
morulae in preimplantation mouse development. FILIA has been identified as a
binding partner to MATER (maternal antigen that embryos require; also known as
NLRP5), which is encoded by a maternal effect gene. Products of each gene are
detected in growing oocytes and, although transcripts are degraded before
fertilization, the cognate proteins persist in early blastocysts. The two
proteins co-localize to the cytocortex of ovulated eggs, where the stability of
FILIA is dependent on the presence of MATER. After fertilization, FILIA-MATER
complexes become asymmetrically restricted in the apical cytocortex of two-cell
embryos due to their absence in regions of cell-cell contact. This asymmetry is
reversible upon disaggregation of blastomeres of the two- and four-cell embryo.
Each protein persists in cells of the preimplantation embryo, but the continuous
cell-cell contact of ;inner' cells of the morulae seemingly precludes formation
of the subcortical FILIA-MATER complex and results in cell populations that are
marked by its presence (;outer') or absence (;inner'). Thus, the FILIA-MATER
complex provides a molecular marker of embryonic cell lineages, but it remains to
be determined if the molecular asymmetry established after the first cell
division plays a role in cell fate determinations in the early mouse embryo. If
so, the plasticity of the FILIA-MATER complex localization may reflect the
regulative nature of preimplantation mouse development.
PMID- 18057101
TI - The serine protease Corin is a novel modifier of the Agouti pathway.
AB - The hair follicle is a model system for studying epithelial-mesenchymal
interactions during organogenesis. Although analysis of the epithelial
contribution to these interactions has progressed rapidly, the lack of tools to
manipulate gene expression in the mesenchymal component, the dermal papilla, has
hampered progress towards understanding the contribution of these cells. In this
work, Corin was identified in a screen to detect genes specifically expressed in
the dermal papilla. It is expressed in the dermal papilla of all pelage hair
follicle types from the earliest stages of their formation, but is not expressed
elsewhere in the skin. Mutation of the Corin gene reveals that it is not required
for morphogenesis of the hair follicle. However, analysis of the ;dirty blonde'
phenotype of these mice reveals that the transmembrane protease encoded by Corin
plays a critical role in specifying coat color and acts downstream of agouti gene
expression as a suppressor of the agouti pathway.
PMID- 18057102
TI - Programmed cell death of primordial germ cells in Drosophila is regulated by p53
and the Outsiders monocarboxylate transporter.
AB - Primordial germ cell development uses programmed cell death to remove abnormal,
misplaced or excess cells. Precise control of this process is essential to
maintain the continuity and integrity of the germline, and to prevent germ cells
from colonizing locations other than the gonads. Through careful analyses of
primordial germ cell distribution in developing Drosophila melanogaster embryos,
we show that normal germ cell development involves extensive programmed cell
death during stages 10-12 of embryogenesis. This germ cell death is mediated by
Drosophila p53 (p53). Mutations in p53 result in excess primordial germ cells
that are ectopic to the gonads. Initial movements of the germ cells appear
normal, and wild-type numbers of germ cells populate the gonads, indicating that
p53 is required for germ cell death, but not migration. To our knowledge, this is
the first report of a loss-of-function phenotype for Drosophila p53 in a non
sensitized background. The p53 phenotype is remarkably similar to that of
outsiders (out) mutants. Here, we show that the out gene encodes a putative
monocarboxylate transporter. Mutations in p53 and out show nonallelic
noncomplementation. Interestingly, overexpression of p53 in primordial germ cells
of out mutant embryos partially suppresses the out germ cell death phenotype,
suggesting that p53 functions in germ cells either downstream of out or in a
closely linked pathway. These findings inform models in which signaling between
p53 and cellular metabolism are integrated to regulate programmed cell death
decisions.
PMID- 18057103
TI - Convergence of a head-field selector Otx2 and Notch signaling: a mechanism for
lens specification.
AB - Xenopus is ideal for systematic decoding of cis-regulatory networks because its
evolutionary position among vertebrates allows one to combine comparative
genomics with efficient transgenic technology in one system. Here, we have
identified and analyzed the major enhancer of FoxE3 (Lens1), a gene essential for
lens formation that is activated in the presumptive lens ectoderm (PLE) when
commitment to the lens fate occurs. Deletion and mutation analyses of the
enhancer based on comparison of Xenopus and mammalian sequences and in vitro and
in vivo binding assays identified two essential transcriptional regulators: Otx2,
a homeodomain protein expressed broadly in head ectoderm including the PLE, and
Su(H), a nuclear signal transducer of Notch signaling. A Notch ligand, Delta2, is
expressed in the optic vesicle adjacent to the PLE, and inhibition of its
activity led to loss, or severe reduction, of FoxE3 expression followed by
failure of placode formation. Ectopic activation of Notch signaling induced FoxE3
expression within head ectoderm expressing Otx2, and additional misexpression of
Otx2 in trunk ectoderm extended the Notch-induced FoxE3 expression posteriorly.
These data provide the first direct evidence of the involvement of Notch
signaling in lens induction. The obligate integration of inputs of a field
selector (Otx2) and localized signaling (Notch) within target cis-regulatory
elements might be a general mechanism of organ-field specification in vertebrates
(as it is in Drosophila). This concept is also consistent with classical
embryological studies of many organ systems involving a ;multiple-step
induction'.
PMID- 18057104
TI - Crucial role of antisense transcription across the Xist promoter in Tsix-mediated
Xist chromatin modification.
AB - Expression of Xist, which triggers X inactivation, is negatively regulated in cis
by an antisense gene, Tsix, transcribed along the entire Xist gene. We recently
demonstrated that Tsix silences Xist through modification of the chromatin
structure in the Xist promoter region. This finding prompted us to investigate
the role of antisense transcription across the Xist promoter in Tsix-mediated
silencing. Here, we prematurely terminated Tsix transcription before the Xist
promoter and addressed its effect on Xist silencing in mouse embryos. We found
that although 93% of the region encoding Tsix was transcribed, truncation of Tsix
abolished the antisense regulation of Xist. This resulted in a failure to
establish the repressive chromatin configuration at the Xist promoter on the
mutated X, including DNA methylation and repressive histone modifications,
especially in extraembryonic tissues. These results suggest a crucial role for
antisense transcription across the Xist promoter in Xist silencing.
PMID- 18057105
TI - A shout out to immature synapses. Focus on "different roles for AMPA and NMDA
receptors in transmission at the immature retinogeniculate synapse".
PMID- 18057106
TI - Recruiting extrasynaptic NMDA receptors augments synaptic signaling.
AB - N-Methyl-d-aspartate receptor (NMDAR) activation may promote cell survival or
initiate cell death, with the outcome dependent on whether synaptic or
extrasynaptic receptors are activated. Similarly, this differential activation
has been proposed to govern the direction of plasticity. However, the
physiological parameters necessary to activate extrasynaptic NMDARs in brain
slices remain unknown. Using the irreversible use-dependent NMDAR antagonist MK
801 to isolate extrasynaptic NMDARs, we have tested the ability of short
stimulation trains from 5 to 400 Hz to activate these receptors on CA1
hippocampal slice pyramidal neurons. Frequencies as low as 25 Hz engage
extrasynaptic NMDARs, with maximal activation at frequencies between 100 and 200
Hz. Since similar bursts of synaptic input occur during exploratory behavior in
rats, our results demonstrate that "extrasynaptic" NMDARs regularly participate
in synaptic transmission. Further, 175-Hz-stimulation trains activate all
available synaptic and extrasynaptic dendritic NMDARs, suggesting these NMDARs
act as synaptic receptors as needed, transiently increasing synaptic strength.
Thus extrasynaptic NMDARs play a vital role in synaptic physiology, calling into
question their status as "extrasynaptic."
PMID- 18057107
TI - Dynamic I-V curves are reliable predictors of naturalistic pyramidal-neuron
voltage traces.
AB - Neuronal response properties are typically probed by intracellular measurements
of current-voltage (I-V) relationships during application of current or voltage
steps. Here we demonstrate the measurement of a novel I-V curve measured while
the neuron exhibits a fluctuating voltage and emits spikes. This dynamic I-V
curve requires only a few tens of seconds of experimental time and so lends
itself readily to the rapid classification of cell type, quantification of
heterogeneities in cell populations, and generation of reduced analytical models.
We apply this technique to layer-5 pyramidal cells and show that their dynamic I
V curve comprises linear and exponential components, providing experimental
evidence for a recently proposed theoretical model. The approach also allows us
to determine the change of neuronal response properties after a spike,
millisecond by millisecond, so that postspike refractoriness of pyramidal cells
can be quantified. Observations of I-V curves during and in absence of
refractoriness are cast into a model that is used to predict both the
subthreshold response and spiking activity of the neuron to novel stimuli. The
predictions of the resulting model are in excellent agreement with experimental
data and close to the intrinsic neuronal reproducibility to repeated stimuli.
PMID- 18057108
TI - The influence of briefly presented randomized target motion on the extraretinal
component of ocular pursuit.
AB - We assessed the ability to extract velocity information from brief exposure of a
moving target and sought evidence that this information could be used to modulate
the extraretinal component of ocular pursuit. A step-ramp target motion was
initially visible for a brief randomized period of 50, 100, 150, or 200 ms, but
then extinguished for a randomized period of 400 or 600 ms before reappearing and
continuing along its trajectory. Target speed (5-20 degrees /s), direction
(left/right), and intertrial interval (2.7-3.7 s) were also randomized. Smooth
eye movements were initiated after about 130 ms and comprised an initial visually
dependent component, which reached a peak velocity that increased with target
velocity and initial exposure duration, followed by a sustained secondary
component that actually increased throughout extinction for 50- and 100-ms
initial exposures. End-extinction eye velocity, reflecting extraretinal drive,
increased with initial exposure from 50 to 100 ms but remained similar for longer
exposures; it was significantly scaled to target velocity for 150- and 200-ms
exposures. The results suggest that extraretinal drive is based on a sample of
target velocity, mostly acquired during the first 150 ms, that is stored and
forms a goal for generating appropriately scaled eye movements during absence of
visual input. End-extinction eye velocity was significantly higher when target
reappearance was expected than when it was not, confirming the importance of
expectation in generating sustained smooth movement. However, end-extinction eye
displacement remained similar irrespective of expectation, suggesting that the
ability to use sampled velocity information to predict future target displacement
operates independently of the control of smooth eye movement.
PMID- 18057109
TI - Role of TTX-sensitive and TTX-resistant sodium channels in Adelta- and C-fiber
conduction and synaptic transmission.
AB - Thin afferent axons conduct nociceptive signals from the periphery to the spinal
cord. Their somata express two classes of Na+ channels, TTX-sensitive (TTX-S) and
TTX-resistant (TTX-R), but their relative contribution to axonal conduction and
synaptic transmission is not well understood. We studied this contribution by
comparing effects of nanomolar TTX concentrations on currents associated with
compound action potentials in the peripheral and central branches of Adelta- and
C-fiber axons as well as on the Adelta- and C-fiber-mediated excitatory
postsynaptic currents (EPSCs) in spinal dorsal horn neurons of rat. At room
temperature, TTX completely blocked Adelta-fibers (IC50, 5-7 nM) in dorsal roots
(central branch) and spinal, sciatic, and sural nerves (peripheral branch). The C
fiber responses were blocked by 85-89% in the peripheral branch and by 65-66% in
dorsal roots (IC50, 14-33 nM) with simultaneous threefold reduction in their
conduction velocity. At physiological temperature, the degree of TTX block in
dorsal roots increased to 93%. The Adelta- and C-fiber-mediated EPSCs in dorsal
horn neurons were also sensitive to TTX. At room temperature, 30 nM blocked
completely Adelta-input and 84% of the C-fiber input, which was completely
suppressed at 300 nM TTX. We conclude that in mammals, the TTX-S Na+ channels
dominate conduction in all thin primary afferents. It is the only type of
functional Na+ channel in Adelta-fibers. In C-fibers, the TTX-S Na+ channels
determine the physiological conduction velocity and control synaptic
transmission. TTX-R Na+ channels could not provide propagation of full-amplitude
spikes able to trigger synaptic release in the spinal cord.
PMID- 18057110
TI - Vestibular nuclei and cerebellum put visual gravitational motion in context.
AB - Animal survival in the forest, and human success on the sports field, often
depend on the ability to seize a target on the fly. All bodies fall at the same
rate in the gravitational field, but the corresponding retinal motion varies with
apparent viewing distance. How then does the brain predict time-to-collision
under gravity? A perspective context from natural or pictorial settings might
afford accurate predictions of gravity's effects via the recovery of an
environmental reference from the scene structure. We report that embedding motion
in a pictorial scene facilitates interception of gravitational acceleration over
unnatural acceleration, whereas a blank scene eliminates such bias. Functional
magnetic resonance imaging (fMRI) revealed blood-oxygen-level-dependent
correlates of these visual context effects on gravitational motion processing in
the vestibular nuclei and posterior cerebellar vermis. Our results suggest an
early stage of integration of high-level visual analysis with gravity-related
motion information, which may represent the substrate for perceptual constancy of
ubiquitous gravitational motion.
PMID- 18057111
TI - Neurokinin-1 receptors modulate the excitability of expiratory neurons in the
ventral respiratory group.
AB - We studied the role of neurokinin-1 receptors (NK1-R) on the excitability of
expiratory (E) neurons (tonic discharge, E(TONIC); augmenting, E(AUG);
decrementing, E(DEC)) throughout the ventral respiratory group, including
Botzinger Complex (BotC) using extracellular single-unit recording combined with
pressurized picoejection in decerebrate, arterially perfused juvenile rats.
Responses evoked by picoejection of the NK1-R agonist, [Sar9-Met(O2)11]-substance
P (SSP) were determined before and after the selective NK1-R antagonist,
CP99,994. SSP excited 20 of 35 expiratory neurons by increasing the number of
action potentials per burst (+33.7 +/- 6.5% of control), burst duration (+20.6 +/
7.9% of control), and peak firing frequency (+16.2 +/- 4.8% of control; means +/
SE). Pretreatment with CP99,994 completely blocked SSP-evoked excitation in a
subset of neurons tested, supporting the notion that SSP excitation was mediated
through NK1-R activation. Because we had previously shown that E(AUG) neurons
were crucial to locomotor-respiratory coupling (LRC), we reasoned that blockade
of NK1-R would alter LRC by preventing somatic-evoked excitation of E(AUG)
neurons. Blockade of NK1-Rs by CP99,994 in the BotC severely disrupted LRC and
prevented somatic-evoked excitation of E(AUG) neurons. These findings demonstrate
that LRC is dependent on endogenous SP release acting via NK1-Rs on E(AUG)
neurons of the BotC. Taken together with our earlier finding that inspiratory off
switching by the Hering-Breuer Reflex requires endogenous activation of NK1-Rs
through activation of NK1-Rs on E(DEC) neurons, we suggest that endogenous
release of substance P in the BotC provides a reflex pathway-dependent mechanism
to selectively modulate respiratory rhythm.
PMID- 18057113
TI - Preconditioning repetitive transcranial magnetic stimulation of premotor cortex
can reduce but not enhance short-term facilitation of primary motor cortex.
AB - Short trains of suprathreshold 5-Hz repetitive transcranial magnetic stimulation
(rTMS) over primary motor cortex (M1) evoke motor potentials (MEPs) in hand
muscles that progressively increase in amplitude via a mechanism that is thought
to be similar to short-term potentiation described in animal preparations. Long
trains of subthreshold rTMS over dorsal premotor cortex (PMd) are known to affect
the amplitude of single-pulse MEPs evoked from M1. We tested whether PMd-rTMS
affects short-term facilitation in M1. We also explored the effect of PMd-rTMS on
M1 responses evoked by single-pulse TMS of different polarities. We tested in 15
healthy subjects short-term facilitation in left M1 (10 suprathreshold TMS pulses
at 5 Hz) after applying rTMS to left PMd (1,500 subthreshold pulses at 1 and 5
Hz). In a sample of subjects we delivered single-pulse TMS with different
polarities and paired-pulse TMS at short intervals (SICI) after PMd-rTMS. Short
term facilitation in M1 was reduced after applying 1 Hz to PMd, but was
unaffected after 5-Hz PMd-rTMS. PMd-rTMS with 1 Hz reduced the amplitude of MEPs
evoked by monophasic posteroanterior (PA) or biphasic anteroposterior (AP)-PA but
had little effect on MEPs by monophasic AP or biphasic PA-AP single-pulse TMS.
PMd-rTMS left SICI unchanged. PMd-rTMS (1 Hz) reduces short-term facilitation in
M1 induced by short 5-Hz trains. This effect is likely to be caused by reduced
facilitation of I-wave inputs to corticospinal neurons.
PMID- 18057112
TI - Polarized skylight navigation in insects: model and electrophysiology of e-vector
coding by neurons in the central complex.
AB - Many insects exploit skylight polarization for visual compass orientation or
course control. As found in crickets, the peripheral visual system (optic lobe)
contains three types of polarization-sensitive neurons (POL neurons), which are
tuned to different ( approximately 60 degrees diverging) e-vector orientations.
Thus each e-vector orientation elicits a specific combination of activities among
the POL neurons coding any e-vector orientation by just three neural signals. In
this study, we hypothesize that in the presumed orientation center of the brain
(central complex) e-vector orientation is population-coded by a set of "compass
neurons." Using computer modeling, we present a neural network model transforming
the signal triplet provided by the POL neurons to compass neuron activities
coding e-vector orientation by a population code. Using intracellular
electrophysiology and cell marking, we present evidence that neurons with the
response profile of the presumed compass neurons do indeed exist in the insect
brain: each of these compass neuron-like (CNL) cells is activated by a specific e
vector orientation only and otherwise remains silent. Morphologically, CNL cells
are tangential neurons extending from the lateral accessory lobe to the lower
division of the central body. Surpassing the modeled compass neurons in
performance, CNL cells are insensitive to the degree of polarization of the
stimulus between 99% and at least down to 18% polarization and thus largely
disregard variations of skylight polarization due to changing solar elevations or
atmospheric conditions. This suggests that the polarization vision system
includes a gain control circuit keeping the output activity at a constant level.
PMID- 18057114
TI - Coherent motor unit rhythms in the 6-10 Hz range during time-varying voluntary
muscle contractions: neural mechanism and relation to rhythmical motor control.
AB - In quasi-sinusoidal (0.5-3.0 Hz) voluntary muscle contractions, we studied the 6-
to 10-Hz motor unit (MU) firing synchrony and muscle force oscillation with
emphasis on their neural substrate and relation to rhythmical motor control. Our
analyses were performed on data from 121 contractions of a finger muscle in 24
human subjects. They demonstrate that coherent 6- to 10-Hz components of MU
discharges coexist with carrier components and coherent modulation components
underlying the voluntary force variations. The 6- to 10-Hz synchrony has the
frequency of the tremor synchrony in steady contractions and is also widespread
and in-phase. Its strength ranges from very small to very large (MU/MU coherence
>0.50) among contractions; moreover, it is not related to the contraction
parameters, in accord with the notion of a distinct 6- to 10-Hz synaptic input to
the MUs. Unlike the coherent MU modulations and the voluntary force variations,
the in-phase 6- to 10-Hz MU components are suppressed or even eliminated during
ischemia, while the respective force component is drastically reduced. These
findings agree with the widely assumed supraspinal origin of the MU modulations,
but they also strongly suggest a key role for muscle spindle feedback in the
generation of the 6- to 10-Hz synaptic input. They therefore provide important
information for the study of generators of the 6- to 10-Hz rhythm which subserves
the postulated rhythmical control and is manifested as force and movement
components. Moreover, they argue for a participation of oscillating spinal
stretch reflex loops in the rhythm generation, possibly in interaction with
supraspinal oscillators.
PMID- 18057115
TI - Subthreshold K+ channel dynamics interact with stimulus spectrum to influence
temporal coding in an auditory brain stem model.
AB - Neurons in the auditory brain stem encode signals with exceptional temporal
precision. A low-threshold potassium current, IKLT, present in many auditory
brain stem structures and thought to enhance temporal encoding, facilitates spike
selection of rapid input current transients through an associated dynamic gate.
Whether the dynamic nature of IKLT interacts with the timescales in spectrally
rich input to influence spike encoding remains unclear. We examine the general
influence of IKLT on spike encoding of stochastic stimuli using a pattern
classification analysis between spike responses from a ventral cochlear nucleus
(VCN) model containing IKLT, and the same model with the IKLT dynamics removed.
The influence of IKLT on spike encoding depended on the spectral content of the
current stimulus such that maximal IKLT influence occurred for stimuli with power
concentrated at frequencies low enough (<500 Hz) to allow IKLT activation.
Further, broadband stimuli significantly decreased the influence of IKLT on spike
encoding, suggesting that broadband stimuli are not well suited for investigating
the influence of some dynamic membrane nonlinearities. Finally, pattern
classification on spike responses was performed for physiologically realistic
conductance stimuli created from various sounds filtered through an auditory
nerve (AN) model. Regardless of the sound, the synaptic input arriving at VCN had
similar low-pass power spectra, which led to a large influence of IKLT on spike
encoding, suggesting that the subthreshold dynamics of IKLT plays a significant
role in shaping the response of real auditory brain stem neurons.
PMID- 18057116
TI - Enzymatic C-demethylation of 1-[2-(5-tert-butyl-[1,3,4] oxadiazole-2-carbonyl)-4
fluoro-pyrrolidin-1-yl]-2-(2-hydroxy-1,1-dimethyl-ethylamino)-ethanone (LC15
0133) in rat liver microsomes.
AB - The in vitro metabolism of 1-[2-(5-tert-butyl-[1,3,4] oxadiazole-2-carbonyl)-4
fluoro-pyrrolidin-1-yl]-2-(2-hydroxy-1,1-dimethyl-ethylamino)-ethanone (LC15
0133), a novel dipeptidyl peptidase-4 inhibitor, was investigated using a hepatic
microsomal system. The structures of the metabolites were characterized using
mass spectral analysis and by comparison with synthetic references. The in vitro
incubation of LC15-0133 with rat liver microsomes resulted in the formation of
six metabolites, with the major metabolic reactions being hydroxylation and
carbonyl reduction. Of the metabolites, a C-demethylated metabolite (M4) was
identified, but was only detected in rat liver microsomes; experimental evidence
revealed that the C-demethylated metabolite was generated by nonenzymatic
decarboxylation of the carboxyl metabolite (M1). Nonenzymatic decarboxylation is
postulated to occur due to the resonance stabilization by the oxadiazole ring
attached to the tert-butyl moiety.
PMID- 18057117
TI - In vitro-to-in vivo prediction of P-glycoprotein-based drug interactions at the
human and rodent blood-brain barrier.
AB - In vitro inhibition of P-glycoprotein (P-gp) expressed in cells is routinely used
to predict the potential of in vivo P-gp drug interactions at the human blood
brain barrier (BBB). The accuracy of such predictions has not been confirmed
because methods to quantify in vivo P-gp drug interactions at the human BBB have
not been available. With the development of a noninvasive positron emission
topography (PET) imaging method by our laboratory to determine P-gp-based drug
interactions at the human BBB, an in vitro-in vivo comparison is now possible.
Therefore, we developed a high throughput cell-based assay to determine the
potential of putative P-gp inhibitors [including cyclosporine A (CsA)] to inhibit
(EC(50)) the efflux of verapamil-bodipy, a model P-gp substrate. LLCPK1-MDR1
cells, expressing recombinant human P-gp, or control cells lacking P-gp (LLCPK1)
were used in our assay. Using this assay, quinine, quinidine, CsA, and amprenavir
were predicted to be the most potent P-gp inhibitors in vivo at their respective
therapeutic maximal unbound plasma concentrations. The in vitro EC(50) of CsA
(0.6 microM) for P-gp inhibition was virtually the same as our previously
determined in vivo unbound EC(50) at the rat BBB (0.5 microM). Moreover, at 2.8
microM CsA (total blood concentration), our in vitro data predicted an increase
of 129% in [(11)C]verapamil distribution into the human brain, a value similar to
that observed by us (79%) using PET. These data suggest that our high throughput
cell assay has the potential to accurately predict P-gp drug interactions at the
human BBB.
PMID- 18057118
TI - Bistable MAP kinase activity: a plausible mechanism contributing to maintenance
of late long-term potentiation.
AB - Bistability of MAP kinase (MAPK) activity has been suggested to contribute to
several cellular processes, including differentiation and long-term synaptic
potentiation. A recent model (Markevich NI, Hoek JB, Kholodenko BN. J Cell Biol
164: 353-359, 2004) predicts bistability due to interactions of the kinases and
phosphatases in the MAPK pathway, without feedback from MAPK to earlier
reactions. Using this model and enzyme concentrations appropriate for neurons, we
simulated bistable MAPK activity, but bistability was present only within a
relatively narrow range of activity of Raf, the first pathway kinase. Stochastic
fluctuations in molecule numbers eliminated bistability for small molecule
numbers, such as are expected in the volume of a dendritic spine. However,
positive-feedback loops have been posited from MAPK up to Raf activation. One
proposed loop in which MAPK directly activates Raf was incorporated into the
model. We found that such feedback greatly enhanced the robustness of both stable
states of MAPK activity to stochastic fluctuations and to parameter variations.
Bistability was robust for molecule numbers plausible for a dendritic spine
volume. The upper state of MAPK activity was resistant to inhibition of MEK
activation for >1 h, which suggests that inhibitor experiments have not sufficed
to rule out a role for persistent MAPK activity in the maintenance of long-term
potentiation (LTP). These simulations suggest that persistent MAPK activity and
consequent upregulation of translation may contribute to LTP maintenance and to
long-term memory. Experiments using a fluorescent MAPK substrate may further test
this hypothesis.
PMID- 18057119
TI - Influence of microvascular endothelial cells on transcriptional regulation of
proximal tubular epithelial cells.
AB - In the renal cortex the peritubular capillary network and the proximal tubular
epithelium cooperate in solute and water reabsorption, secretion, and
inflammation. However, the mechanisms by which these two cell types coordinate
such diverse functions remain to be characterized. Here we investigated the
influence of microvascular endothelial cells on proximal tubule cells, using a
filter-based, noncontact, close-proximity coculture of the human microvascular
endothelial cell line HMEC-1 and the human proximal tubular epithelial cell line
HK-2. With the use of DNA microarrays the transcriptomes of HK-2 cells cultured
in mono- and coculture were compared. HK-2 cells in coculture exhibited a
differential expression of 99 genes involved in pathways such as extracellular
matrix (e.g., lysyl oxidase), cell-cell communication (e.g., IL-6 and IL-1 beta),
and transport (e.g., GLUT3 and lipocalin 2). HK-2 cells also exhibited an
enhanced paracellular gating function in coculture, which was dependent on HMEC-1
derived extracellular matrix. We identified a number of HMEC-1-enriched genes
that are potential regulators of epithelial cell function such as extracellular
matrix proteins (e.g., collagen I, III, IV, and V, laminin-alpha IV) and
cytokines/growth factors (e.g., hepatocyte growth factor, endothelin-1, VEGF-C).
This study demonstrates a complex network of communication between microvascular
endothelial cells and proximal tubular epithelial cells that ultimately affects
proximal tubular cell function. This coculture model and the data described will
be important in the further elucidation of microvascular endothelial and proximal
tubular epithelial cross talk mechanisms.
PMID- 18057120
TI - Opposing effects of coupled and uncoupled NOS activity on the Na+-K+ pump in
cardiac myocytes.
AB - Pharmacological delivery of nitric oxide (NO) stimulates the cardiac Na(+)-K(+)
pump. However, effects of NO synthesized by NO synthase (NOS) often differ from
the effects of NO delivered pharmacologically. In addition, NOS can become
"uncoupled" and preferentially synthesize O(2)(.-), which often has opposing
effects to NO. We tested the hypothesis that NOS-synthesized NO stimulates Na(+)
K(+) pump activity, and uncoupling of NOS inhibits it. To image NO, we loaded
isolated rabbit cardiac myocytes with 4,5-diaminofluorescein-2 diacetate (DAF-2
DA) and measured fluorescence with confocal microscopy. L-arginine (L-arg; 500
micromol/l) increased DAF-2 DA fluorescence by 51% compared with control (n = 8;
P < 0.05). We used the whole cell patch-clamp technique to measure electrogenic
Na(+)-K(+) pump current (I(p)). Mean I(p) of 0.35 +/- 0.03 pA/pF (n = 44) was
increased to 0.48 +/- 0.03 pA/pF (n = 7, P < 0.05) by 10 micromol/l L-Arg in
pipette solutions. This increase was abolished by NOS inhibition with radicicol
or by NO-activated guanylyl cyclase inhibition with 1H-[1,2,4]oxadiazole[4,3
a]quinoxalin-1-one. We next examined the effect of uncoupling NOS using paraquat.
Paraquat (1 mmol/l) induced a 51% increase in the fluorescence intensity of
O(2)(.-)-sensitive dye dihydroethidium compared with control (n = 9; P < 0.05).
To examine the functional effects of uncoupling, we measured I(p) with 100
micromol/l paraquat included in patch pipette solutions. This decreased I(p) to
0.28 +/- 0.03 pA/pF (n = 12; P < 0.001). The paraquat-induced pump inhibition was
abolished by superoxide dismutase (in pipette solutions). We conclude that NOS
mediated NO synthesis stimulates the Na(+)-K(+) pump, whereas uncoupling of NOS
causes O(2)(.-)-mediated pump inhibition.
PMID- 18057121
TI - Identification and characterization of a novel family of membrane magnesium
transporters, MMgT1 and MMgT2.
AB - Magnesium is an essential metal, but few selective transporters have been
identified at the molecular level. Microarray analysis was used to identify two
similar transcripts that are upregulated with low extracellular Mg(2+). The
corresponding cDNAs encode proteins of 131 and 123 amino acids with two predicted
transmembrane domains. The two separate gene products comprise the family that we
have termed "membrane Mg(2+) transporters" (MMgTs), because the proteins reside
in the membrane and mediate Mg(2+) transport. When expressed in Xenopus laevis
oocytes, MMgT1 and MMgT2 mediate Mg(2+) transport as determined with two
electrode voltage-clamp analysis and fluorescence measurements. Transport is
saturable Mg(2+) uptake with Michaelis constants of 1.47 +/- 0.17 and 0.58 +/-
0.07 mM, respectively. Real-time RT-PCR demonstrated that MMgT mRNAs are present
in a wide variety of cells. Subcellular localization with immunohistochemistry
determined that the MMgT1-hemagglutinin (HA) and MMgT2-V5 fusion proteins reside
in the Golgi complex and post-Golgi vesicles, including the early endosomes in
COS-7 cells transfected with the respective tagged constructs. Interestingly,
MMgT1-HA and MMgT2-V5 were found in separate populations of post-Golgi vesicles.
MMgT1 and MMgT2 mRNA increased by about threefold, respectively, in kidney
epithelial cells cultured in low-magnesium media relative to normal media and in
the kidney cortex of mice maintained on low-magnesium diets compared with those
animals consuming normal diets. With the increase in transcripts, there was an
apparent increase in MMgT1 and MMgT2 protein in the Golgi and post-Golgi
vesicles. These experiments suggest that MMgT proteins may provide regulated
pathways for Mg(2+) transport in the Golgi and post-Golgi organelles of
epithelium-derived cells.
PMID- 18057122
TI - Novel insights into intestinal and renal folate transport. Focus on "Apical
membrane targeting and trafficking of the human proton-coupled folate transporter
in polarized epithelia".
PMID- 18057123
TI - Prevalence, types, and RNA concentrations of human parechoviruses, including a
sixth parechovirus type, in stool samples from patients with acute enteritis.
AB - Parechovirus epidemiology and disease association are not fully understood. Real
time reverse transcriptase PCR (RT-PCR) for all human parechoviruses (HPeV) was
applied on stool samples from two groups of patients. Both groups contained
patients with acute enteritis of all age groups, seen during one full year.
Patients with norovirus, adenovirus, enterovirus, astrovirus, or rotavirus
infections were excluded. In 118 patients from outbreak and hospital settings, no
HPeV was detected. In a prospective study group of 499 nonhospitalized patients,
the detection rate was 1.6%. One virus-positive patient was detected from 39
control patients. Positive samples occurred only in summer and autumn. Only one
patient had accompanying respiratory symptoms. An association with travel or
animal contact was not found. All positive patients except one were <2 years of
age, with a neutral gender ratio. In children <2 years of age, the detection rate
was 11.6% (7 of 60 children). The range of viral loads was 3,170 to 503,377,290
copies per gram or milliliter of stool. One of the highest viral loads occurred
in a control child without symptoms at the time of testing. Phylogenetic analysis
showed mainly contemporary HPeV1 strains in our patients but also showed a
separate new lineage of HPeV1 in evolutionary transition from the historical
prototype strain. Moreover, a novel sixth HPeV type was identified. Full genome
analysis of the two viruses revealed recombination between HPeV1 and -3 in one
and HPeV6 and -1 in another. HPeV seems relevant in children <2 years and
specific RT-PCR for HPeV should be included in enteritis screening.
PMID- 18057124
TI - Beta-lactam failure in treatment of two group G Streptococcus dysgalactiae subsp.
equisimilis Pharyngitis patients.
AB - We present two cases of exudative pharyngitis due to Streptococcus dysgalactiae
subsp. equisimilis, Lancefield group G. While the participation of this organism
as an agent of pharyngitis is well documented, we focus on failure of beta-lactam
therapy, a phenomenon that is well described for pharyngitis due to Streptococcus
pyogenes. Therefore, these case reports add to our knowledge of pharyngitis
caused by non-S. pyogenes streptococci.
PMID- 18057125
TI - Multilocus sequence typing reveals that the population structure of Candida
dubliniensis is significantly less divergent than that of Candida albicans.
AB - The pathogenic yeast Candida dubliniensis is phylogenetically very closely
related to Candida albicans, and both species share many phenotypic and genetic
characteristics. DNA fingerprinting using the species-specific probe Cd25 and
sequence analysis of the internal transcribed spacer (ITS) region of the
ribosomal gene cluster previously showed that C. dubliniensis is comprised of
three major clades comprising four distinct ITS genotypes. Multilocus sequence
typing (MLST) has been shown to be very useful for investigating the epidemiology
and population biology of C. albicans and has identified many distinct major and
minor clades. In the present study, we used MLST to investigate the population
structure of C. dubliniensis for the first time. Combinations of 10 loci
previously tested for MLST analysis of C. albicans were assessed for their
discriminatory ability with 50 epidemiologically unrelated C. dubliniensis
isolates from diverse geographic locations, including representative isolates
from the previously identified three Cd25-defined major clades and the four ITS
genotypes. Dendrograms created by using the unweighted pair group method with
arithmetic averages that were generated using the data from all 10 loci revealed
a population structure which supports that previously suggested by DNA
fingerprinting and ITS genotyping. The MLST data revealed significantly less
divergence within the C. dubliniensis population examined than within the C.
albicans population. These findings show that MLST can be used as an informative
alternative strategy for investigating the population structure of C.
dubliniensis. On the basis of the highest number of genotypes per variable base,
we recommend the following eight loci for MLST analysis of C. dubliniensis:
CdAAT1b, CdACC1, CdADP1, CdMPIb, CdRPN2, CdSYA1, exCdVPS13, and exCdZWF1b, where
"Cd" indicates C. dubliniensis and "ex" indicates extended sequence.
PMID- 18057126
TI - Evaluation of the one-step multiplex real-time reverse transcription-PCR ProFlu-1
assay for detection of influenza A and influenza B viruses and respiratory
syncytial viruses in children.
AB - We evaluated the one-step multiplex real-time reverse transcription-PCR ProFlu-1
assay for the detection of influenza A and influenza B viruses and respiratory
syncytial viruses from 353 pediatric nasopharyngeal aspirates. As assessed by
comparison with the results of immunofluorescence testing and cell culture, the
specificity and the sensitivity of the ProFlu-1 assay ranged from 97% to 100%. In
addition, the ProFlu-1 assay amplified 9% of samples not detected by conventional
methods.
PMID- 18057127
TI - Development of a microtiter plate hybridization-based PCR-enzyme-linked
immunosorbent assay for identification of clinically relevant human group A
rotavirus G and P genotypes.
AB - A microtiter plate hybridization-based PCR-enzyme-linked immunosorbent assay (PCR
ELISA) has been used for the detection and identification of a variety of
microorganisms. Here, we report the development of a PCR-ELISA for the
identification of clinically relevant human rotavirus VP7 (G1 to G6, G8 to G10,
and G12) and VP4 (P[4], P[6], P[8], P[9], and P[14]) genotypes. The G and P types
of reference human and animal rotavirus strains for which specific probes were
available were correctly identified by the PCR-ELISA. In addition, reference
strains bearing G or P genotypes for which specific probes were unavailable, such
as G11, G14, P[3], P[10], and P[11], did not display any cross-reactivity to the
probes. The usefulness of the assay was further evaluated by analyzing a total of
396 rotavirus-positive stool samples collected in four countries: Brazil, Ghana,
Japan, and the United States. The results of this study showed that the PCR-ELISA
was sensitive and easy to perform without the use of any expensive and
sophisticated equipment, the reagents used are easy to obtain commercially and
advantageous over multiplex PCR since more than one type-specific probe is used
and the selection of probes is more flexible.
PMID- 18057128
TI - Prediction of cytomegalovirus (CMV) plasma load from evaluation of CMV whole
blood load in samples from renal transplant recipients.
AB - In a prospective cohort of 82 renal transplant recipients, we evaluated the
capacity of the cytomegalovirus (CMV) load in whole blood (WB) to predict the
plasma CMV load, aiming to identify active CMV infections by using WB samples
only and to deduce a WB threshold. Using quantitative real-time PCR, a total of
1,474 WB samples were assayed, of which 279 were positive for CMV, and 140 out of
the 276 paired plasma samples tested positive. Thirty (36.6%) patients presented
with at least one positive plasma PCR result, and 21 infection episodes (19
patients) required curative treatment (median follow-up time, 12 months). When
the plasma CMV load was >500 copies/ml (n = 70), more than 94% (95% confidence
interval, 86.0%, 98.4%) of WB samples had >500 copies/ml. Two prediction models
were built: log(10) plasma viral load (VL) was calculated as -0.3777 + 0.9342 x
log(10) WB VL and as -0.3777 + 0.8563 x log(10) WB VL for patients with and
without treatment, respectively. In the validation sample (578 routine samples),
77.2% of the observed and expected plasma viral loads were concordant (95%
confidence intervals, 73.5 and 80.5%). According to the model, the plasma viral
load was >500 copies/ml when the WB load was >3,170 or >4,000 copies/ml in
patients with or without treatment, respectively. WB seems to be an appropriate
candidate for routine CMV monitoring of transplant recipients by using a single
assay.
PMID- 18057129
TI - Comparison of the BD GeneOhm methicillin-resistant Staphylococcus aureus (MRSA)
PCR assay to culture by use of BBL CHROMagar MRSA for detection of MRSA in nasal
surveillance cultures from an at-risk community population.
AB - We compared the BD GeneOhm methicillin-resistant Staphylococcus aureus (MRSA) PCR
assay to culture with BBL CHROMagar MRSA for nasal surveillance among 602
arrestees from the Baltimore City Jail. The sensitivity and specificity were
88.5% and 91.0%, respectively, and after secondary analysis using enrichment
broth, they were 89.0% and 91.7%, respectively. Twenty-three of 42 false-positive
PCR lysates contained methicillin-susceptible S. aureus.
PMID- 18057130
TI - Molecular characterization of a novel fastidious mycobacterium causing
lepromatous lesions of the skin, subcutis, cornea, and conjunctiva of cats living
in Victoria, Australia.
AB - Between 1999 and 2006, 15 cats were diagnosed with disease attributable to a
novel mycobacterial species. The infections consisted of granulomatous lesions in
the skin, subcutis, and ocular or periocular tissues with an indolent but
progressive clinical course. Lesions typically were found in facial regions or on
the distal limbs. Cats of all ages and both sexes were affected. Infections often
were challenging to treat, although they could be cured using surgery in concert
with combination antimicrobial therapy. Microscopically, lesions were
granulomatous to pyogranulomatous and contained numerous acid-fast bacilli.
Scanty cultures of the causal microorganisms occasionally could be obtained in
mycobacterial broth, but subculture to solid media failed. When cultures were not
available, DNA was extracted from fresh tissue, lyophilized material, and
formalin-fixed, paraffin-embedded tissues from lesions. PCR amplification of the
5' end of the 16S rRNA gene and regions within four additional loci (ITS1, hsp65,
rpoB, and sodA) was performed with various efficiencies using mycobacterial
primers. Nucleotide sequences were unique for each locus tested. Nucleotide
sequences obtained from individual cases were identical for each locus for which
the amplification was successful. Phylogenetic analysis performed using
concatenated partial 16S rRNA and hsp65 gene sequences indicated that this novel
mycobacterial species from Victoria is a member of the Mycobacterium simiae
related group, taxonomically related to the mycobacterium causing leproid
granulomas in dogs throughout the world. Based on the clustering of cases, we
refer to this novel species as Mycobacterium sp. strain Tarwin.
PMID- 18057131
TI - Association of Mycoplasma ovipneumoniae infection with population-limiting
respiratory disease in free-ranging Rocky Mountain bighorn sheep (Ovis canadensis
canadensis).
AB - Bronchopneumonia is a population-limiting disease in bighorn sheep in much of
western North America. Previous investigators have isolated diverse bacteria from
the lungs of affected sheep, but no single bacterial species is consistently
present, even within single epizootics. We obtained high-quality diagnostic
specimens from nine pneumonic bighorn sheep in three populations and analyzed the
bacterial populations present in bronchoalveolar lavage specimens of seven by
using a culture-independent method (16S rRNA gene amplification and clone library
analyses). Mycoplasma ovipneumoniae was detected as a predominant member of the
pneumonic lung flora in lambs with early lesions of bronchopneumonia. Specific
PCR tests then revealed the consistent presence of M. ovipneumoniae in the lungs
of pneumonic bighorn sheep in this study, and M. ovipneumoniae was isolated from
lung specimens of five of the animals. Retrospective application of M.
ovipneumoniae PCR to DNA extracted from archived formalin-fixed, paraffin
embedded lung tissues of historical adult bighorn sheep necropsy specimens
supported the association of this agent with bronchopneumonia (16/34 pneumonic
versus 0/17 nonpneumonic sheep were PCR positive [P < 0.001]). Similarly, a very
strong association was observed between the presence of one or more M.
ovipneumoniae antibody-positive animals and the occurrence of current or recent
historical bronchopneumonia problems (seropositive animals detected in 9/9 versus
0/9 pneumonic and nonpneumonic populations, respectively [P < 0.001]). M.
ovipneumoniae is strongly associated with bronchopneumonia in free-ranging
bighorn sheep and is a candidate primary etiologic agent for this disease.
PMID- 18057132
TI - Prediction of methicillin-resistant Staphylococcus aureus involvement in disease
sites by concomitant nasal sampling.
AB - Nasal colonization with methicillin-resistant Staphylococcus aureus (MRSA) is
believed to precede disease. It is therefore reasonable to expect that testing
for nasal MRSA colonization could provide guidance in the choice of empirical
therapy for infections. We conducted a retrospective review of 5,779 nasal MRSA
tests performed within a 24-h period before or after a clinical culture showed
the growth of any organism. A positive nasal MRSA test strongly predicted MRSA
involvement at a clinical site (relative risk, 12.9 times higher than in the
remainder of the population; 95% confidence intervals [CI], 10.4, 16.1). Nasal
MRSA colonization also strongly predicted antimicrobial resistance in other
organisms. A negative nasal test was less useful; only 217 of 323 patients
(67.2%; 95% CI, 61.8, 72.3) with clinical cultures involving MRSA had detectable,
concomitant nasal MRSA colonization. Patients with clindamycin-susceptible MRSA
infections were less likely (59%) to have nasal colonization than those with
clindamycin-resistant MRSA infections (71%; P = 0.042). Patients nasally
colonized with MRSA were substantially more likely to have antibiotic-resistant
floras in clinical specimens, and this should be considered when initiating
therapy. However, nearly a third of MRSA-infected patients were not nasally
colonized, suggesting that nasal colonization need not precede disease and that a
negative test for nasal colonization would not rule out MRSA disease in settings
of moderate or high prevalence.
PMID- 18057133
TI - The microbicide tenofovir does not inhibit nucleic acid amplification tests for
detection of Chlamydia trachomatis and Neisseria gonorrhoeae in urine samples.
AB - The potential inhibitory effects of tenofovir and a placebo were examined using
the Becton Dickinson ProbeTec, Gen-Probe Aptima Combo 2, and Roche Amplicor tests
to detect Chlamydia trachomatis and Neisseria gonorrhoeae. Concentrations of 5%
to 0% of tenofovir and the placebo were added to dilutions of C. trachomatis and
N. gonorrhoeae. No appreciable inhibition was observed.
PMID- 18057134
TI - Virulence factors in urinary Escherichia coli strains: phylogenetic background
and quinolone and fluoroquinolone resistance.
AB - Quinolone- and fluoroquinolone-resistant Escherichia coli strains harbor fewer
virulence factors than susceptible strains. The reasons underlying this
correlation are incompletely understood. We investigated the phylogenetic
background, the presence of the papC, hlyA, and cnf1 (pathogenicity island
II(J96)-associated), fimA, iss, and iutA genes, and the presence of type 1
fimbriae, P fimbriae, and hemolysin in 243 urinary E. coli isolates resistant
only to quinolones (8%), resistant to both quinolones and fluoroquinolones (51%),
or susceptible to both drugs (41%). Group B2 accounted for 56% of the isolates,
showing a significantly higher prevalence among fluoroquinolone-susceptible
strains than among resistant strains (65% versus 50% [P = 0.03]). hly and cnf1
were significantly more associated with susceptibility (P < 0.001) and with group
B2 (P < 0.001 for group B2 versus groups A and D). However, within group B2,
fluoroquinolone-resistant strains showed lower prevalences of papC, hlyA, and
cnf1 than their susceptible counterparts (P < 0.001). In contrast, the incidence
of iutA appeared higher for refractory isolates, including group B2, than for
susceptible isolates (P < 0.001). Only in group B2 did fluoroquinolone-resistant
strains reveal a lesser ability to agglutinate Saccharomyces cerevisiae (7%) than
quinolone-resistant (87%) and susceptible (80%) isolates, despite uniform
possession of fimA genes. No similar contrast emerged for expression of hemolysin
and P fimbriae. Mutations conferring quinolone and fluoroquinolone resistance may
thus require a particular genetic background, not strictly correlated with
phylogenetic groups. More interestingly, the mutational event itself can affect
the expression of type 1 fimbriae, at least in the prevalent and complex B2
strains.
PMID- 18057135
TI - Development of a species-specific fur gene-based method for identification of the
Burkholderia cepacia complex.
AB - Burkholderia is an important bacterial genus with a complex taxonomy that
contains species of both ecological and pathogenic importance, including nine
closely related species collectively termed the Burkholderia cepacia complex
(BCC). Unfortunately, 16S rRNA gene analysis has proven to be not sensitive
enough to discriminate between species of the BCC. Alternative species
identification strategies such as recA-based PCR followed by restriction fragment
length polymorphism analysis, although initially useful, have proven to be
inaccurate with the increasing species diversity of the BCC. recA gene sequence
analysis is more discriminatory and corroborates other biochemical and polyphasic
means of taxonomic differentiation. However, it is limited by the fact that
certain BCC species are subdivided into discrete recA sequence subgroups that may
confuse clinical diagnoses. In this study, an effective approach is described for
the rapid differentiation of BCC species from both environmental and clinical
sources by means of a single-locus sequencing and PCR assay using fur as a target
gene that provides sequence phylogenies that are species specific and, with few
exceptions, not divided into subspecies clusters. This assay is specific and can
be used to correctly determine the species status of BCC strains tested following
sequencing and amplification of the fur gene by both general and species-specific
primers. Based on our results, this typing strategy is simpler than and as
sensitive as established tests currently in use clinically. This assay is useful
for the rapid, definitive identification of all nine current BCC species and
potentially novel species groups.
PMID- 18057137
TI - Molecular characterization of three new virulent Newcastle disease virus variants
isolated in China.
AB - Three cases of Newcastle disease virus (NDV) found in nature had the lentogenic
motif (112)G-R-Q-G-R-L(117) in their fusion protein cleavage sites. However, both
intracerebral pathogenicity and intravenous pathogenicity indexes showed that
these NDV isolates were virulent. In comparison with the LaSota live virus
vaccine, these viruses had significant genetic variations in the hemagglutinin
neuraminidase gene.
PMID- 18057136
TI - Real-time reverse transcription-PCR assay for comprehensive detection of human
rhinoviruses.
AB - Human rhinoviruses (HRVs) are important contributors to respiratory disease, but
their healthcare burden remains unclear, primarily because of the lack of
sensitive, accurate, and convenient means of determining their causal role. To
address this, we developed and clinically validated the sensitivity and
specificity of a real-time reverse transcription-PCR (RT-PCR) assay targeting the
viral 5' noncoding region defined by sequences obtained from all 100 currently
recognized HRV prototype strains and 85 recently circulating field isolates. The
assay successfully amplified all HRVs tested and could reproducibly detect 50 HRV
RNA transcript copies, with a dynamic range of over 7 logs. In contrast, a
quantified RNA transcript of human enterovirus 68 (HEV68) that showed the
greatest sequence homology to the HRV primers and probe set was not detected
below a concentration of 5 x 10(5) copies per reaction. Nucleic acid extracts of
111 coded respiratory specimens that were culture positive for HRV or HEV were
tested with the HRV real-time RT-PCR assay and by two independent laboratories
that used different in-house HRV/HEV RT-PCR assays. Eighty-seven HRV-culture
positive specimens were correctly identified by the real-time RT-PCR assay, and 4
of the 24 HEV-positive samples were positive for HRV. HRV-specific sequences
subsequently were identified in these four specimens, suggesting HRV/HEV
coinfection in these patients. The assay was successfully applied in an
investigation of a coincidental outbreak of HRV respiratory illness among
laboratory staff.
PMID- 18057138
TI - Gardnerella vaginalis bacteremia in a previously healthy man: case report and
characterization of the isolate.
AB - Gardnerella vaginalis in women causes vaginitis or infections in other sites,
such as the urinary tract, but is an infrequent cause of bacteremia. Bacteremia
in men is very rare and is typically associated with immunocompromised states.
Here we describe G. vaginalis bacteremia in a previously healthy man with renal
calculi and urosepsis.
PMID- 18057141
TI - PEDS: developmental milestones--an accurate brief tool for surveillance and
screening.
AB - About 16% of children have developmental-behavioral disabilities but less than
one-third of the children are detected by their health care providers, probably
because of the use of informal milestones checklists. The goal of this study is
to determine the reliability, validity, accuracy, and utility of a new tool,
PEDS: Developmental Milestones (PEDS:DM). Data from a nationally representative
sample of 1619 children administered developmental diagnostic measures were mined
for items that best predicted performance in each developmental domain. A total
of 112 met inclusion criteria, that is, sensitivity/specificity > or = 70%. For
each domain/age level (birth to 8 years of age), sensitivity to performance less
than or equal to the 16th percentile on diagnostic measures was 83% and
specificity was 84%. Reliability was high (test-retest, .98 to .99; interrater,
.82 to .96; kappa, .81). The readability level was 1.8 grades (range 1.1 to 2.6).
The PEDS:DM appears to be a validated, accurate alternative to informal
milestones checklists that are a probable contributor to underdetection of
children with delays and disabilities.
PMID- 18057142
TI - Common/Unity: an innovative program to address 3 root causes of many of the
social ills seen in adolescents.
AB - Multiple community agencies coordinated their individual expertise to develop a
comprehensive program for a planned community in a 24-apartment complex for
single, young (age range, 18-21 years), homeless mothers and their infants and
children. Although objective evaluation of the program proved difficult,
"community" was established and lives were changed. The program was designed to
address multiple issues faced by teenaged mothers but did not directly address
critical factors of youths' internal motivation and decision-making processes.
Attempting to reverse major impediments in the lives of young mothers with
histories of violence, abuse, unstable early home environments, and lack of
education while assuming the sole responsibility of raising a child, advancing
their education, and maintaining employment is a daunting task, but a task that
deserves our urgent attention.
PMID- 18057143
TI - Infections and apparent life-threatening events.
AB - The need for routine sepsis evaluation in patients who have experienced an
apparent life-threatening event but lack signs of infection remains
controversial. To assess their risk of a serious occult bacterial infection,
records were reviewed of 95 infants in whom infections were discovered during
their inpatient evaluation after an apparent life-threatening event. Noted for
each patient was the presence of any suggestive findings that would have prompted
a physician to consider the given type of infection in the differential
diagnosis. Thirty patients had bacterial infections; all but 5 had suggestive
findings. The exceptions included 1 patient with pneumonia and 4 with urinary
tract infections. None of the remaining 25 patients had occult bacterial
infections. In patients with an apparent life-threatening event who appear well
and lack signs suggestive of a serious bacterial infection, it may be possible to
forego routine sepsis evaluation beyond a chest radiograph and urine culture
without risking a serious missed diagnosis.
PMID- 18057144
TI - Neonatal hyperbilirubinemia: an unexpected cause.
AB - Hyperbilirubinemia is a common cause for newborn hospital admission. Although the
cause of hyperbilirubinemia is usually benign and self-limited, there is always a
large differential diagnosis. Atypical presenting signs and symptoms, such as
significant anemia or bleeding, should encourage further evaluation for
underlying disorders, such as inherited coagulation defects. This article
describes the case of a 5-day-old infant who presented to the emergency
department with hyperbilirubinemia, anemia, and ecchymoses from birth trauma. His
hospital course is described, along with a review on the background, evaluation,
management, and complications of hemophilia A.
PMID- 18057145
TI - Factor XIII deficiency: a differential diagnosis to be considered in suspected
nonaccidental injury presenting with intracranial hemorrhage.
PMID- 18057147
TI - Stepwise approach to topical therapy for atopic dermatitis.
PMID- 18057148
TI - Biologic and chemical terrorism in children: an assessment of residents'
knowledge.
AB - This study was conducted to determine the baseline fund of knowledge of pediatric
and emergency medicine residents at a single institution in the medical
management of pediatric victims of biologic and chemical terrorism. A test
covering essential content was developed and validated by experts. The test was
given anonymously to volunteer pediatric and emergency medicine residents at a
single institution. The test was readministered 5 months after a lecture on the
content. The 34 pediatric residents and 15 emergency medicine residents scored a
median of 65% and 73%, respectively (P = .03). Residents from both specialties
combined scored a median of 70% correct versus those residents who did not attend
the lecture. Pediatric and emergency medicine residents are significantly
unprepared to manage pediatric victims of biologic and chemical terrorism.
Education curriculums on this topic must be incorporated into these residencies.
The traditional lecture format may not be the most effective technique.
PMID- 18057149
TI - Institutional availability of fertility preservation.
PMID- 18057146
TI - Diagnosis and management of common dermatoses in children: atopic, seborrheic,
and contact dermatitis.
AB - Atopic, seborrheic, and contact dermatitis can significantly reduce the quality
of life of patients and their families. Although differing in specific aspects of
their epidemiology, etiology, and pathobiology, all 3 dermatoses are common in
the pediatric population, and they share a common treatment approach. Although
effective and widely used to manage exacerbations of pediatric dermatitis, the
use of topical corticosteroid remains a concern for some physicians and parents
because of its potential for systemic absorption and adverse events associated
with prolonged use. Newer additions to the dermatitis treatment algorithm, such
as the topical calcineurin inhibitors, may provide an effective steroid-sparing
treatment option. Adjuvant treatments, such as antihistamines, antifungals, and
antibiotics, also can provide benefit in appropriate circumstances. As there is
no cure for dermatitis, a comprehensive, multipronged management strategy of
preventive measures, such as trigger avoidance and periodic pharmacologic
treatment, will provide patients and caregivers with the best opportunity to
successfully control the disease.
PMID- 18057150
TI - Subcutaneous granuloma annulare in a child: a case report.
PMID- 18057139
TI - What can we learn from rodents about prolactin in humans?
AB - Prolactin (PRL) is a 23-kDa protein hormone that binds to a single-span membrane
receptor, a member of the cytokine receptor superfamily, and exerts its action
via several interacting signaling pathways. PRL is a multifunctional hormone that
affects multiple reproductive and metabolic functions and is also involved in
tumorigenicity. In addition to being a classical pituitary hormone, PRL in humans
is produced by many tissues throughout the body where it acts as a cytokine. The
objective of this review is to compare and contrast multiple aspects of PRL, from
structure to regulation, and from physiology to pathology in rats, mice, and
humans. At each juncture, questions are raised whether, or to what extent, data
from rodents are relevant to PRL homeostasis in humans. Most current knowledge on
PRL has been obtained from studies with rats and, more recently, from the use of
transgenic mice. Although this information is indispensable for understanding PRL
in human health and disease, there is sufficient disparity in the control of the
production, distribution, and physiological functions of PRL among these species
to warrant careful and judicial extrapolation to humans.
PMID- 18057151
TI - Why parents seek medical evaluations for their children with mild acute
illnesses.
AB - The reasons underlying parents' decisions to seek medical evaluations for their
mildly ill children are not well understood. This cross-sectional study tracked
parents' requests for on-site medical evaluations at a sick child care program. A
logistic regression model identified factors associated with parents' decisions
to seek medical evaluations for their children based on the data from parent
completed questionnaires. A convenience sample of 196 parents completed all
questionnaires; 62 (31.6%) parents sought medical evaluations. Parents were more
likely to seek medical evaluations if they were concerned about missing work
(odds ratio = 8.6; 95% confidence interval, 3.3-22.0; P = .0001), if they smoked
(odds ratio = 3.7; 95% confidence interval, 1.1-12.4; P = .037), or if their
spouse's highest educational attainment was some high school (odds ratio = 0.4;
95% confidence interval, 0.1-1.0; P = .044). The data highlight the problems
working parents have in accessing health care during usual working hours and the
potential value of convenient health care programs.
PMID- 18057152
TI - X-linked hypophosphatemic rickets associated with respiratory failure.
AB - We report a 5-year-old girl who presented to our emergency room with respiratory
arrest and limb deformities and was subsequently diagnosed with X-linked
hypophosphatemic rickets. On normalization of the serum phosphorus concentration,
her respiratory distress resolved, illustrating that untreated X-linked
hypophosphatemic rickets can lead to life-threatening respiratory distress.
PMID- 18057140
TI - Receptor activator of nuclear factor kappaB ligand and osteoprotegerin regulation
of bone remodeling in health and disease.
AB - Osteoclasts and osteoblasts dictate skeletal mass, structure, and strength via
their respective roles in resorbing and forming bone. Bone remodeling is a
spatially coordinated lifelong process whereby old bone is removed by osteoclasts
and replaced by bone-forming osteoblasts. The refilling of resorption cavities is
incomplete in many pathological states, which leads to a net loss of bone mass
with each remodeling cycle. Postmenopausal osteoporosis and other conditions are
associated with an increased rate of bone remodeling, which leads to accelerated
bone loss and increased risk of fracture. Bone resorption is dependent on a
cytokine known as RANKL (receptor activator of nuclear factor kappaB ligand), a
TNF family member that is essential for osteoclast formation, activity, and
survival in normal and pathological states of bone remodeling. The catabolic
effects of RANKL are prevented by osteoprotegerin (OPG), a TNF receptor family
member that binds RANKL and thereby prevents activation of its single cognate
receptor called RANK. Osteoclast activity is likely to depend, at least in part,
on the relative balance of RANKL and OPG. Studies in numerous animal models of
bone disease show that RANKL inhibition leads to marked suppression of bone
resorption and increases in cortical and cancellous bone volume, density, and
strength. RANKL inhibitors also prevent focal bone loss that occurs in animal
models of rheumatoid arthritis and bone metastasis. Clinical trials are exploring
the effects of denosumab, a fully human anti-RANKL antibody, on bone loss in
patients with osteoporosis, bone metastasis, myeloma, and rheumatoid arthritis.
PMID- 18057153
TI - Freckling 11 years after pulsed dye laser therapy for an infantile hemangioma:
coincidence or a previously unrecognized complication?
AB - A case of asymmetric freckling on the cheeks of a child who 11 years earlier
received pulsed dye laser treatment for a hemangioma on the right cheek was
recently evaluated. Only the lasered areas of the face (her cheeks) demonstrated
asymmetric freckling, whereas the unlasered areas of the face such as the nose
demonstrated typical, normal, symmetric freckling. No explanation, such as
asymmetric sun exposure or sunscreen administration, could be elicited by
detailed history. The authors suggest that the asymmetric freckling on the
patient's cheeks might represent a previously unrecognized complication of pulsed
dye laser.
PMID- 18057154
TI - Childhood epilepsy with occipital paroxysms: variations on the theme.
AB - This review reports on the various presentations as well as new revealed data
relating to the epileptic disorder defined as childhood epilepsy with occipital
paroxysms characterized by occipital epileptic discharges recorded by
electroencephalography. The initial rigidly delineated subtypes by the
International League Against Epilepsy included the following: (a) Panayiotopoulos
syndrome-early-onset seizure disorder presenting with prolonged infrequent,
nocturnal autonomic seizures, accompanied by eye deviation and ictal vomiting.
(b) Gastaut syndrome-late-onset type presenting with short diurnal frequent
seizures and visual ictal manifestations along with migrainous headaches. A high
percentage of children present, however, with mixed clinical phenomena making it
difficult to comply with the rigidly segregated syndromes reported by several
authorities including our recent report on 28 children of whom 14 showed mixed
phenomena. Therefore, childhood epilepsy with occipital paroxysm should be
suspected at any age presenting with nocturnal vomiting, autonomic impairment,
focal motor fits with head deviation, migraine headaches, and/or visual
perturbations.
PMID- 18057155
TI - W(h)ither adolescent medicine? Time to fish or cut bait.
PMID- 18057156
TI - Atypical presentations of celiac disease: recurrent intussusception and
pneumatosis intestinalis.
PMID- 18057157
TI - Gynecomastia and hypogonadism.
PMID- 18057158
TI - Spontaneous drainage of neonatal cephalohematoma: a delayed complication of scalp
abscess.
AB - Neonatal cephalohematomas are known complications of traumatic deliveries, and
the majority of cases resolve without intervention. Scalp abscesses are rare and
often described as benign complications of fetal scalp monitoring. Spontaneous
drainage of a neonatal cephalohematoma, with or without associated scalp abscess,
has not yet been described. We present a case of a neonate with recurrent
Escherichia coli bacteremia and spontaneous drainage of a large cephalohematoma
through an overlying scalp abscess.
PMID- 18057159
TI - Infants born to mothers with anti-SSA/Ro autoantibodies: neonatal outcome and
follow-up.
AB - Neonatal lupus syndrome is considered a model of passively acquired autoimmune
disease. The first 10 newborns born to mothers with connective tissue disease and
positive for anti-SSA/Ro antibodies enrolled in a follow-up program to evaluate
the incidence of cardiac, hepatobiliary, hematologic, echoencephalographic, and
cutaneous manifestations until 9 months of age are described in this study. No
congenital heart block was observed, but only transient rhythm alterations were
observed. In all, 1 infant showed typical neonatal lupus syndrome skin lesions at
3 months of age. During the neonatal period, echoencephalographic alterations
were found more frequently, whereas at follow-up, hepatic and hematologic
alterations were more often observed. In all, 1 baby showed persistent
neutropenia. A standard program that enrolls all infants born to mothers with
anti-SSA/Ro autoantibodies, who are at risk of developing neonatal lupus
syndrome, should also include tests performed some time after birth, as a number
of clinical manifestations might appear at a late stage.
PMID- 18057160
TI - What factors are important for pediatric residents' smoking cessation counseling
of parents?
AB - Pediatricians are expected to discuss the hazards of passive smoking and provide
smoking cessation counseling (SCC) because passive smoking is known to have a
direct negative effect on children's health. We conducted a cross-sectional
survey of pediatric and medicine- pediatric residents at 2 training programs to
identify resident-reported factors associated with higher confidence in and
frequency of SCC. In this sample of 71 residents, 83% reported asking about
parental smoking but only 36% reported counseling parents to quit smoking.
Knowledge of smoking cessation resources was the factor most strongly associated
with high confidence in and frequency of SCC. Research should be done to evaluate
if improving awareness of smoking cessation resources for parents improves
pediatricians' confidence in asking about parental smoking and the likelihood of
advising parents to quit smoking.
PMID- 18057161
TI - Failure-to-thrive in an infant following injection of capillary hemangioma with
triamcinolone acetonide.
PMID- 18057162
TI - An analysis of deaths in portable cribs and playpens: what can be learned?
AB - To assess the risk of using portable cribs and playpens as sleep surfaces for
infants, data from playpen/portable crib deaths occurring between January 1,
1999, and February 4, 2004, that were reported to the Consumer Product Safety
Commission were retrospectively reviewed. Twenty-one playpen/portable crib deaths
were identified. Two-thirds of the deaths were in infants. Asphyxia, positional
asphyxia, and suffocation were the leading causes of death. Several deaths were
the consequence of risks unique to playpens and portable cribs: playpen collapse,
modifications to the playpen, and improper assembly. The data demonstrate some
unique risks posed by playpens/portable cribs, including risks from improper
assembly and setup. Programs recommending and using playpens/portable cribs as
routine infant sleep surfaces must be aware of the need for additional guidance
regarding use of playpens/portable cribs.
PMID- 18057163
TI - How should immunization rates be measured in the office setting? A study from
PROS and NMA PedsNet.
AB - The aim of the study was to compare the validity and reliability of 2 sampling
methods for measuring immunization rates to a reference standard in a national
sample of pediatric office practices. The consecutive method involved patients
seen consecutively in the office for any reason; the random record was a random
selection of medical records; and the reference standard active method, data of a
randomly selected subgroup of children in the random record survey were
supplemented with information from a telephone interview. The consecutive method
of assessing immunization rates results in rates that are, on average, higher and
closer to the reference standard, but also more variable. The random record
method rates are lower and further from the study reference standard compared
with the consecutive method, but more precise. The consecutive method for
measuring practice immunization rates could be a useful quality improvement tool
as practices seek to improve immunization delivery and quality of care. It is
inexpensive, simple, and easy to implement.
PMID- 18057164
TI - A nonoperative treatment technique for peroneal tendon subluxation.
PMID- 18057165
TI - Dermatological clue to diagnosis of Degos disease in a 2-year-old with obscure
chronic abdominal pain.
PMID- 18057166
TI - The risk of antimalarials in patients with renal failure.
AB - We present here a patient with end stage renal failure who received two weeks
antimalarial prophylaxis at full dose leading to life threatening toxicity with
severe acute megaloblastic anaemia, symptomatic pancytopenia and exfoliative
dermatitis. Prompt recognition and treatment can rapidly reverse these fatal
effects but more importantly, education of patients before travel is imperative
in preventing such events.
PMID- 18057167
TI - Diversifying the options for interacting with patients.
PMID- 18057168
TI - Non-invasive treatment of ST elevation myocardial infarction.
AB - There is good evidence that timely restoration of coronary blood flow in
obstructed infarct related arteries is a significant determinant of both short
and long term mortality and morbidity. This is irrespective of whether it is
achieved using fibrinolytic therapy or percutaneous coronary intervention (PCI).
Despite the clear advantages of primary PCI, it is thrombolysis that remains the
main reperfusion strategy in the UK. Recent data have highlighted mortality
benefits when antiplatelet treatment and anticoagulation are used as adjuncts to
thrombolysis. Moreover, of those who receive thrombolysis, 60% proceed to
coronary arteriography within 6 months of their index event. Recent studies have
been published clarifying the timing of coronary arteriography in patients who
receive thrombolysis as reperfusion therapy.
PMID- 18057169
TI - Gene therapy in head and neck cancer: a review.
AB - Gene therapy for cancer is a rapidly evolving field with head and neck squamous
cell cancer being one of the more frequently targeted cancer types. The number of
clinical trials in the UK is growing and there is already a commercially
available agent in China. Various gene therapy strategies along with delivery
mechanisms for targeting head and neck cancer are reviewed.
PMID- 18057170
TI - Early structured core training of junior trainees in ophthalmology.
AB - The implementation of modernizing medical careers (MMC) has resulted in some
specialties being allocated very inexperienced trainees such as ophthalmology. We
aim to describe the process of implementation of MMC and how it may affect the
service provision in smaller specialities such as ophthalmology. A methodical
approach in a district hospital setting was used to provide early core training
to such trainees involving managerial support. The quality of service provided by
newer trainees can be enhanced by providing early structured training during
induction to create an atmosphere of enthusiasm and continued learning. This
example can be used in other units and specialties.
PMID- 18057172
TI - Pathological fracture of the talar neck associated with amyloid deposition.
PMID- 18057171
TI - Liver disease in erythropoietic protoporphyria: insights and implications for
management.
PMID- 18057173
TI - Surveillance of patients post-endovascular aneurysm repair.
AB - Endovascular aneurysm repair (EVAR) is increasingly being employed as an
alternative to open surgical repair for patients with abdominal aortic aneurysms.
The surveillance of patients post-EVAR has traditionally been carried out with
regular computed tomographic scans which have in part been responsible for the
high costs associated with this procedure. Duplex has been proposed as an
alternative, but researchers have so far been unable to devise a standardised
protocol for this surveillance. This review aims to provide a clear understanding
of currently employed imaging modalities and discuss future surveillance
possibilities for this patient group.
PMID- 18057174
TI - What's being used to spike your drink? Alleged spiked drink cases in inner city
London.
AB - OBJECTIVES: To determine the incidence and character of drink spiking in an urban
population of patients within the UK presenting to an emergency department
concerned they had consumed a deliberately contaminated drink. STUDY DESIGN:
Prospective case series determining the presence and quantity of sedative and
illicit drugs, and ethanol in biological samples (blood and urine) obtained from
consenting patients >18 years of age presenting to a large inner city London
emergency department alleging they had consumed a spiked drink within the
previous 12 h. RESULTS: Biological samples were obtained from 67 (blood) and 75
(urine) of 78 study participants. 82% of participants were female, mean age 24
years. Mean time from alleged exposure to biological sampling was 5.9 h (range 1
12 h). Ethanol was detected in 89.7% of participants. Mean serum ethanol
concentration was 1.65 g/l (range 0.04-3.1 g/l); 60% of participants had a serum
ethanol concentration associated with significant intoxication (>1.5 g/l).
Illicit drugs were detected in 12 (15%) participants; 7 denied intentional
exposure (3 methylenedioxymethamphetamine, 3 cannabis, 1 gamma-hydroxybutyrate).
Medicinal drugs were detected in 13 participants; only 1 exposure was unexplained
(benzodiazepine). Overall illicit or medicinal drugs of unexplained origin were
detected in 8 (10%) participants. Unexplained sedative drug exposure was detected
in only 2 (3%) participants. CONCLUSIONS: Use of sedative drugs to spike drinks
may not be as common as reported in the mainstream media. A large number of study
participants had serum ethanol concentrations associated with significant
intoxication; the source (personal over-consumption or deliberate drink spiking)
is unclear.
PMID- 18057175
TI - Web 2.0 technologies for undergraduate and postgraduate medical education: an
online survey.
AB - OBJECTIVES: To identify the current familiarity and use of Web 2.0 technologies
by medical students and qualified medical practitioners, and to identify the
barriers to its use for medical education. METHODS: A semi-structured online
questionnaire survey of 3000 medical students and 3000 qualified medical
practitioners (consultants, general practitioners and doctors in training) on the
British Medical Association's membership database. RESULTS: All groups had high
familiarity, but low use, of podcasts. Ownership of digital media players was
higher among medical students. There was high familiarity, but low use, of other
Web 2.0 technologies except for high use of instant messaging and social
networking by medical students. All groups stated that they were interested in
using Web 2.0 technologies for education but there was lack of knowledge and
skills in how to use these new technologies. CONCLUSIONS: There is an overall
high awareness of a range of new Web 2.0 technologies by both medical students
and qualified medical practitioners and high interest in its use for medical
education. However, the potential of Web 2.0 technologies for undergraduate and
postgraduate medical education will only be achieved if there is increased
training in how to use this new approach.
PMID- 18057176
TI - Polymorbidity in diabetes in older people: consequences for care and vocational
training.
AB - OBJECTIVE: To investigate the prevalence of complicating and concurrent
morbidities in older diabetic patients and to evaluate to what extent their
occurrence affects the burden of disease and use of medical healthcare. STUDY
DESIGN: Cross-sectional analysis of retrospectively obtained data on
comorbidities and use of medical healthcare. Healthcare registration systems were
used to retrieve data on 300 patients with diabetes aged >or=60 years who,
according to the severity of their disease and intensity of care required, were
treated in a regional general practitioner (GP), diabetes nurse specialist (DNS)
or medical specialist (MS) practice. RESULTS: Complicating and concurrent
morbidities were often found irrespective of the type of practice involved. After
adjustments for differences in sex, age and glycosylated haemoglobin (HbA1c), the
extent of complicating comorbidities showed sequential increases in patients
managed by GP, DNS and MS (mean number of 3.6, 4.7 and 6.7, respectively;
p(trend)<0.001). However, the mean number of concurrent comorbidities was similar
across all three settings (2.1, 1.8 and 2.0, respectively). Both complicating and
concurrent comorbidities were similarly associated with the extent of drug use
(beta = 0.49 (95% CI 0.40 to 0.58) and beta = 0.57 (95% CI 0.52 to 0.72),
respectively) and the number of consultations with specialists other than the
main care giver (beta = 1.19 (95% CI 1.15 to 1.24) and beta = 1.21 (95% CI 1.14
to 1.28), respectively). However, the mean number of different specialists
involved in a patient's care per additional concurrent comorbidity was twice as
high as per any additional complicating comorbidity (beta = 0.60 (95% CI 0.48 to
0.71) vs beta = 0.31 (95% CI 0.24 to 0.39)). CONCLUSIONS: The use of healthcare
facilities by older patients with diabetes is substantial, irrespective of the
complexity of the disease and the kind of practice involved. The common
manifestation of complicating and concurrent comorbidities and their varying
complexity in individual patients requires a patient-oriented rather than a
disease-oriented approach and vocational training programmes for care givers that
are tailored to the complexity of multiple chronic diseases.
PMID- 18057177
TI - Evaluation of the need for endoscopy to identify low-risk patients presenting
with an acute upper gastrointestinal bleed suitable for early discharge.
AB - AIMS: To audit the safety of differing protocol-driven early-discharge policies,
from two sites, for low-risk acute upper gastrointestinal (GI) bleeding and
determine if default early (<24 h) in-patient endoscopy is necessary. METHODS:
All patients with low-risk acute upper GI bleeding presenting to two separate
hospital sites in Leeds from August 2002 to March 2005 were identified. Both
hospitals operate nurse-led process-driven protocols for discharge within 24 h,
but only one includes default endoscopy. Relevant information was obtained from
patients' notes, patient administration systems, discharge letters and endoscopy
records. RESULTS: 120 patients were admitted to site A and 74 to site B. Median
length of stay on the clinical decisions unit was 12.6 h at site A and 9.4 h at
site B (p = 0.045). Oesophagogastroduodenoscopy was performed on 89/120 (74%)
patients at site A compared with only 7/74 (9%) at site B (p<0.001). Six of 120
(5%) patients from site A were admitted to hospital for further observation
compared with 6/74 (8%) from site B (p = 0.38). Of the remaining patients, all
were discharged within 24 h, and 8/114 (7%) at site A vs 17/68 (25%) at site B
were given hospital clinic follow-up (p<0.001). None of the 194 patients had
further bleeding or complications within 30 days. CONCLUSIONS: Patients admitted
with a low-risk acute upper GI bleeding can be managed safely by a nurse-led
process-driven protocol, based on readily available clinical and laboratory
variables, with early discharge <24 h. Avoiding in-patient endoscopy appears to
be safe but at the price of greater clinic follow-up.
PMID- 18057178
TI - Biliary tract infection and bacteraemia: presentation, structural abnormalities,
causative organisms and clinical outcomes.
AB - BACKGROUND: Biliary tract infection is a common cause of bacteraemia and is
associated with high morbidity and mortality. Few papers describe blood culture
isolates, underlying structural abnormalities and clinical outcomes in patients
with bacteraemia. AIMS: To determine the proportion of bacteraemias caused by
biliary tract infection and to describe patient demographics, underlying
structural abnormalities and clinical outcomes in patients with bacteraemia.
DESIGN: Prospective cohort study. METHODS: Biliary tract infection that caused
bacteraemia was defined as a compatible clinical syndrome and a blood culture
isolate consistent with ascending cholangitis. Patients aged 16 years and over
were included in the study. From June 2003 to May 2005, demographic and clinical
data were collected prospectively on all adult patients with bacteraemia.
Radiological and endoscopic retrograde cholangiopancreatography findings were
collected retrospectively. RESULTS: In 49 patients, the biliary tract was the
site of infection for 39/592 (6.6%) community-acquired and 19/466 (4.1%) hospital
acquired episodes of bacteraemia. Three patients had mixed bacteraemias, and four
had recurrent bacteraemia. The proportion of patients presenting with a
structural abnormality was 34/49 (69%), and, of these structural abnormalities,
18/34 (53%) were pre-existing or newly diagnosed malignancies. Gram-negative
organisms caused 55/58 (95%) episodes of bacteraemia. The most common Gram
negative organisms were Escherichia coli (34/55; 62%) and Klebsiella pneumoniae
(14/55; 26%). Of the E coli isolates, 6/34 (18%) were extended spectrum beta
lactamase producers or multiply drug resistant. Thirty-day mortality was 7/49
(14%). There was no difference in time taken to administer an effective
antibiotic to survivors and non-survivors (0.86 vs 1.05 days, respectively, p =
0.92). Of the seven who died, four died from septic shock within 48 h of
admission caused by "susceptible" Gram-negative organisms. Two others died from
disseminated malignancy. CONCLUSIONS: The proportion of bacteraemias caused by
biliary tract infection was 5.5%. The most common infecting organisms were E coli
and K pneumoniae. There was a strong association with choledocholithiasis and
malignancies, both pre-existing and newly diagnosed. Death was uncommon but when
it occurred was often caused by septic shock within 48 h of presentation.
PMID- 18057179
TI - Learning curves in surgical practice.
AB - Learning curves are often referred to in the context of medical education and
training, though their trajectories and natures are a matter of debate. The
origins of this concept derive from industry and its relevance to contemporary
medicine and surgery remains controversial. We describe the history, derivation,
character and possible mechanisms to deal with the implications of learning
curves in the current climate of clinical governance and modernizing medical
careers.
PMID- 18057180
TI - Development and validation of a cell-based high-throughput screening assay for
TRPM2 channel modulators.
AB - TRPM2 is a member of the transient receptor potential melastatin (TRPM)-related
ion channel family. The activation of TRPM2 induced by oxidative/nitrosative
stress leads to an increase in intracellular free Ca(2+). Although further
progress in understanding TRPM2's role in cell and organism physiology would be
facilitated by isolation of compounds able to specifically modulate its function
in primary cells or animal models, no cell-based assays for TRPM2 function well
suited for high-throughput screening have yet been described. Here, a novel
suspension B lymphocyte cell line stably expressing TRPM2 was used to develop a
cell-based assay. The assay uses the Ca(2+)-sensitive fluorescence dye, Fluo-4 NW
(no wash), to measure TRPM2-dependent Ca(2+) transients induced by H(2)O(2) and N
methyl-N'-nitrosoguanidine in a 96-well plate format. Assay performance was
evaluated by statistical analysis of the Z' factor value and was consistently
greater than 0.5 under optimal conditions, suggesting that the assay is very
robust. For assay validation, the effects of known inhibitors of TRPM2 and TRPM2
gating secondary messenger production were determined. Overall, the authors have
developed a cell-based assay that may be used to identify TRPM2 ion channel
modulators from large compound libraries.
PMID- 18057182
TI - Induction of antitumor acquired immunity by baculovirus Autographa californica
multiple nuclear polyhedrosis virus infection in mice.
AB - The baculovirus Autographa californica multiple nuclear polyhedrosis virus
(AcMNPV) has been studied as a gene therapy vector. Here, we demonstrated that
AcMNPV induces antitumor acquired immunity. These results suggest that AcMNPV has
the potential to be an efficient virus or tumor therapy agent which induces
innate and acquired immunity.
PMID- 18057181
TI - A novel, killed-virus nasal vaccinia virus vaccine.
AB - Live-virus vaccines for smallpox are effective but have risks that are no longer
acceptable for routine use in populations at minimal risk of infection. We have
developed a mucosal, killed-vaccinia virus (VV) vaccine based on antimicrobial
nanoemulsion (NE) of soybean oil and detergent. Incubation of VV with 10% NE for
at least 60 min causes the complete disruption and inactivation of VV. Simple
mixtures of NE and VV (Western Reserve serotype) (VV/NE) applied to the nares of
mice resulted in both systemic and mucosal anti-VV immunity, virus-neutralizing
antibodies, and Th1-biased cellular responses. Nasal vaccination with VV/NE
vaccine produced protection against lethal infection equal to vaccination by
scarification, with 100% survival after challenge with 77 times the 50% lethal
dose of live VV. However, animals protected with VV/NE immunization did after
virus challenge have clinical symptoms more extensive than animals vaccinated by
scarification. VV/NE-based vaccines are highly immunogenic and induce protective
mucosal and systemic immunity without the need for an inflammatory adjuvant or
infection with live virus.
PMID- 18057183
TI - Evaluation of the ultrasensitive human immunodeficiency virus type 1 (HIV-1) p24
antigen assay performed on dried blood spots for diagnosis of HIV-1 infection in
infants.
AB - The diagnostic accuracy of the modified p24 antigen assay performed on pediatric
dried blood spots was evaluated. Samples analyzed within 6 weeks of collection
yielded no false-positive results (specificity, 100%) and few false-negative
results (sensitivity, 96.5% to 98.3%). Laboratory services with limited resources
should assess this option for routine infant diagnosis.
PMID- 18057184
TI - Serological evaluation of specific-antibody levels in patients treated for
chronic Chagas' disease.
AB - Serological tests are the main laboratory procedures used for diagnosis during
the indeterminate and chronic stages of Chagas' disease. A serological regression
to negativity is the main criterion used to define parasitological cure in
treated patients. The aim of this work was to monitor the individual
specificities of antibody levels for 3 years posttreatment in 18 adult patients.
Conventional serological techniques (hemagglutination assays and enzyme-linked
immunosorbent assay [ELISA]) were modified by using recombinant antigens to
detect early markers of treatment effectiveness. For this purpose, serum samples
were taken before and during treatment and every 6 months after treatment for at
least 3 years. When hemagglutination assays were used, a decrease in antibody
levels was observed in only one patient. When ELISA with serum dilutions was
used, antibody clearance became much more apparent: in 77.7% (14/18) of the
patients, antibody titers became negative with time. This was observed at serum
dilutions of 1/320 and occurred between the 6th and the 30th months
posttreatment. The immune response and the interval for a serological regression
to negativity were different for each patient. For some of the recombinant
antigens, only 50% (9/18) of the patients reached the serological regression to
negativity. Recombinant antigen 13 might be a good marker of treatment
effectiveness, since 66.6% (six of nine) of the patients presented with an early
regression to negativity for specific antibodies to this antigen (P = 0.002).
PMID- 18057185
TI - Impaired acid secretion in cortical collecting duct intercalated cells from H-K
ATPase-deficient mice: role of HKalpha isoforms.
AB - Two classes of H pumps, H-K-ATPase and H-ATPase, contribute to luminal
acidification and HCO(3) transport in the collecting duct (CD). At least two H-K
ATPase alpha-subunits are expressed in the CD: HKalpha(1) and HKalpha(2). Both
exhibit K dependence but have different inhibitor sensitivities. The HKalpha(1) H
K-ATPase is Sch-28080 sensitive, whereas the pharmacological profile of the
HKalpha(2) H-K-ATPase is not completely understood. The present study used a
nonpharmacological, genetic approach to determine the contribution of HKalpha(1)
and HKalpha(2) to cortical CD (CCD) intercalated cell (IC) proton transport in
mice fed a normal diet. Intracellular pH (pH(i)) recovery was determined in ICs
using in vitro microperfusion of CCD after an acute intracellular acid load in
wild-type mice and mice of the same strain lacking expression of HKalpha(1),
HKalpha(2), or both H-K-ATPases (HKalpha(1,2)). A-type and B-type ICs were
differentiated by luminal loading with BCECF-AM and peritubular chloride removal
from CO(2)/HCO(3)-buffered solutions to identify the membrane locations of
Cl/HCO(3) exchange activity. H-ATPase- and Na/H exchange-mediated H transport
were inhibited with bafilomycin A(1) (100 nM) and EIPA (10 microM), respectively.
Here, we report 1) initial pH(i) and buffering capacity were not significantly
altered in the ICs of HKalpha-deficient mice, 2) either HKalpha(1) or HKalpha(2)
deficiency resulted in slower acid extrusion, and 3) A-type ICs from HKalpha(1,2)
deficient mice had significantly slower acid extrusion compared with A-type ICs
from HKalpha(1)-deficient mice alone. These studies are the first
nonpharmacological demonstration that both HKalpha(1) and HKalpha(2) contribute
to H secretion in both A-type and B-type ICs in animals fed a normal diet.
PMID- 18057186
TI - Renal localization and regulation of 15-hydroxyprostaglandin dehydrogenase.
AB - Tissue prostaglandin levels are determined by both biosynthesis and catabolism.
The current studies report the expression and localization of 15
hydroxyprostaglandin dehydrogenase (15-PGDH), a key enzyme in prostaglandin
catabolism in the kidneys. We also investigated potential interactions between 15
PGDH and cyclooxygenase (COX), a key enzyme in prostaglandin biosynthesis. Both
15-PGDH mRNA and protein levels were significantly higher in kidney cortex than
in papilla, which is opposite to the expression pattern of COX-2. In situ
hybridization indicated that 15-PGDH mRNA was mainly localized to the tubular
epithelial cells in kidney cortex and outer medulla but not in the glomerulus or
papilla. Dual immunofluorescent staining indicated that 15-PGDH was expressed in
the proximal tubule, cortical, and outer medullary thick ascending limb and
collecting duct but not in the macula densa or papilla. 15-PGDH levels were
significantly lower in a macula densa cell line (MMDD1) than in a proximal tubule
cell line. Although a high-salt diet decreased COX-2 expression in macula densa,
it increased macula densa 15-PGDH expression in both mouse and rat kidneys. In
MMDD1 cells, a COX-2 inhibitor increased 15-PGDH, whereas a COX-1 inhibitor had
no effect. Furthermore, intense 15-PGDH immunofluorescent staining was found in
both macula densa and glomerulus in COX-2 knockout mice. The intrarenal
distribution of 15-PGDH and its interactions with COX-2 suggest that differential
regulation of COX-2 and 15-PGDH may play an important role in determining levels
of prostaglandins involved in regulation of salt, volume, and blood pressure
homeostasis.
PMID- 18057187
TI - Sevoflurane-mediated TGF-beta1 signaling in renal proximal tubule cells.
AB - Several volatile anesthetics, including sevoflurane, protect against renal
ischemia-reperfusion injury in vivo by reducing necrosis and inflammation.
Furthermore, in cultured renal tubule cells, sevoflurane directly induced the
phosphorylation of the cytoprotective kinases (ERK and Akt), upregulated 70-kDa
heat shock protein (HSP70), and attenuated nuclear translocation of the
proinflammatory transcription factor NF-kappaB. It has been shown that
sevoflurane increases the release of transforming growth factor-beta1 (TGF-beta1)
in human proximal tubule (HK-2) cells via externalization of plasma membrane
phosphatidylserine (PS), and this increase in TGF-beta1 protected HK-2 cells
against hydrogen peroxide-mediated necrosis. In this study, we aimed to determine
whether the sevoflurane-mediated phosphorylation of ERK and Akt, induction of
HSP70, and reduction in NF-kappaB activation are due to TGF-beta1 receptor
mediated signaling after PS externalization in HK-2 cells. Exogenous TGF-beta1
and a liposome mixture containing PS mimicked sevoflurane-mediated ERK and Akt
phosphorylation and HSP70 induction in HK-2 cells. Sevoflurane and TGF-beta1
caused the nuclear translocation of the SMAD3 transcription factor in HK-2 cells.
Furthermore, a neutralizing TGF-beta1 antibody or exogenous annexin V to bind PS
prevented sevoflurane-induced ERK and Akt phosphorylation and HSP70 induction in
HK-2 cells. Finally, a TGF-beta1 antibody and annexin V attenuated the reduction
in nuclear translocation of NF-kappaB by sevoflurane. Therefore, we demonstrate
in this study that sevoflurane-mediated cytoprotective and anti-inflammatory
effects in HK-2 cells are at least partially due to the externalization of PS and
activation of TGF-beta1 signaling pathways.
PMID- 18057188
TI - Predicting utility ratings for joint health States from single health States in
prostate cancer: empirical testing of 3 alternative theories.
AB - BACKGROUND: Cost-effectiveness analyses measure quality of life by associating
utilities with specific health states. Utilities are often defined by single
health states, such as incontinence or impotence in the case of prostate cancer
treatments. Health conditions often occur simultaneously, yielding joint health
states (e.g., impotence with incontinence). Given the combinatorial mathematics
involved, even a small number of conditions can result in large numbers of
potential joint states, complicating utility elicitation for all relevant states.
Analytic predictions for joint-state utilities have been based on 3 theoretical
models: 1) multiplicative, 2) additive, and 3) minimum models. These models'
empirical accuracy for joint-state utility prediction has been minimally
examined. The authors compared these 3 models for predicting joint-state
utilities from single-state utilities in men at the time of prostate biopsies.
METHODS: Utilities were collected using time tradeoff in 2 university-based
prostate biopsy clinics (N = 147). Single-state utilities were elicited for
impotence, incontinence, watchful waiting, and post-prostatectomy. Joint-state
utilities were elicited for states combining impotence with 1) incontinence, 2)
postprostatectomy, or 3) watchful waiting. Testing 3 prediction models of joint
state utilities for bias and consistency, the predictions were compared against
directly elicited joint-state utilities. RESULTS: All 3 models are biased. The
minimum model is preferred, being the least biased and most efficient.
CONCLUSIONS: No current model accurately predicts joint-state utility using the
component single-state utilities. When possible, joint-state utilities should be
elicited. If not possible, the minimum model is recommended. Research to identify
better models is needed.
PMID- 18057189
TI - A second-order simulation model of the cost-effectiveness of managing dyspepsia
in the United States.
AB - BACKGROUND: The "gold-standard'' evidence of effectiveness for a clinical
practice guideline is the randomized controlled trial (RCT), although RCTs have a
limited ability to explore potential management strategies for a chronic disease
where these interact over time. Modeling can be used to fill this gap, and models
have become increasingly complex, with both dynamic sampling and representation
of second-order uncertainty to provide more precise estimates. However, both
simulation modeling and probabilistic sensitivity analysis are rarely used
together. The objective of this study was to explore uncertainty in controversial
areas of the 2005 American Gastroenterology Association position statement on the
management of dyspepsia. METHODS: Individual sampling model, incorporating a
second-order probabilistic sensitivity analysis. POPULATION: US adult patients
presenting in primary care with dyspepsia. Interventions compared: empirical acid
suppression, test and treat for Helicobacter pylori, initial endoscopy, acid
suppression then endoscopy, test and treat then proton pump inhibitor (PPI) then
endoscopy. OUTCOMES: Cost-effectiveness, quality-adjusted life years, and costs
in US dollars from a societal perspective, measured over a 5-year period. DATA
SOURCES: mainly Cochrane meta-analyses. RESULTS: Endoscopy was dominated at all
ages by other strategies. PPI therapy was the most cost-effective strategy in 30
year-olds with a low prevalence of H. pylori. In 60-year-olds, H. pylori test and
treat was the most cost-effective option. CONCLUSIONS: Acid suppression alone was
more cost-effective than either endoscopy or H. pylori test and treat in younger
dyspepsia patients with a low prevalence of infection.
PMID- 18057190
TI - Measuring the care of the patient in context.
PMID- 18057191
TI - A systematic review of cost-utility analyses in HIV/AIDS: implications for public
policy.
AB - OBJECTIVES: To determine whether gaps exist in published cost-utility analyses as
measured by their coverage of topics addressed in current HIV guidelines from the
Department of Health and Human Services (DHHS). DESIGN: A systematic review of US
based cost-effectiveness analyses of HIV/AIDS prevention and management
strategies, based on original, published research. METHODS: Predefined criteria
were used to identify all analyses pertaining to prevention and management of
HIV/AIDS; information was collected on type of strategy, patient demographics,
study perspective, quality of the study, effectiveness measures, costs, and cost
effectiveness ratios. RESULTS: One hundred and six studies were identified; 62
described strategies for averting new HIV infections, and 44 dealt with managing
persons who are HIV positive. The quality of studies was generally high, but gaps
were found in all studies. Especially common were omissions in reporting data
abstraction methodology and discussions of direction and magnitude of potential
biases. Among the 22 most highly rated papers (score of 90 or higher), only 1 was
cited in the guidelines, and 3 papers reported on interventions that were
superseded by newer approaches. Using a USD 100,000 threshold, the guidelines
usually endorsed interventions found to be cost-effective. Exceptions included
recommending postexposure prophylaxis (PEP) for populations in which PEP is
unlikely to be cost-effective and not recommending primary resistance testing in
treatment-naive persons, although the intervention was reported to have a cost
effectiveness ratio of less than USD 50,000. CONCLUSIONS: Despite an abundant
literature on the cost-utility of HIV/AIDS-targeted strategies, guidelines cite
relatively few of these papers, and gaps exist regarding assessments of some
strategies and special populations.
PMID- 18057192
TI - Swimming with and against the stream: does motor adaptation to lateral forces
influence visual motion perception?
PMID- 18057193
TI - Serotonin, the prefrontal cortex, and the antidepressant-like effect of
cannabinoids.
PMID- 18057194
TI - Heroin abuse is characterized by discrete mesolimbic dopamine and opioid
abnormalities and exaggerated nuclear receptor-related 1 transcriptional decline
with age.
AB - Dysfunction of mesocorticolimbic dopaminergic neurons is considered a common
feature of all drugs of abuse, yet few investigations have evaluated the dopamine
(DA) system in nonstimulant human abusers. We examined mRNA expression levels of
DA transporter (DAT), tyrosine hydroxylase (TH), dopamine D2 receptor, alpha
synuclein, and nuclear receptor-related 1 (Nurr1) in discrete mesocorticolimbic
and nigrostriatal subpopulations of heroin users and control subjects. The
chronic use of heroin was significantly associated with decreased DAT mRNA
expression localized to the paranigral nucleus (PN) and the mesolimbic division
of the ventral tegmental area (VTA) with no alterations in nigrostriatal
populations. Consistently, the density of DAT immunoreactivity was significantly
reduced in the nucleus accumbens but not in dorsal striatum, mesolimbic and
nigrostriatal efferent targets, respectively. Significant alteration of the mRNA
expression of Nurr1, a transcription factor that regulates DAT expression, was
also confined to the PN. Moreover, the results revealed an exaggerated reduction
of Nurr1 expression with age in heroin users (r = -0.8268, p < 0.001 vs controls,
r = -0.6204, p = 0.0746). TH and alpha-synuclein mRNA levels were, in contrast,
elevated in the VTA PN in heroin users with no change of the D2 receptor.
Evaluating midbrain mu- and kappa-opioid receptors, relevant for the action of
heroin and regulation of DA neurons, revealed dysregulation of G-protein coupling
selective to the VTA PN. Altogether the current findings provide direct
neurobiological evidence that midbrain reward circuits have the most prominent DA
and opioid impairments in human heroin abusers and that abnormal Nurr1
transcription with opiate use may exacerbate limbic dysfunction with age.
PMID- 18057195
TI - Experimental investigation of antibody-mediated clearance mechanisms of amyloid
beta in CNS of Tg-SwDI transgenic mice.
AB - Novel amyloid precursor protein transgenic mice, which contain the Swedish as
well as the vasculotropic Dutch and Iowa mutations (Tg-SwDI), were used to
investigate the mechanisms of antibody-mediated clearance of amyloid-beta (Abeta)
from the brain. Export of the Abeta-DI peptide across the blood-brain barrier is
severely reduced because of the vasculotropic mutations. Therefore, antibody
mediated clearance of Abeta-DI is dependent on antibodies entering the brain. In
this report, we immunized Tg-SwDI mice with various peptide antigens, including
Abeta40-DI, Abeta42, and an Abeta epitope vaccine. Immunization of Tg-SwDI mice
with substantial cortical diffuse and vascular fibrillar deposits failed to
promote clearance of parenchymal or vascular amyloid deposits. We then immunized
young Tg-SwDI mice before the accumulation of Abeta and saw no evidence that anti
Abeta antibodies could diminish deposition of parenchymal or vascular amyloid
deposits. However, injection of anti-Abeta antibodies, affinity-purified from
immunized Tg-SwDI mice, into the hippocampus induced a rapid clearance of diffuse
Abeta deposits but not vascular amyloid deposits. These results further support
the "peripheral sink hypothesis" as a legitimate mechanism of antibody-mediated
clearance of Abeta when the blood-brain barrier remains intact. Thus, approaches
that deliver immunotherapy to the brain may be more effective at clearing Abeta
than immunization strategies in which the majority of the antibodies are in the
periphery.
PMID- 18057196
TI - Counting on inhibition and rate-dependent excitation in the auditory system.
AB - The intervals between acoustic elements are important in audition. Although
neurons have been recorded that show interval tuning, the underlying mechanisms
are unclear. The anuran auditory system is well suited for addressing this
problem. One class of midbrain neurons in anurans responds selectively over a
narrow range of pulse-repetition rates (PRRs) and only after several sound pulses
have occurred with the "correct" timing. This "interval-counting" process can be
reset by a single incorrect interval. Here we show, from whole-cell patch
recordings of midbrain neurons in vivo, that these computations result from
interplay between inhibition and rate-dependent excitation. An individual pulse
or slowly repeated pulses elicited inhibition and subthreshold excitation.
Excitation was markedly enhanced, however, when PRR was increased over a neuron
specific range. Spikes were produced when the enhanced excitation overcame the
inhibition. Interval-number thresholds were positively correlated with the
strength of inhibition and number of intervals required to augment the
excitation. Accordingly, interval-number thresholds decreased when inhibition was
attenuated by loading cells with cesium fluoride. The selectivity of these
neurons for the interpulse interval, and therefore PRR, was related to the time
course of excitatory events and the rate dependence of enhancement; for cells
that were tuned to longer intervals, EPSPs were broader, and enhancement occurred
at slower PRRs. The frequency tuning of the inhibition generally spanned that of
the excitation, consistent with its role in temporal computation. These findings
provide the first mechanistic understanding of interval selectivity and counting
in the nervous system.
PMID- 18057197
TI - Catechol-O-methyltransferase Val158Met modulation of prefrontal-parietal-striatal
brain systems during arithmetic and temporal transformations in working memory.
AB - Working memory (WM) is critically mediated by dopaminergic tuning of signal-to
noise in cortical neural assemblies. However, little is known about the
distributed neuronal networks impacted by dopaminergic modulation in the
component processes of WM. Here, we used the genotype of the Val158Met
polymorphism in catechol-O-methyltransferase (COMT) as an index of relative
cortical dopamine bioavailability and tuning efficiency, to examine the spatial
and subprocess specificity by which dopaminergic modulation occurs within the
prefrontal-parietal-striatal network during WM, thus empirically showing that
dopamine plays key roles in updating and stabilizing new information at the
neural systems level. In an event-related fMRI task dissociating component
numerical WM subprocesses, baseline numerical size comparison engaged
ventrolateral prefrontal cortical activation that correlated with COMT Val-allele
load (COMT Val>Met), while performing arithmetic transformations further engaged
this genotype effect in dorsolateral prefrontal cortex (DLPFC), as well as in
parietal and striatal regions. Critically, additional temporal integration of
information in WM disproportionately engaged greater COMT Val>Met effects only at
DLPFC. COMT Val>Met effects were also observed in DLPFC during encoding of new
information into WM, but not at its subsequent retrieval. Thus, temporal updating
operations, but less so the retrieval of already encoded representations, engaged
relatively specific dopaminergic tuning at the DLPFC. Manipulating and rapidly
updating representations were sensitive to dopaminergic modulation of neural
signaling in a larger prefrontal-parietal-striatal network. These findings add to
the integration of dopaminergic signaling in basic cortical assemblies with their
roles in specific human brain networks during the orchestration of information
processing in WM.
PMID- 18057198
TI - Tyramine and octopamine independently inhibit serotonin-stimulated aversive
behaviors in Caenorhabditis elegans through two novel amine receptors.
AB - Biogenic amines modulate key behaviors in both vertebrates and invertebrates. In
Caenorhabditis elegans, tyramine (TA) and octopamine (OA) inhibit aversive
responses to 100%, but not dilute (30%) octanol. TA and OA also abolish food- and
serotonin-dependent increases in responses to dilute octanol in wild-type but not
tyra-3(ok325) and f14d12.6(ok371) null animals, respectively, suggesting that TA
and OA modulated responses to dilute octanol are mediated by separate, previously
uncharacterized, G-protein-coupled receptors. TA and OA are high-affinity ligands
for TYRA-3 and F14D12.6, respectively, based on their pharmacological
characterization after heterologous expression. f14d12.6::gfp is expressed in the
ASHs, the neurons responsible for sensitivity to dilute octanol, and the sra-6
dependent expression of F14D12.6 in the ASHs is sufficient to rescue OA
sensitivity in f14d12.6(ok371) null animals. In contrast, tyra-3::gfp appears not
to be expressed in the ASHs, but instead in other neurons, including the
dopaminergic CEP/ADEs. However, although dopamine (DA) also inhibits 5-HT
dependent responses to dilute octanol, TA still inhibits in dop-2; dop-1; dop-3
animals that do not respond to DA and cat-2(tm346) and Pdat-1::ICE animals that
lack significant dopaminergic signaling, suggesting that DA is not an
intermediate in TA inhibition. Finally, responses to TA and OA selectively
desensitize after preexposure to the amines. Our data suggest that although
tyraminergic and octopaminergic signaling yield identical phenotypes in these
olfactory assays, they act independently through distinct receptors to modulate
the ASH-mediated locomotory circuit and that C. elegans is a useful model to
study the aminergic modulation of sensory-mediated locomotory behaviors.
PMID- 18057199
TI - Impairment of retention but not acquisition of a visuomotor skill through time
dependent disruption of primary motor cortex.
AB - Learning a visuomotor skill involves a distributed network which includes the
primary motor cortex (M1). Despite multiple lines of evidence supporting the role
of M1 in motor learning and memory, it is unclear whether M1 plays distinct roles
in different aspects of learning such as acquisition and retention. Here, we
investigated the nature and chronometry of that processing through a temporally
specific disruption of M1 activity using single-pulse transcranial magnetic
stimulation (TMS). We applied single-pulse TMS to M1 or dorsal premotor cortex
(PMd) during adaptation of rapid arm movements (approximately 150 ms duration) to
a visuomotor rotation. When M1 was stimulated either immediately after the end of
each trial or with a 700 ms delay, subjects exhibited normal adaptation. However,
whereas the memory of the subjects who received delayed-TMS showed normal rates
of forgetting during deadaptation, the memory of those who received immediate TMS
was more fragile: in the deadaptation period, they showed a faster rate of
forgetting. Stimulation of PMd with adjusted (reduced) intensity to rule out the
possibility of coactivation of this structure caused by the current spread from
M1 stimulation did not affect adaptation or retention. The data suggest that,
during the short time window after detection of movement errors, neural
processing in M1 plays a crucial role in formation of motor memories. This
processing in M1 may represent a slow component of motor memory which plays a
significant role in retention.
PMID- 18057200
TI - Differential gating and recruitment of P/Q-, N-, and R-type Ca2+ channels in
hippocampal mossy fiber boutons.
AB - Voltage-gated Ca2+ channels in presynaptic terminals initiate the Ca2+ inflow
necessary for transmitter release. At a variety of synapses, multiple Ca2+
channel subtypes are involved in synaptic transmission and plasticity. However,
it is unknown whether presynaptic Ca2+ channels differ in gating properties and
whether they are differentially activated by action potentials or subthreshold
voltage signals. We examined Ca2+ channels in hippocampal mossy fiber boutons
(MFBs) by presynaptic recording, using the selective blockers omega-agatoxin IVa,
omega-conotoxin GVIa, and SNX-482 to separate P/Q-, N-, and R-type components.
Nonstationary fluctuation analysis combined with blocker application revealed a
single MFB contained on average approximately 2000 channels, with 66% P/Q-, 26% N
, and 8% R-type channels. Whereas both P/Q-type and N-type Ca2+ channels showed
high activation threshold and rapid activation and deactivation, R-type Ca2+
channels had a lower activation threshold and slower gating kinetics. To
determine the efficacy of activation of different Ca2+ channel subtypes by
physiologically relevant voltage waveforms, a six-state gating model reproducing
the experimental observations was developed. Action potentials activated P/Q-type
Ca2+ channels with high efficacy, whereas N- and R-type channels were activated
less efficiently. Action potential broadening selectively recruited N- and R-type
channels, leading to an equalization of the efficacy of channel activation. In
contrast, subthreshold presynaptic events activated R-type channels more
efficiently than P/Q- or N-type channels. In conclusion, single MFBs coexpress
multiple types of Ca2+ channels, which are activated differentially by
subthreshold and suprathreshold presynaptic voltage signals.
PMID- 18057201
TI - A selective role for neuronal activity regulated pentraxin in the processing of
sensory-specific incentive value.
AB - Neuronal activity regulated pentraxin (Narp) is a secreted neuronal product which
clusters AMPA receptors and regulates excitatory synaptogenesis. Although Narp is
selectively enriched in brain, its role in behavior is not known. As Narp is
expressed prominently in limbic regions, we examined whether Narp deletion
affects performance on tasks used to assess motivational consequences of food
rewarded learning. Narp knock-out (KO) mice were unimpaired in learning simple
pavlovian discriminations, instrumental lever pressing, and in acquisition of at
least two aspects of pavlovian incentive learning, conditioned reinforcement and
pavlovian-instrumental transfer. In contrast, Narp deletion resulted in a
substantial deficit in the ability to use specific outcome expectancies to
modulate instrumental performance in a devaluation task. In this task, mice were
trained to respond on two levers for two different rewards. After training, mice
were prefed with one of the two rewards, devaluing it. Responding on both levers
was then assessed in extinction. Whereas control mice showed a significant
preference in responding on the lever associated with the nondevalued reward,
Narp KO mice responded equally on both levers, failing to suppress responding on
the lever associated with the devalued reward. Both groups consumed more of the
nondevalued reward in a subsequent choice test, indicating Narp KO mice could
distinguish between the rewards themselves. These data suggest Narp has a
selective role in processing sensory-specific information necessary for
appropriate devaluation performance, but not in general motivational effects of
reward-predictive cues on performance.
PMID- 18057202
TI - Activation of hippocampal nuclear factor-kappa B by retrieval is required for
memory reconsolidation.
AB - Initially, memory is labile and requires consolidation to become stable. However,
several studies support that consolidated memories can undergo a new period of
lability after retrieval. The mechanistic differences of this process, termed
reconsolidation, with the consolidation process are under debate, including the
participation of hippocampus. Up to this point, few reports describe molecular
changes and, in particular, transcription factor (TF) involvement in memory
restabilization. Increasing evidence supports the participation of the TF nuclear
factor-kappaB (NF-kappaB) in memory consolidation. Here, we demonstrate that the
inhibition of NF-kappaB after memory reactivation impairs retention of a
hippocampal-dependent inhibitory avoidance task in mice. We used two independent
disruptive strategies to reach this conclusion. First, we administered
intracerebroventricular or intrahippocampal sulfasalazine, an inhibitor of IKK
(IkappaB kinase), the kinase that activates NF-kappaB. Second, we infused
intracerebroventricular or intrahippocampal kappaB decoy, a direct inhibitor of
NF-kappaB consisting of a double-stranded DNA oligonucleotide that contains the
kappaB consensus sequence. When injected immediately after memory retrieval,
sulfasalazine or kappaB decoy (Decoy) impaired long-term retention. In contrast,
a one base mutated kappaB decoy (mDecoy) had no effect. Furthermore, we also
found NF-kappaB activation in the hippocampus, with a peak 15 min after memory
retrieval. This activation was earlier than that found during consolidation.
Together, these results indicate that NF-kappaB is an important transcriptional
regulator in memory consolidation and reconsolidation in hippocampus, although
the temporal kinetics of activation differs between the two processes.
PMID- 18057203
TI - NR2B signaling regulates the development of synaptic AMPA receptor current.
AB - The postnatal maturation of glutamatergic synapses involves a change in
composition and functional contribution of postsynaptic receptors. Developing
cortical synapses are dominated by NMDA receptors (NMDARs) containing NR2B
subunits and are characterized by a low ratio of AMPA/NMDA receptor-mediated
current. Synapse maturation is marked by the incorporation of NR2A-containing
NMDA receptors and an increase in the AMPA/NMDA current ratio. We show here that
NMDARs containing the NR2B subunit regulate glutamatergic transmission at
developing synapses by negatively influencing the synaptic incorporation of AMPA
receptors (AMPARs). Genetic removal of NR2B leads to increased surface expression
and synaptic localization of AMPA receptor subunits and a corresponding increase
in AMPAR-mediated synaptic current. Enrichment of synaptic AMPARs, in the absence
of NR2B signaling, is associated with increased levels of transmembrane AMPAR
regulatory protein (TARP) expression and is blocked by expression of a dominant
negative TARP construct (gamma-2deltaC). These observations suggest that NR2B
signaling limits AMPA receptor incorporation at developing synapses by negatively
regulating TARP expression and provide a mechanism to explain the maintenance of
low AMPA/NMDA ratio at immature glutamatergic synapses.
PMID- 18057204
TI - Modulation of CA3 afferent inputs by novelty and theta rhythm.
AB - Models of hippocampal function suggest that the modulation of CA3 afferent input
during theta rhythm allows for a rapid alternation between encoding and retrieval
states, with each phase enhancing either extrinsic or intrinsic CA3 afferents,
favoring either encoding or retrieval, respectively. Here, we show that during
the initial exploration of a novel environment by rats, intrinsic CA3-CA3
synaptic inputs are attenuated on CA3 theta peaks, favoring extrinsic CA3 inputs,
whereas extrinsic perforant path-CA3 synaptic inputs are attenuated on CA3 theta
troughs, favoring intrinsic CA3 inputs. This modulation is absent when animals
are re-exposed to the same environment 2 or 48 h later and thus habituates with
familiarity, suggesting a process involved in learning. Modulation of CA3
synaptic inputs during novelty was blocked by atropine at a dose that blocks type
2 theta rhythm. Re-exposure to the same novel environment 48 h later in the
absence of atropine did not result in habituation, but instead modulated CA3
synaptic responses as though the environment were novel and explored for the
first time. The NMDA receptor antagonist (+/-)-3-(2-carboxypiperazin-4-yl)propyl
1-phosphonic acid (CPP), administered in a dose that blocks long-term
potentiation induction, did not alter CA3 synaptic modulation during initial
exploration. However, like atropine, CPP blocked the habituation of synaptic
modulation normally observed with re-exposure, as though the environment were
novel and explored for the first time. Thus, as predicted theoretically,
recurrent and cortical CA3 afferents are differentially modulated during phases
of theta rhythm. This modulation is atropine sensitive and habituates in an NMDA
receptor-dependent manner, suggesting an NMDA receptor-dependent process that, in
conjunction with theta rhythm, contributes to encoding of novel information in
the hippocampus.
PMID- 18057205
TI - Light-evoked calcium responses of isolated melanopsin-expressing retinal ganglion
cells.
AB - A small number (<2%) of mammalian retinal ganglion cells express the photopigment
melanopsin and are intrinsically photosensitive (ipRGCs). Light depolarizes
ipRGCs and increases intracellular calcium levels ([Ca2+]i) but the signaling
cascades underlying these responses have yet to be elucidated. To facilitate
physiological studies on these rare photoreceptors, highly enriched ipRGC
cultures from neonatal rats were generated using anti-melanopsin-mediated plate
adhesion (immunopanning). This novel approach enabled experiments on isolated
ipRGCs, eliminating the potential confounding influence of rod/cone-driven input.
Light induced a rise in [Ca2+]i (monitored using fura-2 imaging) in the
immunopanned ipRGCs and the source of this Ca2+ signal was investigated. The Ca2+
responses were inhibited by 2-aminoethoxydiphenyl borate, SKF-96365 (1-2-(4
methoxyphenyl)-2-[3-(4-methoxyphenyl)propoxy]ethyl-1H-imidazole), flufenamic
acid, lanthanum, and gadolinium, consistent with the involvement of canonical
transient receptor potential (TRP) channels in ipRGC phototransduction. However,
the contribution of direct Ca2+ flux through a putative TRP channel to ipRGC
[Ca2+]i was relatively small, as most (approximately 90%) of the light-induced
Ca2+ responses could be blocked by preventing action potential firing with
tetrodotoxin. The L-type voltage-gated Ca2+ channel (VGCC) blockers verapamil and
(+)-cis-diltiazem significantly reduced the light-evoked Ca2+ responses, while
the internal Ca2+ stores depleting agent thapsigargin had negligible effect.
These results indicate that Ca2+ influx through VGCCs, activated after action
potential firing, was the primary source for light-evoked elevations in ipRGC
[Ca2+]i. Furthermore, concurrent Ca2+ imaging and cell-attached
electrophysiological recordings demonstrated that the Ca2+ responses were highly
correlated to spike frequency, thereby establishing a direct link between action
potential firing and somatic [Ca2+]i in light-stimulated ipRGCs.
PMID- 18057206
TI - EphB receptors regulate stem/progenitor cell proliferation, migration, and
polarity during hippocampal neurogenesis.
AB - The adult brain maintains two regions of neurogenesis from which new neurons are
born, migrate to their appropriate location, and become incorporated into the
circuitry of the CNS. One of these, the subgranular zone of the hippocampal
dentate gyrus, is of primary interest because of the role of this region in
learning and memory. We show that mice lacking EphB1, and more profoundly EphB1
and EphB2, have significantly fewer neural progenitors in the hippocampus.
Furthermore, other aspects of neurogenesis, such as polarity, cell positioning,
and proliferation are disrupted in animals lacking the EphB1 receptor or its
cognate ephrin-B3 ligand. Our data strongly suggest that EphB1 and ephrin-B3
cooperatively regulate the proliferation and migration of neural progenitors in
the hippocampus and should be added to a short list of candidate target molecules
for modulating the production and integration of new neurons as a treatment for
neurodegenerative diseases or brain injury.
PMID- 18057207
TI - Prenatal and adolescent exposure to tobacco smoke modulates the development of
white matter microstructure.
AB - Prenatal exposure to maternal smoking has been linked to cognitive and auditory
processing deficits in offspring. Preclinical studies have demonstrated that
exposure to nicotine disrupts neurodevelopment during gestation and adolescence,
possibly by disrupting the trophic effects of acetylcholine. Given recent
clinical and preclinical work suggesting that neurocircuits that support auditory
processing may be particularly vulnerable to developmental disruption by
nicotine, we examined white matter microstructure in 67 adolescent smokers and
nonsmokers with and without prenatal exposure to maternal smoking. The groups did
not differ in age, educational attainment, IQ, years of parent education, or
symptoms of inattention. Diffusion tensor anisotropy and anatomical magnetic
resonance images were acquired, and auditory attention was assessed, in all
subjects. Both prenatal exposure and adolescent exposure to tobacco smoke was
associated with increased fractional anisotropy (FA) in anterior cortical white
matter. Adolescent smoking was also associated with increased FA of regions of
the internal capsule that contain auditory thalamocortical and corticofugal
fibers. FA of the posterior limb of the left internal capsule was positively
correlated with reaction time during performance of an auditory attention task in
smokers but not in nonsmokers. Development of anterior cortical and internal
capsule fibers may be particularly vulnerable to disruption in cholinergic
signaling induced by nicotine in tobacco smoke. Nicotine-induced disruption of
the development of auditory corticofugal fibers may interfere with the ability of
these fibers to modulate ascending auditory signals, leading to greater noise and
reduced efficiency of neurocircuitry that supports auditory processing.
PMID- 18057208
TI - Synaptic plasticity and calcium signaling in Purkinje cells of the central
cerebellar lobes of mormyrid fish.
AB - Climbing fiber (CF)-evoked calcium transients play a key role in plasticity at
parallel fiber (PF) to Purkinje cell synapses in the mammalian cerebellum.
Whereas PF activation alone causes long-term potentiation (LTP), coactivation of
the heterosynaptic CF input, which evokes large dendritic calcium transients,
induces long-term depression (LTD). This unique type of heterosynaptic
interaction is a hallmark feature of synaptic plasticity in mammalian Purkinje
cells. Purkinje cells in the cerebellum of mormyrid electric fish are
characterized by a different architecture of their dendritic trees and by a more
pronounced separation of CF and PF synaptic contact sites. We therefore examined
the conditions for bidirectional plasticity at PF synapses onto Purkinje cells in
the mormyrid cerebellum in vitro. PF stimulation at elevated frequencies induces
LTP, whereas LTD results from PF stimulation at enhanced intensities and depends
on dendritic calcium influx and metabotropic glutamate receptor type 1
activation. LTD can also be observed after pairing of low intensity PF
stimulation with CF stimulation. Using a combination of whole-cell patch-clamp
recordings and fluorometric calcium imaging, we characterized calcium transients
in Purkinje cell dendrites. CF activation elicits calcium transients not only
within the CF input territory (smooth proximal dendrites) but also within the PF
input territory (spiny palisade dendrites). Paired PF and CF activation elicits
larger calcium transients than stimulation of either input alone. A major source
for dendritic calcium signaling is provided by P/Q-type calcium channels. Our
data show that despite the spatial separation between the two inputs CF activity
facilitates LTD induction at PF synapses.
PMID- 18057209
TI - The source of afterdischarge activity in neocortical tonic-clonic epilepsy.
AB - Tonic-clonic seizures represent a common pattern of epileptic discharges, yet the
relationship between the various phases of the seizure remains obscure. Here we
contrast propagation of the ictal wavefront with the propagation of individual
discharges in the clonic phase of the event. In an in vitro model of tonic-clonic
epilepsy, the afterdischarges (clonic phase) propagate with relative uniform
speed and are independent of the speed of the ictal wavefront (tonic phase). For
slowly propagating ictal wavefronts, the source of the afterdischarges, relative
to a given recording electrode, switched as the wavefront passed by, indicating
that afterdischarges are seeded from wavefront itself. In tissue that has
experienced repeated ictal events, the wavefront generalizes rapidly, and the
afterdischarges in this case show a different "flip-flop" pattern, with frequent
switches in their direction of propagation. This same flip-flop pattern is also
seen in subdural EEG recordings in patients suffering intractable focal seizures
caused by cortical dysplasias. Thus, in both slowly and rapidly generalizing
ictal events, there is not a single source of afterdischarge activity: rather,
the source is continuously changing. Our data suggest a complex view of seizures
in which the ictal event and its constituent discharges originate from distinct
locations.
PMID- 18057210
TI - Lack of synapsin I reduces the readily releasable pool of synaptic vesicles at
central inhibitory synapses.
AB - Synapsins (Syns) are synaptic vesicle (SV) phosphoproteins that play a role in
neurotransmitter release and synaptic plasticity by acting at multiple steps of
exocytosis. Mutation of SYN genes results in an epileptic phenotype in mouse and
man suggesting a role of Syns in the control of network excitability. We have
studied the effects of the genetic ablation of the SYN1 gene on inhibitory
synaptic transmission in primary hippocampal neurons. Inhibitory neurons lacking
SynI showed reduced amplitude of IPSCs evoked by isolated action potentials. The
impairment in inhibitory transmission was caused by a decrease in the size of the
SV readily releasable pool, rather than by changes in release probability or
quantal size. The reduction of the readily releasable pool was caused by a
decrease in the number of SVs released by single synaptic boutons in response to
the action potential, in the absence of variations in the number of synaptic
contacts between couples of monosynaptically connected neurons. The deletion of
SYN1 did not affect paired-pulse depression or post-tetanic potentiation, but was
associated with a moderate increase of synaptic depression evoked by trains of
action potentials, which became apparent at high stimulation frequencies and was
accompanied by a slow down of recovery from depression. The decreased size of the
SV readily releasable pool, coupled with a decreased SV recycling rate and
refilling by the SV reserve pool, may contribute to the epileptic phenotype of
SynI knock-out mice.
PMID- 18057211
TI - How pleasant and unpleasant stimuli combine in different brain regions: odor
mixtures.
AB - Many affective stimuli are hedonically complex mixtures containing both pleasant
and unpleasant components. To investigate whether the brain represents the
overall affective value of such complex stimuli, or the affective value of the
different components simultaneously, we used functional magnetic resonance
imaging to measure brain activations to a pleasant odor (jasmine), an unpleasant
odor (indole), and a mixture of the two that was pleasant. In brain regions that
represented the pleasantness of the odors such as the medial orbitofrontal cortex
(as shown by activations that correlated with the pleasantness ratings), the
mixture produced activations of similar magnitude to the pleasant jasmine, but
very different from the unpleasant indole. These regions thus emphasize the
pleasant aspects of the mixture. In contrast, in regions representing the
unpleasantness of odors such as the dorsal anterior cingulate and
midorbitofrontal cortex the mixture produced activations that were relatively
further from the pleasant component jasmine and closer to the indole. These
regions thus emphasize the unpleasant aspects of the mixture. Thus mixtures that
are found pleasant can have components that are separately pleasant and
unpleasant, and the brain can separately and simultaneously represent the
positive and negative hedonic value of a complex affective stimulus that contains
both pleasant and unpleasant olfactory components. This type of representation
may be important for affective decision making in the brain in that separate
representations of different affective components of the same sensory stimulus
may provide the inputs for making a decision about whether to choose the stimulus
or not.
PMID- 18057212
TI - Electrical stimulation of the midbrain for hearing restoration: insight into the
functional organization of the human central auditory system.
AB - The cochlear implant can restore speech perception in patients with sensorineural
hearing loss. However, it is ineffective for those without an implantable cochlea
or a functional auditory nerve. These patients can be implanted with the auditory
brainstem implant (ABI), which stimulates the surface of the cochlear nucleus.
Unfortunately, the ABI has achieved limited success in its main patient group
[i.e., those with neurofibromatosis type 2 (NF2)] and requires a difficult
surgical procedure. These limitations have motivated us to develop a new hearing
prosthesis that stimulates the midbrain with a penetrating electrode array. We
recently implanted three patients with the auditory midbrain implant (AMI), and
it has proven to be safe with minimal movement over time. The AMI provides
loudness, pitch, temporal, and directional cues, features that have shown to be
important for speech perception and more complex sound processing. Thus far, all
three patients obtain enhancements in lip reading capabilities and environmental
awareness and some improvements in speech perception comparable with that of NF2
ABI patients. Considering that our midbrain target is more surgically exposable
than the cochlear nucleus, this argues for the use of the AMI as an alternative
to the ABI. Fortunately, we were able to stimulate different midbrain regions in
our patients and investigate the functional organization of the human central
auditory system. These findings provide some insight into how we may need to
stimulate the midbrain to improve hearing performance with the AMI.
PMID- 18057213
TI - Nav1.6 sodium channels are critical to pacemaking and fast spiking in globus
pallidus neurons.
AB - Neurons in the external segment of the globus pallidus (GPe) are autonomous
pacemakers that are capable of sustained fast spiking. The cellular and molecular
determinants of pacemaking and fast spiking in GPe neurons are not fully
understood, but voltage-dependent Na+ channels must play an important role.
Electrophysiological studies of these neurons revealed that macroscopic
activation and inactivation kinetics of their Na+ channels were similar to those
found in neurons lacking either autonomous activity or the capacity for fast
spiking. What was distinctive about GPe Na+ channels was a prominent resurgent
gating mode. This mode was significantly reduced in GPe neurons lacking
functional Nav1.6 channels. In these Nav1.6 null neurons, pacemaking and the
capacity for fast spiking were impaired, as was the ability to follow stimulation
frequencies used to treat Parkinson's disease (PD). Simulations incorporating Na+
channel models with and without prominent resurgent gating suggested that
resurgence was critical to fast spiking but not to pacemaking, which appeared to
be dependent on the positioning of Na+ channels in spike-initiating regions of
the cell. These studies not only shed new light on the mechanisms underlying
spiking in GPe neurons but also suggest that electrical stimulation therapies in
PD are unlikely to functionally inactivate neurons possessing Nav1.6 Na+ channels
with prominent resurgent gating.
PMID- 18057214
TI - The medial prefrontal cortex is involved in spatial memory retrieval under
partial-cue conditions.
AB - Brain circuits involved in pattern completion, or retrieval of memory from
fragmented cues, were investigated. Using different versions of the Morris water
maze, we explored the roles of the CA3 subregion of the hippocampus and the
medial prefrontal cortex (mPFC) in spatial memory retrieval under various
conditions. In a hidden platform task, both CA3 and mPFC lesions disrupted memory
retrieval under partial-cue, but not under full-cue, conditions. For a delayed
matching-to-place task, CA3 lesions produced a deficit in both forming and
recalling spatial working memory regardless of extramaze cue conditions. In
contrast, damage to mPFC impaired memory retrieval only when a fraction of cues
was available. To corroborate the lesion study, we examined the expression of the
immediate early gene c-fos in mPFC and the hippocampus. After training of spatial
reference memory in full-cue conditions for 6 d, the same training procedure in
the absence of all cues except one increased the number of Fos-immunoreactive
cells in mPFC and CA3. Furthermore, mPFC inactivation with muscimol, a GABA
agonist, blocked memory retrieval in the degraded-cue environment. However, mPFC
lesioned animals initially trained in a single-cue environment had no difficulty
in retrieving spatial memory when the number of cues was increased, demonstrating
that contextual change per se did not impair the behavioral performance of the
mPFC-lesioned animals. Together, these findings strongly suggest that pattern
completion requires interactions between mPFC and the hippocampus, in which mPFC
plays significant roles in retrieving spatial information maintained in the
hippocampus for efficient navigation.
PMID- 18057215
TI - Effect of pioglitazone on cardiovascular outcome in diabetes and chronic kidney
disease.
AB - Patients with diabetes and chronic kidney disease (CKD) are at particularly high
risk for cardiovascular disease (CVD). This post hoc analysis from the
PROspective pioglitAzone Clinical Trial In macroVascular Events (PROactive)
investigated the relationship between CKD and incident CVD in a population of
patients with diabetes and documented macrovascular disease, as well as the
effects of pioglitazone treatment on recurrent CVD. CKD, defined as an estimated
GFR <60 ml/min per 1.73m(2), was present in 597 (11.6%) of 5154 patients. More
patients with CKD reached the primary composite end point (all-cause mortality,
myocardial infarction (MI), stroke, acute coronary syndrome, coronary/carotid
arterial intervention, leg revascularization, or amputation above the ankle) than
patients without CKD (27.5 versus 19.6%; P < 0.0001). Patients with CKD were also
more likely to reach a secondary composite end point (all-cause mortality, MI,
and stroke). Patients who had CKD and were treated with pioglitazone were less
likely to reach the secondary end point (hazard ratio 0.66; 95% confidence
interval 0.45 to 0.98), but this association was not observed among those with
better renal function. In addition, there was a greater decline in estimated GFR
with pioglitazone (between-group difference 0.8 ml/min per 1.73 m(2)/yr) than
with placebo. In conclusion, CKD is an independent risk factor for cardiovascular
events and death among patients with diabetes and preexisting macrovascular
disease. Patients who had CKD and were treated with pioglitazone were less likely
to reach a composite end point of all-cause death, MI, and stroke, independent of
the severity of renal impairment.
PMID- 18057216
TI - Low birth weight increases risk for end-stage renal disease.
AB - Case-control studies have shown an association between low birth weight and risk
for renal failure. The Medical Birth Registry of Norway, which comprises data on
all births in Norway since 1967, and the Norwegian Renal Registry, which
comprises data on all patients who have developed ESRD in Norway since 1980, were
used to examine whether birth-related variables were associated with risk for
ESRD. Of the 2,183,317 children born between 1967 and 2004, 526 were found in the
ESRD registry. Compared with birth weight in the 10th to 90th percentiles, births
<10th percentile had a relative risk (RR) for ESRD of 1.7 (95% confidence
interval 1.4 to 2.2; P < 0.001). Births with a weight for gestational age <10th
percentile had an RR of 1.5 (95% confidence interval 1.2 to 1.9; P = 0.002).
These associations were virtually identical after adjustment for birth-related
confounders such as congenital malformations, multiple delivery, maternal age at
birth, and maternal preeclampsia. Low birth weight was more strongly associated
with development of ESRD during the first 14 years of life than after age 15. Low
birth weight and low birth weight for gestational age were similarly associated
with multiple causes of ESRD. In conclusion, in this cohort study with a maximum
follow-up of 38 years, low birth weight and intrauterine growth restriction were
associated with increased risk for ESRD.
PMID- 18057217
TI - Overexpression of angiotensinogen increases tubular apoptosis in diabetes.
AB - The intrarenal renin-angiotensin system (RAS) plays an important role in the
progression of diabetic nephropathy. We have previously reported that mice
overexpressing angiotensinogen in renal proximal tubular cells (RPTC) develop
hypertension, albuminuria, and renal injury. Here, we investigated whether
activation of the intrarenal RAS contributes to apoptosis of RPTC in diabetes.
Induction of diabetes with streptozotocin in these transgenic mice led to
significant increases in BP, albuminuria, RPTC apoptosis, and proapoptotic gene
expression compared with diabetic nontransgenic littermates. Insulin and/or RAS
blockers markedly attenuated these changes. Hydralazine prevented hypertension
but not albuminuria, RPTC apoptosis, or proapoptotic gene expression. In vitro,
high-glucose medium significantly increased apoptosis and caspase-3 activity in
rat immortalized RPTC overexpressing angiotensinogen compared with control cells,
and these changes were prevented by insulin and/or RAS blockers. In conclusion,
intrarenal RAS activation and high glucose may act in concert to increase tubular
apoptosis in diabetes, independent of systemic hypertension.
PMID- 18057218
TI - Molecular mechanisms of antidiuretic effect of oxytocin.
AB - Oxytocin is known to have an antidiuretic effect, but the mechanisms underlying
this effect are not completely understood. We infused oxytocin by osmotic
minipump into vasopressin-deficient Brattleboro rats for five days and observed
marked antidiuresis, increased urine osmolality, and increased solute-free water
reabsorption. Administration of oxytocin also significantly increased the protein
levels of aquaporin-2 (AQP2), phosphorylated AQP2 (p-AQP2), and AQP3 in the inner
medulla and in the outer medulla plus cortex. Immunohistochemistry demonstrated
increased AQP2 and p-AQP2 expression and trafficking to the apical plasma
membrane of principal cells in the collecting duct, and increased AQP3 expression
in the basolateral membrane. These oxytocin-induced effects were blocked by
treatment with the vasopressin V2 receptor antagonist SR121463B, but not by
treatment with the oxytocin receptor antagonist GW796679X. We conclude that
vasopressin V2 receptors mediate the antidiuretic effects of oxytocin, including
increased expression and apical trafficking of AQP2, p-AQP2, and increased AQP3
protein expression.
PMID- 18057221
TI - Research funding at colleges of osteopathic medicine: 15 years of growth.
AB - CONTEXT: Colleges of osteopathic medicine (COMs) trying to stimulate research and
develop research infrastructures must overcome the challenge of obtaining
adequate funding to support growing research interests. The authors examine
changes in research funding at COMs during the past 15 years. OBJECTIVES: To
track 1999-2004 data on COM research funding, COM faculty size, educational
backgrounds of principal investigators receiving funding, and funding
institutions. To compare these data with published results from 1989 to 1999.
METHODS: Data on number of grants, funding amounts by extramural source, percent
of total dollars by extramural source, percent of total dollars by COM, and total
amount of extramural funding were obtained from the American Association of
Colleges of Osteopathic Medicine databases. Data on the Osteopathic Research
Center (ORC) were obtained from the ORC's databases. RESULTS: Research, both in
terms of number of grants and funding amounts within the osteopathic medical
profession, increased substantially from 1999 to 2004. The largest single source
of funding remained the National Institutes of Health. The number of COMs whose
research funding exceeded $1 million annually more than doubled, increasing from
5 in 1999 to 12 in 2004. The osteopathic medical profession's decision to direct
research dollars into a national research center devoted to research specific to
osteopathic manipulative medicine resulted in an almost eightfold return on
initial investment in 4 years. CONCLUSIONS: The amount of research productivity
at a COM may be aligned with the size of the COM's full-time faculty, suggesting
that once "critical mass" for teaching, service, and administration are achieved,
a productive research program can be realized. Expanding the evidence base for
those aspects of medicine unique to the osteopathic medical profession is
dependent on the future growth of research.
PMID- 18057219
TI - Neighborhood poverty and racial differences in ESRD incidence.
AB - Poverty is associated with increased risk of ESRD, but its contribution to
observed racial differences in disease incidence is not well-defined. To explore
the contribution of neighborhood poverty to racial disparity in ESRD incidence,
we analyzed a combination of US Census and ESRD Network 6 data comprising 34,767
patients that initiated dialysis in Georgia, North Carolina, or South Carolina
between January 1998 and December 2002. Census tracts were used as the geographic
units of analysis, and the proportion of the census tract population living below
the poverty level was our measure of neighborhood poverty. Incident ESRD rates
were modeled using two-level Poisson regression, where race, age and gender were
individual covariates (level 1), and census tract poverty was a neighborhood
covariate (level 2). Neighborhood poverty was strongly associated with higher
ESRD incidence for both blacks and whites. Increasing poverty was associated with
a greater disparity in ESRD rates between blacks and whites, with the former at
greater risk. This raises the possibility that blacks may suffer more from lower
socioeconomic conditions than whites. The disparity persisted across all poverty
levels. The reasons for increasingly higher ESRD incidence among US blacks as
neighborhood poverty increases remain to be explained.
PMID- 18057222
TI - Intercountry adoptions: medical aspects for the whole family.
AB - In the United States, the number of intercountry adoptions has steadily increased
in the past 15 years. Healthcare providers should understand the medical aspects
of such adoptions in order to better advise families and aid them in making an
informed decision when adopting a foreign-born child. Pretravel consultation is
addressed, including immunizations, safety issues, and how to create a
personalized prophylactic medical travel kit. Review of pictures, videos, and the
medical history of the potential adoptive child is also discussed, as is air
travel with children. Postemigration medical examinations-including developmental
and psychosocial evaluations, general work-up with laboratory studies, and
immunizations-are outlined. This article reviews the medical aspects of
intercountry adoption for adoptive parents in the United States. The osteopathic
approach of caring for the whole family lends itself to advising families on
intercountry adoptions.
PMID- 18057223
TI - Rhinocerebral mucormycosis acquired after a short course of prednisone therapy.
AB - Rhinocerebral mucormycosis is a rapidly progressive and often fatal infection
frequently seen in patients with uncontrolled diabetes mellitus and hematologic
malignancies. The disease is difficult to diagnose because it often masquerades
as bacterial sinusitis. The current report describes a 69-year-old white woman
with diabetes mellitus who was prescribed high-dose prednisone therapy for
chronic obstructive pulmonary disease. Two weeks after treatment initiation, she
presented to the hospital with facial edema on the right side, mouth pain, and
general weakness. No black eschars on the nasal mucosae or palates were present
on admission. Although bacterial etiology was initially suspected, surgery and
tissue samples revealed the presence of rhinocerebral mucormycosis. The patient
died at 6 days postadmission despite aggressive medical and surgical
intervention. The current report discusses the risk factors associated with
rhinocerebral mucormycosis as well as the necessity of early diagnosis and
treatment to improve patient outcomes.
PMID- 18057224
TI - Evolution of osteopathic graduate medical education: integration of osteopathic
principles and practice in postdoctoral training.
AB - Osteopathic principles and practice (OPP) are considered the core, distinguishing
elements of the osteopathic medical profession. As such, the American Osteopathic
Association introduced Osteopathic Postdoctoral Training Institutions (OPTIs) in
1995 to further incorporate OPP into osteopathic graduate medical education. The
current study describes the evolution of the OPP teaching programs at the West
Virginia School of Osteopathic Medicine (WVSOM) in Lewisburg in conjunction with
its OPTI consortium, the Mountain State OPTI. Developments in distance-learning
technology, lecture and workshop curricula for graduate and undergraduate
students, and faculty training are described. In addition, elements of
"telehealth" technology, including administrative support, and trainee and
student evaluations of the programs are examined. It is hoped that the
description of WVSOM's curricular evolution and the emphasis on meeting the needs
of OPP program attendees will assist other osteopathic medical schools in
developing their own unique OPP programs.
PMID- 18057225
TI - Relationships between clinical rotation subscores, COMLEX-USA examination
results, and school-based performance measures.
AB - At the West Virginia School of Osteopathic Medicine (WVSOM) in Lewisburg, an
electronic rating form was created to assist preceptors in evaluating student
performance for third- and fourth-year clinical rotations. Multiple preceptors,
often in rural locations, rated the clinical performance of 70 students in the
WVSOM graduating class of 2005. The current study analyzes these ratings. Using
Cronbach alpha, subscore reliability coefficients were obtained for all
rotations: clinical knowledge, 0.80; data collection, 0.59; application of
knowledge, 0.65; and professionalism, 0.78. For the three required family
medicine rotations, which were almost always supervised by osteopathic
physicians, reliability of the rating for osteopathic principles and practice
(OPP) was 0.44. Intercorrelations among these five subscores ranged from 0.46 to
0.94, all statistically significant at the .01 level. Ratings for the five
subscores were compared with 19 measures of student performance in other parts of
the WVSOM curriculum, many correlations were significant at the .01 level.
Clinical knowledge correlated 0.59 with year 2 grade point average (GPA), 0.51
with years 1 and 2 OPP GPA, 0.50 with Comprehensive Osteopathic Medical Licensing
Examination USA Level 2 Cognitive Evaluation, and 0.45 with years 1 and 2
physical diagnosis GPA. Application of knowledge correlated 0.55 with year 2 GPA
and 0.50 with the organization score on the college's year 3 objective structured
clinical evaluation. Professionalism correlated 0.51 with year 2 GPA and 0.49
with OPP years 1 and 2 written examination score. The average preceptor rating
using the new electronic form was 92.6, compared with 96.8 when the previous
paper-based form was used for the WVSOM class of 1998 (change significant at .05
level). These moderate correlations provide some support for the validity of the
Clinical Education Grade Form.
PMID- 18057227
TI - Clinical molecular imaging in intestinal graft-versus-host disease: mapping of
disease activity, prediction, and monitoring of treatment efficiency by positron
emission tomography.
AB - Gastrointestinal graft-versus-host disease (GVHD) is a common and potentially
life-threatening complication after allogeneic hematopoietic stem-cell
transplantation (HSCT). Noninvasive tests for assessment of GVHD activity are
desirable but lacking. In the present study, we were able to visualize intestinal
GVHD-associated inflammation in an allogeneic murine transplantation model by
(18)F-fluorodeoxyglucose positron emission tomography (FDG-PET) in vivo. A
predominant localization of intestinal GVHD to the colon was verified by
histology and fluorescence reflectance imaging of enhanced green fluorescent
protein (EGFP)-expressing donor cells. Colonic infiltration by EGFP(+) donor
lymphocytes matched increased FDG uptake in PET examinations. These preclinical
data were prospectively translated into 30 patients with suspected intestinal
GVHD beyond 20 days after transplantation. A total of 14 of 17 patients with a
diagnostic histology showed significant FDG uptake of the gut, again
predominantly in the colon. No increased FDG uptake was detected in 13 patients
without histologic evidence of intestinal GVHD. Our findings indicate that FDG
PET is a sensitive and specific noninvasive imaging technique to assess
intestinal GVHD, map its localization, and predict and monitor treatment
responsiveness. Novel targeted tracers for PET may provide new insights into the
pathophysiology of GVHD and bear the potential to further improve GVHD diagnosis.
PMID- 18057226
TI - Maurer's clefts of Plasmodium falciparum are secretory organelles that
concentrate virulence protein reporters for delivery to the host erythrocyte.
AB - In blood-stage infection by the human malaria parasite Plasmodium falciparum,
export of proteins from the intracellular parasite to the erythrocyte is key to
virulence. This export is mediated by a host-targeting (HT) signal present on a
"secretome" of hundreds of parasite proteins engaged in remodeling the
erythrocyte. However, the route of HT-mediated export is poorly understood. Here
we show that minimal soluble and membrane protein reporters that contain the HT
motif and mimic export of endogenous P falciparum proteins are detected in the
lumen of "cleft" structures synthesized by the pathogen. Clefts are efficiently
targeted by the HT signal. Furthermore, the HT signal does not directly
translocate across the parasitophorous vacuolar membrane (PVM) surrounding the
parasite to deliver protein to the erythrocyte cytoplasm, as suggested by current
models of parasite protein trafficking to the erythrocyte. Rather, it is a
lumenal signal that sorts protein into clefts, which then are exported beyond the
PVM. These data suggest that Maurer's clefts, which are unique to the virulent P
falciparum species, are pathogen-induced secretory organelles that concentrate HT
containing soluble and membrane parasite proteins in their lumen for delivery to
the host erythrocyte.
PMID- 18057228
TI - CEP-18770: A novel, orally active proteasome inhibitor with a tumor-selective
pharmacologic profile competitive with bortezomib.
AB - Modulating protein ubiquitination via proteasome inhibition represents a
promising target for cancer therapy, because of the higher sensitivity of cancer
cells to the cytotoxic effects of proteasome inhibition. Here we show that CEP
18770 is a novel orally-active inhibitor of the chymotrypsin-like activity of the
proteasome that down-modulates the nuclear factor-kappaB (NF-kappaB) activity and
the expression of several NF-kappaB downstream effectors. CEP-18770 induces
apoptotic cell death in multiple myeloma (MM) cell lines and in primary purified
CD138-positive explant cultures from untreated and bortezomib-treated MM
patients. In vitro, CEP-18770 has a strong antiangiogenic activity and potently
represses RANKL-induced osteoclastogenesis. Importantly, CEP-18770 exhibits a
favorable cytotoxicity profile toward normal human epithelial cells, bone marrow
progenitors, and bone marrow-derived stromal cells. Intravenous and oral
administration of CEP-18770 resulted in a more sustained pharmacodynamic
inhibition of proteasome activity in tumors relative to normal tissues, complete
tumor regression of MM xenografts and improved overall median survival in a
systemic model of human MM. Collectively, these findings provide evidence for the
utility of CEP-18770 as a novel orally active proteasome inhibitor with a
favorable tumor selectivity profile for the treatment of MM and other
malignancies responsive to proteasome inhibition.
PMID- 18057229
TI - A dyskerin motif reactivates telomerase activity in X-linked dyskeratosis
congenita and in telomerase-deficient human cells.
AB - Dyskerin gene is mutated in patients with X-linked dyskeratosis congenita (X-DC),
which results in greatly reduced levels of telomerase activity. A genetic
suppressor element (GSE) termed GSE24-2 has been isolated in a screening for
cisplatin resistance. GSE24-2-expressing cells presented impaired telomerase
inhibition following in vitro exposure to chemotherapies, such as cisplatin, or
telomerase inhibitors. The promoter of the telomerase component hTERT was
constitutively activated in GSE24-2 cells in a c-myc expression-dependent manner.
Deletion analyses and mutagenesis of the human c-myc promoter demonstrated that
the target sequence for activation was the nuclease hypersensitive element-III
(NHEIII) site located upstream to the P1 region of the promoter. Further,
expression of GSE24-2 in cell lines derived from patients with X-DC and in VA13
cells induced increased hTERT RNA and hTR levels and recovery of telomerase
activity. Finally, expression of GSE24-2 was able to rescue X-DC fibroblasts from
premature senescence. These data demonstrate that this domain of dyskerin plays
an important role in telomerase maintenance following cell insults such as
cisplatin treatment, and in telomerase-defective cells in patients with X-DC. The
expression of this dyskerin fragment has a dominant function in X-DC cells and
could provide the basis for a therapeutic approach to this disease.
PMID- 18057230
TI - Biologic and molecular effects of granulocyte colony-stimulating factor in
healthy individuals: recent findings and current challenges.
AB - Recombinant human granulocyte colony-stimulating factor (rhG-CSF) is widely used
in healthy donors for collection of peripheral blood progenitor cells (PBPCs) for
allogeneic transplantation and granulocytes for transfusion. The spectrum of its
biologic and molecular activities in healthy individuals is coming into sharper
focus, creating a unique set of challenges and clarifying the need to monitor and
safeguard donor safety. Accumulating evidence indicates that rhG-CSF effects are
not limited to the myeloid cell lineage. This may reflect the presence of
functional G-CSF receptors on other cell types and tissues, as well as rhG-CSF
induced modulation of cytokine networks. While most rhG-CSF-induced effects are
transient and self-limiting, preliminary, provocative data have suggested the
possibility of a more durable effect on the chromosomal integrity of lymphocytes.
While these reports have not been validated and have been subject to criticism,
they are prompting prospective studies and monitoring efforts to determine
whether there is a significant risk of long-term adverse events (eg, hematologic
malignancies) in healthy PBPC and granulocyte donors. Based on the totality of
information that is currently available, the administration of rhG-CSF to healthy
donors for the purpose of PBPC donation continues to have a favorable risk
benefit profile.
PMID- 18057231
TI - Melon chlorotic leaf curl virus: characterization and differential reassortment
with closest relatives reveal adaptive virulence in the squash leaf curl virus
clade and host shifting by the host-restricted bean calico mosaic virus.
AB - The genome components of the Melon chlorotic leaf curl virus (MCLCuV) were cloned
from symptomatic cantaloupe leaves collected in Guatemala during 2002. The MCLCuV
DNA-A and DNA-B components shared their closest nucleotide identities among
begomoviruses, at approximately 90 and 81%, respectively, with a papaya isolate
of MCLCuV from Costa Rica. The closest relatives at the species level were other
members of the Squash leaf curl virus (SLCV) clade, which is endemic in the
southwestern United States and Mexico. Biolistic inoculation of cantaloupe
seedlings with the MCLCuV DNA-A and -B components resulted in the development of
characteristic disease symptoms, providing definitive evidence of causality.
MCLCuV experimentally infected species within the Cucurbitaceae, Fabaceae, and
Solanaceae. The potential for interspecific reassortment was examined for MCLCuV
and its closest relatives, including the bean-restricted Bean calico mosaic virus
(BCaMV), and three other cucurbit-infecting species, Cucurbit leaf crumple virus
(CuLCrV), SLCV, and SMLCV. The cucurbit viruses have distinct but overlapping
host ranges. All possible reassortants were established using heterologous
combinations of the DNA-A or DNA-B components. Surprisingly, only certain
reassortants arising from MCLCuV and BCaMV, or MCLCuV and CuLCrV, were viable in
bean, even though it is a host of all of the "wild-type" (parent) viruses. The
bean-restricted BCaMV was differentially assisted in systemically infecting the
cucurbit test species by the components of the four cucurbit-adapted
begomoviruses. In certain heterologous combinations, the BCaMV DNA-A or -B
component was able to infect one or more cucurbit species. Generally, the
reassortants were less virulent in the test hosts than the respective wild-type
(parent) viruses, strongly implicating adaptive modulation of virulence. This is
the first illustration of reassortment resulting in the host range expansion of a
host-restricted begomovirus.
PMID- 18057232
TI - Latent membrane protein 2B regulates susceptibility to induction of lytic Epstein
Barr virus infection.
AB - The B-lymphotropic Epstein-Barr virus (EBV) encodes two isoforms of latent
membrane protein 2 (LMP2), LMP2A and LMP2B, which are expressed during latency in
B cells. The function of LMP2B is largely unknown, whereas LMP2A blocks B-cell
receptor (BCR) signaling transduction and induction of lytic EBV infection,
thereby promoting B-cell survival. Transfection experiments on LMP2B in EBV
negative B cells and the silencing of LMP2B in EBV-harboring Burkitt's lymphoma
derived Akata cells suggest that LMP2B interferes with the function of LMP2A, but
the role of LMP2B in the presence of functional EBV has not been established.
Here, LMP2B, LMP2A, or both were overexpressed in EBV-harboring Akata cells to
study the function of LMP2B. The overexpression of LMP2B increased the magnitude
of EBV switching from its latent to its lytic form upon BCR cross-linking, as
indicated by a more-enhanced upregulation and expression of EBV lytic genes and
significantly increased production of transforming EBV compared to Akata vector
control cells or LMP2A-overexpressing cells. Moreover, LMP2B lowered the degree
of BCR cross-linking required to induce lytic EBV infection. Finally, LMP2B
colocalized with LMP2A as demonstrated by immunoprecipitation and
immunofluorescence and restored calcium mobilization upon BCR cross-linking, a
signaling process inhibited by LMP2A. Thus, our findings suggest that LMP2B
negatively regulates the function of LMP2A in preventing the switch from latent
to lytic EBV replication.
PMID- 18057233
TI - An integrative bioinformatic approach for studying escape mutations in human
immunodeficiency virus type 1 gag in the Pumwani Sex Worker Cohort.
AB - Human immunodeficiency virus type 1 (HIV-1) is able to evade the host cytotoxic T
lymphocyte (CTL) response through a variety of escape avenues. Epitopes that are
presented to CTLs are first processed in the presenting cell in several steps,
including proteasomal cleavage, transport to the endoplasmic reticulum, binding
by the HLA molecule, and finally presentation to the T-cell receptor. An
understanding of the potential of the virus to escape CTL responses can aid in
designing an effective vaccine. To investigate such a potential, we analyzed HIV
1 gag from 468 HIV-1-positive Kenyan women by using several bioinformatic
approaches that allowed the identification of positively selected amino acids in
the HIV-1 gag region and study of the effects that these mutations could have on
the various stages of antigen processing. Correlations between positively
selected residues and mean CD4 counts also allowed study of the effect of
mutation on HIV disease progression. A number of mutations that could create or
destroy proteasomal cleavage sites or reduce binding affinity of the transport
antigen processing protein, effectively hindering epitope presentation, were
identified. Many mutations correlated with the presence of specific HLA alleles
and with lower or higher CD4 counts. For instance, the mutation V190I in subtype
A1-infected individuals is associated with HLA-B*5802 (P = 4.73 x 10(-4)), a
rapid-progression allele according to other studies, and also to a decreased mean
CD4 count (P = 0.019). Thus, V190I is a possible HLA escape mutant. This method
classifies many positively selected mutations across the entire gag region
according to their potential for immune escape and their effect on disease
progression.
PMID- 18057234
TI - Activation of p90 ribosomal S6 kinase by ORF45 of Kaposi's sarcoma-associated
herpesvirus and its role in viral lytic replication.
AB - The extracellular signal-regulated kinase (ERK) mitogen-activated protein kinase
(MAPK) pathway is essential for infection by a variety of viruses. The p90
ribosomal S6 kinases (RSKs) are direct substrates of ERK and functional mediators
of ERK MAPK signaling, but their roles in viral infection have never been
examined. We demonstrate that ORF45 of Kaposi's sarcoma-associated herpesvirus
(KSHV) interacts with RSK1 and RSK2 and strongly stimulates their kinase
activities. The activation of RSK by ORF45 is correlated with ERK activation but
does not require MEK. We further demonstrate that RSK1/RSK2 is activated during
KSHV primary infection and reactivation from latency; a subset of RSK1/RSK2 is
present in the viral replication compartment in the nucleus. Depletion of
RSK1/RSK2 by small interfering RNA or the specific inhibitor BI-D1870 suppresses
KSHV lytic gene expression and progeny virion production, suggesting an essential
role of RSK1/RSK2 in KSHV lytic replication.
PMID- 18057235
TI - Kaposi's sarcoma-associated herpesvirus induces sustained levels of vascular
endothelial growth factors A and C early during in vitro infection of human
microvascular dermal endothelial cells: biological implications.
AB - Kaposi's sarcoma (KS), a vascular tumor associated with human immunodeficiency
virus type 1 infection, is characterized by spindle-shaped endothelial cells,
inflammatory cells, cytokines, growth and angiogenic factors, and angiogenesis.
KS spindle cells are believed to be of the lymphatic endothelial cell (LEC) type.
Kaposi's sarcoma-associated herpesvirus (KSHV, or human herpesvirus 8) is
etiologically linked to KS, and in vitro KSHV infection of primary human dermal
microvascular endothelial cells (HMVEC-d) is characterized by the induction of
preexisting host signal cascades, sustained expression of latency-associated
genes, transient expression of a limited number of lytic genes, sustained
induction of NF-kappaB and several cytokines, and growth and angiogenic factors.
KSHV induced robust vascular endothelial growth factor A (VEGF-A) and VEGF-C gene
expression as early as 30 min postinfection (p.i.) in serum-starved HMVEC-d,
which was sustained throughout the observation period of 72 h p.i. Significant
amounts of VEGF-A and -C were also detected in the culture supernatant of
infected cells. VEGF-A and -C were also induced by UV-inactivated KSHV and
envelope glycoprotein gpK8.1A, thus suggesting a role for virus entry stages in
the early induction of VEGF and requirement of KSHV viral gene expression for
sustained induction. Exogenous addition of VEGF-A and -C increased KSHV DNA entry
into target cells and moderately increased latent ORF73 and lytic ORF50 promoter
activation and gene expression. KSHV infection also induced the expression of
lymphatic markers Prox-1 and podoplanin as early as 8 h p.i., and a paracrine
effect was seen in the neighboring uninfected cells. Similar observations were
also made in the pure blood endothelial cell (BEC)-TIME cells, thus suggesting
that commitment to the LEC phenotype is induced early during KSHV infection of
blood endothelial cells. Treatment with VEGF-C alone also induced Prox-1
expression in the BEC-TIME cells. Collectively, these studies show that the in
vitro microenvironments of KSHV-infected endothelial cells are enriched, with
VEGF-A and -C molecules playing key roles in KSHV biology, such as increased
infection and gene expression, as well as in angiogenesis and lymphangiogenesis,
thus recapitulating the microenvironment of early KS lesions.
PMID- 18057236
TI - Functional analysis of the murine cytomegalovirus chemokine receptor homologue
M33: ablation of constitutive signaling is associated with an attenuated
phenotype in vivo.
AB - The murine cytomegalovirus (MCMV) M33 gene is conserved among all
betaherpesviruses and encodes a homologue of seven-transmembrane receptors (7TMR)
with the capacity for constitutive signaling. Previous studies have demonstrated
that M33 is important for MCMV dissemination to or replication within the
salivary glands. In this study, we probed N- and C-terminal regions of M33 as
well as known 7TMR signature motifs in transmembrane (TM) II and TM III to
determine the impact on cell surface expression, constitutive signaling, and in
vivo phenotype. The region between amino acids R(340) and A(353) of the C
terminus was found to be important for CREB- and NFAT-mediated signaling,
although not essential for phosphatidylinositol turnover. Tagging or truncation
of the N terminus of M33 resulted in loss of cell surface expression. Within TM
II, an F79D mutation abolished constitutive signaling, demonstrating a role, as
in other cellular and viral 7TMR, of TM II in receptor activation. In TM III, the
arginine (but not the asparagine) residue of the NRY motif (the counterpart of
the common DRY motif in cellular 7TMR) was found to be essential for constitutive
signaling. Selected mutations incorporated into recombinant MCMV showed that
disruption of constitutive signaling for a viral 7TMR homologue resulted in a
reduced capacity to disseminate to or replicate in the salivary glands. In
addition, HCMV UL33 was found to partially compensate for the lack of M33 in
vivo, suggesting conserved biological roles of the UL33 gene family.
PMID- 18057237
TI - Equine infectious anemia virus entry occurs through clathrin-mediated
endocytosis.
AB - Entry of wild-type lentivirus equine infectious anemia virus (EIAV) into cells
requires a low-pH step. This low-pH constraint implicates endocytosis in EIAV
entry. To identify the endocytic pathway involved in EIAV entry, we examined the
entry requirements for EIAV into two different cells: equine dermal (ED) cells
and primary equine endothelial cells. We investigated the entry mechanism of
several strains of EIAV and found that both macrophage-tropic and tissue culture
adapted strains utilize clathrin-coated pits for entry. In contrast, a
superinfecting strain of EIAV, EIAV(vMA-1c), utilizes two mechanisms of entry. In
cells such as ED cells that EIAV(vMA-1c) is able to superinfect, viral entry is
pH independent and appears to be mediated by plasma membrane fusion, whereas in
cells where no detectable superinfection occurs, EIAV(vMA-1c) entry that is low
pH dependent occurs through clathrin-coated pits in a manner similar to wild-type
virus. Regardless of the mechanism of entry being utilized, the internalization
kinetics of EIAV is rapid with 50% of cell-associated virions internalizing
within 60 to 90 min. Cathepsin inhibitors did not prevent EIAV entry, suggesting
that the low-pH step required by wild-type EIAV is not required to activate
cellular cathepsins.
PMID- 18057238
TI - Three-dimensional structure determines the pattern of CD4+ T-cell epitope
dominance in influenza virus hemagglutinin.
AB - The structural context of a CD4(+) T-cell epitope is known to influence
immunodominance at the level of antigen processing, but general rules have not
emerged. Dominant epitopes of influenza virus hemagglutinin are found to be
localized to the C-terminal flanks of conformationally stable segments identified
by low crystallographic B-factors or high COREX residue stabilities. The bias
toward C-terminal flanks is distinctive for antigens from the influenza virus.
Dominant epitopes in antigens/allergens from other sources also localize to the
flanks of stable segments but are found on either N- or C-terminal flanks. Thus,
dominance arises from preferential endoproteolytic nicking between stable
segments followed by loading of fragment terminal regions into antigen-presenting
proteins. This mechanism probably arose in order to direct CD4(+) responses onto
sequences that are conserved for structure and function. Structure-guided
presentation could enhance protection against genetically drifting influenza
virus variants but most likely reduces protection against new viral subtypes.
PMID- 18057239
TI - Hippocampal poly(ADP-Ribose) polymerase 1 and caspase 3 activation in neonatal
bornavirus infection.
AB - Infection of neonatal rats with Borna disease virus results in a characteristic
behavioral syndrome and apoptosis of subsets of neurons in the hippocampus,
cerebellum, and cortex (neonatal Borna disease [NBD]). In the NBD rat
hippocampus, dentate gyrus granule cells progressively degenerate. Apoptotic loss
of granule cells in NBD is associated with accumulation of zinc in degenerating
neurons and reduced zinc in granule cell mossy fibers. Excess zinc can trigger
poly(ADP-ribose) polymerase 1 (PARP-1) activation, and PARP-1 activation can
mediate neuronal death. Here, we evaluate hippocampal PARP-1 mRNA and protein
expression levels, activation, and cleavage, as well as apoptosis-inducing factor
(AIF) nuclear translocation and executioner caspase 3 activation, in NBD rats.
PARP-1 mRNA and protein levels were increased in NBD hippocampi. PARP-1
expression and activity were increased in granule cell neurons and glia with
enhanced ribosylation of proteins, including PARP-1 itself. In contrast, levels
of poly(ADP-ribose) glycohydrolase mRNA were decreased in NBD hippocampi. PARP-1
cleavage and AIF expression were also increased in astrocytes in NBD hippocampi.
Levels of activated caspase 3 protein were increased in NBD hippocampi and
localized to nuclei, mossy fibers, and dendrites of granule cell neurons. These
results implicate aberrant zinc homeostasis, PARP-1, and caspase 3 activation as
contributing factors in hippocampal neurodegeneration in NBD.
PMID- 18057240
TI - Evidence of the recombinant origin of a bat severe acute respiratory syndrome
(SARS)-like coronavirus and its implications on the direct ancestor of SARS
coronavirus.
AB - Bats have been identified as the natural reservoir of severe acute respiratory
syndrome (SARS)-like and SARS coronaviruses (SLCoV and SCoV). However, previous
studies suggested that none of the currently sampled bat SLCoVs is the descendant
of the direct ancestor of SCoV, based on their relatively distant phylogenetic
relationship. In this study, evidence of the recombinant origin of the genome of
a bat SLCoV is demonstrated. We identified a potential recombination breakpoint
immediately after the consensus intergenic sequence between open reading frame 1
and the S coding region, suggesting the replication intermediates may participate
in the recombination event, as previously speculated for other CoVs. Phylogenetic
analysis of its parental regions suggests the presence of an uncharacterized
SLCoV lineage that is phylogenetically closer to SCoVs than any of the currently
sampled bat SLCoVs. Using various Bayesian molecular-clock models, interspecies
transfer of this SLCoV lineage from bats to the amplifying host (e.g., civets)
was estimated to have happened a median of 4.08 years before the SARS outbreak.
Based on this relatively short window period, we speculate that this
uncharacterized SLCoV lineage may contain the direct ancestor of SCoV. This study
sheds light on the possible host bat species of the direct ancestor of SCoV,
providing valuable information on the scope and focus of surveillance for the
origin of SCoV.
PMID- 18057241
TI - Epstein-Barr virus latent membrane protein 1 induces cellular MicroRNA miR-146a,
a modulator of lymphocyte signaling pathways.
AB - The Epstein-Barr virus (EBV)-encoded latent membrane protein 1 (LMP1) is a
functional homologue of the tumor necrosis factor receptor family and contributes
substantially to the oncogenic potential of EBV through activation of nuclear
factor kappaB (NF-kappaB). MicroRNAs (miRNAs) are a class of small RNA molecules
that are involved in the regulation of cellular processes such as growth,
development, and apoptosis and have recently been linked to cancer phenotypes.
Through miRNA microarray analysis, we demonstrate that LMP1 dysregulates the
expression of several cellular miRNAs, including the most highly regulated of
these, miR-146a. Quantitative reverse transcription-PCR analysis confirmed
induced expression of miR-146a by LMP1. Analysis of miR-146a expression in EBV
latency type III and type I cell lines revealed substantial expression of miR
146a in type III (which express LMP1) but not in type I cell lines. Reporter
studies demonstrated that LMP1 induces miR-146a predominantly through two NF
kappaB binding sites in the miR-146a promoter and identified a role for an Oct-1
site in conferring basal and induced expression. Array analysis of cellular mRNAs
expressed in Akata cells transduced with an miR-146a-expressing retrovirus
identified genes that are directly or indirectly regulated by miR-146a, including
a group of interferon-responsive genes that are inhibited by miR-146a. Since miR
146a is known to be induced by agents that activate the interferon response
pathway (including LMP1), these results suggest that miR-146a functions in a
negative feedback loop to modulate the intensity and/or duration of the
interferon response.
PMID- 18057242
TI - Hepatitis A virus mutant spectra under the selective pressure of monoclonal
antibodies: codon usage constraints limit capsid variability.
AB - Severe structural constraints in the hepatitis A virus (HAV) capsid have been
suggested as the reason for the lack of emergence of new serotypes in spite of
the occurrence of complex distributions of mutants or quasispecies. Analysis of
the HAV mutant spectra under immune pressure by the monoclonal antibodies (MAbs)
K34C8 (immunodominant site) and H7C27 (glycophorin binding site) has revealed
different evolutionary dynamics. Populations composed of complex ensembles of
mutants with very low fitness or single dominant mutants with high fitness permit
the acquisition of resistance to each of the MAbs, respectively. Deletion mutants
were detected as components of the mutant spectra: up to 61 residues, with an
average of 19, and up to 83 residues, with an average of 45, in VP3 and VP1
proteins, respectively. A clear negative selection of those replacements
affecting the residues encoded by rare codons of the capsid surface has been
detected through the present quasispecies analysis, confirming a certain
beneficial role of such clusters. Since these clusters are located near or at the
epitope regions, the need to maintain such clusters might prevent the emergence
of new serotypes.
PMID- 18057243
TI - The c3-v4 region is a major target of autologous neutralizing antibodies in human
immunodeficiency virus type 1 subtype C infection.
AB - The early autologous neutralizing antibody response in human immunodeficiency
virus type 1 (HIV-1) subtype C infections is often characterized by high titers,
but the response is type specific with little to no cross-neutralizing activity.
The specificities of these early neutralizing antibodies are not known; however,
the type specificity suggests that they may target the variable regions of the
envelope. Here, we show that cross-reactive anti-V3 antibodies developed within 3
to 12 weeks in six individuals but did not mediate autologous neutralization.
Using a series of chimeric viruses, we found that antibodies directed at the
V1V2, V4, and V5 regions contributed to autologous neutralization in some
individuals, with V1V2 playing a more substantial role. However, these antibodies
did not account for the total neutralizing capacity of these sera against the
early autologous virus. Antibodies directed against the C3-V4 region were
involved in autologous neutralization in all four sera studied. In two sera,
transfer of the C3-V4 region rendered the chimera as sensitive to antibody
neutralization as the parental virus. Although the C3 region, which contains the
highly variable alpha2-helix was not a direct target in most cases, it
contributed to the formation of neutralization epitopes as substitution of this
region resulted in neutralization resistance. These data suggest that the C3 and
V4 regions combine to form important structural motifs and that epitopes in this
region are major targets of the early autologous neutralizing response in HIV-1
subtype C infection.
PMID- 18057244
TI - A premature termination codon mutation at the C terminus of foamy virus Gag
downregulates the levels of spliced pol mRNA.
AB - Foamy viruses (FV) comprise a subfamily of retroviruses. Orthoretroviruses, such
as human immunodeficiency virus type 1, synthesize Gag and Pol from unspliced
genomic RNA. However, FV Pol is expressed from a spliced mRNA independently of
Gag. FV pol splicing uses a 3' splice site located at the 3' end of gag,
resulting in a shared exon between gag and pol. Previously, our laboratory showed
that C-terminal Gag premature termination codon (PTC) mutations in the 3' shared
exon led to greatly decreased levels of Pol protein (C. R. Stenbak and M. L.
Linial, J. Virol. 78:9423-9430, 2004). To further characterize these mutants, we
quantitated the levels of unspliced gag and spliced pol mRNAs using a real-time
PCR assay. In some of the PTC mutants, the levels of spliced pol mRNA were
reduced as much as 30-fold, whereas levels of unspliced gag RNA were not
affected. Substitutions of a missense codon in place of a PTC restored normal
levels of spliced pol mRNA. Disrupting Upf proteins involved in nonsense-mediated
mRNA decay (NMD) did not affect Pol protein expression. Introduction of an exonic
splicing enhancer downstream of the PTC mutation restored pol splicing to the
wild-type level. Taken together, our results show that the PTC mutation itself is
responsible for decreased levels of pol mRNA but that mechanisms other than NMD
might be involved in downregulating Pol expression. The results also suggest that
normal pol splicing utilizes a suboptimal splice site seen for other spliced
mRNAs in most retroviruses, in that introduced exonic enhancer elements can
increase splicing efficiency.
PMID- 18057245
TI - Noncanonical TATA sequence in the UL44 late promoter of human cytomegalovirus is
required for the accumulation of late viral transcripts.
AB - During productive infection, human cytomegalovirus (HCMV) UL44 transcription
initiates at three distinct start sites that are differentially regulated. Two of
the start sites, the distal and the proximal, are active at early times, whereas
the middle start site is active only at late times after infection. The UL44
early viral gene product is essential for viral DNA synthesis. The UL44 gene
product from the late viral promoter affects primarily viral gene expression at
late times after infection rather than viral DNA synthesis (H. Isomura, M. F.
Stinski, A. Kudoh, S. Nakayama, S. Iwahori, Y. Sato, and T. Tsurumi, J. Virol.
81:6197, 2007). The UL44 early viral promoters have a canonical TATA sequence,
"TATAA." In contrast, the UL44 late viral promoter has a noncanonical TATA
sequence. Using recombinant viruses, we found that the noncanonical TATA sequence
is required for the accumulation of late viral transcripts. The GC boxes that
surround the middle TATA element did not affect the kinetics or the start site of
UL44 late transcription. Replacement of the distal TATA element with a
noncanonical TATA sequence did not affect the kinetics of transcription or the
transcription start site, but it did induce an alternative transcript at late
times after infection. The data indicate that a noncanonical TATA box is used at
late times after HCMV infection.
PMID- 18057246
TI - Cooperative effect of the attenuation determinants derived from poliovirus sabin
1 strain is essential for attenuation of enterovirus 71 in the NOD/SCID mouse
infection model.
AB - Enterovirus 71 (EV71) is a causative agent of hand, foot, and mouth disease and
is also associated with serious neurological disorders. An attenuated EV71 strain
[EV71(S1-3')] has been established in the cynomolgus monkey infection model; this
strain contains the attenuation determinants derived from the type 1 poliovirus
vaccine strain, Sabin 1 [PV1(Sabin)], in the 5' nontranslated region (NTR), 3D
polymerase, and 3' NTR. In this study, we analyzed the effect of the attenuation
determinants of PV1(Sabin) on EV71 infection in a NOD/SCID mouse infection model.
We isolated a mouse-adapted EV71 strain [EV71(NOD/SCID)] that causes paralysis of
the hind limbs in 3- to 4-week-old NOD/SCID mice by adaptation of the virulent
EV71(Nagoya) strain in the brains of NOD/SCID mice. A single mutation at
nucleotide 2876 that caused an amino acid change in capsid protein VP1 (change of
the glycine at position 145 to glutamic acid) was essential for the mouse-adapted
phenotype in NOD/SCID mice. Next, we introduced attenuation determinants derived
from PV1(Sabin) along with the mouse adaptation mutation into the EV71(Nagoya)
genome. In 4-week-old mice, the determinants in the 3D polymerase and 3' NTR,
which are the major temperature-sensitive determinants, had a strong effect on
attenuation. In contrast, the effect of individual determinants was weak in 3
week-old NOD/SCID mice, and all the determinants were required for substantial
attenuation. These results suggest that a cooperative effect of the attenuation
determinants of PV1(Sabin) is essential for attenuated neurovirulence of EV71.
PMID- 18057247
TI - Translocation and colocalization of ICP4 and ICP0 in cells infected with herpes
simplex virus 1 mutants lacking glycoprotein E, glycoprotein I, or the virion
host shutoff product of the UL41 gene.
AB - In wild-type herpes simplex virus 1-infected cells, the major regulatory protein
ICP4 resides in the nucleus whereas ICP0 becomes dynamically associated with
proteasomes and late in infection is translocated and dispersed in the cytoplasm.
Inhibition of proteasomal function results in retention or transport of ICP0 to
the nucleus. We report that in cells infected with mutants lacking glycoprotein E
(gE), glycoprotein I (gI), or the product of the U(L)41 gene, both ICP4 and ICP0
are translocated to the cytoplasm and coaggregate in small dense structures that,
in the presence of proteasomal inhibitor MG132, also contain proteasomal
components. Gold particle-conjugated antibody to ICP0 reacted in thin sections
with dense protein aggregates in the cytoplasm of mutant virus-infected cells.
Similar aggregates were present in the nuclei but not in the cytoplasm of wild
type virus-infected cells. Exposure of cells early in infection to MG132 does not
result in retention of ICP0 as in wild-type virus-infected cells. The results
suggest that the retention of ICP4 and ICP0 in the nucleus is a dynamic process
that involves the function of other viral proteins that may include the Fc
receptor formed by the gE/gI complex and is not merely the consequence of
expression of a nuclear localization signal. It is noteworthy that in DeltaU(L)41
infected cells gE is retained in the trans-Golgi network and is not widely
dispersed in cellular membranes.
PMID- 18057248
TI - Replication of coxsackievirus B3 in primary cell cultures generates novel viral
genome deletions.
AB - Coxsackievirus B3 (CVB3) generates 5'-terminally deleted genomes (TDs) during
replication in murine hearts. We show here that CVB3 populations with TDs can
also be generated within two to three passages of CVB3 in primary, but not
immortalized, cell cultures. Deletions of less than 49 nucleotides increase in
size during passage, while 5' TDs of 49 nucleotides appear to be the maximum
deletion size. The cellular environment of contact-inhibited primary cell
cultures or the myocardium in vivo is sufficient for the selection of 5' TDs over
undeleted genomes.
PMID- 18057250
TI - WITHDRAWN: Natural recombination between Newcastle disease virus vaccine strains
and circulating viruses.
AB - This article has been retracted.
PMID- 18057249
TI - Human papillomavirus type 16 and 18 E7-pulsed dendritic cell vaccination of stage
IB or IIA cervical cancer patients: a phase I escalating-dose trial.
AB - The safety and immunogenicity of the human papillomavirus type 16 (HPV16) or
HPV18 (HPV16/18) E7 antigen-pulsed mature dendritic cell (DC) vaccination were
evaluated for patients with stage IB or IIA cervical cancer. Escalating doses of
autologous DC (5, 10, and 15 x 10(6) cells for injection) were pulsed with
recombinant HPV16/18 E7 antigens and keyhole limpet hemocyanin (KLH; an
immunological tracer molecule) and delivered in five subcutaneous injections at
21-day intervals to 10 cervical cancer patients with no evidence of disease after
they underwent radical surgery. Safety, toxicity, delayed-type hypersensitivity
(DTH) reaction, and induction of serological and cellular immunity against
HPV16/18 E7 and KLH were monitored. DC vaccination was well tolerated, and no
significant toxicities were recorded. All patients developed CD4(+) T-cell and
antibody responses to DC vaccination, as detected by enzyme-linked immunosorbent
spot (ELISpot) and enzyme-linked immunosorbent assays (ELISA), respectively, and
8 out of 10 patients demonstrated levels of E7-specific CD8(+) T-cell counts,
detected by ELISpot during or immediately after immunization, that were increased
compared to prevaccination baseline levels. The vaccine dose did not predict the
magnitude of the antibody or T-cell response or the time to detection of HPV16/18
E7-specific immunity. DTH responses to intradermal injections of HPV E7 antigen
and KLH were detected for all patients after vaccination. We conclude that HPV E7
loaded DC vaccination is safe and immunogenic for stage IB or IIA cervical cancer
patients. Phase II E7-pulsed DC-based vaccination trials with cervical cancer
patients harboring a limited tumor burden, or who are at significant risk of
tumor recurrence, are warranted.
PMID- 18057251
TI - Independent and cooperative antiviral actions of beta interferon and gamma
interferon against herpes simplex virus replication in primary human fibroblasts.
AB - Type I and type II interferons (IFNs) act in synergy to inhibit the replication
of a variety of viruses, including herpes simplex virus (HSV). To understand the
mechanism of this effect, we have analyzed the transcriptional profiles of
primary human fibroblast cells that were first treated with IFN-beta1, IFN-gamma,
or a combination of both and then subsequently infected with HSV-1. We have
identified two types of synergistic activities in the gene expression patterns
induced by IFN-beta1 and IFN-gamma that may contribute to inhibition of HSV-1
replication. The first is defined as "synergy by independent action," in which
IFN-beta1 and IFN-gamma induce distinct gene categories. The second, "synergy by
cooperative action," is a term that describes the positive interaction between
IFN-beta1 and IFN-gamma as defined by a two-way analysis of variance. This form
of synergy leads to a much higher level of expression for a subset of genes than
is seen with either interferon alone. The cooperatively induced genes by IFN
beta1 and IFN-gamma include those involved in apoptosis, RNA degradation, and the
inflammatory response. Furthermore, the combination of IFN-beta1 and IFN-gamma
induces significantly more apoptosis and inhibits HSV-1 gene expression and DNA
replication significantly more than treatment with either interferon alone. Taken
together, these data suggest that IFN-beta1 and IFN-gamma work both independently
and cooperatively to create an antiviral state that synergistically inhibits HSV
1 replication in primary human fibroblasts and that cooperatively induced
apoptosis may play a role in the synergistic effect on viral replication.
PMID- 18057252
TI - The heat shock protein 70 cochaperone YDJ1 is required for efficient membrane
specific flock house virus RNA replication complex assembly and function in
Saccharomyces cerevisiae.
AB - The assembly of RNA replication complexes on intracellular membranes is an
essential step in the life cycle of positive-sense RNA viruses. We have
previously shown that Hsp90 chaperone complex activity is essential for efficient
Flock House virus (FHV) RNA replication in Drosophila melanogaster S2 cells. To
further explore the role of cellular chaperones in viral RNA replication, we used
both pharmacologic and genetic approaches to examine the role of the Hsp90 and
Hsp70 chaperone systems in FHV RNA replication complex assembly and function in
Saccharomyces cerevisiae. In contrast to results with insect cells, yeast
deficient in Hsp90 chaperone complex activity showed no significant decrease in
FHV RNA replication. However, yeast with a deletion of the Hsp70 cochaperone YDJ1
showed a dramatic reduction in FHV RNA replication that was due in part to
reduced viral RNA polymerase accumulation. Furthermore, the absence of YDJ1 did
not reduce FHV RNA replication when the viral RNA polymerase and replication
complexes were retargeted from the mitochondria to the endoplasmic reticulum.
These results identify YDJ1 as an essential membrane-specific host factor for FHV
RNA replication complex assembly and function in S. cerevisiae and are consistent
with known differences in the role of distinct chaperone complexes in organelle
specific protein targeting between yeast and higher eukaryotes.
PMID- 18057253
TI - Patterns of CD8+ immunodominance may influence the ability of Mamu-B*08-positive
macaques to naturally control simian immunodeficiency virus SIVmac239
replication.
AB - Certain major histocompatibility complex (MHC) class I alleles are strongly
associated with control of human immunodeficiency virus and simian
immunodeficiency virus (SIV). CD8(+) T cells specific for epitopes restricted by
these molecules may be particularly effective. Understanding how CD8(+) T cells
contribute to control of viral replication should yield important insights for
vaccine design. We have recently identified an Indian rhesus macaque MHC class I
allele, Mamu-B*08, associated with elite control and low plasma viremia after
infection with the pathogenic isolate SIVmac239. Here, we infected four Mamu-B*08
positive macaques with SIVmac239 to investigate why some of these macaques
control viral replication. Three of the four macaques controlled SIVmac239
replication with plasma virus concentrations below 20,000 viral RNA copies/ml at
20 weeks postinfection; two of four macaques were elite controllers (ECs).
Interestingly, two of the four macaques preserved their CD4(+) memory T
lymphocytes during peak viremia, and all four recovered their CD4(+) memory T
lymphocytes in the chronic phase of infection. Mamu-B*08-restricted CD8(+) T-cell
responses dominated the acute phase and accounted for 23.3% to 59.6% of the total
SIV-specific immune responses. Additionally, the ECs mounted strong and broad
CD8(+) T-cell responses against several epitopes in Vif and Nef. Mamu-B*08
specific CD8(+) T cells accounted for the majority of mutations in the virus at
18 weeks postinfection. Interestingly, patterns of viral variation in Nef
differed between the ECs and the other two macaques. Natural containment of AIDS
virus replication in Mamu-B*08-positive macaques may, therefore, be related to a
combination of immunodominance and viral escape from CD8(+) T-cell responses.
PMID- 18057254
TI - Replication of beta- and gammaretroviruses is restricted in I/LnJ mice via the
same genetic mechanism.
AB - Mice of the I/LnJ inbred strain are unique in their ability to mount a robust and
sustained humoral immune response capable of neutralizing infection with a
betaretrovirus, mouse mammary tumor virus (MMTV). Virus-neutralizing antibodies
(Abs) coat MMTV virions secreted by infected cells, preventing virus spread and
hence the formation of mammary tumors. To investigate whether I/LnJ mice resist
infection with other retroviruses besides MMTV, the animals were infected with
murine leukemia virus (MuLV), a gammaretrovirus. MuLV-infected I/LnJ mice
produced virus-neutralizing Abs that block virus transmission and virally induced
disease. Generation of virus-neutralizing Abs required gamma interferon but was
independent of interleukin-12. This unique mechanism of retrovirus resistance is
governed by a single recessive gene, virus infectivity controller 1 (vic1),
mapped to chromosome 17. In addition to controlling the antivirus humoral immune
response, vic1 is also required for an antiviral cytotoxic response. Both types
of responses were maintained in mice of the susceptible genetic background but
congenic for the I/LnJ vic1 locus. Although the vic1-mediated resistance to MuLV
resembles the mechanism of retroviral recovery controlled by the resistance to
Friend virus 3 (rfv3) gene, the rfv3 gene has been mapped to chromosome 15 and
confers resistance to MuLV but not to MMTV. Thus, we have identified a unique
virus resistance mechanism that controls immunity against two distinct
retroviruses.
PMID- 18057255
TI - Cooperative binding of the class I major histocompatibility complex cytoplasmic
domain and human immunodeficiency virus type 1 Nef to the endosomal AP-1 complex
via its mu subunit.
AB - Human immunodeficiency virus type 1 Nef provides immune evasion by decreasing the
expression of major histocompatibility complex class I (MHC-I) at the surfaces of
infected cells. The endosomal clathrin adaptor protein complex AP-1 is a key
cellular cofactor for this activity, and it is recruited to the MHC-I cytoplasmic
domain (CD) in the presence of Nef by an uncharacterized mechanism. To determine
the molecular basis of this recruitment, we used an MHC-I CD-Nef fusion protein
to represent the MHC-I CD/Nef complex during protein interaction assays. The MHC
I CD had no intrinsic ability to bind AP-1, but it conferred binding activity
when fused to Nef. This activity was independent of the canonical leucine-based
AP-binding motif in Nef; it required residue Y320 in the MHC-I CD and residues
E62-65 and P78 in Nef, and it involved the mu but not the gamma/sigma subunits of
AP-1. The impaired binding of mutants encoding substitutions of E62-65 or P78 in
Nef was rescued by replacing the Y320SQA sequence in the MHC-I CD with YSQL,
suggesting that Nef allows the YSQA sequence to act as if it were a canonical mu
binding motif. These data identify the mu subunit of AP-1 (mu1) as the key target
of the MHC-I CD/Nef complex, and they indicate that both Y320 in the MHC-I CD and
E62-65 in Nef interact directly with mu1. The data support a cooperative binding
model in which Nef functions as a clathrin-associated sorting protein that allows
recognition of an incomplete, tyrosine-based mu-binding signal in the MHC-I CD by
AP-1.
PMID- 18057256
TI - Genetic recombination between human immunodeficiency virus type 1 (HIV-1) and HIV
2, two distinct human lentiviruses.
AB - Human immunodeficiency virus type 1 (HIV-1) and HIV-2 are genetically distinct
viruses that each can cause AIDS. Approximately 1 million people are infected
with both HIV-1 and HIV-2. Additionally, these two viruses use the same receptor
and coreceptors and can therefore infect the same target cell populations. To
explore potential genetic interactions, we first examined whether RNAs from HIV-1
and HIV-2 can be copackaged into the same virion. We used modified near-full
length viruses that each contained a green fluorescent protein gene (gfp) with a
different inactivating mutation. Thus, a functional gfp could be reconstituted
via recombination, which was used to detect the copackaging of HIV-1 and HIV-2
RNAs. The GFP-positive (GFP(+)) phenotype was detected in approximately 0.2% of
the infection events, which was 35-fold lower than the intrasubtype HIV-1 rates.
We isolated and characterized 54 GFP(+) single-cell clones and determined that
all of them contained proviruses with reconstituted gfp. We then mapped the
general structures of the recombinant viruses and characterized the recombination
junctions by DNA sequencing. We observed several different recombination
patterns, including those that had crossovers only in gfp. The most common hybrid
genomes had heterologous long terminal repeats. Although infrequent, crossovers
in the viral sequences were also identified. Taken together, our study
demonstrates that HIV-1 and HIV-2 can recombine, albeit at low frequencies. These
observations indicate that multiple factors are likely to restrict the generation
of viable hybrid HIV-1 and HIV-2 viruses. However, considering the large
coinfected human population and the high viral load in patients, these rare
events could provide the basis for the generation of novel human immunodeficiency
viruses.
PMID- 18057257
TI - Complete in vitro reconstitution of adeno-associated virus DNA replication
requires the minichromosome maintenance complex proteins.
AB - Adeno-associated virus (AAV) replicates its DNA exclusively by a leading-strand
DNA replication mechanism and requires coinfection with a helper virus, such as
adenovirus, to achieve a productive infection. In previous work, we described an
in vitro AAV replication assay that required the AAV terminal repeats (the
origins for DNA replication), the AAV Rep protein (the origin binding protein),
and an adenovirus-infected crude extract. Fractionation of these crude extracts
identified replication factor C (RFC), proliferating cell nuclear antigen (PCNA),
and polymerase delta as cellular enzymes that were essential for AAV DNA
replication in vitro. Here we identify the remaining factor that is necessary as
the minichromosome maintenance (MCM) complex, a cellular helicase complex that is
believed to be the replicative helicase for eukaryotic chromosomes. Thus,
polymerase delta, RFC, PCNA, and the MCM complex, along with the virally encoded
Rep protein, constitute the minimal protein complexes required to reconstitute
efficient AAV DNA replication in vitro. Interfering RNAs targeted to MCM and
polymerase delta inhibited AAV DNA replication in vivo, suggesting that one or
more components of the MCM complex and polymerase delta play an essential role in
AAV DNA replication in vivo as well as in vitro. Our reconstituted in vitro DNA
replication system is consistent with the current genetic information about AAV
DNA replication. The use of highly conserved cellular replication enzymes may
explain why AAV is capable of productive infection in a wide variety of species
with several different families of helper viruses.
PMID- 18057258
TI - Transgene expression facilitated by the v-src splice acceptor can impair
replication kinetics and lead to genomic instability of Rous sarcoma virus-based
vectors.
AB - Rous sarcoma virus (RSV) can be used for the simple generation of high-titer
replication-competent retroviral (RCR) vectors. Retroviruses undergo frequent
genomic recombination, however, and vectors with reduced replication kinetics are
rapidly overgrown by mutant forms. Vector design is hence critical to vector
efficacy. In this study, two different designs of RSV-based RCR vectors were
evaluated. Vectors in which transgene expression was facilitated by the v-src
splice acceptor were revealed to have greatly reduced replication kinetics and
genomic stability in comparison to vectors in which transgene expression was
mediated by an internal ribosome entry site in the 3' untranslated region.
PMID- 18057260
TI - AADE7TM Self-care Behaviors: systematic reviews.
PMID- 18057259
TI - Negative feedback regulation of RIG-I-mediated antiviral signaling by interferon
induced ISG15 conjugation.
AB - RIG-I senses intracellular virus-specific nucleic acid structures and initiates
an antiviral response that induces interferon (IFN) production, which, in turn,
activates the transcription of RIG-I to increase RIG-I protein levels. Upon
intracellular poly(I:C) stimulation, however, the levels of RIG-I protein did not
correlate with the expression patterns of RIG-I transcripts. When the ISG15
conjugation system was overexpressed, ISG15 was conjugated to RIG-I and cellular
levels of the unconjugated form of RIG-I decreased. The ISGylation of RIG-I
reduced levels of both basal and virus-induced IFN promoter activity. Levels of
unconjugated RIG-I also decreased when 26S proteasome activity was blocked by
treatment with MG132, ALLN, or Lactacystin. In the presence of MG132, ISG15
conjugation to RIG-I increased, and hence, the unconjugated form of RIG-I was
reduced. In Ube1L(-/-) cells, which lack the ability to conjugate ISG15, basal
levels of both RIG-I protein and transcripts were increased compared to those in
wild-type cells. As a result, enhanced production of ISGs and enhanced IFN
promoter activity in Ube1L(-/-) cells were observed, and the phenotype was
restored to that of wild-type cells by the overexpression of Ube1L. Based on
these results, we propose a novel negative feedback loop which adjusts the
strength of the RIG-I-mediated antiviral response and IFN production through the
regulation of RIG-I protein by IFN-induced ISG15 conjugation.
PMID- 18057263
TI - Diabetes and healthy eating: a systematic review of the literature.
AB - PURPOSE: The purpose of this study is to review the literature on healthy eating
interventions within diabetes care. DATA SOURCES: The databases PubMed,
Cumulative Index to Nursing and Allied Health Literature, and PsycINFO were
searched for the terms healthy eating or dietary and intervention and diabetes in
the abstracts. In addition, electronic searches of the contents of Diabetes,
Clinical Diabetes, Diabetes Care, Diabetes Spectrum, and Diabetic Medicine were
conducted. STUDY SELECTION: Articles were included in the review if the
participants were diagnosed with type 1 or type 2 diabetes, results from an
intervention to promote dietary change were reported, measurements were taken
from 2 time points (preintervention and postintervention), at least 1 outcome
measured eating behavior, and the articles had been published between 1990 and
the present date. DATA EXTRACTION: Data were extracted from the 23 studies found
to be eligible. Studies were compared in terms of sample types and sizes,
duration, and type and content of intervention. DATA SYNTHESIS: Comparisons were
made between the characteristics of those studies that produced a statistically
significant result and those that did not, for the different outcome measures.
CONCLUSIONS: For studies measuring the outcomes of weight, fat intake, saturated
fat intake, and carbohydrates, there was a tendency for successful interventions
to include an exercise dimension and group work. Some outcomes had a tendency to
show significant changes in studies of longer duration (eg, serum cholesterol),
whereas others were more likely to show significant changes in studies of shorter
duration (eg, weight, fiber), suggesting that certain outcomes may be more
difficult to maintain. Future research would benefit by ensuring that sample
sizes are adequate to give sufficient power, and interventions should be designed
that focus on the maintenance in addition to the initiation of eating behavior
change.
PMID- 18057265
TI - Interventions for being active among individuals with diabetes: a systematic
review of the literature.
AB - PURPOSE: The purpose of this systematic review is to assess and summarize
evidence and gaps in the literature regarding the intervention for being active
(exercise) among individuals with diabetes. METHODS: Twelve electronic databases
were searched. Publications eligible for inclusion specifically studied learning,
behavioral, clinical, and humanistic outcomes for exercise interventions in adult
patients with type 1 and type 2 diabetes. RESULTS: Seven reviews (2 systematic
reviews, 3 meta-analyses, 2 technical reviews) and 34 individual, nonreview
studies (18 randomized controlled trials, 16 nonrandomized trials) met inclusion
criteria. For type 2 diabetes, findings suggested that exercise had a positive
effect on glycemic control and decreased cardiovascular risk, but the impact of
exercise on behavioral and humanistic outcomes was unclear; long-term outcomes
and adherence to exercise interventions is unknown because most studies were of
short duration. The overall impact of varied types of exercise in type 1 diabetes
was unclear, especially regarding glycemic control. Potential benefits of
exercise in type 1 may include improved cardiovascular health. CONCLUSION: The
review did not identify specific successful intervention details because of the
heterogeneity of studies, subjects, and research gaps. General findings suggest
that physical activity is better than no exercise at all; intensive regimens, if
tolerated by patients, achieved better clinical outcomes than less intensive
regimens. Reviewed studies using structured exercise regimens exhibited a more
significant impact on outcomes. Substantial gaps in the literature include
studies measuring direct effects of exercise in the US minority populations most
affected by type 2 diabetes and economic evaluations of exercise interventions.
Interventions must be tailored to individual patient needs to succeed.
PMID- 18057267
TI - Does patient blood glucose monitoring improve diabetes control? A systematic
review of the literature.
AB - OBJECTIVE: The purpose of this systematic review was 2-fold: first, to perform a
comprehensive review of relevant studies on the impact of self-monitoring of
blood glucose (SMBG) on HbA1c levels for patients with type 2 diabetes mellitus
and, second, to explore mediators and moderators within a self-regulation
framework. DATA SOURCES: Five databases-Medline, PsychInfo, Cochrane Database of
Systematic Reviews, Cochrane Central Register of Controlled Trials, and
Cumulative Index to Nursing & Allied Health Literature (CINAHL)-were searched.
STUDY SELECTION: Cross-sectional, longitudinal, and randomized control trials
from 1990 to 2006, which included patients with type 2 diabetes not on insulin,
were reviewed. In total, 6,769 studies were screened for inclusion, 89 were
retrieved for detailed analysis, and 29 met criteria for inclusion in the review.
DATA EXTRACTION: Data on the impact of SMBG on HbA1c, potential mediators and
moderators, study design and participants, and limitations of each study were
retrieved. DATA SYNTHESIS: Twenty-nine studies were included in this review: 9
cross-sectional studies, 9 longitudinal studies, and 11 randomized controlled
trials. Evidence from the cross-sectional and longitudinal studies was
inconclusive. Evidence from randomized controlled trials suggests that SMBG may
lead to improvements in glucose control. Very few studies examined potential
mediators or moderators of SMBG on HbA1c levels. CONCLUSIONS: SMBG may be
effective in controlling blood glucose for patients with type 2 diabetes. There
is a need for studies that implement all the components of the process for self
regulation of SMBG to assess whether patient use of SMBG will improve HbA1c
levels.
PMID- 18057270
TI - Medication taking and diabetes: a systematic review of the literature.
AB - PURPOSE: The purpose of this systematic review is to evaluate the evidence of the
challenges and barriers to medication taking (adherence) and to summarize the
interventions that improve medication taking in type 1 and type 2 diabetes
mellitus. METHODS: PubMed, the Cochrane Collaborative, and the Health and
Psychosocial Instruments databases were used to obtain articles identified by
using the MeSH headings of diabetes, medication, oral hypoglycemic agents, oral
antihyperglycemic agents, oral antidiabetic agents, insulin, adherence,
medication taking, compliance, fears, treatment, and electronic monitoring. Only
articles published in English between 1990 and May 7, 2007, and including
individuals of all ages with type 1 or type 2 diabetes mellitus were included.
Retrospective and prospective studies reporting adherence to medications using
self-report, pill counts, medication possession ratios, and electronic monitoring
devices were included. Database analyses of prescription records from various
organizations or countries were included only if adherence to pharmacologic
therapy was stated. Surveys and questionnaires assessing medication taking were
also included. The data from the selected literature was abstracted
independently. The various studies were grouped together based on the type of
study conducted. Studies were not included if a specific measure of adherence to
medication was not used or stated. The studies are presented in 3 tables
according to design. CONCLUSIONS: Several barriers to medication taking have been
suggested for those with diabetes mellitus, although well-controlled trials to
confirm and resolve these barriers are limited. Diabetes educators should be
aware of the common barriers to medication taking (regimen complexity of more
than 1 diabetes mellitus drug or more than 1 dose daily, depression, and
remembering doses and refills) and provide screening and support to their
patients to resolve barriers if they exist. Further studies are needed to test
specific interventions to improve medication taking in diabetes.
PMID- 18057272
TI - Problem solving in diabetes self-management and control: a systematic review of
the literature.
AB - PURPOSE: The purpose of this systematic review is to assess the published
literature on problem solving and its associations with diabetes self-management
and control, as the state of evidence exists. DATA SOURCES: PubMed, PsychINFO,
and ERIC electronic databases were searched for the years 1990 to the present and
for English-language articles, and reference lists from included studies were
reviewed to capture additional studies. STUDY SELECTION: Quantitative and
qualitative studies that addressed problem solving as a process or strategy for
diabetes self-management were included. Fifty-two studies met the criteria for
inclusion. DATA EXTRACTION: Study design, sample characteristics, measures, and
results were reviewed. DATA SYNTHESIS: Thirty-six studies were quantitative; 16
were conceptual or qualitative. Studies were classified as addressing the problem
solving definition/framework, assessment, intervention, or health care
professional issues. CONCLUSIONS: Problem solving is a multidimensional construct
encompassing verbal reasoning/rational problem solving, quantitative problem
solving, and coping. Aspects of problem solving can be assessed using newly
developed diabetes-specific problem-solving measures for children/adolescents and
adults. Cross-sectional studies in adults, but not children/adolescents, provide
consistent evidence of associations between problem solving and A1C level. Only
25% of problem-solving intervention studies with children/adolescents and 50% of
interventions with adults reported improvement in A1C. Most intervention studies
reported an improvement in behaviors, most commonly global adherence in
children/adolescents and dietary behavior in adults. Methodological limitations
(noninclusion of problem-solving measures, inadequate descriptions of problem
solving interventions, homogenous samples) need to be addressed in future
research to clarify the effect of problem solving on diabetes outcomes, identify
characteristics of effective interventions, and determine the utility across age
and racial/ethnic groups.
PMID- 18057274
TI - Reducing risks in diabetes self-management: a systematic review of the
literature.
AB - OBJECTIVE: The purpose of this systematic review was to review published
literature on risk-reducing interventions as part of diabetes self-management.
DATA SOURCES: Medline (1990-2007), CINAHL (1990-2007), and Cochrane Central
Register of Controlled Trials (first quarter 2007) databases were searched.
Reference lists from included studies were reviewed to identify additional
studies. STUDY SELECTION: Intervention studies that addressed reducing risks to
help prevent or minimize diabetes complications were included. DATA EXTRACTION:
Study design, sample characteristics, interventions, and outcomes were extracted.
DATA SYNTHESIS: Thirty-three studies, represented by 39 articles, met the
criteria for inclusion and were classified as smoking cessation (n = 3), eye
examination (n = 2), foot care (n = 10), oral health (n = 2), vaccination (n =
1), cardiovascular risk reduction (n = 9), and comprehensive risk reduction (n =
6). Only 46.3% of the 283 outcomes measured in the 33 studies were significantly
improved. CONCLUSIONS: Reducing risks involves implementing effective risk
reduction behaviors to prevent or slow the progression of diabetes complications.
Recognizing risk factors for complications and what constitutes optimal
preventive care is an important part of managing diabetes. Intervention studies
are lacking in some areas of reducing risks. Further studies are needed to test
specific interventions to reduce the risks of diabetes complications.
PMID- 18057276
TI - Healthy coping, negative emotions, and diabetes management: a systematic review
and appraisal.
AB - PURPOSE: The purpose of this systematic review is to assess the literature
pertinent to healthy coping in diabetes management and to identify effective or
promising interventions and areas needing further investigation. METHODS: A
PubMed search identified 186 articles in English published between January 1,
1990, and July 31, 2006, addressing diabetes and emotion, quality of life,
depression, adjustment, anxiety, coping, family therapy, behavior therapy,
psychotherapy, problem solving, couples therapy, or marital therapy. RESULTS:
Connections among psychological variables, behavioral factors, coping, metabolic
control, and quality of life are appreciable and multidirectional. Interventions
for which well-controlled studies indicate benefits for quality of life and/or
metabolic control include general self-management, coping/problem-solving
interventions, stress management, support groups, cognitive-behavioral therapy,
behavioral family systems therapy, cognitive-analytic therapy, multisystemic
therapy, medications for depression, and the Pathways intervention integrating
case management, support of medication, and problem-solving counseling.
CONCLUSIONS: Psychological, emotional, related behavioral factors, and quality of
life are important in diabetes management, are worthy of attention in their own
right, and influence metabolic control. A range of interventions that achieve
benefits in these areas provide a base for developing versatile programs to
promote healthy coping.
PMID- 18057279
TI - Transcatheter therapy for hepatic malignancy: standardization of terminology and
reporting criteria.
AB - The field of interventional oncology includes tumor ablation as well as the use
of transcatheter therapies such as embolization, chemoembolization, and
radioembolization. Terminology and reporting standards for tumor ablation have
been developed. The development of standardization of terminology and reporting
criteria for transcatheter therapies should provide a similar framework to
facilitate the clearest communication among investigators and provide the
greatest flexibility in comparing established and emerging technologies. An
appropriate vehicle for reporting the various aspects of catheter directed
therapy is outlined, including classification of therapies and procedure terms,
appropriate descriptors of imaging guidance, and terminology to define imaging
and pathologic findings. Methods for standardizing the reporting of outcomes
toxicities, complications, and other important aspects that require attention
when reporting clinical results are addressed. It is the intention of the group
that adherence to the recommendations will facilitate achievement of the group's
main objective: improved precision and communication for reporting the various
aspects of transcatheter management of hepatic malignancy that will translate to
more accurate comparison of technologies and results and, ultimately, to improved
patient outcomes.
PMID- 18057280
TI - IR safety rounds: forgotten dialysis catheter caps.
PMID- 18057281
TI - Bilateral hypogastric artery occlusion in endovascular repair of abdominal aortic
aneurysms and its clinical significance.
AB - PURPOSE: Endovascular treatment of aortoiliac aneurysms near or involving the
hypogastric artery (HGA) requires HGA occlusion before endografting to avoid
retrograde filling of the aneurysm. The purpose of this study is to evaluate
clinical outcomes of bilateral HGA occlusion and determine if benefits gained by
endovascular aneurysm repair (EVAR) outweigh the morbidity associated with the
procedure. MATERIALS AND METHODS: Between 1999 and 2004, 128 patients with
abdominal aortic aneurysm (AAA) were treated with bifurcated endograft placement.
Bilateral coverage or embolization of HGAs was performed in 14 patients (10.9%).
Embolization was achieved by deployment of coils and coverage was accomplished by
extending the endoprosthesis into the external iliac artery. Clinical follow-up
and computed tomographic angiography were performed at 1, 3, 6, 9, and 12 months
and annually thereafter to detect potential aneurysm growth and endoleaks.
RESULTS: During follow-up (range, 1-72 months), buttock claudication was noted in
four patients (28.6%), including unilateral claudication in two and bilateral
claudication in two. One patient experienced claudication longer than 12 months,
which resolved within 18 months. De novo erectile dysfunction was seen in one
patient, and pelvic ischemia was not found in any patient. There was no evidence
of endoleak, aneurysm enlargement, or death associated with HGA occlusion.
CONCLUSIONS: In our series, complications of bilateral HGA occlusion before EVAR
were moderate and resolved over time. The benefits gained from EVAR outweigh the
clinical problems caused by bilateral HGA occlusion, as there are no technical
complications added to the EVAR procedure.
PMID- 18057282
TI - Midterm clinical experience with a complex-shaped detachable platinum coil system
for the treatment of cerebral aneurysms: Trufill DCS Orbit detachable coil system
registry interim results.
AB - PURPOSE: To report an interim analysis of the registry data and evaluate the
performance of Trufill DCS Orbit coils in terms of the stability of initial
aneurysmal occlusion. MATERIALS AND METHODS: From May 1, 2004, to February 1,
2006, 291 patients with ruptured or unruptured aneurysms were enrolled in a
multiple-center global registry from 37 centers in the United States, Europe, and
Latin America to be treated via endovascular embolization with Trufill DCS Orbit
detachable platinum coils. Acute and long-term anatomic and clinical data were
collected and evaluated. RESULTS: Initially, complete obliteration was reported
in 187 of 300 treated aneurysms (62.3%) for which data were available; one
treated aneurysm had no initial occlusion data. At follow-up (
or =25% or >0.5 mg/dl within 7 d of contrast exposure. RESULTS: A total of 11,516
contrast exposures in 7977 patients had creatinine values available for review
before and after contrast exposure. More than 90% of exposures to agents
prophylactic for contrast nephropathy were available for analysis. Sodium
bicarbonate was used in 268 cases, N-acetylcysteine was used in 616 cases, and
both agents were used in combination in 221 cases of contrast exposure. After
adjustment for total volume of hydration, medications, age, gender, prior
creatinine, contrast iodine load, prior exposure to contrast material, type of
imaging study, heart failure, hypertension, renal failure, multiple myeloma, and
diabetes mellitus, use of sodium bicarbonate alone was associated with an
increased risk of contrast nephropathy compared with no treatment (odds ratio
3.10, 95% confidence interval 2.28 to 4.18; P < 0.001). N-acetylcysteine alone
and in combination with sodium bicarbonate was not associated with any
significant difference in the incidence of contrast nephropathy. CONCLUSIONS: The
use of intravenous sodium bicarbonate was associated with increased incidence of
contrast nephropathy. Use of sodium bicarbonate to prevent contrast nephropathy
should be evaluated further rather than adopted into clinical practice.
PMID- 18057305
TI - Lipoprotein abnormalities associated with mild impairment of kidney function in
the multi-ethnic study of atherosclerosis.
AB - BACKGROUND AND OBJECTIVES: Impaired kidney function is associated with increased
risk for cardiovascular disease and may progress over time to end-stage renal
disease. Abnormal lipoprotein metabolism has been implicated as a possible cause
of these complications, but lipoproteins have not been described at the earliest
stages of kidney disease. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This
study examined cross-sectional associations of serum cystatin C with conventional
lipid measurements and detailed nuclear magnetic resonance lipoprotein
measurements in the community-based Multi-Ethnic Study of Atherosclerosis. A
total of 5109 participants with estimated glomerular filtration rate > or =60
ml/min per 1.73 m(2) were included in analyses. RESULTS: Adjusting for age,
gender, race/ethnicity, diabetes, impaired fasting glucose, BP, smoking,
medications, body mass index, and albuminuria, greater cystatin C concentrations
were associated with progressively unfavorable lipid and lipoprotein
concentrations, including greater triglyceride concentration (+22 mg/dl,
comparing fifth versus first quintiles of cystatin C) and lesser high-density
lipoprotein cholesterol concentration (-7 mg/dl) but not with low-density
lipoprotein cholesterol measured using conventional methods. When low-density
lipoprotein particle subclasses were examined in more detail using nuclear
magnetic resonance, greater cystatin C was associated with greater concentrations
of atherogenic small low-density lipoprotein particles (+63 nmol/L) and
intermediate-density lipoprotein particles (+6 nmol/L) and with a decrease in
mean low-density lipoprotein particle size. CONCLUSIONS: Lipoprotein
abnormalities are present with milder degrees of renal impairment than previously
recognized, and abnormalities in low-density lipoprotein particle distribution
may not be appreciated using conventional lipid measurements. These abnormalities
may contribute to kidney disease progression and/or cardiovascular disease.
PMID- 18057307
TI - Short-term treatment with sevelamer increases serum fetuin-a concentration and
improves endothelial dysfunction in chronic kidney disease stage 4 patients.
AB - BACKGROUND AND OBJECTIVES: Vascular calcification and endothelial dysfunction
contribute to the development of cardiovascular disease in patients with chronic
kidney disease (CKD). Sevelamer, a non-calcium-based phosphate binder, has been
shown to attenuate cardiovascular calcification in CKD patients, although the
exact mechanism has not been clarified. This study was designed to investigate
the effect of short-term sevelamer treatment on both serum fetuin-A
concentrations and endothelial dysfunction seen in CKD patients. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: Fifty nondiabetic stage 4 CKD patients whose
phosphate levels were > or =5.5 mg/dl were enrolled in this 8-wk randomized
prospective study. Thirty-six healthy volunteers served as matched controls.
Patients were treated with either sevelamer (n = 25, 12 males) or calcium acetate
(n = 25, 13 males). Fetuin-A, high-sensitivity C-reactive protein, Ca x PO4
product, flow-mediated dilation (FMD), insulin, and homeostasis model assessment
(HOMA) were obtained at baseline and after the treatment period. RESULTS: As
expected, CKD patients had significantly lower levels of fetuin-A and FMD, and
significantly higher levels of intact parathyroid hormone, Ca x PO4 product, and
high-sensitivity C-reactive protein than controls (P < 0.001 for all). The use of
sevelamer led to a significant increase in the fetuin-A concentration with
improvement in FMD, whereas no significant difference was observed in the calcium
acetate group. In a multiple regression analysis, FMD levels were independently
related to fetuin-A both before (beta = 0.63, P < 0.001) and after (beta = 0.38,
P = 0.004) treatment. CONCLUSIONS: This small, randomized, prospective study
shows that short-term sevelamer treatment significantly increases fetuin-A levels
and improves FMD in nondiabetic stage 4 CKD patients.
PMID- 18057308
TI - Renal parenchymal hypoxia, hypoxia adaptation, and the pathogenesis of
radiocontrast nephropathy.
AB - BACKGROUND AND OBJECTIVES: Renal parenchymal Po(2) declines after the
administration of iodinated radiocontrast agents, reaching critically low levels
of approximately 10 mmHg in medullary structures. DESIGN, SETTING, PARTICIPANTS,
& MEASUREMENTS: In this review, the causes of renal parenchymal hypoxia and its
potential role in the pathogenesis of contrast nephropathy are appraised.
RESULTS: Commonly associated predisposing factors are associated with a
propensity to enhance renal hypoxia. Indeed, animal models of radiocontrast
nephropathy require the induction of such predisposing factors, mimicking
clinical scenarios that lead to contrast nephropathy in high-risk individuals. In
these models, in association with medullary hypoxic damage, a transient local
cellular hypoxia response is noted, initiated at least in part by hypoxia
inducible factors. Some predisposing conditions that are distinguished by
chronically aggravated medullary hypoxia, such as tubulointerstitial disease and
diabetes, are characterized by a priori upregulation of hypoxia-inducible
factors, which seems to confer tolerance against radiocontrast-related hypoxic
tubular damage. Renal dysfunction under such circumstances likely reflects to
some extent altered intrarenal hemodynamics, rather than acute tubular injury.
CONCLUSIONS: Real-time, noninvasive novel methods may help to differentiate
between evolving tubular damage and altered hemodynamics and in the design of
appropriate preventive interventions.
PMID- 18057309
TI - Association between serum 2-microglobulin level and infectious mortality in
hemodialysis patients.
AB - BACKGROUND AND OBJECTIVES: Secondary analysis of the Hemodialysis Study showed
that serum beta(2)-microglobulin levels predicted all-cause mortality and that
high-flux dialysis was associated with decreased cardiac deaths in hemodialysis
patients. This study examined the association of serum beta(2)-microglobulin
levels and dialyzer beta(2)-microglobulin kinetics with the two most common
causes of deaths: Cardiac and infectious diseases. Cox regression analyses were
performed to relate cardiac or infectious deaths to cumulative mean follow-up
predialysis serum beta(2)-microglobulin levels while controlling for baseline
demographics, comorbidity, residual kidney function, and dialysis-related
variables. RESULTS: The cohort of 1813 patients experienced 180 infectious deaths
and 315 cardiac deaths. The adjusted hazard ratio for infectious death was 1.21
(95% confidence interval 1.07 to 1.37) per 10-mg/L increase in beta(2)
microglobulin. This association was independent of the prestudy years on
dialysis. In contrast, the association between serum beta(2)-microglobulin level
and cardiac death was not statistically significant. In similar regression
models, higher cumulative mean Kt/V of beta(2)-microglobulin was not
significantly associated with either infectious or cardiac mortality in the full
cohort but exhibited trends suggesting an association with lower infectious
mortality (relative risk 0.93; 95% confidence interval 0.86 to 1.01, for each 0.1
U increase in beta(2)-microglobulin Kt/V) and lower cardiac mortality (relative
risk 0.93; 95% confidence interval 0.87 to 1.00) in the subgroup with >3.7
prestudy years of dialysis. CONCLUSIONS: These results generally support the
notion that middle molecules are associated with systemic toxicity and that their
accumulation predisposes dialysis patients to infectious deaths, independent of
the duration of maintenance dialysis.
PMID- 18057310
TI - Bone and mineral guidelines for patients with chronic kidney disease: a call for
revision.
AB - Recent clinical studies of mineral metabolism in patients with chronic kidney
disease have helped to verify and extend the Kidney Disease Outcomes Quality
Initiative practice guidelines for bone metabolism and disease that were
published in 2003. In particular, investigations that examined calcium loading,
vitamin D therapy, and mortality risk associated with serum calcium and phosphate
in dialysis patients have been the most helpful clinically. As a consequence,
there is now a growing interest to have the previous guidelines amended
accordingly, which will be performed through the Kidney Disease: Improving Global
Outcomes working group after a debate within the nephrology community. The new
data support this call for revision in an attempt to improve survival of the
dialysis patient by emphasizing the importance of intravenous vitamin D therapy
and of preventing excess calcium loading. These studies also suggest avenues for
future investigation into nontraditional causes and treatments of cardiovascular
disease in patients with chronic kidney disease.
PMID- 18057311
TI - Comparative analysis of meiotic progression in female mice bearing mutations in
genes of the DNA mismatch repair pathway.
AB - The DNA mismatch repair (MMR) family functions in a variety of contexts to
preserve genome integrity in most eukaryotes. In particular, members of the MMR
family are involved in the process of meiotic recombination in germ cells. MMR
gene mutations in mice result in meiotic disruption during prophase I, but the
extent of this disruption often differs between male and female meiocytes. To
address the role of MMR proteins specifically in female meiosis, we explored the
progression of oocytes through prophase I and the meiotic divisions in mice
harboring deletions in members of the MMR pathway (Mlh1, Mlh3, Exo1, and an
ATPase-deficient variant of Mlh1, Mlh1(G67R)). The colocalization of MLH1 and
MLH3, key proteins involved in stabilization of nascent crossovers, was dependent
on intact heterodimer formation and was highly correlated with the ability of
oocytes to progress through to metaphase II. The exception was Exo1(-/-) oocytes,
in which normal MLH1/MLH3 localization was observed followed by failure to
proceed to metaphase II. All mutant oocytes were able to resume meiosis after
dictyate arrest, but they showed a dramatic decline in chiasmata (to less than
25% of normal), accompanied by varied progression through metaphase I. Taken
together, these results demonstrate that MMR function is required for the
formation and stabilization of crossovers in mammalian oocytes and that, in the
absence of a functional MMR system, the failure to maintain chiasmata results in
a reduced ability to proceed normally through the first and second meiotic
divisions, despite near-normal levels of meiotic resumption after dictyate
arrest.
PMID- 18057312
TI - Epithelial cells remove apoptotic epithelial cells during post-lactation
involution of the mouse mammary gland.
AB - Following the cessation of lactation, the mammary gland undergoes a physiologic
process of tissue remodeling called involution in which glandular structures are
lost, leaving an adipose tissue compartment that takes up a much larger
proportion of the tissue. A quantitative morphometric analysis was undertaken to
determine the mechanisms for clearance of the epithelial cells during this
process. The involution process was set in motion by removal of pups from 14-day
lactating C57BL/6 mice. Within hours, milk-secreting epithelial cells were shed
into the glandular lumen. These cells became apoptotic, exhibiting exposure of
phosphatidylserine residues on their surfaces, activation of effector caspase-3,
staining for caspase-cleaved keratin 18, loss of internal organellar structure,
and nuclear breakdown, but minimal blebbing or generation of apoptotic bodies.
Clearance of residual milk and the shed epithelial cells was rapid, with most of
the removal occurring in the first 72 h. Intact apoptotic epithelial cells were
engulfed in large numbers by residual viable epithelial cells into spacious
efferosomes. This process led to essentially complete involution within 4 days,
at which point estrous cycling recommenced. Macrophages and other inflammatory
cells did not contribute to the clearance of either residual milk or apoptotic
cells, which appeared to be due entirely to the epithelium itself.
PMID- 18057313
TI - Regulation of murine lactate dehydrogenase C (Ldhc) gene expression.
AB - Expression of Ldhc begins with the onset of meiosis in male germ cells and
continues throughout spermatogenesis. Transcriptional regulatory mechanisms,
especially in primary spermatocytes, are poorly described because of the lack of
a reliable cell culture system. We constructed mouse transgenics and transfected
germ cells in situ to study expression of the testis-specific isozyme of lactate
dehydrogenase (LDH). From previous work, we determined that a 100-bp Ldhc core
promoter contained potential cis regulatory elements, including a palindrome (-21
to +10), GC box (-70 to -65), and cAMP-responsive element (CRE) sites (-53 to
49, -39 to -35). We provide here the demonstration of a functional role for these
sequences by expression of mutated transgenes in vivo. Our results reveal for the
first time that mutation of the GC box does not abolish promoter activity, which
remains testis-specific. Mutation of GC box or CRE sites resulted in a 73% and
74% reduction in promoter activity, respectively, in a transient transfection of
germ cells in vivo by electroporation; the combination of GC box and CRE site
mutations eliminates promoter activity. Therefore, we conclude that simultaneous
occupancy of the GC box and CRE sites in the core promoter is necessary for full
expression of Ldhc in the testis.
PMID- 18057314
TI - Interleukin 1 alpha (IL1A) is a novel regulator of the blood-testis barrier in
the rat.
AB - Throughout spermatogenesis, leptotene spermatocytes must traverse the blood
testis barrier (BTB) at stages VIII-XI to gain entry into the adluminal
compartment for continued development. However, the mechanism underlying BTB
restructuring remains somewhat elusive. In this study, interleukin 1 alpha (IL1A)
was administered intratesticularly to adult rats in order to assess its effects
on spermatogenesis. IL1A was shown to perturb Sertoli-germ cell adhesion,
resulting in germ cell loss from approximately 50% of seminiferous tubules by 15
days posttreatment. Equally important, the functional integrity of the BTB was
compromised when inulin-fluorescein isothiocyanate was detected in the adluminal
compartment of the seminiferous epithelium following its administration via the
jugular vein. Interestingly, IL1A did not affect the steady-state levels of
proteins that confer BTB function, namely OCLN, CLDN1, F11R, TJP1, and CDH2.
Instead, the localizations of OCLN, F11R, and TJP1 in the seminiferous epithelium
were altered; these proteins appeared to move away from sites of cell-cell
contact. Moreover, IL1A was shown to perturb the orderly arrangement of
filamentous actin at the BTB and apical ectoplasmic specialization with distinct
areas illustrating loss of actin filaments. Taken collectively, these results
suggest that IL1A-induced BTB disruption is not mediated via the reduction of
target protein levels. Instead, IL1A's primary cellular target appears to be the
Sertoli cell actin cytoskeleton. It is possible that localized production of IL1A
by Sertoli and/or germ cells in vivo results in BTB restructuring, and this may
facilitate the movement of leptotene spermatocytes across the BTB.
PMID- 18057315
TI - Selective saccadic palsy after cardiac surgery.
PMID- 18057316
TI - Applicability of NINDS-sponsored studies to community-based physician
involvement.
AB - BACKGROUND: The National Institute of Neurological Disorders and Stroke (NINDS)
Clinical Trials Group established the Clinical Research Collaboration (CRC)
Project in 2005 to increase community-based physician involvement in NINDS
sponsored research. METHODS: We assessed a random sample of 112 of the more than
1,000 current NINDS-sponsored clinical research studies to determine which could
involve community physicians in enrollment or follow-up. Scoring factors were
based on the premise that participation is feasible for noninvasive studies with
simple screening, and follow-up criteria and visit frequency consistent with
usual care. Scored studies included 26 Phase III, 31 Phase I/II, and 55
nonclinical trials. RESULTS: Overall, 41% of the sampled research studies were
considered conducive to community physician participation that exceeds referral
only; 21% with participation in all study activities and 20% with ability to
provide some follow-up. Specialized neuropsychological or neurologic scale
testing was judged to exclude community physician participation in 16% of
studies. CONCLUSION: Many National Institute of Neurological Disorders and Stroke
studies are available in which community-based physicians could participate.
Involving community physicians may increase efficiency of completing clinical
research and encourage application of research findings in community practices.
PMID- 18057317
TI - Somatic mutations in GLI3 can cause hypothalamic hamartoma and gelastic seizures.
PMID- 18057318
TI - HLA-DRB1 and multiple sclerosis in Malta.
AB - BACKGROUND: By comparison with the neighboring island of Sicily, the frequency of
multiple sclerosis (MS) in Malta is remarkably low. METHODS: To explore whether
the relative rarity of MS in Malta might be the result of lower population
frequencies of major histocompatibility complex susceptibility alleles, we
genotyped the HLA-DRB1 locus in 77 Maltese-born patients (97% of the prevalent
unrelated native cases) and 206 Maltese controls. We made comparisons with
previously published data for Sicily and other European countries. RESULTS: The
anticipated association with HLA-DRB1*15, the main susceptibility allele in most
other populations, was confirmed (p(c) = 0.009) but, in addition, we also
observed an equally strong, and apparently protective, effect of the HLA-DRB1*11
allele (p(c) = 0.016). In comparison with previously published data from Sicily,
we found that all HLA-DRB1 risk alleles were more common in Malta, whereas HLA
DRB1*11 was slightly less common. CONCLUSIONS: The difference in prevalence seen
between the neighboring islands of Malta and Sicily cannot be explained by
differences in background HLA-DRB1 population allele frequencies, which if
anything would predict a higher rate of disease in Malta than in Sicily.
PMID- 18057319
TI - Progressive bone deficit in epilepsy.
AB - OBJECTIVE: Chronic treatment with antiepileptic medication is associated with
reduced bone mineral density (BMD), which may underlie the two- to sixfold
increase in fracture rates observed in patients with epilepsy. The objective was
to determine the timing of the BMD deficit in ambulatory children with epilepsy.
METHODS: A cross-sectional evaluation was conducted in 82 ambulatory children
aged 6 to 18 years (12.4 +/- 3.3 years) with epilepsy for <1 year (n = 18), 1 to
5 years (n = 37), and 6 or more years (n = 27). Controls were 32 healthy children
aged 12.8 +/- 2.6 years. Age- and sex-corrected total body BMD Z-score was
measured. RESULTS: Total BMD Z-score was lower in children with epilepsy (0.10 +/
0.96; CI = -0.08, 0.34) compared to controls (0.57 +/- 0.74; CI = 0.3, 0.84; p =
0.03). Increasing duration of epilepsy was associated with a progressive
reduction in BMD compared to controls (Spearman r = -0.197; p = 0.03). Compared
to controls, those with epilepsy for 1 to 5 years had a mean BMD Z-score of 0.13
+/- 0.78 (CI = -0.13, 0.39; p = 0.04) and in those treated for 6 or more years
BMD was 0.06 +/- 1.11 (CI = -0.38, 0.5; p = 0.04). For those with epilepsy for <1
year BMD was 0.23 +/- 1.1 (CI = -0.31, 0.77; p = 0.21). CONCLUSIONS: Children
treated for epilepsy sustain significant bone mineral density (BMD) deficit
compared to controls during the initial 1 to 5 years of treatment which
progressively worsens thereafter. This progressive BMD deficit may be a
contributing factor to the increased fracture risk observed in patients with
epilepsy and may accelerate aging-related osteoporosis.
PMID- 18057320
TI - FMR1 CGG repeat length predicts motor dysfunction in premutation carriers.
AB - BACKGROUND: Fragile X-associated tremor/ataxia syndrome (FXTAS) is a recently
described, underrecognized neurodegenerative disorder of aging fragile X mental
retardation 1 (FMR1) premutation carriers, particularly men. Core motor features
are action tremor, gait ataxia, and parkinsonism. Carriers have expanded CGG
repeats (55 to 200); larger expansions cause fragile X syndrome, the most common
heritable cause of mental retardation and autism. This study determines whether
CGG repeat length correlates with severity and type of motor dysfunction in
premutation carriers. METHODS: Persons aged >or=50 years with a family history of
fragile X syndrome underwent structured videotaping. Movement disorder
neurologists, blinded to carrier status, scored the tapes using modified
standardized rating scales. CGG repeat length analyses for women incorporated the
activation ratio, which measures the percentage of normal active chromosome X
alleles. RESULTS: Male carriers (n = 54) had significantly worse total motor
scores, especially in tremor and ataxia, than age-matched male noncarriers (n =
51). There was a trend toward a difference between women carriers (n = 82) and
noncarriers (n = 39). In men, increasing CGG repeat correlated with greater
impairment in all motor signs. In women, when activation ratio was considered,
increasing CGG correlated with greater ataxia. CONCLUSIONS: CGG repeat size is
significantly associated with overall motor impairment in premutation carriers.
Whereas this association is most pronounced for men and covers overall motor
impairment-tremor, ataxia, and parkinsonism-the association exists for ataxia
among women carriers. This is the first report of a significant correlation
between the premutation status and a motor feature of fragile X-associated
tremor/ataxia syndrome in women.
PMID- 18057321
TI - The dorsomedial hypothalamic nucleus is not necessary for the expression of
circadian food-anticipatory activity in rats.
AB - Restricted daytime feeding generates food-anticipatory activity (FAA) by
entrainment of a circadian pacemaker separate from the light-entrainable
pacemaker located in the SCN. The dorsomedial hypothalamic nucleus (DMH) has been
proposed as the site of food-entrainable oscillators critical for the expression
of FAA, but another study found no effects of complete DMH ablation on FAA. To
account for these different results, the authors examined methodological factors,
including (1) cage configuration and feeding method and (2) use of social cues.
Intact and DMH-ablated rats were maintained on one 4-h daily meal in the middle
of the light period, using caging and feeding methods matching those of Gooley et
al. (2006). Rats with partial or complete DMH ablation were less nocturnal during
ad lib food access but exhibited normal FAA during restricted feeding, as
quantified by FAA magnitude, ratios, latency to appearance, duration, and
precision. To evaluate the use of social cues, intact rats naive to restricted
feeding schedules were food deprived for 72 h on 4 tests. Daytime activity
increased during food deprivation, but the magnitude and waveform of this
activity was not influenced by the presence of food-entrained rats exhibiting
robust FAA in adjacent cages. Thus, hungry intact rats do not use social cues to
anticipate a daily mealtime, suggesting that DMH-ablated rats do not anticipate
meals by reacting to sounds from food-entrained intact rats in adjacent cabinets.
These results confirm our previous finding that the DMH is not critical for
normal expression of FAA in rats, and this observation is extended to food
restriction methodologies used by other labs. The methodological differences that
do underlie discrepant results remain unresolved, as does the location of food
entrainable oscillators, input pathways, and output pathways critical for FAA.
PMID- 18057322
TI - Is food-directed behavior an appropriate measure of circadian entrainment to
restricted daytime feeding?
PMID- 18057323
TI - Food entrainment: methodological issues.
PMID- 18057324
TI - Cis-combination of the classic per(S) and per(L) mutations results in arrhythmic
Drosophila with ectopic accumulation of hyperphosphorylated PERIOD protein.
AB - The 1st circadian "clock" gene identified was the X-linked period (per) gene in
Drosophila melanogaster. In the pioneering initial report, Konopka and Benzer
(1971) characterized 3 alleles of per that shortened (per (S); approximately 19
h), lengthened (per (L); approximately 29 h), or abolished (per (0)) circadian
behavioral rhythms. They also showed that transheterozygotes carrying the per (S)
and per (L) mutations exhibit robust behavioral rhythms with nearly normal
periods of approximately 23 h, highlighting the semidominant nature of many clock
mutants. In this study, per (0) flies bearing a doubly mutated per transgene that
carries both the per (S) and per (L) alleles (per (0); per (S/L)) were analyzed
for behavioral and molecular rhythms. Unlike singly mutated versions, the per
(0);per ( S/L) transgenic flies are arrhythmic in constant dark conditions and
exhibit little, if any, entrainment to daily light-dark cycles. In a wildtype per
(+) background, expression of per ( S/L) abolishes behavioral rhythms, indicating
that it functions in a transdominant negative fashion. Biochemical analysis of
head extracts revealed that only hyperphosphorylated isoforms of the PERS/L
protein are detected throughout a daily cycle, and the levels remain constant.
Intriguingly, little if any PERS/L is observed in key pacemaker neurons that
control daily activity rhythms, consistent with the notion that
hyperphosphorylated isoforms of PER are unstable. Nonetheless, PERS/L is detected
in ectopic cells in the brain, in which it exhibits an unusual localization,
mainly staining the periphery of the nucleus. These results suggest that
posttranslational mechanisms play a key role in limiting the accumulation of PER
to specific cells. On a broader scope, our results indicate that the semidominant
effects of period-altering alleles observed in trans are not necessarily
preserved in the cis-configuration and that novel phenotypes can emerge.
PMID- 18057325
TI - An antennal circadian clock and circadian rhythms in peripheral pheromone
reception in the moth Spodoptera littoralis.
AB - Circadian rhythms are observed in mating behaviors in moths: females emit sex
pheromones and males are attracted by these pheromones in rhythmic fashions. In
the moth Spodoptera littoralis, we demonstrated the occurrence of a circadian
oscillator in the antenna, the peripheral olfactory organ. We identified
different clock genes, period (per), cryptochrome1 (cry1) and cryptochrome2
(cry2), in this organ. Using quantitative real-time PCR (qPCR), we found that
their corresponding transcripts cycled circadianly in the antenna as well as in
the brain. Electroantennogram (EAG) recordings over 24 h demonstrated for the
first time a circadian rhythm in antennal responses of a moth to sex pheromone.
qPCR showed that out of one pheromone-binding protein (PBP), one olfactory
receptor (OR), and one odorant-degrading enzyme (ODE), all putatively involved in
the pheromone reception, only the ODE transcript presented a circadian rhythm
that may be related to rhythms in olfactory signal resolution. Peripheral or
central circadian clock control of olfaction is then discussed in light of recent
data.
PMID- 18057326
TI - Interactions between dopamine and melatonin organize circadian rhythmicity in the
retina of the green iguana.
AB - Circadian physiology in the vertebrate retina is regulated by several
neurotransmitters. In the lateral eyes of the green iguana the circadian rhythm
of melatonin content peaks during the night while the rhythm of dopamine peaks
during the day. In the present work, the authors explore the interaction of these
2 neurotransmitters during the circadian cycle. They depleted retinal dopamine
with intravitreal injections of 6-hydroxydopamine (6-OHDA) and measured ocular
melatonin content in vivo throughout 1 circadian cycle. The circadian rhythm of
ocular melatonin not only persisted but increased 10-fold in amplitude. This
increase was substantially reduced by the intraocular administration of dopamine.
6-OHDA-treated retinas, unlike those from untreated animals, did not express a
circadian rhythm of melatonin synthesis in vitro. To deplete retinal melatonin,
the authors pinealectomized iguanas and blocked retinal melatonin synthesis by
depleting serotonin with intraocular injections of 5,6-dihydroxytryptamine. In
animals so treated, they found that the circadian rhythm of retinal dopamine
content was abolished, the levels of dopamine were lowered, and the levels of
dopamine metabolites were greatly increased. The data suggest that in iguanas,
the amplitude of the circadian rhythm of melatonin synthesis in the eye is
suppressed by dopamine while the rhythm of dopamine depends, at least in part, on
the presence of melatonin.
PMID- 18057327
TI - Non-photic modulation of phase shifts to long light pulses.
AB - Circadian rhythms can be reset by both photic and non-photic stimuli. Recent
studies have used long light exposure to produce photic phase shifts or to
enhance non-photic phase shifts. The presence or absence of light can also
influence the expression of locomotor rhythms through masking; light during the
night attenuates locomotor activity, while darkness during the day induces
locomotor activity in nocturnal animals. Given this dual role of light, the
current study was designed to examine the relative contributions of photic and
non-photic components present in a long light pulse paradigm. Mice entrained to a
light/dark cycle were exposed to light pulses of various durations (0, 3, 6, 9,
or 12 h) starting at the time of lights-off. After the light exposure, animals
were placed in DD and were either left undisturbed in their home cages or had
their wheels locked for the remainder of the subjective night and subsequent
subjective day. Light treatments of 6, 9, and 12 h produced large phase delays.
These treatments were associated with decreased activity during the nocturnal
light and increased activity during the initial hours of darkness following light
exposure. When the wheels were locked to prevent high-amplitude activity, the
resulting phase delays to the light were significantly attenuated, suggesting
that the activity following the light exposure may have contributed to the
overall phase shift. In a second experiment, telemetry probes were used to assess
what effect permanently locking the wheels had on the phase shift to the long
light pulses. These animals had phase shifts fully as large as animals without
any form of wheel lock, suggesting that while non-photic events can modulate
photic phase shifts, they do not play a role in the full phase-shift response
observed in animals exposed to long light pulses. This paradigm will facilitate
investigations into non-photic responses of the mouse circadian system.
PMID- 18057328
TI - Exercise distributed across day and night does not alter circadian period in
humans.
AB - In rodents, increased activity due to running-wheel access is associated with a
change in observed circadian period. In humans, exposure to exercise has failed
to demonstrate similar effects on period. Methodological issues with prior
studies such as light exposure during exercise, length of study, and method of
measuring period confounded those evaluations of the effect of exercise on period
in humans. In the present experiment, the authors examined the effect of exercise
on period in 8 subjects using a 44-day within-subjects inpatient study. They used
a 20-h forced desynchrony protocol, in which subjects were exposed to exercise
across circadian phases under dim light conditions. Exercise consisted of three
45-min sessions per wake period on an ergometer. Target exercise intensity was
~65% of maximal heart rate. Intrinsic circadian period was measured using both
core body temperature and hourly plasma melatonin samples. Consistent with
previous reports, the authors find no effect of exercise on endogenous circadian
period as measured by either core body temperature or melatonin. Exercise
distributed across biological day and night does not appear to affect circadian
period.
PMID- 18057329
TI - Computational analysis of mammalian cell division gated by a circadian clock:
quantized cell cycles and cell size control.
AB - Cell cycle and circadian rhythms are conserved from cyanobacteria to humans with
robust cyclic features. Recently, molecular links between these two cyclic
processes have been discovered. Core clock transcription factors, Bmal1 and Clock
(Clk), directly regulate Wee1 kinase, which inhibits entry into the mitosis. We
investigate the effect of this connection on the timing of mammalian cell cycle
processes with computational modeling tools. We connect a minimal model of
circadian rhythms, which consists of transcription-translation feedback loops,
with a modified mammalian cell cycle model from Novak and Tyson (2004). As we
vary the mass doubling time (MDT) of the cell cycle, stochastic simulations
reveal quantized cell cycles when the activity of Wee1 is influenced by clock
components. The quantized cell cycles disappear in the absence of coupling or
when the strength of this link is reduced. More intriguingly, our simulations
indicate that the circadian clock triggers critical size control in the mammalian
cell cycle. A periodic brake on the cell cycle progress via Wee1 enforces size
control when the MDT is quite different from the circadian period. No size
control is observed in the absence of coupling. The issue of size control in the
mammalian system is debatable, whereas it is well established in yeast. It is
possible that the size control is more readily observed in cell lines that
contain circadian rhythms, since not all cell types have a circadian clock. This
would be analogous to an ultradian clock intertwined with quantized cell cycles
(and possibly cell size control) in yeast. We present the first coupled model
between the mammalian cell cycle and circadian rhythms that reveals quantized
cell cycles and cell size control influenced by the clock.
PMID- 18057330
TI - Green matters! Growing vegetation stimulates breeding under short-day conditions
in wild canaries (Serinus canaria).
PMID- 18057331
TI - Localization and diurnal expression of melanopsin, vertebrate ancient opsin, and
pituitary adenylate cyclase-activating peptide mRNA in a teleost retina.
PMID- 18057332
TI - Images in clinical medicine. Reversal of laryngeal paresis.
PMID- 18057333
TI - Over the counter but no longer under the radar--pediatric cough and cold
medications.
PMID- 18057334
TI - Childhood obesity--the shape of things to come.
PMID- 18057335
TI - Childhood body-mass index and the risk of coronary heart disease in adulthood.
AB - BACKGROUND: The worldwide epidemic of childhood obesity is progressing at an
alarming rate. Risk factors for coronary heart disease (CHD) are already
identifiable in overweight children. The severity of the long-term effects of
excess childhood weight on CHD, however, remains unknown. METHODS: We
investigated the association between body-mass index (BMI) in childhood (7
through 13 years of age) and CHD in adulthood (25 years of age or older), with
and without adjustment for birth weight. The subjects were a cohort of 276,835
Danish schoolchildren for whom measurements of height and weight were available.
CHD events were ascertained by linkage to national registers. Cox regression
analyses were performed. RESULTS: In 5,063,622 person-years of follow-up, 10,235
men and 4318 women for whom childhood BMI data were available received a
diagnosis of CHD or died of CHD as adults. The risk of any CHD event, a nonfatal
event, and a fatal event among adults was positively associated with BMI at 7 to
13 years of age for boys and 10 to 13 years of age for girls. The associations
were linear for each age, and the risk increased across the entire BMI
distribution. Furthermore, the risk increased as the age of the child increased.
Adjustment for birth weight strengthened the results. CONCLUSIONS: Higher BMI
during childhood is associated with an increased risk of CHD in adulthood. The
associations are stronger in boys than in girls and increase with the age of the
child in both sexes. Our findings suggest that as children are becoming heavier
worldwide, greater numbers of them are at risk of having CHD in adulthood.
PMID- 18057336
TI - Reduced exposure to PM10 and attenuated age-related decline in lung function.
AB - BACKGROUND: Air pollution has been associated with impaired health, including
reduced lung function in adults. Moving to cleaner areas has been shown to
attenuate adverse effects of air pollution on lung function in children but not
in adults. METHODS: We conducted a prospective study of 9651 adults (18 to 60
years of age) randomly selected from population registries in 1990 and assessed
in 1991, with 8047 participants reassessed in 2002. There was complete
information on lung volumes and flows (e.g., forced vital capacity [FVC], forced
expiratory volume in 1 second [FEV1], FEV1 as a percentage of FVC, and forced
expiratory flow between 25 and 75% of the FVC [FEF25-75]), smoking habits, and
spatially resolved concentrations of particulate matter that was less than 10
microm in aerodynamic diameter (PM10) from a validated dispersion model assigned
to residential addresses for 4742 participants at both the 1991 and the 2002
assessments and in the intervening years. RESULTS: Overall exposure to individual
home outdoor PM10 declined over the 11-year follow-up period (median, -5.3 mug
per cubic meter; interquartile range, -7.5 to -4.2). In mixed-model regression
analyses, with adjustment for confounders, PM10 concentrations at baseline, and
clustering within areas, there were significant negative associations between the
decrease in PM10 and the rate of decline in FEV1 (P=0.045), FEV1 as a percentage
of FVC (P=0.02), and FEF25-75 (P=0.001). The net effect of a decline of 10 microg
of PM10 per cubic meter over an 11-year period was to reduce the annual rate of
decline in FEV1 by 9% and of FEF25-75 by 16%. Cumulative exposure in the interval
between the two examinations showed similar associations. CONCLUSIONS: Decreasing
exposure to airborne particulates appears to attenuate the decline in lung
function related to exposure to PM10. The effects are greater in tests reflecting
small-airway function.
PMID- 18057337
TI - Respiratory effects of exposure to diesel traffic in persons with asthma.
AB - BACKGROUND: Air pollution from road traffic is a serious health hazard, and
people with preexisting respiratory disease may be at increased risk. We
investigated the effects of short-term exposure to diesel traffic in people with
asthma in an urban, roadside environment. METHODS: We recruited 60 adults with
either mild or moderate asthma to participate in a randomized, crossover study.
Each participant walked for 2 hours along a London street (Oxford Street) and, on
a separate occasion, through a nearby park (Hyde Park). We performed detailed
real-time exposure, physiological, and immunologic measurements. RESULTS:
Participants had significantly higher exposures to fine particles (<2.5 microm in
aerodynamic diameter), ultrafine particles, elemental carbon, and nitrogen
dioxide on Oxford Street than in Hyde Park. Walking for 2 hours on Oxford Street
induced asymptomatic but consistent reductions in the forced expiratory volume in
1 second (FEV1) (up to 6.1%) and forced vital capacity (FVC) (up to 5.4%) that
were significantly larger than the reductions in FEV1 and FVC after exposure in
Hyde Park (P=0.04 and P=0.01, respectively, for the overall effect of exposure,
and P<0.005 at some time points). The effects were greater in subjects with
moderate asthma than in those with mild asthma. These changes were accompanied by
increases in biomarkers of neutrophilic inflammation (sputum myeloperoxidase,
4.24 ng per milliliter after exposure in Hyde Park vs. 24.5 ng per milliliter
after exposure on Oxford Street; P=0.05) and airway acidification (maximum
decrease in pH, 0.04% after exposure in Hyde Park and 1.9% after exposure on
Oxford Street; P=0.003). The changes were associated most consistently with
exposures to ultrafine particles and elemental carbon. CONCLUSIONS: Our
observations serve as a demonstration and explanation of the epidemiologic
evidence that associates the degree of traffic exposure with lung function in
asthma.
PMID- 18057338
TI - Metabolic effects of a growth hormone-releasing factor in patients with HIV.
AB - BACKGROUND: Visceral adipose tissue accumulates during antiretroviral therapy in
many patients who are infected with the human immunodeficiency virus (HIV); this
process is associated with an increased cardiovascular risk. We assessed the use
of a growth hormone-releasing factor analogue, tesamorelin, to decrease visceral
adiposity. METHODS: We randomly assigned 412 patients with HIV (86% of whom were
men) who had an accumulation of abdominal fat to receive a daily subcutaneous
injection of either 2 mg of tesamorelin or placebo for 26 weeks. The primary end
point was the percent change from baseline in visceral adipose tissue as shown on
computed tomography. Secondary end points included triglyceride levels, the ratio
of total cholesterol to high-density lipoprotein (HDL) cholesterol, the level of
insulin-like growth factor I (IGF-I), and self-assessed body image. Glycemic
measures included glucose and insulin levels. RESULTS: The measure of visceral
adipose tissue decreased by 15.2% in the tesamorelin group and increased by 5.0%
in the placebo group; the levels of triglycerides decreased by 50 mg per
deciliter and increased by 9 mg per deciliter, respectively, and the ratio of
total cholesterol to HDL cholesterol decreased by 0.31 and increased by 0.21,
respectively (P<0.001 for all comparisons). Levels of total cholesterol and HDL
cholesterol also improved significantly in the tesamorelin group. Levels of IGF-I
increased by 81.0% in the tesamorelin group and decreased by 5.0% in the placebo
group (P<0.001). Adverse events did not differ significantly between the two
study groups, but more patients in the tesamorelin group withdrew from the study
because of an adverse event. No significant differences were observed in glycemic
measures. CONCLUSIONS: Daily tesamorelin for 26 weeks decreased visceral fat and
improved lipid profiles, effects that might be useful in HIV-infected patients
who have treatment-associated central fat accumulation. (ClinicalTrials.gov
number, NCT00123253 [ClinicalTrials.gov] .).
PMID- 18057339
TI - Adolescent overweight and future adult coronary heart disease.
AB - BACKGROUND: The effect of adolescent overweight on future adult coronary heart
disease (CHD) is not known. METHODS: We estimated the prevalence of obese 35-year
olds in 2020 on the basis of adolescent overweight in 2000 and historical trends
regarding overweight adolescents who become obese adults. We then used the CHD
Policy Model, a state-transition computer simulation of U.S. residents who are 35
years of age or older, to project the annual excess incidence and prevalence of
CHD, the total number of excess CHD events, and excess deaths from both CHD and
other causes attributable to obesity from 2020 to 2035. We also modeled the
effect of treating obesity-related increases in blood pressure and dyslipidemia.
RESULTS: Adolescent overweight is projected to increase the prevalence of obese
35-year-olds in 2020 to a range of 30 to 37% in men and 34 to 44% in women. As a
consequence of this increased obesity, an increase in the incidence of CHD and in
the total number of CHD events and deaths is projected to occur in young
adulthood. The increase is projected to continue in both absolute and relative
terms as the population reaches middle age. By 2035, it is estimated that the
prevalence of CHD will increase by a range of 5 to 16%, with more than 100,000
excess cases of CHD attributable to the increased obesity. Aggressive treatment
with currently available therapies to reverse modifiable obesity-related risk
factors would reduce, but not eliminate, the projected increase in the number of
CHD events. CONCLUSIONS: Although projections 25 or more years into the future
are subject to innumerable uncertainties, extrapolation from current data
suggests that adolescent overweight will increase rates of CHD among future young
and middle-aged adults, resulting in substantial morbidity and mortality.
PMID- 18057340
TI - Cochlear implants for children with severe-to-profound hearing loss.
PMID- 18057341
TI - Images in clinical medicine. Lingua villosa nigra.
PMID- 18057342
TI - Clinical problem-solving. The leading diagnosis--a 23-year-old black woman
presented to the emergency department with diffuse, colicky abdominal pain of 1
hour's duration.
PMID- 18057343
TI - Health effects of airborne particulate matter.
PMID- 18057344
TI - Manipulation of the growth hormone axis in patients with HIV infection.
PMID- 18057345
TI - Molecules that mediate mood.
PMID- 18057346
TI - Dronedarone in atrial fibrillation.
PMID- 18057347
TI - Shattuck Lecture: improving American health.
PMID- 18057348
TI - Control of neglected tropical diseases.
PMID- 18057349
TI - Myocardial reperfusion injury.
PMID- 18057350
TI - Anabolic therapies for osteoporosis.
PMID- 18057351
TI - Growth of a meningioma in a transsexual patient after estrogen-progestin therapy.
PMID- 18057352
TI - Inhibition of fracture healing.
AB - This paper reviews the current literature concerning the main clinical factors
which can impair the healing of fractures and makes recommendations on avoiding
or minimising these in order to optimise the outcome for patients. The clinical
implications are described.
PMID- 18057353
TI - Total hip replacement in renal transplant patients.
AB - Avascular necrosis of the femoral head creates considerable morbidity in
successful renal transplant recipients who are generally young and expect active
lifestyles. Total hip replacement is considered the treatment of choice in these
patients, but surgeons may be wary because of a supposed increase in the risk of
infection and other complications. A review of the literature reveals that
cemented hip arthroplasty provides good to excellent functional outcomes for
renal transplant patients. Most authors have found that the risk of infection is
not increased despite chronic immunosuppression, but the rates of general
complications are and should be anticipated and treated. There is a high rate of
early failure in these patients because of their young age and diffuse osteopenia
as a result of secondary hyperparathyroidism related to the underlying renal
disease and chronic steroid use. Recent studies have found that despite decreased
bone stock in these patients, porous-coated prostheses are not contraindicated.
PMID- 18057354
TI - Key factors for a successful National Arthroplasty Register.
AB - Since the introduction of the first National Arthroplasty Register in Sweden in
1975, many other countries have tried to adopt the successful Scandinavian
system. However, not all have overcome the political and practical difficulties
of establishing a working register. We have surveyed the current registries to
establish the key factors required for an effective database. We have received
detailed information from 15 arthroplasty registers worldwide. The legal
conditions under which they operate together with the methods of collection and
handling of the data differ widely, but the fulfilment of certain criteria is
necessary achieve a high degree of completeness of the data to ensure the
provision of statistically relevant information.
PMID- 18057355
TI - Medium- and long-term performance of 11,516 uncemented primary femoral stems from
the Norwegian arthroplasty register.
AB - Primary uncemented femoral stems reported to the Norwegian arthroplasty register
between 1987 and 2005 were included in this prospective observational study.
There were 11 516 hips (9679 patients) and 14 different designs of stem. Kaplan
Meier survival probabilities and Cox regression were used to analyse the data.
With aseptic loosening as the end-point, all currently used designs performed
excellently with survival of 96% to 100% at ten years. With the end-point as stem
revision for any cause, the long-term results of the different designs varied
from poor to excellent, with survival at 15 years ranging between 29% and 97%.
Follow-up for longer than seven years was needed to identify some of the poorly
performing designs. There were differences between the stems; the Corail, used in
5456 hips, was the most frequently used stem with a survival of 97% at 15 years.
Male gender was associated with an increased risk of revision of x 1.3 (95%
confidence interval 1.05 to 1.52), but age and diagnosis had no influence on the
results. Overall, modern uncemented femoral stems performed well. Moderate
differences in survival between well-performing stems should be interpreted with
caution since the differences may be caused by factors other than the stem
itself.
PMID- 18057356
TI - The use of a posterior lip augmentation device for a revision of recurrent
dislocation after primary cemented Charnley/Charnley Elite total hip replacement:
results at a mean follow-up of six years and nine months.
AB - Between April 1992 and July 2005, 310 posterior lip augmentation devices were
used for the treatment of recurrent dislocation of the hip in 307 patients who
had received primary total hip replacements (THRs) using Charnley/Charnley Elite
components with a cemented acetabulum. The mean number of dislocations before
stabilisation with the device was five (1 to 16) with a mean time to this
intervention from the first dislocation of 3.8 years (0 days to 22.5 years). The
mean age of the patients at this reconstruction was 75.4 years (39 to 96). A
retrospective clinical and radiological review was carried out at a mean follow
up of six years and nine months (4.4 months to 13 years and 7 months). Of the 307
patients, 53 had died at the time of the latest review, with a functioning THR
and with the posterior lip augmentation device in situ. There were four revisions
(1.3%), one for pain, two for deep infection and one for loosening of the
acetabular component. Radiolucent lines around the acetabular component increased
in only six cases after insertion of the device which was successful in
eliminating instability in 302 patients, with only five further dislocations
(1.6%) occurring after its insertion.
PMID- 18057357
TI - Three-dimensional custom-designed cementless femoral stem for osteoarthritis
secondary to congenital dislocation of the hip.
AB - A clinical and radiological study was conducted on 97 total hip replacements
performed for congenital hip dislocation in 79 patients between 1989 and 1998
using a three-dimensional custom-made cementless stem. The mean age at operation
was 48 years (17 to 72) and the mean follow-up was for 123 months (83 to 182).
According to the Crowe classification, there were 37 class I, 28 class II, 13
class III and 19 class IV hips. The mean leg lengthening was 25 mm (5 to 58), the
mean pre-operative femoral anteversion was 38.6 degrees (2 degrees to 86 degrees
) and the mean correction in the prosthetic neck was -23.6 degrees (-71 degrees
to 13 degrees ). The mean Harris hip score improved from 58 (15 to 84) to 93 (40
to 100) points. A revision was required in six hips (6.2%). The overall survival
rate was 89.5% (95% confidence interval 89.2 to 89.8) at 13 years when two hips
were at risk. This custom-made cementless femoral component, which can be
accommodated in the abnormal proximal femur and will correct the anteversion and
frontal offset, provided good results without recourse to proximal femoral
corrective osteotomy.
PMID- 18057358
TI - Radiological evidence of femoroacetabular impingement in mild slipped capital
femoral epiphysis: a mean follow-up of 14.4 years after pinning in situ.
AB - Conventional treatment of mild slipped capital femoral epiphysis consists of
fixation in situ with wires or screws. Recent contributions to the literature
suggest that even a mild slip may lead to early damage of the acetabular labrum
and adjacent cartilage by abutment of a prominent femoral metaphysis. It has been
suggested that the appropriate treatment in mild slipped capital femoral
epiphysis should not only prevent further slipping of the epiphysis, but also
address potential femoroacetabular impingement by restoring the anatomy of the
proximal femur. Between October 1984 and December 1995 we treated 16 patients for
unilateral mild slipped capital femoral epiphysis by fixation in situ with
Kirschner wires. In this study we have reviewed these patients for clinical and
radiological evidence of femoroacetabular impingement. There was little clinical
indication of impingement but radiological evaluation assessing the femoral head
neck ratio and measuring the Notzli alpha angle on the anteroposterior and cross
table radiographs showed significant alterations in the proximal femur. None of
the affected hips had a normal head-neck ratio and the mean alpha angle was 86
degrees (55 degrees to 99 degrees ) and 55 degrees (40 degrees to 94 degrees ) on
the anteroposterior and lateral cross-table radiographs, respectively. While our
clinical data favours conventional treatment, our radiological findings are in
support of restoring the anatomy of the proximal femur to avoid or delay the
development of femoroacetabular impingement following mild slipped capital
femoral epiphysis.
PMID- 18057359
TI - The influence of the presence and severity of pre-existing patellofemoral
degenerative changes on the outcome of the Oxford medial unicompartmental knee
replacement.
AB - Patellofemoral joint degeneration is often considered a contraindication to
medial unicompartmental knee replacement. We examined the validity of this
preconception using information gathered prospectively on the intra-operative
status of the patellofemoral joint in 824 knees in 793 consecutive patients who
underwent Oxford unicondylar knee replacement for anteromedial osteoarthritis.
All operations were performed between January 1998 and September 2005. A five
point grading system classified degeneration of the patellofemoral joint from
none to full-thickness cartilage loss. A subclassification of the presence or
absence of any full-thickness cartilage loss was subsequently performed to test
selected hypotheses. Outcome was evaluated independently by physiotherapists
using the Oxford and the American Knee Society Scores with a minimum follow-up of
one year. Full-thickness cartilage loss on the trochlear surface was observed in
100 of 785 knees (13%), on the medial facet of the patella in 69 of 782 knees
(9%) and on the lateral facet in 29 of 784 knees (4%). Full-thickness cartilage
loss at any location was seen in 128 knees (16%) and did not produce a
significantly worse outcome than those with a normal or near-normal joint
surface. The severity of the degeneration at any of the intra-articular locations
also had no influence on outcome. We concluded that, provided there is not bone
loss and grooving of the lateral facet, damage to the articular cartilage of the
patellofemoral joint to the extent of full-thickness cartilage loss is not a
contraindication to the Oxford mobile-bearing unicompartmental knee replacement.
PMID- 18057360
TI - Pre-operative clinical and radiological assessment of the patellofemoral joint in
unicompartmental knee replacement and its influence on outcome.
AB - Anterior knee pain and/or radiological evidence of degeneration of the
patellofemoral joint are considered to be contraindications to unicompartmental
knee replacement. The aim of this study was to determine whether this is the
case. Between January 2000 and September 2003, in 100 knees (91 patients) in
which Oxford unicompartmental knee replacements were undertaken for anteromedial
osteoarthritis, pre-operative anterior knee pain and the radiological status of
the patellofemoral joint were defined using the Altman and Ahlback systems.
Outcome was evaluated at two years with the Oxford knee score and the American
Knee Society score. Pre-operatively 54 knees (54%) had anterior knee pain. The
clinical outcome was independent of the presence or absence of pre-operative
anterior knee pain. Degenerative changes of the patellofemoral joint were seen in
54 patients (54%) on the skyline radiographs, including ten knees (10%) with
joint space obliteration. Patients with medial patellofemoral degeneration had a
similar outcome to those without. For some outcome measures patients with lateral
patellofemoral degeneration had a worse score than those without, but these
patients still had a good outcome, with a mean Oxford knee score of 37.6 (SD
9.5). These results show that neither anterior knee pain nor radiologically
demonstrated medial patellofemoral joint degeneration should be considered a
contraindication to Oxford unicompartmental knee replacement. With lateral
patellofemoral degeneration the situation is less well defined and caution should
be observed.
PMID- 18057361
TI - A randomised controlled trial of cemented versus cementless press-fit condylar
total knee replacement: 15-year survival analysis.
AB - We report the long-term survival of a prospective randomised consecutive series
of 501 primary knee replacements using the press-fit condylar posterior cruciate
ligament-retaining prosthesis. Patients received either cemented (219 patients,
277 implants) or cementless (177 patients, 224 implants) fixation. Altogether, 44
of 501 knees (8.8%) underwent revision surgery (24 cemented vs 20 cementless).
For cemented knees the 15-year survival rate was 80.7% (95% confidence interval
(CI) 71.5 to 87.4) and for cementless knees it was 75.3% (95% CI 63.5 to 84.3).
There was no significant difference between the two groups (cemented vs
cementless; hazard ratio (HR) 0.83, 95% CI 0.45 to 1.52, p = 0.55). When
comparing the covariates there was no significant difference in the rates of
survival between the side of operation (HR 0.58, p = 0.07), age (HR 0.97, p =
0.10) and diagnosis (HR 1.25 p = 0.72). However, there was a significant gender
difference, with males having a higher failure rate with cemented fixation (HR
2.48, p = 0.004). Females had a similar failure rate in both groups. This single
surgeon series, with no loss to follow-up, provides reliable data of the revision
rates of one of the most commonly-used total knee replacements. The survival of
the press-fit condylar total knee replacement remained good at 15 years,
irrespective of the method of fixation.
PMID- 18057362
TI - The management of cubitus varus and valgus using the Ilizarov method.
AB - Cubitus varus and valgus are the most common complications of supracondylar and
lateral condylar fractures. Various combinations of osteotomy and fixation have
been described to correct these deformities but each is associated with
significant complications. In this study, we used distraction osteogenesis and
Ilizarov frame fixation to treat 24 elbows in 23 patients with cubitus varus or
valgus. Their clinical outcome was evaluated using the protocol of Bellemore et
al. The mean time to follow-up was 18.3 months (10 to 36) and the mean time to
frame removal was 13.5 weeks (8 to 20). The mean carrying angle was corrected
from -18.7 degrees (-10 degrees to -30 degrees ) to 6.1 degrees (2 degrees to 10
degrees ) in patients with cubitus varus and from 36.5 degrees (25 degrees to 45
degrees ) to 9.4 degrees (4 degrees to 15 degrees ) in patients with cubitus
valgus. There were 18 excellent and six good results. The Ilizarov method with
gradual distraction is a safe, stable, adjustable and versatile method of
treating deformities at the elbow without the problems of an unsightly scar or
limited range of movement, and gives a good clinical and radiological outcome.
Tardy ulnar nerve palsy should be treated first by anterior transposition.
PMID- 18057363
TI - Fusion of the wrist in rheumatoid arthritis: a clinical and functional evaluation
of two surgical techniques.
AB - We retrospectively compared wrist arthrodesis using the Mannerfelt technique in
19 or an AO-plate in 23 patients with long-standing rheumatoid arthritis. The
mean follow-up was for 76 months. Compared with the Mannerfelt fusion group,
patients in the AO-plate group reported greater satisfaction with their wrist
function (74% vs 37%, p = 0.015). Complications were reported in six wrists in
the AO-plate group and two wrists in the Mannerfelt fusion group (p = 0.258). At
final follow-up, 95% of patients (41) reported either no pain or only mild pain.
There was improvement in flexion of the finger joints in both groups but no
significant improvement in the extension lag in either group. Both methods
relieve pain and improve function. Overall, the activities of daily living scores
and the patients' subjective assessment of outcome tended to be higher in the AO
plate group than in the Mannerfelt fusion group, although the difference was not
statistically significant. Similarly, although more postoperative complications
occurred in the AO-plate group, the difference between the two groups was not
statistically significant.
PMID- 18057364
TI - Rates of fracture in participants and non-participants in the Osteoporosis
Prospective Risk Assessment study.
AB - We invited 1604 randomly selected women, all 75 years of age, to participate in a
study on the risk factors for fracture. The women were divided into three groups
consisting of 1044 (65%) who attended the complete study, 308 (19%) respondents
to the study questionnaire only and 252 (16%) who did not respond. The occurrence
of the life-time fracture was ascertained from radiological records in all groups
and by questionnaires from the attendees and respondents. According to the
radiological records, fewer of the questionnaire respondents (88 of 308, 28.6%)
and non-respondents (68 of 252, 27%) had sustained at least one fracture when
compared with the attendees (435 of 1044, 41.7%; chi-squared test, p < 0.001).
According to the questionnaire, fewer of the respondents (96 of 308, 31.1%) had
sustained at least one previous fracture when compared with the attendees (457 of
1044, 43.7%; chi-squared test, p < 0.001). Any study concerning the risk of
fracture may attract those with experience of a fracture which explains the
higher previous life-time incidence among the attendees. This factor may cause
bias in epidemiological studies.
PMID- 18057365
TI - The long-term results of endoprosthetic replacement of the proximal tibia for
bone tumours.
AB - We have investigated whether improvements in design have altered the outcome for
patients undergoing endoprosthetic replacement of the proximal tibia following
resection of a tumour. Survival of the implant and 'servicing' procedures have
been documented using a prospective database. A total of 194 patients underwent a
proximal tibial replacement, with 95 having a fixed-hinge design and 99 a
rotating-hinge with a hydroxyapatite collar; their median age was 21.5 years (10
to 74). At a mean follow-up of 14.7 years (5 to 29), 115 patients remain alive.
The risk of revision for any reason in the fixed-hinge group was 32% at five
years, 61% at ten years and 75% at 15 and 20 years, and in the rotating-hinge
group 12% at five years, 25% at ten years and 30% at 15 years. Aseptic loosening
was the most common reason for revision in the fixed-hinge knees, fracture of the
implant in the early design of rotating hinges and infection in the current
version. The risk of revision for aseptic loosening in the fixed-hinge knees was
46% at ten years. This was reduced to 3% in the rotating-hinge knee with a
hydroxyapatite collar. The cemented, rotating hinge design currently offers the
best chance of long-term survival of the prosthesis.
PMID- 18057366
TI - Surgical correction of the medial rotation contracture in obstetric brachial
plexus palsy.
AB - The medial rotation contracture caused by weak external rotation secondary to
obstetric brachial plexus injury leads to deformation of the bones of the
shoulder. Scapular hypoplasia, elevation and rotation deformity are accompanied
by progressive dislocation of the humeral head. Between February and August 2005,
44 children underwent a new surgical procedure called the 'triangle tilt'
operation to correct this bony shoulder deformity. Surgical levelling of the
distal acromioclavicular triangle combined with tightening of the posterior
glenohumeral capsule (capsulorrhaphy) improved shoulder function and corrected
the glenohumeral axis in these patients. The posture of the arm at rest was
improved and active external rotation increased by a mean of 53 degrees (0
degrees to 115 degrees ) in the 40 children who were followed up for more than
one year. There was a mean improvement of 4.9 points (1.7 to 8.3) of the Mallet
shoulder function score after surgical correction of the bony deformity.
PMID- 18057367
TI - The 'four-in-one' procedure for habitual dislocation of the patella in children:
early results in patients with severe generalised ligamentous laxity and aplasis
of the trochlear groove.
AB - We describe our experience with the 'four-in-one' procedure for habitual
dislocation of the patella in five children (six knees). All the patients
presented with severe generalised ligamentous laxity and aplasia of the trochlear
groove. All had a lateral release, proximal 'tube' realignment of the patella,
semitendinosus tenodesis and transfer of the patellar tendon. The mean age at the
time of the operation was 6.1 years (4.9 to 6.9), and the patients were followed
up for a mean of 54.5 months (31 to 66). The clinical results were evaluated
using the Kujala score. There has been no recurrence of dislocation. All the
patients have returned to full activities and the parents and children were
satisfied with the clinical results. The mean Kujala score was 95.3 (88 to 98).
Two patients had marginal skin necrosis which healed after debridement and
secondary closure. These early results in this small group have shown that the
'four-in-one' procedure is effective in the treatment of obligatory dislocation
of the patella in children with severe ligamentous laxity and trochlear aplasia.
PMID- 18057368
TI - Percutaneous screw fixation for sacral insufficiency fractures: a review of three
cases.
AB - Sacral insufficiency fractures are traditionally treated with bed rest and
analgesia. The importance of early rehabilitation is generally appreciated; but
pain frequently delays this, resulting in prolonged hospital stay and the risk of
complications related to immobility. We describe three women with sacral
insufficiency fractures who were treated with percutaneous sacroiliac screws and
followed up for a mean of 18 months (12 to 24). They had immediate pain relief,
uncomplicated rehabilitation and uneventful healing.
PMID- 18057369
TI - The effect of metal ions in solution on bacterial growth compared with wear
particles from hip replacements.
AB - The biological significance of cobalt-chromium wear particles from metal-on-metal
hip replacements may be different to the effects of the constituent metal ions in
solution. Bacteria may be able to discriminate between particulate and ionic
forms of these metals because of a transmembrane nickel/cobalt-permease. It is
not known whether wear particles are bacteriocidal. We compared the doubling time
of coagulase negative staphylococcus, Staphylococcus aureus and methicillin
resistant S. aureus when cultured in either wear particles from a metal-on-metal
hip simulator, wear particles from a metal-on-polyethylene hip simulator, metal
ions in solution or a control. Doubling time halved in metal-on-metal (p = 0.003)
and metal-on-polyethylene (p = 0.131) particulate debris compared with the
control. Bacterial nickel/cobalt-transporters allow metal ions but not wear
particles to cross bacterial membranes. This may be useful for testing the
biological characteristics of different wear debris. This experiment also shows
that metal-on-metal hip wear debris is not bacteriocidal.
PMID- 18057370
TI - Ankle joint pressure in pes cavovarus.
AB - A cavovarus foot deformity was simulated in cadaver specimens by inserting
metallic wedges of 15 degrees and 30 degrees dorsally into the first
tarsometatarsal joint. Sensors in the ankle joint recorded static tibiotalar
pressure distribution at physiological load. The peak pressure increased
significantly from neutral alignment to the 30 degrees cavus deformity, and the
centre of force migrated medially. The anterior migration of the centre of force
was significant for both the 15 degrees (repeated measures analysis of variance
(ANOVA), p = 0.021) and the 30 degrees (repeated measures ANOVA, p = 0.007) cavus
deformity. Differences in ligament laxity did not influence the peak pressure.
These findings support the hypothesis that the cavovarus foot deformity causes an
increase in anteromedial ankle joint pressure leading to anteromedial arthrosis
in the long term, even in the absence of lateral hindfoot instability.
PMID- 18057371
TI - The effects of the sympathetic nerves on lumbar radicular pain: a behavioural and
immunohistochemical study.
AB - A rat model of lumbar root constriction with an additional sympathectomy in some
animals was used to assess whether the sympathetic nerves influenced radicular
pain. Behavioural tests were undertaken before and after the operation. On the
28th post-operative day, both dorsal root ganglia and the spinal roots of L4 and
L5 were removed, frozen and sectioned on a cryostat (8 microm to 10 microm).
Immunostaining was then performed with antibodies to tyrosine hydroxylase (TH)
according to the Avidin Biotin Complex method. In order to quantify the presence
of sympathetic nerve fibres, we counted TH-immunoreactive fibres in the dorsal
root ganglia using a light microscope equipped with a micrometer graticule (10 x
10 squares, 500 mm x 500 mm). We counted the squares of the graticule which
contained TH-immunoreactive fibres for each of five randomly-selected sections of
the dorsal root ganglia. The root constriction group showed mechanical allodynia
and thermal hyperalgesia. In this group, TH-immunoreactive fibres were abundant
in the ipsilateral dorsal root ganglia at L5 and L4 compared with the opposite
side. In the sympathectomy group, mechanical hypersensitivity was attenuated
significantly. We consider that the sympathetic nervous system plays an important
role in the generation of radicular pain.
PMID- 18057372
TI - Survival and clinical outcome of SB Charite III disc replacement for back pain.
PMID- 18057373
TI - Survival and clinical outcome of SB Charite III disc replacement for back pain.
PMID- 18057374
TI - Cellular SR-BI and ABCA1-mediated cholesterol efflux are gender-specific in
healthy subjects.
AB - We evaluated the impact of gender differences in both the quantitative and
qualitative features of HDL subspecies on cellular free cholesterol efflux
through the scavenger receptor class B type I (SR-BI), ABCA1, and ABCG1 pathways.
For that purpose, healthy subjects (30 men and 26 women) matched for age, body
mass index, triglyceride, apolipoprotein A-I, and high density lipoprotein
cholesterol (HDL-C) levels were recruited. We observed a significant increase
(+14%; P < 0.03) in the capacity of whole sera from women to mediate cellular
free cholesterol efflux via the SR-BI-dependent pathway compared with sera from
men. Such enhanced efflux capacity resulted from a significant increase in plasma
levels of large cholesteryl ester-rich HDL2 particles (+20%; P < 0.04) as well as
from an enhanced capacity (+14%; P < 0.03) of these particles to mediate cellular
free cholesterol efflux via SR-BI. By contrast, plasma from men displayed an
enhanced free cholesterol efflux capacity (+31%; P < 0.001) via the ABCA1
transporter pathway compared with that from women, which resulted from a 2.4-fold
increase in the plasma level of prebeta particles (P < 0.008). Moreover, in
women, SR-BI-mediated cellular free cholesterol efflux was significantly
correlated with plasma HDL-C (r = 0.72, P < 0.0001), whereas this relationship
was not observed in men. In conclusion, HDL-C level may not represent the
absolute indicator of the efficiency of the initial step of the reverse
cholesterol transport.
PMID- 18057375
TI - Consensus guidelines for the diagnosis and treatment of adults with GH deficiency
II: a statement of the GH Research Society in association with the European
Society for Pediatric Endocrinology, Lawson Wilkins Society, European Society of
Endocrinology, Japan Endocrine Society, and Endocrine Society of Australia.
AB - OBJECTIVE: The GH Research Society held a Consensus Workshop in Sydney,
Australia, 2007 to incorporate the important advances in the management of GH
deficiency (GHD) in adults, which have taken place since the inaugural 1997
Consensus Workshop. METHOD: Two commissioned review papers, previously published
Consensus Statements of the Society and key questions were circulated before the
Workshop, which comprised a rigorous structure of review with breakout discussion
groups. A writing group transcribed the summary group reports for drafting in a
plenary forum on the last day. All participants were sent a polished draft for
additional comments and gave signed approval to the final revision. CONCLUSION:
Testing for GHD should be extended from hypothalamic-pituitary disease and
cranial irradiation to include traumatic brain injury. Testing may indicate
isolated GHD; however, idiopathic isolated GHD occurring de novo in the adult is
not a recognized entity. The insulin tolerance test, combined administration of
GHRH with arginine or growth hormone-releasing peptide, and glucagon are
validated GH stimulation tests in the adult. A low IGF-I is a reliable diagnostic
indicator of GHD in the presence of hypopituitarism, but a normal IGF-I does not
rule out GHD. GH status should be reevaluated in the transition age for continued
treatment to complete somatic development. Interaction of GH with other axes may
influence thyroid, glucocorticoid, and sex hormone requirements. Response should
be assessed clinically by monitoring biochemistry, body composition, and quality
of life. There is no evidence that GH replacement increases the risk of tumor
recurrence or de novo malignancy.
PMID- 18057376
TI - Cut-off limits of the GH response to GHRH plus arginine test and IGF-I levels for
the diagnosis of GH deficiency in late adolescents and young adults.
AB - OBJECTIVE: To define the appropriate diagnostic cut-off limits for the GH
response to GHRH+arginine (ARG) test and IGF-I levels, using receiver operating
characteristics (ROC) curve analysis, in late adolescents and young adults.
DESIGN AND METHODS: We studied 152 patients with childhood-onset organic
hypothalamic-pituitary disease (85 males, age (mean+/-s.e.m.): 19.2+/-0.2 years)
and 201 normal adolescents as controls (96 males, age: 20.7+/-0.2 years).
Patients were divided into three subgroups on the basis of the number of the
other pituitary hormone deficits, excluding GH deficiency (GHD): subgroup A
consisted of 35 panhypopituitary patients (17 males, age: 21.2+/-0.4 years),
subgroup B consisted of 18 patients with only one or with no more than two
pituitary hormone deficits (7 males, age: 20.2+/-0.9 years); and subgroup C
consisted of 99 patients without any known hormonal pituitary deficits (60 males,
age: 18.2+/-0.2 years). Both patients and controls were lean (body mass index,
BMI<25 kg/m(2)). Patients in subgroup A were assumed to be GHD, whereas in
patients belonging to subgroups B and C the presence of GHD had to be verified.
RESULTS: For the GHRH+ARG test, the best pair of highest sensitivity (Se; 100%)
and specificity (Sp; 97%) was found choosing a peak GH of 19.0 microg/l. For IGF
I levels, the best pair of highest Se (96.6%) and Sp (74.6%) was found using a
cut-off point of 160 microg/l (SDS: -1.3). Assuming 19.0 microg/l to be the cut
off point established for GHRH+ARG test, 72.2% of patients in subgroup B and
39.4% in subgroup C were defined as GHD. In patients belonging to group B and C
and with a peak GH response <19 microg/l to the test, IGF-I levels were lower
than 160 microg/l (or less than 1.3 SDS) in 68.7 and 41.6% of patients
respectively predicting severe GHD in 85.7% of panhypopituitary patients
(subgroup A). CONCLUSIONS: In late adolescent and early adulthood patients, a GH
cut-off limit using the GHRH+ARG test lower than 19.0 microg/l is able to
discriminate patients with a suspicion of GHD and does not vary from infancy to
early adulthood.
PMID- 18057377
TI - Administration route-dependent effects of estrogens on IGF-I levels during fixed
GH replacement in women with hypopituitarism.
AB - OBJECTIVE: GH-deficient women using oral estradiol treatment require higher doses
of recombinant human GH (rhGH) to achieve similar IGF-I levels when compared with
men and women on transdermal estradiol replacement. The aim of this study was to
evaluate the effects of oral versus transdermal estrogen administration at
similar plasma estradiol levels on IGF-I, IGF-binding protein-3, and sex hormone
binding globulin (SHBG) concentrations. DESIGN: Parallel crossover study in which
two groups of hypogonadal and GH-deficient women with fixed and stable rhGH
replacement passed through four different estradiol treatment schemes (2 and 4 mg
oral, and 50 and 100 microg transdermal estradiol) with a duration of four cycles
each to ensure a new steady state. Group I (18 patients using oral estradiol
prior to the study) was treated with oral followed by transdermal estradiol and
group II (five patients with transdermal estradiol prior to inclusion) with
transdermal followed by oral estradiol. RESULTS: Estradiol concentrations were
lowest during 50 microg transdermal and highest during 4 mg oral estradiol
treatment. Estradiol concentrations did not differ during 100 microg transdermal
and 2 mg oral treatment. Nevertheless, IGF-I levels were significantly higher
during 100 microg transdermal when compared with 2 mg oral treatment (P=0.005 in
group I and 0.02 in group II), while SHBG levels were significantly lower
(P=0.002 in group I and P=0.004 in group II). SHBG and IGF-I concentrations were
negatively correlated (R=-0.41, P=0.0001). CONCLUSION: During fixed GH
replacement, the route of estrogen administration is a determinant of IGF-I
levels in hypogonadal GH-deficient women.
PMID- 18057379
TI - Limitations of nocturnal salivary cortisol and urine free cortisol in the
diagnosis of mild Cushing's syndrome.
AB - OBJECTIVE: Cushing's syndrome (CS) is difficult to diagnose due to its
nonspecific presentation. Diagnostic tests like 24-h urine free cortisol (UFC)
and the overnight 1 mg dexamethasone suppression test (DST) lack sufficient
sensitivity and specificity. Measurement of nocturnal salivary cortisol (NSC) is
an accurate and reproducible test with a high sensitivity for CS. However, its
performance in mild CS has not been reported. We present 11 cases of CS with
normal or mildly elevated UFC in whom NSC was helpful in making a diagnosis.
DESIGN AND METHODS: All patients had at least one collection of 24-h UFC and NSC
and eight had an overnight 1 mg DST. The number of NSC measurements per patient
was determined by the clinical index of suspicion and the results of initial
testing. Imaging studies included magnetic resonance imaging (MRI) of pituitary
or computer tomography scan of abdomen. RESULTS: Only four out of eleven patients
had elevations in UFC and none were >2 times the upper limit of normal. Seven out
of eight had an abnormal DST. All patients had some elevated NSCs (14-100%). Out
of eleven patients, six had an abnormality in the pituitary gland found by MRI
and two out of eleven had adrenal masses. The remaining three had normal
pituitary MRI but had inferior petrosal sinus (IPS) sampling indicating Cushing's
disease. All patients had appropriate surgery, and histopathology of all except
one was suggestive of either a cortisol-producing adrenal adenoma or an ACTH
secreting pituitary adenoma. CONCLUSION: Neither a normal UFC nor a normal NSC
excludes mild CS. Multiple samples (urine/saliva) and DST are needed to make the
diagnosis of mild CS.
PMID- 18057378
TI - Differential gene expression in ACTH -secreting and non-functioning pituitary
tumors.
AB - OBJECTIVE: Differential expression of several genes between ACTH-secreting
pituitary tumors causing Cushing' disease (CD), silent corticotroph adenoma
(SCA), and non-functioning pituitary tumors (NFT) was investigated. DESIGN AND
METHODS: We used tissue specimens from 35 pituitary tumors (12 CD, 8 SCA, and 15
NFT). Steady-state mRNA levels of the genes related to proopiomelanocortin (POMC)
transcription, synthesis, processing, and secretion, such as neurogenic
differentiation 1 (NeuroD1), T-box 19 (Tpit), corticotropin releasing hormone
receptor (CRHR), vasopressin receptor 1b (V1bR), prohormone convertase (PC) 1/3
and PC2, 11beta-hydroxysteroid dehydrogenase (11beta-HSD) type 1 and type 2,
glucocorticoid receptor alpha (GRalpha), annexin A1, histone deacetylase 2
(HDAC2), and BRM/SWI2-related gene 1, were determined by real-time RT-PCR.
RESULTS AND CONCLUSION: POMC and Tpit mRNA levels were greater in CD and SCA than
those in NFT. NeuroD1 mRNA levels were less in CD than those in NFT, but almost
comparable between SCA and NFT. PC1/3 mRNA levels were greater in CD, but less in
SCA than those in NFT. PC2 mRNA levels in CD and SCA were less than those in NFT.
CRHR, V1bR, and 11beta-HSD2 mRNA levels in CD were greater than those in SCA and
NFT. HDAC2 mRNA levels in CD and SCA were lower than those in NFT. In conclusion,
our study demonstrated that the genes related to transcription, synthesis,
processing, and secretion of POMC are differentially regulated in ACTH-secreting
pituitary tumors causing CD and SCA compared with those in NFT. This may partly
explain the development of clinically active and inactive CD.
PMID- 18057380
TI - Endocrine and metabolic responses to extreme altitude and physical exercise in
climbers.
AB - CONTEXT: Chronic hypoxia induces complex metabolic and endocrine adaptations.
High-altitude (HA) exposure is a physiological model of hypoxia. OBJECTIVE: To
further investigate the endocrine and metabolic responses to extreme HA. METHODS:
We studied nine male elite climbers at sea level and at 5200 m after climbing Mt.
Everest. RESULTS: After 7 weeks at HA, body weight was reduced (P<0.05);
regarding endocrine variables we observed: a) an increase of 2-h mean GH
concentration (P<0.05) as well as of total IGF-I and IGF binding protein-3 levels
(P<0.05 for both); b) a prolactin increase (P<0.05) coupled with testosterone
decrease (P<0.01) and progesterone increase (P<0.05) without any change in
estradiol levels: c) no change in cortisol, ACTH, and dehydroepiandrosterone
sulfate (DHEAS) levels; d) an increase in free thyroxine (P<0.05) and free tri
iodothyronine (T(3)) decrease (P<0.05) but no change in TSH levels; e) a plasma
glucose decrease (P<0.05) without any change in insulin levels; f) an increase in
mean free fatty acid levels (P<0.05); g) despite body weight loss, leptin levels
showed non-significant trend toward decrease, while ghrelin levels did not change
at all. CONCLUSIONS: The results of the present study in a unique experimental
human model of maximal exposure to altitude and physical exercise demonstrate
that extreme HA and strenuous physical exercise are coupled with specific
endocrine adaptations. These include increased activity of the GH/IGF-I axis and
a low T(3) syndrome but no change in ghrelin and leptin that was expected taking
into account body weight decrease. These findings would contribute to better
understanding human endocrine and metabolic physiology in hypoxic conditions.
PMID- 18057381
TI - Insulin gene variable number of tandem repeats is not associated with weight from
fetal life until infancy: the Generation R Study.
AB - OBJECTIVE: The aim of this study was to examine whether the insulin gene variable
number of tandem repeats (INS VNTR) is associated with growth patterns in fetal
life and infancy. DESIGN AND METHODS: This study was embedded in the Generation R
Study, a population-based prospective cohort study from fetal life until young
adulthood. Fetal growth was assessed by ultrasounds in early, mid-, and late
pregnancy. Anthropometry in infancy was assessed at birth and at the ages of 6
weeks, 6 months, and 14 months. DNA for genotyping of the INS VNTR promoter
region was available in 859 children. RESULTS: The genotype distribution was I/I
50.8%, I/III 40.0%, and III/III 9.2%. III/III individuals had a shorter
gestational age (P<0.005 versus I/I) and a lower birth weight (P<0.05 versus
I/I). There were no differences in birth weight after adjusting for gestational
age. Class III homozygotes had a smaller abdominal circumference/head
circumference (HC) ratio (P<0.005 versus I/I) in mid-pregnancy, but not in late
pregnancy. Also, III/III subjects had a relative decrease in HC (SDS) from mid
pregnancy to the age of 14 months (P<0.05 versus I/I). No other differences in
pre- and postnatal growth characteristics and patterns were found. CONCLUSIONS:
Class III homozygotes were born at an earlier gestational age. No association was
found between INS VNTR and birth weight adjusted for gestational age. Our data
suggest that the III/III genotype may be associated with asymmetrical growth in
mid-pregnancy, but not in late pregnancy.
PMID- 18057382
TI - Reference range of serum calcitonin levels in humans: influence of calcitonin
assays, sex, age, and cigarette smoking.
AB - OBJECTIVE: The objective of this study was to re-evaluate the adult C(T)
reference values determined by five different immunoassays and by introducing
criteria for selecting control subjects. DESIGN: A prospective multicenter study.
PATIENTS: Three hundred and seventy-five clinically euthyroid subjects. METHODS:
We used five different C(T) immunoassays. Sera were assayed for the concentration
of TSH, gastrin, procalcitonin, urea, calcium, and anti-thyroperoxidase
antibodies. RESULTS: Screening for the various potential causes of
hypercalcitoninemia led to the exclusion of 23% of the sera. Our reference value
analysis dealt with 287 subjects (142 men and 145 women). The proportion of
samples in which no C(T) was detected varied from 56% (for assay D) to 88% (for
assay C). We observed significant correlations (whose magnitude depended on the
assay used) between C(T) levels and age or body mass index (BMI) (primarily in
men). The distribution of C(T) levels showed that 4.7, 9.8, 2.5, 6.5, and 8.0% of
the values were over 10 pg/ml respectively. These values corresponded essentially
to samples from 11 male subjects (median age: 55 years), most of whom were
smokers. The highest C(T) values were around twice as high in men than women, and
were higher in smokers than non-smokers. Conclusion In clinical practice (and
after having excluded the usual causes of raised C(T) levels), the interpretation
of C(T) assay results must take into account i) the method used; ii) the
patient's gender, age, and weight; and iii) the potential influence of cigarette
smoking.
PMID- 18057383
TI - Analysis of extended human leukocyte antigen haplotype association with Addison's
disease in three populations.
AB - OBJECTIVE: Addison's disease is an organ-specific autoimmune disorder with a
polygenic background. The aim of the study was to identify non-class II human
leukocyte antigen (HLA) susceptibility genes for Addison's disease. DESIGN AND
METHODS: Addison's disease patients from three European populations were analysed
for selected HLA-DR-DQ alleles and for 11 microsatellite markers covering
approximately 4 Mb over the HLA region. Subjects were 69 patients with Addison's
disease from Estonia (24), Finland (14) and Russia (31). Consecutively recruited
healthy newborns from the same geographical regions were used as controls (269
Estonian, 1000 Finnish and 413 Russian). Association measures for HLA-DRB1, DQB1,
DQA1 and 11 microsatellites between D6S273 and D6S2223 were taken. A low
resolution full-house typing was used for HLA class II genes, while
microsatellite markers were studied using fluorescence-based DNA fragment sizing
technology. RESULTS: We confirmed that the HLA-DR3-DQ2 and the DQB1*0302
DRB1*0404 haplotypes confer disease susceptibility. In Russian patients, we also
found an increase of DRB1*0403 allele, combined with DQB1*0305 allele in three
out of six cases (P<0.0001). Analysis of 11 microsatellite markers including STR
MICA confirmed the strong linkage in DR3-DQ2 haplotypes but DRB1*0404-DQB1*0302
haplotypes were diverse. MICA5.1 allele was found in 22 out of 24 Estonian
patients, but results from Finnish and Russian patients did not support its
independent role in disease susceptibility. CONCLUSION: HLA-DRB1*0403 was
identified as a novel susceptibility allele for Addison's disease. Additionally,
we found no evidence of a non-class II HLA disease susceptibility locus; however,
the HLA-DR3-DQ2 haplotype appeared more conserved in patient groups with high DR
DQ2 frequencies.
PMID- 18057384
TI - Plasma ghrelin concentrations in type 1 diabetic patients with autoimmune
atrophic gastritis.
AB - OBJECTIVE: Type 1 diabetes mellitus patients (DM1) show increased prevalence of
pernicious anaemia, the histological substrate of which is type A chronic
atrophic gastritis (CAG) in the stomach corpus, the main source of ghrelin. We
aimed to compare plasma ghrelin concentrations in DM1 patients with type A CAG
(DM1-CAG), DM1 patients without type A CAG and healthy controls and in DM1-CAG
group, to ascertain a possible relationship between ghrelin and biochemical
markers of gastric mucosa atrophy and/or neuroendocrine (NE) cell hyperplasia and
histological gastric biopsy findings. DESIGN AND METHODS: Fifteen DM1-CAG
patients were matched for age, sex and body mass index with 15 DM1 patients
without type A CAG and 15 controls. Pepsinogen I, pepsinogen II, gastrin,
parietal cell antibodies, chromogranin A (CgA) and ghrelin were determined in all
subjects. In DM1-CAG patients, immunohistochemical analysis of gastric biopsies
using antibodies to CgA and ghrelin was performed. RESULTS: Ghrelin
concentrations differed among groups; however, paired comparisons between groups
were not significant. In DM1-CAG, no correlation was found between ghrelin and
gastric body atrophy markers, pepsinogen I and the pepsinogen I/II ratio.
Immunohistochemical studies of DMI-CAG patients showed CgA staining in 12 and
ghrelin staining in 6, which was confined to the foci of NE cell hyperplasia.
Those patients who stained positive for ghrelin had higher ghrelin concentrations
when compared with the negative patients. CONCLUSIONS: Ghrelin concentrations are
not decreased in DM1-CAG patients; thus, our data suggest that ghrelin is not a
good marker of gastric mucosa atrophy in these patients, given the possible
ghrelin synthesis in hyperplastic gastric endocrine/enterochromaffin-like cells.
PMID- 18057385
TI - Food-dependent Cushing's syndrome: from molecular characterization to
therapeutical results.
AB - OBJECTIVE: Cortisol secretion in ACTH-independent macronodular adrenal
hyperplasia (AIMAH) may be regulated by the aberrant expression of several G
protein-coupled receptors. Bilateral adrenalectomy is the treatment of choice in
most cases. We searched for aberrant receptor expression in a patient with AIMAH
and evaluated the response to medical and surgical treatment. PATIENT: A 35-year
old woman with amenorrhea, hirsutism, and hypertension presented ACTH-independent
cortisol secretion with high androgen levels. Abdominal computed tomography
showed bilateral adrenal macronodules (4.5 cm right and 1.0 cm left).
Scintigraphy with I(131)-norcholesterol showed bilateral uptake, prevalent on the
right side. Several in vivo stimulation tests were assessed before and after
treatment and in vitro studies were performed after unilateral adrenalectomy.
RESULTS: Plasma cortisol increased after a standard meal test (60%) and oral
glucose loading (147%), and the response was blunted by pretreatment with 100
microg s.c. octreotide. The therapy with long-acting release octreotide
(octreotide-LAR) showed an improvement in urinary free cortisol (UFC) levels.
Unilateral adrenalectomy was performed and histopathology revealed macronodular
AIMAH. Cortisol and androgens increased after perifusion of tumoral tissue with
glucose-dependent insulinotropic polypeptide (GIP), and GIP and LH-receptor
overexpression was found in both the adrenal nodules and the adjacent cortex.
After surgery, UFC and androgen levels normalized followed by clinical
improvement. CONCLUSIONS: GIP and LH-receptor expression may coexist in AIMAH,
influencing the functional and morphological phenotype. Aberrant hormone receptor
expression enables specific pharmacological treatment, but long-term studies are
needed to evaluate its real efficacy. Unilateral adrenalectomy may be a safe
initial option, particularly for asymmetric bilateral adrenal enlargements.
PMID- 18057386
TI - A 'smart' type of Cushing's syndrome.
AB - Cushing's syndrome results from lengthy and inappropriate exposure to excessive
concentrations of either endogenous or exogenous glucocorticoids. This case
report describes a patient with a novel type of Cushing's syndrome due to the use
of party drugs. A 35-year-old woman had gained 8 kg body weight in 5 months and
complained of anxiety. She showed a Cushing-like appearance and mild hypertension
(blood pressure, BP 150/95 mmHg). She reported daily use of increasing doses of
gamma-hydroxybutyric acid (GHB), a popular party drug. ACTH plasma levels were in
the upper normal range (41 ng/l), with normal plasma cortisol (0.36 micromol/l).
She showed an abnormal overnight 1 mg dexamethasone suppression test (cortisol
0.38 micromol/l). The urinary excretion of free cortisol in 24 h was also
increased (0.47 micromol/24 h). CT scanning of the abdomen showed normal
adrenals. After stopping GHB intake she lost 7 kg body weight and her BP
normalized (BP 135/80 mmHg). GHB is a popular party drug in the Netherlands, but
it is also used as a narcotic and for the treatment of narcolepsy. We hypothesize
that GHB may bind to the pituitary gland gamma-aminobutyric acid-B receptors
leading to ACTH overproduction.
PMID- 18057387
TI - Novel BSCL2 gene mutation E189X in Chinese congenital generalized lipodystrophy
child with early onset diabetes mellitus.
AB - CONTEXT: Congenital generalized lipodystrophy (CGL) is a rare and heterogeneous
disease of autosomal recessive inheritance. Until now, no genetic findings had
been reported in Chinese patients with CGL. OBJECTIVE: To analyze Berardinelli
Seip congenital lipodystrophy type 2 (BSCL2) and 1-acylglycerol-3-phosphate O
acyltransferase 2 (AGPAT2) gene variation in a Chinese boy with CGL and his
family. DESIGN, SETTING, AND PARTICIPANTS: All exons of BSCL2 and AGPAT2 with
adjacent intron-exon junctions were analyzed using direct sequencing. MAIN
OUTCOME MEASURES: Sequences of each exon and nearby intron of the BSCL2 and
AGPAT2 genes of the family members were compared with the gene bank genomic
sequences. RESULTS: DNA sequence analysis of the entire coding regions and
surrounding uncoding regions disclosed a novel homozygous G-->T mutation at
nucleotide 909 in exon 5 of the BSCL2 gene in the affected child. A heterozygous
state of the G-->T mutation of the BSCL2 gene was also found in other family
members. This mutation predicts the substitution of glutamic acid at codon 189 by
the stop codon (Glu189X or E189X). No variation was found in the AGPAT2 gene.
Conclusion E189X is a novel BSCL2 gene mutation that contributes to CGL formation
in a family of Chinese origin.
PMID- 18057388
TI - Quality of life is decreased in female patients treated for microprolactinoma.
PMID- 18057389
TI - Unawareness of illness in neuropsychiatric disorders: phenomenological certainty
versus etiopathogenic vagueness.
AB - Awareness of illness is a form of self-knowledge concerning information about the
pathological state, its functional consequence, and the way it affects the
patient and his interaction with the environment. Unawareness of illness has
raised much interest for its consequences on compliance with treatment,
prognosis, and the patient's quality of life. This review highlights the great
complexity of this phenomenon both at phenomenological and etiopathogenic levels
in stroke, traumatic brain injury, psychosis, dementias, and mood disorders. In
particular, the clinical expression is characterized by failure to acknowledge
being ill, misattribution of symptoms, and noncompliance with treatment.
Unawareness of illness may also be linked with characteristics that are peculiar
to each individual disturbance, such as symptom duration and cognitive
impairment. Despite a long-lasting interest in the clinical characteristics of
unawareness, only recently has the focus of research investigated pathogenic
mechanisms, with sometimes controversial results. The vast majority of studies
have pointed out a remarkable involvement of the right hemisphere. Specifically,
functional and structural changes of the dorso-lateral prefrontal cortex and some
other frontal areas have often been found to be associated with awareness
deficit, as well as parieto-temporal areas and the thalamus, although to a lesser
extent. These data indicate the present difficulty of localizing a specific
cerebral area involved in unawareness and suggest the existence of possible brain
circuits responsible for awareness. In conclusion, phenomenological
manifestations of poor awareness are well outlined in their complexity, whereas
neuroanatomic and neuropsychological findings are still too vague and sparse and
need further, greater efforts to be clarified.
PMID- 18057390
TI - Effect of posterior cruciate ligament deficiency on in vivo translation and
rotation of the knee during weightbearing flexion.
AB - BACKGROUND: The effect of posterior cruciate ligament (PCL) deficiency on 6
degrees of freedom in vivo knee-joint kinematics is unclear. HYPOTHESIS: In
addition to constraining anterior-posterior translation, the PCL also functions
to constrain the medial-lateral translation and rotation of the knee during
weightbearing flexion of the knee. STUDY DESIGN: Controlled laboratory study.
METHODS: Eight patients with a PCL injury in 1 knee and the other intact were
scanned with magnetic resonance imaging, and 3-dimensional models of the femur
and tibia were created for both knees. Each knee was imaged during quasistatic
weight-bearing flexion (from 0 degrees to 105 degrees ) using a dual-orthogonal
fluoroscopic system. The translation and rotation of the PCL-deficient knee were
compared with the intact contralateral control. RESULTS: Posterior cruciate
ligament deficiency caused an increase in posterior tibial translation beyond 30
degrees of flexion compared with the intact contralateral knees. At 90 degrees of
flexion, PCL deficiency increased posterior tibial translation by 3.5 mm (P <
.05). In the medial-lateral direction, PCL deficiency resulted in a 1.1 mm
increase in lateral tibial translation at 90 degrees of flexion (P < .05). With
regard to rotation, PCL deficiency caused a significantly lower varus rotation
(on average, 0.6 degrees lower) at 90 degrees of flexion. Posterior cruciate
ligament deficiency caused a decreased internal tibial rotation throughout the
range of flexion, but no significant difference was detected. CONCLUSIONS: This
study quantitatively describes the effect of PCL injury on 6 degrees of freedom
kinematics of the knee during quasistatic weightbearing flexion. Using the intact
contralateral side as a control, we found that PCL injuries not only affect
anterior-posterior tibial translation but also medial-lateral translation and
rotation of the knee. CLINICAL RELEVANCE: These data provide baseline knowledge
of the in vivo kinematics of the knee after PCL injury. Surgical reconstruction
of the injured PCL, either using single-bundle or double-bundle technique, should
not only focus on restoration of posterior stability of the knee but also the
medial-lateral stability as well as the rotational stability. These findings may
help to explain the long-term degenerative changes seen in PCL-deficient knees.
PMID- 18057391
TI - Back to the future? Active learning of medical physiology in the 1900s.
AB - In the early 1900s, teachers of medical physiology faced a problem familiar to
those teaching the subject in a contemporary setting: too much information, too
little time, too many students in crowded rooms, and exams that discouraged real
learning. They wanted students to question authority and demand evidence and thus
be better prepared for medicine. Their solution was to bring students into
laboratories and minimize didactic learning as they felt strongly that useful
information could not be obtained merely from books. Thus, they were strong
proponents of what we now call active learning.
PMID- 18057392
TI - From a pump handle to oral rehydration therapy: a model of translational
research.
AB - Few afflictions have attracted as much attention and impacted on as many societal
and biomedical areas as cholera. Dr. John Snow's studies launched the field of
epidemiology, were early applications of medical cartography, and promoted the
use of statistical methods in medicine. The finding that cholera was due to the
ingestion of contaminated water lent to the demise of the prevalent "miasmatic
theory of contagion," set the platform for the "germ theory of disease," and
promoted the growth of public health concerns for water purification and
sanitation. More recent attention to this disease led to the notion of "secretory
diarrhea" and the translation of basic principles to the development of oral
rehydration therapy and its "spin-offs" (Gatorade and Pedilyte).
PMID- 18057394
TI - Guidelines for reporting statistics in journals published by the American
Physiological Society: the sequel.
PMID- 18057395
TI - The need for accurate statistical reporting. A commentary on "Guidelines for
reporting statistics in journals published by the American Physiological Society:
the sequel".
PMID- 18057396
TI - Statistics: not a confidence trick. A commentary on "Guidelines for reporting
statistics in journals published by the American Physiological Society: the
sequel".
PMID- 18057397
TI - How should we achieve high-quality reporting of statistics in scientific
journals? A commentary on "Guidelines for reporting statistics in journals
published by the American Physiological Society".
PMID- 18057398
TI - Sustained efforts should promote statistics literacy in physiology. Commentary on
"Guidelines for reporting statistics in journals published by the American
Physiological Society: the sequel".
PMID- 18057401
TI - Claude Bernard Distinguished Lecture. Becoming a truly helpful teacher:
considerably more challenging, and potentially more fun, than merely doing
business as usual.
AB - Few medical faculty members are adequately prepared for their instructional
responsibilities. Our educational traditions were established before we had
research-based understandings of the teaching-learning process and before brain
research began informing our understandings of how humans achieve lasting
learning. Yet, there are several advantages you may have. If your expertise is at
one of the frontiers of human biology, your teaching can be inherently
fascinating to aspiring health professionals. If your work has implications for
human health, you have another potential basis for engaging future clinicians.
And, thanks to Claude Bernard's influence, you likely are "process oriented," a
necessary mindset for being an effective teacher. There are also challenges you
may face. Your medical students will mostly become clinicians. Unless you can
help them see connections between your offerings and their future work, you may
not capture and sustain their interest. To be effective, teachers, like
clinicians, need to be interactive, make on-the-spot decisions, and be "emotional
literate." If you aren't comfortable with these demands, you may have work to do
toward becoming a truly helpful teacher. Program changes may be needed. Might
your program need to change 1) from being adversarial and controlling to being
supportive and trust based or 2) from mainly dispensing information to mainly
asking and inviting questions? In conclusion, making changes toward becoming a
truly helpful teacher can bring benefits to your students while increasing your
sense of satisfaction and fulfillment as a teacher. If you choose to change, be
gentle with yourself, as you should be when expecting your students to make
important changes.
PMID- 18057402
TI - Using a classic paper by I. E. Lawton and N. B. Schwartz to consider the array of
factors that control luteinizing hormone production.
AB - Two significant benefits derived from reading and discussing classic scientific
papers in undergraduate biology courses are 1) providing students with the
realistic perspective that science is an ongoing process (rather than a set of
inarguable facts) and 2) deepening the students' understanding of physiological
processes. A classic paper that is useful in both of these regards is by I. E.
Lawton and N. B. Schwartz (A circadian rhythm of luteinizing hormone secretion in
ovariectomized rats. Am J Physiol 214: 213-217, 1968). The primary objective of
the study is to determine whether tonic (pulsatile) secretion of luteinizing
hormone (LH) from the pituitary gland exhibits a circadian rhythm. While this
hypothesis seems relatively straightforward, its in vivo investigation
necessitates an awareness of the multitude of factors, in addition to the
circadian clock, that can influence plasma LH levels (and a consideration of how
to control for these factors in the experimental design). Furthermore, discussion
of the historical context in which the study was conducted (i.e., before the
pulsatile nature of LH secretion had been discovered) provides students with the
realistic perspective that science is not a set of facts but rather a systematic
series of attempts by scientists to understand reality (a perspective that is
difficult to convey using a traditional textbook alone). A review of the
historical context in which the study was conducted, and a series of discovery
learning questions are included to facilitate classroom discussions and to help
deepen students' understanding of the complex nature of pituitary hormone
regulation.
PMID- 18057403
TI - Career counseling: 101+ things you can do with a degree in biology.
AB - Biology is the science of life and of how living things work. Our students choose
to major in biology in college because of a fascination with understanding how
living things function, but often they have difficulty in identifying a career
that uses their foundation in biology despite the variety of biology-based
careers available. The purpose of this discussion is to assist biology students
and the career counselors who work with them in identifying satisfying careers
that build upon their interest and foundation in biology. The categories of
career options include research, healthcare, teaching, science writing,
administration/management, government, industry, and miscellaneous careers that
do not fit into the other categories.
PMID- 18057404
TI - Translating symptoms into mechanisms: functional GI disorders.
AB - Functional gastrointestinal disorders are the most common problem in
gastroenterological practice. They are defined by chronic abdominal symptom
complexes that occur in the absence of underlying structural abnormalities. The
pathogenesis of these disorders is heterogeneous and involves behavioral,
infective, and inflammatory components. Common symptoms are abdominal pain,
diarrhea, constipation, and bloating. Mechanisms underlying these symptoms
include alterations in gastrointestinal motility, visceral perception, altered
epithelial function, and disturbances in fermentation activity by gut commensal
bacteria.
PMID- 18057405
TI - Influence of caffeine ingestion on perceived mood states, concentration, and
arousal levels during a 75-min university lecture.
AB - This investigation aimed to assess the effect of a caffeine supplement on
perceived mood state, concentration, and arousal during a 75-min university
lecture. Methods. This randomized, blind, cross-over design investigation ran
over a course of 2 consecutive weeks. During week 1, 10 third-year Human Movement
and Exercise Science students were assigned to either a caffeine- or placebo
supplemented group and were subsequently required to attend a 75-min exercise
rehabilitation lecture. Seven days later, students were assigned to the opposite
supplementation group before attending a second follow-on lecture, equal in
duration to that of week 1. At the conclusion of each lecture, students were
required to complete a mood perception questionnaire to assess the perceived
level of mood state, concentration, and arousal during the lecture. The results
showed that after caffeine consumption, students perceived themselves to be
significantly more awake, clear minded, energetic, alert, and anxious (P < 0.05).
Additionally, students also felt they were better able to concentrate and had a
greater level of arousal than when the placebo was consumed (P < 0.05). In
conclusion, the results of this investigation show that university students
report enhanced perceptual feelings of behavior and mood state when a low dose of
caffeine is consumed 60 min prior to a 75-min academic lecture.
PMID- 18057406
TI - Does gender influence learning style preferences of first-year medical students?
AB - Students have specific learning style preferences, and these preferences may be
different between male and female students. Understanding a student's learning
style preference is an important consideration when designing classroom
instruction. Therefore, we administered the visual, auditory, reading/writing,
kinesthetic (VARK) learning preferences questionnaire to our first-year medical
students; 38.8% (97 of 250 students) of the students returned the completed
questionnaire. Both male (56.1%) and female (56.7%) students preferred multiple
modes of information presentation, and the numbers and types of modality
combinations were not significantly different between genders. Although not
significantly different, the female student population tended to be more diverse
than the male population, encompassing a broader range of sensory modality
combinations within their preference profiles. Instructors need to be cognizant
of these differences and broaden their range of presentation styles accordingly.
PMID- 18057407
TI - Pros and cons of a group webpage design project in a freshman anatomy and
physiology course.
AB - To generate motivation and promote the development of written communication
skills, students in a freshman-level anatomy and physiology course for nonmajors
created group webpages describing historically important diseases. After the
groups had been formed, each individual was assigned specific components of the
disease (e.g., causes or treatments), which were subsequently combined into a
final product. Interviews and questionnaires were used to document students'
previous educational experiences regarding, and attitudes toward, the project.
Students learned more about website design than about anatomy and physiology, but
students preferred the assignment over traditional term papers. Although most
students could find relevant information for this project on the internet, they
were uncritical in judging the accuracy of the information they found.
PMID- 18057408
TI - Practical application of fundamental concepts in exercise physiology.
AB - The collection of primary data in laboratory classes enhances undergraduate
practical and critical thinking skills. The present article describes the use of
a lecture program, running in parallel with a series of linked practical classes,
that emphasizes classical or standard concepts in exercise physiology. The
academic and practical program ran under the title of a particular year II module
named Sports Performance: Physiology and Assessment, and results are presented
over a 3-yr period (2004-2006), based on an undergraduate population of 31 men
and 34 women. The module compared laboratory-based indexes of endurance (e.g.,
ventilatory threshold and exercise economy) and high-intensity exercise (e.g.,
anaerobic power), respectively, with measures of human performance (based on 20-m
shuttle run tests). The specific experimental protocols reinforced the lecture
content to improve student understanding of the physiological and metabolic
responses (and later adaptations) to exercise. In the present study, the
strongest relationship with endurance performance was the treadmill velocity at
maximal aerobic power (r = +0.88, P < 0.01, n = 51); in contrast, the strongest
relationship with high-intensity exercise performance was the mean power output
(in W/kg) measured during a 30-s all-out cycle ergometer sprint (r = +0.80, P <
0.01, n = 48). In class student data analysis improved undergraduate indepth or
critical thinking during seminars and enhanced computer and data presentation
skills. The endurance-based laboratories are particularly useful for examining
the underlying scientific principles that determine aerobic performance but could
equally well be adapted to investigate other topics, e.g., differences in the
exercise response between men and women.
PMID- 18057409
TI - Vertebrate osmoregulation: a student laboratory exercise using teleost fish.
AB - Here, we describe a laboratory experiment as part of an upper-level vertebrate
physiology course for biology majors to investigate the physiological response of
vertebrates to osmoregulatory challenges. The experiment involves measuring
plasma osmolality and Na+-K+-ATPase activity in gill tissue of teleost fish
acclimated to water of differing salinity. We describe results obtained using the
widely available goldfish (Carassius auratus) and a common baitfish, the Gulf
killifish (Fundulus grandis). The procedures described are generally applicable
to other fish species, and they provide an alternative to the experimental use of
humans or other mammalian species to investigate osmoregulation mechanisms. In
addition to reenforcing the conceptual material covered in lecture, this
laboratory exercise trains students in a wide range of laboratory and analytical
skills, such as calculating and performing dilutions, pipetting, tissue sampling
and homogenizing, preparing standard curves, conducting enzymatic assays, and
analyzing and interpreting results. Typical student results are presented and
discussed, as are common experimental and conceptual mistakes made by students.
PMID- 18057410
TI - Fish on Prozac: a simple, noninvasive physiology laboratory investigating the
mechanisms of aggressive behavior in Betta splendens.
AB - The neuromodulator serotonin is an important regulator of aggressive behavior in
vertebrates. Experimentally increasing synaptic levels of serotonin with
fluoxetine, a selective serotonin reuptake inhibitor, has been shown to reliably
decrease the expression of aggressive behavior. Here, we describe a method by
which fluoxetine can be noninvasively administered to male Betta splendens (an
attractive model for the study of aggressive behavior) and describe a simple
laboratory exercise that allows students to experimentally investigate the
physiological mechanisms of aggressive behavior. We demonstrate that relatively
short-term exposure (3 h) of male bettas to as little as 3 microg/ml of
fluoxetine-treated aquarium water is sufficient to reduce the expression of
specific aggressive behaviors. We emphasize the physiological concepts that can
be addressed with this exercise, including the role of the serotonergic system in
regulating aggression, and the interplay of environmental contaminants and
physiology in regulating the expression of behavior. We also highlight important
aspects of experimental design. This exercise can be flexibly altered to
accommodate one or several laboratory periods. It is also low cost, is low impact
to the animals, and requires minimal preparation time for instructors.
PMID- 18057411
TI - Students' conceptions of underlying principles in medical physiology: an
interview study of medical students' understanding in a PBL curriculum.
AB - Medical physiology is known to be a complex area where students develop
significant errors in conceptual understanding. Students' knowledge is often
bound to situational descriptions rather than underlying principles. This study
explores how medical students discern and process underlying principles in
physiology. Indepth interviews, where students elaborated on principles related
to blood pressure and blood pressure regulation, were carried out with 16 medical
students in a problem-based learning curriculum. A qualitative, phenomenographic
approach was used, and interviews were audiotaped, transcribed, qualitatively
analyzed, and categorized. Four categories were outlined. The underlying
principles were conceived as follows: 1) general conditions for body function at
a specified time point, 2) transferable phenomena between organ systems and time
points, 3) conditionally transferable phenomena between organ systems and time
points, and 4) cognitive constructions of limited value in medical physiology.
The results offers insights into students' thinking about underlying principles
in physiology and suggest how understanding can be challenged to stimulate deep
level processing of underlying principles rather than situational descriptions of
physiology. A complex conception of underlying principles includes an ability to
problemize phenomena beyond long causal reasoning chains, which is often rewarded
in traditional examinations and tests. Keywords for problemized processing are as
follows: comparisons, differences, similarities, conditions, context, relevance,
multiple sampling, connections, and dependencies.
PMID- 18057413
TI - How does conjugated bilirubin appear in the bloodstream?
PMID- 18057414
TI - A trend toward improved learning of cardiovascular pathophysiology in medical
students from using a human patient simulator: results of a pilot study.
PMID- 18057415
TI - The ''perfect teacher'' and the story of his ''zero defects'' project.
PMID- 18057416
TI - Scientific principles of education research: Experimental Biology 2007.
PMID- 18057417
TI - Effective use of course management systems to enhance student learning:
Experimental Biology 2007.
AB - Course management systems are software packages that support teaching and
learning by providing web-based tools, services, and resources. Electronic course
management can facilitate course organization, teaching delivery, communication,
collaboration, and assessment. This article reports on a symposium on the use of
course management systems, presented by the Teaching of Physiology Section of the
American Physiological Society, at the Experimental Biology Meeting of 2007.
PMID- 18057418
TI - APS undergraduate brainstorming summit report.
PMID- 18057419
TI - Beijing declaration on medical pathophysiology education.
PMID- 18057420
TI - Conceptual assessment in the biological sciences: a National Science Foundation
sponsored workshop.
AB - Twenty-one biology teachers from a variety of disciplines (genetics, ecology,
physiology, biochemistry, etc.) met at the University of Colorado to begin
discussions about approaches to assessing students' conceptual understanding of
biology. We considered what is meant by a "concept" in biology, what the
important biological concepts might be, and how to go about developing assessment
items about these concepts. We also began the task of creating a community of
biologists interested in facilitating meaningful learning in biology. Input from
the physiology education community is essential in the process of developing
conceptual assessments for physiology.
PMID- 18057422
TI - Vascular structure and function in chronic obstructive pulmonary disease: a
chicken and egg issue?
PMID- 18057423
TI - Of contagion and inherited susceptibility: an epidemiologic tribute to George W.
Comstock.
PMID- 18057424
TI - Notes from the NHLBI director: shaping the future of research--the NHLBI
strategic plan.
PMID- 18057425
TI - Atypical coverage for community-acquired pneumonia.
PMID- 18057426
TI - Proton pump inhibitors are not antacids!
PMID- 18057427
TI - Euthanasia and physician-assisted suicide: ongoing controversies.
PMID- 18057428
TI - The prevalence of cardiovascular risk factors in male patients who have lower
urinary tract symptoms.
AB - OBJECTIVES: To assess the prevalence of cardiovascular risk factors in men
presenting with lower urinary tract symptoms, and their possible correlation with
the severity of such symptoms. DESIGN: Retrospective cohort study. SETTING:
Specialty clinic, Hong Kong. PATIENTS: A total of 617 men presenting with lower
urinary tract symptoms between April 2005 and October 2006. MAIN OUTCOME
MEASURES: Presence of cardiovascular risk factors inferred from the prior and
current medical and social history as well as physical examination and
investigative findings, among patients with International Prostatic Symptom
Scores of different severity. RESULTS: Of 617 patients, 268 (43%) had known
hypertension and 318 (52%) had a history of smoking. According to the World
Health Organization criteria for Asian populations, 396 (64%) patients were
considered to be overweight (body mass index > or =23 kg/m(2)). A total of 178
(29%) patients were either known to have or were diagnosed to have dysglycaemia
during workup. For dyslipidaemia and hyperuricaemia, the corresponding figures
were 331 (54%) and 191 (31%). In total, 264 (43%) patients were newly diagnosed
as having dysglycaemia, dyslipidaemia, or hyperuricaemia. Logistic regression
indicated that only hyperuricaemia and a smoking history were significant
predictors of moderate-to-severe lower urinary tract symptoms (International
Prostatic Symptom Score > or =8), with respective adjusted odds ratios of 2.20
(95% confidence interval, 1.12-4.31; P=0.022) and 2.11 (1.24-3.57, P=0.006).
Patients with moderate-to-severe International Prostatic Symptom Scores had a
statistically higher chance of having at least one cardiovascular risk factor
during assessment (P=0.001). CONCLUSIONS: Cardiovascular risk factors were
prevalent in male patients who had lower urinary tract symptoms; a large portion
of these cardiovascular risk factors were not recognised until their urological
consultations. Patients with moderate-to-severe lower urinary tract symptoms
(International Prostatic Symptom Score > or =8) had statistically higher chances
of having more cardiovascular risk factors.
PMID- 18057429
TI - Spontaneous fractures in nursing home residents.
AB - OBJECTIVES: To evaluate spontaneous long bone fractures occurring in nursing home
residents and to identify what factors put them at risk for fractures. DESIGN:
Retrospective study. SETTING: Department of Orthopaedics and Traumatology of a
pubic hospital in Hong Kong. PATIENTS: A total of 30 nursing home residents who
developed spontaneous long bone fractures between 1994 and 2005 were reviewed.
MAIN OUTCOME MEASURES: Demographic data, mechanism of injury, pattern of
fractures, associated risk factors, complications, outcomes, and post-treatment
status. RESULTS: The mean age of patients was 84 years. Co-morbidities were as
follows: 22 patients were bedridden, 21 required long-term feeding by Ryle's
tube, 19 had a history of cerebrovascular accident and 18 of whom had a long bone
fracture on the side of the hemiplegia, 15 had dementia, and 25 had lower limb
contractures. Closed supracondylar fractures of the femur occurred in 23
patients, 17 of whom presented with limb deformity. In 21 patients, fractures
were treated successfully with hinged braces. In one patient, the fracture
changed from closed to open. In five patients, the fractures were complicated by
sacrum or heel sores, and in one by infected nonunion. In 28 patients, the
fractures eventually healed without further complications. Three formerly
bedridden patients were able to sit after their fractures had been treated.
CONCLUSIONS: Female nursing home residents who require long-term Ryle's tube
feeding, have dementia, hemiplegia, lower limb contractures, osteoporosis, or are
bedridden, are at high risk for spontaneous fractures.
PMID- 18057430
TI - Dosing of proton pump inhibitors in a private hospital in Hong Kong.
AB - OBJECTIVE: To study the prescribing pattern of proton pump inhibitors with regard
to the time of dosing. DESIGN: Retrospective study. SETTING: Private hospital,
Hong Kong. PATIENTS: All patients prescribed three specific proton pump
inhibitors from 1 January to 31 July 2006 were included. MAIN OUTCOME MEASURES:
For all three proton pump inhibitors, the prescribed dosing instructions were
recorded as well as the specialties of the corresponding prescribers. RESULTS:
The ratio of doctors prescribing proton pump inhibitors before meals versus at
other times was 1:105. The ratio of patients receiving proton pump inhibitors
before meals versus at other times was 1:341. The number of tablets of proton
pump inhibitors prescribed before meals versus at other times was 1:409.
CONCLUSIONS: The overwhelming majority of doctors in this study did not prescribe
proton pump inhibitors before meals.
PMID- 18057431
TI - The epidemiology and clinical characteristics of Crohn's disease in the Hong Kong
Chinese population: experiences from a regional hospital.
AB - OBJECTIVE: To study the descriptive epidemiology and clinical profile of patients
with Crohn's disease. DESIGN: Retrospective study. SETTING: Regional hospital,
Hong Kong. PATIENTS: Patients with Crohn's disease diagnosed between January 1991
and December 2006 inclusive. RESULTS: Over the period studied, 27 Chinese
patients were diagnosed with Crohn's disease in our hospital. Our hospital-based
incidence and prevalence rate had increased more than 2- and 5-fold, respectively
over that period. The mean age at diagnosis was 26 years and median duration of
disease was 81 months. Most patients had ileocolonic disease (67%) followed by
Crohn's colitis (22%) and ileal disease (11%); more patients had non-stricturing
and non-penetrating disease (63%) than stricturing (15%) or penetrating disease
(22%). Peri-anal disease occurred in 37% of our patients. At diagnosis, many of
the patients (41%) had mild-moderate disease, but 30% each had moderate-severe
and severe-fulminant disease. At the time of this study, 85% of the patients were
in disease remission with medical treatment. However, 48% had undergone surgery
for diagnosis or complications. No patient had developed colorectal cancer or
died. CONCLUSIONS: The incidence and prevalence of Crohn's disease are increasing
in the Chinese population. It usually affects young persons with a substantial
proportion of patients presented with severe-fulminant disease. More
epidemiological and clinical studies are needed for this emerging disease in
Asian regions.
PMID- 18057432
TI - Laparoscopic versus open hepatectomy for liver tumours: a case control study.
AB - OBJECTIVE: To evaluate the benefits of laparoscopic versus open resection of
liver tumours. DESIGN: Case control study. SETTING: Tertiary teaching hospital,
Hong Kong. PATIENTS: Data from 25 patients who underwent laparoscopic resections
for liver tumours from 2003 to 2006 were compared to a retrospective series of 25
patients who underwent open hepatectomy in a pair-matched design. MAIN OUTCOME
MEASURES: Duration of operation, operative morbidity and mortality, blood loss,
tumour resection margin, analgesics usage, days to return to an oral diet,
duration of postoperative hospital stay, and survival of patients with
malignancy. RESULTS: The demographic data and the tumour characteristics were
comparable in the two patient groups, as were mortality (0% in both groups) and
morbidity rates (4% in both groups). Two (8%) of the patients having laparoscopic
resections were converted to open surgery. There was no statistically significant
difference between the two groups in terms of operating time or resection
margins. However, the laparoscopically treated patients experienced significantly
less blood loss (median, 100 vs 250 mL), had shorter hospital stays (median, 4 vs
7 days), were prescribed less analgesia (median morphine dosage, 0.16 vs 0.83 mg
per kg body weight), and resumed oral diet earlier (median, 1 vs 2 days). For
patients with malignant tumours, there was no significant difference between the
two groups in terms of actuarial and disease-free survival. CONCLUSION: Compared
to open hepatectomy, in selected patients laparoscopic liver resection delivers
the benefits of decreased blood loss, shorter hospital stay, lesser requirement
for analgesics, and an earlier return to an oral diet, without evidence of
compromised oncological clearance.
PMID- 18057433
TI - Bleeding pseudoaneurysms complicating upper abdominal surgery.
AB - OBJECTIVE: To review the management of ruptured pseudoaneurysms following upper
abdominal surgery. DESIGN: Retrospective study. SETTING: Minimal access surgery
centre, Hong Kong. PATIENTS: Patients who were diagnosed to have a pseudoaneurysm
after undergoing major upper abdominal surgery were recruited during the period
of 1998 to 2006. MAIN OUTCOME MEASURES: Success rate of haemostasis, re-bleeding
rate, re-intervention rate, and mortality. RESULTS: During the study period, a
total of eight patients (median age, 61 years) were managed in our department for
bleeding pseudoaneurysms following cholecystectomy, gastrectomy, or Whipple's
operation. Five patients underwent upper endoscopy as the initial investigation.
In four of these five patients, visceral artery pseudoaneurysms were identified
by angiography and haemostasis was achieved without re-bleeding. The one for whom
angiographic identification of the bleeding source failed, was successfully
treated subsequently by open plication. In three other patients, open surgical
haemostasis was resorted to and achieved in two of them. However, one of the
latter had re-bleeding, which was successfully treated by embolisation. The one
who failed open identification of the bleeding source, was eventually also
treated successfully by embolisation. The overall success rates of embolisation
and open surgery were 80% and 67%, respectively, and the re-bleeding rates were
0% and 33%, respectively. The corresponding mortality rates were 20% and 33%;
both deaths were associated with multi-organ failure. There were no procedure
related complications following embolisation. CONCLUSIONS: Based on our
experience, visceral angiography can enable the diagnosis and treatment of
ruptured pseudoaneurysm in a single session. The procedure is safe, the re
bleeding rate is low, and it is as effective as alternative treatments, and
should be considered a first-line intervention in patients with bleeding
pseudoaneurysms complicating upper abdominal surgery.
PMID- 18057434
TI - Identifying prognostic factors for survival in advanced cancer patients: a
prospective study.
AB - OBJECTIVE: To identify potential prognostic factors affecting the survival in
patients with advanced cancer in a local palliative care unit. DESIGN:
Prospective cohort study. SETTING: Palliative Care Unit of a regional hospital in
Hong Kong. PATIENTS: All advanced cancer in-patients and out-patients who were
enrolled into the palliative care service of the United Christian Hospital
between January and December 2002 were recruited. MAIN OUTCOME MEASURES:
Potential prognostic factors including demographic data, tumour characteristics,
blood parameters, functional status, co-morbidities, total symptom score, and
psychosocial parameters were recorded upon enrollment. RESULTS: A total of 170
patients were eligible for analysis; their mean age was 69 (standard deviation,
12) years, of which 106 (62%) were male. Overall median survival was 77
(interquartile range, 31-160) days. The most frequent primary malignancy was lung
(n=58, 34%), followed by liver (n= 24, 14%) and lower gastro-intestinal tract
(n=24, 14%). By univariate analysis, 11 factors affected survival, including: age
(P=0.040), number of metastatic sites involved (P=0.001), peritoneal metastases
(P=0.009), skin metastases (P=0.011), tachycardia (P=0.009), serum albumin
concentration (P<0.001), white cell count (P=0.002), Karnofsky Performance Status
score (P<0.001), Hamilton Depression Scale score (P=0.004), Edmonton Symptom
Assessment System score (P=0.003), and McGill Quality of Life (Hong Kong)-single
item score (P=0.002). Multivariable Cox regression analysis revealed that only
age (hazard ratio=0.84; 95% confidence interval, 0.73-0.96), number of metastatic
sites involved (1.33; 1.13-1.56), serum albumin concentration (0.95; 0.92-0.98),
Karnofsky Performance Status score (0.86; 0.78-0.96), and Edmonton Symptom
Assessment System score (1.22; 1.05-1.41) were independent prognosticators.
CONCLUSION: Age, number of involved metastatic sites, serum albumin, Karnofsky
Performance Scale score, and Edmonton Symptom Assessment System score were
independent prognosticators. Further studies are needed to provide a prognostic
instrument applicable in local clinical settings.
PMID- 18057435
TI - An update of treatment options for neovascular age-related macular degeneration.
AB - OBJECTIVES: To review the role of conventional and new treatment modalities in
the management of neovascular age-related macular degeneration. DATA SOURCES AND
EXTRACTION: Literature search of Medline till March 2007, using the key
words/terms 'treatment' and 'age-related macular degeneration' to retrieve
relevant original papers and review articles. DATA SYNTHESIS: Age-related macular
degeneration is the leading cause of irreversible visual loss in the elderly in
developed countries. Neovascular age-related macular degeneration has a
relentless course and the consequent visual loss is debilitating. Successful
treatment has always been a challenge due to poor understanding of its
pathogenesis. Laser photocoagulation and photodynamic therapy with verteporfin
are the standard conventional treatments. However, these approaches do not
prevent disease recurrence and repeated treatments are required. Recent advances
in understanding the molecular pathway for the angiogenesis of neovascular age
related macular degeneration enables exploration of new treatment approaches.
Antiangiogenic therapy with anti-vascular endothelial growth factor agents, such
as pegaptanib and ranibizumab, have recently been approved for clinical practice.
Other antiangiogenic agents include bevacizumab, triamcinolone, and anecortave
are also being evaluated in clinical trials. Additional treatment modalities
include transpupillary thermotherapy and surgical intervention. CONCLUSIONS:
Regarding patients with neovascular age-related macular degeneration, increased
understanding in its pathogenesis coupled with rapid development in instrumental
technology and new/emerging medications greatly expands available treatment
options. Despite these various therapeutic options, current treatment is mainly
directed at achieving visual stabilisation. Restoration of vision with newer
agents is limited and not possible in every patient. Thus, early recognition and
treatment to arrest the progression of neovascular age-related macular
degeneration is the preferred means of attaining the best visual outcome.
PMID- 18057436
TI - Lithium overdose causing non-convulsive status epilepticus--the importance of
lithium levels and the electroencephalography in diagnosis.
AB - We report a case of lithium overdose in a patient who presented in non-convulsive
status epilepticus. The lithium toxicity was probably due to interaction with
Moduretic. The diagnosis was not suspected until electroencephalography was
performed. This case underscores the importance of therapeutic drug level
monitoring of lithium, especially where toxicity is suspected, and the
indispensable role electroencephalography plays by allowing a correct diagnosis
to be made promptly.
PMID- 18057437
TI - Perforation of the gall bladder following typhoid fever-induced ileal
perforation.
AB - Gall bladder perforation is a potentially life-threatening condition. Spontaneous
perforation is infrequent and rarely seen in the absence of gallstones but gall
bladder perforation is an occasional complication of typhoid fever. If such
perforations are not treated in time, mortality rates are very high. We report a
case of gall bladder perforation complicating typhoid fever following enteric
perforation of the ileum necessitating sequential laparotomies during the same
admission.
PMID- 18057438
TI - Oesophageal gastro-intestinal stromal tumour presenting with rupture into pleural
cavity.
AB - Gastro-intestinal stromal tumours are rarely found in the oesophagus and it is
uncommon for these tumours to present with rupture. In this paper, we report a
case where the tumour ruptured through the distal oesophagus. As a result, the
patient underwent surgical tumour dissection. A histopathological examination of
the tumour mass confirmed that it was a gastro-intestinal stromal tumour. In this
report, we review the diagnosis, pathology, and treatment of a patient presenting
with a ruptured oesophageal gastro-intestinal stromal tumour.
PMID- 18057439
TI - Squamous cell carcinoma arising from an epidermal cyst.
AB - There are few case reports in the English literature concerning malignant
transformation of an epidermal cyst into squamous cell carcinoma. We report a
case of squamous cell carcinoma arising from a 40-year epidermal cyst in the
proximal left thigh of a 74-year-old man. The epidermal cyst had increased in
size in the previous 3 years. The patient presented with a discharge from the
lesion. Ultrasonography and magnetic resonance imaging of the lesion suggested
malignancy. An intra-operative frozen section of an incisional biopsy of the
lesion found it to be an epidermal cyst with suspected malignant change, so a
marginal excision of the lesion was performed. Squamous cell carcinoma arising
from the epidermal cyst was confirmed histologically. A wide excision was done in
order to obtain an adequate resection margin. A computed tomographic scan of the
thorax and abdomen found no evidence of metastases. No evidence of recurrence was
noted in the latest follow-up, 2 years postoperatively.
PMID- 18057440
TI - Sequential non-traumatic femoral shaft fractures in a patient on long-term
alendronate.
AB - Fractures associated with osteoporosis are common among elderly people and
postmenopausal women. Bisphosphonate is an effective anti-resorptive drug
commonly used for the prevention and treatment of osteoporosis. There are,
however, concerns about potential side-effects during long-term treatment with
alendronate. We report a case where an 82-year-old woman who had been taking
alendronate for 10 years presented with two episodes of non-traumatic femoral
shaft fracture. An iliac bone biopsy showed severely suppressed bone turnover,
suggestive of adynamic bone disease. We suspect the bone turnover suppression was
related to the prolonged use of alendronate.
PMID- 18057441
TI - Cutis marmorata telangiectatica congenita.
PMID- 18057442
TI - The living will--pitfalls, benefits and a way forward.
PMID- 18057443
TI - Porcelain spoon broken and swallowed during convulsion.
PMID- 18057444
TI - Wound care organizations, programs, and certifications: an overview.
AB - The number and variety of wound care organizations and certification programs
have increased considerably in recent years. Many healthcare professionals
interested in pursuing certification, employers who want to hire them, and the
public at large are confused about the plethora of certification designations,
program names, and requirements. Some of the largest wound care organizations in
the US support or develop educational programs and meetings or support
credentialing programs. Two of the four largest credentialing organizations are
accredited. Information about the effect of credentialing on healthcare provider
knowledge and clinical skills is not available and standards are needed to help
healthcare providers and employers decide which program best serves their needs.
Most importantly, research to ascertain the effects of educational programs and
credentialing on patient outcomes must be conducted to help improve the quality
of care and substantiate the goals of the credentialing programs.
PMID- 18057445
TI - Controversies regarding vascular disease in the patient with diabetes: a review
of the literature.
AB - Vascular disease in the patient with diabetes represents a potentially
devastating complication. Tri-neuropathy (sensory, motor, and autonomic) often
predisposes patients to ulceration and vascular disease leads to delayed healing.
Vascular pathology compromises blood flow and oxygen provision, affecting
healing, infection, sepsis, amputation, and mortality. Recent research suggests
that vascular reconstruction should not be withheld on the basis of arteriolar
capillary involvement and while oxygen levels may provide important prognostic
and diagnostic information, no single noninvasive parameter or test can reliably
predict healing of existing wounds. Hyperglycemia has been identified as a risk
factor for macrovascular disease but evidence to substantiate that improved
glucose control affects vascular pathology or wound healing is limited.
Similarly, the exact role of vascular endothelial growth factor or nicotine on
vascular pathology and healing remains unclear. Although the literature may be
mired in discrepancies, vascular health is known to affect healing. Further
research to resolve controversy and to better direct care is needed.
PMID- 18057446
TI - Honey and contemporary wound care: an overview.
AB - A growing body of research and empirical evidence have supported the re-discovery
of medicinal grade honey as a wound management agent. Pre-clinical study results
suggest that honey has therapeutic benefit; clinical study results have shown
that honey effectively addresses exudate, inflammation, devitalized tissue, and
infection. Honey-containing dressings and gels have been developed to facilitate
the application of medicinal-grade honey to the wound. Clinical studies to
compare the safety and effectiveness of these products to other moisture
retentive dressings and treatment modalities are warranted.
PMID- 18057447
TI - Using an indentation measurement device to assess foam mattress quality.
AB - Foam mattress quality affects pressure ulcer risk but no reliable method to
assess mattress fatigue and indentation is available. To ascertain Indentation
Quality values of standard 14-cm (5-inch) foam mattresses after 15 years of use,
a convenience sample of 50 visco-elastic foam mattresses from a total of 1,000
same-brand mattresses used in a Dutch University hospital was tested using a
durometer. Indentation Quality values were obtained on the mattress cover at a
relatively unloaded zone (corner), at the head and heel zones, the knee and
shoulder areas, and in the middle (buttocks area). Indentation Quality values
ranged from a mean of -11.91 mm (+/-2.58) in the unloaded zone to a mean of
26.96 mm (+/-4.31) in the middle zone (buttocks area, P <0.001 compared to all
other mattress areas). The value at the relatively unloaded zone was
significantly and positively related to the values at the head and the heel zones
(r = .70, P <.01) and the knee and the shoulder zones (r = .33, P <.05). The
value at the buttocks zone was positively related to the value at the knee and
the shoulder zones (r = .35, P <.05). The study showed that mattresses that
appeared similar had a wide range of indentation values (indicating a need for
individual assessments to monitor their quality) and that Indentation Quality
values, determined using the durometer, facilitate objective and quantifiable
mattress assessments. Consideration of the consequences of foam mattress life
span on quality of care, hospital management practices, and cost analysis is
justified.
PMID- 18057448
TI - Linoleic acid isomerase from Propionibacterium acnes: purification,
characterization, molecular cloning, and heterologous expression.
AB - Propionibacterium acnes strain ATCC 6919 catalyzes the isomerization of the
double bond at the C9 position in linoleic acid (c9,c12, 18:2) to form t10,c12
conjugated linoleic acid (CLA, 18:2). CLA has significant health benefits in
animal and human. The linoleic acid C9 isomerase was purified to an apparent
homogeneity by successive chromatography on diethylaminoethyl (DEAE) anion
exchange, hydrophobic interaction, and chromatofocusing columns. Two degenerated
oligonucleotide primers were synthesized according to the N-terminal peptide
sequence to clone, by polymerase chain reaction (PCR), a short nucleotide
sequence (62 bp) of the isomerase gene. The linoleic acid isomerase gene (lai)
was subsequently cloned by inverse PCR. The amino acid sequence deduced from the
lai coding sequence predicts a protein of 424 amino acid residues (48 kDa),
excluding the N-terminal methionine, which was absent in the polypeptide purified
from the native host. The isomerase shares no significant sequence homology to
other enzymes except a flavin-binding domain in the N-terminal region. The
recombinant isomerase purified from Escherichia coli showed a typical ultraviolet
spectrum for FAD-bound proteins. The recombinant enzyme produced a single isomer
of t10,c12-CLA from linoleic acid, as demonstrated by gas chromatography and gas
chromatography-mass spectrum analysis. The recombinant isomerase protein was
expressed at high levels in E. coli, but it was almost totally sequestered in
inclusion bodies. The level of active isomerase was increased 376-fold by medium
and process optimization in bench-scale fermentors.
PMID- 18057449
TI - Developments in directed evolution for improving enzyme functions.
AB - The engineering of enzymes with altered activity, specificity, and stability,
using directed evolution techniques that mimic evolution on a laboratory
timescale, is now well established. In vitro recombination techniques such as DNA
shuffling, staggered extension process (StEP), random chimeragenesis on transient
templates (RACHITT), iterative truncation for the creation of hybrid enzymes
(ITCHY), recombined extension on truncated templates (RETT), and so on have been
developed to mimic and accelerate nature's recombination strategy. This review
discusses gradual advances in the techniques and strategies used for the directed
evolution of biocatalytic enzymes aimed at improving the quality and potential of
enzyme libraries, their advantages, and disadvantages.
PMID- 18057450
TI - Optimization of fermentation parameters to enhance the production of ethanol from
palmyra jaggery using Saccharomyces cerevisiae in a batch fermentor.
AB - Application of statistical experimental designs for optimization of fermentation
parameters to enhance ethanol production, which is an economical and renewable
energy source using Saccharomyces cerevisiae NCIM 3090 from palmyra jaggery, was
studied in a batch fermentor. Using Plackett-Burman design, impeller speed,
concentrations of CoCl2 and KH2PO4 were identified as significant variables,
which highly influenced ethanol production, and these variables were further
optimized using a central composite design (CCD). The ethanol production was
adequately approximated with a full quadratic equation obtained from three
factors and five levels of CCD. Maximum ethanol concentration of 132.56 g/l
(16.8% [v/v]) was obtained for an impeller speed of 247.179 ( approximately 250)
rev/min, CoCl2 of 0.263 g/l and KH2PO4 of 2.39 g/l. A second-order polynomial
regression model was fitted and was found adequate with R 2 of 0.8952. This
combined statistical approach enables rapid identification and investigation of
significant parameters for improving the ethanol production and could be very
useful in optimizing processes.
PMID- 18057451
TI - An organic soluble lipase for water-free synthesis of biodiesel.
AB - Lipase AK was modified with short alkyl chains to form a highly organic soluble
enzyme and was used to catalyze the synthesis of biodiesel from soybean oil in
organic media. The effects of several key factors including water content,
temperature, and solvent were examined for the solubilized enzyme in comparison
with several other commercially available lipases. Whereas native lipases showed
no activity in the absence of water, the organic soluble lipase demonstrated
reaction rates of up to 33 g-product/g-enzyme h. The biocatalyst remains soluble
in the biodiesel product, and therefore, there is no need to be removed because
it is expected to be burned along with the diesel in combustion engines. This
provides a promising one-pot mix-and-use strategy for biodiesel production.
PMID- 18057452
TI - Comparison between different hydrolysis processes of vine-trimming waste to
obtain hemicellulosic sugars for further lactic acid conversion.
AB - Trimming vine shoot samples were treated with water under selected operational
conditions (autohydrolysis reaction) to obtain a liquid phase containing
hemicellulose-decomposition products. In a further acid-catalyzed step
(posthydrolysis reaction), xylooligosaccharides were converted into single sugars
for the biotechnological production of lactic acid using Lactobacillus pentosus.
A wide range of temperatures, reaction times, and acid concentrations were tested
during the autohydrolysis-posthydrolysis process to investigate their influence
on hemicellulose solubilization and reaction products. The maximum concentration
of hemicellulosic sugars was achieved using autohydrolysis at 210 degrees C
followed by posthydrolysis with 1% H2SO4 during 2 h. Data from autohydrolysis
posthydrolysis were compared with the results obtained at the optima conditions
assayed for prehydrolysis (3% H2SO4 at 130 degrees C during 15 min) based on
previous works. Prehydrolysis extracted more hemicellulosic sugars from trimming
vine shoots; however, the protein content in the hydrolysates from autohydrolysis
posthydrolysis was higher. The harsher conditions assayed during the
autohydrolysis process and the higher content of protein after this treatment
could induce Maillard reactions decreasing consequently the concentration of
hemicellulosic sugars in the hydrolysates. Therefore, despite the several
advantages of autohydrolysis (less equipment caused by the absence of mineral
acid, less generation of neutralized sludges, and low cost of reagents) the poor
results obtained in this work with no detoxified hydrolysates (Q P = 0.36 g/L h,
Q S = 0.79 g/L h, Y P/S = 0.45 g/g, Y P/Sth = 61.5 %) or charcoal-treated
hydrolysates (Q P = 0.76 g/L h, Q S = 1.47 g/L h, Y P/S = 0.52 g/g, Y P/Sth =
71.5 %) suggest that prehydrolysis of trimming vine shoots with diluted H2SO4 is
more attractive than autohydrolysis-posthydrolysis for obtaining lactic acid
through fermentation of hemicellulosic sugars with L. pentosus. Besides the
higher hemicellulosic sugars concentration achieved when using the prehydrolysis
technology, no detoxification steps are required to produce efficiently lactic
acid (Q P = 1.14 g/L h; Q S = 1.64 g/L h; Y P/S = 0.70 g/g; Y P/Sth = 92.6 %),
even when vinification lees are used as nutrients (Q P = 0.89 g/L h; Q S = 1.54
g/L h; Y P/S = 0.58 g/g; Y P/Sth = 76.1 %).
PMID- 18057453
TI - Whey treatment by AnSBBR with circulation: effects of organic loading, shock
loads, and alkalinity supplementation.
AB - The main objective of this work was to investigate the effect of volumetric
loading rate (VLR), shock load, and alkalinity supplementation on the efficiency
and stability of an Anaerobic Sequencing Batch Biofilm Reactor (AnSBBR)
containing polyurethane foam cubes. Mixing in the reactor, which was kept at 30
+/- 1 degrees C, occurred by recirculating the liquid phase. The reactor treated
2.5 l cheese whey in 8-h cycles, at concentrations of 1, 2, and 4 g COD l-1,
which corresponded to VLRs of 3, 6, and 12 g COD l-1 day-1, respectively.
Application of single-cycle shock loads of 6, 12, and 24 g COD l-1 day-1 did not
impair reactor performance. In addition, for VLRs of 3, 6, and 12 g COD l-1 day
1, alkalinity supplementation to the influent, at the end of each assay, could be
reduced to 75, 50, and 50%, respectively, in relation to supplementation at the
beginning of the assay. During reactor operation a viscous polymer-like material
was formed between the polyurethane foam cubes, which increased at higher VLR.
Finally, addition of salts to the influent improved reactor efficiency.
PMID- 18057454
TI - Improvement and modelling of hexenal transfer in liquid-gas reactor.
AB - The aim of the present work is to improve the extraction of an aromatic compound,
2E-hexenal, from a continuous liquid-gas reactor. Having an improved process to
recover hexenal could be of interest to obtain this chemical if produced by any
biotechnological process. The experimental program proposed on the basis of a
full 23 factorial design demonstrated that the conditions optima for the
extraction are 40 degrees C as a reactor temperature and -10 degrees C as a
temperature of trap for a nitrogen flow of 45 mL s-1. These conditions allowed to
recover more than 75% of hexenal, when this compound was stripping by a nitrogen
stream from the liquid phase. The mass transfer was theoretically and
experimentally studied. The model predictions were validated against experimental
results obtained for a reactor, and good correlation was observed for a
simulation.
PMID- 18057455
TI - Comparison of different pretreatment strategies for enzymatic hydrolysis of wheat
and barley straw.
AB - In biomass-to-ethanol processes a physico-chemical pretreatment of the
lignocellulosic biomass is a critical requirement for enhancing the accessibility
of the cellulose substrate to enzymatic attack. This report evaluates the
efficacy on barley and wheat straw of three different pretreatment procedures:
acid or water impregnation followed by steam explosion versus hot water
extraction. The pretreatments were compared after enzyme treatment using a
cellulase enzyme system, Celluclast 1.5 L from Trichoderma reesei, and a beta
glucosidase, Novozyme 188 from Aspergillus niger. Barley straw generally produced
higher glucose concentrations after enzymatic hydrolysis than wheat straw. Acid
or water impregnation followed by steam explosion of barley straw was the best
pretreatment in terms of resulting glucose concentration in the liquid
hydrolysate after enzymatic hydrolysis. When the glucose concentrations obtained
after enzymatic hydrolyses were related to the potential glucose present in the
pretreated residues, the highest yield, approximately 48% (g g-1), was obtained
with hot water extraction pretreatment of barley straw; this pretreatment also
produced highest yields for wheat straw, producing a glucose yield of
approximately 39% (g g-1). Addition of extra enzyme (Celluclast 1.5 L+Novozyme
188) during enzymatic hydrolysis resulted in the highest total glucose
concentrations from barley straw, 32-39 g L-1, but the relative increases in
glucose yields were higher on wheat straw than on barley straw. Maldi-TOF MS
analyses of supernatants of pretreated barley and wheat straw samples subjected
to acid and water impregnation, respectively, and steam explosion, revealed that
the water impregnated + steam-exploded samples gave a wider range of pentose
oligomers than the corresponding acid-impregnated samples.
PMID- 18057456
TI - Ageing and polymorbidity: is there a mismatch between the training of internists
and the need?
PMID- 18057457
TI - The diagnosis of disseminated intravascular coagulation made easy.
PMID- 18057458
TI - Mediastinal emphysema complicating diabetic ketoacidosis: plea for conservative
diagnostic approach.
AB - BACKGROUND: Spontaneous pneumomediastinum has been infrequently reported as a
complication of diabetic ketoacidosis. Evidence-based guidelines are currently
not available to help in choosing the best diagnostic approach. METHODS: We
conducted a systematic review of the literature and looked for diagnostic clues
that might indicate the need for a work-up to rule out oesophageal perforation.
RESULTS: In all 56 published cases of spontaneous pneumomediastinum associated
with diabetic ketoacidosis, the condition was self-limiting. We report one
additional case of a 31-year-old female who presented with a spontaneous
pneumomediastinum and also epidural pneumatosis, complicating diabetic
ketoacidosis. CONCLUSION: Important pathology, such as oesophageal rupture, was
not detected in any of the reported cases, and we suggest a restrictive
diagnostic work-up.
PMID- 18057459
TI - Liver transplantation: an update.
AB - Liver transplantation has been an accepted treatment for end-stage liver disease
since the 1980s. Currently it is a highly successful treatment for this
indication. The aim of this review is to give a general update on recent
developments in the field of liver transplantation. In the last decades
considerable progress has been made in the care of liver transplant candidates
and recipients. At present the one- and five-year patient survival rates are
approximately 85 and 75%. The indications for liver transplantation are shifting
and the number of absolute contraindications is decreasing. In the coming years,
an increase in the number of transplant candidates can be expected. An important
problem is the shortage of donor organs, for which many solutions are being
explored. A recently introduced method for recipient selection is the MELD score
using simple laboratory measurements. Perioperative care at the present time is
characterised by a high degree of standardisation and rapidly declining blood
loss during transplantation. Long-term care includes awareness and management of
recurrent disease. Important causes of morbidity and mortality such as de novo
malignancies and cardiovascular disease should be adequately screened for and
managed. With the increasing success of liver transplantation, physicians should
aim at reaching a normal life expectancy and quality of life for transplant
recipients.
PMID- 18057460
TI - Reversible hypogammaglobulinaemia.
AB - In this report we present four patients with reversible hypogammaglobulinaemia
who required immunoglobulin substitution for several years. One patient had
documented systemic lupus erythematosus (SLE), the other three patients had
primary hypogammaglobulinaemia without known cause. Whereas the cessation of
azathioprine therapy may have contributed to the recovery in the patient with
SLE, the restoration of the immunoglobulin production in the other three patients
occurred spontaneously. All four patients were IgA deficient when the
hypogammaglobulinaemia was first detected and remained so after IgM and IgG
production had recovered. Two of the three patients who also had anti-IgA
antibodies started to produce anti-IgA again after stopping the immunoglobulin
substitution. We conclude that recovery of hypogammaglobulinaemia is possible but
rare. When recovery is suspected, we recommend that immunoglobulin substitution
is stopped and the antibody response to vaccination is tested.
PMID- 18057461
TI - Intracranial multiple midline germinomas: is histological verification crucial
for therapy?
AB - In this report we present two patients with intracranial multiple midline tumours
in the suprasellar region and pineal gland. We postulate that in a patient with
multiple midline tumours and normal values of the tumour markers human chorionic
gonadotropin and alpha-fetoprotein in serum and cerebrospinal fluid, the only
possible diagnosis is a germinoma. In such a situation no histological
confirmation is required to start low-dose radiotherapy.
PMID- 18057462
TI - Interstitial lung disease as the first manifestation of systemic sclerosis.
AB - We describe three patients with progressive fibrosing interstitial lung disease
(ILD) as the first and only manifestation of systemic sclerosis. In one patient
the presence of anti-Scl-70 autoantibodies suggested systemic sclerosis to be the
underlying cause of the disease. In the two other subjects, however, anti-Scl-70
antibodies were negative. In these patients the lung disease preceded other
manifestations of systemic sclerosis by several years. Diagnosis, prognosis and
treatment of systemic sclerosisassociated ILD is discussed.
PMID- 18057463
TI - A patient with hyperglycaemia and normal HbA Ic due to impaired glycation.
AB - A diabetic Caucasian woman presented with discrepantly low HbA Ic values compared
with her glycaemia. High-performance liquid chromatography (HPLC) analysis
disclosed 80% HbA and 20% HbI Philadelphia (I6alpha2 lys --> glut). The
calculated glycosylation gap from the fructosamine level was 1.2%. The
haemoglobin alpha/beta glycation ratios, as measured by electron spray ionisation
mass spectroscopy (ESI-MS), for the patient and her three children also carrying
the mutation were decreased by values of 0.56 and 0.51, 0.50 and 0.49,
respectively (reference value 0.66).
PMID- 18057464
TI - Disseminated intravascular coagulation and a negative D-dimer test.
AB - The diagnosis of disseminated intravascular coagulation (DIC) requires the
presence of a fibrin-related marker. D-dimer is frequently used in clinical
practice as a fibrin-related marker. We present a case of paraneoplastic DIC with
a false-negative D-dimer test. Repeating the test using a different D-dimer assay
as well as the measurement of other fibrinolysis markers confirmed the diagnosis
of DIC.
PMID- 18057465
TI - Chronic pancreatitis resulting from genetic mutations in trypsin and trypsin
inhibitors.
PMID- 18057466
TI - Hydatid liver cyst ruptured into vena cava inferior.
PMID- 18057468
TI - A patient with a large periumbilical bruise and acute abdominal pain.
PMID- 18057469
TI - An unusual cause of rectal bleeding.
PMID- 18057470
TI - [HPV vaccination and cervical cancer screening].
PMID- 18057471
TI - [The use of methylphenidate in children with ADHD].
PMID- 18057473
TI - [Special observation on psychiatric patients on acute inpatient wards at the
Division of Psychiatry, Landspitali-University Hospital in Iceland, attitudes of
patients and staff].
AB - OBJECTIVE: Special observation (constant observation) of patients is common on
psychiatric wards, both in Iceland and abroad, but very few studies have been
conducted on their therapeutic value. The objective was to investigate the extent
and nature of special observation on emergency wards at the division of
psychiatry at the Landspitali-University Hospital in Iceland as well as the
attitudes of patients and staff toward special observation. PARTICIPANTS AND
METHODS: Information about patients on special observation was recorded over a
three months period. Patients were interviewed with a standardised eleven
questions interview shortly after the observation finished in order to
investigate their attitudes toward the observation. Also, members of staff from
each ward were asked to answer eight questions about their attitudes toward
special observation in general. The Ethics Committee of Landspitali - University
Hospital gave its permission for the study. RESULTS: During the research period
observation was used for 157 patients, which is 31% of the total number of
patients admitted during that period. Most of the patients (83%) were on 5-15
minutes observation, 25 per cent on close observation and 11 percent on suicide
or constant observation. The majority of the patients claimed that security was
the most important aspect of being on special observation, independent of which
type of observation they were, and only one fifth felt that the company of staff
was most important. The staff members on the other hand claimed that concern for
the patient, respect and companionship were most important for the patients,
independent of the type of observation used. CONCLUSIONS: The extent, nature and
process of observation on acute inpatient wards in Iceland seems to be comparable
to other studies from abroad. In view of the importance of special observations
in psychiatric emergency care and their influence on patients' private life it is
important to develop and implement clinical guidelines about their use.
PMID- 18057472
TI - [Use of methylphenidate among children in Iceland 1989-2006].
AB - STUDY OBJECTIVE: To determine the prevalence of methylphenidate use among
children in Iceland and show utilization trends from 1989 to 2006. Patterns of
use were analyzed by sex, age and region of habitation, short-acting vs. long
acting formulations and presciber's specialty. MATERIALS AND METHODS: A
descriptive observational study. Data was retrieved from the nationwide Register
on Prescribed Drugs in Iceland and the Icelandic Directorate of Health
surveillance system on prescribed methylphenidate. The study population
encompassed the total pediatric population (0-18 year-olds) in Iceland during the
study period. Total, sex-, age, and region-specific yearly prevalence rates were
computed. Specific prevalence rates of short-acting and long-acting
methylphenidate use were compared. Prescribed volume and number of prescriptions
were analyzed in relation to specialty of prescriber. Prevalence ( per thousand)
was defined as the number of children per 1,000 children in the population who
received at least one methylphenidate prescription in the given year. RESULTS:
The total prevalence of methylphenidate use among children (0-18) in Iceland was
0.2 per thousand in 1989 and 25.1 per thousand in 2006. Overall use was three
times more common among boys than girls. Prevalence was highest at age 10, 77.4
per thousand among boys and 24.3 per thousand among girls. A variance in use
between regions was detected. Prevalence of short-acting methylphenidate use
decreased from 2003 (18.7 per thousand) to 2006 (6.8 per thousand), while
prevalence of long-acting medication increased from 14.4 per thousand to 24.6 per
thousand. In 2006 pediatricians were the most common prescribers of
methylphenidate to children in Iceland, accounting for 41% of prescriptions.
CONCLUSION: Use of methylphenidate among children in Iceland increased
considerably from 1989 to 2004, when a plateau seems to have been reached. In
accordance with the trend in many Western countries, a rise in use of long-acting
drugs was detected concurrently with a steep decrease in use of short-acting
drugs. Compared to utilization rates in Europe, prevalence of methylphenidate use
among children in Iceland is high.
PMID- 18057474
TI - [Prevalence, diagnosis and treatment of depression and anxiety in patients in
cardiac rehabilitation].
AB - OBJECTIVE: The aim of this study was to estimate the prevalence of depression and
anxiety among patients in cardiac rehabilitation at Reykjalundur Rehabilitation
Center and to study the impact of a 4-5 weeks inpatient cardiac rehabilitation
program on these symptoms. Secondly we wished to compare the concordance of our
clinical diagnosis with the results of a standardized psychometric scale,
Hospital Anxiety and Depression scale, HAD. MATERIALS AND METHODS: Of 224
patients in one year, 200 (89.3%) were included in the study, 151 men and 49
women. The patients were first evaluated by a doctor and a nurse separately at
the arrival and a clinical evaluation was made jointly. Shortly after arrival and
before departure a HAD questionnaire was to be answered. All new psychiatric
treatment was recorded. RESULTS: Prevalence of depression as measured by HAD was
reduced from 9.5% to 3.1% and anxiety from 11.6% to 2.5%. The sensitivity of
clinical diagnosis of depression as compared to the results of HAD was 73.7% and
specificity 87.3%. For anxiety the sensitivity was 86.4% and specificity was
79.2%. The predictive value of a positive clinical diagnosis of depressions was
37.8% and anxiety 33.9%, but predictive value of a negative clinical diagnosis
was 96.9% and anxiety 97.9% respectively. CONCLUSION: The prevalence of
depression and anxiety is similar or somewhat lower than in other studies on
patients with cardiac diseases. The agreement of clinical diagnosis and HAD
questionnaire was acceptable and the questionnaire will not be used routinely. A
comprehensive cardiac rehabilitation program seems to reduce substancially
symptoms of depression and anxiety among patients in cardiac rehabilitation at
Reykjalundur.
PMID- 18057475
TI - Research in pediatric practice: an untapped arena.
PMID- 18057476
TI - Simple clinical sings to identify severe neonatal illness.
PMID- 18057477
TI - Vitamin K1 versus vitamin K3 for prevention of subclinical vitamin deficiency: a
randomized controlled trial.
AB - OBJECTIVE: To compare efficacy of intramuscular phytomenadione (fat soluble
vitamin K or vitamin K1) with menadione (water soluble vitamin K or vitamin K3)
in prevention of subclinical vitamin K deficiency. DESIGN: A doubleblind
randomized controlled trial. SETTINGS: Tertiary care hospital. METHODS: Healthy
term neonates were randomized to receive 1 mg of either phytomenadione (Group I,
n = 85) or menadione (Group II, n = 85) intramuscularly within 2 hours of birth.
PIVKA-II, a sensitive and specific marker of vitamin K deficiency was measured by
ELISA method (Diagnostica Stago, France). Plasma level > 2 ng/mL was labeled as
detectable PIVKA-II. RESULTS: Birth weight (2914 +/- 318 vs 2958 +/- 312 g),
gestation (38.4 +/- 1.2 vs 38.4 +/- 1.0 wk) and other baseline variables were
comparable between the two groups. 48.2% (41/85) neonates in Group I and
44.7%(38/85) neonates in Group II had detectable PIVKAII levels ([Relative Risk
(95% confidence interval): 1.1 (0.8-1.5); P = 0.76]). Median PIVKA-II levels in
Group I and Group II were 1.99 ng/mL and 1.97 ng/mL respectively (P = 0.26). At
72 +/- 12 h of age, mean packed cell volume and mean serum bilirubin levels were
comparable in the two groups. CONCLUSION: Comparable PIVKAII detection rate and
PIVKAII levels in neonates receiving phytomenadione or menadione indicate their
similar efficacy in prevention of vitamin K deficiency. However, high PIVKAII
detection rate observed with both preparations indicates recent vitamin K
deficiency and may be due to either inadequate dose of vitamin K or persistence
of PIVKAII of fetal origin.
PMID- 18057478
TI - Effect of consumption of micronutrient fortified candies on the iron and vitamin
A status of children aged 3-6 years in rural Haryana.
AB - OBJECTIVE: To assess the efficacy of micronutrient fortified sugar candies in
improving the iron and vitamin A status in children aged 3 to 6 years. DESIGN:
Triple blind randomized controlled trial. SETTINGS: Anganwadis and preparatory
schools in rural Haryana. METHODS: 410 children were randomized in four groups.
One group received full dose candy (vitamin A 1000 IU and 14 mg elemental iron)
daily, the second group received full dose candy for 3 days a week, the third
group received half dose candy (vitamin A 500 IU and 7 mg elemental iron) daily
and the fourth received placebo. The candies were provided to children under
supervision of field workers. Hemoglobin, S. ferritin, S. retinol and S. retinol
binding protein levels were estimated at baseline and after 13 weeks of
intervention. RESULTS: The increase in hemoglobin was least in the placebo group
(0.3 g/dL) as compared to the two full dose groups (1.15-1.18 g/dL, P < 0.001).
Among anemic children, the increase in hemoglobin was about 2 g/dL in the full
dose group and 0.7 g/dL in the placebo group (P < 0.001). S. ferritin levels
increased significantly only in the full dose daily group (p < 0.05). The
prevalence of anemia decreased from around 50% at baseline to 9.6% in the full
dose daily group (p < 0.01). Based on the S. retinol levels, the study area was
not vitamin A deficient and the intervention did not result in a significant
improvement in the vitamin A status of the children. CONCLUSION: Micronutrient
fortified candies were effective in improving the hemoglobin level and decreasing
anemia prevalence. It could serve as a suitable vehicle for micronutrient
supplementation in children and other target groups.
PMID- 18057479
TI - Fluconazole prophylaxis against fungal colonization and invasive fungal infection
in very low birth weight infants.
AB - BACKGROUND: Fungal infections are common cause of morbidity and mortality in very
low birth weight Infants OBJECTIVES: To evaluate the efficacy of prophylactic
Fluconazole in preventing fungal colonization and invasive fungal infection in
VLBW infants. DESIGN: Prospective, randomized, double blind placebo controlled
clinical trial. SETTING: Tertiary level Neonatal intensive care unit. SUBJECTS:
120 preterm infants with birth Weight < 1500 g. INTERVENTION: Infants were
randomly assigned during first three days to receive either Fluconazole or
placebo till 28 days or less if, discharged or died earlier. Weekly surveillance
cultures from groin, oropharynx, rectum and blood were collected in all patients.
Fungal isolates were typed based on standard microbiologic techniques. Liver
enzymes were monitored. RESULTS: Baseline risk factors for fungal infection in
Fluconazole and Placebo groups were similar. Fungal colonization was seen in 30
infants (50%) in the placebo group and 11 infants (19%) in the Fluconazole group
(P <0.001). Fungal colonization at rectum, groin and oropharynx was less in
fluconazole groups. Fluconazole group showed significantly lower colonizations
with Candida albicans but not with C. glabrata. Invasive infection was seen in 15
(25%) infants in Placebo group and 16 (26.7%) infants in Fluconazole group (P =
0.835). Various non-albicans Candida were responsible for 96.8% cases of invasive
fungal infection (Candida glabrata 71%, C. parapsilosis 14.7% and C. tropicalis
9.6%). No significant hepatotoxicity was noticed during Fluconazole therapy.
CONCLUSION: Prophylactic fluconazole during the first four weeks of life is
effective in reducing fungal colonization but not invasive infection in VLBW
infants.
PMID- 18057480
TI - Cefpodoxime proxetil compared with cefixime for treatment of typhoid fever in
children.
AB - In order to evaluate clinical and bacteriological efficacy of Cefpodoxime
Proxetil (CP) in typhoid fever in comparison to cefixime (CF), we assessed 140
children with suspected typhoid fever. Fulfilling inclusion criteria finally 40
culture confirmed typhoid fever were allocated in randomized double blind
clinical trial (RCT) to receive therapy with either oral CP (16 mg/kg/day, n =
21) or oral CF (20 mg/kg/day, n = 19) for 10 days. The two groups were comparable
in their clinical and baseline characteristics. The clinical efficacy was similar
in the two groups with only 2 (one in each group) clinical failures and all
showing bacteriological eradication on subsequent blood culture. The time of
defervescence was comparable in both groups (4.87 Fluconazole Prophylaxis against
Fungal Colonization and Invasive Fungal Infection in Very Low Birth Weight
Infants 2.33 vs 4.27 +/- 2.28 days, P = 0.308), with no relapse during 3 months
follow up and no significant adverse effect. CP reduced the treatment cost by 33%
in comparison to cefixime. Our study suggests CP is effective, safe and cheaper
oral option for treatment of typhoid fever in children.
PMID- 18057481
TI - Intramuscular injection practices among infants.
AB - Administration of unnecessary Intramuscular (i.m.) Injections to infants for
trivial illness is a common problem. This descriptive study included 120 infants
who received at least one i.m. injection for their current illness. Data were
collected using semi structured questionnaire to their mothers. 91% of infants
received unnecessary i.m. injections for minor problems like upper respiratory
tract infection. I.m. injections were administered at the wrong site in 97% of
the infants. Information regarding i.m. injections was inadequate in mothers.
Intensive health education regarding safe injection practices for the public and
health care providers is essential.
PMID- 18057482
TI - Make routine immunization compulsory.
PMID- 18057483
TI - Snake bite: an unusual cause of acute abdominal pain.
AB - Pain abdomen is one of the most commonly encountered complaints in pediatric
emergency room. We report a seven-year-old resident of Delhi, who presented with
sudden onset abdominal pain for three hours, and later went on to develop neuro
muscular paralysis and respiratory failure. The cause was found to be snake-bite.
The child had a stormy course and full recovery.
PMID- 18057484
TI - Oral-facial digital syndrome type 1.
AB - The oral-facial-digital syndrome type 1 is characterized by following
abnormalities: pseudocleft of the upper lip, tongue lobulation, hamartomata on
the tongue, alveolar frenulae, and clefting of the soft palate. We report a 9
month-old girl who was referred to our clinic due to facial dysmorphology in
addition to cleft palate and multiple masses on the tongue which resulted in
feeding problems. Surgical intervention was done. Molecular analysis revealed
absence of OFD gene.
PMID- 18057485
TI - Intracranial calcification in beta-thalassemia major.
AB - A 13-year-old boy with thalassemia major presented with generalized seizures. He
was non-chelated and had hypoparathyroidism with extensive intracranial
calcification, an association that is rarely reported.
PMID- 18057486
TI - Suprasellar arachnoid cyst with delayed puberty.
AB - A 15-year-old female presented with primary amenorrhea and delayed onset of
secondary sexual characteristics. Earlier she was operated for endoscopic third
ventriculostomy (ETV) for a tense suprasellar arachnoid cyst with obstructive
hydrocephalus. MRI revealed recurrence of hydrocephalus. Hormonal levels were
suggestive of hypogonadism and deficiency of growth hormone. She was operated for
fenestration of cyst. In this case, suprasellar arachnoid cyst presented with
delayed puberty, which is unusual.
PMID- 18057487
TI - Bullous systemic lupus erythematosus and lupus nephritis in a 10 year old boy.
AB - Systemic lupus erythematosus (SLE) is an episodic, potentially fatal, multisystem
autoimmune disease characterized by the presence of antinuclear antibodies
especially to double stranded DNA. Bullous systemic lupus erythematosus is an
extremely rare subset of lupus erythematosus. We report a 10-year-old boy with
bullous SLE and nephrotic syndrome.
PMID- 18057488
TI - Chemotherapy induced transverse leukonychia (Mees lines).
PMID- 18057489
TI - National PPTCT (Prevention of Parent to Child Transmission) of HIV drug protocol-
urgent need for a change.
PMID- 18057491
TI - Tuberculosis infection in BCG vaccinated children.
PMID- 18057492
TI - Usefulness of dTPa.
PMID- 18057494
TI - Boostrix.
PMID- 18057495
TI - Management of severely malnourished children.
PMID- 18057498
TI - Probiotics and diarrhea.
PMID- 18057499
TI - Hospital management of severely malnourished children.
PMID- 18057501
TI - Self-initiation of EEG-based brain-computer communication using the heart rate
response.
AB - Self-initiation, that is the ability of a brain-computer interface (BCI) user to
autonomously switch on and off the system, is a very important issue. In this
work we analyze whether the respiratory heart rate response, induced by brisk
inspiration, can be used as an additional communication channel. After only 20
min of feedback training, ten healthy subjects were able to self-initiate and
operate a 4-class steady-state visual evoked potential-based (SSVEP) BCI by using
one bipolar ECG and one bipolar EEG channel only. Threshold detection was used to
measure a beat-to-beat heart rate increase. Despite this simple method, during a
30 min evaluation period on average only 2.9 non-intentional switches (heart rate
changes) were detected.
PMID- 18057502
TI - Synchronous neural interactions assessed by magnetoencephalography: a functional
biomarker for brain disorders.
AB - We report on a test to assess the dynamic brain function at high temporal
resolution using magnetoencephalography (MEG). The essence of the test is the
measurement of the dynamic synchronous neural interactions, an essential aspect
of the brain function. MEG signals were recorded from 248 axial gradiometers
while 142 human subjects fixated a spot of light for 45-60 s. After fitting an
autoregressive integrative moving average (ARIMA) model and taking the stationary
residuals, all pairwise, zero-lag, partial cross-correlations (PCC(ij)(0)) and
their z-transforms (z(ij)(0)) between i and j sensors were calculated, providing
estimates of the strength and sign (positive, negative) of direct synchronous
coupling at 1 ms temporal resolution. We found that subsets of z(ij)(0)
successfully classified individual subjects to their respective groups (multiple
sclerosis, Alzheimer's disease, schizophrenia, Sjogren's syndrome, chronic
alcoholism, facial pain, healthy controls) and gave excellent external cross
validation results.
PMID- 18057503
TI - Intraspinal stimulation for bladder voiding in cats before and after chronic
spinal cord injury.
AB - The long-term objective of this study is to develop neural prostheses for people
with spinal cord injuries who are unable to voluntarily control their bladder.
This feasibility study was performed in 22 adult cats. We implanted an array of
microelectrodes into locations in the sacral spinal cord that are involved in the
control of micturition reflexes. The effect of microelectrode stimulation was
studied under light Propofol anesthesia at monthly intervals for up to 14 months.
We found that electrical stimulation in the sacral parasympathetic nucleus at
S(2) level or in adjacent ventrolateral white matter produced bladder
contractions insufficient for inducing voiding, while stimulation at or
immediately dorsal to the dorsal gray commissure at S(1) level produced strong
(at least 20 mmHg) bladder contractions as well as strong (at least 40 mm Hg)
external urethral sphincter relaxation, resulting in bladder voiding in 14
animals. In a subset of three animals, spinal cord transection was performed. For
several months after the transection, intraspinal stimulation continued to be
similarly or even more effective in inducing the bladder voiding as before the
transection. We speculate that in the absence of the supraspinal connections, the
plasticity in the local spinal circuitry played a role in the improved
responsiveness to intraspinal stimulation.
PMID- 18057505
TI - Output-based comparison of alternative kinetic schemes for the NMDA receptor
within a glutamate spillover model.
AB - Recent experimental and theoretical work continues to explore the mechanisms and
implications of neurotransmitter spillover. Here we examine N-methyl-D-aspartate
receptor (NMDA-R) kinetics to determine their implication(s) in glutamate
spillover by comparing two mechanistically different NMDA-R models, the 5-state
Lester and Jahr (LJ) model and the 8-state Banke and Traynelis (BT) model, within
the context of a glutamate spillover model. We employ a search-survey-and
summarize strategy to analyze the relationships within model behavior (model
relational analysis) and form a model output landscape. Our results indicate that
model relational analysis can reveal differences in models whose outputs would be
considered the same. The analysis reveals that the BT model, with its more
complex kinetics, is less reliant on diffusion compared to the LJ version,
resulting in differences in the relationships between open probability and
glutamate concentration despite the fact that both model versions were able to
produce the same target output values. Additionally, model relational analysis is
able to distinguish between the BT and LJ NMDA-R model versions even though
factor analysis indicates that the overall model output space dimensions are the
same for both NMDA-R models. Furthermore, the work presented here suggests that
model relational analysis may be broadly applicable as a means to examine the
complex interactions hidden within overall model behavior.
PMID- 18057504
TI - Prediction of upper limb muscle activity from motor cortical discharge during
reaching.
AB - Movement representation by the motor cortex (M1) has been a theoretical interest
for many years, but in the past several years it has become a more practical
question, with the advent of the brain-machine interface. An increasing number of
groups have demonstrated the ability to predict a variety of kinematic signals on
the basis of M1 recordings and to use these predictions to control the movement
of a cursor or robotic limb. We, on the other hand, have undertaken the
prediction of myoelectric (EMG) signals recorded from various muscles of the arm
and hand during button pressing and prehension movements. We have shown that
these signals can be predicted with accuracy that is similar to that of kinematic
signals, despite their stochastic nature and greater bandwidth. The predictions
were made using a subset of 12 or 16 neural signals selected in the order of each
signal's unique, output-related information content. The accuracy of the
resultant predictions remained stable through a typical experimental session.
Accuracy remained above 80% of its initial level for most muscles even across
periods as long as two weeks. We are exploring the use of these predictions as
control signals for neuromuscular electrical stimulation in quadriplegic
patients.
PMID- 18057506
TI - Effects of ramped amplitude waveforms on the onset response of high-frequency
mammalian nerve block.
AB - Though high-frequency alternating current (HFAC) can block nerve conduction, the
block is invariably preceded by an onset response which is a period of repetitive
nerve firing. We tested the hypothesis that slowly ramping up the amplitude of
the HFAC waveform could produce block without this initial onset response.
Computer simulations were performed, using the McIntyre-Richardson-Grill (MRG)
model of myelinated mammalian axon. A ramped-amplitude HFAC was applied to axons
of diameters ranging from 7.3 microm to 16 microm and at frequencies ranging from
3125 Hz to 40 kHz. The ramped-amplitude HFAC was also investigated in vivo in
preparations of rat sciatic nerve. Sinusoidal voltage-regulated waveforms, at
frequencies between 10 kHz and 30 kHz, were applied with initial amplitudes of 0
V, linearly increasing with time to 10 V. Ramp durations ranged from 0 s to 60 s.
In both the MRG model simulations and the experiments, ramping the HFAC waveform
did not eliminate the onset response. In the rat experiments, the peak amplitude
of the onset response was lessened by ramping the amplitude, but both the onset
response duration and the amount of onset activity as measured by the force-time
integral were increased.
PMID- 18057507
TI - Three-dimensional hydrogel cultures for modeling changes in tissue impedance
around microfabricated neural probes.
AB - One limitation to the use of neuroprosthestic devices for chronic application, in
the treatment of disease, is the reactive cell responses that occur surrounding
the device after insertion. These cell and tissue responses result in increases
in device impedance and failure of the device to interact with target populations
of neurons. However, few tools are available to assess which components of the
reactive response contribute most to changes in tissue impedance. An in vitro
culture system has been developed that is capable of assessing individual
components of the reactive response. The system utilizes alginate cell
encapsulation to construct three-dimensional architectures that approach the cell
densities found in rat cortex. The system was constructed around neuroNexus acute
probes with on-board circuitry capable of monitoring the electrical properties of
the surrounding tissue. This study demonstrates the utility of the system by
demonstrating that differences in cell density within the three-dimensional
alginate constructs result in differences in resistance and capacitance as
measured by electrochemical impedance spectroscopy. We propose that this system
can be used to model components of the reactive responses in brain tissue, and
that the measurements recorded in vitro are comparable to measurements recorded
in vivo.
PMID- 18057508
TI - Complex impedance spectroscopy for monitoring tissue responses to inserted neural
implants.
AB - A series of animal experiments was conducted to characterize changes in the
complex impedance of chronically implanted electrodes in neural tissue.
Consistent trends in impedance changes were observed across all animals,
characterized as a general increase in the measured impedance magnitude at 1 kHz.
Impedance changes reach a peak approximately 7 days post-implant. Reactive
responses around individual electrodes were described using immuno- and histo
chemistry and confocal microscopy. These observations were compared to measured
impedance changes. Several features of impedance changes were able to
differentiate between confined and extensive histological reactions. In general,
impedance magnitude at 1 kHz was significantly increased in extensive reactions,
starting about 4 days post-implant. Electrodes with extensive reactions also
displayed impedance spectra with a characteristic change at high frequencies.
This change was manifested in the formation of a semi-circular arc in the Nyquist
space, suggestive of increased cellular density in close proximity to the
electrode site. These results suggest that changes in impedance spectra are
directly influenced by cellular distributions around implanted electrodes over
time and that impedance measurements may provide an online assessment of cellular
reactions to implanted devices.
PMID- 18057509
TI - Comment on 'Analysis of electroencephalograms in Alzheimer's disease patients
with multiscale entropy'.
AB - In the article by Escudero et al (2006), there are only random samples, which are
useful for the validity of the study design. In this author's opinion, there are
still other clinicophysiological characteristics that merit consideration and
scrutiny as discussed below. The hypothesis set by the original authors needs to
be adequately justified. Their hypothesis appears to be that the multiscale
entropy (MSE) is positively related to a non-linear analysis of an
electroencephalogram on patients with Alzheimer's disease.
PMID- 18057511
TI - Quantification of lung injury using ventilation and perfusion distributions
obtained from gamma scintigraphy.
AB - This paper explores the potential of isotope V/Q lung scans to quantify lung
disease. Areas of restricted perfusion in subjects with a pulmonary embolus (PE)
were identified in 3D reconstructions of V/Q images achieved using anatomical
data from the Visible Human Project. From these, the extent of lung damage was
quantified. Significant differences in the values of both LogSD V and LogSD Q (p
> 0.05) obtained from plots of V and Q against Log(V/Q) were found between normal
subjects and subjects with a PE, but no correlation was found between either of
these parameters and the degree of lung damage in subjects with a PE (p > 0.05).
Whilst V/Q values were log normally distributed, the V/Q distributions from the
subjects with a PE failed to show the bimodal distribution predicted from
theoretical considerations and MIGET measurements previously reported. There was
a statistically significant difference in the mean and standard deviation values
of the V/Q distributions between normal subject and subjects with a PE (p < 0.05)
but not in the median values (p > 0.05). There was no correlation between the
mean, median and standard deviation of the distributions from the subjects with a
PE and the percentage of damage present (p > 0.05).
PMID- 18057512
TI - Optimal designs for studying bioimpedance.
AB - D-optimal designs for nonlinear fixed and mixed effects models are explored, and
the theory is applied to the measurement and analysis of bioelectrical impedance.
Bioimpedance is known to vary more at extreme frequencies than others. D-optimal
designs that account for this variation, and also possible mis-specification of
initial parameter estimates, are considered in an attempt to find designs that
will provide good parameter estimates in practice.
PMID- 18057513
TI - Effect of missing RR-interval data on heart rate variability analysis in the time
domain.
AB - In this study, the effects of missing RR-interval data on time-domain analysis
were investigated using simulated missing data in real RR-interval tachograms and
actual missing RR data in an ECG obtained by an unconstrained measurement. For
the simulation, randomly selected data (0-100 s) were removed from real RR data
obtained from the MIT-BIH normal sinus rhythm database. In all, 2615 tachograms
of 5 min durations were used for this analysis. For certain durations of missing
data, the analysis was performed by 1000 Monte Carlo runs. MeanNN, SDNN, SDSD,
RMSSD and pNN50 were calculated as the time-domain parameters in each run, and
the relative errors between the original and the incomplete tachograms for these
parameters were computed. The results of the simulation revealed that MeanNN is
the parameter most robust to missing data; this feature can be explained by the
theory of finite population correction (FPC). pNN50 is the parameter most
sensitive to missing data. MeanNN was also found to be the most robust to real
missing RR data derived from a capacitive-coupled ECG recorded during sleep;
furthermore, the parameter patterns for the missing data were considerably
similar to those for the original RR data, although the relative errors may
exceed those of the simulation results.
PMID- 18057514
TI - Quantitative analysis of the relation between soft tissue stiffness palpated from
the body surface and tissue hemodynamics in the human forearm.
AB - We investigated the quantitative relation between soft tissue stiffness palpated
from the body surface and hemodynamics in the human forearm. We examined the
relation between pressures and blood flow in both the main artery and vein
measured by magnetic resonance imaging (MRI), the cross-sectional area of forearm
measured by MRI and soft tissue stiffness. Six male volunteers participated. Two
tourniquet pressures, 120 mmHg and 230 mmHg, were used to induce an occlusion of
the proximal portion of the upper arm. Measurements were made at the mid-belly of
the brachioradial muscle. The venous outflow ceased at tourniquet pressures of
120 and 230 mmHg. The arterial flow was interrupted at 230 mmHg. Larger increases
of the cross-sectional area and soft tissue stiffness were found at 120 mmHg than
at 230 mmHg. The increase of the cross-sectional area of muscle was larger than
that of the surrounding connective tissue during occlusion. We propose that low
pressure compression occludes venous outflow without restricting arterial inflow
and induces an increase of the cross-sectional area that reflects the
intramuscular pressure; and changes in this pressure caused by fluid accumulation
should be the major factor for change in stiffness.
PMID- 18057515
TI - Analysis of surface EMG signal morphology in Parkinson's disease.
AB - A novel approach is presented for the analysis of surface electromyogram (EMG)
morphology in Parkinson's disease (PD). The method is based on histogram and
crossing rate (CR) analysis of the EMG signal. In the method, histograms and CR
values are used as high-dimensional feature vectors. The dimensionality of them
is then reduced using the Karhunen-Loeve transform (KLT). Finally, the
discriminant analysis of feature vectors is performed in low-dimensional
eigenspace. Histograms and CR values were chosen for analysis, because
Parkinsonian EMG signals typically involve patterns of EMG bursts. Traditional
methods of EMG amplitude and spectral analysis are not effective in analyzing
impulse-like signals. The method, which was tested with EMG signals measured from
25 patients with PD and 22 healthy controls, was promising for discriminating
between these two groups of subjects. The ratio of correct discrimination by
augmented KLT was 86% for the control group and 72% for the patient group. On the
basis of these results, further studies are suggested in order to evaluate the
usability of this method in early stage diagnostics of PD.
PMID- 18057516
TI - An automatic identification and removal method for eye-blink artifacts in event
related magnetoencephalographic measurements.
AB - While measuring event-related magnetoencephalographic (MEG) signals using visual
stimuli, eye blinks were inevitable and generated large magnetic artifacts. Since
trials containing eye blinks were excluded from the analyses, the signal-to-noise
ratio of the event-related signals was decreased. In this study, we propose a
method to identify the eye blink magnetic artifacts and remove them automatically
using independent component analysis preprocessed by principal component
analysis. The method evaluates the spatiotemporal similarity between independent
components and both MEG and electro-oculogram data based on a newly devised cost
function. Testing of the method on event-related MEG signals measured by a 306
channel whole-head system in a visual perception task led to the successful
identification and removal of eye-blink artifacts in all trials containing eye
blinks from all the seven subjects.
PMID- 18057517
TI - An approach to in situ detection of hydrogen peroxide: application of a
commercial needle-type electrode.
AB - The reactive oxygen species are thought to play major roles in developing
different physiological disorders. A commercial, needle-type amperometric glucose
enzyme sensor manufactured for human patients was investigated. This sensor
measures glucose by detecting hydrogen peroxide evolved in the enzymatic reaction
of glucose. In the experiments, the immobilized enzyme layer of the sensor was
inactivated. The applicability of this 'inhibited' glucose sensor for detecting
hydrogen peroxide was tested. The simple battery powered, single purpose
electronic unit was replaced by an advanced electrochemical workstation. The
sensitivity, selectivity and lower limit of detection of the hydrogen peroxide
measurements were investigated. Voltammetric measurements were carried out in
intensively stirred buffered aqueous media, in plasma samples as well as in
subcutan areas of anesthetized Wistar rats. Preliminary measurements carried out
with the amperometric and periodically interrupted amperometric technique
predicted that the human clinical sensor, after our enzyme inhibition step, can
be used for checking the elevation of the hydrogen peroxide level in different
subcutan areas of human subjects.
PMID- 18057518
TI - The vulvalgesiometer as a device to measure genital pressure-pain threshold.
AB - The construction and application of the vulvalgesiometer are described. This
manually-applied device allows for the quantifiable measurement of pressure-pain
thresholds in the external female genital region. A set of five vulvalgesiometers
exerting pressures from 3 to 950 g was used in two studies. The goal of the first
study was to examine the ability of the vulvalgesiometers to discriminate between
women with and without provoked vestibulodynia (PVD). In a matched sample of
affected and non-affected women, women with PVD exhibited significantly lower
vestibular pressure-pain thresholds as compared to control women. As well,
approximately half the sample of women with PVD described the sensation elicited
at pressure-pain threshold as similar to the pain experienced during sexual
intercourse. The goal of the second study was to investigate the inter-rater
reliability of the vulvalgesiometers. In this separate sample of women with and
without PVD, each participant was tested for pressure-pain threshold by two
different investigators at different times. Results demonstrated high levels of
inter-rater reliability, indicating that the vulvalgesiometers can be
consistently used by different investigators. Further, results indicated
significant negative correlations between pressure-pain thresholds and pain
intensity ratings recorded during the cotton-swab test, suggesting that the lower
the threshold, the higher the pain ratings during vestibular palpation. The
vulvalgesiometers can be utilized for several purposes, including treatment
outcome studies and measuring the degree of PVD severity.
PMID- 18057519
TI - Non-genomic biomarkers of risk in ovarian cancer.
PMID- 18057520
TI - Etiology and pathogenesis of epithelial ovarian cancer.
AB - Ovarian cancer is complex disease composed of different histological grades and
types. However, the underlying molecular mechanisms involved in the development
of different phenotypes remain largely unknown. Epidemiological studies
identified multiple exogenous and endogenous risk factors for ovarian cancer
development. Among them, an inflammatory stromal microenvironment seems to play a
critical role in the initiation of the disease. The interaction between such a
microenvironment, genetic polymorphisms, and different epithelial components such
as endosalpingiosis, endometriosis, and ovarian inclusion cyst in the ovarian
cortex may induce different genetic changes identified in the epithelial
component of different histological types of ovarian tumors. Genetic studies on
different histological grades and types provide insight into the pathogenetic
pathways for the development of different disease phenotypes. However, the link
between all these genetic changes and the etiological factors remains to be
established.
PMID- 18057521
TI - The continuum of serous tumors of low malignant potential and low-grade serous
carcinomas of the ovary.
AB - The role of serous tumors of low malignant potential (LMP) in the development of
invasive epithelial cancer of the ovary is debatable. This review summarizes the
current clinical, genetic, and genomic evidence for the existence of a continuum
comprising both LMP serous tumors and low-grade serous ovarian carcinomas.
PMID- 18057522
TI - Biomarkers of mucinous tumors of the ovary.
PMID- 18057523
TI - Early detection of ovarian cancer.
AB - Despite advances in therapy, ovarian cancer remains the most deadly of the
gynecological cancers. Less than 30% of women with advanced stage disease survive
long-term. When diagnosed in stage I, up to 90% of patients can be cured with
conventional surgery and chemotherapy. At present, only 25% of ovarian cancers
are detected in stage I due, in part, to the absence of specific symptoms and to
lack of an effective screening strategy. Early detection of ovarian cancer might
significantly improve the overall survival rate of women with ovarian cancer if
1) most cancers are clonal and unifocal, arising in the ovary rather than in the
peritoneum, 2) metastatic disease results from progression of clinically
detectable stage I lesions, and 3) cancers remain localized for a sufficient
interval to permit cost-effective screening. Given the prevalence of ovarian
cancer, strategies for early detection must have high sensitivity for early stage
disease (> 75%), but must have extremely high specificity (99.6%) to attain a
positive predictive value of at least 10%. Transvaginal sonography (TVS), serum
markers and a combination of the two modalities have been evaluated for early
detection of ovarian cancer. Among the serum markers, CA125 has received the most
attention, but lacks the sensitivity or specificity to function alone as a
screening test. Greater specificity can be achieved by combining CA125 and TVS
and/or by monitoring CA125 over time. Two stage screening strategies promise to
be cost effective, where abnormal serum assays prompt TVS to detect lesions that
require laparotomy. Accrual has been completed for a 200,000 woman trial in the
United Kingdom that will test the ability of a rising CA125 to trigger TVS and
subsequent exploratory surgery. Given the heterogeneity of ovarian cancer, it is
unlikely that any single marker will be sufficiently sensitive to provide an
effective initial screen. Sensitivity of serum assays might be enhanced by
utilizing a panel of biomarkers. Candidate biomarkers have been discovered
through empirical development of monoclonal antibodies, studies of gene
expression, cloning of gene families and proteomic techniques. The development of
technologies that measure multiple serum markers simultaneously, linked to the
creation of statistical methods that enhance sensitivity without sacrificing
specificity hold great promise.
PMID- 18057524
TI - Proteomics as a tool for biomarker discovery.
AB - Novel technologies are now being advanced for the purpose of identification and
validation of new disease biomarkers. A reliable and useful clinical biomarker
must a) come from a readily attainable source, such as blood or urine, b) have
sufficient sensitivity to correctly identify affected individuals, c) have
sufficient specificity to avoid incorrect labeling of unaffected persons, and d)
result in a notable benefit for the patient through intervention, such as
survival or life quality improvement. Despite these critical descriptors, the few
available FDA-approved biomarkers for cancer do not completely fit this
definition and their benefits are limited to a small number of cancers. Ovarian
cancer exemplifies the need for a diagnostic biomarker of early stage disease.
Symptoms are present but not specific to the disease, delaying diagnosis until an
advanced and generally incurable stage in over 70% of affected women. As such,
diagnostic intervention in the form of oopherectomy can be performed in the
appropriate at-risk population if identified such as with a new accurate,
sensitive, and specific biomarker. If early stage disease is identified, the
requirement for survival and life quality improvement will be met. One of the new
technologies applied to biomarker discovery is tour-de-force analysis of serum
peptides and proteins. Optimization of mass spectrometry techniques coupled with
advanced bioinformatics approaches has yielded informative biomarker signatures
discriminating presence of cancer from unaffected in multiple studies from
different groups. Validation and randomized outcome studies are needed to
determine the true value of these new biomarkers in early diagnosis, and improved
survival and quality of life.
PMID- 18057525
TI - Markers of angiogenesis in ovarian cancer.
AB - Tumor development and progression are inherently dependent on the process of
angiogenesis. Recently, anti-angiogenic therapy has started to show promise as an
effective treatment strategy in many solid tumors including ovarian carcinoma.
Unfortunately, lack of effective biomarkers presents a challenge for oncologists
in treatment planning as well as monitoring response of new anti-vascular agents.
Previously, quantification of angiogenesis by microvessel density analysis
provided useful prognostic information, however, its utility following anti
angiogenic therapy remains to be determined. Moreover, since secreted cytokines
play an active part in angiogenesis by mediating neovascularization in tumors,
investigations have focused on their potential role to serve as candidate
biomarkers of disease detection, prognosis, and treatment response. In this
article, we review the role of key angiogenesis markers as potential biomarkers
in ovarian carcinoma.
PMID- 18057526
TI - Cell cycle and related protein.
PMID- 18057527
TI - Immune prognostic factors in ovarian cancer: lessons from translational research.
PMID- 18057528
TI - Claudin proteins in ovarian cancer.
AB - Members of the claudin family of tight junction proteins have been found altered
in several malignancies, including ovarian cancer. Because claudin-3 and -4 are
elevated in the vast majority of ovarian tumors, they may represent useful
biomarkers for detection and prognosis, as well as ideal targets for therapy
using the Clostridium perfringens enterotoxin.
PMID- 18057529
TI - Utilizing virtual microscopy for quality control review.
PMID- 18057530
TI - Circulating VEGF as a biological marker in patients with rheumatoid arthritis?
Preanalytical and biological variability in healthy persons and in patients.
AB - BACKGROUND: Soluble vascular endothelial growth factor (VEGF) is a promising
biomarker in monitoring rheumatoid arthritis (RA), but studies of pre-analytical
and biologic variability are few. METHODS: VEGF was measured by ELISA methods in
serum and plasma from healthy persons and RA patients. Pre-analytical factors
were investigated. A reference interval for VEGF was established in serum and
plasma from 306 healthy persons. Diurnal, day-to-day, week-to-week, long-term
variability, and impact of exercise were evaluated. RESULTS: Delayed processing
time, room temperature, low centrifugal force and contamination of plasma with
cellular elements lead to significant increases in VEGF levels, whereas storage
for up to 2 years at -80 degrees C or up to 10 freeze/thaw cycles did not affect
VEGF levels. Serum VEGF levels were 7-10 fold higher than plasma VEGF levels.
Reference intervals for VEGF (plasma: 45 pg/ml (range: non-detectable to 352);
serum: 328 pg/ml (53-1791)) were independent of gender and age. Short- and long
term biologic variability included diurnal variation (sampling should take place
after 7 AM) and impact of exercise (increased VEGF immediately after bicycling
normalised within 1 hour). CONCLUSIONS: Pre-analytical factors and biologic
variability including diurnal variation and impact of exercise should be
accounted for in future studies that include circulating VEGF as a biological
marker.
PMID- 18057531
TI - Homogeneous assay of rs4343, an ACE I/D proxy, and an analysis in the British
Women's Heart and Health Study (BWHHS).
AB - Current literature suggests that ACE SNP rs4343, ACE 2350A>G in exon 17, T202T,
may be the best proxy for the ACE Alu I/D whereas rs4363 and rs4362 may be
slightly stronger predictors of ACE levels. Considering reported difficulties in
genotyping ACE I/D and stronger associations of rs4343 than ACE I/D with plasma
ACE levels in Africans, and suitability of rs4343 for allelic mRNA (cDNA)
studies, we developed and validated a liquid phase assay for rs4343, which has
advantage on both functional and technical grounds. We confirmed that rs4343, is
in near perfect linkage disequilibrium (D'=1, r2=0.88, n=64) with ACE I/D in
Europeans (A and G alleles of rs4343 marking insertion and deletion alleles of
ACE I/D respectively). We then studied its association with metabolic and
cardiovascular traits in 3253 British women (60-79 years old). Apart from a
nominal trend of association with diastolic blood pressure (p anova=0.08; p
trend=0.05), no other associations were observed. A post-hoc vascular and general
phenome scan revealed no further associations. We conclude that ACE I/D is not a
major determinant of metabolic and cardiovascular traits in this population.
Liquid phase genotyping of SNP rs4343 may be preferable to gel based ACE I/D
genotyping both for technical and functional reasons.
PMID- 18057532
TI - MTHFR genetic polymorphism as a risk factor in Egyptian mothers with Down
syndrome children.
AB - Recent reports linking Down syndrome (DS) to maternal polymorphisms at the
methylenetetrahydrofolate reductase (MTHFR) gene locus have generated great
interest among investigators in the field. The present study aimed at evaluation
of MTHFR 677C/T and 1298A/C polymorphisms in the MTHFR gene as maternal risk
factors for DS. Forty two mothers of proven DS outcomes and forty eight control
mothers with normal offspring were included. Complete medical and nutritional
histories for all mothers were taken with special emphasis on folate intake.
Folic acid intake from food or vitamin supplements was significantly low (below
the Recommended Daily Allowance) in the group of case mothers compared to control
mothers. Frequencies of MTHFR 677T and MTHFR 1298C alleles were significantly
higher among case mothers (32.1% and 57.1%, respectively) compared to control
mothers (18.7% and 32.3%, respectively). Heterozygous and homozygous genotype
frequencies of MTHFR at position 677 (CT and TT) were higher among case mothers
than controls (40.5% versus 25% and 11.9% versus 6.2%, respectively) with an odds
ratio of 2.34 (95% confidence interval [CI] 0.93-5.89) and 2.75 (95% CI 0.95
12.77), respectively. Interestingly, the homozygous genotype frequency (CC) at
position 1298 was significantly higher in case mothers than in controls (33.3%
versus 2.1% respectively) with an odds ratio of 31.5 (95% CI 3.51 to 282.33)
indicating that this polymorphism may have more genetic impact than 677
polymorphism. Heterozygous genotype (AC) did not show significant difference
between the two groups. We here report on the first pilot study of the possible
genetic association between DS and MTHFR 1298A/C genotypes among Egyptians.
Further extended studies are recommended to confirm the present work.
PMID- 18057533
TI - Expression of MAGE-A12 in oral squamous cell carcinoma.
AB - Melanoma associated-A antigens (MAGE-A) are silent in normal tissues except
testis. However, they are activated ina variety of different tumors. Thus, their
expression is highly specific to cancer cells. Reverse transcription-nested
polymerase chain reaction (RT-nPCR) is a highly sensitive technique that has been
used successfully for the detection of MAGE genes in tissue samples. The aim of
the study is to analyze the expression rate of MAGE-A12 in oral squamous cell
carcinoma (OSCC) using a high sensitive RT-nPCR. Total of 57 tissue samples
obtained from patients with OSCC and 20 normal oral mucosal (NOM) probes of
otherwise healthy volunteers were included to this study. No expression of MAGE
A12 was observed in the non-neoplasticNOM tissues. MAGE-A12 was expressed in
49.1% of the investigated tumor samples. The correlation between malignant lesion
and MAGE-A12 detection was significant (p<0.001). It is concluded that results of
this study may indicate MAGE-A12 asa useful additional diagnostic marker
especially for the early detection of OSCC distinguishing neoplastic
transformation and detection of occult and/or rare disseminated cancer cells. In
addition, MAGE-A12 expression in OSCC may also determine anew immunotherapeutic
target and might be warranted to develop vaccine for OSCC
PMID- 18057534
TI - Erythrocyte aggregation as an early biomarker in patients with asymptomatic
carotid stenosis.
AB - BACKGROUND: Atherosclerosis is a chronic inflammatory disease. DESIGN: We have
evaluated the degree of erythrocyte aggregation (EA) as a microinflammatory
biomarker in a cohort of hospital-based, neurologically asymptomatic outpatients.
METHODS: The degree of EA and carotid artery stenosis was evaluated in 510
individuals by using a simple slide test and image analysis. RESULTS: Four
hundred and sixteen individuals had minimal carotid stenosis (< 30%); 47 had mild
to moderate stenosis (30-69%) and 47 had severe stenosis (> 70%). A significant
correlation was noted between the degree of carotid stenosis and the erythrocyte
sedimentation rate (ESR), white blood cell count (WBCC) and fibrinogen (r=0.160,
p=0.005; r=0.191, p=0.001 and r=0.126, p=0.026, respectively). The significant
correlation was noted between the degree of carotid stenosis and EA (r=0.209, p<
0.001). The subjects with severe stenosis differed significantly from the other
groups in their ESR, WBCC and EA. High sensitivity C-reactive protein (hs-CRP)
concentrations did not discriminate between the presence and absence of
significant carotid atherosclerotic disease. CONCLUSIONS: Inflammatory biomarkers
such as ESR and the EA test are more sensitive than hs-CRP to the presence of a
significant atherosclerotic carotid burden. These biomarkers might aid in the
detection and quantification of microinflammation in individuals with carotid
atherosclerosis.
PMID- 18057535
TI - GPR87 is an overexpressed G-protein coupled receptor in squamous cell carcinoma
of the lung.
AB - Lung cancer is the leading cause of cancer death worldwide. The overall 5-year
survival after therapy is about 16% and there is a clear need for better
treatment options, such as therapies targeting specific molecular structures. G
protein coupled receptors (GPCRs), as the largest family of cell surface
receptors, represent an important group of potential targets for diagnostics and
therapy. We therefore used laser capture microdissection and GPCR-focused
Affymetrix microarrays to examine the expression of 929 GPCR transcripts in
tissue samples of 10 patients with squamous cell carcinoma and 7 with
adenocarcinoma in order to identify novel targets in non-small cell lung
carcinoma (NSCLC). The relative gene expression levels were calculated in tumour
samples compared to samples of the neighbouring alveolar tissue in every patient.
Based on this unique study design, we identified 5 significantly overexpressed
GPCRs in squamous cell carcinoma, in the following decreasing order of
expression: GPR87 > CMKOR1 > FZD10 > LGR4 > P2RY11. All are non-olfactory and
GRAFS (glutamate, rhodopsin, adhesion, frizzled/taste2, secretin family)
classified. GPR87, LGR4 and CMKOR1 are orphan receptors. GPR87 stands out as a
candidate for further target validation due to its marked overexpression and
correlation on a mutation-based level to squamous cell carcinoma.
PMID- 18057536
TI - Association of Interleukin-10 gene promoter polymorphisms in Saudi patients with
vitiligo.
AB - The promoter region of human Interleukin -10 gene is highly polymorphic and has
been associated with numerous autoimmune diseases. Recent studies have linked
vitiligo with defective autoimmune system. This study is aimed to explore a
possible association between IL-10 gene polymorphism and vitiligo in Saudi
population. This case control study consisted of 184 Saudi subjects including 83
vitiligo patients (40 males, 43 females mean age 27.85 +/- 12.43 years) and 101
matched controls. Genomic DNA was extracted from the blood samples of healthy
controls and Vitiligo patients visiting out patient clinic of Department of
Dermatology, Riyadh Armed Forces Hospital, using QIA ampR DNA mini kit (Qiagen
CA, USA). Interleukin-10 gene was amplified by polymerase chain reaction (PCR)
using Arms primers to detect any polymorphism involved at positions -592, -819
and -1082. The frequencies of GG genotype at -1082, and CC genotype at positions
592 and 819 were significantly higher in vitiligo patients compared to healthy
subjects suggesting that GG and CC genotypes might be susceptible to vitiligo in
Saudis. On the other hand genotypes -1082 GA, -819 CT, and -592 CA of IL-10 were
more prevalent in healthy controls suggesting protective effects of GA, CT and CA
genotypes against vitiligo. This study indicates that the IL-10 gene may play a
significant role in the etiology of vitiligo among Saudis.
PMID- 18057537
TI - Manganese superoxide dismutase gene polymorphism (V16A) is associated with
diabetic retinopathy in Slovene (Caucasians) type 2 diabetes patients.
AB - Substantial data indicate that oxidative stress is involved in the development of
diabetic retinopathy. Two candidate genes that affect the oxidative stress are
manganese mitochondrial superoxide dismutase (Mn-SOD) and endothelial nitric
oxide synthase (eNOS). The aim of the present study was to examine the role of
the V16A polymorphism of the Mn-SOD gene and the 4a/b polymorphism of the eNOS
gene in the development of diabetic retinopathy in Caucasians with type 2
diabetes. In this cross sectional case-control study 426 unrelated Slovene
subjects (Caucasians) with type 2 diabetes mellitus were enrolled: 283 patients
with diabetic retinopathy and the control group of 143 subjects with type 2
diabetes of duration of more than 10 years who had no clinical signs of diabetic
retinopathy. A significantly higher frequency of the VV genotype of the V16A
polymorphism of the Mn-SOD was found in patients with diabetic retinopathy
compared to those without diabetic retinopathy (OR=2.1, 95% whereas the 4a/b
polymorphism of the eNOS gene failed to yield an association with diabetic
retinopathy. We may conclude that the VV genotype of the V16A polymorphism of the
Mn-SOD gene was associated with diabetic retinopathy in Caucasians with type 2
diabetes, therefore it might be used as a genetic marker of diabetic retinopathy
in Caucasians.
PMID- 18057538
TI - One medicine: an introduction.
PMID- 18057539
TI - Comparative pathology of mammary gland cancers in domestic and wild animals.
AB - Mammary cancer occurs among all taxonomic groups, and comparing the disease in
animals with breast cancer in women could greatly improve our understanding of
the relevant risk factors and genetic profiles for this disease. Differences in
cancer prevalence between carnivores and herbivores and between captive and wild
carnivores are striking and support the hypotheses that diet and reproductive
history are major risk factors. Domestic dogs and cats have a high prevalence of
mammary tumors, and the majority of tumors in cats are aggressive cancers. Many
domestic dogs and cats are prevented from breeding, resulting in their being
exposed to recurrent estrogen peaks followed by high persistent levels of
progesterone. Therefore progesterone appears to be a significant risk factor for
cancer development. Supporting this suspicion is the observation that most
mammary cancers in zoo cats are in those treated with the potent synthetic
progestin contraceptive, melengestrol acetate. The more common morphologic types
of mammary cancer in canids and felids include tubulopapillary, solid,
cribriform, comedo and anaplastic carcinomas. Dogs also develop complex
carcinomas, which likely evolve from the complex adenomas or mixed tumors that
are so common in this species and are promoted by exogenous progesterone
treatment. Among zoo felids, jaguars are at higher risk for mammary cancer and
also have a high prevalence of ovarian papillarycystadenocarcinomas, a profile
similar to women with BRCA1 mutations. As for women, estrogen (ER) and
progesterone receptor (PR) expression varies in canine and feline mammary
cancers. In general, ER expression is low, but PR expression persists in most
cancers. Alterations in molecular controls of cell proliferation or survival in
breast cancer, such as cyclin A and p53 expression, have been identified in dog
and cat mammary cancers. Overall, spontaneous mammary cancers in cats and dogs
make excellent models for human breast cancer, and knowledge of mammary
carcinogenesis would be greatly enhanced across all species by a "One Medicine"
approach.
PMID- 18057540
TI - Review of mammary gland neoplasia in nonhuman primates.
PMID- 18057541
TI - Special considerations in mouse models of breast cancer.
PMID- 18057542
TI - Comparing genetically engineered mouse mammary cancer models with human breast
cancer by expression profiling.
AB - Breast cancer is a heterogeneous disease, and much of the molecular basis for
this heterogeneity is being unraveled using advanced genomic technologies. More
recently, global transcriptional profiling has proven to be an effective new tool
for characterizing human tumors. Genomic "signatures'' have been developed that
classify tumors with varying prognoses and responses to treatment. Recent studies
have begun to extend the use of global transcriptional profiling to better
characterize genetically engineered mouse (GEM) models of breast cancer, which
will improve the ability to translate basic research advances into clinical
advances. GEM models of mammary carcinoma have proven to be invaluable tools to
gain insight into mechanisms underlying tumor initiation, progression, and
therapeutic responses in an in vivo system where tumors spontaneously develop in
an appropriate tissue environment. This review will discuss the use of
transcriptional profiling of breast cancer in tumors from both human patients and
GEM models to improve prognostic measures, examine mechanisms of tumor initiation
and progression, identify novel therapeutic targets, and improve pre-clinical
testing for drug development. Together, these advances offer a framework for
classifying human tumors, identifying appropriate GEM models for specific
experimental purposes, and utilizing the combined data to identify more specific
and effective cancer therapies.
PMID- 18057543
TI - Genetically engineered rat models for breast cancer.
AB - Rat mammary cancers generally resemble many features of human breast cancer. With
the recent developments in rat genetic engineering, the rat has become an
excellent model system to study aspects of the molecular etiology of breast
cancer. In this review, we describe the efforts to generate genetically
engineered rat models for breast cancer.
PMID- 18057544
TI - Chemical carcinogenesis of rat and mouse mammary glands.
AB - This review focuses on the comparative aspects of chemical carcinogenesis in the
two major rodent species, rat and mouse, that have been used for the past 50
years. The areas discussed are the similarities and differences in mammary
tumorigenesis in response to similar chemical carcinogens, the strengths and
weaknesses of each model and the usefulness of each model for understanding the
cellular and molecular basis in the development and pathology of human breast
cancer. Human breast cancer is a very heterogeneous disease and presents itself
in many forms. One important lesson derived from the use of animal models is that
each model serves to illuminate some aspect of human breast cancer and mimics a
subset of the many subsets of human breast disease. Thus, many different models
will be needed to fully enable an understanding of the cellular and molecular
basis for human breast cancer and eventually provide the critical preventive and
therapeutic approaches to conquer this cancer.
PMID- 18057545
TI - The rat oncogenome: comparative genetics and genomics of rat models of mammary
carcinogenesis.
AB - The Norway rat has for many years been widely used as an experimental model for
the study of breast cancer etiology and prevention. Mammary cancer can be induced
in rats by a variety of agents. The mammary cancers that develop in the various
rat models resemble in many respects the breast cancers that develop in humans.
It is now clear that significant differences exist between different rat models
with respect to the genetic bases of susceptibility to mammary cancer as well as
the somatic genetic events that are associated with development of mammary
cancer. In this review I summarize our current understanding of the genetic and
genomics of mammary cancer in the rat, compare and contrast the genetic/genomic
features of different rat mammary cancer models and discuss the relevance of
these models to breast cancer in humans.
PMID- 18057546
TI - Toxicogenomic analyses of genetic susceptibility to mammary gland carcinogenesis
in rodents: implications for human breast cancer.
AB - The Human Genome Project and the multitude of genomic technologies that it
generated have dramatically altered the face of biological research. Genomic
sequence information allowed for the development of high throughput technologies
for DNA sequencing, gene expression profiling, genotyping, and detection of
epigenetic changes. These technologies provide an unprecedented opportunity to
elucidate how genetic variation and cellular responses to insult from environment
toxicants and stressors, drugs, infections, co-morbid disease, and dietary
factors among others, contribute to complex human diseases, including cancer.
Toxicogenomics, which combines classical toxicology and genetics with the tools
of modern genomics, promises to help elucidate and classify compounds based on
mechanisms of toxicity, predict toxicity of untested compounds, provide improved
screening and exposure monitoring, enhance the ability to predict toxicity and
disease outcomes following exposure, and identify genetically susceptible
individuals. Toxicogenomic data are therefore expected to do much to inform risk
assessment. Rodents are excellent models for the study of the molecular and
genetic bases for human disease, and are still used extensively to screen for
toxic effects of drugs and environmental toxicants. In the present review, we
explore how the combination of toxicogenomic approaches with rodent models can
accelerate the discovery of human breast cancer susceptibility genes.
PMID- 18057547
TI - Epilog: comparative medicine, one medicine and genomic pathology.
AB - The study of breast cancer has involved comparative pathology since the 1890s.
Molecular biology has allowed the detailed examination of human, mouse, and rat
genomes. The genes that are associated with breast cancer in humans cause cancer
in genetically modified mice and rats. Global genomic analyses demonstrate even
broader genomic homologies. The pathology illustrates the principle of One
Medicine. This issue examines these contributions and opens the way for the
examination of other mammalian species. The development of a new discipline,
Genomic Pathology, can now be anticipated.
PMID- 18057548
TI - Possible involvement of queuine in regulation of cell proliferation.
AB - An increase in cell number is one of the most prominent characteristics of cancer
cells. This may be caused by an increase in cell proliferation or decrease in
cell death. Queuine is one of the modified base which is found at first anticodon
position of specific tRNAs. It is ubiquitously present throughout the living
system except mycoplasma and yeast. The tRNAs of Q-family are completely modified
to Q-tRNAs in terminally differentiated somatic cells, however hypomodification
of Q-tRNA is closely associated with cell proliferation and malignancy. Queuine
participates at various cellular functions such as regulation of cell
proliferation, cell signaling and alteration in the expression of growth
associated proto-oncogenes. Like other proto-oncogenes bcl2 is known to involve
in cell survival by inhibiting apoptosis. Queuine or Q-tRNA is suggested to
inhibit cell proliferation but the mechanism of regulation of cell proliferation
by queuine or Q-tRNA is not well understood. Therefore, in the present study
regulation in cell proliferation by queuine in vivo and in vitro as well as the
expression of cell death regulatory protein Bcl2 are investigated. For this DLAT
cancerous mouse, U87 cell line and HepG2 cell line are treated with different
concentrations of queuine and the effect of queuine on cell proliferation and
apoptosis are studied. The results indicate that queuine down regulates cell
proliferation and expression of Bcl2 protein, suggesting that queuine promotes
cell death and participates in the regulation of cell proliferation.
PMID- 18057549
TI - A combination of soy isoflavone supplementation and exercise improves lipid
profiles and protects antioxidant defense-systems against exercise-induced
oxidative stress in ovariectomized rats.
AB - Menopause is often accompanied with weight gain, metabolic lipid abnormalities,
and oxidative stress. In this study, we investigated the combined effects of
exercise and soy isoflavone supplementation on the lipid profiles and antioxidant
capacities of ovariectomized rats. Twenty-five female Sprague-Dawley rats were
divided into 5 groups: sham-operated, ovariectomized (OVX), OVX with exercise
(OVX+EX), OVX with soy isoflavone supplementation (OVX+ISO), and OVX with both
soy isoflavones and exercise (OVX+ISO+EX). After 12 weeks of intervention,
antioxidant status was evaluated in collected blood samples by the ferric
reducing ability of plasma (FRAP), glutathione (GSH) content, and sodium oxide
dismutase (SOD) activity. DNA damage in the lymphocytes was determined using
alkaline single-cell gel electrophoresis (the Comet assay). Although there were
no significant differences in weight gain and food intake, weight gain was lower
in OVX+EX, OVX+ISO, and OVX+ISO+EX than in OVX. OVX+EX, OVX+ISO, and OVX+ ISO+EX
showed a significant decrease in total cholesterol, triglycerides, and LDL
cholesterol compared to OVX. The soy isoflavone supplemented group had
significantly increased FRAP values and GSH contents in contrast to no changes in
the exercised group, whereas exercise markedly increased SOD activity and H2O2
induced DNA tail length and tail moment. Exercise with soy isoflavone
supplementation significantly increased FRAP values and had no difference on SOD
activity, including DNA damage. These results demonstrate that a combined
treatment of moderate exercise and soy isoflavone supplementation could exert a
beneficial effect on weight control and lipid profiles, and offer protection from
exercise-induced oxidative stress in postmenopausal women.
PMID- 18057550
TI - Involvement of ligand occupancy in Insulin-like growth factor-I (IGF-I) induced
cell growth in osteoblast like MC3T3-E1 cells.
AB - Growth factors and matrix proteins regulate the proliferation and differentiation
of osteoblasts. The insulin-like growth factor (IGF) system comprises IGF-I, IGF
II, and six high-affinity IGF-binding proteins (IGFBPs). IGFs stimulate cell
growth in many types of tissue; IGF-binding proteins regulate cellular actions
and can affect cell growth. IGF-I is involved in differentiation, proliferation,
and matrix formation in osteoblasts; IGFBP-5 is associated with the extracellular
matrix (ECM) and can potentiate the actions of IGF-I. We investigated the effect
of ECM proteins on the responses of MC3T3-E1 osteoblast cells to IGF-I and IGFBP
5. In addition, because extracellular signal-regulated kinases 1 and 2 (Erk 1/2)
affect cell growth, we evaluated the effects of IGFBP-5 on Erk 1/2
phosphorylation in MC3T3-E1 cells. IGF-I caused an increase in IGFBP-5 expression
in cultured MC3T3-E1 cells, and IGF-I plus IGFBP-5 significantly increased cell
growth. Likewise, the addition of IGF-I and IGFBP-5 to cultured MC3T3-E1 cells
increased the synthesis of the ECM proteins osteopontin (OPN) and thrombospondin
1 (TSP-1), which can bind to alphaVbeta3 integrin receptors on the cell surface.
By contrast, the addition of an antibody against ECM proteins inhibited the
effects of OPN and TSP-1 on IGFBP-5 expression. The stimulatory effect of IGFBP-5
was mediated via Erk 1/2 activation. These data suggest that IGFBP-5 regulates
Erk 1/2 phosphorylation in cultured MC3T3-E1 cells via ECM proteins that may
ultimately stimulate the growth of osteoblasts. We determined whether occupation
of the alphaVbeta3 integrin receptor affects IGF-I receptor (IGF-IR)-mediated
signaling and function in MC3T3-E1 osteoblast cells. Occupation of the
alphaVbeta3 integrin receptor with ECM proteins induced IGF-I-stimulated IGF-IR
phosphorylation. Conversely, in the presence of the alphaVbeta3-specific
disintegrin echistatin, IGF-I-stimulated IGF-IR activation was inhibited. IGF-I
stimulated IGF-IR phosphorylation was accompanied by IRS-1 phosphorylation and
MAPK activation. However, these effects were attenuated by echistatin. Thus,
occupancy of the alphaVbeta3 disintegrin receptor modulates IGF-I-induced IGF-IR
activation and IGF-IR-mediated function in MC 3T3-E1 osteoblasts.
PMID- 18057551
TI - Diabetes, metallothionein, and zinc interactions: a review.
AB - Epidemiological evidence, associating diabetes with zinc (Zn) deficiencies, has
resulted in numerous research studies describing the effects of Zn and associated
metallothionein (MT), on reducing diabetic complications associated with
oxidative stress. MT has been found to have a profound effect on the reduction of
oxidative stress induced by the diabetic condition. Over expression of MT in
various metabolic organs has also been shown to reduce hyperglycaemia-induced
oxidative stress, organ specific diabetic complications, and DNA damage in
diabetic experimental animals, which have been further substantiated by the
results from MT-knockout mice. Additionally, supplementation with Zn has been
shown to induce in vivo MT synthesis in experimental animals and to reduce
diabetes related complications in both humans and animal models. Although the
results are promising, some caution regarding this topic is however necessary,
due to the fact that the majority of the studies done have been animal based.
Hence more human intervention trials are needed regarding the positive effects of
MT and Zn before firm conclusions can be made regarding their use in the
treatment of diabetes.
PMID- 18057552
TI - Antidiabetic zinc(II)-N-acetyl-L-cysteine complex: evaluations of in vitro
insulinomimetic and in vivo blood glucose-lowering activities.
AB - The diabetic state is known to induce oxidative stress in its mechanism, which in
turn is responsible for the complications of diabetes mellitus (DM). Recently, we
found that Zn(II) complexes have in vitro insulinomimetic and in vivo blood
glucose-lowering activities. During our study on the development of new Zn(II)
complexes with antioxidative ligands involving L-cysteine, L-cysteine
methylester, and N-acetyl-L-cysteine (nac), we found a new (N-acetyl-L
cysteinato)Zn(II) (Zn(nac)) complex by evaluating of both its in vitro
insulinomimetic and in vivo potencies. The insulinomimetic activity of Zn(nac)
with respect to the inhibition of free fatty acid release in isolated rat
adipocytes treated with epinephrine was higher than that of a well-known
insulinomimetic VOSO4, being equivalent to that of ZnSO4. The blood glucose level
of hyperglycemic KK-Ay mice with type 2 DM was reduced by daily intraperitoneal
injections of Zn(nac) for 28 days. Their serum insulin, HbA1c, TCHO, and UN
levels were remarkably decreased, indicating that Zn(nac) improved the insulin
resistance of the mice. The improvement of DM by Zn(nac) was also confirmed by
the oral glucose tolerance test. In conclusion, Zn(nac) complex is proposed to
attenuate both hyperglycemia and hyperinsulinemia in KK-Ay mice by decreasing
serum insulin, HbA1c, UN, and TCHO levels.
PMID- 18057554
TI - Interleukin-8 gene polymorphism -251T>A and Alzheimer's disease.
PMID- 18057553
TI - Apoptotic death induces Abeta production and fibril formation to a much larger
extent than necrotic-like death in CGNs.
AB - In this study we report that apoptotic death of primary cultures of cerebellar
granule neurons is accompanied by release of thioflavin-binding proteins -
indicative of the presence of beta-sheet structures - and fibril formation in the
culture medium. When the same neurons are subjected to an excytotoxic death
caused by 100 microM glutamate exposure, the amount of thioflavin binding is
markedly reduced. Western blot analysis shows that fibrils contain monomers,
dimers and trimers of amyloid-beta (Abeta) which, when observed at the electron
microscope, have morphologies reminiscent of fibrils of senile plaques. These
findings demonstrate that triggering an apoptotic pathway leads to beta-sheet
transition and fibril formation of a protein primarily involved in Alzheimer's
disease and may be of direct relevance to the possible link between apoptosis and
this neuropathology.
PMID- 18057555
TI - Bcl-2 overexpression protects against amyloid-beta and prion toxicity in GT1-7
neural cells.
AB - In this study we analysed the effect of Bcl-2 on the cytotoxicity induced by the
amyloid-beta (Abeta(25-35)) and prion (PrP(106-126)) peptides by using GT1-7puro
and GT1-7bcl-2 (overexpressing the anti-apoptotic protein Bcl-2) neural cells.
Exposure to Abeta(25-35) (1-5 microM) and PrP(106-126) (25 microM) caused a
decrease in cell viability, as determined by the 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT) assay. These data were correlated with Abeta(25
35) and PrP(106-126)-induced activation of caspase-9, which is linked to the
mitochondrial death pathway, and the activation of the effector caspase-3,
suggesting cell death by apoptosis. Furthermore, Bcl-2 overexpression protected
from loss of cell viability and caspase-9 and -3 activation induced by Abeta(25
35) and PrP(106-126), showing that Bcl-2 is neuroprotective against apoptotic
cell death caused by amyloidogenic peptides.
PMID- 18057556
TI - Increased neurogenesis in young transgenic mice overexpressing human APP(Sw,
Ind).
AB - APP overexpressing mice have been widely used in the study of Alzheimer's disease
(AD), focusing mainly at older ages, with higher accumulation of amyloid-beta
peptide (Abeta). A decrease in hippocampal adult neurogenesis has been described
in these models and proposed to be a consequence of Abeta accumulation. Only one
study demonstrates increased neurogenesis in the hippocampus of APP
overexpressing J20 mice, and suggests it is a compensatory effect due to a subtle
Abeta-induced damage. We have previously reported that a specific aggregation of
Abeta has neurogenic potential on neural stem cells (NSC) in vitro. In order to
clarify the contradicting data reported in vivo, we investigated NSC
proliferation and neuronal differentiation in the hippocampi of J20 mice at a
broader range of ages. Using immunohistochemistry, we show increased
proliferation and neuronal differentiation in the hippocampi of 3 month-old J20
mice that reverted when animals became older. The increase in neurogenesis
correlated with detectable levels of oligomeric Abeta, measured by ELISA and
western blot. We suggest that oligomeric Abeta directly induces neurogenesis in
vivo as has been demonstrated in vitro. Understanding the mechanisms underlying
these changes could lead to treatments to control the neuronal differentiation of
endogenous precursors through the progress of AD.
PMID- 18057557
TI - Mercaptopropionaldehyde from homocysteine: implications for Alzheimer's disease.
AB - There has been evidence for a causal relationship between homocysteine and
Alzheimer's disease for several years but the mechanism is unclear. In vivo, some
homocysteine is converted to the thiolactone. This report describes a novel
reaction between homocysteine thiolactone and dehydroascorbic acid in which the
homocysteine thiolactone is converted to 3-mercaptopropionaldehyde. This product
is shown to react with proteins causing their precipitation (probably by cross
linking). The two reactions are extremely facile and appear to be physiologically
compatible suggesting a mechanism by which homocysteine may promote the
deposition of proteins in nerve cells as amyloid plaques and fibrillary tangles.
PMID- 18057558
TI - Serotonin transporter gene polymorphism and BPSD in mild Alzheimer's disease.
AB - The purpose of the present study was to confirm an association of functional
polymorphism within the serotonin transporter (5-HTT) gene with Alzheimer's
disease (AD) and behavioral and psychological symptoms of dementia (BPSD) in mild
AD. Apolipoprotein E (ApoE) gene polymorphism and 2 types of functional
polymorphism in the 5-HTT gene, 5-HTT-linked polymorphic region (5-HTTLPR) and a
5-HTT variable number of tandem repeats sequence (5-HTTVNTR) were analyzed
longitudinally in outpatients with mild AD to find out whether there was a
relation between any such polymorphisms and the occurrence of BPSD. No
significant differences in genotype distribution or allele frequencies were
identified for 5-HTTLPR or 5-HTTVNTR between AD patients and age- and sex-matched
non-demented controls regardless of ApoE epsilon4 allele. No significant
differences were noted in 5-HTTLPR genotype or allele distributions between AD
patients with or without BPSD. However, significant associations were observed
between presence of 5-HTTVNTR allele 10 and BPSD or aggressiveness. This
difference was independent of the presence of the ApoE epsilon4 allele. As a
result, 5-HTT polymorphisms are unlikely to play any substantial role in
susceptibility to AD. Conversely, 5-HTTVNTR influences the risk of developing
BPSD or aggressiveness and genetic variations in the 5-HTT gene may be involved
in the development of symptomatology for mild AD.
PMID- 18057559
TI - Intra-individual stability of CSF biomarkers for Alzheimer's disease over two
years.
AB - This study examines the intra-individual stability of cerebrospinal fluid (CSF)
biomarkers for Alzheimer's disease (AD) over 2 years in 83 patients with mild
cognitive impairment (MCI) and 17 cognitively healthy control individuals. All
participants underwent clinical and neuropsychological evaluation and lumbar
puncture at baseline and after 2 years at a university hospital memory clinic.
CSF was analyzed for total tau (T-tau), phospho-tau(181) (P-tau(181)) and amyloid
beta(1-42) (Abeta(1-42)). During the 2-year observational time, 12 MCI patients
progressed to AD and 3 progressed to vascular dementia, while 68 remained stable.
Baseline T-tau and P-tau(181) levels were elevated in the MCI-AD group as
compared to the stable MCI patients and the control group (p<0.01), while
baseline Abeta(1-42) levels were lower (p<0.001). Stable MCI patients were
biochemically indistinguishable from controls. The biomarker levels at baseline
and after 2 years showed Pearson R values between 0.81 and 0.91 (p<0.001) and
coefficients of variation of 7.2 to 8.7%. In conclusion, intra-individual
biomarker levels are remarkably stable over 2 years. Thus, even minor biochemical
changes induced by treatment against AD should be detectable using these
biomarkers, which bodes well for their usefulness as surrogate markers for drug
efficacy in clinical trials.
PMID- 18057560
TI - Binding of proteases to fibrillar amyloid-beta protein and its inhibition by
Congo red.
AB - Fibrillar amyloid-beta protein (fAbeta) is the principal component of amyloid
plaques in the brains of patients with Alzheimer's disease (AD). We have recently
reported that activity of trypsin is inhibited by fAbeta and that trypsin can
bind to fAbeta. Neprilysin and insulysin are important proteases for the
clearance of soluble Abeta. Here, we report that fAbeta also binds to neprilysin
and insulysin, which results in the inhibition of their proteolytic activities.
These findings suggest that clearance of soluble Abeta may be defective in AD
because of binding of proteases to amyloid plaques, leading to inactivation of
proteases that are required for catabolism of Abeta. The identification of
compounds that can inhibit binding of proteases to fAbeta may, therefore, be of
significance for therapeutic intervention in AD. Congo red and Thioflavin T are
widely used for histopathological examination of amyloid plaques because of their
strong affinity to fibrillar amyloid proteins. We examined the effect of Congo
red and Thioflavin T (potent fAbeta-binding compounds) on the binding of
different proteases to fAbeta. While Congo red inhibited the binding of trypsin,
neprilysin and insulysin to fAbeta, Thioflavin T did not have any effect. The
effect of Congo red was concentration-dependent and the inhibitory effect was in
the order of trypsin > insulysin > neprilysin. When the effect of prebound-Congo
red to fAbeta was examined, trypsin was unable to bind to this complex suggesting
that Congo red may have better affinity than trypsin for binding to fAbeta. Based
on these results, we propose that the inhibition of binding of proteases to
amyloid plaques may help in reducing the deposition of Abeta in AD.
PMID- 18057561
TI - Under-expression of Kalirin-7 Increases iNOS activity in cultured cells and
correlates to elevated iNOS activity in Alzheimer's disease hippocampus.
AB - Recently, it has been reported that Kalirin gene transcripts are under-expressed
in AD hippocampal specimens compared to the controls. The Kalirin gene generates
a dozen Kalirin isoforms. Kalirin-7 is the predominant protein expressed in the
adult brain and plays crucial roles in growth and maintenance of neurons. Yet its
role in human diseases is unknown. We report that Kalirin-7 is significantly
diminished both at the mRNA and protein levels in the hippocampus specimens from
19 AD patients compared to the specimens from 15 controls. Kalirin-7 associates
with iNOS in the hippocampus, and therefore, Kalirin-7 is complexed with iNOS
less in AD hippocampus extracts than in control hippocampus extracts. In cultured
cells, Kalirin-7 associates with iNOS and down-regulates the enzyme activity. The
down-regulation is attributed to the highly conserved 33 amino acid sequence,
K(617) -H(649), of the 1,663 amino acids long Kalirin-7. Remarkably, the iNOS
activity is considerably higher in the hippocampus specimens from AD patients
than the specimens from 15 controls. These observations suggest that the under
expression of Kalirin-7 in AD hippocampus correlates to the elevated iNOS
activity.
PMID- 18057562
TI - A method for a mechanical characterisation of human gluteal tissue.
AB - The most common complication associated with immobilization is pressure sores
caused by sustained localized tissue strain and stress. Computational simulations
have provided insight into tissue stress-strain distribution, subject to loading
conditions. In the simulation process, adequate soft tissue material parameters
are indispensable. An in vivo procedure to characterise material parameters of
human gluteal skin/fat and muscle tissue has been developed. It employs a
magnetic resonance imaging (MRI) device together with an MRI compatible loading
device. Using the derived data as constraints in an iterative optimization
process the inverse finite element (FE) method was applied. FE-models were built
and the material constants describing skin/fat and muscle tissue were
parameterized and optimized. Separate parameter sets for human gluteal skin/fat
and muscle were established. The long-term shear modulus for human gluteal
skin/fat was G_{infinity, S/F}= 1182 Pa and for muscle G_{infinity, M} = 1025 Pa.
The Ogden form for slightly compressible materials was chosen to define passive
human gluteal soft tissue material behaviour. To verify the approach, the human
skin/fat-muscle tissue compound was simulated using the derived material
parameter sets and the simulation result was compared to empirical values. A
correlation factor of R;{2} = 0.997 was achieved.
PMID- 18057563
TI - The influence of quality criteria on parents' evaluation of medical web-pages: an
Italian randomised trial.
AB - The aim of this study is to verify the usefulness for parents of a web evaluation
framework composed of ten quality criteria to improve their ability to assess the
quality level of medical web sites. We conducted a randomised controlled trial
that included two groups of parents who independently evaluated five paediatric
web sites by filling out two distinct questionnaires: group A with the evaluation
framework, group B without it. 40 volunteers were recruited from parents
referring to the General Paediatrics Out-patients Department who satisfied the
following eligibility criteria: Internet users, at least 1 child under 12 months
old, no professional skill in Internet and medicine. The survey was taken between
February 2, 2000 and March 22, 2000. Parents evaluated each web site and assigned
a score, compared with a gold standard created by a group of experts. Suggesting
evaluation criteria to parents seem useful for an improvement of their ability to
evaluate web sites.
PMID- 18057564
TI - Reference marker stability in computer aided orthopedic surgery: a biomechanical
study in artificial bone and cadavers.
AB - INTRODUCTION: In computer assisted orthopaedic surgery, rigid fixation of the
Reference Marker (RM) system is essential for reliable computer guidance. A
minimum shift of the RM can lead to substantial registration errors and
inaccuracies in the navigation process. Various types of RM systems are available
but there is little information regarding the relative stabilities of these
systems. The aim of this study was to test the rotational stability of three
commonly used RM systems. MATERIALS AND METHODS: One hundred and thirty Synbones
and 15 cadavers were used to test the rotational stability of three different RM
systems (Schanz' screw, RM B and RM C adjustment systems). Using a specially
developed testing device, the peak torque sustained by each RM system was
assessed in various anatomical sites. RESULTS: Comparison of means for Synbone
showed that the RM C was the most stable (mean peak torque 5.60 +/- 1.21 Nm)
followed by the RM B system (2.53 +/- 0.53 Nm) and the RM A (0.77 +/- 0.39 Nm)
(p<0.01). The order of stability in relation to anatomical site was femoral
shaft, distal femur, tibial shaft, proximal tibia, anterior superior iliac spine,
iliac crest and talus. Results from the cadaver experiments showed similar
results. Bi-cortical fixation was superior to mono-cortical fixation in the femur
(p<0.01) but not the tibia (p=0.22). CONCLUSION: The RM system is the vital link
between bone and computer and as such the stability of the RM is paramount to the
accuracy of the navigation process. In choosing RM systems for computer navigated
surgery surgeons should be aware of their relative stability. Anatomical site of
RM placement also affect the stability. Mono-cortical fixation is generally less
stable than bi-cortical.
PMID- 18057565
TI - Dynamic iso-resistive trunk extension simulation: contributions of the intrinsic
and reflexive mechanisms to spinal stability.
AB - The effects of external resistance on the recruitment of trunk muscles and the
role of intrinsic and reflexive mechanisms to ensure the spinal stability are
significant issues in spinal biomechanics. A computational model of spine under
the control of 48 anatomically oriented muscle actions was used to simulate iso
resistive trunk movements. Neural excitation of muscles was attained based on
inverse dynamics approach along with the stability-based optimization. The effect
of muscle spindle reflex response on the trunk movement stability was evaluated
upon the application of a perturbation moment. In this study, the trunk extension
movement at various resistance levels while extending from 60 degrees flexion to
the upright posture was investigated. Incorporation of the stability condition as
an additional constraint in the optimization algorithm increased antagonistic
activities for all resistance levels demonstrating that the co-activation caused
an increase in the intrinsic stiffness of the spine and its stability in a feed
forward manner. During the acceleration phase of the movement, extensors activity
increased while flexors activity decreased in response to the higher resistance.
The co-activation ratio noticed in the braking phase of the movement increased
with higher resistance. In presence of a 30 Nm flexion perturbation moment,
reflexive feed-back noticeably decreased the induced deviation of the velocity
and position profiles from the desired ones at all resistance levels. The
stability-generated co-activation decreased the reflexive response of muscle
spindles to the perturbation demonstrating that both intrinsic and reflexive
mechanisms contribute to the trunk stability. The rise in muscle co-activation
can ameliorate the corruption of afferent neural sensory system at the expense of
higher loading of the spine.
PMID- 18057567
TI - Using the International Classification of Functioning, Disability and Health
(ICF) in job placement.
AB - The International Classification of Functioning, Disability and Health (ICF)
presents a new paradigm for understanding health and health-related conditions
and promises to be a useful tool in job placement. The ICF framework is
compatible with ecological, systems-based approaches to placement and can assist
vocational rehabilitation professionals in identifying needed interventions,
implementing effective placement strategies, and assessing employment outcomes.
This article presents an overview of the ICF, its potential applications in job
placement practice and research, and provides a case study example. Current
limitations of the ICF and possible future directions are also discussed.
PMID- 18057566
TI - A pilot study to evaluate self initiated computer patient education in children
with acute asthma in pediatric emergency department.
AB - OBJECTIVE: The goal of the study was to investigate the feasibility and
acceptance of an interactive computer assisted asthma education program in the
pediatric emergency department (ED) for children with acute asthma exacerbations.
METHODS: A pre-post non- randomized study was designed for children age 3 to 18
years at the University of Maryland Hospital for Children, Baltimore. An
interactive computer-assisted educational program, Patient Education and
Motivation Tool (PEMT), was designed using learning theories, to teach children
about asthma and its management. Sixty nine children were enrolled during an ED
visit for acute asthma between May 2006 and November 2006. Socio-demographic and
asthma knowledge information was gathered using questionnaires. An attitudinal
survey was used to assess the acceptance of the program. RESULTS: Eighty one
percent (n=56) of the children found PEMT very easy to use, and seventy five
percent (n=52) agreed to use it in near future. There was a significant thirteen
percent improvement in knowledge of asthma after the completion of this computer
assisted asthma education program (p=0.01). CONCLUSION: PEMT is highly acceptable
and is an effective way to provide asthma education in an ED setting.
PMID- 18057568
TI - A systems theory approach to career decision making.
AB - Many career development studies have linked career indecision, an inability to
make a decision about the vocation one wishes to pursue, to interpersonal and
intrapersonal processes. Systems theory can help to explain the processes behind
these concepts in a way that other theories have not been able to explain.
Systems Theory Framework, (STF, Patton and McMahon, 1997), incorporates both the
contextual system, e.g., parents and peers, and the individual system (i.e.,
STF's content component). Process, the second component, identifies the presence
of recursive interaction processes within the individual and the context, as well
as, between the individual and the context. STF brings back the value of
interdependence. Specific systemic constructs are useful in career decision
making and can add a practical dimension on to the counseling process.
PMID- 18057569
TI - Work place issues surrounding healthcare professionals with disabilities in the
current labor market.
AB - Healthcare professionals, nurses with and without disabilities, administrators
and personnel directors were surveyed to explore hiring practices and attitudes
towards working with nurses with disabilities employed in clinical settings.
Specifically the purpose of the study was to gather and analyze data regarding
the perceptions of two groups towards nurses with disabilities: 1) employers and
2) other nurses (with and without a disability). The study defined a nurse with a
disability as an RN who has an activity limitation, specifically an altered
activity capability which limits mobility, ambulation, strength, coordination, or
equilibrium. Findings suggest that nurses are more likely to be willing to work
with an RN with a disability if they had worked with one in the past. However,
overall acceptance of RNs with disabilities was not broad.
PMID- 18057570
TI - Gender as a differential indicator of the employment discrimination experiences
of Americans with multiple sclerosis.
AB - Information from the Integrated Mission System of the United States Equal
Employment Opportunity Commission (EEOC) was used to investigate the employment
discrimination experiences of women and men with multiple sclerosis (MS).
Spanning the years 1992 to 2003, the EEOC database included 3,663 allegations of
discrimination filed by 2,167 adults with MS. With respect to women and men with
MS, the researchers examined the comparability of a) demographic characteristics;
b) industry designations, locations, and size of employers; c) the nature of
discrimination alleged; and d) the legal outcome or resolution of those
allegations. On average, women and men with MS were in their early forties, with
the majority of both groups being Caucasian. Both women and men were most likely
to allege discrimination related to discharge and reasonable accommodations,
although women were more likely to file harassment charges than men. Men with MS
were more likely to allege discrimination regarding hiring and reinstatement.
Women with MS were more likely to file allegations against employers in the
service industries, and men were more likely to file allegations against
employers in the construction, manufacturing, and wholesale industries. No gender
differences were found in the geographic distribution of allegations. Both groups
had comparable rates of merit closures (23% vs. 27%) as a result of the EEOC's
investigatory process. Implications for rehabilitation counseling and employer
oriented interventions are discussed.
PMID- 18057571
TI - Workplace discrimination and cancer.
AB - Data from the Equal Employment Opportunity Commission (EEOC) Integrated Mission
System database were analyzed with specific reference to allegations of workplace
discrimination filed by individuals with cancer under ADA Title One. These 6,832
allegations, filed between July 27, 1992 and September 30, 2003, were compared to
167,798 allegations from a general disability population on the following
dimensions: type of workplace discrimination; demographic characteristics of the
charging parties (CPs); the industry designation, location, and size of
employers; and the outcome or resolution of EEOC investigations. Results showed
allegations derived from CPs with cancer were more likely than those in the
general disability population to include issues involving discharge, terms and
conditions of employment, lay-off, wages, and demotion. Compared to the general
disability group, CPs with cancer were more likely to be female, older, and
White. Allegations derived from CPs with cancer were also more likely to be filed
against smaller employers (15-100 workers) or those in service industries.
Finally, the resolution of allegations by CPs with cancer were more likely to be
meritorious than those filed from the general disability population; that is,
actual discrimination is more likely to have occurred.
PMID- 18057572
TI - Brain hemisphere dominance and vocational preference: a preliminary analysis.
AB - Recent developments in split-brain theory add support to the concept of
specialization within brain hemispheres. Holland's vocational personality theory
may overlap with Human Information Processing (HIP) characteristics. Holland's
six RIASEC codes were developed to identify vocational personality
characteristics, and HIP scales were designed to measure hemispheric laterality.
Relationships between the two scales were evaluated through canonical correlation
with some significant results, however not all Holland scale scores correlated
with left, right, or integrated hemispheric preference. Additional findings
related to participants self-perception of music and math ability were also
correlated. Findings on this added analysis revealed a high correlation between
perception of musical ability and right brain function but not between
mathematical concept and left brain alone. Implications regarding vocational
choice and work are discussed.
PMID- 18057573
TI - Problem gambling in the workplace, characteristics of employees seeking help.
AB - Few rigorous research studies exist to define the impact problem gambling may
have on the workforce and the workplace. This study is an initial attempt to
address this void by exploring the vocational patterns and demographics of
callers with self report gambling problems to a state helpline. It utilizes Chi
squared Automatic Interaction (CHAID) Technique analysis to assess 1072 working
age callers with gambling related problems. The goal of this exploratory
investigation is to determine if the issue of problem gambling in the workplace
warrants further research and, potentially, design of interventions. Discussion
centers on the use of the information for development of employer based
prevention and intervention efforts.
PMID- 18057574
TI - An ecological approach to facilitate successful employment outcomes among people
with multiple chemical sensitivity.
AB - Many adults with multiple chemical sensitivity (MCS) must prematurely exit the
workforce because of the severity of their MCS symptoms, the resulting disability
stigma, and their unmet needs for on-the-job accommodations. To help individuals
with MCS continue working or re-enter employment, rehabilitation professionals
must understand the barriers resulting in unemployment and implement
interventions to reduce or remove those barriers. In this article, the Ecological
Model of Career Development is presented as a scheme for identifying barriers and
implementing rehabilitation interventions to improve the employment outcomes
among people with MCS.
PMID- 18057575
TI - Situational leadership and persons with disabilities.
AB - Does situational leadership style impact workers with disabilities? Situational
leadership as a model and style of organizational management is defined. With a
concentration on workers with disabilities, employer and employee perceptions of
the workplace environment are analyzed as a contributing factor to the choice of
leadership styles. Leadership style and its potential impact on workers with
disabilities are included. Advantages of situational leadership style as an
organizational model for managers that matches the intricate needs of workers
with disabilities are argued. Methods for increasing awareness of the needs of
persons with disabilities in the workplace and improving leadership models are
discussed. Implications and potential outcomes for workers with disabilities
based on the use of situational leadership by managers are discussed.
PMID- 18057576
TI - Vaccination against mosquito borne viral infections: current status.
AB - Mosquito borne infectious diseases are among important group of diseases
worldwide. Vaccination is available for some tropical mosquito-borne diseases,
especially for Japanese encephalitis virus infection and yellow fever. There are
also several attempts to develop new vaccines for the other mosquito-borne
diseases such as malaria, dengue infection and West Nile virus infection. In this
article, the author reviews the issues on vaccination of some important tropical
mosquito borne infectious diseases.
PMID- 18057577
TI - Increased expression of TRAIL and its receptors on peripheral T-cells in type 1
diabetic patients.
AB - BACKGROUND: Type-I diabetes is an autoimmune inflammatory disease in which
pancreatic beta-cells are selectively destroyed by infiltrating cells. TNF
related apoptosis-inducing ligand (TRAIL) is a type-II membrane protein of the
TNF superfamily which is expressed in different tissues, including pancreas and
lymphocytes. In humans, TRAIL interacts with four membrane receptors. TRAIL-R1
and TRAIL-R2 have cytoplasmic death domains, and can activate both caspases and
NFkappaB pathways. The other two receptors, TRAIL-R3 and TRAIL-R4, are decoy
receptors not capable of activating caspase cascade but may activate NF-kappaB
and block apoptosis. As human beta cells are sensitive to TRAIL induced
apoptosis, signaling via these molecules is considered to be a probable way of
beta cell destruction. These molecules also are important in suppression of
autorective T cells and immunoregulation. OBJECTIVE: To explore the importance of
TRAIL and its receptors at pathogenesis of type-I diabetes, we compared
expression of these molecules on T-cells of diabetic patients and healthy
controls. METHODS: In this study, expression of TRAIL and its receptors at
protein and mRNA levels were studied in freshly isolated peripheral T cells of 55
type I diabetic patients and 50 healthy individuals by flowcytometry, western
blot and RT-PCR. RESULTS: We found that expression of TRAIL and its receptors in
peripheral T-cells at both protein and mRNA levels are significantly increased in
patients (except for TRAIL-R2 mRNA which was slightly higher in controls) but
increase in TRAIL, TRAIL-R3 (2.7% vs. >0.5%) and TRAIL-R4 (2.6% vs. >0.5%) is
more considerable. sTRAIL in sera of patients was significantly lower than in
controls (P=0.01). CONCLUSION: Our results explain resistance of autoreactive T
cells to immunoregulatory mechanisms. Besides, increased expression of TRAIL in
autoreactive T-cells may play an important role in beta-cell destruction. Lower
level of sTRAIL in diabetic patients may be a reason for hyperactivation of
autoreactive T-cells.
PMID- 18057578
TI - Production and characterization of a monoclonal antibody against an antigen on
the surface of non-small cell carcinoma of the lung.
AB - BACKGROUND: Lung carcinoma is a multiple type cancer comprising of small cell and
non-small cell carcinomas (NSCLC). For therapeutic and diagnostic purposes, serum
monoclonal antibodies have been produced against lung cancer. OBJECTIVE: To
characterize a murine monoclonal antibody (ME3D11) reactive with human NSCLC.
METHODS: A murine monoclonal antibody (ME3D11) reactive with human NSCLC was
selected after immunization of BALB/c mice with a human large cell carcinoma with
neuroendocrine differentiation, and was tested by immunofloursence staining and
Western blot analysis. RESULTS: Our study showed that the antigen recognized by
ME3D11 antibody was a cell surface antigen of 170kDa. This antigen is expressed
on the cell surface of all NSCLC and a few carcinoma cell lines. In contrast,
this antigen is neither expressed on the cell surface of human sarcoma, nor on
the hematopoietic and normal cell lines. This antibody had no effect on
spontaneous proliferation of Mehr-80 cell line in vitro. CONCLUSIONS: High degree
of binding of this monoclonal antibody to NSCLC and some other carcinoma cells
warrants further studies on its potential use in diagnosis and therapy of cancer
by conjugation to drugs, toxins or radionuclides.
PMID- 18057579
TI - Aqueous humor levels of soluble Fas and Fas-ligand in patients with primary open
angle and pseudoexfoliation glaucoma.
AB - BACKGROUND: Glaucoma is one of the most common causes of blindness and is usually
associated with elevated intraocular pressure. In patients with primary open
angle glaucoma the number of trabecular meshwork cells is decreased. Death of the
trabecular meshwork cells may be a result of apoptosis. OBJECTIVE: To investigate
the aqueous humor levels of soluble Fas (sFas) and Fas-Ligand (sFasL) in
glaucomatous patients. METHODS: Concentration of sFas and sFasL were measured by
ELISA in 41 eyes with glaucoma (21 with pseudoexfoliation and 20 with primary
open angle glaucoma) and 39 eyes with cataract as controls. RESULTS: The sFas
concentration was lower in the primary open angle than the pseudoexfoliation
glaucoma and the cataract groups (P=0.002 and P= 0.004, respectively). The sFasL
level did not show any significant difference in the three groups. CONCLUSION: A
lower level of sFas may provide proper microenvironment for increased apoptosis
of trabecular meshwork cells in primary open angle glaucoma.
PMID- 18057580
TI - Effect of Ganoderma lucidum on cytokine release by peritoneal macrophages.
AB - BACKGROUND: The water-soluble extract of Ganoderma lucidum (Reishi) has been used
as an immunomodulator to stimulate spleen cells proliferation and cytokine
expression. OBJECTIVES: To investigate the effect of Ganoderma lucidum (G.
lucidum) on cytokine production by mice peritoneal macrophages. METHODS: Mice
peritoneal macrophages were prepared by intra-peritoneal injection of 5 ml cold
PBS. Peritoneal macrophages were plated out at 1X10(6) cell/well in 1ml RPMI 1640
medium supplemented with 10%FCS, 50 microg streptomycin and 50U penicillin. Cells
were incubated in the presence or absence of different concentrations of G.
lucidum at 37 degrees C and 5% CO2 for 48 hours. Cell free medium was removed and
used for cytokine assay by ELISA method (Bender med system). RESULTS: The results
showed no significant differences in cell viability at concentrations ranged from
0-40 microg/ml compared with control group. G. lucidum enhanced IL-1beta, TNF
alpha and NO production in a concentration dependent manner. However, it is not
clear if the enhancement of NO release is due to direct effect of G. lucidum on
NO synthesis or by indirect endogenous modulation via cytokines. IL-12 release by
peritoneal macrophages was also increased in response to different concentrations
of G. lucidum, but maximum enhancement was induced in response to 5 microg/ml of
G. lucidum (P<0.001). CONCLUSION: Our results indicate that G. lucidum at
concentrations used has a positive effect on cytokine release and NO production
by peritoneal macrophages. Therefore, it is concluded that G. lucidum at moderate
concentrations improves macrophage function through cytokine and NO release.
PMID- 18057581
TI - ICAM-1 polymorphisms (G241R, K469E), in coronary artery disease and myocardial
infarction.
AB - BACKGROUND: Inflammation plays a critical role in atherogenesis. The initial step
in atherosclerosis is the adhesion of leukocytes to activated endothelial cells
mediated by ICAM-1, an inflammatory protein. Several polymorphisms for
Intracellular adhesion molecule -1(ICAM-) gene have been described. OBJECTIVES:
To determine the possible role of G241R and K469E polymorphisms in development of
coronary artery disease and MI. METHODS: G241R polymorphism was investigated in
303 patients with angiographically documented CAD, including 151 patients with
acute or chronic myocardial infarction (MI), and a control group consisting of
141 healthy subjects with normal coronary angiogram. K469E polymorphism was
investigated in 309 patients with CHD, including155 patients with MI, and
compared with 150 healthy subjects without CHD as the control group. Finally,
G241R and K469R polymorphisms were assessed concurrently in 300 patients with CHD
including 152 patients with MI and 140 healthy normal subjects without coronary
heart disease (CHD). RESULTS: Although the frequency of GR and RR genotypes were
higher in the control group compared to the CHD patients, the difference was not
statistically significant (7.09% vs. 5.6% and 1.4% vs. 0%, P=0.27and P=0.24,
respectively). Despite the higher frequency of KK genotype in the CHD group, the
difference was not significant (29.1% vs. 24.6%, P=0.62). KKGG genotype was more
frequent in the CHD group, however the difference was not significant (31.1% vs.
27.3%, P=0.66). CONCLUSION: No strong relation was found between G241R and K469E
polymorphisms and occurrence of CHD and MI in the studied population from Fars
province, Iran.
PMID- 18057582
TI - Cytokine production in Hymenolepis nana infection.
AB - BACKGROUND: Although many experimental studies provide convincing evidence that
type II immunity is protective against helminths, recent data in mice demonstrate
that Th1 is also important in some cestodes like Hymenolepis nana. OBJECTIVES: To
identify the role of Th1 and Th2 lymphocytes in immunity against H. nana, the
levels of IL-12, IFNgamma, IL-5, and IL-13 were determined in serum of humans
infected with this cestode. METHODS: A total of 31 patients (case) with H. nana
infection and 30 clinically healthy individuals (control) was included in this
study. Measurements of IL-12, IFNgamma, IL-13 and IL-5 in serum samples were
performed by solid-phase sandwich enzyme linked immunosorbant assay. Differential
leukocyte count was also done. T test, Mann Whitney U test and Wilcoxan W test
were used for data analysis. RESULTS: The mean concentrations of IFNgamma, IL-12
and IL-5 in the sera of patients with H. nana infection were higher than the
control group, but only the differences between the concentrations of IFNgamma
(P<0.001) and IL-13 (P<0.05) in the two groups were significant. There was an
increase in the percentage of monocytes, eosinophils and lymphocytes in patients
when compared to the controls, but this increase was not significant.
CONCLUSIONS: Results from the present study in humans are in agreement with
experimental studies in animals in which both Th1 and Th2 responses occur in H.
nana infection.
PMID- 18057583
TI - HLA class I gene polymorphism in Iranian patients with Papillon-Lefevre Syndrome.
AB - BACKGROUND: Papillon-Lefevre Syndrome (PLS) is a rare autosomal recessive
disorder characterized by diffused palmoplantar keratoderma and severe
periodontitis. Increased susceptibility to infections due to impairment of the
immune system is considered to be involved in pathoetiology of this disease.
OBJECTIVES: According to the crucial function of HLA molecules in immune
responses and association between certain HLA class I alleles and some
periodontal or skin diseases, this study was designed to evaluate the relation of
HLA class I genes and PLS. METHODS: HLA class I genes were typed by PCR-SSP
(Polymerase Chain Reaction with Sequence Specific Primers) method in eight
Iranian PLS patients and 89 healthy controls. RESULTS: The results showed no
significant difference between the patients and controls. Moreover, identical
haplotypes or genotypes were also observed among PLS patients and their healthy
siblings. CONCLUSIONS: It seems that further genes are involved in genetic
susceptibility to PLS. However the results of this study showed no significant
association between HLA class I genes and PLS, molecular analyses of killer
immunoglobulin-like receptors (KIRs) and MHC class I chain-related gene A and B
(MICA/B) in PLS may clear many obscure points about the genetic factors involved
in these diseases.
PMID- 18057584
TI - Bi5O7Br and its structural relation to alpha-Bi5O7I.
AB - Pentabismuth heptoxide bromide, Bi5O7Br, crystallizes in the space group Cmca.
Its structure is compared with the closely related Ibca structure of alpha
Bi5O7I. The change in the space group is assumedly the result of a compromise
between the different spatial needs of Br and I and the rigidity of the
{(3)(infinity)}[Bi, O] frameworks into which they are embedded. A detailed
procedure for the synthesis of Bi5O7Br is given.
PMID- 18057585
TI - Rb3Y2(BO3)3 with a noncentrosymmetric structure.
AB - Trirubidium diyttrium triborate contains zigzag chains of corner-sharing [Y2O10]
dimers. The chains are reinforced by one independent BO3 group and crosslinked by
the other two types of BO3 groups to form a three-dimensional framework. Channels
along the [100] direction accommodate the Rb+ cations.
PMID- 18057586
TI - Monoclinic PZN-8%PT [Pb(Zn(0.3066)Nb(0.6133)Ti(0.08))O(3)] at 4 K.
AB - The structure of the relaxor ferroelectric Pb(Zn(0.3066)Nb(0.6133)Ti(0.08))O(3)
(lead zinc niobium titanium trioxide), known as PZN-8%PT, was determined at 4 K
from very high resolution neutron powder diffraction data. The material is known
for its extraordinary piezoelectric properties, which are closely linked to the
structure. Pseudo-cubic lattice parameters have led to considerable controversy
over the symmetry of the structure. We find the structure to be monoclinic in the
space group Cm (No. 8), with the Zn, Nb and Ti cations sharing the octahedrally
coordinated B site (site symmetry m, special position 2a) and Pb occupying the 12
coordinate A site (site symmetry m, special position 2a). O atoms occupy a
disorted octahedron around the B site (site symmetry m and special position 2a,
and site symmetry 1 and general position 4b). Atomic coordinates have been
determined for the first time, allowing the direction of spontaneous polarization
to be visualized.
PMID- 18057587
TI - Fe(II)2(PO4)(OH), a synthetic analogue of wolfeite.
AB - This paper reports the hydrothermal synthesis and crystal structure refinement of
diiron(II) phosphate hydroxide, Fe(II)2(PO4)(OH), obtained at 1063 K and 2.5 GPa.
This phosphate is the synthetic analogue of the mineral wolfeite, and has a
crystal structure topologically identical to those of minerals of the triplite
triploidite group. The complex framework contains edge- and corner-sharing
FeO4(OH) and FeO4(OH)2 polyhedra, linked via corner-sharing to the PO4 tetrahedra
(average P-O distances are between 1.537 and 1.544 A). Four five-coordinated Fe
sites are at the centers of distorted trigonal bipyramids (average Fe-O distances
are between 2.070 and 2.105 A), whereas the coordination environments of the
remaining Fe sites are distorted octahedra (average Fe-O distances are between
2.146 and 2.180 A). The Fe-O distances are similar to those observed in natural
Mg-rich wolfeite, except for two Fe-O bond distances, which are significantly
longer in synthetic Fe(2+)2(PO4)(OH).
PMID- 18057588
TI - Iranite, CuPb10(CrO4)6(SiO4)2(OH)2, isomorphous with hemihedrite.
AB - This study presents the first structural report of iranite, ideally
CuPb10(CrO4)6(SiO4)2(OH)2 [copper decalead hexachromate bis(orthosilicate)
dihydroxide], based on single-crystal X-ray diffraction data. Iranite is
isomorphous with hemihedrite, with substitution of Cu for Zn and OH for F. The Cu
atom is situated at the special position with site symmetry 1. The CrO4 and SiO4
tetrahedra and CuO4(OH)2 octahedra form layers that are parallel to (120) and are
linked together by five symmetrically independent Pb2+ cations displaying a
rather wide range of bond distances. The CuO4(OH)2 octahedra are corner-linked to
two CrO4 and two SiO4 groups, while two additional CrO4 groups are isolated. The
mean Cr-O distances for the three nonequivalent CrO4 tetrahedra are all slightly
shorter than the corresponding distances in hemihedrite, whereas the CuO4(OH)2
octahedron is more distorted than the ZnO4F2 octahedron in hemihedrite in terms
of octahedral quadratic elongation.
PMID- 18057589
TI - Luminescent properties of three structures built from 3-cyano-4-dicyanomethylene
5-oxo-4,5-dihydro-1H-pyrrol-2-olate and cadmium.
AB - Yellow-orange tetraaquabis(3-cyano-4-dicyanomethylene-5-oxo-4,5-dihydro-1H-pyrrol
2-olato-kappaN(3))cadmium(II) dihydrate, [Cd(C8HN4O2)2(H2O)4] x 2 H2O, (I), and
yellow tetraaquabis(3-cyano-4-dicyanomethylene-5-oxo-4,5-dihydro-1H-pyrrol-2
olato-kappaN(3))cadmium(II) 1,4-dioxane solvate, [Cd(C8HN4O2)2(H2O)4] x C4H8O2,
(II), contain centrosymmetric mononuclear Cd2+ coordination complex molecules in
different conformations. Dark-red poly[[decaaquabis(mu(2)-3-cyano-4
dicyanomethylene-5-oxo-4,5-dihydro-1H-pyrrol-2-olato-kappa(2)N:N')bis(mu(2)-3
cyano-4-dicyanomethylene-1H-pyrrole-2,5-diolato-kappa(2)N:N')tricadmium]
hemihydrate], [Cd3(C8HN4O2)2(C8N4O2)2(H2O)10] x 0.5 H2O, (III), has a polymeric
two-dimensional structure, the building block of which includes two cadmium
cations (one of them located on an inversion centre), and both singly and doubly
charged anions. The cathodoluminescence spectra of the crystals are different and
cover the wavelength range from UV to red, with emission peaks at 377 and 620 nm
for (III), and at 583 and 580 nm for (I) and (II), respectively.
PMID- 18057590
TI - 1,1,1,1,4,4,4,4-Octacarbonyl-2,2,3,3,5,5,6,6-octamethyl-cyclo-2,3,5,6
tetraantimony-1,4-dichromium.
AB - The structure of the title compound, alternatively called bis(mu
tetramethyldistibinediyl)bis(tetracarbonylchromium), [Cr2Sb4(CH3)8(CO)8],
consists of two Me4Sb2 bridging units between Cr(CO)4 complex fragments. The
centre of the molecule is located on a special position of 2/m symmetry. This is
the first characterized Sb4Cr2 heterocycle.
PMID- 18057591
TI - In search of conformationally chiral square-planar complexes: dichloridobis(2
methoxypyridine-kappaN)copper(II).
AB - The title compound, [CuCl2(C6H7NO)2], was synthesized during a study of
conformationally chiral square-planar coordination compounds. The coordination
geometry deviates from the square-planar geometry generally adopted by copper(II)
chloride complexes with pyridine ligands towards a tetrahedral arrangement of
ligands. The complex is conformationally chiral but crystallizes in a
centrosymmetric space group with both enantiomers present in the unit cell.
PMID- 18057592
TI - Two new oximate-bridged square-planar dinuclear nickel(II) complexes.
AB - The synthesis and characterization of two new dinuclear nickel(II) complexes,
namely bis{mu-3-[2-(dimethylamino)ethylimino]butan-2-one oximato}dinickel(II)
bis(perchlorate) acetonitrile solvate, [Ni2(C8H16N3O)2](ClO4)2 x CH3CN, (I), and
bis{mu-3-[2-(dimethylamino)ethylimino]-3-phenylpropan-2-one oximato}dinickel(II)
bis(perchlorate), [Ni2(C13H18N3O)2](ClO4)2, (II), are reported. Single-crystal X
ray analyses of the complexes reveal that the nickel(II) ions are in square
planar N3O environments and form six-membered (NiNO)2 metallacycles. The cation
in (II) possesses crystallographically imposed inversion symmetry.
PMID- 18057593
TI - (Nitrato-kappaO)bis(pyridine-2-carboxamide-kappa2N1,O)mercury(II) nitrate.
AB - In the title compound, [Hg(NO3)(C6H6N2O)2]NO3, the Hg(II) atom is five
coordinate. The distorted square-pyramidal mercury(II) coordination environment
is achieved by two N,O-bidentate picolinamide ligands, with one O-monodentate
nitrate ion in the apical position. A seven-coordinate extended coordination
environment is completed by two additional weak Hg...O interactions, one from the
coordinated nitrate ion and one from the other nitrate ion, to give seven
coordination. The molecules are linked into a two-dimensional network by N-H...O
hydrogen bonds.
PMID- 18057594
TI - Poly[mu2-(N-hydroxypyridine-2-carboxamidine)-mu2-nitrato-silver(I)].
AB - In the title complex, [Ag(NO3)(C6H7N3O)]n or [Ag(NO3)(pyaoxH2)] (pyaoxH2 is N
hydroxypyridine-2-carboxamidine), the Ag+ ion is bridged by the pyaoxH2 ligands
and nitrate anions, giving rise to a two-dimensional molecular structure. Each
pyaoxH2 ligand coordinates to two Ag+ ions using its pyridyl and carboxamidine N
atoms, and the OH and the NH2 groups are uncoordinated. Each nitrate anion uses
two O atoms to coordinate to two Ag+ ions. The Ag...Ag separation via the pyaoxH2
bridge is 2.869 (1) A, markedly shorter than that of 6.452 (1) A via the nitrate
bridge. The two-dimensional structure is fishscale-like, and can be described as
pyaoxH2-bridged Ag2 nodes that are further linked by nitrate anions. Hydrogen
bonding between the amidine groups and the nitrate O atoms connects adjacent
layers into a three-dimensional network.
PMID- 18057595
TI - Lithium aspirinate hemihydrate.
AB - The title compound {systematic name: catena-poly[lithium(I)-mu3-acetylsalicylato
hemi-mu2-aqua]}, {[Li(C9H7O4)] x 0.5 H2O}n, is the hemihydrate of the lithium
salt of aspirin. The carboxylate groups and water molecules bridge between Li
atoms to form a one-dimensional coordination chain composed of two distinct ring
types. The water O atom lies on a twofold axis. Hydrogen bonding between water
donors and carbonyl acceptors further links the coordination chains to form a
sheet structure.
PMID- 18057596
TI - Setting ambiguity in C2/c with dibromidotetrakis(1H-pyrazole
kappaN2)manganese(II) as an example.
AB - trans-Dibromidotetrakis(1H-pyrazole-kappaN(3))manganese(II), [MnBr2(C3H4N2)4],
crystallizes in the C2/c space group with the Mn atom located on a centre of
inversion. As a result, there is just one half-molecule in the asymmetric unit.
Geometric parameters are in the usual ranges. The Mn centre is octahedrally
coordinated by four pyrazole residues in the equatorial plane and by two bromide
ligands in the axial positions. The molecular conformation is stabilized by N
H...Br hydrogen bonds. The structure of the title compound had already been
described [Lumme & Lindell (1987). J. Coord. Chem. 15, 383-392] in a different
setting, with the Mn atoms located on inversion centres on Wyckoff position d
(1/4, 1/4, 1/2; 3/4, 1/4, 0; 3/4, 3/4, 1/2; 1/4, 3/4, 0). In the conventional
setting, however, the Mn atoms are situated on Wyckoff position a (0, 0, 0; 0, 0,
1/2; 1/2, 1/2, 0; 1/2, 1/2, 1/2). In this special case, if the c axis has the
same length as the short diagonal of the ac plane, the transformation from one
setting into the other yields almost indistinguishable cell parameters, and the
possibility of confusion arises. This setting ambiguity could be the reason why
two structures in different settings might be taken as polymorphs even though
they can easily be transformed. As a result of this, care should always be taken
to use the conventional setting.
PMID- 18057597
TI - An unusual chain cadmium(II) coordination polymer: catena-poly[[(2,2'-bipyridyl
kappa2N,N')cadmium(II)]-di-mu-chlorido-[(2,2'-bipyridyl-kappa2N,N')cadmium(II)]
di-mu-thiocyanato-kappa2N:S;kappa2S:N].
AB - The title complex, [CdCl(NCS)(C10H8N2)]n, represents an unusual Cd(II)
coordination polymer constructed by two types of anionic bridges and 2,2'
bipyridyl (bipy) terminal ligands. These two types of bridges are arranged around
inversion centers. The distorted octahedral coordination of the Cd(II) center is
provided by two chloride ions, one N- and one S-donor atom from two thiocyanate
ions, and a pair of N atoms from the chelating bipy ligand. Interestingly,
adjacent Cd(II) ions are interconnected alternately by paired chloride [Cd...Cd =
3.916 (1) A] and thiocyanate bridges [Cd...Cd = 5.936 (1) A] to generate an
infinite one-dimensional coordination chain. Furthermore, weak interchain C-H...S
interactions between the bipy components and thiocyanate ions lead to the
formation of a layered supramolecular structure.
PMID- 18057598
TI - A one-dimensional nickel(II) coordination polymer containing 2,6-dipicolinate and
dipyrido[3,2-a:2',3'-c]phenazine.
AB - A new coordination polymer, catena-poly[[(dipyrido[3,2-a:2',3'-c]phenazine
kappa(2)N,N')nickel(II)]-mu-2,6-dipicolinato-kappa(4)O(2),N,O(6):O(2')],
[Ni(C7H3NO4)(C18H10N4)]n, exhibits a one-dimensional structure in which 2,6
dipicolinate acts as a bridging ligand interconnecting adjacent nickel(II)
centers to form a chain structure. The asymmetric unit contains one Ni(II)
center, one dipyrido[3,2-a:2',3'-c]phenazine ligand and one 2,6-dipicolinate
ligand. Each Ni(II) center is six-coordinated and surrounded by three N atoms and
three O atoms from one dipyrido[3,2-a:2',3'-c]phenazine ligand and two different
2,6-dipicolinate ligands, leading to a distorted octahedral geometry. Adjacent
chains are linked by pi-pi stacking interactions and weak interactions to form a
three-dimensional supramolecular network.
PMID- 18057599
TI - Poly[[diaquamanganese(II)]-mu(3)-4-oxido-2-oxo-1,2-dihydropyrimidine-5
carboxylato-kappa4O4,O5:O2:O2].
AB - In the title compound, [Mn(C5H2N2O4)(H2O)2]n, the Mn(II) ion has a distorted
octahedral geometry and the 4-oxido-2-oxo-1,2-dihydropyrimidine-5-carboxylate
(Hiso(2-)) anion acts as a mu3:eta(4)-bridging ligand. Two oxo O atoms from
different Hiso(2-) ligands bridge two Mn(II) ions, forming centrosymmetric
dinuclear building blocks. Each dinuclear building block interacts with another
four by the coordination of the oxide groups and carboxylate O atoms, producing a
two-dimensional framework in the ab plane. Hydrogen bonds further extend the two
dimensional sheets into a three-dimensional supramolecular framework.
PMID- 18057600
TI - Two mononuclear Tc complexes: [2,2'-(3-phenylpropylimino)- and [2,2'
(propylimino)bis(ethanethiolato)](4-methoxybenzenethiolato)oxidotechnate(V).
AB - The molecular structures of the two mononuclear title complexes, namely (4
methoxybenzenethiolato-kappaS)oxido[2,2'-(3-phenylpropylimino)bis(ethanethiolato)
kappa(3)S,N,S']technetium(V), [Tc(C14H21NS2)(C7H7OS)O], (I), and (4
methoxybenzenethiolato-kappaS)oxido[2,2'-(propylimino)bis(ethanethiolato)
kappa(3)S,N,S']technetium(V), [Tc(C7H15NS2)(C7H7OS)O], (II), exhibit the same
coordination environment for the central Tc atoms. The atoms are five-coordinated
(TcNOS3) with a square-pyramidal geometry comprising a tridentate 2,2'-(3
phenylpropylimino)bis(ethanethiolate) or 2,2'-(propylimino)bis(ethanethiolate)
ligand, a 4-methoxybenzenethiolate ligand and an additional oxide O atom.
Intermolecular C-H...O and C-H...S hydrogen bonds between the monomeric units
result in two-dimensional layers with a parallel arrangement.
PMID- 18057601
TI - A new three-dimensional neutral framework of lanthanum oxalate:
[La2(C2O4)3(DMF)(H2O)3]n.
AB - In the title complex, poly[triaquabis(dimethylformamide)di-mu3-oxalato-mu2
oxalato-dilanthanum(III)], [La2(C2O4)3(C3H7NO)(H2O)3]n, both La ions are
coordinated by nine O atoms, forming slightly distorted tricapped trigonal
prisms. The two La ions, the terminal water O atom, and the O and N atoms of the
dimethylformamide molecule reside on twofold rotation axes, giving the two La
centered coordination geometries twofold or pseudo-twofold symmetries. The two
oxalate ligands, one of which rests on a center of inversion at the mid-point of
the C-C bond, adopt different bridging modes, connecting with the La ions to form
two types of lanthanide oxalate chains, i.e. anionic {[La(C2O4)2(DMF)(H2O)2]n
}(n) (DMF is dimethylformamide) and cationic zigzag {[La(C2O4)(H2O)]n+}n,
respectively. Each zigzag cationic chain is linked to four adjacent anionic
chains via the bridging oxalate anions, and each anionic chain connects with four
zigzag cationic chains, constructing a three-dimensional neutral framework.
PMID- 18057602
TI - Dichlorido[(S,R(S))-1-diphenylphosphino-2
(ethylsulfanylmethyl)ferrocene]palladium(II).
AB - The reaction of enantiomerically pure planar chiral ferrocene phosphine thioether
with bis(acetonitrile)dichloridopalladium yields the title square-planar
mononuclear palladium complex as an enantiomerically pure single diastereoisomer,
[PdFe(C5H5)(C20H20PS)Cl2]. The planar chirality of the ligand is retained in the
complex and fully controls the central chirality on the S atom. The absolute
configuration, viz. S for the planar chirality and R for the S atom, is
unequivocally determined by refinement of the Flack parameter.
PMID- 18057603
TI - catena-Poly[[aquacopper(II)]-mu-hydroxido-mu-naphthalene-1-carboxylato
kappa2O:O']: effect of a bulky aromatic skeleton in self-assembly.
AB - In the title coordination polymer, [Cu(C11H7O2)(OH)(H2O)]n, the Cu(II) center is
five-coordinated by two O atoms from two different naphthalene-1-carboxylate (L)
ligands, one O atom from one coordinated water molecule and two O atoms from two
hydroxide anions. L ligands and hydroxide anions jointly bridge adjacent Cu(II)
centers to generate a one-dimensional chain along the b-axis direction. The
results reveal that the steric bulk of the naphthalene ring system in L may play
an important role in the formation of the title complex.
PMID- 18057604
TI - Bis[N,N'-(2-chlorobenzylidene)ethylenediamine-kappa2N,N']copper(I)
dichloridocuprate(I) acetonitrile solvate.
AB - The 1:1 adduct of N,N'-bis(2-chlorobenzylidene)ethylenediamine (cb2en) with
copper(I) chloride proves to be an ionic compound with Cu(I)-centred cations and
anions, [Cu(C16H14Cl2N2)2][CuCl2] x CH3CN. In the cation, the Cu(I) atom has a
flattened tetrahedral coordination geometry, with a small bite angle for the
chelating ligands, which form a double-helical arrangement around the metal
centre. The anion is almost linear, as expected. The packing of the cations
involves intermolecular pi-pi interactions, which lead to columns of
translationally related cations along the shortest unit-cell axis, with anions
and solvent molecules in channels between them.
PMID- 18057605
TI - Poly[[triaquazinc(II)]-mu(3)-4-nitrophthalato-kappa3O1:O2:O2'].
AB - In the title complex, [Zn(C8H3NO6)(H2O)3]n, the two carboxylate groups of the 4
nitrophthalate dianion ligands have monodentate and 1,3-bridging modes, and Zn
atoms are interconnected by three O atoms from the two carboxylate groups into a
zigzag one-dimensional chain along the b-axis direction. The Zn atom shows
distorted octahedral coordination as it is bonded to three O atoms from
carboxylate groups of three 4-nitrophthalate ligands and to three O atoms of
three non-equivalent coordinated water molecules. The one-dimensional chains are
aggregated into two-dimensional layers through inter-chain hydrogen bonding. The
whole three-dimensional structure is further maintained and stabilized by inter
layer hydrogen bonds.
PMID- 18057606
TI - Diaquabis(quinoxaline-2-carboxylato-kappa2N1,O)copper(II).
AB - In the title compound, [Cu(C9H5N2O2)2(H2O)2], the Cu(II) ion lies on an inversion
centre and has an elongated centrosymmetric octahedral environment, equatorially
trans-coordinated by two N,O-bidentate quinoxaline-2-carboxylate ligands and
axially coordinated by two water O atoms. Symmetry-related molecules are linked
by strong O-H...O hydrogen bonds, involving the uncoordinated carboxyl O atom of
the carboxylate group and the coordinated water molecules, to form a two
dimensional network. Weak intermolecular C-H...N interactions also stabilize the
crystal structure.
PMID- 18057607
TI - Two isomers of Pd2(S2NC7H4)4.
AB - The dichloromethane solvates of the isomers tetrakis(mu-1,3-benzothiazole-2
thiolato)-kappa(4)N:S;kappa(4)S:N-dipalladium(II)(Pd-Pd), (I), and tetrakis(mu
1,3-benzothiazole-2-thiolato)-kappa(6)N:S;kappa(2)S:N-dipalladium(II)(Pd-Pd),
(II), both [Pd2(C7H4NS2)4] x CH2Cl2, have been synthesized in the presence of (o
isopropylphenyl)diphenylphosphane and (o-methylphenyl)diphenylphosphane. Both
isomers form a lantern-type structure, where isomer (I) displays a regular and
symmetric coordination and isomer (II) an asymmetric and distorted structure. In
(I), sitting on an centre of inversion, two 1,3-benzothiazole-2-thiolate units
are bonded by a Pd-N bond to one Pd atom and by a Pd-S bond to the other Pd atom,
and the other two benzothiazolethiolate units are bonded to the same Pd atoms by,
respectively, a Pd-S and a Pd-N bond. In (II), three benzothiazolethiolate units
are bonded by a Pd-N bond to one Pd atom and by a Pd-S bond to the other Pd atom,
and the fourth benzothiazolethiolate unit is bonded to the same Pd atoms by,
respectively, a Pd-S bond and a Pd-N bond.
PMID- 18057608
TI - 5-Aminonaphthalene-1-sulfonic acid and its manganese, nickel and cobalt salts.
AB - 5-Ammonionaphthalene-1-sulfonate monohydrate, C10H9NO3S x H2O, contains layers of
zwitterionic molecules with the acidic sulfonic acid H atom transferred to the
amine N atom. Within each layer, the charged groups (NH3(+) and SO3(-)) are
directed to the surface of the layer and are inverted on adjacent molecules. The
naphthalene rings in a given layer are all parallel. The layers are held together
by N-H...O and O-H...O hydrogen bonds involving the ammonium, sulfonate and water
atoms. The Mn and Ni salts crystallize as fully aquated trihydrates, namely
hexaaquamanagnese(II) bis(5-aminonaphthalene-1-sulfonate) trihydrate,
[Mn(H2O)6](C10H8NO3S)2 x 3 H2O, (II), and hexaaquanickel(II) bis(5
aminonaphthalene-1-sulfonate) trihydrate, [Ni(H2O)6](C10H8NO3S)2 x 3 H2O, (III),
in which layers of hexaaquametal(II) complexes alternate with layers of 5
aminonaphthalene-1-sulfonate anions. The cations reside on twofold rotation axes
and display regular octahedral coordination. The additional water molecules are
found in the inorganic layer between the complex cations, one on a twofold axis
and one in a general position. The anions are packed in a herring-bone
arrangement with the rings of neighboring rows of anions approximately 43 degrees
out of parallel. The NH2 and SO3(-) groups line the surface of the layer, where
they participate in numerous hydrogen bonds with the water molecules. Whereas the
Mn and Ni salts are orthorhombic, the Co salt, hexaaquacobalt(II) bis(5
aminonaphthalene-1-sulfonate) dihydrate, [Co(H2O)6](C10H8NO3S)2 x 2 H2O, (IV),
crystallizes in a triclinic cell of similar dimensions, with the cations situated
on centers of inversion. The overall packing is very similar to that of the Mn
and Ni salts, with the main differences being the absence of the solvent water
molecule on the special position and subtle modifications in the positioning of
the anions within their layers. This series of salts is compared with those of
the same metals with the 5-aminonaphthalene-2-sulfonate and 4-aminonaphthalene-1
sulfonate isomers, allowing for similarities and differences in packing to be
discussed on the basis of the differing substitution of the naphthalene ring and,
in some cases, differing degrees of hydration.
PMID- 18057609
TI - The dinuclear copper(II) complexes di-mu-chlorido-bis{[N,N'-bis(4
chlorobenzyl)propane-1,2-diamine]chloridocopper(II)} and di-mu-chlorido-bis{[N,N'
bis(3,4-methylenedioxybenzyl)propane-1,2-diamine]chloridocopper(II)}.
AB - The two title dinuclear copper(II) complexes, [Cu2Cl4(C17H20Cl2N2)2], (I), and
[Cu2Cl4(C19H22N2O4)2], (II), have similar coordination environments. In each
complex, the asymmetric unit consists of one half-molecule and the two copper
centres are bridged by a pair of Cl atoms, resulting in complexes with
centrosymmetric structures containing Cu(mu-Cl)2Cu parallelogram cores; the
Cu...Cu separations and Cu-Cl-Cu angles are 3.4285 (8) A and 83.36 (3) degrees,
respectively, for (I), and 3.565 (2) A and 84.39 (7) degrees for (II). Each Cu
atom is five-coordinated and the coordination geometry around the Cu atom is best
described as a distorted square-pyramid with a tau value of 0.155 (3) for (I) and
0.092 (7) for (II). The apical Cu-Cl bond length is 2.852 (1) A for (I) and 2.971
(2) A for (II). The basal Cu-Cl and Cu-N average bonds lengths are 2.2673 (9) and
2.030 (2) A, respectively, for (I), and 2.280 (2) and 2.038 (6) A for (II). The
molecules of (I) are linked by one C-H...Cl hydrogen bond into a complex [10 1]
sheet. The molecules of (II) are linked by one C-H...Cl and one N-H...O hydrogen
bond into a complex [100] sheet.
PMID- 18057610
TI - A new coordination mode of 6-methylnicotinic acid in trans-tetraaquabis(6
methylpyridine-3-carboxylato-kappaO)cobalt(II) tetrahydrate.
AB - The title compound, [Co(C7H6NO2)2(H2O)4] x 4 H2O, contains a Co(II) ion lying on
a crystallographic inversion centre. The Co(II) ion is octahedrally coordinated
by two 6-methylpyridine-3-carboxylate ligands in axial positions [Co-O = 2.0621
(9) A] and by four water molecules in the equatorial plane [Co-O = 2.1169 (9) and
2.1223 (11) A]. There are also four uncoordinated water molecules. The 6
methylpyridine-3-carboxylate ligands are bound to the Co(II) ion in a monodentate
manner through a carboxylate O atom. There is one strong intramolecular O-H...O
hydrogen bond, and six strong intermolecular hydrogen bonds of type O-H...O and
one of type O-H...N in the packing, resulting in a complex three-dimensional
supramolecular structure.
PMID- 18057611
TI - [2-(2-Pyridyl)-1H-benzimidazole-kappa2N2,N3]bis(p-toluato
kappa2O,O')manganese(II).
AB - In the title compound, [Mn(C8H7O2)2(C12H9N3)], the manganese(II) centre is
surrounded by three bidentate chelating ligands, namely, one 2-(2
pyridyl)benzimidazole ligand [Mn-N = 2.1954 (13) and 2.2595 (14) A] and two p
toluate ligands [Mn-O = 2.1559 (13)-2.2748 (14) A]. It displays a severely
distorted octahedral geometry, with cis angles ranging from 58.87 (4) to 106.49
(5) degrees. Intermolecular C-H...O hydrogen bonds between the p-toluate ligands
link the molecules into infinite chains, and every two neighbouring chains are
further coupled by N-H...O and C-H...O hydrogen bonds between the 2-(2
pyridyl)benzimidazole and p-toluate ligands, leading to an infinite ribbon-like
double-chain packing mode. The complete solid-state structure can be described as
a three-dimensional supramolecular framework, stabilized by these intermolecular
hydrogen-bonding interactions and possible C-H...pi interactions, as well as
stacking interactions involving the 2-(2-pyridyl)benzimidazole ligands.
PMID- 18057612
TI - Intramolecular pi-pi stacking in diaquabis(2-hydroxybenzoato-kappaO)bis(1,10
phenanthroline-kappa2N,N')strontium(II).
AB - In the title compound, [Sr(C7H5O3)2(C12H8N2)2(H2O)2], the Sr(II) ion is located
on a twofold rotation axis and assumes a distorted square-antiprism SrN4O4
coordination geometry, formed by two phenanthroline (phen) ligands, two 2
hydroxybenzoate anions and two water molecules. Within the mononuclear complex
molecule, intramolecular pi-pi stacking is observed between nearly parallel
coordinated phen ligands, while normal intermolecular pi-pi stacking occurs
between parallel phen ligands of adjacent complex molecules. Classic O-H...O and
weak C-H...O hydrogen bonding helps to stabilize the crystal structure.
PMID- 18057613
TI - Three sterically hindered 6-amino-5-cyano-2-methyl-4-(1-naphthyl)-4H-pyran-3
carboxylate derivatives.
AB - In the title compounds, 2-methoxyethyl 6-amino-5-cyano-2-methyl-4-(1-naphthyl)-4H
pyran-3-carboxylate, C21H20N2O4, (II), isopropyl 6-amino-5-cyano-2-methyl-4-(1
naphthyl)-4H-pyran-3-carboxylate, C21H20N2O3, (III), and ethyl 6-amino-5-cyano-2
methyl-4-(1-naphthyl)-4H-pyran-3-carboxylate, C20H18N2O3, (IV), the heterocyclic
pyran ring adopts a flattened boat conformation. In (II) and (III), the carbonyl
group and a double bond of the heterocyclic ring are mutually anti, but in (IV)
they are mutually syn. The ester O atoms in (II) and (III) and the carbonyl O
atom in (IV) participate in intramolecular C-H...O contacts to form six-membered
rings. The dihedral angles between the naphthalene substituent and the closest
four atoms of the heterocyclic ring are 73.3 (1), 71.0 (1) and 74.3 (1) degrees
for (II)-(IV), respectively. In all three structures, only one H atom of the NH2
group takes part in N-H...O [in (II) and (III)] or N-H...N [in (IV)]
intermolecular hydrogen bonds, and chains [in (II) and (III)] or dimers [in (IV)]
are formed. In (II), weak intermolecular C-H...O and C-H...N hydrogen bonds, and
in (III) intermolecular C-H...O hydrogen bonds link the chains into ladders along
the a axis.
PMID- 18057614
TI - Two 9-[(E)-nitrophenylvinyl]-9H-carbazoles.
AB - The crystal structures of 9-[(E)-(4-nitrophenyl)vinyl]-9H-carbazole and 9-[(E)-(3
nitrophenyl)vinyl]-9H-carbazole, both C20H14N2O2, are determined mainly by van
der Waals forces and pi-pi interactions between the carbazole and benzene
systems. However, the packing modes are different. In the 4-nitro derivative, the
molecules in the weakly bound stack are related by a unit-cell translation, while
in the 3-nitro derivative there are centrosymmetric pairs of molecules joined by
pi-pi interactions and also pairs of molecules, related by another centre of
symmetry, connected by eight relatively short C-H...O interactions.
PMID- 18057615
TI - Supramolecular structures of bis-thionooxalamic acid esters derived from (+/-)
cyclohexane-1,2-diamine and (+/-)-1,2-diphenylethylenediamine.
AB - The bis-thionooxalamic acid esters trans-(+/-)-diethyl N,N'-(cyclohexane-1,2
diyl)bis(2-thiooxamate), C14H22N2O4S2, and (+/-)-N,N'-diethyl (1,2-diphenylethane
1,2-diyl)bis(2-thiooxamate), C22H24N2O4S2, both consist of conformationally
flexible molecules which adopt similar conformations with approximate C2
rotational symmetry. The thioamide and ester parts of the thiooxamate group are
significantly twisted along the central C-C bond, with the S=C-C=O torsion angles
in the range 30.94 (19)-44.77 (19) degrees. The twisted s-cis conformation of the
thionooxamide groups facilitates assembly of molecules into a one-dimensional
polymeric structure via intermolecular three-center C=S...NH...O=C hydrogen bonds
and C-H...O interactions formed between molecules of the opposite chirality.
PMID- 18057617
TI - 1,3-Bis[2-(dimethylaminomethyl)phenyl]triselenide.
AB - The title compound, C18H24N2Se3, consists of discrete molecules; owing to the
presence of strong intramolecular N...Se interactions [N...Se = 2.671 (4) and
2.873 (4) A], the chalcogen Se atoms of the angular Se3 chain exhibit different
coordination geometries, i.e. the terminal Se atoms are tricoordinated and
exhibit a T-shaped environment of the CNSe2 core [N...Se-Se = 173.73 (9) and
172.29 (9) degrees], while the central Se atom is dicoordinated to the other two
Se atoms, with an Se-Se-Se angle of 108.32 (2) degrees.
PMID- 18057616
TI - A three-dimensional hydrogen-bonded framework in 2-amino-6-(N
methylanilino)pyrimidin-4(3H)-one and a ribbon of fused hydrogen-bonded rings in
2-amino-6-(N-methylanilino)-5-nitropyrimidin-4(3H)-one.
AB - The molecular dimensions of both 2-amino-6-(N-methylanilino)pyrimidin-4(3H)-one,
C11H12N4O, (I), and 2-amino-6-(N-methylanilino)-5-nitropyrimidin-4(3H)-one,
C11H11N5O3, (II), are consistent with considerable polarization of the molecular
electronic structures. The molecules of (I) are linked into a three-dimensional
framework by a combination of one N-H...N hydrogen bond, two independent N-H...O
hydrogen bonds and one C-H...pi(arene) hydrogen bond. The molecules of (II) are
linked into ribbons containing three types of edge-fused ring by the combination
of two independent three-centre N-H...(O)2 hydrogen bonds.
PMID- 18057618
TI - A series of substituted (2E)-3-(2-fluoro-4-phenoxyphenyl)-1-phenylprop-2-en-1
ones.
AB - In the molecular structures of a series of substituted chalcones, namely (2E)-3
(2-fluoro-4-phenoxyphenyl)-1-phenylprop-2-en-1-one, C21H15FO2, (I), (2E)-3-(2
fluoro-4-phenoxyphenyl)-1-(4-fluorophenyl)prop-2-en-1-one, C21H14F2O2, (II), (2E)
1-(4-chlorophenyl)-3-(2-fluoro-4-phenoxyphenyl)prop-2-en-1-one, C21H14ClFO2,
(III), (2E)-3-(2-fluoro-4-phenoxyphenyl)-1-(4-methylphenyl)prop-2-en-1-one,
C22H17FO2, (IV), and (2E)-3-(2-fluoro-4-phenoxyphenyl)-1-(4-methoxyphenyl)prop-2
en-1-one, C22H17FO3, (V), the configuration of the keto group with respect to the
olefinic double bond is s-cis. The molecules pack utilizing weak C-H...O and C
H...pi intermolecular contacts. Identical packing motifs involving C-H...O
interactions, forming both chains and dimers, along with C-H...pi dimers and pi
pi aromatic interactions are observed in the fluoro, chloro and methyl
derivatives.
PMID- 18057619
TI - The 'cyclophene' [2.2.2](1,2,4)cyclophan-9-ene.
AB - In the title compound, C18H16, the [2.2]paracyclophane geometry is restrained to
a considerable extent despite the introduction of the extra C=C bridge; typical
paracyclophane features, such as the elongated C-C bridges, are still observed.
However, the bridgehead atoms of the C=C bridge are forced into unusually close
proximity [2.657 (3) A], which in turn causes the rings to be rotated to an
interplanar angle of 13.7 (2) degrees. The packing involves hexagonally close
packed layers of molecules parallel to the xy plane, corresponding to the known
'7,11' pattern of paracyclophanes, but without significant short intermolecular
contacts.
PMID- 18057620
TI - Hydrogen-bonding interactions in the 4-aminobenzoic acid salt of atenolol
monohydrate.
AB - Atenolol {or 4-[2-hydroxy-3-(isopropylamino)propoxy]phenylacetamide} crystallizes
with 4-aminobenzoic acid to give the salt {3-[4-(aminocarbonylmethyl)phenoxy]-2
hydroxypropyl}isopropylammonium 4-aminobenzoate monohydrate, C14H23N2O3(+) x
C7H6NO2(-) x H2O. In the crystal structure, the water molecule, the carboxylate
group of 4-aminobenzoate, and the hydroxy and ether O atoms of atenolol form a
supramolecular R3(3) (11) heterosynthon. Three other types of supramolecular
synthons link the asymmetric unit into a two-dimensional structure.
PMID- 18057621
TI - (E)-N'-[5-chloro-3-methoxy-2-(4
methylphenylsulfonyloxy)benzylidene]isonicotinohydrazide acetic acid solvate:
hydrogen-bonded network of alternating R4(4)(42), R5(5)(33) and R6(6)(40) rings.
AB - In the title compound, C21H18ClN3O5S x C2H4O2, a combination of O-H...O, N-H...O,
C-H...O and C-H...N hydrogen bonds links the components into a complex network
containing alternating R4(4)(42), R5(5)(33) and R6(6)(40) rings.
PMID- 18057622
TI - Hydrogen-bonded networks in 1-(4-methoxyphenyl)-2,2-dimethylpropan-1-ol.
AB - The asymmetric unit of the title compound, C12H18O2, contains two independent
molecules. They differ only slightly in conformation but form completely
different intermolecular hydrogen-bonded arrays. One molecule exhibits disorder
in the hydroxy group region, but this does not influence the formation of
hydrogen bonds. The bulky tert-butyl group on one side of the carbinol C atom and
the benzene ring on the other side promote the formation of discrete dimeric
motifs via hydrogen-bridged hydroxy groups. Dimers are further joined by strong
hydroxy-methoxy O-H...O bonds to form chains with dangling alcohol groups. Weaker
intermolecular C-H...O interactions mediate the formation of a two-dimensional
network.
PMID- 18057623
TI - Weak C-H...N[triple-bond]C hydrogen bonds in the structures of two poly(cyano)
substituted ring systems.
AB - In benzene-1,2,3-tricarbonitrile, C9H3N3, the packing of the two independent
molecules is three-dimensional and complex, involving inter alia bifurcated (C
H)2...N systems from neighbouring CH groups. In [2.2]paracyclophane-4,5,12,13
tetracarbonitrile, C20H12N4, the [2.2]paracyclophane systems display the usual
distortions, namely lengthened C-C bonds and widened sp3 angles in the bridges,
narrow angles in the six-membered rings at the bridgehead atoms, and flattened
boat conformations of the rings. The molecules are linked by a series of C-H...N
interactions to form layers parallel to the ab plane.
PMID- 18057624
TI - Packing in three cyclooctitol acetates.
AB - Three cyclooctitol derivatives, in the form of a tetraacetate, (1S*,2R*,3S*,4S*)
2,3,4-triacetoxycyclooctan-1-ylmethyl acetate, C17H26O8, and two regioisomeric
acetonide triacetates, (3aS*,4R*,8S*,9S*,9aS*)-8,9-diacetoxy-2,2
dimethylcyclooctano[d][1,3]dioxan-4-ymethyl acetate and (3aS,4R,7S,9R,9aS)-7,9
diacetoxy-2,2-dimethylcyclooctano[d][1,3]dioxan-4-ylmethyl acetate, both
C18H28O8, have been studied. The conformation of the cyclooctane ring in the
three compounds is quite close to the boat-chair form of the parent hydrocarbon.
Packing is effected through weak C-H...O and van der Waals contacts.
PMID- 18057625
TI - An unusual oligomerization/oxidation reaction of a 3-boron-substituted 1
phenylbuta-1,3-diene produces 6,9,16,19-tetraphenyl-5,15-distyryl-3,13,25,26
tetraoxa-2,12-diborapentacyclo[16.2.2.2(8,11).1(2,5).1(12,15)]hexacosa
1(20),7,10,17-tetraene.
AB - The unusual title macrocyclic structure, C60H54B2O4, has been isolated from
exposure of 3-BF(3)-1-phenylbuta-1,3-diene to both air and moisture in an attempt
to obtain crystals of the starting butadiene compound. Formation of the
macrocycle from six molecules of the starting butadiene material is rationalized
and its structural features are compared with those of other B(OR)2-substituted
cyclohexane and benzene ring containing structures. Molecules reside on
crystallographic centers of inversion and there are no intermolecular
interactions of note in the crystal structure.
PMID- 18057626
TI - Monohydrous dihydrogen phosphate salts of norfloxacin and ciprofloxacin.
AB - Norfloxacin and ciprofloxacin crystallize with phosphoric acid in aqueous
solution to give the salts 4-(3-carboxy-1-ethyl-6-fluoro-4-oxo-1,4-dihydro-7
quinolyl)piperazinium dihydrogenphosphate monohydrate, C16H19FN3O3(+) x H2PO4(-)
x H2O, and 4-(3-carboxy-1-cyclopropyl-6-fluoro-4-oxo-1,4-dihydro-7
quinolyl)piperazinium dihydrogenphosphate monohydrate, C17H19FN3O3(+) x H2PO4(-)
x H2O, respectively. In the crystal structures, the phosphate anions and the
piperazine rings of norfloxacin or ciprofloxacin form a 12-membered
supramolecular synthon, viz. R4(4)(12). The synthons R4(4)(12) and R2(2)(8)
formed between adjacent phosphate anions result in the three-dimensional
structures.
PMID- 18057627
TI - Hydrogen-bonding patterns in enaminones: (2Z)-1-(4-bromophenyl)-2-(pyrrolidin-2
ylidene)ethanone and its piperidin-2-ylidene and azepan-2-ylidene analogues.
AB - The title compounds, namely (2Z)-1-(4-bromophenyl)-2-(pyrrolidin-2
ylidene)ethanone, C12H12BrNO, (I), (2Z)-1-(4-bromophenyl)-2-(piperidin-2
ylidene)ethanone, C13H14BrNO, (II), and (2Z)-2-(azepan-2-ylidene)-1-(4
bromophenyl)ethanone, C14H16BrNO, (III), are characterized by bifurcated intra-
and intermolecular hydrogen bonding between the secondary amine and carbonyl
groups. The former establishes a six-membered hydrogen-bonded ring, while the
latter leads to the formation of centrosymmetric dimers. Weak C-H...Br
interactions link the individual molecules into chains that run along the [011],
[101] and [101] directions in (I)-(III), respectively. Additional weak Br...O, C
H...pi and C-H...O interactions further stabilize the crystal structures.
PMID- 18057628
TI - 2'-(4-Chlorophenyl)-2,3,4,5,6,7-hexahydro-4',7'-methanospiro[9H-fluorene-9,3'-1H
indazole]-1'-carbonitrile and methyl 4'-chloro-2'-(4-chlorophenyl)-1'
cyanospiro[9H-fluorene-9,3'-pyrazolidine]-4'-carboxylate.
AB - The title compounds, C27H22ClN3, (IV), and C24H17Cl2N3O2, (V), were synthesized
as part of our ongoing studies on (2+3)-cycloaddition reactions. Compound (V)
crystallizes with two molecules in the asymmetric unit. The pyrazolidine ring of
compound (IV) adopts a twisted conformation, while in compound (V) it adopts a
twisted and an envelope conformation in the two molecules. There are short
intermolecular contacts, which can be recognized as unconventional hydrogen
bonds, in both structures.
PMID- 18057629
TI - (Z)-3-(Benzo[b]thiophen-2-yl)-2-(3,4,5-trimethoxyphenyl)acrylonitrile and (E)-3
(benzo[b]thiophen-2-yl)-2-(3,4-dimethoxyphenyl)acrylonitrile. [corrected].
AB - The title compounds, C20H17NO3S, (I), and C19H15NO2S, (II), were prepared by the
reaction of benzo[b]thiophene-2-carbaldehyde with (3,4,5
trimethoxyphenyl)acetonitrile and (3,4-dimethoxyphenyl)acetonitrile,
respectively, in the presence of methanolic potassium hydroxide. In (I), the C=C
bond linking the benzo[b]thiophene and the 3,4,5-trimethoxyphenyl units has E
geometry, with dihedral angles between the plane of the bridging unit and the
planes of the two adjacent ring systems of 5.2 (3) and 13.1 (2) degrees,
respectively. However, in (II), the C=C bond has Z geometry, with dihedral angles
between the plane of the bridging unit and the planes of the adjacent
benzo[b]thiophene and 3,4-dimethoxyphenyl units of 4.84 (17) and 76.09 (7)
degrees, respectively. There are no significant intermolecular hydrogen-bonding
interactions in the packing of (I) and (II). The packing is essentially
stabilized via van der Waals forces.
PMID- 18057630
TI - Four substituted pyrazolines.
AB - In the title compounds 5-(3-fluoro-4-phenoxyphenyl)-1,3-biphenyl-4,5-dihydro-1H
pyrazole, C27H21FN2O, (I), 3-(4-chlorophenyl)-5-(3-fluoro-4-phenoxyphenyl)-1
phenyl-4,5-dihydro-1H-pyrazole, C27H20ClFN2O, (II), 5-(3-fluoro-4-phenoxyphenyl)
3-(4-methylphenyl)-1-phenyl-4,5-dihydro-1H-pyrazole, C28H23FN2O, (III), and 5-(3
fluoro-4-phenoxyphenyl)-3-(4-methoxyphenyl)-1-phenyl-4,5-dihydro-1H-pyrazole,
C28H23FN2O2, (IV), the five-membered pyrazole ring exists in an envelope
conformation. The crystal structure of (I) has three independent C-H...pi
intermolecular interactions. In (II), an intermolecular C-Cl...pi contact is
present, forming molecular chains. Replacement of this chloro group in (II) by a
methyl group yields an isomorphic crystal structure, (III).
PMID- 18057631
TI - A polymorph of butobarbital with two distinct hydrogen-bonding motifs.
AB - N-H...O bonding in a form of 5-butyl-5-ethylbarbituric acid (systematic name: 5
butyl-5-ethyl-1,3-diazinane-2,4,6-trione), C10H16N2O3, produces two distinct one
dimensional motifs, viz. tape and ladder. Both are different from the ribbon
chain motif observed in two previously reported polymorphs of the same compound.
PMID- 18057632
TI - Three 9-[(E)-2-(4-halogenophenyl)vinyl]-9H-carbazoles.
AB - The crystal structures of 9-[(E)-2-(4-fluorophenyl)vinyl]-9H-carbazole, C20H14FN,
(I), 9-[(E)-2-(4-chlorophenyl)vinyl]-9H-carbazole, C20H14ClN, (II), and 9-[(E)-2
(4-bromophenyl)vinyl]-9H-carbazole, C20H14BrN, (III), are determined mainly by
van der Waals forces. The chloro and bromo derivatives are highly isomorphous,
while the fluoro derivative has a different packing mode. Weak C-H...X
interactions are also involved in the crystal packing. The molecular structures
of the three compounds are similar, with relatively large twist angles of ca 55
degrees between the carbazole and benzene planes.
PMID- 18057633
TI - (E)-3-{2-amino-4-ethoxy-6-[N-(4-methoxyphenyl)-N-methylamino]pyrimidin-5-yl}-1
phenylprop-2-en-1-one: a boat-shaped pyrimidine ring and a chain of hydrogen
bonded R4(2)(8) and R2(2)(20) rings.
AB - In the title compound, C23H24N4O3, the pyrimidine ring adopts an almost perfect
boat conformation, and the bond distances provide evidence for some polarization
of the molecular-electronic structure. Two independent N-H...O hydrogen bonds
link the molecules into chains of edge-fused R2(4)(8) and R2(2)(20) rings.
PMID- 18057634
TI - Benzyl N,N-bis[2-(pentafluoroanilino)ethyl]carbamate.
AB - The title compound, C24H17F10N3O2, exhibits intramolecular N-H...O hydrogen
bonding, as well as intramolecular Ar...Ar(F) face-to-face interactions. The
molecules are linked together by N-H...F-C hydrogen bonds, forming chains
parallel to the a axis. Adjacent symmetry-related chains are combined in double
zipper-like ribbons by parallel Ar(F)...Ar(F) offset pi-stacking interactions.
PMID- 18057635
TI - Solar-thermic sewage sludge treatment in extreme alpine environments.
AB - In the framework of a program for environmental protection conducted by the
German mountaineers' club (DAV) problems emerging from residual solids
accumulating in on-site wastewater treatment plants of mountain refuges were
investigated. To handle these problems in an ecologically and economically
reasonable way two devices for solar-supported treatment of sludge and bio-solids
have been developed. These units support gravity-filtration and evaporation of
liquid sludge as well as thermal acceleration of composting processes. Two solar
sludge dryers were installed and operated without external energy supply at
alpine refuges treating primary and secondary sludge, respectively. Batch-filling
during the season could increase load capacity and a total solids concentration
of up to 40% could be achieved before discharge at the beginning of the next
season. The promising results from the solar sludge dryer encouraged for the
development of a solar composter. The period of temperature levels suitable for
composting biosolids in mountain areas can be extended considerably by
application of this technology--measured temperature distribution indicated no
freezing at all.
PMID- 18057636
TI - Modelling as a tool when interpreting biodegradation of micro pollutants in
activated sludge systems.
AB - The aims of the present work were to improve the biodegradation of the endocrine
disrupting micro pollutant, bisphenol A (BPA), used as model compound in an
activated sludge system and to underline the importance of modelling the system.
Previous results have shown that BPA mainly is degraded under aerobic conditions.
Therefore the aerobic phase time in the BioDenitro process of the activated
sludge system was increased from 50% to 70%. The hypothesis was that this would
improve the biodegradation of BPA. Both the influent and the effluent
concentrations of BPA in the experiment dropped significantly after increasing
the aerobic time. From simulations with a growth-based
biological/physical/chemical process model it was concluded that although the
simulated effluent concentration of BPA was independent of the influent
concentration at steady-state, the observed drop in effluent concentrations
probably was caused by either a larger specific biomass to influent BPA ratio,
improved biodegradation related to the increased aerobic phase time, or a
combination of the two. Thereby it was not possibly to determine if the increase
in aerobic phase time improved the biodegradation of BPA. The work underlines the
importance of combining experimental results with modelling when interpreting
results from biodegradation experiments with fluctuating influent concentrations
of micro pollutants.
PMID- 18057637
TI - Comparison of and uncertainties in raw sewage COD measurements by laboratory
techniques and field UV-visible spectrometry.
AB - A comprehensive investigation of the uncertainty of different COD analysis
methods (DIN, small tube tests (STT) and UV-visible spectrometry methods) has
been carried out on potassium hydrogen phthalate standard solutions and raw
sewage samples from a large wastewater treatment plant. The UV-visible method
allows estimating COD equivalent concentration from the measured absorption
spectra by means of site specific regression and correlation functions. CODdin
and CODstt methods showed equivalent global results but specific calibration
relationships are necessary when high accuracy is required. The CODstt method is
suitable for immediate COD analysis in the field. Sub-sampling is the main source
of uncertainty. Spectrometry is able to estimate CODeq with an uncertainty of the
same order of magnitude as the uncertainty in CODdin.
PMID- 18057638
TI - Escherichia coli in urban stormwater: explaining their variability.
AB - The development of a model that predicts the levels of microorganisms in urban
stormwater will aid in the assessment of health risks when using stormwater for
both recreational uses and as an alternate water resource. However, the
development of such a model requires an understanding of the dominant processes
that influence the behaviour of microorganisms in urban systems. Using simple and
multiple regression analyses this paper determines the dominant processes which
affect the inter-event variability of the microbial indicator Escherichia coli
(E. coli ) in four urbanised catchments. The results reveal that a number of
antecedent climatic conditions, together with rainfall intensity, can
significantly explain the inter-event variation in wet weather E. coli levels.
PMID- 18057639
TI - Screening health risk assessment of micropullutants for indirect potable reuse
schemes: a three-tiered approach.
AB - Indirect potable reuse schemes are an important consideration in the sustainable
management of scarce water resources. However, communities still hold real
concerns about the potential health risks of micropullutants in recycled water
entering their potable water supply. Microfiltration or ultrafiltration followed
by reverse osmosis is currently the standard treatment technology for potable use
of recycled water. Nevertheless, membranes are not 100% efficient in the removal
of trace organic contaminants and the potential health risks of these
micropullutants need to be assessed. The aim of this paper is to present a three
tiered approach for the preliminary assessment of micropullutants in recycled
water. A risk quotient is calculated by comparing measured concentrations against
benchmark values. Tier 1 corresponds to regulated chemicals; the maximum
contaminant level in drinking water is used as benchmark value. Tier 2
corresponds to unregulated chemicals with toxicity information; slope factors or
risk specific doses are used to calculate benchmark values. Tier 3 corresponds to
unregulated chemicals without toxicity information. The "Threshold of
Toxicological Concern" concept is used to calculate benchmark values. The
characterization of chemicals of concern following reverse osmosis in a water
reclamation plant and the application of the three-tiered approach for the
evaluation of the potential health risks is presented.
PMID- 18057640
TI - Stormwater overflow impacts on the sanitary quality of bathing waters.
AB - New European Directive 2006/7/EC concerning the management of bathing water
quality introduces the concept of 'active management of bathing water sanitary
quality' which could lead to a temporary bathing prohibition in case of short
term pollution. For the last three bathing seasons, Veolia has carried out in
experimental mode this 'active management' concept at more than one hundred
bathing sites with various characteristics. Results confirm the high level of
microbiological pollution observed in sewer overflows during rainy periods, which
is the main cause of bathing water quality deterioration. An on-line treatment
solution has been successfully tested. This solution may be used in dense urban
areas.
PMID- 18057641
TI - Experience with the antibiotic resistance analysis and DNA fingerprinting in
tracking faecal pollution at two lake beaches.
AB - Posting or closing of swimming beaches because of faecal contamination is a
widespread problem reported in many locations. In a risk-based approach to this
problem, the risk to swimmers' health is assessed by field monitoring of
indicator bacteria and the associated risks are managed by source controls and
other remedial measures. In risk assessment, great advances have been made in
recent years with the introduction of microbial source tracking (MST) techniques.
Two such techniques, antibiotic resistance analysis and DNA fingerprinting, were
applied in a study of causes of faecal contamination at two lake beaches in
Toronto, Ontario. Both methods identified bird faeces as the dominant sources of
E. coli. Coping with this type of pollution presents a major environmental
challenge.
PMID- 18057642
TI - Natural attenuation potential of downwelling streams for perfluorochemicals and
other emerging contaminants.
AB - Stream augmentation with tertiary treated municipal wastewater-i.e., recycled
water-is increasingly considered as an ecologically beneficial way to utilize
recycled water, especially in semi-arid regions of the American Southwest. There
is concern that emerging contaminants, i.e. unregulated but biologically active
organic compounds, may be present in recycled water and will impact on the
aquatic environment and the underlying groundwater. Emerging contaminants include
a wide variety of chemically disparate compounds, including pharmaceuticals,
endocrine disruptors, and residues of perfluorochemical surfactants (PFCs). This
paper presents background data on the occurrence and transport of PFC in Upper
Silver Creek (USC) and Coyote Creek, in San Jose, California. USC feeds into
Coyote Creek, which discharges into San Francisco Bay. Augmenting the natural
flow of Coyote Creek with highly treated recycled water is currently being
considered as a means to provide more freshwater to the river ecosystem. The
reach of interest is approximately 1,000 m where USC flows on alluvial fan
deposits. Data indicate that some PFCs are refractory.
PMID- 18057643
TI - How uncertain is model-based prediction of copper loads in stormwater runoff?
AB - In this paper, we conduct a systematic analysis of the uncertainty related with
estimating the total load of pollution (copper) from a separate stormwater
drainage system, conditioned on a specific combination of input data, a dynamic
conceptual pollutant accumulation-washout model and measurements (runoff volumes
and pollutant masses). We use the generalized likelihood uncertainty estimation
(GLUE) methodology and generate posterior parameter distributions that result in
model outputs encompassing a significant number of the highly variable
measurements. Given the applied pollution accumulation-washout model and a total
of 57 measurements during one month, the total predicted copper masses can be
predicted within a range of +/-50% of the median value. The message is that this
relatively large uncertainty should be acknowledged in connection with posting
statements about micropollutant loads as estimated from dynamic models, even when
calibrated with on-site concentration data.
PMID- 18057644
TI - Particle behaviour consideration to maximize the settling capacity of rainwater
storage tanks.
AB - Design of a rainwater storage tank is mostly based on the mass balance of
rainwater with respect to the tank, considering aspects such as rainfall runoff,
water usage and overflow. So far, however, little information is available on the
quality aspects of the stored rainwater, such as the behavior of particles, the
effect of retention time of the water in the tank and possible influences of
system configuration on water quality in the storage tank. In this study, we
showed that the performance of rainwater storage tanks could be maximized by
recognizing the importance of water quality improvement by sedimentation and the
importance of the system configuration within the tank, as well as the efficient
collection of runoff. The efficiency of removal of the particles was increased by
there being a considerable distance between the inlet and the outlet in the
rainwater storage tank. Furthermore, it is recommended that the effective water
depth in a rainwater tank be designed to be more than 3 m and that the rainwater
be drawn from as close to the water surface as possible by using a floating
suction device. An operation method that increases the retention time by stopping
rainwater supply when the turbidity of rainwater runoff is high will ensure low
turbidity in the rainwater collected from the tank.
PMID- 18057645
TI - Heavy metals speciation in soakaways sediment and evaluation of metal retention
properties of surrounding soil.
AB - Heavy metals speciation analysis was carried out on sediment samples accumulated
within soakaways in an old stormwater infiltration facility in Tokyo, Japan and
on a soil core sample collected near the facility. Heavy metals content in
soakaways sediments were much elevated compared to nearby surface soil with the
content for Zn, Pb and Cd reaching about 5 to 10 times the content in surface
soil. Speciation results revealed that significant amount of the accumulated
heavy metals were present in potential mobile fractions, posing threat of release
to underlying soil with changing environmental conditions. Detail analyses of
soil characteristics indicated significant heterogeneity with depth, especially
between the surface soil and underlying soil at site. Decrease in potential
adsorption sites with depth was observed in case of underlying soil. Reduced
adsorption capacity for heavy metals was evidenced for underlying soil when
compared with surface soil. Furthermore, less capability of the soil organic
matter to bind heavy metals was evidenced through speciation analyses, which
raises concern over the long-term pollution retention potential of the underlying
soil receiving infiltrated runoff.
PMID- 18057646
TI - Role of colloids in heavy metal transfer through a retention-infiltration basin.
AB - Runoff waters from a main bridge near Nantes (France), surface waters and pore
waters in the infiltration basin collecting runoff were analysed after filtration
and ultrafiltration to investigate the role of colloids in the transfer of trace
metals (Zn, Cu and Pb) from the road to the groundwater. The role of the basin in
mitigation of the variations of physico-chemical parameters and chemical elements
concentrations was confirmed. An increase of mobile colloidal phase by comparison
with runoff and high concentrations of trace metals were observed in pore waters
of the sediment. Up to 100% of Pb and 60% of Cu and Zn may be associated to
compounds having a molecular weight above 5 kDaltons. Principal component
analysis outlined the variability of the influence of the physico-chemical
parameters on trace metals concentrations for the different waters. Speciation
calculations pointed out the potential precipitation of Fe and Al oxyhydroxides
in all kind of waters.
PMID- 18057647
TI - Impact of hydrodynamics on the precipitation efficiency--application to HARDTAC
reactor.
AB - Precipitation of gypsum is studied in a HARDTAC (High-Aspect Ratio, Draft-Tube,
Agitated Crystallizer) reactor, which is considered as the core crystallization
unit of lots of wastewater treatment systems. Coupling Computational Fluid
Dynamics (CFD) and population balance modelling to simulate precipitation can be
a useful tool to come to a decision about upstream and downstream units. In the
present study, we aim to validate such approach by investigating gypsum
precipitation in a HARDTAC pilot unit and comparing experiments results with
simulation. Measured nucleation and growth kinetics are used to feed the model. A
comparison between experiments and simulations is presented in the case of gypsum
precipitation with a given set of operating conditions. Good agreement is
obtained for species concentrations, gypsum mass fraction and volumetric mean
diameter but some discrepancies still remain between measured and simulated
crystal size distribution.
PMID- 18057648
TI - Restoration of a constructed stormwater wetland to improve its ecological and
hydrological performance.
AB - Although the vegetation within constructed stormwater wetlands plays an important
role in the treatment processes taking place, its density and distribution
depends on the wetland bathymetry and the imposed hydrologic regime. This paper
describes an ecological and hydrological assessment of a constructed stormwater
treatment wetland over a 5 year period. This assessment included the use of a
continuous simulation hydrologic model combined with a Digital Elevation Model of
the wetland bathymetry, plus a time series of vegetation maps. The combined
spatial and temporal analysis indicates that both the frequency and duration of
inundation has affected the fate of vegetation throughout the wetland.
Restoration strategies have also been investigated to improve the survival of
vegetation within the wetland.
PMID- 18057650
TI - Investigation of multimodal zeta potential and size distribution in chemical pulp
process water.
AB - Multimodal zeta potential distribution in chemical birch pulp process water was
studied by filtrating the water into fractions and subsequently measuring zeta
potential, charge quantity, turbidity and organic substances. Filtrations were
made using 12 microm, 1.6 microm, 1.2 microm, 0.45 microm and 0.1 microm
membranes. The number of populations with different zeta potentials diminished
with filtration. With the unfiltrated water, 12 microm and 1.6 microm filtrates,
three or four different zeta potentials were observed. When the filtration was
performed with a 1.2 microm membrane or smaller, only two populations of
different zeta potentials were detected. The charge quantity steadily approached
zero from unfiltrated water towards a 1.6 microm fraction filtrate. After that,
it remained constant. Turbidity constantly decreased when using smaller
membranes. The amount of wood extractives decreased to half with 0.1 microm
filtration. No significant difference in the amount of carbohydrates and lignin
between the filtrated fractions and the unfiltrated water was detected. A
comparison dealing with particle size analysis between two different apparatuses
was also made.
PMID- 18057649
TI - Bromide removal by hydrotalcite-like compounds in a continuous system.
AB - Bromide ion removal from a real water matrix by hydrortalcite-like compounds
(HTCs) was attempted in a column reactor to control the formation of brominated
disinfection by-products in drinking water treatment process. The performance of
HTCs was found to be comparable to a commercially available ion exchange resin
for relatively low alkalinity water. Also, it was deduced that HTCs are better
than ion exchange resins for high sulfate water because of their unique ion
selectivity. In addition, the ion exchange reactions by HTCs were faster than a
commercially available resin. Thus, HTCs are expected to provide similar
performance to organic resins without the concern about secondary contamination
(i.e., elution of organic compounds from resins).
PMID- 18057651
TI - Rapid sonic characterisation of sewer change and obstructions.
AB - This paper reports on the development of a low-cost, rapidly deployable sensor
for surveying live sewers for blockages and structural failures. The anticipated
cost is an order of magnitude lower than current techniques. The technology is
based on acoustic normal model decomposition, The instrument emits short coded
acoustic signals which are reflected from any sewer wall defect. The acoustic
signals can be short Gaussian pulses or longer sinusoidal sweeps and pseudo
random noise. The processing algorithms used on the reflected signal can predict
the extent and geometry of the pipe deformation, and the locations and
approximate size of common blockages. The effect of the water level on the
frequency of the fundamental mode has also been investigated. It is shown that
the technique can be adapted to work reliably in relatively large 600 mm diameter
sewer pipes.
PMID- 18057652
TI - A new design of flocculation tank: the Turbomix applied to weighted flocculation.
AB - As far as flocculation is concerned, the agglomeration of suspended particles
into flocs is highly linked to the hydraulic behaviour of the agitation. The
Turbomix is a special design of mixing tank; its design was developed to better
control the flow during the flocculation stage. It enables a significant decrease
in footprint of the process. The combination of the Turbomix and ballasted
flocculation has been studied during pilot trials in terms of treatment
efficiency. Its controlled hydraulic behaviour explains the efficiency of the
process as proved by CFD investigation.
PMID- 18057653
TI - Occurrence of perfluoroalkyl sulfonates and carboxylates in German drinking water
sources compared to other countries.
AB - Different homologues of C4 to C8 perfluoroalkyl carboxylates (PFCAs) and
perfluoroalkyl sulfonates (PFASs) were detected in German surface waters, bank
filtrates, artificially recharged groundwaters, and drinking waters. If no point
sources are located nearby, the typically measured levels are in the low ng/L
range. In the presence of point sources, such as a fluorochemical production
site, a leaching agricultural fertilizer contaminated with PFCAs and PFASs, or
drained PFC containing fire-fighting foams, much higher concentrations in the
microg/L range occur. This situation is similar in Germany and other countries.
PMID- 18057654
TI - Ozonation and reductive deiodination of iopromide to reduce the environmental
burden of iodinated X-ray contrast media.
AB - The potential of ozonation for the removal of iodinated X-ray contrast media
(ICM) with focus on the oxidation products was examined. Iopromide used as model
compound was dissolved in tap water, respectively in the effluent of a membrane
bioreactor and was ozonated. Ozone (10 mg/L) was continuously introduced into a
semi-batch reactor (35 L/h). After 30 minutes the ozone concentration was
increased to 30 mg/L. In all experiments the iopromide concentration decreased
very fast, whereas the decrease of the amount of organic bound iodine (AOI) was
much lower. The concentration of iodate, the inorganic oxidation product
increases with time, depending on the AOI decrease. The data clearly show that
the ozonation of iopromide using a common applied ozone dosage leads to the
formation of numerous iodinated transformation products, which are detectable by
LC-ESI-MS. As an alternative treatment, especially for the treatment of urine or
hospital waste water, the source for the contamination, it was tested if
iopromide can be deiodinated by zero-valent iron. First experiments done in
stirred batch reactors using iopromide dissolved in ultra pure water and urine
with an initial pH of 2 showed that iopromide can be deiodinated completely by
zero-valent iron. Even in contaminated urine collected in a hospital a
deiodination of ICM was possible. Kinetic studies at constant pH showed that the
deiodination can be described by pseudo-first order for equal iopromide and iron
concentrations. The observed rate constant kobs increased with decreasing pH with
a maximum at pH 3 with 4.76x10(-4) s(-1). The concentration of iopromide can be
decreased by ozonation and by the reductive dehalogenation. In case of ozonation
iodinated organic compounds are the main reaction products, whereas the reductive
dehalogenation leads to transformation products which are not iodinated and are
thus most probable biodegradable.
PMID- 18057655
TI - [Parathyroid and bone. Skeletal derangement in patients with primary
hyperparathyroidism].
AB - One of the principle purposes in treatment of patients with primary
hyperparathyroidism in Japan is to improve bone fragility by increasing bone
mineral density. Persistent excess actions of parathyroid hormone could cause
decrease in bone mineral density and bone fragility. Parathyroid surgery should
be considered when bone mineral density in patients with the disease is in a
range of osteoporosis. After surgery, bone mineral density is increased with time
during several years. It is suggested relative risk of fracture decreases with
parathyroid surgery. Recently, accumulating evidence indicates that treatment
with oral bisphosphonates increases bone mineral density in patients with mild
primary hyperparathyroidism, although it is yet uncertain whether it also
prevents fracture.
PMID- 18057656
TI - [Parathyroid and bone. Role of parathyroid hormone in the treatment of
osteoporosis].
AB - Parathyroid hormone (PTH) , a potent anabolic agent, produces larger increments
in bone mass than those seen with antiresorptive agents. No further benefit is
expected in PTH and antiresorptive combinations in treatment-naive patients of
osteoporosis, but in cases achieving the plateau level of BMD with established
alendronate PTH can stimulate bone formation and increase BMD again. Alendronate
treatment after PTH therapy can maintain gains in BMD at lumbar spine and hip as
well. Many possibilities are expected in the role of PTH therapy in osteoporosis
treatment.
PMID- 18057657
TI - [Parathyroid and bone. Management of parathyroid function and evaluation of bone
metabolism in hemodialysis patients].
AB - Disturbances in mineral and bone metabolism due to loss of kidney function
greatly influence morbidity and quality of life, so Kidney Disease: Improving
Global Outcomes (KDIGO) proposed the concept of chronic kidney disease-mineral
and bone disorder (CKD-MBD). Japanese Society for Dialysis Therapy has created
guidelines for the management of secondary hyperparathyroidism associated
prognosis in hemodialysis patients, and we are managing parathyroid function of
hemodialysis patients under this guideline. Bone biopsy is not recommended as
part of routine evaluation for CKD-MBD because bone biopsy is the invasive
examination. KDIGO proposed new histological classification of renal
osteodystrophy, TMV classification, for standardizing a result of bone
histomorphometry. We expect that new guideline improve the prognosis of
hemodialysis patients.
PMID- 18057658
TI - [Parathyroid and bone. Effects of parathyroid hormone on bone resorption and
formation: differences between intermittent and continuous treatment].
AB - The continuous treatment with parathyroid hormone (PTH) or endogenous PTH excess
by primary hyperparathyroidism cause enhanced bone resorption and subsequent
decreased bone volume. However, the intermittent treatment with PTH is expected
as a drug for osteoporosis for its stimulation of bone formation and marked
increase in bone volume. The mechanism of different PTH actions due to the
administration method still remains unclear. Several reports suggested the
hypothesis about the role of insulin-like growth factor-1, anti-apoptotic effects
through Runx2 or Smad3 and the differences of its effects on bone resorption. The
investigation about the mechanism of bone formation by PTH intermittent treatment
would lead to the development of bone-forming reagent in the future.
PMID- 18057659
TI - [Parathyroid and bone. The mechanism of anabolic function of parathyroid hormone
on bone].
AB - Parathyroid hormone (PTH) has been applied to postmenopausal women and several
studies revealed that intermittent PTH treatment significantly increases lumbar
bone mineral density and reduces fracture risk. However, the mechanism of PTH
anabolic function on bone is not fully understood yet. PTH receptors (PPR) are
expressed in osteoblasts and PPR stimulates multiple intracellular signal
pathways, including those mediated by cAMP-PKA signaling pathway and PLC-PKC
signaling pathway. Several studies demonstrate that the PKA signaling through G
proteins in osteoblasts play important roles in regulating gene expression and
osteogenesis by PTH.
PMID- 18057660
TI - [Parathyroid and bone. Bone metabolism in hypoparathyroidism].
AB - Hypoparathyoidism is classified into two categories: PTH deficient and PTH
refractory (i.e. pseudohypoparathyrodism [PHP]). Bone metabolism is different
between the two. In PTH deficient hypoparathyroidism such as post-operative
hypoparathyroidism, BMD is increased with relatively low bone turnover markers.
In PHP, which characterized by PTH resistance in proximal renal tubules, bone
response to PTH is sometimes preserved. In some PHP cases, high bone turnover
state is observed, which correlates with serum PTH levels.
PMID- 18057661
TI - [Parathyroid and bone. Effect of parathyroid hormone on bone quality].
AB - Intermittent administration of parathyroid hormone (PTH) stimulates bone
formation, and continuous infusion of PTH stimulates bone resorption. The
preclinical and clinical studies showed that PTH improved bone structure such as
trabecular connectivity and cortical thickness, as well as increased bone mass.
Its contribution to bone strength is produced by the different mechanism from the
anti-resorptive agent. Assessment of bone geometry and site-specific bone change
using CT will be required to evaluate its efficacy of anabolic agent on bone
quality.
PMID- 18057662
TI - [Parathyroid and bone. Calcimimetics and bone metabolism].
AB - Calcimimetics suppress parathyroid hormone (PTH) secretion by allosterically
acting on parathyroid calcium-sensing receptor. It has been already shown that
one of calcimimetics decreases PTH level, calcium-phosphate product and bone
specific alkaline phosphatase (BAP) in patients with secondary
hyperparathyroidism (SHPT) caused by end-stage renal disease. It has been also
described that this drug ameliorates osteitis fibrosa in uremic rats. However,
there has been so far insufficient evidence showing that calcimimetics increase
bone mineral density and decrease fractures in human. Effects of calcimimetics on
bone metabolism need to be investigated by clinical studies for longer usage of
this drug in the future.
PMID- 18057663
TI - [Parathyroid and bone. Mineral and bone disorder and parathyroid function in
chronic kidney disease].
AB - In chronic kidney disease (CKD), mineral and hormonal abnormality is observed at
an early stage, followed by bone disorder and vascular calcification,
consequently leading to decreased survival. Thus, an idea of systemic disorder,
CKD-mineral and bone disorder (CKD-MBD) has been currently advocated, and its
management has been discussed aiming at longevity. Low serum vitamin D level,
accumulation of phosphorus and uremic toxins, increased oxidative stress, and
secondary hyperparathyroidism play central roles in the pathogenesis of CKD-MBD.
Recent findings suggest that active management for CKD-MBD could improve
mortality as well as kidney prognosis.
PMID- 18057664
TI - [Parathyroid and bone. Secondary hyperparathyroidism after renal
transplantation].
AB - Serum parathyroid hormone concentrations decrease progressively during the first
3 to 6 month after successful renal transplantation. However 1 year after
transplantation, persistent hyperparathyroidism is common. Hypercalcemia due to
persistent hyperparathyroidism cause graft dysfunction and cardiovascular
calcification. Renal transplant recipients with persistent hyperparathyroidism
need treatment with vitamin D and calcium, in some cases parathyroidectomy has to
be considered.
PMID- 18057665
TI - [Parathyroid and bone. Evidence and perspective of parathyroid therapy for
patients with osteoporosis].
AB - Parathyroid hormone (PTH) is a new management option for patients with
osteoporosis. As an anabolic agent that affects bone remodeling and modeling, a
novel approach to reducing fracture risk could be considered for patients with
severe conditions. A number of trials have shown that increases in spine and hip
bone mineral density (BMD), and reduction of fracture risk in postmenopausal
women. Although the combination of PTH and alendronate does not seem to be
additive, PTH followed by alendronate would yield maximum increase in BMD.
Treatment with PTH can change the course of osteoporosis by directly stimulating
formation of new bone, and its application should be explored in daily clinical
practice.
PMID- 18057666
TI - [Parathyroid and bone. Indication for parathyroidectomy in primary
hyperparathyroidisms].
AB - Primary hyperparathyroidism is a disease in which somatic chromosomal defects
such as activations of oncogenes or inactivations of tumor suppressor genes
enhance chromosomal parathyroid cell growth. Decreased calcium-sensing receptor
causes oversecretion of PTH from abnormal parathyroid cells. Oversecreted PTH
enhances bone turnover and finally exhibits bone fragility. In this review, the
indications of parathyroidectomy and the effects of parathyroidectomy on bone in
primary hyperparathyroidism are discussed.
PMID- 18057668
TI - [Secondary osteoporosis. Bisphosphonates as a possible strategy for the
prevention of bone destruction in rheumatoid arthritis].
AB - Recent studies demonstrated that osteoclastic bone resorption played an important
role in joint destruction by rheumatoid synovium. Bisphosphnates inhibit
osteoclastic bone resorption and have been widely used for the treatment of
osteoporosis. Bisphosphonates, such as zolendronic acid (ZA) inhibited bone
destruction in animal models of inflammatory arthritis, although the drug was not
effective for the suppression of inflammation. In early RA patients, ZA was
effective to prevent bone destruction in combination with methotrexate. Targeting
osteoclasts with bisphosphonates is an effective strategy to maintain joint
integrity when combined with anti-rheumatic therapy.
PMID- 18057667
TI - [Parathyroid and bone. Medical management of primary hyperparathyroidism].
AB - Although the role of medical management for primary hyperparathyroidism (PHPT) is
still controversial, there are subsets of symptomatic patients with PHPT who may
benefit from medical rather than surgical treatments. Estrogen remains an
excellent option for selected postmenopausal women. Bisphophonates may be useful
to provide skeletal protection and to treat osteoporosis associated with PHPT.
PMID- 18057669
TI - [Bone disease with Pain. Stress fracture].
AB - The repetitive mechanical stress that can not break the bone in one time, may
cause stress fractures as a consequence of the overuse syndrome. As a sport
injury, stress fracture is reported in several parts of the body, mainly lower
extremities, and the diagnosis is relatively easy. The physical examination shows
tenderness and pain while moving. The evaluation of surrounding structures of the
stress fracture is mandatory. Risk factors include female, elderly and
individuals with low physical abilities. The proper plan of training for each
athlete is important not only to improve performance but also to prevent stress
fractures.
PMID- 18057670
TI - Comparison of changes in posterior tibialis muscle length between subjects with
posterior tibial tendon dysfunction and healthy controls during walking.
AB - STUDY DESIGN: Case control study. OBJECTIVE: To compare posterior tibialis (PT)
length between subjects with stage II posterior tibial tendon dysfunction (PTTD)
and healthy controls during the stance phase of gait. BACKGROUND: The abnormal
kinematics demonstrated by subjects with stage II PTTD are presumed to be
associated with a lengthened PT musculotendon, but this relationship has not been
fully explored. METHODS: Seventeen subjects with stage II PTTD and 10 healthy
controls volunteered for this study. Subject-specific foot kinematics were
collected using 3-D motion analysis techniques for input into a general model of
PT musculotendon length (PTLength). The kinematic inputs included hindfoot
eversion/inversion (HF Ev/lnv), forefoot abduction/adduction (FF Ab/Add),
forefoot plantar flexion/dorsiflexion (FF Pf/Df), and ankle plantar
flexion/dorsiflexion (Ankle Pf/Df). To estimate the change in PTLength from
neutral the following model was used: PTLength = 0.401(HF Ev/lnv) + 0,270(FF
Ab/Add) + 0.137(FF Pf/Df) + 0.057(Ankle Pf/Df). Positive values indicated
lengthening from the subtalar neutral (STN) position, while negative values
indicated shortening relative to the STN position. A 2-way analysis of variance
(ANOVA) model was used to compare PTLength between groups across the stance
phases of walking (loading response, midstance, terminal stance, and preswing).
Also, a 2-way ANOVA was used to assess the foot kinematics that contributed to
alterations in PTLength. The Short Musculoskeletal Functional Assessment Index
and Mobility subscale were used to compare function and mobility. RESULTS:
PTLength was significantly greater (lengthened) relative to the STN position in
the PTTD group compared to the control group across all phases of stance, with
the greatest between-group difference in PTLength occurring during preswing. The
greater PTLength in subjects with PTTD compared to controls was principally
attributed to significantly greater HF Ev/lnv during loading response (P = .014)
and midstance (P = .015). During terminal stance and preswing, each kinematic
input to estimate PTLength contributed to lengthening (main effect, P = .03 and P
= .01, respectively). Subjects with PTTD with abnormally greater PTLength
reported significantly lower function (P = .04) and mobility (P = .03) compared
to subjects with PTTD with normal PTLength during walking. CONCLUSIONS: The
greater PTLength, as determined from foot kinematics, suggests that the PT
musculotendon is lengthened in subjects with stage II PTTD, compared to healthy
controls. The amount of lengthening is not dependent on the phase of gait;
however, different foot kinematics contribute to PTLength across the stance
phase. Targeting these foot kinematics may limit lengthening of the PT
musculotendon. Subjects with excessive PT lengthening experience a decrease in
function.
PMID- 18057671
TI - The effects of scapular taping on the surface electromyographic signal amplitude
of shoulder girdle muscles during upper extremity elevation in individuals with
suspected shoulder impingement syndrome.
AB - STUDY DESIGN: Multifactorial, repeated-measures, within-subjects design.
OBJECTIVES: To investigate the immediate effects of scapular taping on surface
electromyographic (EMG) signal amplitude of shoulder girdle muscles during upper
extremity elevation in individuals with suspected shoulder impingement syndrome.
BACKGROUND: Individuals with shoulder impingement syndrome may present with
increased activity of the upper trapezius and inhibition of other shoulder
muscles active during upper extremity elevation. Scapular taping is theorized to
normalize shoulder girdle function during scapular upward rotation by decreasing
upper trapezius activity and increasing the activity of the lower trapezius and
other muscles. assessed for each muscle. RESULTS: Upper trapezius activity was
significantly lower with tape during shelf task elevation (P = .002), especially
above 90 degrees (P<.002). Lower trapezius activity was significantly higher with
tape (P = .043). No significant differences were found between the tape and no
tape for other muscles for the shelf task. During shoulder abduction in the
scapular plane, the main effect for upper trapezius showed a significant decrease
of EMG signal amplitude (P = .047) for tape versus no tape, but no significant
interactions were found among components of this activity, or for other muscles.
CONCLUSION: Scapular taping decreased upper trapezius and increased lower
trapezius activity in people with suspected shoulder impingement during a
functional overhead-reaching task, and decreased upper trapezius activity during
shoulder abduction in the scapular plane. Taping did not affect the other muscles
under the loads tested, but it is possible that the activity of these muscles was
not deficient at the time of testing.
PMID- 18057672
TI - Body mass, nonspecific low back pain, and anatomical changes in the lumbar spine
in judo athletes.
AB - STUDY DESIGN: Cross-sectional study of a specific population. OBJECTIVES: To
investigate the prevalence and coprevalence of nonspecific low back pain (nsLBP)
and lumbar radiological abnormalities (LRA) in judo athletes. BACKGROUND: nsLBP
and LRA occur frequently in judo athletes. High body mass has been reported to be
associated with both nsLBP and LRA. METHODS AND MEASURES: The subjects comprised
82 male judo athletes (mean +/- SD age, 20.1 +/- 0.9 years) from 3 weight
categories: lightweight (n = 29), middleweight (n= 31), and heavyweight (n = 22).
The presence of nsLBP was evaluated using a questionnaire. LRA were examined
using plain-film radiographs and magnetic resonance imaging. The prevalence of
nsLBP and LRA were compared among weight categories. RESULTS: The prevalence of
nsLBP in the lightweight, middleweight, and heavyweight categories was 34.5%,
32.3%, and 40.9%, respectively. For LRA, prevalence for the 3 weight categories
was 65.5%, 90.3%, and 90.9%, respectively (middle-weight and heavyweight greater
prevalence than lightweight [P<.05]). The prevalence of LRA in athletes with
nsLBP in each category was 50.0%, 100%, and 88.9%, respectively (middleweight
greater prevalence than lightweight [P<.05]). The prevalence of LRA in athletes
without nsLBP in each category was 73.7%, 85.7%, and 92.3%, respectively.
CONCLUSIONS: The prevalence of LRA was approximately 90% in the middleweight and
heavyweight categories, which was greater than for the lightweight category.
There was a large coprevalence of nsLBP and LRA. However, many judo athletes
without nsLBP had LRA. The presence of LRA may not have a direct association with
nsLBP.
PMID- 18057673
TI - Exercise-related leg pain in collegiate cross-country athletes: extrinsic and
intrinsic risk factors.
AB - STUDY DESIGN: Prospective cohort design. OBJECTIVES: To determine in a sample of
collegiate cross-country athletes (1) the percentage of athletes with history of
exercise-related leg pain (ERLP) associated with running, (2) the incidence of
ERLP during 1 season, (3) if factors including years of collegiate running,
training distance, and gender are associated with ERLP, and (4) if there is a
relationship between foot type and ERLP. BACKGROUND: ERLP is a common overuse
pain syndrome, but little evidence exists regarding the prevalence, incidence,
and risk factors. METHODS AND MEASURES: Eighty-eight collegiate cross-country
athletes (44 male, 44 female) from 5 Midwest universities consented to
participate, Prior to the season, athletes completed a questionnaire and 3
measures of foot type were performed: navicular drop, medial longitudinal arch
angle, and visual assessment of foot type. Athletes completed a postseason
questionnaire regarding the season incidence of ERLP Statistical analyses of
differences (t test, proportion test) and relationships (chi-square, relative
risk) were conducted. RESULTS: Prior to the season, 60 of the 88 athletes (68%)
reported a history of ERLP with bilateral medial leg pain the most common ERLP
presentation. Over 50% of the athletes with a history of ERLP reported that the
pain had interfered with cross-country participation. Of the 67 postseason
respondents, 38.8% reported ERLP incidence during the season. Most athletes
(80.8%) who reported season incidence of ERLP had a previous history of ERLP
There were no differences between athletes with and without a history of ERLP or
season incidence of ERLP regarding years of collegiate running, training
distance, gender, and foot measures. CONCLUSION: ERLP history and season
incidence was common among these cross-country athletes. The only risk factor
identified for season incidence of ERLP was a history of ERLP.
PMID- 18057674
TI - Regional interdependence: a musculoskeletal examination model whose time has
come.
PMID- 18057675
TI - Observed outcomes associated with a quota-based exercise approach on measures of
kinesiophobia in patients with chronic low back pain.
AB - STUDY DESIGN: Prospective series of consecutive cases. OBJECTIVES: To observe if
kinesiophobia was altered through an education- and quota-based exercise physical
therapy program, and to observe the relationship of kinesiophobia with other
measures related to chronic low back pain. BACKGROUND: The role of kinesiophobia
in worsening the chronic low back pain predicament has been documented in
numerous studies. However, less is known of the effect of an exerciseonly-based
physical therapy program's ability to alter kinesiophobia and improve functional
abilities in patients with chronic low back pain. METHODS: Eighty-two patients
with at least 3 months of low back pain, and a moderate level of disability
(Oswestry score greater than or equal to 20) were willing to participate in this
study. Sixty-eight of these patients completed treatment. For 68 compliant
patients, females comprised 56%, the mean age was 43 years, the mean duration of
symptoms was 28 months, and the primary anatomic diagnosis was disc degeneration
(70%). Patients underwent a course of non-pain-contingent, quota-based physical
therapy to address impairments in flexibility, strength, and lifting capacity.
These were quantified prior to and following treatment using validated methods.
Before and after treatment, patients completed the Fear-Avoidance Beliefs
Questionnaire (FABQ), Tampa Scale of Kinesiophobia (TSK) Questionnaire, Oswestry
Disability Index (ODI) Questionnaire, and a 0-to-10 visual analog scale for back
and lower extremity pain. A 12-month follow-up was conducted using mailed
questionnaires. RESULTS: The mean number of physical therapy visits was 14.
Clinically and statistically significant (P<.001) improvement in flexibility,
strength, and lifting ability were observed. Statistically significant (P<.001)
improvement in back pain, disability, and measures of kinesiophobia were also
noted at discharge and maintained at 12-month follow-up. At discharge, Oswestry
scores correlated with TSK (r = .59, P<.001), FABQ-Activities (r = .55, P<.001),
and FABQ-Work (r = .50, P<.001) scores. CONCLUSION: In this study we observed
that kinesiophobia decreased during an intensive physical therapy program in
which exercises were performed in a quota-based manner. Following the successful
performance of non-pain-contingent, quota-based exercise, patients' fears of
injury lessened, and this may have had a positive influence on disability.
PMID- 18057677
TI - Newly developed WHO growth standards: implications for demographic surveys and
child health programs.
AB - OBJECTIVE: To compare estimates of undernutrition based on the World Health
Organization (WHO) Child Growth Standards ('WHO standards') and the National
Center for Health Statistics NCHS/ WHO international growth reference ('NCHS
reference') and discuss implications for child health programs and reporting of
prevalence of underweight in demographic surveys. METHODS: A cross-sectional
study was carried out in 20 Anganwadi centers under Primary Health Centre, Anji.
Total of 1491 under-six year children attending the Anganwadi centers were
studied for nutritional status. Nutritional status was analyzed by NCHS standards
by using EPI_INFO 6.04 software package and also by newly introduced WHO Child
Growth Standards by Anthro 2005 software package. Chi-square test was used to
compare the results. RESULTS: According to WHO standards, the prevalence of
underweight and severe underweight for children 0-6 year was 47.4% and 16.9%
respectively. By NCHS reference, the overall prevalence of underweight and severe
underweight for children 0-6 years was 53% and 15% respectively. The prevalence
of underweight as assessed by WHO standards was significantly lower when compared
with the assessment based on NCHS reference (p< 0.01). But, WHO standards gave
higher prevalence of severe underweight than NCHS reference though the difference
was not statistically significant (p>0.05). CONCLUSION: In the light of newly
developed WHO Child growth standards, all the nutrition-related indicators in
demographic surveys like NFHS should now be derived using the WHO standards.
There is need to reanalyze NFHS - I and NFHS - II data using WHO standards and
findings should be made available so that it becomes comparable and trends over
the years can be studied.
PMID- 18057678
TI - Growth hormone in intra-uterine growth retarded newborns.
AB - OBJECTIVE: To study growth hormone levels in IUGR and healthy controls and its
association with birth weight and ponderal index. METHODS: We studied 50 Intra
uterine growth retarded (IUGR) and 50 healthy newborns born at term by vaginal
delivery in JIPMER, Pondicherry, India. Cord blood was collected at the time of
delivery for measurement of growth hormone. RESULTS: When compared with healthy
newborns, IUGR newborns had higher growth hormone levels (mean +/- SD, 23.5 +/-
15.6 vs 16.2 +/- 7.61 ngm/ml, P = 0.019). A negative correlation was identified
between growth hormone levels and birth weight (r2 = - 0.22, P = 0.03) and
ponderal index (r2 = - 0.36, P = 0.008). Correlation of growth hormone levels was
much more confident with ponderal index than with birth weight. CONCLUSION: At
birth IUGR infants display increased growth hormone levels which correlate with
ponderal index much more confidently than with birth weight.
PMID- 18057679
TI - Spectrum of severe skeletal dysplasias in North India.
AB - OBJECTIVE: Severe skeletal dysplasias are a group of bone growth disorders
characterized by a lethal outcome in utero or infancy. We describe our experience
of the severe skeletal dysplasias diagnosed amongst fetal autopsies done at a
tertiary level centre over a five year period. METHODS: We evaluated 15 cases
with short limbed dwarfism, of which 13 fetuses were examined after termination
of pregnancy and two were evaluated postnatally. RESULTS: Short rib dysplasia
syndromes with or without polydactyly, osteogenesis imperfecta type II,
thanatophoric dysplasia, campomelic dysplasia, chondrodysplasia punctata,
rhizomelic type and achondrogenesis were the lethal skeletal dysplasias
diagnosed. CONCLUSION: Precise identification of the tye of skeletal dysplasia is
paramount for proper genetic counseling. Postnatal examination and detailed
radiographic examination of the fetus especially of the pelvis, limbs, skull and
spine are essential to identify the type of skeletal dysplasia.
PMID- 18057680
TI - Effect of clofibrate in non-hemolytic indirect hyperbiliru-binemia in full term
neonates.
AB - OBJECTIVE: Jaundice is a common clinical problem in neonatal period which may
result in brain damage even in healthy full term newborns, when it is severe. The
aim of this study was to characterize the therapeutic effect of clofibrate in
full term neonates who present with nonhemolytic jaundice. METHODS: A clinical
controlled study was performed on 60 full term neonates who presented with non-
hemolytic jaundice. 30 neonates were treated with a single oral dose of
clofibrate (100 mg/Kg) plus phototherapy (case group), while 30 neonates received
only phototherapy (control group). Both groups were compared in regard to post
therapeutic mean total and indirect plasma bilirubin levels, admission duration
and the rate of exchange transfusion. RESULTS: The reduction rate of total and
indirect plasma bilirubin levels were significantly higher in the clofibrate-
treated group as compared with the control group (P< 0.05). The mean duration of
admission was found to be reduced from 2.9 +/- 0.9 days in the control groupl to
2.2 +/- 0.6 days in clofibrate- treated group (P=0.002). The mean plasma total
bilirubin level was lower in the clofibrate- treated group. No cases required
phototherapy after 48 hour in clofibrate- treated group, while 9 neonates (30%)
and 2 neonates (6.7%) required phototherapy after 72 hour and 96 hour
respectively in the control group. There was no difference between both the
groups for sex, the time of developing jaundice and the rate of exchange
transfusion. CONCLUSION: A single dose of clofibrate (100 mg/Kg) along with
phototherapy is more effective than phototherapy alone in treating non-hemolytic
hyperbilirubinemia in term healthy newborn infants.
PMID- 18057681
TI - Essential hypertension in early and mid-adolescence.
AB - OBJECTIVES: To detect prevalence of essential hypertension in early and mid
adolescents and to identify various risk factors. METHODS: Blood pressure was
recorded in 503 apparently normal school students in 10 to 16 yr age group as per
standard guidelines. Detailed clinical examination was done in all cases. A
detailed questionnaire was sent to parents. RESULTS: 6.16% of adolescents had
high blood pressure at the end of fourth screening. Both systolic and diastolic
hypertensions were documented. Increased body mass index and reduced consumption
of vegetables and fruits were found to be statistically significant risk factors
for hypertension. CONCLUSION: Multiple blood pressure recordings are essential
for accurate diagnosis of hypertension. There is a high prevalence of essential
hypertension amongst adolescents in Mysore city with modifiable risk factors for
hypertension.
PMID- 18057682
TI - Pattern of tobacco use among school children in National Capital Territory (NCT).
AB - OBJECTIVE: To study the prevalence, consumption patterns and correlates of
tobacco use among adolescent SZI children in Government schools in the National
Capital territory of Delhi. METHODS: Thirty schools in National Capital Territory
(NCT) of Delhi, India, were selected by two stage cluster random design and
population proportionate to size sampling (PPS) methodology. A sample of 3,422
children in the age group of 10-18 years studying in the 30 middle and senior
secondary Government schools in NCT of Delhi were studied. Each student was
administered a pre-tested, semi-structured questionnaire. RESULTS: Overall 9.8%
of the study children had at least once experimented with any form of tobacco in
their lifetime. The proportion of children who were current users of tobacco
products was 5.4% (boys: 4.6%, Girls: 0.8%). Current users differed from
abstainers in location of their schools, category of school, class, gender,
hobbies, not having friends, having tobacco users in family and school etc.
CONCLUSION: Consumption of tobacco amongst adolescents is an emerging health
problem in Delhi. A number of variables seem to differentiate between the current
users and abstainers. Tobacco use in family and school environment is a mutable
factor that should be focused in tobacco reduction campaigns. Encouraging
friendship has a protective influence. There is a need for similar studies in
other metropolitan cities of the country.
PMID- 18057683
TI - Association of HLA-*08:DRB1*03 with immunoglobulin A-deficiency.
AB - OBJECTIVE: Susceptibility to IgA deficiency (IgAD) is strongly associated with
alleles of HLA, but it is not equally strong in different human populations.
Therefore, the goal of this study was to determine the HLA-A, -B and -DRB1
antigenic and haplotypic frequencies in unrelated Polish Caucasian IgA-deficient
patients who had never been examined so far in this respect. METHODS: The HLA
alleles were determined by means of low resolution polymerase chain reaction with
sequence specific primers (PCR-SSP) method in a group of IgA-deficient patients
and control subjects from the same area. RESULTS: The HLA-DRB1*03 allele showed
the strongest association with IgA deficiency in the Polish population (OR=6.6, p
cor=0.0084). The HLA-B*08 allele was also associated with predisposition to the
disease (OR=6.22, p cor=0.033). These significant associations could be explained
in the context of a positive association of IgAD with the HLA-B*08:DRB1*03
haplotype, previously reported in other Caucasoid populations from Northern and
Central Europe. In our group the HLA-B*08:DRB1*03 haplotype was present in 52.9%
of IgA-deficient patients comparing to 9.9% in controls (p< 0.00011). A positive
association of HLA-B*08 and DRB1*03 was stronger in IgA-deficient males than in
females from the same group. CONCLUSION: Immunoglobulin A deficiency in Polish
population is strongly associated with HLA-B*08:DRB1*03 haplotype rather than
with single alleles.
PMID- 18057685
TI - Short rib polydactyly syndrome - type 2 (Majewski).
AB - Short rib polydactyly syndromes (SRPS) are a group of inherited autosomal
recessive skeletal dysplasias. It is characterized by the triad of micromelia,
polydactyly and short horizontal ribs with or without visceral involvement. We
report a case of SRPS-2 in a fresh stillborn and discuss the differential
diagnosis.
PMID- 18057684
TI - Ionized magnesium and gestational age.
AB - OBJECTIVE: Investigation of magnesium (Mg) homeostasis has re-emerged as an area
of interest in preterm born neonates who are at risk for brain pathology.
However, data regarding the association between the biologically active ionized
form of Mg and gestational age (GA) at an early stage of life in newborn infants
are controversial. METHODS: We evaluated the total and ionized Mg electrolyte
(TMg and IMg) as well as the calcium (TCa and ICa) and pH in the cord blood and
on day 2 of life in 22 neonates born at different gestational ages (< 32, 32-34
and > or =35 week) without magnesium tocolysis and absence of serious
complications during pregnancy and delivery. RESULTS: The IMg fraction that
accounted for 68.1+/-5.1% of the TMg in the cord blood and 67.9+/-4.5% of the TMg
on day 2 of life, was significantly higher in very preterm infants (GA< 32 week)
as compared to neonates with GA > 35 week. Higher IMg levels were correlated with
the lower pH that was recorded in the cord blood of the very preterm infants
(correlation coefficient, r=-0.80, p< 0.0001) and ICa (r = -0.52, P< 0.01). Lower
pH also was correlated with the GA (P< 0.0001). However, standard multiple
regression analysis showed significant association between IMg levels and
decreased pH but not the gestational age or ICa (beta=-1.10+/-0.21, p< 0.00009).
CONCLUSION: Extremely preterm infants even without additional exposure to
tocolytic magnesium are at risk for the lower pH associated elevation of ionized
Mg, which should be considered during the management of these infants in order to
prevent hypermagnesemia-related pathology.
PMID- 18057686
TI - Intravenous immunoglobulin therapy of lupus pneumonitis.
AB - Acute lupus pneumonitis in an 11-year-old girl with lupus nephritis is reported.
Chest radiograph and arterial blood gas parameters were suggestive of Acute
Respiratory Distress Syndrome (ARDS). Definitive pulmonary infection was excluded
by investigations and poor clinical response to antibiotics. The respiratory
worsening warranted ventilatory support with very high pressures. A trial of
intravenous immunoglobulin was given following which there was improvement in the
ventilatory requirements by 36 hours. The child was successfully weaned off
ventilator and discharged from ICU by day 7. The role of intravenous
immunoglobulin during acute life threatening pulmonary emergencies in a case of
SLE prompted this clinical brief.
PMID- 18057687
TI - Multiple cavernous malformations with supravermian arachnoid cyst.
AB - Cerebral cavernous malformation are congenital vascular abnormalities that have
been reported in 0.4% of the population; they represent 5-13% of all
cerebrovascular malformations. Onset of cerebral cavernous malformations may be
associated with seizures, intracranial hemorrhages, focal neurological deficit or
migraine-type headaches. Some patients may require surgical intervention due to
hemorrhage. Multiple cavernomas in childhood have been reported in the
literature, but they are rare. This manuscript presents a 12-year-old girl with
multiple cavernomas accompanied by supravermian arachnoid cyst detected by
neuroimaging techniques. This is the first report that demonstrates a case of
pediatric multiple cavernous malformation coexisting with arachnoid cyst of the
supravermian cistern.
PMID- 18057688
TI - Transient methemoglobinemia in an infant.
AB - We report a case of transient methemoglobinemia in an infant due to
gastroenteritis. Methemoglobinemia should be suspected in infants with a history
of diarrhea and cyanosis that is out of proportion to the history and clinical
examination. Methemoglobinemia can be life threatening, but outcome is good when
treated with IV methylene blue.
PMID- 18057689
TI - Unilateral palatal palsy with viral hepatitis.
AB - Isolated unilateral palatal (velopalatopharyngeal) palsy is a clinical rarity.
This usually presents in a child as acute onset rhinolalia, unilateral absent
palatal reflex and pharyngeal asymmetry with a benign self-resolving course.
Etiology remains controversial. We report association of this entity in a male
child with viral hepatitis A.
PMID- 18057690
TI - Fatal thyrotoxic periodic paralysis with normokalemia.
AB - We present a 10-year-old girl who presented to our emergency services with
difficulty in breathing of 2-days duration and progressive weakness of a month's
duration. In a previous admission elsewhere, she had not been detected to have
hyperthyroidism or electrolyte abnormalities. On admission, the child was in
hypercapnic respiratory failure with tachycardia and hepatomegaly. A small goiter
as well as signs of thyrotoxicosis were present. Laboratory investigations showed
anemia, mildly elevated liver enzymes and serum potassium of 4.8mEq/L. Despite
intubation and ventilation and other supportive management including propranolol,
the patient could not be saved. Post-mortem biopsy of the thyroid showed diffuse
hyperplasia of the follicles and muscles showed evidence of thyroid myopathy.
PMID- 18057691
TI - Hypomelanosis of Ito with cerebral malformation.
AB - Syndromic forms of cortical maldevelopment continue to be a curiosity.
Hypomelanosis of Ito (HI) is the presence of whirled hypochromic skin lesions
often associated with nondermatological manifestations. The polymorphism of brain
abnormalities associated with HI is well known. We report three cases of
Hypomelanosis of Ito, occuring in infants and associated with cerebral
malformation.
PMID- 18057692
TI - Idiopathic chronic subdural hematoma, MCA infarct and cortical atrophy with
status epilepticus in infants.
AB - Spontaneous chronic subdural hematomas in infants are extremely rare. A very
limited number of cases are known and reported in literature. The clinical
presentation can be myriad varying from asymptomatic cases to gross neurological
deficits. We report the cases of 2 infants who presented to us with repeated
episodes of generalized tonic clonic seizures since birth. No etiology could be
established for either of them. Subsequent imaging revealed chronic subdural
hematoma, MCA infarct and cortical atrophy in both the cases. Both the cases
improved following evacuation of the chronic subdural hematoma. One of the
infants had secondary craniosynostosis for which additional coronal suturectomy
had to be done. The management of such cases and a brief review of literature are
discussed.
PMID- 18057693
TI - Kawasaki disease with DIC as a complication.
PMID- 18057694
TI - Hemorrhagic pleural effusion: can it be scurvy?
PMID- 18057695
TI - PF1270A, B and C, novel histamine H3 receptor ligands produced by Penicillium
waksmanii PF1270.
AB - Three novel histamine H3 receptor (H3R) ligands, PF1270A (1), PF1270B (2) and
PF1270C (3) were isolated from the culture broth of the fungal strain PF1270. The
strain was identified as Penicillium waksmanii on the basis of morphological
characteristics. These compounds were obtained from the culture broth by solvent
extraction and chromatographic purification. Their structures were established by
spectroscopic methods and X-ray crystallographic analysis. They possess
pentacyclic spiroindolinone skeletons. 1, 2 and 3 displayed high affinity for the
rat H3R (Ki=0.058, 0.17 and 0.19 microM, respectively) and human H3R (Ki=0.047,
0.12 and 0.22 microM, respectively). Moreover, 1, 2 and 3 acted as potent
agonists with the EC50 values of 0.12, 0.15 and 0.20 microM, respectively.
PMID- 18057696
TI - Stachybotrydial selectively enhances fibrin binding and activation of Glu
plasminogen.
AB - Stachybotrydial, a triprenyl phenol metabolite from a fungus, has a plasminogen
modulator activity selective to Glu-plasminogen. Stachybotrydial enhanced fibrin
binding and activation of Glu-plasminogen (2- to 4-fold enhancement at 60-120
microM) but not of Lys-plasminogen. Approximately 1.2-1.6 moles of
[3H]stachybotrydial bound to Glu-plasminogen to exert such effects. The selective
modulation of the Glu-plasminogen function by stachybotrydial may be related to
alteration of its conformational status.
PMID- 18057697
TI - Aberrant expression of Fra-1 in estrogen receptor-negative breast cancers and
suppression of their propagation in vivo by ascochlorin, an antibiotic that
inhibits cellular activator protein-1 activity.
AB - Estrogen receptor-negative breast cancers generally are highly malignant,
resistant to chemotherapy and poorly prognostic. Here we demonstrate that
estrogen receptor-negative human breast cancer cell lines highly express Fra-1, c
Fos and c-Jun, components of the transcription factor, activator protein-1 (AP
1). Retrospective observation of breast cancer tissues obtained by core needle
biopsy before surgery from stages II and III patients demonstrates that Fra-1
expression is high in estrogen receptor-negative human breast cancers, and
negatively correlated to paclitaxel sensitivity. Ascochlorin, which suppresses
cellular AP-1 activity, selectively kills estrogen receptor-negative human and
mouse breast cancer cell lines, and prolongs the survival time of mice implanted
with an estrogen receptor-negative mammary carcinoma. These results suggest that
chemotherapy targeting AP-1 activity is a potent strategy for estrogen receptor
negative human breast cancers.
PMID- 18057698
TI - A-102395, a new inhibitor of bacterial translocase I, produced by Amycolatopsis
sp. SANK 60206.
AB - Bacterial phospho-N-acetylmuramyl-pentapeptide translocase (translocase I: EC
2.7.8.13) is a key enzyme in peptidoglycan biosynthesis, and a known target of
antibiotics. Here we report a new nucleoside inhibitor for translocase I, A
102395, isolated from the culture broth of the strain Amycolatopsis sp. SANK
60206. A-102395 is a new derivative of capuramycin that has the benzene with a
uniquely substituted chain instead of an aminocaprolactam. A-102395 is a potent
inhibitor of bacterial translocase I with IC50 value of 11 nM, but possesses no
antimicrobial activity against various strains tested.
PMID- 18057699
TI - Xylarinic acids A and B, new antifungal polypropionates from the fruiting body of
Xylaria polymorpha.
AB - Two new polypropionates designated as xylarinic acids A and B were isolated from
the fruiting body of Xylaria polymorpha. Their structures were established as
4,6,8-trimethyl-2,4-decadienoic acid and 2,4,6-trimethyl-2-octenoic acid,
respectively, on the basis of extensive spectroscopic analysis. Both compounds
displayed significant antifungal activity against plant pathogenic fungi Pythium
ultinum, Magnaporthe grisea, Aspergillus niger, Alternaria panax, and Fusarium
oxysporium, whereas they did not show antibacterial and cytotoxic effect.
PMID- 18057700
TI - Analysis of modular-iterative mixed biosynthesis of lankacidin by heterologous
expression and gene fusion.
AB - Lankacidin is a unique 17-membered macrocyclic antibiotic different from usual
even-membered macrolides. Based on the gene organization of the lankacidin
biosynthetic cluster coded on the linear plasmid pSLA2-L in Streptomyces rochei,
we previously proposed a hypothesis of modular-iterative mixed polyketide
biosynthesis for lankacidin. Two experimental evidences in this paper further
strengthened this hypothesis. Heterologous expression of the lankacidin cluster
(lkcA-lkcO) in Streptomyces lividans resulted in lankacidinol A production,
indicating that the gene cluster is sufficient for the synthesis of the
lankacidin skeleton. In addition, a gene fusant of lkcF and lkcG produced
lankacidin at a similar level to the parent strain, suggesting that an iterative
function of the LkcF protein is unlikely. These results are consistent with the
hypothesis that LkcC is used four times and LkcA, LkcF and LkcG are used
modularly to accomplish eight condensation reactions leading to the lankacidin
skeleton.
PMID- 18057701
TI - In vitro antimicrobial activity of telavancin against methicillin-resistant
Staphylococcus aureus clinical isolates from Japan (2006).
AB - In vitro antimicrobial activity of telavancin, a rapidly bactericidal
lipoglycopeptide, was evaluated against 1500 strains of MRSA recently isolated in
Japan. Telavancin had potent activity, with MIC values that ranged from 0.12
microg/ml to 0.5 microg/ml and a MIC90 value of 0.5 microg/ml. The MIC90s of
vancomycin and linezolid were 1.0microg/ml and 2 microg/ml, respectively. No
vancomycin intermediate resistant or vancomycin-resistant MRSAs were detected in
this surveillance study.
PMID- 18057702
TI - Burkholone, a new cytotoxic antibiotic against IGF-I dependent cells from
Burkholderia sp.
AB - In the course of our screening program for new inhibitors of IGF-I signaling, we
isolated a new cytotoxic antibiotic, burkholone, from the culture broth of
Burkholderia sp. QN15488. The structure of burkholone was determined to be (E)-3
methyl-2-(2-octenyl)-4-quinolone by a series of NMR analyses. Burkholone induced
cell death 32D/GR15 cells with an IC50 value of 160 nM in IGF-I containing
medium, while no cell death was observed in IL-3 containing medium even at the
concentration of 37 microM.
PMID- 18057703
TI - Byelyankacin: a novel melanogenesis inhibitor produced by Enterobacter sp. B20.
AB - A novel melanogenesis inhibitor, byelyankacin (1), was isolated from the
fermentation broth of a bacterial strain. The producing organism, designated B20,
was identified as a member of the genus Enterobacter based on taxonomic
characteristics. 1 was obtained as a white powder from the culture medium by
solvent extraction and serial chromatographic purification. The structure of 1
was determined as (E)-4-(2-isocyanovinyl)phenyl alpha-L-rhamnopyranoside on the
basis of spectroscopic data. 1 potently inhibited mushroom tyrosinase and
melanogenesis of B16-2D2 melanoma cells with IC50 value of 2.1 nM and 30 nM,
respectively.
PMID- 18057704
TI - Compartmentalized regulations of ion channels in the heart.
AB - The rate and force of contraction of the heart are precisely controlled by
compartmentalized regulation of cardiac ion channels which determine electrical
activities. It is known that modulation of cardiac ion channels, which is caused
by drug administration, sympathetic nervous system stimulation and gender
difference, can increase risks of lethal arrhythmias in carriers of inherited
disease mutations. These modulations are thought to also be involved in common
cardiac arrhythmias. Because many signaling molecules are localized within single
cells, an understanding of the molecular basis of compartmentalized regulation of
cardiac channels is a key for understanding and treating the lethal arrhythmias.
In this review, I will discuss molecular mechanisms of compartmentalized
regulation of cardiac ion channels via drugs, cAMP and sex hormones.
PMID- 18057705
TI - Population pharmacokinetics and proton pump inhibitory effects of intravenous
lansoprazole in healthy Japanese males.
AB - A total of 56 healthy Japanese males were enrolled in single- or multiple- dose
pharmacokinetic trials of intravenous lansoprazole administration. The population
pharmacokinetics of the drug was evaluated using nonlinear mixed effects model
(NONMEM) software. In addition, the effect of CYP2C19 polymorphism on proton pump
inhibition by lansoprazole was investigated using 24-h intragastric pH monitoring
in the 32 subjects. Time course of serum lansoprazole concentration following
intravenous short infusion was well described by a 2-compartment model. The mean
volume of the central and peripheral compartments was 0.110 and 0.201 l/kg,
respectively. The mean inter-compartment clearance was estimated to be 0.0882
l/h/kg. The population mean value of systemic clearance in the homoEM (CYP2C19 1/
1), heteroEM (CYP2C19 1/2 and 1/3), and PM (CYP2C19 2/2, 2/3, and 3/3) groups was
0.179, 0.109, and 0.038 l/h/kg, respectively. The mean intragastric pH following
twice-daily doses of 30 mg lansoprazole was approximately 6, 5, and 4 in the PM,
heteroEM, and homoEM groups, respectively. These findings indicate that large
interindividual variability exists in the pharmacokinetics of intravenously
administered lansoprazole, but that twice-daily infusion of a 30 mg dose leads to
significant and sustained proton pump inhibition, even in the homoEM group,
despite the short elimination half-life of the drug.
PMID- 18057706
TI - Betaine modulates age-related NF-kappaB by thiol-enhancing action.
AB - Depletion of glutathione levels and perturbations in redox status are considered
to play a crucial role in aging and chronic inflammatory processes through the
activation of redox sensitive transcription factors, including nuclear factor
kappaB (NF-kappaB). In the current study, we assessed the regulatory action of
dietary betaine in the suppression of NF-kappaB by comparing kidney tissue from
old, betaine-supplemented rats or non-betaine-supplemented rats (age 21 months)
and 7 month-old rats. In addition, cultured HEK 293T cells were utilized for the
molecular assessment of betaine's restorative ability of redox status when
treating cells with potent glutathione (GSH)-depleting agents. Results showed
that in old rats a short-term feeding (10 d) with betaine attenuated the age
related decrease in thiol levels, increase in reactive species and TNFalpha
expression via NF-kappaB activation, compared to the young controls. These
findings were verified in the cell-cultured system. Further investigations found
that redox imbalance due to thiol depletion caused increased NF-kappaB
activation, and cyclooxygenase (COX)-2 and TNFalpha levels, both of which were
suppressed by betaine treatment. Based on both in vivo and in vitro data, we
concluded that betaine exerts its efficacy by maintaining thiol status in the
regulation of COX-2 and TNFalpha via NF-kappaB activation during aging.
PMID- 18057707
TI - Chotosan enhances macrophage colony-stimulating factor mRNA expression in the
ischemic rat brain and C6Bu-1 glioma cells.
AB - Macrophage colony stimulating factor (M-CSF) is a cytokine which has been
recently reported to have a neuroprotective effect on ischemic rat brain. In this
study, we investigated the effect of chotosan, an oriental medicine, which has
been clinically demonstrated to be effective for the treatment of vascular
dementia, on M-CSF gene expression in rats with permanent occlusion of bilateral
common carotid arteries (P2VO) in vivo and in a C6Bu-1 glioma cell line in vitro.
The expression level of M-CSF mRNA in the cerebral cortices of P2VO rats was
significantly higher than that in the cerebral cortices of sham-operated animals.
Repeated treatment of P2VO rats with chotosan (75 mg/kg per day) for 4 d after
P2VO significantly increased the expression level of M-CSF mRNA in the cortex but
it had no effect on the expression of beta-actin, granulocyte colony stimulating
factor (G-CSF), granulocyte/macrophage colony stimulating factor (GM-CSF) mRNAs.
Moreover, the present in vitro studies revealed that chotosan treatment (10-100
mug/ml) of C6Bu-1 glioma cells dose-dependently enhanced M-CSF mRNA expression
without affecting the expression of G-CSF, GM-CSF, and inducible nitric oxide
synthase mRNAs. The effect of chotosan was reversed by Ro 31-8220 (1 muM), a
selective protein kinase C (PKC) inhibitor, but not by H-89 (10 muM), a selective
protein kinase A (PKA) inhibitor. These findings suggest that the upregulatory
effect of chotosan on M-CSF mRNA expression involves PKC and may play an
important role in the anti-vascular dementia action of this formula.
PMID- 18057708
TI - Morphine inhibits erythrocyte carbonic anhydrase in vitro and in vivo.
AB - Morphine is implicated in diverse functions, from development to immune
modulation in the central and peripheral nervous systems. At the present time,
morphine is one of the most effective antinociceptive agents used to manage pain.
It has been used extensively in the clinical management of pain due to its potent
analgesic effect. In this study, the in vitro and in vivo inhibitory effects of
morphine on erythrocyte carbonic anhydrase (CA) were investigated. Human
erythrocyte isoenzymes, HCA-I and HCA-II, were purified by Sepharose-4B affinity
chromatography column with a yield of 66.95 and 62.82%, a specific activity of
3892.3 and 11663.2 EU/mg proteins with 745.1 and 2232.6-fold purification of each
isoenzyme, respectively. To determine enzyme purity, sodium dodecyl sulphate
polyacrylamide gel electrophoresis (SDS-PAGE) was performed. In vitro inhibition
of erythrocyte HCA-I and HCA-II by morphine using the CO(2)-hydratase enzyme gave
IC(50) values 4.50 x 10(-5) M (r(2): 0.954) and 9.23 x 10(-5) M (r(2): 0.996),
respectively. CA activity was significantly attenuated in vivo in Spraque-Dawley
rats for up to 3 h (p<0.001) following intraperitoneal administration of
morphine. In conclusion, morphine inhibited CA activity both in vitro and in
vivo.
PMID- 18057709
TI - Cloning and functional analysis of a novel aldo-keto reductase from Aloe
arborescens.
AB - A novel aldo-keto reductase (AKR) was cloned and sequenced from roots of Aloe
arborescens by a combination of RT-PCR using degenerate primers based on the
conserved sequences of plant polyketide reductases (PKRs) and cDNA library
screening by oligonucleotide hybridization. A. arborescens AKR share similarities
with known plant AKRs (40-66% amino acid sequence identity), maintaining most of
the active-site residues conserved in the AKR superfamily enzymes. Interestingly,
despite the sequence similarity with PKRs, recombinant enzyme expressed in
Escherichia coli did not exhibit any detectable PKR activities. Instead, A.
arborescens AKR catalyzed NADPH-dependent reduction of various carbonyl compounds
including benzaldehyde and DL-glyceraldehyde. Finally, a homology model on the
basis of the crystal structure of Hordeum vulgare AKR predicted the active-site
architecture of the enzyme.
PMID- 18057710
TI - The effects of quercetin on antioxidant status and tumor markers in the lung and
serum of mice treated with benzo(a)pyrene.
AB - Chemoprevention has emerged as a very effective preventive measure against
carcinogenesis. Several bioactive compounds present in fruits and vegetables have
revealed their cancer curative potential on benzo(a)pyrene (B(a)P) induced
carcinogenesis. In the present study, the efficacy of quercetin on the level of
lipid peroxides, activities of antioxidant enzymes and tumor marker enzymes in
B(a)P induced experimental lung carcinogenesis in Swiss albino mice was assessed.
In lung cancer bearing animals there was an increase in lung weight, lipid
peroxidation and marker enzymes such as aryl hydrocarbon hydroxylase, gamma
glutamyl transpeptidase, 5'-nucleotidase, lactate dehydrogenase and adenosine
deaminase with subsequent decrease in body weight and antioxidant enzymes
superoxide dismutase, catalase, glutathione peroxidase, glutathione-S
transferase, glutathione reductase, reduced glutathione, vitamin E and vitamin C.
Quercetin supplementation (25 mg/kg body weight) attenuated all these
alterations, which indicates the anticancer effect that was further confirmed by
histopathological analysis. Overall, the above data shows that the anticancer
effect of quercetin is more pronounced when used as an chemopreventive agent
rather than as a chemotherapeutic agent against B(a)P induced lung
carcinogenesis.
PMID- 18057711
TI - Chemically modified heparin inhibits mesangial cell proliferation induced by high
glucose through interfering with the cell cycle.
AB - The aims of this study were to investigate whether chemically modified non
anticoagulation heparin derivate (Periodate-Oxidized/Borohydride-Reduced modified
heparin (OR-heparin)) can inhibit high glucose-induced human mesangial cell
proliferation and its influence on the cell cycle. OR-heparin with low
anticoagulation activity inhibited high glucose-induced early proliferation in a
dose-dependent manner. OR-heparin released high glucose-arrested mesangial cells
at G(1) phase, and dose-dependently increased S phase. OR-heparin also inhibited
high glucose-activated ERK1/2 phosphorylation, induced p27(Kip1) expression, and
suppressed reactive oxygen species (ROS) accumulation in a dose-dependent manner.
Our results suggest that OR-heparin releases high glucose-arrested cells on G(1)
phase and inhibits high glucose-induced mesangial cell proliferation through
blocking ERK1/2 phosphorylation and delaying S phase progression, which may be in
correlation with OR-heparin suppressing ROS accumulation.
PMID- 18057712
TI - The effect of survivin on multidrug resistance mediated by P-glycoprotein in MCF
7 and its adriamycin resistant cells.
AB - Although anticancer chemotherapeutic drugs have been designed to inhibit the
growth of tumor cells, chemotherapy frequently fails due to the development of
multidrug resistance (MDR). In this paper, the effect of survivin on multidrug
resistance mediated by P-glycoprotein (Pgp) was investigated in breast cancer
cells. Overexpression of survivin in MCF-7 cells transfected with survivin
expression vector pEGFP/survivin results in decreasing sensitivity to anticancer
drugs and activation of Pgp to export drug out of cells. Down regulation of
survivin in MCF-7/adriamycin (ADR) transfected with RNAi directed against
survivin vector psh1/survivin could increase the drug accumulation in cells by
inhibiting Pgp. Downregulation of the expression of the Pgp with the specific
inhibitor verapamil could markedly suppress the survivin mRNA expression, whereas
the reverse impact was not observed. Survivin might modulate the turnover of Pgp
or transport by Pgp in cells, which result in anti-apoptosis and drug resistance.
Our results suggest that survivin might play a key role in MDR in the presence of
Pgp, and this might represent a novel strategy for modulating MDR in cancer
cells.
PMID- 18057713
TI - Protein O-N-acetylglucosaminylation modulates promoter activities of cyclic AMP
response element and activator protein 1 and enhances E-selectin expression on
HuH-7 human hepatoma cells.
AB - High glucose accelerates O-N-acetylglucosaminylation (O-GlcNAcylation) of
proteins and causes diabetic complications. In the present study, we found that
treatment of HuH-7 human hepatoma cells with high glucose or the protein O-N
acetylglucosaminidase (O-GlcNAcase) inhibitor O-(2-acetoamide-2-deoxy-D
glucopyranosylidene)amino-N-phenylcarbamate (PUGNAc) increased the cell surface
expression of E-selectin. A dual luciferase reporter assay indicated that high
glucose and PUGNAc suppressed promoter activities of the cyclic AMP response
element (CRE) and enhanced those of activator protein 1 (AP-1). Enhanced CRE
promoter activities in HuH-7 cells treated with dibutyryl cAMP or co-transfected
with a protein kinase A expression vector pFC-PKA that enhances the
phosphorylation of CRE binding protein (CREB) were suppressed by PUGNAc. In
contrast, PUGNAc further increased the enhanced AP-1 promoter activity in cells
transfected with a mitogen-activated protein kinase kinase kinase expression
vector pFC-MEKK that enhances c-Jun phosphorylation. Immuno-blotting using an
anti-O-GlcNAc antibody revealed that high glucose and PUGNAc accelerated protein
O-GlcNAcylation and that there were substantial differences in the O-GlcNAcylated
proteins in the cytoplasmic and nuclear fractions. In addition, PUGNAc increased
the nuclear import of O-GlcNAcylated CREB. These results suggest that protein O
GlcNAcylation modulates the promoter activities of E-selectin gene, suppression
of CRE and enhancement of AP-1, and enhances E-selectin protein expression on
hepatocytes.
PMID- 18057714
TI - Induction of apoptosis by the licochalcone E in endothelial cells via modulation
of NF-kappaB and Bcl-2 Family.
AB - Licochalcones have a variety of biological properties including anti-tumor, anti
parasitic and anti-bacterial activities. Recently, a new retrochalcone
(licochalcone E, Lico-E) was isolated from the roots of Glycyrrhiza inflata
(Chem. Pharm. Bull., 53, 2005, Yoon et al.) by cytotoxicity-guided fractionation.
This study examined whether or not Lico-E-induced endothelial cell death occurs
through apoptosis, and investigated molecular mechanisms involved in this
process. Lico-E was found to suppress ECV304 cell growth and induce apoptosis.
The induction of apoptosis by Lico-E was confirmed by the ladder-patterned DNA
fragmentation, the presence of cleaved and condensed nuclear chromatin and the
increased number of annexin V-positive cells. Lico-E could effectively inhibit
the constitutive NF-kappaB activation, as revealed by the electrophoretic
mobility shift assay and NF-kappaB-dependent luciferase reporter study. In
addition, the Lico-E treatment caused a change in the Bax/Bcl-2 ratio that
favored apoptosis. These results suggest that Lico-E induces endothelial cell
apoptosis by modulating NF-kappaB and the Bcl-2 family.
PMID- 18057715
TI - Analyses of novel prognostic factors in neuroblastoma patients.
AB - Neuroblastoma (NB) is the most common malignant solid tumor in childhood. There
are well-recognized prognostic factors in NB such as age at diagnosis, organ of
origin, stages, MYCN gene amplification, and expression of H-ras, trkA and
survivin. Moreover, we investigated the expression of vascular endothelial growth
factor (VEGF), tyrosine hydroxylase (TH), p53, stem cell factor (SCF) and c-kit
of its receptor with quantitative real-time polymerase chain reaction (PCR) in 22
NBs and 4 other tumors (one malignant lymphoma, one malignant teratoma, and 2
rhabdomyosarcomas) samples. The correlation between patients' prognoses and the
expression of TH or c-kit was newly recognized, particularly the good prognosis
in patients in whom c-kit highly expressed and the poor prognosis contrarily
associated with low or no expression, although the SCF of its ligand had no
relationship with patient prognosis. It is possible that tumors without c-kit
expression can not react with SCF (via the autocrine or paracrine system) and
remain immature. It may be that this is a new critical clinical event in NB
patients.
PMID- 18057716
TI - Proteomic characterization of angiogenic endothelial cells stimulated with cancer
cell-conditioned medium.
AB - To characterize the protein expression profiles and identify the molecules
associated with tumor angiogenesis, the cellular proteins of human umbilical vein
endothelial cells (HUVECs) in response to cancer cell-conditioned medium (CM)
prepared from HT1080 human fibrosarcoma cells were analyzed using fluorescence
labeled 2D gel-based proteomics. Most differentially expressed proteins in HT1080
CM-stimulated cells were found to be downregulated (88%) rather than upregulated
(12%) based on statistical analysis of protein spot signals. Additionally, we
examined the effects of vascular endothelial cell growth factor (VEGF), a
proangiogenic factor, on cellular protein expression. In contrast, most
differentially expressed proteins were found to be upregulated (59%) rather than
downregulated (41%) in VEGF-stimulated HUVECs. Comparative analyses of 29 and 35
protein species identified in CM-stimulated and VEGF-stimulated HUVECs,
respectively, revealed the remarkable differences between these two stimulations.
Only four proteins were differentially expressed by both treatments: annexin A2,
enolase 1, and T-plastin (downregulated by CM but upregulated by VEGF), and RAN
(downregulated by both CM and VEGF). These findings provide new information
regarding the regulation of protein expression associated with tumor
angiogenesis.
PMID- 18057717
TI - Induction and high density culture of human hepatoblasts from fetal hepatocytes
with suppressing transformation.
AB - It is well known that it would be important to cultivate human hepatocytes of
about 10(10) cells at a high cell density, about 1 x 10(7) cells/cm(3), in the
bioreactor for the development of bioartificial liver. However, since primary
human hepatocytes lack an ability to proliferate in vitro, it is essential to
establish a culture method for the proliferation of normal human hepatic stem
cells as a cell source. In this study, it was found that human hepatoblasts, a
kind of hepatic stem cells, were induced from human fetal hepatocytes while
keeping the ability of proliferation by the treatment of 1mM sodium butyrate (SB)
for 12 d of culture. The transformation of hepatoblasts was evaluated by abnormal
prothrombin (PIVKA-II) assay, which is a clinical marker for hepatocellular
carcinoma. The PIVKA-II production rate of the cells was suppressed to the normal
level under 1 mM SB. The cells including hepatoblasts under 1 mM SB attached to
the porous hydroxyapatite carriers and proliferated to a high cell density of
about 1 x 10(7) cells/cm(3) in the carriers. The liver-specific function,
cytochrome P450 3A4 activity (4.2 pmol/mg protein/min) of the cells in the
carriers under 1 mM SB was comparable to that of primary human hepatocytes.
Ammonia metabolizing activity (0.21 micromol/10(6) cells/h) of the cells was also
comparable to that of porcine hepatocytes used in the bioartificial liver. The
PIVKA-II production rate of the cells in the carrier was suppressed to the normal
level. These results suggested that induction of human hepatoblasts from fetal
hepatocytes by the treatment of 1mM SB and proliferation of the cells at a high
cell density using hydroxyapatite carriers should be one of the more promising
culture methods for bioartificial liver developments.
PMID- 18057718
TI - Lincomycin protects mice from septic shock in beta-glucan-indomethacin model.
AB - We have developed a septic shock model in mice by sequential administration of
beta-glucan, a biological response modifier, and indomethacin (IND), a
nonsteroidal anti-inflammatory drug. Lethality was significantly related to the
translocation of gut flora to various organs and mal-adjustment of the cytokine
network. In the present study, we have examined the effect of antibiotics on this
model to further clarify meanings of microbial flora. Schizophyllan (SPG),
antitumor beta-glucan for clinical use, obtained from the culture filtrate of
Schizophyllum commune, was used to induce sepsis. Lincomycin (LCM), imipenem
(IPM), cilastatine (CS), and ampicillin (ABPC) were used for antibiotics
treatment. The survival rate of SPG/IND-treated mice was significantly increased
by administering LCM or ABPC/IPM/CS, and the effect was more significant by LCM.
In in vitro spleen cell culture, LCM decreased proinflammatory cytokine
production. Moreover, prednisolone, immune suppresser treatment improved survival
of SPG/IND-treated mice. These findings suggest that LCM is an effective
antibiotic in this endogenous septic model by modulating gut microbial flora and,
at least a part, by regulating cytokine production of leukocytes.
PMID- 18057719
TI - Comparative study on nobiletin metabolism with liver microsomes from rats, Guinea
pigs and hamsters and rat cytochrome p450.
AB - In vitro metabolism of nobiletin, a polymethoxy-flavonoid abundantly present in
citrus peels, was studied using liver microsomes of rats, hamsters and guinea
pigs and ten cDNA-expressed rat cytochrome P450 (P450). The effects of P450
inducers on nobiletin metabolism were also investigated. Aerobical incubation
with NADPH and animal liver microsomes transformed nobiletin to five metabolites,
M-1, M-2, M-3, M-4 and M-5. From LC-MS and (1)H-NMR data and a time-course study,
these were assumed to be 4'-hydroxy (OH)-, 7-OH-, 6-OH-, 3',4'-diOH- and 6,7-diOH
metabolites, respectively. Pretreatment of animals with phenobarbital increased M
2 and M-3 to about 2-fold that in untreated animals. Pretreatment with 3
methylcholanthrene (MC) resulted in remarkable increases of both M-1 and M-4 (3
to 9-fold that of untreated). Males had 2-3 times higher M-2 and M-3 formation
activities in rats, and for M-2 in hamsters than did females. Immunoinhibition
study using antiserum against P450 revealed the involvement of hamster CYP1A2 in
the formation of M-1 and M-4 in hamster liver. Of ten rat P450s, CYP2C11, CYP3A1,
CYP3A2 and CYP2D1 had high activities for the formation of M-1, M-2 and M-3.
Another P450s (CYP1A1, CYP2C12 and CYP1A2) also showed activity for the formation
of M-1. Only CYP1A1 produced 3',4'-diOH-metabolites (M-4). However, CYP2A1,
CYP2B1 and CYP2E1 had no activity for nobiletin. These results suggested that
constitutive P450s such as CYP2C11, CYP2D1, CYP3A1, CYP3A2 and CYP2C12 are
responsible for the demethylation at the 6-, 7-, 3'- and 4'-positions; whereas,
MC-inducible P450s, CYP1A1 and CYP1A2, preferentially catalyzed demethylation at
the 3'-and 4'-positions.
PMID- 18057720
TI - Effects of intravenously and orally administered solifenacin succinate (YM905) on
carbachol-induced intravesical pressure elevation and salivary secretion in mice.
AB - Solifenacin succinate is a novel muscarinic receptor antagonist used for the
treatment of overactive bladder (OAB). We investigated the effects of solifenacin
by oral and intravenous administration on carbachol (CCh)-induced intravesical
pressure (IVP) elevation and compared its efficacy with that on CCh-induced
salivary secretion in anesthetized mice. Additionally, we also investigated the
change in effects between single and repeated oral administration of solifenacin
on CCh-induced IVP elevation. Results showed that intravenous administration of
solifenacin dose-dependently inhibited the IVP elevation and salivary secretion.
The ratio of bladder response to salivary response (ratio of ID(50) values) was
2.1. Oral administration of solifenacin (0.3-30 mg/kg) also inhibited CCh-induced
IVP elevation and salivary secretion. Although inhibition of these responses by
solifenacin (10, 30 mg/kg) was comparable at early time points (0.5 and 1 h after
administration at 10 mg/kg and 0.5 to 2 h after administration at 30 mg/kg),
inhibition of CCh-induced IVP elevation was stronger at later time points (2 to 8
h after administration at 10 mg/kg and 4 to 24 h after administration at 30
mg/kg). No significant difference in ID(50) values for IVP elevation was observed
between single and repeated (11 d) oral administration of solifenacin (1-30
mg/kg), suggesting no change in efficacy on chronic administration. In
conclusion, intravenous and oral solifenacin inhibits CCh-induced IVP elevation
more potently than salivary secretion. These results provide further evidence for
the clinical use of solifenacin as a promising therapeutic drug for OAB with a
low incidence of dry mouth.
PMID- 18057721
TI - In vitro screening of psychoactive drugs by [(35)S]GTPgammaS binding in rat brain
membranes.
AB - We constructed a reproducible, simple, and small-scale determination method of
the psychoactive drugs that acted directly on the monoamine receptor by measuring
the activation of [(35)S]guanosine-5'-O-(3-thio)-triphosphate binding to guanine
nucleotide-binding proteins (G proteins). This method can simultaneously measure
the effects of three monoamines, namely dopamine (DA), serotonin (5-HT), and
norepinephrine (NE), in rat brain membranes using a 96-well microplate.
Activation of D(1) and D(2) receptors in striatal membranes by DA as well as 5-HT
and NEalpha(2) receptors in cortical membranes could be measured. Of 12 tested
phenethylamines, 2,5-dimethoxy-4-chlorophenethylamine (2C-C), 2,5-dimethoxy-4
ethylphenethylamine (2C-E), and 2,5-dimethoxy-4-iodophenethylamine (2C-I)
stimulated G protein binding. The other phenethylamines did not affect G protein
binding. All 7 tryptamines tested stimulated G protein binding with the following
rank order of potency; 5-methoxy-N,N-dimethyltryptamine (5-MeO-DMT)>5-methoxy-N,N
diallyltryptamine (5-MeO-DALT)>5-methoxy-alpha-methyltryptamine (5-MeO-AMT)>or=5
methoxy-N,N-methylisopropyltryptamine (5-MeO-MIPT)>5-methoxy-N,N
diisopropyltryptamine (5-MeO-DIPT)>N,N-dipropyltryptamine (DPT)>or=alpha
methyltryptamine (AMT). This assay system was able to designate psychoactive
drugs as prohibited substances in accordance with criteria set forth by the Tokyo
Metropolitan government.
PMID- 18057722
TI - Chemoimmunotherapeutic approach to prolonged survival time in combination with
immunization and glutamic Acid derivatives with antitumor activity in tumor
bearing mice.
AB - Cancer is one of the major causes of death. For cancer, the general conventional
treatment and standard of care for clinical oncology remains surgery followed by
radiation and/or systemic chemotherapy as deemed appropriate based on the
clinical findings. Chemoimmunotherapy is an approach to treat cancer where
chemotherapy is given along with immunotherapy. Chemoimmunotherapy may be useful
to enhance survival time in cancer by improve immunity of the patients. This
approach may enhance the therapeutic efficacy. A comparative study was done to
assess the therapeutic efficacy of the whole cell vaccine and the tumor extract
with or without combination chemotherapy with the synthesized glutamine and
glutamic acid derivatives and analogs as well as the standard drug etoposide
against Ehrlich Ascites Carcinoma (EAC) cells in Swiss Albino mice. The study
showed promising results with the compound 5-N-n-hexyl-2-(4-iso
butylbenzenesulphonyl)glutamine. The compound when combined with the whole cell
vaccine as well as the tumor extract increases the survival time and the
therapeutic efficacy which is comparable with that of standard drug etoposide.
PMID- 18057723
TI - Ginkgolide C inhibits platelet aggregation in cAMP- and cGMP-dependent manner by
activating MMP-9.
AB - In this report, we investigated the effect of ginkgolide C (GC) from Ginkgo
biloba leaves in collagen (10 mug/ml)-stimulated platelet aggregation. It has
been known that matrix metalloproteinase-9 (MMP-9) is released from human
platelets, and that it significantly inhibited platelet aggregation stimulated by
collagen. Zymographic analysis confirmed that pro-MMP-9 (92-kDa) was activated by
GC to form an activated MMP-9 (86-kDa) on gelatinolytic activities. And then, GC
dose-dependently inhibited platelet aggregation, intracellular Ca(2+)
mobilization, and thromboxane A(2) (TXA(2)) formation in collagen-stimulated
platelets. In addition, GC significantly increased the formation of cyclic
adenosine monophosphate (cAMP) and cyclic guanosine monophosphate (cGMP), which
have an anti-platelet function in both resting and collagen-stimulated platelets.
Therefore, we demonstrate that the inhibitory effect of GC on platelet
aggregation might be involved into the following pathways. GC may increase
intracellular cAMP and cGMP production and MMP-9 activity, inhibit intracellular
Ca(2+) mobilization and TXA(2) production, thereby leading to inhibition of
platelet aggregation. These results strongly indicate that GC is a potent
inhibitor of collagen-stimulated platelet aggregation. It may be a suitable tool
for a negative regulator during platelet activation.
PMID- 18057724
TI - Inhibition of LPS-induced iNOS, COX-2 and cytokines expression by poncirin
through the NF-kappaB inactivation in RAW 264.7 macrophage cells.
AB - We previously reported that poncirin, a flavanone glycoside isolated from the
EtOAc extract of the dried immature fruits of Poncirus trifoliata, is an anti
inflammatory compound that inhibits PGE(2) and IL-6 production. The present work
was undertaken to investigate the molecular actions of poncirin in RAW 264.7
macrophage cell line. Poncirin reduced lipopolysaccharide (LPS)-induced protein
levels of inducible nitric oxide synthase (iNOS) and cyclooxygenase-2 (COX-2) and
the mRNA expressions of iNOS, COX-2, tumor necrosis factor-alpha (TNF-alpha) and
interleukin-6 (IL-6) in a concentration-dependent manner, as determined by
Western blotting and RT-PCR, respectively. Furthermore, poncirin inhibited the
LPS-induced DNA binding activity of nuclear factor-kappaB (NF-kappaB). Moreover,
this effect was accompanied by a parallel reduction in IkappaB-alpha degradation
and phosphorylation that in by nuclear translocations of p50 and p65 NF-kappaB
subunits. Taken together, our data indicate that anti-inflammatory properties of
poncirin might be the result from the inhibition iNOS, COX-2, TNF-alpha and IL-6
expression via the down-regulation of NF-kappaB binding activity.
PMID- 18057726
TI - Electrophysiological characterization of tight junctional pathway of rabbit
cornea treated with ophthalmic ingredients.
AB - The purpose of this study was to investigate the continuous and real-time
influence of ophthalmic ingredients on rabbit cornea by monitoring
electrophysiological characteristics. The tight junctional permeabilities of FITC
dextran 4,400 (FD-4) was also determined through the cornea in the presence of
ophthalmic ingredients. Intact cornea showed approximately one k-ohmxcm(2) of
transepithelial electrical resistance (TEER) and extremely low permeability of FD
4. The ophthalmic ingredients used in the present study were benzalkonium
chloride (BK; 0.002%, 0.01%, 0.05%), ethylenediaminetetraacetic acid (EDTA;
0.5%), capric acid (C10; 0.25%), saponin (SP; 0.1%), taurocholic acid (TA; 1.0%)
and sodium dodecyl sulfate (SDS; 0.01%). They were previously reported to be
effective on corneal penetrations of various drugs at those concentrations
without severe toxicity. These ingredients decreased TEER and increased corneal
permeability of FD-4. BK reduced TEER in a concentration-dependent manner. There
was a significant correlation (gamma=0.860) between the permeability coefficient
(Papp) of FD-4 and conductance (Gm), which is the reciprocal value of TEER. It
was also indicated that Papp and Gm have a relationship with the corneal
cytotoxicity of the ingredients. In conclusion, an electrophysiological method
using isolated cornea was very useful to determine the continuous and real-time
influence of ophthalmic ingredients on the cornea. In this method,
electrophysiological conductance must be able to predict corneal tight junction
permeability and the corneal cytotoxicity of ingredients.
PMID- 18057725
TI - The chemopreventive effects of Saussurea salicifolia through induction of
apoptosis and phase II detoxification enzyme.
AB - The ethanol extract of the aerial part of the Mongolian medicinal plant Saussurea
salicifolia induced a dose-dependent cell growth inhibition in both human gastric
adenocarcinoma AGS cells and mouse hepatoma Hepa 1c1c7 cells (IC(50)=30.22 and
116.96 mug/ml), respectively. The extract induced an apoptosis in AGS cells
inference from the externalization of the phosphatidylserine, the increase of the
sub G0/G1 content (%) and the apoptotic morphological changes including membrane
blebbing, the formation of apoptotic bodies and chromatin condensation. In order
to identify active substances causing the apoptosis, we further isolated major
compounds present in Saussurea salicifolia and 7 compounds were isolated
including a sesquiterpene lactone, cynaropicrin, 3 lignans (trachelogenin,
matairesinol and arctigenin) and 3 lignan glycosides (tracheloside,
matairesinoside and arctiin). In general the lignan aglycones were more cytotoxic
than their lignan glycosides in both AGS cells and Hepa 1c1c7 cells. Cynaropicrin
not only showed the most potent cytotoxicity among the 7 major compounds but also
it induced an apoptosis and a weak G2/M arrest in AGS cells. Arctigenin had the
second-best cytotoxicity among 7 major compounds, and induced an apoptosis. In
order to evaluate the induction of the phase II detoxification enzyme, we
measured the induction of quinone reductase activity of the extract, fractions
and compounds in Hepa 1c1c7 cells. The ethyl acetate fraction and arctigenin
showed the strongest cancer chemopreventive activity (chemoprevention index=9.88
and 7.57, respectively). These data suggest that the extract as well as the
lignan compounds (especially arctigenin) originated from Saussurea salicifolia
may be served as potential cancer chemopreventive agents for prevention or
treatment of human cancers.
PMID- 18057727
TI - Relationship between drug release of DE-310, macromolecular prodrug of DX-8951f,
and cathepsins activity in several tumors.
AB - DE-310 is composed of the topoisomerase-I inhibitor DX-8951 (exatecan) and
carboxymethyldextran polyalcohol (CM-Dex-PA) carrier, which are covalently linked
via peptidyl spacer (Gly-Gly-Phe-Gly). In this study, we investigated
relationship between the cathepsin activity and the drug release of DE-310 by use
of human liver origin cathepsin (B, L and H) and tumor cells (murine tumor cells
(Meth A and M5076), and human tumor cells (HCT116, A549, PC-12, T98G, and HL
60)). Preliminary studies indicated that human liver cathepsin B produced Glycyl
DX-8951 (G-DX-8951) from DE-310 more preferentially than DX-8951, whereas human
liver cathepsin L produced DX-8951 preferentially. Release of drugs from DE-310
and cathepsin activities were measured in tumor cell types. The release of both
DX-8951 and G-DX-8951 from DE-310 correlated well with cathepsin B activity of
tumor cells. The release of DX-8951 was weakly, but not significantly, correlated
with cathepsin L activity. In M5076 (high cathepsin activity) or Meth A (low
cathepsin activity) xenograft models, the levels of DX-8951 and G-DX-8951 in
M5076 were higher than in Meth A after single intravenous administration of DE
310. Our findings suggest that cathepsin B is primarily responsible for drug
release from DE-310 in tumor.
PMID- 18057728
TI - Association of cumulative cyclosporine dose with its irreversible nephrotoxicity
in Japanese patients with pediatric-onset autoimmune diseases.
AB - Cyclosporine (CsA)-induced nephrotoxicity can become a major obstacle to
continuous use. The aim of this study was to optimize CsA dose to avoid its
irreversible nephrotoxicity. Twenty-three Japanese patients with pediatric-onset
systemic lupus erythematosus or idiopathic nephrotic syndrome, who were
maintained in a stable condition by oral dosing of CsA microemulsion, were
enrolled in this study. The patients were stratified into 3 groups; those with
no, reversible, and irreversible nephrotoxicity, according to periodically
performed renal pathohistological examinations. A higher concentration of CsA in
blood (p=0.002-0.011) and a longer duration of CsA treatment (p=0.002) were risk
factors for irreversible nephrotoxicity, and the cumulative CsA dose, the product
of the maintenance dose and duration of CsA treatment, was predictive of
nephrotoxicity (p=0.036). The maximum target blood concentration at 2 h post
dose, C(2), to avoid CsA-induced irreversible nephrotoxicity was 700 ng/ml,
although the cumulative CsA dose of 4850 mg/kg would result in a 50% probability
of nephrotoxicity.
PMID- 18057729
TI - Effects of 3-methyl-4-nitrophenol on the suppression of adrenocortical function
in immature male rats.
AB - In previous studies, we found that 3-methyl-4-nitrophenol (4-nitro-m-cresol;
PNMC) isolated from diesel exhaust particles, and also a degradation product of
the insecticide fenitrothion, exhibited testicular toxicity in the male of both
immature rat and adult Japanese quail. It is well established that a functional
relationship exists between the gonads and adrenals. The present study
investigates the effect of PNMC on the adrenocortical functions of immature male
rats. We subcutaneously injected 28-d-old rats with PNMC (1, 10 or 100 mg/kg)
daily for 5 d. The adrenal glands weights significantly decreased in rats treated
with 10 or 100 mg/kg PNMC. Plasma concentrations of adrenocorticotropic hormone
(ACTH) were significantly increased in animals treated with 100 mg/kg PNMC. In
contrast, plasma concentrations of corticosterone were significantly decreased in
all PNMC-treated groups, and plasma concentrations of progesterone were
significantly decreased in rats treated with 10 or 100 mg/kg PNMC. To investigate
the direct effects of PNMC on the secretion of ACTH from the anterior pituitary
gland, and on the secretion of corticosterone from the adrenal, we exposed
cultured primary anterior pituitary and adrenal cells to PNMC (10(-8), 10(-7),
10(-6), or 10(-5 m)) for 24 h. PNMC did not change basal levels of ACTH released
from cultured anterior pituitary cells. However, PNMC significantly inhibited
ACTH-stimulated production of corticosterone and progesterone from cultured
adrenal cells. These results clearly show that PNMC has a direct effect on the
adrenal gland to reduce corticosterone secretion, and the associated increase in
plasma ACTH is probably due decreased negative feedback regulation by
corticosterone.
PMID- 18057730
TI - Effects of supplemented diacylglycerol rich in docosahexaenoic acid on serum
triacylglycerol in a diet-induced hyperlipidemic model of rats are essentially
equivalent to those of triacylglycerol rich in docosahexaenoic acid.
AB - Effects of supplemented docosahexaenoic acid (DHA), given as diacylglycerol (DG)
rich in DHA (DHA-DG), triacylglycerol (TG) rich in DHA (DHA-TG) or fish oil
concentrate (DHA-70), on the serum concentration of TG and its bioavailability in
the rats with diet-induced hyperlipidemia were studied. Hypertriglyceridemia was
induced by feeding male Wistar rats a semi-purified diet that contained 5% corn
oil and 50% sucrose by weight. In addition to the feeding of dietary corn oil,
the rats received DHA intragastrically at a dose of 500 mg/kg body weight once a
day for 28 d and the control rats were given olive oil. The serum concentration
of TG in the rats that received DHA-DG was significantly lower than in the
control rats. However, there were no significant differences in diet intake,
energy intake, body weight gain, visceral fat mass or fecal excretion of total
fatty acids among the four groups. The amounts of DHA excreted into the feces of
the three groups of rats that received DHA were approximately 0.4% of the DHA
administered. The extent of the decreases induced by DHA-DG in the serum level of
TG was almost the same as those induced by DHA-TG and DHA-70. The administration
of DHA, regardless of the differences in molecular structure, did not affect the
hepatic contents of TG or phospholipid. The administration of DHA-DG considerably
increased the proportions of DHA and eicosapentaenoic acid (EPA) while decreasing
the proportion of arachidonic acid in hepatic lipids, and as a result in the
lipids in serum and erythrocytes, to the same extents as did DHA-TG and DHA-70.
These results suggest that the hypotriglyceridemic effects and bioavailability of
DHA when supplemented in the form of DG are essentially equivalent to those of
DHA-TG and DHA-70.
PMID- 18057731
TI - Formation of spermidine or norspermidine from synthetic diacetylpolyamines by
acetylpolyamine oxidase in cultured cells.
AB - Prodrugs that can readily release polyamine into cells without the problem of
generating cytotoxic compound by serum amine oxidase would be extremely useful
for elucidation of polyamine function. As linear polyamines with acetamide groups
on both sides are thought to be stable in the presence of serum amine oxidase and
produce polyamines by the catalytic reaction of acetylpolyamine oxidase (PAO), a
series of diacetyltetraamines, diacetylpentaamines and diacetylhexaamines was
prepared as prodrugs and tested for substrate activity against PAO, partially
purified from rat liver. Of the compounds, N(1),N(15)-diacetyl-1,15-diamino
4,8,12-triazapentadecane (DA3333) and N(1),N(16)-diacetyl-1,16-diamino-4,8,13
triazahexadecane (DA3343) were found to be stable in culture medium containing
newborn bovine serum, and to produce reasonable amounts of norspermidine and
spermidine, respectively. DA3333 and DA3343 were then applied to 1-aminooxy-3
aminopropane (AOAP)-treated HTC cells with depleted putrescine and spermidine,
and arrested growth. Cell growth recovered with DA3333 and DA3343, but growth
rate was reduced in cells with added DA3333 compared with growth rates in cells
with added DA3343 and control cells untreated with AOAP. Significant amounts of
norspermidine and spermidine were found in cells with added DA3333 and DA3343,
respectively. These results show the potential use of diacetylpolyamines in
introducing polyamines into cells.
PMID- 18057732
TI - Induction of apoptotic cell death by synthetic naringenin derivatives in human
lung epithelial carcinoma A549 cells.
AB - Although flavonoids, which are both qualitatively and quantitatively one of the
largest groups of natural products, exhibit a variety of beneficial health
effects, the exact molecular mechanism of the cellular activities is still not
fully explained and there currently exists a lack of evidence for any
relationship between the structure-activity relationship and apoptosis-inducing
activity. In order to determine the importance of the OH group or substitution of
the 5 or carbon-7 in the diphenylpropane skeleton of flavonoids, we originally
synthesized several modified naringenin derivatives, including 7-O-benzyl
naringenin (KUF-1) and 7-O-(MeO-L-Leu-D-Pro-carbonylmethyl) naringenin (KUF-7).
Treatment with KUF-1 or KUF-7 resulted in significant apoptosis-inducing effects
concomitant with chromatin condensation, caspase activation, and intracellular
ROS production. Our data indicate that originally synthesized naringenin
derivatives, KUF-1 and KUF-7 differentially regulate the apoptosis of A549 cells
via intracellular ROS production coupled with the concomitant activation of the
caspase cascade signaling pathway, thereby implying that hydroxylation or
substitution at Carbon-7 is critical for the apoptosis-inducing activity of
flavonoids.
PMID- 18057733
TI - Effects of the serotonin and noradrenaline reuptake inhibitor (SNRI) milnacipran
on marble burying behavior in mice.
AB - The effects of milnacipran, a serotonin and noradrenaline reuptake inhibitor
(SNRI) on the obsessive compulsive disorder (OCD) model, marble burying behavior,
were investigated in mice. Milnacipran above the dosage of 10 mg/kg inhibited
marble burying behavior significantly in mice as similar to fluvoxamine.
Milnacipran inhibiting marble burying behavior did not affect locomotor activity.
These results suggest that milnacipran can inhibit marble burying behavior and
that milacipran may be useful for OCD therapy.
PMID- 18057734
TI - Testosterone 5alpha-reductase inhibitory active constituents of Piper nigrum
leaf.
AB - Previously we reported that Piper nigrum leaf extract showed a potent stimulation
effect on melanogenesis and that (-)-cubebin (1) and (-)-3,4-dimethoxy-3,4
desmethylenedioxycubebin (2) were isolated as active constituents. As a part of
our continuous studies on Piper species for the development of cosmetic hair-care
agents, testosterone 5alpha-reductase inhibitory activity of aqueous ethanolic
extracts obtained from several different parts of six Piper species, namely Piper
nigrum, P. methysticum, P. betle, P. kadsura, P. longum, and P. cubeba, were
examined. Among them, the extracts of P. nigrum leaf, P. nigrum fruit and P.
cubeba fruit showed potent inhibitory activity. Activity-guided fractionation of
P. nigrum leaf extract led to the isolation of 1 and 2. Fruits of P. cubeba
contain 1 as a major lignan, thus inhibitory activity of the fruit may be
attributable to 1. As a result of further assay on other known constituents of
the cited Piper species, it was found that piperine, a major alkaloid amide of P.
nigrum fruit, showed potent inhibitory activity, thus a part of the inhibitory
activity of P. nigrum fruit may depend on piperine. The 5alpha-reductase
inhibitory activities of 1 and piperine were found for the first time. In
addition, the P. nigrum leaf extract showed in vivo anti-androgenic activity
using the hair regrowth assay in testosterone sensitive male C57Black/6CrSlc
strain mice.
PMID- 18057735
TI - In vivo evaluation of Kumazasa extract and chitosan films containing the extract
against deep skin ulcer model in rats.
AB - Kumazasa extract (KE) and its whole solid component (EXT)-containing chitosan
films produced by drying in air and lyophilization, abbreviated to ND and FD
films, respectively, were examined for efficacy and healing features using a deep
skin ulcer model in rats. Their effects were compared with those of clinically
available dosage forms, Beschitin W, Geben cream and U-PASTA. KE alone exhibited
a better effect as compared with other preparations, and FD films also more
effective than control in the early stage. Histological analysis showed that KE
alone reduced necrosis rapidly and accelerated granulation. ND films delayed
healing rate as compared with control. FD films showed histological features
between control and KE alone, but tended to delay healing rate in the later
period. Thus, reduction rate of wound area and histological features suggested
that KE alone should be excellent for the promotion of wound healing. Although FD
films were less effective than KE alone, they were superior as to usability such
as changing the preparation.
PMID- 18057736
TI - Sensitive extractive spectrophotometric methods for the determination of
nortriptyline hydrochloride in pharmaceutical formulations.
AB - Two simple, sensitive and rapid extractive spectrophotometric methods have been
developed for the assay of the antidepressant drug nortriptyline (NOR)
hydrochloride in pure form and in different dosage forms. The methods involve the
formation of colored ion-pairs between the drug and the complex of niobium(V)
thiocyanate (Nb-SCN) or iron(III)-thiocyanate (Fe-SCN) followed by their
extraction with butanol or a mixture of butanol and chloroform and quantitative
determination at 360 nm and 490 nm, using Nb-SCN and Fe-SCN, respectively. The
experimental conditions were optimized to obtain the maximum colour intensity.
The methods permit the determination of nortriptyline over a concentration range
of 15-100 microg/ml and 5-24 microg/ml with the detection limit of 0.84 microg/ml
and 0.32 microg/ml, using Nb-SCN and Fe-SCN, respectively. The proposed methods
are applicable for the assay of the investigated drug in different dosage forms
and the results are in good agreement with those obtained by the official and
HPLC methods. No interference was observed from common excipients present in
pharmaceutical formulations. The proposed procedures were applied to determine
the amount of nortriptyline hydrochloride as active ingredient in the presence of
its degradation product, dibenzosuberone. The extractive spectrophotometric
methods can also be used to determine the amount of nortriptyline hydrochloride
in tablets after its solid phase extraction (SPE).
PMID- 18057737
TI - Spectrophotometric, spectrofluorometric and HPLC determination of desloratadine
in dosage forms and human plasma.
AB - Four sensitive, simple and specific methods were developed for the determination
of desloratadine (DSL), a new antihistaminic drug in pharmaceutical preparations
and biological fluids. Methods I and II are based on coupling DSL with 4-chloro-7
nitrobenzo-2-oxa-1,3-diazole (NBD-Cl) in borate buffer of pH 7.6 where a yellow
colored reaction product was obtained and measured spectrophotometrically at 485
nm (Method I). The same product could be measured spectrofluorometrically at 538
nm after excitation at 480 nm (Method II). Methods III and IV, on the other hand,
involved derivatization of DSL with 2,4-dinitrofluorobenzene (DNFB) in borate
buffer of pH 9.0 producing a yellow colored product that absorbs maximally at 375
nm (Method III). The same derivative was determined after separation adopting
HPLC (Method IV). The separation was performed on a column packed with
cyanopropyl bonded stationary phase equilibrated with a mobile phase composed of
acetonitrile-water (60 : 40, v/v) at a flow rate of 1.0 ml min(-1) with UV
detection at 375 nm. The calibration curves were linear over the concentration
ranges of 0.5-6, 0.02-0.4, 1-10 and 1-30 microg ml(-1) for Methods I, II, III and
IV, respectively. The lower detection limits (LOD) were 0.112, 0.004, 0.172 and
0.290 microg ml(-1), respectively, for the four methods. The limits of
quantification (LOQ) were 0.340, 0.012, 0.522 and 0.890 microg ml(-1) for Methods
I, II, III and IV, respectively. The proposed methods were applied to the
determination of desloratadine in its tablets and the results were in agreement
with those obtained using a reference method. Furthermore, the
spectrofluorometric method (Method II) was extended to the in-vitro determination
of the drug in spiked human plasma, with a mean percentage recovery (n=4) of
99.7+/-3.54. Interference arising from endogenous amino acids has been overcome
using solid phase extraction. The proposed methods are highly specific for
determination of DSL in the presence of the parent drug loratadine. A proposal
for the reaction pathways is postulated.
PMID- 18057738
TI - Marine diterpenoids with a briarane skeleton from the Okinawan soft coral
Pachyclavularia violacea.
AB - Five new briarane-type diterpenoids, pachyclavulides E (5), F (6), G (7), H (8)
and I (9), were isolated from the Okinawan soft coral Pachyclavularia violacea.
The structures of these compounds were elucidated based on the results of
spectroscopic analysis. Compound 5 showed a weak growth-inhibitory activity in
vitro toward cancer cells.
PMID- 18057739
TI - On the chemical constituents of Dipsacus asper.
AB - Bioassay-guided fractionation of 95% EtOH extract from the roots of Dipsacus
asper lead to the isolation of some phenolic acids (caffeic acid, 2,6
dihydroxycinnamic acid, vanillic acid, 2'-O-caffeoyl-D-glucopyranoside ester, and
caffeoylquinic acid) as the major active components, and five new iridoid
glucoside dimers (1-5) and one new iridoid glucoside monomer (6), other known
iridoid glycosides loganin, cantleyoside, triplostoside A, lisianthioside, 6'-O
beta-D-apiofuranosyl sweroside, as well as triterpenoids oleanic acid and
akebiasaponin D. The structures of new compounds 1-6 were determined as
dipsanosides C (1), D (2), E (3), F (4), G (5), and 3'-O-beta-D-glucopyranosyl
sweroside (6) by spectroscopic, including 1D and 2D NMR techniques, and chemical
methods.
PMID- 18057740
TI - Novel water-soluble sedative-hypnotic agents: isoindolin-1-one derivatives.
AB - We developed new intravenous sedative-hypnotic compounds with the isoindolin-1
one skeleton focusing on the water-soluble property and in vivo safety. We
synthesized approximately 170 derivatives and evaluated their hypnotic effects by
intravenous administration of the compounds to mice. A series of the 2-phenyl-3
[2-(4-methyl-1-piperazinyl)-2-oxoethyl]isoindolin-1-one analogs, 3(-), 5(-), 27(
), and 47(-) [JM-1232(-)], showed potent sedative-hypnotic activity with good
water solubility and a wide safety margin. The hypnotic doses (HD50s) of these 4
compounds when administered to mice were 2.35, 1.90, 2.17, and 3.12 mg/kg,
respectively, and the lethal doses (LD50s) were 88.67, 64.69, >120, and >120
mg/kg, respectively. The therapeutic indexes (LD50/HD50) were 37.73, 34.05,
>55.30, and >38.46, respectively. Among these compound, 47(-) [JM-1232(-)] is
being considered as the most potential candidate for clinical trials in humans.
PMID- 18057741
TI - Solution equilibria between aluminum(III) ion and some fluoroquinolone family
members. Spectroscopic and potentiometric study.
AB - Complex formation between aluminum(III) ion and fluoroquinolone antibacterials
either moxifloxacin (4th generation antibiotic) or fleroxacin (2nd generation
antibiotic) were studied in aqueous solutions without and in the presence of
sodium dodecylsulfate (SDS). The investigations were performed by glass electrode
potentiometric (ionic medium: 0.1 mol/dm(3) LiCl, 298 K), UV spectrophotometric,
multinuclear (1H and 13C) magnetic resonance and ESI-MS measurements. The
experimental data were consistent with the formation of Al(HL)L2+, Al(HL)3+
AlL2+, Al(OH)L+ and Al(OH)2L complexes in the pH interval ca. 3-8 and up to 5 : 1
ligand to metal mole ratio with range of Al3+ concentrations between ca. 0.025 to
1.0 mmol/dm3. The binary complex, AlL2+ is fairly stable (log beta(1,0,1) ca.
11.0) and its stability increases in the presence of SDS. At higher concentration
ratios of ligands to aluminum, up to 5 : 1, the complex Al(HL)L2+ is formed with
rather high overall stability constant (log beta(1,1,2) ca. 24.0). The ESI-MS
data generally, confirmed the derived model, and the formation of the complex
with ligand to metal ratio 2 : 1. NMR measurements indicate that both ligands
utilize 4-carbonyl and carboxyl oxygens as donor atoms. The presence of surface
active substance, SDS, favors the formation of the complex in which the ligand is
protonated, i.e. Al(HL) and its maximum formation is shifted toward milder acidic
region (pH ca. 4). The aluminum-quinolone complexes may affect the bio
distribution of both, quinolone and/or aluminum ion upon concomitant ingestion of
aluminum-based antacids or phosphate binders and fluoroquinolones.
PMID- 18057742
TI - Ascorbate analogs for use in medical imaging: synthesis and radical scavenging
activity of 5-O-(4'-iodobenzyl)-L-ascorbic acid.
AB - As part of our program to develop potential imaging agents for ascorbate
bioactivity in the brain, 5-O-(4'-iodobenzyl)-L-ascorbic acid was prepared
through a seven-step sequence which involved C5-O-alkylation with p-iodobenzyl
bromide in the presence of Ag2O and CaSO4 as the key step, starting from L
ascorbic acid. The scavenging activity of the p-iodobenzylated analog against 2,2
diphenyl-1-picrylhyrazyl (DPPH) radical was almost the same as that of L-ascorbic
acid itself.
PMID- 18057743
TI - Synthesis and bioassay of amino-pyrazolone, amino-isoxazolone and amino
pyrimidinone derivatives.
AB - Novel amino-pyrazolone, amino-isoxazolone and amino-pyrimidinone derivatives were
prepared from ethyl 4-phenylsulfonyl-2-(2'-phenylsulfonylethyl)-2-cyanobutyrate
(1), ethyl 4-arylsulfonyl-3-aryl-2-cyanobutyrate (7) and ethyl 4
arylmethylsulfonyl-3-aryl-2-cyanobutyrate (8). The lead molecules have been
tested for their antimicrobial activity and antioxidant property.
PMID- 18057744
TI - Enantioselective intramolecular aldol reaction mediated by a combination of L
amino Acid and Bronsted Acid to construct a bicyclic enedione containing a 7
membered ring.
AB - The enantioselectivity of the intramolecular asymmetric aldol reaction of 1,3
cycloheptanedione bearing a C-2 methyl substituent, mediated by a series of
combinations of L-amino acid and Bronsted acid, was examined in detail.
PMID- 18057745
TI - Improving the high variable bioavailability of griseofulvin by SEDDS.
AB - To enhance the dissolution and oral absorption of poorly water-soluble
griseofulvin (GF), self-emulsifying drug delivery system (SEDDS) composed of oil,
surfactant and cosurfactant for oral administration of griseofulvin was
formulated, and its physicochemical properties and pharmacokinetic parameters
were evaluated. The solubility of griseofulvin was further improved by the
addition of hydrochloric acid. Droplet size of griseofulvin emulsion was kept
constant both in simulated gastric fluid without pepsin and simulated intestinal
fluid throughout 12 weeks incubation period. Griseofulvin in the SEDDS rapidly
dissolved in different dissolution media. This was not the case for the
commercial GRIS-PEG tablets. In different fed diet groups, AUC 0-->24 h, Cp max,
and T max of griseofulvin after oral administration of SEDDS in rats were
comparable to those after oral dose of GRIS-PEG tablet. Although, in fed lipidic
diet group, the mean AUC and Cp max after oral administration of GRIS-PEG in rats
were 1.28 and 1.15 fold higher, respectively, compared with those of SEDDS, these
have not shown to be significantly different. These results demonstrate that the
SEDDS of griseofulvin composed of Capmul GMO-50, Poloxamer and Myvacet 9-45
greatly enhanced the dissolution of griseofulvin (without ultramicronisation).
However, food intake effect on the bioavailability of griseofulvin has remained.
Thus, this system may provide a useful dosage form for oral water-insoluble drugs
which have problems in their dissolution.
PMID- 18057746
TI - Glycolipids from the formosan soft coral Lobophytum crassum.
AB - Three glycolipids (1--3), possessing a sugar moiety at C-2 of glycerol ether,
have been isolated from the Formosan soft coral Lobophytum crassum. Their
structures were elucidated by spectroscopic methods, particularly in 1D- and 2D
NMR experiments. The absolute configurations on the sugar portion and lipid
aglycon of 1--3 were determined by methanolysis, chemical transformation and the
application of Mosher's method on 1 and 3. Compounds 1--3 exhibited weak
cytotoxic activities.
PMID- 18057748
TI - Metachromins R--T, new sesquiterpenoids from marine sponge Spongia sp.
AB - Three new sesquiterpenoids, metachromins R--T (1--3), have been isolated from an
Okinawan marine sponge Spongia sp. The structures and stereochemistry of 1--3
were elucidated on the basis of the spectroscopic data. Metachromins S (2) and T
(3) showed modest cytotoxicity.
PMID- 18057747
TI - Semi-synthesis of polymyxin B (2-10) and colistin (2-10) analogs employing the
Trichloroethoxycarbonyl (Troc) group for side chain protection of alpha,gamma
diaminobutyric acid residues.
AB - Improved strategies for the chemical conversion of natural polymyxin B and
colistin to their N-terminal analogs are reported. First, the protection of the
side chains of five L-alpha,gamma-diaminobutyric acid (Dab) residues in natural
polymyxin B and colistin was achieved with trichloroethoxycarbonyl (Troc), then
the resulting pentakis(N gamma-Troc)-polymyxin B and pentakis(N gamma)Troc)
colistin were treated with trifluoroacetic acid (TFA) : methanesulfonic acid
(MSA) : dimethylformamide (DMF) : H2O (10 : 30 : 55 : 5) at 40 degrees C in order
to remove N alpha-alkanoyl-Dab(Troc)-OH selectively. The new key compounds,
tetrakis(N gamma-Troc)-polymyxin B (2-10) and tetrakis(N gamma-Troc)-colistin (2
10), were obtained in 19% and 15% yields, respectively, which is higher than
previous reports using trifluoroacetyl (Tfa) for tetrakis(N gamma-Tfa)-polymyxin
B (2-10) and tetrakis(N gamma-Tfa)-colistin (2-10), respectively. Acylation of
tetrakis(N gamma-Troc)-polymyxin B (2-10) and tetrakis(N gamma-Troc)-colistin (2
10) with various hydrophobic acids bearing aliphatic or aromatic ring structures,
followed by the deprotection of Troc by Zn in AcOH, produced polymyxin B (2-10)
and colistin (2-10) analogs which were used for structure-activity relationship
studies. It was found that cyclohexylbutanoyl-, 4-biphenylacetyl-, and 1
adamantaneacetyl-polymyxin B (2-10) showed potent antimicrobial activity equal to
that of polymyxin B against three Gram-negative bacterial strains. The
lipopolysacharide (LPS) binding activity of cyclohexylbutanoyl-, 4-biphenylacetyl
, and cyclododecanecarbonyl-polymyxin B (2-10) increased greatly in comparison
with that of polymyxin B (2-10). The various N alpha-acylated polymyxin B (2-10)
analogs showed slightly higher antimicrobial and LPS binding activities than the
corresponding N alpha-acylated colistin (2-10) analogs.
PMID- 18057749
TI - Facile synthesis of trisaccharide moiety corresponding to antitumor activity in
triterpenoid saponins isolated from Pullsatilla roots.
AB - A trisaccharide found in triterpenoid saponins isolated from Pullsatilla roots
appears as an important promoiety for the enhancement of anticancer activity of
their aglycones. Thus a facile synthetic method for a trisaccharide moiety, allyl
2,3,4-tri-O-benzoyl-alpha-L-rhamnopyranosyl-(1-->2)-[2,3,4,6-tetra-O-benzoyl-beta
D-glucopyranosyl-(1-->4)]-3-O-benzoyl-beta-L-arabinopyranoside (3), has been
firstly developed through the regio- and stereoselective glycosylations from
arabinose in total 16% yield via route 2 (eight steps). In this synthetic
procedure, the protection of anomeric -OH of L-arabinose with equatorially
oriented allyl group unlike with the axial 4-methoxybenzyl protecting group well
promoted glycosyl bond formation between alpha-L-rhamnopyranosyl
trichloroacetimidate and 2-OH of arabinose. As expected, the synthesized
trisaccharide moiety 3 has no cytotoxicity (ED50: >100 microM) against three
human cancer cell lines (A-549, SK-OV-3, and SK-MEL-2), respectively.
PMID- 18057750
TI - Synthesis and HIV-1 integrase inhibition of novel bis- or tetra-coumarin
analogues.
AB - Present studies were undertaken on the preparation of synthetic analogues of bis-
or tetra-coumarins and their activity against HIV-1 integrase (HIV-1 IN). Among
these coumarin analogues, compounds 14, 16 and 18 were found to be potent
molecules against HIV-1 IN at IC50 values of 0.96, 0.58, and 0.49 microM,
respectively. The results provided a tool for guiding the further design of more
potent antiviral agents and for predicting the affinity of related compounds.
PMID- 18057751
TI - Abietane lactones and iridoids from Goldfussia yunnanensis.
AB - Two new abietane diterpene lactones (1--2), three new abietane diterpene lactone
glycosides (3--5) and a new iridoid glycoside (6), together with five known
compounds, were isolated from the aerial parts of Goldfussia yunnanensis. The new
compounds were determined to be 18-hydroxyhelioscopinolide A (1), 18
oxohelioscopinolide A (2), 18-hydroxy-3-O-beta-D-glucopyranosylhelioscopinolide A
(3), 3-O-beta-D-glucopyranosylhelioscopinolide A (4), 3-O-beta-D
galactopyranosylhelioscopinolide A (5), and 6-O-trans-cinnamoyl E-harpagoside (6)
on the basis of spectral data and chemical evidence.
PMID- 18057752
TI - Cytotoxic fatty acid from Pleurocybella porrigens.
AB - The new conjugated ketonic fatty acid, porrigenic acid (1), was isolated as a
cytotoxic constituent of Pleurocybella porrigens. The structure of 1 was
elucidated using spectroscopic methods including 1D and 2D NMR and MS. The
absolute stereochemistry of 1 was determined by application of the exciton
chirality method. Compound 1 exhibited cytotoxic activity against myeloma THP-1
cells, but did not show any significant toxicity against B16F1 melanoma. This is
the first report of the isolation and structural elucidation of the new cytotoxic
constituent porrigenic acid (1) from the edible mushroom P. porrigens.
PMID- 18057753
TI - Structural development of liver X receptor (LXR) antagonists derived from
thalidomide-related glucosidase inhibitors.
AB - Following our previous discovery of LXR antagonistic activity of 2'-substituted
phenylphthalimides derived from thalidomide-related glucosidase inhibitors,
structure-activity studies and further structural development led to 5-chloro-N
2'-n-pentylphenyl-1,3-dithiophthalimide (5CPPSS-50), with IC50 values of about 10
and 13 microM for LXRalpha and LXRbeta, respectively.
PMID- 18057754
TI - Antifungal activity of some diaryl ethers.
AB - Several diaryl ethers were synthesized and tested in vitro against seven
phytopathogenic fungi, namely Fusarium graminearum, Alternaria alternate,
Helminthosporium sorokinianum, Pyricularia oryzae, Fusarium oxysporum f. sp.
vasinfectum, Fusarium oxysporum f. sp. cucumarinum and Alternaria brassicae.
Compared to a commercial agricultural fungicide, hymexazol, especially compounds
a, b, e, g and k were found to be more effective at 50 mug/ml against F.
graminearum, F. oxysporum f. sp. vasinfectum and F. oxysporum f. sp. cucumarinum.
Meantime, some structure-activity relationships were also observed.
PMID- 18057755
TI - A study of the calcium complex of a glucosylceramide, soya-cerebroside II.
AB - In order to study calcium ion complex of soya-cerebroside II (1), an ionophoretic
glucosylceramide isolated from soybean, C8-cerebroside (3) and 3,3'',6''-trideoxy
C8-cerebroside (4) are designed and synthesized. On the basis of extensive 1H-NMR
studies in the presence of Ca2+ and a continuous variation method via (1)H-NMR,
soya-cerebroside II is suggested to form a calcium complex with 1/Ca2+ ratio of 1
: 1. Soya-cerebroside II serves as a tridentate chelating ligand for Ca2+; the
amide carbonyl, C2'-hydroxy, and C2''-hydroxy oxygens are responsible for the
Ca2+ binding. Soya-cerebroside II is structurally analogous to a neural
glucosylceramide. Thus, the accumulated neural glucosylceramide inside of
endoplasmic reticulum (ER) membrane may serve as an endogenous Ca2+-binding and
transport molecule (ionophore) that result in mobilization of Ca2+ from
intracellular calcium stores.
PMID- 18057756
TI - Synthesis of 2,2'-Bis(3,6,9-triazanonyl)-4,4'-bithiazole and related compounds as
new DNA cleavage agents.
AB - Two new bithiazole derivatives, 2,2'-bis(3,6,9-triazanonyl)- and 2,2'-bis(3,7,11
triazaundecyl)-4,4'-bithiazoles (3a, b), were readily synthesized in six steps
using the corresponding dialkylenetriamine as starting materials. Under
physiological conditions, 5.0 microM 3a exhibited significant DNA cleavage
activity in the presence of Co(II), whereas even at 50 micriM, 3b exhibited no
DNA cleavage activity. Furthermore, it was demonstrated that 3a forms a 1 : 2
complex with Co(II) ions, whereas 3b does not. These conclusions were based on
measurements of stoichiometries of the bithiazole-cobalt complexes obtained by
the Job continuous variation method. In contrast, 3a, which contains
diethylenetriamine moieties, showed decreased affinity for Calf Thymus (CT) DNA
compared with that of 3b, which contains dipropylenetriamine moieties. These
findings indicate that the structure of the two aminoalkyl side chains attached
at the 2- and 2'-positions of the 4,4'-bithiazole ring significantly influence
the formation of cobalt complexes, and affects the compound's ability to cleave
DNA as well as its affinity for double-stranded DNA.
PMID- 18057757
TI - Structures of epicatechin gallate trimer and tetramer produced by enzymatic
oxidation.
AB - During black tea production, catechins and their galloyl esters are enzymatically
oxidized to generate a complex mixture of black tea polyphenols. The role of
galloyl ester groups in this process has yet to be determined. Enzymatic
oxidation of epicatechin 3-O-gallate (1) yielded two new oxidation products,
theaflavate C and bistheaflavate A, along with theaflavate A (2), a known dimer
of 1 generated by coupling of the B-ring with the galloyl group. Theaflavate C is
a trimer of 1 and possesses two benzotropolone moieties generated by the
oxidative coupling of the galloyl groups with the catechol B-rings.
Bistheaflavate A was found to be a tetramer produced by intermolecular coupling
of two benzotropolone moieties of 2. From the structures of the products, it was
deduced that oxidative coupling of galloyl groups resulted in extension of the
molecular size of the products in catechin oxidation.
PMID- 18057758
TI - Effects of magnesium salts and amines on the stereoselectivity in the imine aldol
reaction.
AB - In the imine aldol reactions of 1 with aromatic aldehydes using magnesium salts
in the presence of amines, the threo/erythro ratios of products increased in the
order Mg(ClO4)2>MgI2>MgBr2>MgCl2>Mg(OTf)2 and N,N,N',N'
tetramethylethylenediamine (TMEDA)>Et3N. This increase in the threo/erythro
ratios of products was estimated to be caused by a retro-imine aldol reaction
under thermodynamic control.
PMID- 18057759
TI - Physical diagnosis of chronic obstructive pulmonary disease.
AB - Among the various diagnostic strategies of chronic obstructive pulmonary disease
(COPD), physical diagnosis is the quickest and requires no extra cost. Rapid
physical diagnosis of COPD in primary care practice can lead to earlier actions
of preventive measures and counseling for patients. Further, rapid physical
diagnosis of COPD in an emergency department is also crucial for timely use of
potentially lifesaving therapy specific for COPD patients. In this review, we
will present a broad scope of physical findings for rapid physical diagnosis of
COPD.
PMID- 18057760
TI - Effect of combination therapy of a rapid-acting insulin secretagogue (glinide)
with premixed insulin in type 2 diabetes mellitus.
AB - AIM: The effect of rapid-acting insulin secretagogues (glinides) on glycemic
control when included with insulin therapy for type 2 diabetes remains uncertain.
To examine this, we added glinide once a day to twice daily injections of
premixed insulin. RESEARCH DESIGN AND METHODS: Seventy-four type 2 diabetic
patients, taking twice daily injections of premixed insulin and whose diabetic
control was stable, were registered at 6 independent institutions. After a 3
month observation period, 60 patients were administered 10 mg mitiglinide or 90
mg nateglinide at lunchtime without changing their insulin regimen. After 12
weeks, administration of glinide was discontinued and observation was continued.
HbA1c levels were measured at the start of glinide administration, after 12 weeks
of glinide , and at 12 weeks after discontinuation. RESULTS: HbA1c improved from
7.72+/-0.66% to 7.55+/-0.71% (p <0.01) at Week 12 of glinide administration.
Twelve weeks after discontinuation, HbA1c returned to the baseline level (7.72+/
0.81%). CONCLUSION: This study indicates that the addition of glinide once a day
at lunchtime to twice daily injections of premixed insulin is effective for the
treatment of type 2 diabetes.
PMID- 18057761
TI - Influence of motorization and supermarket-proliferation on the prevalence of type
2 diabetes in the inhabitants of a small town on Okinawa, Japan.
AB - BACKGROUND: Motorization and supermarket-proliferation affect lifestyles. About
15 years ago, Okinawans went to several shops on foot, but now they go to
supermarkets by car. The influences of these changes on the prevalence of
diabetes are uncertain. OBJECTIVE AND MEASUREMENTS: The influence of motorization
and supermarket-proliferation on the prevalence of diabetes was studied in the
inhabitants of a town on Okinawa, Japan. Measurements were composed of
anthropometry and blood chemistry. Participants were asked where they buy food
and daily necessities (several shops or a supermarket) and how they get there (by
car or on foot). DESIGN: Serial cross-sectional. PARTICIPANTS: Inhabitants of the
island of Okinawa were studied. RESULTS: In 1991, 24% went to several shops and
20% to a supermarket. However, in 2004, only 3.1% went to several shops and 83%
to a supermarket. In 1991, 55% went to shopping places on foot and 38% by car.
However, in 2004, only 14% went on foot and 76% by car. The prevalence of
diabetes in Okinawa increased from 4.7% in 1991 to 8.4% in 2004. The prevalence
of diabetes correlated positively with the percent of inhabitants going to
supermarkets, and those going there by car. In 1991, the prevalence of type 2
diabetes was 4.7% in men and 4.6% in women; no difference was noted between men
and women. In 2004, the prevalence of type 2 diabetes increased to 9.2% in men
and to 7.5% in women. The increase in the prevalence of type 2 diabetes from 1991
to 2004 was higher in men than in women. CONCLUSIONS: About 15 years ago,
Okinawans went to shops on foot, but now they go to supermarkets by car. The
prevalence of diabetes is increasing. Motorization and supermarket-proliferation
are associated with the increases of the prevalence of diabetes. The increase in
diabetes prevalence was higher in men than in women.
PMID- 18057762
TI - Elevation of serum thioredoxin in patients with gefitinib-induced interstitial
lung disease.
AB - OBJECTIVE: Interstitial lung disease (ILD) is a severe adverse event of gefitinib
therapy. However, the mechanism still remains unclear. The objective of this
study was to examine whether or not oxidative stress, one of the common factors
in drug-associated ILD, is involved in the pathogenesis of gefitinib-induced ILD.
PATIENTS AND METHODS: Using an enzyme-linked immunosorbent assay (ELISA), we
measured the concentration of serum thioredoxin (Trx), a redox-active protein
with antioxidative effects, in 44 patients treated with gefitinib, including
three patients who had ILD. RESULTS: In patients who had gefitinib-induced ILD,
serum Trx levels were significantly elevated. They decreased after cessation of
gefitinib therapy accompanying clinical improvement of ILD. CONCLUSION: It was
suggested that oxidative stress may be involved as a part of mechanisms causing
or worsening gefitinib-induced ILD.
PMID- 18057763
TI - Early stage of colonic adenocarcinoma associated with traditional serrated
adenoma.
AB - We describe a 68-year-old woman diagnosed as having a colonic adenocarcinoma
associated with traditional serrated adenoma (SA) with submucosal invasion of the
sigmoid colon. Colonoscopy revealed a 0-IIa+IIc colon cancer with a SA component,
about 12 mm in diameter, in the sigmoid colon. She underwent laparoscopy-assisted
resection of the sigmoid colon. In the resected specimen, colon cancer with mucin
pools was adjacent to the SA. Cases of colonic adenocarcinoma associated with
traditional SA with submucosal invasion are relatively rare. This case suggests
that SA may play a role in the development of colorectal cancer.
PMID- 18057764
TI - Thyrotoxicosis with coronary spasm that required coronary artery bypass surgery.
AB - We describe a 47-year-old woman with severe coronary vasospasm induced by
hyperthyroidism. The patient complained of anginal chest pain without specific
characteristics of thyrotoxicosis. Coronary arteriography was performed and
revealed 90% stenosis of both the left and right coronary os. She was treated
with emergent coronary artery bypass graft surgery. Postoperatively, she
exhibited a comatose mentality. Severe thyrotoxicosis was indicated on thyroid
function tests and thyrotoxic storm was diagnosed. Nineteen days after the
surgery and following the initiation of propylthiouracil treatment, coronary
arteriography revealed entirely normal coronary arteries.
PMID- 18057765
TI - Hypereosinophilic syndrome accompanied by Buerger's disease-like femoral arterial
occlusions.
AB - A case of hypereosinophilic syndrome (HES) with a rare complication of Buerger's
disease-like large arterial occlusion (AO) was successfully treated with emergent
percutaneous transluminal angioplasty and anticoagulants plus corticosteroid. By
reviewing 15 reported cases of HES with AO including the present case, we found
man predominance but no other consistent characteristics in HES with AO, such as
age, smoking history, eosinophil counts or previous treatments, thus, predicting
AO in HES patients appears to be difficult. Vessel intervention should be
considered as a treatment option, since treatment delay in some patients has
resulted in the amputation of extremities.
PMID- 18057766
TI - Long-term sustained mixed chimerism after allogeneic stem cell transplantation in
a patient with severe aplastic anemia.
AB - Mixed chimerism in a post-transplant patient with severe aplastic anemia (SAA) is
generally considered to be a status preceding donor-cell rejection and bone
marrow failure. Here, we report on a rare, prolonged mixed chimerism in a patient
with SAA who showed a full recovery in hematological and immunological status
after transplantation. The analysis in this patient showed about 20% and 80%
recipient-type cells of total blood cells and T cells, respectively, at two years
post-transplantation, and 14% and 25% of total blood cells and T cells,
respectively, at four years post-transplantation. This report describes the most
comprehensive case study known to date.
PMID- 18057767
TI - Inflammatory myopathy with anti-Golgi antibody and anti-SS-A/Ro antibody.
AB - We report a 74-year-old woman with anit-Golgi antibody and anti-SS-A/Ro antibody
who contracted inflammatory myopahy presenting 'ALS-like' symptoms. We identified
anti-Golgi antibody directly using confocal microscopy and successfully treated
her with steroid. This report suggests that there is a new categorized subgroup
of inflammatory myopathy with these specific antibodies and the pattern of
autoantibody in these patients indicates the specific clinical course and
treatment strategy.
PMID- 18057768
TI - Mechanism of formation of the orange-colored sputum in pneumonia caused by
Legionella pneumophila.
AB - It has been said that the color of sputum from patients with Legionella
pneumophila pneumonia is orange. However, why the color is orange has not been
clarified. First, orange-colored sputum obtained from a patient with L.
pneumophila pneumonia is presented. Then, the formation of an orange-colored
pigment in a culture medium (without charcoal) after the growth of L. pneumophila
is demonstrated.
PMID- 18057769
TI - Combined and staged endovascular recanalization of cervical and intracranial
arteries in hyperacute ischemic stroke.
PMID- 18057770
TI - Gabapentin for painful legs and moving toes syndrome.
PMID- 18057771
TI - Pulmonary sarcoidosis with massive parenchymal masses.
PMID- 18057772
TI - Irreversible imatinib-induced pneumonitis following long-term imatinib
administration.
PMID- 18057773
TI - Splenic abscess caused by actinomycosis.
PMID- 18057774
TI - 2,5-Dimethoxy-4-iodoamphetamine (DOI) inhibits Delta9-tetrahydrocannabinol
induced catalepsy-like immobilization in mice.
AB - The effect of the serotonin 5-HT(2A/2C)-receptor agonist 2,5-dimethoxy-4
iodoamphetamine (DOI) on Delta(9)-tetrahydrocannabinol (THC)-induced catalepsy
like immobilization was studied in mice. DOI (0.3 and 1 mg/kg, i.p.)
significantly inhibited the catalepsy-like immobilization induced by THC (10
mg/kg, i.p.). In contrast, the selective 5-HT(2C)-receptor agonist 8,9-dichloro
2,3,4,4a-tetrahydro-1H-pyrazino[1,2-a]quinoxalin-5(6H)-one (WAY 161503) had no
effect on this catalepsy-like immobilization. Moreover, the 5-HT(2A)-receptor
antagonist ketanserin (0.3 mg/kg, i.p.) reversed the inhibition of THC-induced
catalepsy-like immobilization caused by DOI (1 mg/kg), whereas the selective 5
HT(2C)-receptor antagonist 6-chloro-2,3-dihydro-5-methyl-N-[6-(2-methyl-3
pyridinyl)oxyl]-3-pyridinyl]-1H-indole-1-carboxyamide (SB 242084) did not affect
this inhibitory effect of DOI. On the other hand, ketanserin (0.3 and 1 mg/kg,
i.p.) enhanced the catalepsy-like immobilization induced by THC (6 mg/kg, i.p.).
Thus, on the basis of these results, it appears that 5-HT(2A)-receptor mechanisms
might be responsible for the inhibitory effect of DOI on THC-induced catalepsy
like immobilization.
PMID- 18057775
TI - Shengmai-san enhances antioxidant potential in C2C12 myoblasts through the
induction of intracellular glutathione peroxidase.
AB - Cellular and tissue injury associated with reactive oxygen species (ROS) has been
reported in many kinds of disorders. While the antioxidant enzymes play critical
roles in inhibiting the ROS-mediated injury, glutathione peroxidase (GPx) is
scavenging hydroperoxides including H(2)O(2). We previously reported that
Shengmai-san (SMS), a traditional Chinese medicine, prevented
ischemia/reperfusion injury of the brain and other organs in rats. To clarify the
effect of SMS on intracellular responses of muscle cells against oxidative
stress, C2C12 myoblasts were subjected to H(2)O(2) abuse. SMS pre-incubation
prevented the decreasing cell viability after H(2)O(2) treatment. The
accumulations of cellular protein carbonyl associated with apoptotic cell death
were also inhibited by the SMS pre-incubation prior to oxidative damage
induction. At the same time, enhanced activity, protein, and mRNA expression
levels of GPx were observed in cells pre-incubated with SMS prior to H(2)O(2)
abuse. Moreover, intracellular GSH was subsequently decreased after H(2)O(2)
treatment. These findings suggest that SMS improved the antioxidant capacity
against acute oxidative stress through the constitutive enhancement of GPx
expression in C2C12 myoblasts. Because of its antioxidative property, SMS might
be useful not only for the oxidative damage associated diseases but also for the
transplantation of myoblasts into muscular dystrophy patients.
PMID- 18057776
TI - Pravastatin induces rat aortic endothelial cell proliferation and migration via
activation of PI3K/Akt/mTOR/p70 S6 kinase signaling.
AB - The HMG-CoA reductase inhibitors (statins) have been shown to exert several
vascular protective effects that are not related to changes in cholesterol
profile, and these effects of statins are partly caused by the activation of
angiogenesis. Endothelial cell (EC) proliferation and migration are crucial
events for angiogenesis and statins are known to enhance these events. However,
the molecular mechanism by which statins promote EC proliferation and migration
is not fully understood. In this study, we show Akt and its downstream target
mammalian target of rapamycin (mTOR) play an important role in pravastatin
induced EC proliferation and migration. We found that pravastatin significantly
enhanced the proliferation and migration of rat aortic endothelial cells (rAECs).
The addition of pravastatin to rAECs resulted in rapid phosphorylation of Akt and
p70 S6 kinase (p70S6K). LY294002, a specific inhibitor of phosphatidylinositol 3
kinase (PI3K), blocked both Akt and p70S6K phosphorylation, whereas rapamycin, a
specific inhibitor of mTOR, suppressed only p70S6K phosphorylation induced by
pravastatin. Furthermore, both LY294002 and rapamycin inhibited pravastatin
induced rAEC proliferation and migration. Taken together, our findings indicate
that pravastatin activates PI3K/Akt/mTOR /p70S6K signaling in this sequential
manner and this pathway contributes to pravastatin-induced rAEC proliferation and
migration.
PMID- 18057777
TI - Complicated interaction between psychostimulants and morphine in expression of
phenotype of behavior in the dopaminergic system of BALB/c mice.
AB - It is believed that BALB/c mice appear to be less sensitive to the locomotor
effects of abused drugs compared to other strains, and several behaviors induced
by abused drugs depend on genetic factors. The present study was designed to
investigate the effects of the interaction between psychostimulants and morphine
on behavior in BALB/c mice. Morphine and cocaine induced hyperlocomotion and
hypolocomotion, respectively, while methamphetamine did not affect locomotor
activity and high doses of methamphetamine significantly increased self-injurious
behavior. Cocaine or methamphetamine increased the effects of morphine on
locomotor behavior. Haloperidol (a dopamine-receptor antagonist) attenuated the
hyperlocomotion induced by the combination of cocaine or methamphetamine plus
morphine. These results indicate that the synergistic effects of methamphetamine
or cocaine and morphine on locomotor activity are mediated through enhancement of
the dopaminergic system and that combinations of psychostimulants and morphine
enhance the locomotor activity in BALB/c mice. On the other hand, morphine
completely attenuated methamphetamine-induced self-injurious behavior.
Furthermore, a low dose (0.01 mg/kg) of haloperidol significantly increased the
effects of methamphetamine and morphine on the locomotor activity.
Hyperlocomotion induced by psychostimulants is mediated by the mesolimbic
dopaminergic system, whereas stereotyped behaviors is mediated by the
nigrostriatal dopaminergic system. Our findings suggest that balances of the
activation of dopaminergic neurons (between mesolimbic and nigrostriatal systems)
may play an important role to engender corresponding behavioral outcomes in
BALB/c mice.
PMID- 18057778
TI - [Highly selective recognition and detection of biomolecules using designed
functional molecule].
PMID- 18057779
TI - [Specific recognition and detection of phosphorylated proteins using
characteristics of metal ion].
AB - Protein phosphorylation is one of the most important post-translational
modifications. Organisms utilize this reversible reaction of proteins to control
many cellular activities, including signal transduction, apoptosis, gene
expression, cell cycle progression, cytoskeletal regulation, and energy
metabolism. Abnormal protein phosphorylation is deeply related to carcinogenesis
and neuropathogenesis. Methods for monitoring the phosphorylation status of
proteins are, thus, very important with respect to the evaluation of diverse
biological and pathological processes. Recently, we reported that a dinuclear
metal complex of 1,3-bis[bis(pyridin-2-ylmethyl)-amino]propan-2-olato acts as a
novel phosphate-binding tag molecule, Phos-tag, in an aqueous solution under
physiological conditions. The Phos-tag has a vacancy on two metal ions that is
suitable for the access of a phosphomonoester dianion (R-OPO(2)3*) as a bridging
ligand. The resulting 1:1 phosphate-binding complex, R-OPO(2)3*-(Phos-tag)3+, has
a total charge of +1. A dinuclear zinc(II) complex (Zn2+-Phos-tag) strongly binds
to phenyl phosphate dianion (K(d)=2.5 x 10(-8) M) at a neutral pH. The anion
selectivity indexes against SO(2)4*, CH3COO*, Cl-, and bisphenyl phosphate
monoanion at 25 degrees C are 5.2 x 10(3), 1.6 x 10(4), 8.0 x 10(5), and>2 x
10(6), respectively. A manganese(II) homologue (Mn2+-Phos-tag) can also capture
the R-OPO(2)3* anion, such as phosphoserine, phosphotyrosine, and
phosphohistidine, at an alkaline pH. By utilizing the Phos-tag molecule and its
derivatives, we developed convenient and reliable methods for the detection of
phosphorylated proteins. We believe that our Phos-tag technology will result in
great progress in phosphoproteomics.
PMID- 18057780
TI - [Selective recognition and detection of biomacromolecules utilizing chemical
property of amino Acid or Peptide].
AB - Recently, the fluorometric detection of biomacromolecules has attracted much
attention. In this paper, we report the development of two new techniques
utilizing the chemical properties of amino acids or peptides: 1) a fluorescence
assay for serine/threonine kinase activity; and 2) "turn-on" fluorescent probes
for protein labeling, which could be useful for bioimaging. To develop the novel
kinase assay, we utilized the chemical reactivity of phosphorylated serine or
threonine. Phosphorylated peptide on resin was successfully labeled fluorescently
via base-mediated beta-elimination, followed by Michael addition with novel
coumarin derivatives. Protein kinase A and casein kinase I activities were
detectable with our method. Also, this method was confirmed to be applicable for
kinase inhibitor screening. For the development of the novel protein labeling
technique, the selective interaction between "His-tag (His(6))" and "metal ion
nitrilotriacetic acid (NTA) complex" was utilized. This interaction is useful for
protein purification and immobilization. We designed fluorescent probes composed
of a fluorophore and Ni2+ or Co2+-NTA complex. These probes were found to be
weakly fluorescent as expected. When His-tag peptide was added, these probes
became brightly fluorescent. On the other hand, these probes remained non
fluorescent with the addition of angiotensin I (H-Asp-Arg-Val-Tyr-Ile-His-Pro-Phe
His-Leu-OH). These probes will be powerful tools for the bioimaging of target
proteins.
PMID- 18057781
TI - [Role of isomerized protein repair enzyme, PIMT, in cellular functions].
AB - Proteins are subject to various types of spontaneous modifications that can
disrupt their structures with sometimes adverse affects on biological activity.
The formation of L-isoaspartyl (or D-aspartyl) residues, through either the
deamidation of asparagine or dehydration of aspartate, is one of the most
frequent types of deterioration occurring under physiological conditions. Protein
L-isoaspartate/D-aspartate o-methyltransferase (PIMT) is a conserved and
ubiquitous enzyme that participates in the repair of various isomerized proteins.
PIMT catalyzes the transfer of the methyl group of S-adenosyl-L-methionine onto
the alpha-carboxyl group of an L-isoaspartyl (or the beta-carboxyl group of an D
aspartyl) residue, which initiates the conversion of this residue to an L
aspartyl residue. PIMT-deficient mice have been shown to die at a mean age of 42
days from progressive epileptic seizures with grand mal and myoclonus. Although
PIMT-deficiency clearly leads to the accumulation of isomerized proteins, it is
currently unclear how this causes progressive epilepsy in PIMT-deficient mice. As
a first step towards understanding this, we developed a new assay to measure PIMT
activity in cell lysates. Additionally, we isolated PIMT knockdown cells from
HEK293 cells that were stably transfected with a PIMT small interfering RNA
expression vector. PIMT activities were significantly decreased in the PIMT
knockdown cells, and analysis of the transfectants revealed that MEK and ERK were
hyperactivated after cell stimulation with epidermal growth factor (EGF). These
results indicate that the ability to repair L-isoaspartyl-(or D-aspartyl-)
containing proteins is important for the maintenance of normal MEK-ERK signaling.
PMID- 18057782
TI - [Development of bifunctional radiopharmaceuticals for targeted imaging and
therapy].
AB - In vivo radiopharmaceuticals have two different uses - for nuclear diagnostic
imaging and for internal radiation therapy. For nuclear diagnostic imaging, it is
necessary to make the difference of radioactivity levels between in the target
regions and in the other regions at an early time after administration. For
internal radiation therapy, a more selective accumulation of the radioactivity to
the target regions is required to minimize an adverse effect. In order to achieve
the highly selective accumulation of in vivo radiopharmaceuticals, it is
necessary to find an appropriate target molecule in the first place and design a
compound which can recognize the target molecule and stably label it with
radionuclide. There are several proposed approaches to chemical design for this
purpose. However, even with the specific recognition and stable radiolabel,
targeted imaging and therapy are not necessarily achieved. We have been
developing in vivo radiopharmaceuticals based on a chemical design called
"bifunctional radiopharmaceutical." Bifunctional radiopharmaceuticals have the
recognition site of the target molecule and binding site for the radionuclide
independently in one molecule. This review summarizes our examples of chemical
design of in vivo radiopharmaceuticals to achieve the targeted imaging and
therapy.
PMID- 18057783
TI - [Molecular toxicology lead by young scientists: Chemical toxicology and
transcriptional factors].
PMID- 18057784
TI - [Chemical biology of 1,2-naphthoquinone, a novel air pollutant that affects
signal transduction pathways].
AB - Prolonged exposure of humans to ambient particulate matter such as diesel exhaust
particles (DEP) induces a variety of adverse health effects including
cardiovascular diseases, asthma and cancer. Polycyclic aromatic hydrocarbons
(PAHs) and their derivatives in DEP are thought to be potential candidates for
the deleterious effects of DEP. We have identified 1,2-naphthoquinone (1,2-NQ) as
a novel PAH quinone that contaminates DEP. Because 1,2-NQ is covalently bound to
macromolecules through reactive thiols (thiolate ions), our rationale was that
cellular proteins modified by 1,2-NQ seem to act as a redox-sensor and thus the
interaction of thiol proteins with 1,2-NQ may disrupt their functions. To address
our hypothesis, we prepared specific antibody against 1,2-NQ bound to proteins.
In this review, we introduce an inhibitor of kappaB kinasebeta (IKKbeta) and
protein tyrosine phosphatase 1B (PTP1B) as target molecules for 1,2-NQ. Although
IKKbeta activates transcription factor NF-kappaB and PTP1B negatively regulates
the receptor-protein tyrosine kinase, such as epidermal growth factor receptor
(EGFR) in cells, covalent modification of these proteins caused by 1,2-NQ results
in inhibition of NF-kappaB activity and transactivation of EGFR.
PMID- 18057785
TI - [Molecular mechanism involved in chromium(VI) toxicity].
AB - Chromium exists in many different oxidation states in the environment, Cr(VI) and
Cr(III) being the most stable forms. Chromium has been known for over 100 years
to be a human carcinogen. The greatest risk of cancer from chromium exposure is
associated with Cr(VI). Cr(VI) enters cells via the sulfate anion transporter
system and is reduced to intermediate oxidation states, such as Cr(V) and Cr(IV),
in the process of forming stable Cr(III) forms. It is known that Cr(VI) affects
expression of various genes. Metal responsive element-binding transcription
factor-1 (MTF-1) is involved in sensing heavy metal load and the induced
transcription of several protective genes, including metallothionein (MT)-I, MT
II, zinc transporter-1, and gamma-glutamylcysteine synthetase. Cr(VI) inhibits
zinc-induced MT transcription via modifying transactivation potential of MTF-1.
However, the molecular mechanism for the Cr(VI)-mediated inhibition of MTF-1 has
not been fully elucidated. In this review, I briefly summarize the previous
studies and discuss the current status of research on Cr(VI) toxicity and Cr(VI)
mediated inhibition against transcription.
PMID- 18057786
TI - [Toxicogenomics as a tool for evaluation of chemical effects].
AB - Concern about the toxicity of chemicals released into the environment has been
increasing recently. Many chemicals are suspected to have hazardous effects, but
evaluation of their toxicity is still difficult and challenging. One of these
difficulties is the presence of chemicals that are reported to have an adverse
effect on organisms despite negative results in conventional toxicity tests.
Thus, a new technique has been desired in order to evaluate the effects of
chemicals, Recent advances in molecular biology have provided a technique for
better understanding the responses of organisms to chemicals; this emerging field
is known as toxicogenomics. Toxicogenomics is defined as an integration of
genomics (transcriptomics, proteomics, metabolomics) and toxicology. For example,
the DNA microarray can be used to explore the gene expression profiles
(transcriptomics) of organisms in response to chemicals. Exposure to chemicals
results in characteristic gene expression profiles, suggesting that the DNA
microarray can be used to evaluate chemical effects. Toxicogenomics is also
expected to be useful in gaining a mechanistic understanding of these effects.
Although it still has some limitations, this technique can be developed to assess
chemicals.
PMID- 18057787
TI - [Search for and structural elucidation of medicinal products from the vegetable
kingdom (crude drugs and plant materials)].
AB - This review describes chemical and biological studies on natural products
achieved by the author for the latest 47 years and its main contents are composed
of the following researches of the eight sections, entitled 1) Hopane-type
triterpenes from a lichen, Parmelia leucotyliza, 2) Spirostanol and frostanol
glycosides from Metanarthecium luteo-viride (Liliaceae), 3) Selective reduction
of double bonds: preparation of 22,23-dihydroergosterol from ergosterol, 4)
Compositions and structures of fragrant sesquiterpenes from several types of
agarwoods, 5) Triterpenes and other components from Meliaceous plants, 6)
Constituents of seeds of crude drugs and medicinal plants, 7) Hopane-type
triterpene glycosides from a fern, Diplazium subsinuatum, 8) Search and
structural elucidation of biologically active components from American plants
obtained from United States of America (Oregon and California), Mexico,
Guatemala, and Honduras. In this review, many classes of natural products, i.e.,
terpenoids (mono-, sesqui-, di-, and triterpenoids), steroids, glycosides,
saponins, tannins, phenylpropanoids, lignans, flavonoids (flavones, flavonols,
flavanones, biflavones, flavan-3-ols, etc.), etc. are dealt with and referred to.
PMID- 18057788
TI - [Oxidative stress and atherosclerosis].
AB - Oxidative stress is a continuous level of oxidative damage in animal cells, which
is caused by an overabundance of reactive oxygen species or a decline in
antioxidant ability against them. Oxidative stress increases with individual risk
factors of atherosclerosis such as obesity, hypertension, hyperlipidemia,
diabetes and smoking. Thus, oxidative stress is considered to play a key role in
the pathogenesis of atherosclerosis. This review discusses the relationship
between oxidative stress and atherosclerosis based on findings from our research
group. We have found that atherosclerotic lesions are formed in the aorta of mice
fed a high-cholesterol and high-linoleic diet, in parallel with elevated serum
lipid peroxide levels. This model is useful for primary screening of
antiatherosclerotic agents with antioxidative activity. One notable factor in the
development of atherosclerosis is oxidized low-density lipoprotein (OxLDL). In
order to examine OxLDL levels in blood, we have developed anion-exchange HPLC
methods using stepwise elution. Using these methods, we have found that OxLDL
markedly increases in a rat model of metabolic syndrome, in animals exposed to
cigarette smoke and in smokers in parallel with other oxidative stress markers.
These oxidative stress markers have been attenuated by administration of several
antioxidants. In addition, we have found that smoking accelerates atherogenesis
in the aorta of apoE-deficient mice and this acceleration can be ameliorated by
administration of vitamin E. These observations suggest that antioxidant
supplementation may be an effective therapeutic strategy for metabolic syndrome
and smoking-induced diseases in which elevated oxidative stress plays a pivotal
role.
PMID- 18057789
TI - [Development of high efficiency separation method for biomolecules].
AB - It is becoming increasingly important to separate mixed samples, such as bio- and
environmental samples. For the analysis of a target compound in a mixed sample, a
highly efficient and selective separation method is required. We have developed
columns of high resolution, very selective columns, and highly efficient
analytical methods using integrated techniques and biomolecules. These developed
methods save analytical time, sample volume, etc. We are now interested in nano
scale materials which many people are now focusing on. Although excellent nano
materials have been developed by many researchers, there are few efficient
separation, purification or evaluation methods for these compounds. In this
review, we introduce our recent achievements concerning the separation of nano
compounds.
PMID- 18057790
TI - [Effectiveness of network meeting system following practical pharmacy training].
AB - We established a network meeting system program and used it to review a prior
pharmaceutical practice training session. Pharmacists at Tokai University
Hachioji Hospital gave lectures about dispensing and other tasks performed by
clinical pharmacists to third-year undergraduate students at Tokyo University of
Pharmacy and Life Sciences. After the lectures, discussions were held using the
network meeting system, after which a questionnaire was completed by the
students. The questionnaire was answered by 530 students, of whom approximately
90% expressed interest in the program, 80% noted approval of the media used in
the system, and 94% thought that the program was useful. Thus, we concluded that
the students were motivated by the program to remember what they had learned in
the lectures. We also found that the quality of data communication had an effect
on the interests and motivation of the students. Based on their evaluation of the
media, it was considered that improvements in communication regarding the system
were necessary, though the evaluation of the utility of the program was not
influenced by the quality of data communication. As a result, we concluded that
our network meeting system program was useful to review prior learning of
pharmaceutical practice.
PMID- 18057791
TI - [Comparative study of drug efficacy and drug additives between generic drugs and
original drugs].
AB - In the present study, we tested three kinds of sleeping drugs, consisting mainly
of triazolam, brotizolam, and flunitrazepam, to compare the drug efficacy of
generic drugs with that of original drugs. After these drugs were administered
orally to mice, drug efficacy was evaluated in terms of ambulation, onset time of
sleep, and duration of sleep in the open field test. For all kinds of sleep
inducing drugs, the drug efficacy of most generic drugs is not necessarily equal
to that of the original drug. The main reason for the difference appears to be
due to differences in the rate of absorption of the main drug. Any other
differences between an original drug and a generic drug are caused by drug
additives, the crystal form of the main drug, the formulation, and so on. In this
study, the formulation was not the reason for the differences because all of the
drugs were pulverized in a mortar and had no special coating. The drug additives
for all the drugs are listed and the drug efficacy compared. Unfortunately, the
information was not sufficient to shed any light on the differences in drug
efficacy. For effective drug therapy, more information on drug additives should
be provided.
PMID- 18057792
TI - [Evaluation of efficacy and safety of manidipine hydrochloride among essential
hypertensive patients: Substitution from branded product (Calslot) to Generic
Product (Manidip)].
AB - Calcium channel blockers are most commonly used in hypertensive patients in
Japan. However, information on the efficacy and safety of generic calcium channel
blockers is insufficient. The objective of the present study was to
retrospectively evaluate the efficacy and safety of manidipine hydrochloride in
21 essential hypertensive patients (mean age; 70.6+/-10.6 years, male/female;
14/7) in Sendai Postal Services Agency Hospital who were switched (substituted)
from a brand product (Calslot) to a generic product (Manidip). For this
retrospective study, we used data from patient medical records and drug
prescription information. Data from patients who were taking both types of
manidipine hydrochloride, whose regimen were not changed for > 6 months before
and after switching, and who provided informed consent were included in the
analysis. Control values of blood pressure were not significantly different
between before and after substitution (systolic/diastolic; from 137.9+/
9.1/78.7+/-5.4 mmHg to 137.3+/-9.1/77.8+/-6.3 mmHg, p=0.73/p=0.36). The level of
patient compliance for the antihypertensive drugs was also not different between
before and after substitution (from 94.0+/-8.8% to 93.1+/-9.6%, p=0.72). There
were 8 cases of adverse effects before substitution and 4 after substitution. No
patient stopped taking the generic drug due to an adverse effect. In conclusion,
significant differences in the efficacy, safety, and patient compliance were not
observed between the brand product and generic product among patients who were
switched from the brand product to the generic product.
PMID- 18057793
TI - [In-vitro study of the drug interactions between Miglitol, an alpha-glucosidase
inhibitor, and adsorbents].
AB - The interactions between miglitol, an alpha-glucosidase inhibitor, and six
adsorbents (carbon spheres, cholestyramine, colestimide, sevelamer hydrochloride,
calcium polystyrene sulfonate, and sodium polystyrene sulfonate) were
investigated in vitro. Miglitol corresponding to the minimum dose and adsorbents
corresponding to the maximum dose were incubated at 37 degrees C for 180 min in
solutions of pH 1.2 (gastric pH condition) and pH 6.8 (enteric pH condition),
with and without the presence of carbohydrates, which were added to observe the
effects on food adsorption. The adsorption ratio of miglitol to carbon spheres
was 13.6% and 0% in pH 1.2 solution and 86.4% and 5.0% in pH 6.8 solution without
and with the presence of carbohydrates, respectively. Thus, the adsorption ratio
was higher in pH 6.8 solution. Adsorption of miglitol to calcium polystyrene
sulfonate was nearly the same, 15.0-21.9%, at both pH. The adsorption ratio of
miglitol to sodium polystyrene sulfonate was 43.4% and 45.5%, respectively, in pH
1.2 solution without and with carbohydrates. In the pH 6.8 solutions, however,
the respective adsorption ratios were low (5.2% and 11.3%). Miglitol did not
adsorb to cholestyramine, sevelamer hydrochloride or colestimide under any pH
condition examined. The above results suggest that miglitol adsorbs to carbon
spheres and polystyrene sulfonic acid cation exchange resins. However,
considering that miglitol is taken just before eating and thus exists in
gastointestinal fluids together with food, and that the site of its effect is the
upper small intestine, the interactions between miglitol and these adsorbents
will most likely not be a problem.
PMID- 18057794
TI - [Preparation and release profile of colon-targeting drug delivered as novel one
step dry-coated tablets (OSDrC)].
AB - One-Step Dry-Coated tablets (OSDrC) of a colon-targeting drug were prepared using
Eudragit L 100-55 (Eud-L) and chitosan (Chit) as the outer layer. Lag time in the
1st fluid, which simulated the stomach, was affected by differences in the pores
occurring as a result of Chit dissolution. The dissolution rate of Chit was
decreased by the addition of Eud-L. On the other hand, lag times in phosphate
buffer (pH 7.4) simulating the small intestine, and in the 2nd fluid simulating
the colon, were affected by differences in the pores occurring as a result of Eud
L dissolution and Chit swelling. The lag time of OSDrC with an outer layer of Eud
L:Chit at a ratio of 3:1 in each test medium was greater than the gastric
emptying time in the 1st fluid and the small intestine transit time in phosphate
buffer (pH 7.4). Furthermore, lag times were similar when test media were changed
sequentially. Therefore, it is possible to deliver colon-targeting drugs as OSDrC
with an outer layer of Eud-L:Chit at 3:1.
PMID- 18057795
TI - [Effect of propolis on insulin resistance in fructose-drinking rats].
AB - Propolis, a honeybee product, contains a variety of biologically active
substances. The present study was designed to investigate the effects of propolis
on insulin resistance induced by fructose-drinking rats (FDR; type 2 diabetic
animal model). Male Wistar rats (6 weeks old) received 15% fructose solution in
drinking water for 8 weeks. FDR showed significant increases in plasma levels of
insulin, Homeostasis Model Assessment ratio (HOMA-R, an index of insulin
resistance), body weight, and systolic blood pressure but not blood glucose
levels, when compared with control rats. Brazilian propolis extract (100 and 300
mg/kg, p.o.) treatment for 8 weeks significantly decreased the plasma level of
insulin, HOMA-R, and body weight, increased plasma triglyceride levels without
affecting blood glucose and total cholesterol levels, and tended to decrease
systolic blood pressure. In isolated and perfused mesenteric vascular beds of
FDR, propolis treatment resulted in a significant reduction of sympathetic nerve
mediated vasoconstrictor response to periarterial nerve stimulation (PNS; 8 Hz)
and tended to increase the calcitonin gene-related peptide (CGRP) nerve-mediated
vasodilator response to PNS, compared with those in untreated FDR. However,
propolis treatment did not significantly affect norepinephrine-induced
vasoconstriction and CGRP-induced vasodilation. These results suggest that
propolis could be an effective functional food to prevent the development of
insulin resistance.
PMID- 18057796
TI - [Basic study to establish medication safety culture with patient-commitment style
in community pharmacy: An examination from meeting reports].
AB - Our group conducted a Medication Safety Culture Building Drive, enlisting the
cooperation of pharmacy patients to clarify obstacles and verify the effect of
the measures implemented. Pharmacists at 38 community pharmacies instituted a 3
month trial period of rigorous prescription confirmation by checking filled
prescriptions against the accompanying drug information (DI) in the presence of
patients at pharmacy counters, whenever prescription drugs were dispensed. During
the first month, 29 pharmacies reported carrying out the program with the rate of
patient coverage was over 50%; while 8 others reported that rate of patient
coverage was less than 50%. Factors standing in the way of checking filled
prescriptions with the patients could be characterized as "physical conditions,"
"prescription content," or "patient attributes." The measures devised to counter
these obstacles all fell within the categories of "education of patients and
pharmacists," "advance arrangements made in preparation for checking," "methods
of checking and nature of items checked," "checking procedure," and "DI
literature." After three month, 34 pharmacies reported that the effort had been
effective. During the three months, the average implementation rate (patient
coverage rate) was improved from 92.5% in April to 96.5% in June (p<0.001). The
specific qualitative effects listed below were among those mentioned in reports
compiled from meetings. 1) Improvement of patients' and pharmacists' awareness
regarding dispensing error prevention, 2) Increase in patients' interest in, and
understanding of, their own prescription medications, 3) Increase in patients'
understanding about the efforts and in number of patients cooperating with the
effort.
PMID- 18057797
TI - [Analysis of censored data in multi-factorial analgesic test].
AB - Animal pain testing is essential for the development of new analgesic drugs,
where appropriate data analyses as well as appropriate multi-factorial design of
experiments are necessary to obtain meaningful results in an efficient fashion.
The tail withdrawal experiment is one of the pain tests in which a rhesus monkey
is restrained in a chair from which its tail hangs free by so it can be immersed
in warm water. The monkeys consistently kept their tails in 38-40 degrees C water
for an extended period of time, and thus, the data were censored at 120 sec. The
effect of temperature on the tail withdrawal latency was evaluated using three
monkeys with a randomized block design. The effect of morphine on the thermal
sensitivity was also evaluated. A Friedman-type two-way analysis of variance
(Mack-Skillings test) demonstrated that the effects of both temperature and the
animals were significant. The effect of repeated measurement in one animal was
not significant using the Friedman test, indicating that the significance of the
effect of animals could be attributed to the difference in the intrinsic thermal
sensitivity between animals. This method, together with a graphical approach, may
prove to be valuable for assessing the sensitivity and reproducibility of an
experimental condition, as well as the pharmacological effects of analgesic
drugs.
PMID- 18057798
TI - [Quality evaluation of magnesium oxide tablets using acid neutralization test and
dissolution test].
AB - For the purpose of quality evaluation of commercially available magnesium oxide
(MgO) tablets, we studied their acid neutralization and dissolution behaviors.
The dissolution test was carried out by the paddle method in 1st fluid (pH 1.2).
The dissolution amount of MgO from tablets was determined by chelatometric
titration. The medium pH was periodically measured. The neutralization reaction
in 750 ml of 1st fluid was markedly different between two kinds of commercial
tablets. The pH of medium including Magmit tablet reached 8.9 and the dissolution
rate of MgO was 81.1% after 20 min. Contrariwise, the final pH of medium
including Maglax tablet was 2.5 and the dissolution rate of MgO was 77.1% after
60 min. These results indicate that the dissolution rate of MgO from tablets
should be >81.1% to obtain significant acid neutralization action.
PMID- 18057799
TI - [Relation between comprehensive-geriatric assessment and the ability of self
administration in elderly diabetic patients].
AB - Intellectual ability of self-administration plays a crucial role in a diabetes
regimen. However, in many cases, self-administration is considered difficult,
because of the impairment of activities of the individual's daily living (ADL),
instrumental ADL and cognitive function. To assess comprehensive-geriatric
assessment (CGA) in elderly diabetic sufferers, 62 elderly inpatients and
outpatients aged over 70 years were investigated. CGA includes Barthel index
(BI), Mini-mental-state-examination (MMSE) and the Tokyo Metropolitan Institute
of Gerontology index of competence (TMIGIC). The relation of ability to self
administer and CGA was examined. In oral therapy, there was significant
difference between self-administration and non-self-administration in MMSE
(p=0.0065), BI (p=0.0219) and TMIGIC (p=0.0053). Among these indexes, TMIGIC was
the most sensitive index in oral therapy. In insulin therapy, there was also
significant difference between self-administration and non-self-administration:
MMSE (p=0.00042), BI (p=0.000019) and TMIGIC (p=0.0019). Among these indexes, BI
was the most sensitive index in insulin therapy. It was suggested that CGA was
useful to assess the ability of self-administration in elderly diabetic patients.
PMID- 18057800
TI - [Assessment of learning activities using streaming video for laboratory practice
education: aiming for development of E-learning system that promotes self
learning].
AB - In order to develop an e-learning system that promotes self-learning, lectures
and basic operations in laboratory practice of chemistry were recorded and edited
on DVD media, consisting of 8 streaming videos as learning materials. Twenty-six
students wanted to watch the DVD, and answered the following questions after they
had watched it: "Do you think the video would serve to encourage you to study
independently in the laboratory practice?" Almost all students (95%) approved of
its usefulness, and more than 60% of them watched the videos repeatedly in order
to acquire deeper knowledge and skill of the experimental operations. More than
60% answered that the demonstration-experiment should be continued in the
laboratory practice, in spite of distribution of the DVD media.
PMID- 18057802
TI - Emerging occupational hazards among health care workers in the new millennium.
PMID- 18057801
TI - Endothelial cells constituting blood-nerve barrier have highly specialized
characteristics as barrier-forming cells.
AB - In autoimmune disorders of the peripheral nervous system (PNS) such as Guillain
Barre syndrome and chronic inflammatory demyelinating polyradiculoneuropathy,
breakdown of the blood-nerve barrier (BNB) has been considered as a key step in
the disease process. Hence, it is important to know the cellular property of
peripheral nerve microvascular endothelial cells (PnMECs) constituting the bulk
of BNB. Although many in vitro models of the blood-brain barrier (BBB) have been
established, very few in vitro BNB models have been reported so far. We isolated
PnMECs from transgenic rats harboring the temperature-sensitive SV40 large T
antigen gene (tsA58 rat) and investigated the properties of these "barrier
forming cells". Isolated PnMECs (TR-BNBs) showed high transendothelial electrical
resistance and expressed tight junction components and various types of influx as
well as efflux transporters that have been reported to function at BBB.
Furthermore, we confirmed the in vivo expression of various BBB-forming
endothelial cell markers in the endoneurium of a rat sciatic nerve. These results
suggest that PnMECs constituting the bulk of BNB have a highly specialized
characteristic resembling the endothelial cells forming BBB.
PMID- 18057803
TI - Health problems and the use of health services among physicians: a review article
with particular emphasis on Norwegian studies.
AB - This paper provides an overview of recent Norwegian and international research
into physicians' health problems and their use of health services, with a
particular focus on more recent studies relating to The Norwegian Physicians'
Survey. The review suggests that physicians' physical health is similar to the
general population, although female physicians tend to be in better health than
other women. Some mental disorders such as depression and suicide appear to be
more prevalent. Mental health problems are known to be associated with low work
control (autonomy), time pressure and demanding patients. There is little
difference between the genders early in their career, but more female than male
physicians seem to experience problems later on. Physicians seldom take sick
leave, and tend to make less use of primary health care and some screening
facilities. Self-treatment is common -- even for mental problems. American
impaired physician programmes have demonstrated high recovery rates (70-80%), and
increasing emphasis is now being placed on psychiatric diagnoses. As certain
mental disorders appear to be common among physicians, specialist psychiatric
services should be made more accessible for this group. A low-threshold facility
for seeking help with such problems has recently been developed in Norway (the
Villa Sana Resource Centre).
PMID- 18057804
TI - Occupational health problems in modern dentistry: a review.
AB - Despite numerous technical advances in recent years, many occupational health
problems still persist in modern dentistry. These include percutaneous exposure
incidents (PEI); exposure to infectious diseases (including bioaerosols),
radiation, dental materials, and noise; musculoskeletal disorders; dermatitis and
respiratory disorders; eye injuries; and psychological problems. PEI remain a
particular concern, as there is an almost constant risk of exposure to serious
infectious agents. Strategies to minimise PEI and their consequences should
continue to be employed, including sound infection control practices, continuing
education and hepatitis B immunisation. As part of any infection control
protocols, dentists should continue to utilise personal protective measures and
appropriate sterilisation or other high-level disinfection techniques. Aside from
biological hazards, dentists continue to suffer a high prevalence of
musculoskeletal disorders (MSD), especially of the back, neck and shoulders. To
fully understand the nature of these problems, further studies are needed to
identify causative factors and other correlates of MSD. Continuing education and
investigation of appropriate interventions to help reduce the prevalence of MSD
and contact dermatitis are also needed. For these reasons, it is therefore
important that dentists remain constantly informed regarding up-to-date measures
on how to deal with newer technologies and dental materials.
PMID- 18057805
TI - Organizational climate and nurse health outcomes in the United States: a
systematic review.
AB - Increasing interest has been focused on understanding the role working conditions
play in terms of the serious issues facing hospitals today, including quality of
patient care, nurse shortages, and financial challenges. One particular working
condition that has been the subject of recent research, is the impact of
organizational climate on nurses' well-being, including occupational health
outcomes. To examine evidence-based research on the association between
organizational climate and occupational health outcomes among acute-care
registered nurses, a systematic review of published studies was conducted.
Studies assessing the association between organizational climate variables and
three common health outcomes in nurses (blood/body fluid exposures,
musculoskeletal disorders, and burnout) were reviewed. Fourteen studies met the
inclusion criteria. Although most were cross-sectional in design and variability
was noted across studies with respect to operational definitions and assessment
measures, all noted significant associations between specific negative aspects of
hospital organizational climate and adverse health impacts in registered nurses.
While evidence for an association between organizational climate constructs and
nurses' health was found, data were limited and some of the relationships were
weak. Additional studies are warranted to clarify the nature of these complex
relationships.
PMID- 18057806
TI - A review of low back pain and musculoskeletal disorders among Italian nursing
personnel.
AB - This review targeted all research previously conducted on the topic of
musculoskeletal disorders among Italian nursing personnel, with a particular
focus on studies that had examined individual, physical and psychosocial risk
factors. Scientific literature published in both English and Italian languages
was searched using electronic and manual techniques. A total of 25 appropriate
studies were located and examined, most of which had focused on the prevalence of
low back pain (LBP) among nurses. From the review, it was shown that LBP
prevalence rates have varied widely among different investigations conducted in
Italy, ranging from 33% to 86%. Previous studies also suggest that female gender,
physical factors and psychosocial factors are important LBP risk factors in this
country. Since most of the data currently available describes nurses working in
the northern and central regions only, further investigations should now be
undertaken in southern Italy, in order to obtain a more complete overview of the
problem from a national perspective. Furthermore, a standardized method for
measuring these conditions is strongly recommended for future Italian research,
to allow better local and international comparison of the data.
PMID- 18057807
TI - Prevention of irritant contact dermatitis among health care workers by using
evidence-based hand hygiene practices: a review.
AB - Irritant contact dermatitis is often found on the hands of healthcare workers and
is generally caused by frequent hand washing, gloves, aggressive disinfectants or
detergents. Alcohols have only a marginal irritation potential, although they may
cause a burning sensation on pre-irritated skin. A burning sensation when using
alcohols therefore, suggests that the skin barrier is already damaged. Two
options for hand hygiene are generally available in clinical practice: (1) hand
washing with some type of soap and water or (2) hand disinfection with alcohol
based hand rubs. Most clinical situations require the use of an alcohol-based
hand rub for decontamination, which is especially useful for reducing the
nosocomial transmission of various infectious agents. Washing one's hands should
be the exception, to be performed only when they are visibly soiled or
contaminated with proteinaceous material, or visibly soiled with blood or other
body fluids. The overall compliance rate in hand hygiene is around 50%, which is
far too low. In addition, healthcare workers quite often wash their hands with
soap and water, when they should use an alcohol-based hand rub. This not only
adds to the degree of skin irritation, but is also potentially dangerous for
patients, due to the low efficacy of hand washing when compared to hand
disinfection with alcohol rubs. Adhering to evidence-based hand hygiene protocols
and following international guidelines on hand hygiene practices therefore, can
help prevent irritant contact dermatitis among healthcare workers.
PMID- 18057808
TI - Concerns and preparedness for an avian influenza pandemic: a comparison between
community hospital and tertiary hospital healthcare workers.
AB - Little is known about differences in levels of concerns or preparedness for an
avian influenza (AI) pandemic among healthcare workers (HCWs) in different types
of hospitals. We compared these concerns and preparedness between 326 HCWs of two
community hospitals (CHs) and 908 HCWs from a tertiary hospital (TH) using a self
administered questionnaire between March-June 2006. Response rates were 84.2% and
80.0% from the CHs and TH. Most HCWs (71.6%) felt prepared for an AI outbreak and
had significant concerns. They perceive an AI pandemic having adverse impacts on
their personal life and work, such as people avoiding them (57.1%). A greater
percentage of TH compared to CH HCWs expressed concerns such as feeling their
jobs put them at great AI exposure (78.3% vs 67.5%, p=0.012). TH HCWs were more
likely to report participating in readiness preparation activities, such as
training for infection control (90.0% vs 82.2%, p=0.014) and feel that they
(74.1% vs 64.7%, p=0.045) and their hospital (86.8% vs 71.8%, p=0.000) were
prepared for an outbreak. Healthcare institutions need to include personal,
psychological and family concerns on the agenda and increase participation in
readiness preparation activities among HCWs to help prepare for such future
crises.
PMID- 18057809
TI - Job stress in young physicians with an emphasis on the work-home interface: a
nine-year, nationwide and longitudinal study of its course and predictors.
AB - Although physicians are known to experience more stress than other workers,
knowledge is lacking on the course of stress from a longitudinal perspective.
This study explored the course of and contributors to, different dimensions of
early career job-stress among physicians by means of a nationwide mail survey,
with a particular emphasis on stress relating to the work-home interference. All
physicians graduating from all four Norwegian universities in 1993 / 94 (N=631),
responded during their final year of medical school (N=522), during their
internship (N=402), in their 4th postgraduate year (N=422), and in their 10th
postgraduate year (N=390). The mean observation period was 9.2 yr (SD=0.5). The
main outcomes were job stress dimensions derived from an established job stress
questionnaire (Cooper / Tyssen), with emphasis placed on dimensions of the work
home interference. Stress relating to the work-home interference increased during
the observation period for both genders (repeated measures: beta=0.06, p<0.05),
whereas stress relating to emotional pressure, time pressure, and fear of
complaints and criticism, decreased. Stress relating to the work-home
interference increased during their early career, mainly due to a lack of
adaptive reduction in work hours and an increased number of children.
Neuroticism, conscientiousness, and lack of support from one's partner and
colleagues, appeared to be predictive of this stress.
PMID- 18057810
TI - Minnesota nurses' study: perceptions of violence and the work environment.
AB - Work-related violence is an important problem worldwide, and nurses are at
increased risk. This study identified rates of violence against nurses in
Minnesota, USA, and their perceptions of the work environment. A sample of 6,300
randomly selected nurses described their experience with work-related violence in
the previous year. Differences in perceptions of the work environment and work
culture were assessed, based on a nested case-control study, comparing nurses who
experienced assault to non-assaulted nurses. Annual rates of physical and non
physical assault, per 100 nurses, were 13.2 (95% CI: 12.2-14.3), and 38.8 (95%
CI: 37.4-40.4). Cases were more likely than controls to report: higher levels of
work stress; that assault was an expected part of the job; witnessing all types
of patient-perpetrated violence in the previous month; and taking corrective
measures against work-related assault. Controls versus cases were more likely to
perceive higher levels of morale, respect and trust among personnel, and that
administrators took action against assault. Nurses frequently experienced work
related violence, and perceptions of the work environment differed between nurses
who had experienced physical assault, and those who had not. Employee safety,
morale, and retention are particularly important in light of the nursing
shortage, and knowledge of nurses' perceptions will assist in tailoring
interventions aimed at reducing the substantial risk of physical assault in
health care settings.
PMID- 18057811
TI - Design of the Japan Nurses' Health Study: a prospective occupational cohort study
of women's health in Japan.
AB - The Japan Nurses' Health Study (JNHS) is a prospective occupational cohort study
investigating the effects of lifestyle and healthcare practices on women's
health. It was initiated in 2001, with a six-year entry period and a proposed ten
year follow-up. Participants comprise female registered nurses, licensed
practical nurses, public health nurses, and midwives, aged 25 yr or over at the
baseline survey. Participants were recruited in cooperation with the Japanese
Nursing Association and the Japan Menopause Society. A self-administered baseline
questionnaire was distributed, requesting demographic information, lifestyle
factors, pharmaceutical drug use, physical condition, reproductive health, and
disease history. A total of 49,914 women from all 47 prefectures in Japan
responded to the baseline survey. Among them, approximately 18,000 agreed to be
followed-up, and returned signed informed-consent sheets, together with their
completed baseline questionnaires. Changes in lifestyle, healthcare, incidence of
disease, and health outcomes over time will now be studied. The cohort receives
annual JNHS newsletters and biennial follow-up questionnaires by mail.
PMID- 18057812
TI - Prevalence of diseases and statistical power of the Japan Nurses' Health Study.
AB - The Japan Nurses' Health Study (JNHS) is a long-term, large-scale cohort study
investigating the effects of various lifestyle factors and healthcare habits on
the health of Japanese women. Based on currently limited statistical data
regarding the incidence of disease among Japanese women, our initial sample size
was tentatively set at 50,000 during the design phase. The actual number of women
who agreed to participate in follow-up surveys was approximately 18,000. Taking
into account the actual sample size and new information on disease frequency
obtained during the baseline component, we established the prevalence of past
diagnoses of target diseases, predicted their incidence, and calculated the
statistical power for JNHS follow-up surveys. For all diseases except ovarian
cancer, the prevalence of a past diagnosis increased markedly with age, and
incidence rates could be predicted based on the degree of increase in prevalence
between two adjacent 5-yr age groups. The predicted incidence rate for uterine
myoma, hypercholesterolemia, and hypertension was > or =3.0 (per 1,000 women, per
year), while the rate of thyroid disease, hepatitis, gallstone disease, and
benign breast tumor was predicted to be > or =1.0. For these diseases, the
statistical power to detect risk factors with a relative risk of 1.5 or more
within ten years, was 70% or higher.
PMID- 18057813
TI - Non-hospital based registered nurses and the risk of bloodborne pathogen
exposure.
AB - The aim of this study was to assess the risk of blood and body fluid exposure
among non-hospital based registered nurses (RNs) employed in New York State. The
study population was mainly unionized public sector workers, employed in state
institutions. A self-administered questionnaire was completed by a random
stratified sample of members of the New York State Nurses Association and
registered nurse members of the New York State Public Employees Federation.
Results were reviewed by participatory action research (PAR) teams to identify
opportunities for improvement. Nine percent of respondents reported at least one
needlestick injury in the 12-month period prior to the study. The percutaneous
injury (PI) rate was 13.8 per 100 person years. Under-reporting was common; 49%
of all PIs were never formally reported and 70% never received any post-exposure
care. Primary reasons for not reporting included: time constraints, fear, and
lack of information on reporting. Significant correlates of needlestick injuries
included tenure, patient load, hours worked, lack of compliance with standard
precautions, handling needles and other sharps, poor safety climate, and
inadequate training and availability of safety devices (p<0.05). PAR teams
identified several risk reduction strategies, with an emphasis on safety devices.
Non-hospital based RNs are at risk for bloodborne exposure at rates comparable to
hospital based RNs; underreporting is an important obstacle to infection
prevention, and primary and secondary risk management strategies appeared to be
poorly implemented. Intervention research is warranted to evaluate improved risk
reduction practices tailored to this population of RNs.
PMID- 18057814
TI - Musculoskeletal complaints among Italian X-ray technologists.
AB - The aim of this study was to determine the prevalence of musculoskeletal
complaints among X-ray technologists and to examine their relationship with
physical and psychosocial factors. A cross-sectional study was performed in 2006
among 203 X-ray technologists working in 13 hospitals in the Apulia region of
southern Italy. A questionnaire was used to collect data on personal
characteristics, physical workload, psychosocial aspects, and the presence of
musculoskeletal symptoms in the neck, shoulders, low back, hand/wrist and legs.
Univariate analyses and multiple logistic regression analyses were then
performed. The prevalence of complaints at any body site in the previous 12
months was 67%. Low back pain was the most commonly reported symptom (59.6%),
followed by shoulder (21.2%), neck (19.7%), leg (13.8%) and hand/wrist pain
(12.3%). Age was associated with low back pain, while high physical workload was
associated with symptoms in the neck, low back and hand/wrist. High job demands
were associated with neck and shoulder pain. Overall, our study suggests high
prevalence rates of musculoskeletal complaints may exist among Italian X-ray
technologists. Physical workload, psychosocial and individual factors appear to
be important risk factors for musculoskeletal disorders within this occupational
group.
PMID- 18057815
TI - The impact of self-perceived job stress on menstrual patterns among Taiwanese
nurses.
AB - The purpose of this study was to assess the impact of self-reported job stress on
menstrual patterns among nurses. We conducted a cross-sectional survey of nursing
staff from five psychiatric institutions and four general hospitals in Taiwan. A
total of 746 participants were eligible for the final analysis, among whom, 72.3%
were ascertained as having a self-perceived high level of job stress. Self
perceived high job stress was significantly associated with irregular menstrual
cycles [Adjusted odds ratio (AOR): 4.8, 95% confidence interval (CI): 3.3-7.2]
and longer menstrual bleeding periods (more than 7 d) [AOR: 2.5, 95% CI: 1.7
3.7], but was not significantly related to long or short menstrual cycles.
Overall, this study suggests that nurses' self-perceived job stress may be
associated with certain aspects of menstrual dysfunction. Further investigations
with prospective follow-up studies and urine hormonal measurements are now
recommended to provide additional insight into the pathophysiological mechanisms
of our findings.
PMID- 18057816
TI - Degradation of phenanthrene on plant leaves by phyllosphere bacteria.
AB - The activity of phyllosphere bacteria in the degradation of phenanthrene was
investigated as a mechanism for the removal of atmospheric phenanthrene after its
deposition on plant leaves. Initially, leaf samples of six plant species were
collected from two roadsides in Bangkok to determine the presence of phenanthrene
degrading bacteria. The numbers of phenanthrene-degrading phyllosphere bacteria
were varied and ranged from 3.5 x 10(4) to 1.95 x 10(7) CFU/g, in which the
highest number was found from Ixora sp. Further studies were carried out in the
laboratory by spraying phenanthrene on Ixora sp. leaves and then monitoring the
amount of deposited phenanthrene and number of phenanthrene-degrading bacteria
after incubation. The results showed that the amount of phenanthrene was
significantly reduced on leaves containing phenanthrene-degrading bacteria. These
were detected along with a rapid increase in the number of bacteria on leaves.
The results indicated that many phyllosphere bacteria could utilize phenanthrene
to support their growth and thereby reduce the amount of deposited phenanthrene
on leaf surfaces. Several phenanthrene-degrading bacteria were later isolated
from the leaves and identified with a high 16S rDNA sequence similarity to the
genera Pseudomonas, Microbacterium, Rhizobium, and Deinococcus.
PMID- 18057817
TI - Associations between the use of antimicrobial agents for growth promotion and the
occurrence of antimicrobial-resistant Escherichia coli and enterococci in the
feces of livestock and livestock farmers in Japan.
PMID- 18057818
TI - Cryptococcus tibetensis sp. nov., a novel basidiomycetous anamorphic yeast
species isolated from plant leaves.
PMID- 18057819
TI - Micromonospora narathiwatensis sp. nov., from Thai peat swamp forest soils.
PMID- 18057820
TI - Isolation and characterization of bacteria capable of producing pyridoxamine (PM)
and pyridoxamine 5'-phosphate (PMP), vitamin B6 compounds.
PMID- 18057821
TI - Gluconobacter kondonii sp. nov., an acetic acid bacterium in the alpha
Proteobacteria.
PMID- 18057822
TI - Means to mediating accumulation of hydrophobin-like proteins in the wall of
Beauveria bassiana conidia for improved tolerance to thermal stress.
PMID- 18057823
TI - Evaluation of immunochromatographic assay for serodiagnosis of Brucella canis.
AB - Canine brucellosis is a contagious disease with venereal and oral modes of
transmission that produces late abortion in females, epididymides and prostates
in males. Diagnosis is difficult because of unstable serum antibody titers that
vary from individual to individual as well as between different methods used for
their detection. The objective of this work was to evaluate the clinical utility
of the immunochromatographic assay (ICA) for serodiagnosis of dogs suspected of
having brucellosis, and results were compared with those obtained for hemoculture
(HC) and the rapid screening agglutination with 2-mercaptoethanol (2-ME RSAT).
The all experimentally infected dogs were positive in ICA, HC and 2-ME RSAT from
5 weeks, 7 weeks, and 3 weeks after infection, respectively. Also, among dogs
selected from 10 different breed kennels occurred brucellosis, 24.8%, 39.5% and
39.1% of dogs tested were detected as positive with HC, 2-ME RSAT and ICA,
respectively. The kappa value between 2-ME RSAT and ICA was 0.89. The results of
this study showed that sensitivity and specificity of the ICA are comparable with
those obtained using conventional serological and bacteriological test for
brucellosis. In conclusion, the ICA kit provides a handy and accurate tool for
the rapid serodiagnosis of canine brucellosis.
PMID- 18057824
TI - Pathology of the testicle and sex accessory glands following the administration
of boldenone and boldione as growth promoters in veal calves.
AB - Boldenone and its precursor Boldione are illegally used for anabolic purposes in
humans, horses and cattle. To develop more effective policies and programs to
maximize food security, Italian Public Health Services investigate all indicators
capable of assisting the recognition of treated animals, and prioritize research
and the formulation of action strategies for the promotion of healthy eating.
Thus, an experimental administration of boldenone and boldione at anabolic
dosages in veal calves was carried out to evaluate the changes in target organs
by qualitative and semi-quantitative morphological analysis. The lesions
resembled the effects already observed after the administration of androgen
hormones to cattle. Main findings were represented by prostate hypersecretion,
increased rate of apoptotic cells and decreased rate of Ki67 positive cells in
the germ cell line of treated animals, particularly in boldione group and finally
some new features like hypertrophy of the prostate urothelial cells.
PMID- 18057825
TI - Prognostic significance of surgical margin, Ki-67 and cyclin D1 protein
expression in grade II canine cutaneous mast cell tumor.
AB - The prognosis for canine cutaneous mast cell tumor (CCMT) is thought to be
correlated with histopathological grading. However, the wide variety of
histopathologic types of grade II is one of the most troublesome and difficult
points for prognosis. The objective of this study is to determine the prognostic
value of surgical margin, ki-67 and cyclin D1 protein expression in grade II
tumor. Surgically resected specimens of solitary grade II CCMT from 48 dogs with
follow-up periods over 360 days (median was 1080 days) were used in this study.
The expression of cyclin D1 and ki-67 proteins was determined by morphometrically
using slides stained immunocytochemically, and the correlations among the
results, survival rate, and recurrence and/or metastasis rate of each dog were
analyzed statistically. The recurrence and/or metastasis and mortality rate in
the incomplete surgical excision group within 30 months postoperatively were
higher than that of the complete surgical excision group. In the incomplete
surgical excision group, dogs with low positive staining of ki-67 had a
significantly better survival, but the recurrence and metastasis rate and ki-67
positivity failed to show a significant correlation. Only a small number of cases
showed cyclin D1-positive tumor cells, but most of them had a poor outcome with a
high recurrence rate. In grade II CCMT, incomplete excision induced a relatively
high metastasis rate and poor prognosis. Ki-67 positivity is a marker for the
estimation of overall survival in incomplete surgical excision cases. Cyclin D1
positivity was low and may not have a prognostic role.
PMID- 18057826
TI - Immunohistochemical study on the delayed progression of epithelial apoptosis in
follicle-associated epithelium of rat Peyer's patch.
AB - It is well known that some caspases in apoptosis is involved in determinant of
terminal differentiation and maturation of various cells. Our previous study
ultrastructurally clarified the differentiation into M cells from immature
microvillous epithelial cells and the redifferentiation from M cells to
microvillous epithelial cells in the follicle-associated epithelium (FAE) of rat
Peyer's patch. In this study, the difference of epithelial apoptosis between the
FAE of Peyer's patch and intestinal villi was immunohistochemically investigated
in rat jejunoileum. As a result, cleaved caspase-3 was limited to several
epithelial cells at the tip of FAE, whereas almost all of the epithelial cells
were cleaved caspase-3 positive in intestinal villi. Cleaved caspase-9 was
detected only in a few exfoliating or exfoliated epithelial cells of both FAE and
intestinal villi. Nuclear DNA-fragmentation was detected only in several
epithelial cells of the tip of FAE, while it was expressed from the middle
regions in the intestinal villi. The DNase I expression of the epithelial
cytoplasm was much weaker in FAE than in intestinal villi. Bcl-x expression was
restricted in the apical cytoplasms of epithelial cells in the FAE, whereas it
was restricted in whole cytoplasms in villous epithelial cells. These findings
suggest that the progression of the apoptotic process in the epithelial cells of
FAE is later than in the intestinal villi, so that the possibility of epithelial
differentiation might be remained in the FAE, unlike in the intestinal villi.
PMID- 18057827
TI - Age-related changes in ionized calcium-binding adapter molecule 1
immunoreactivity and protein level in the gerbil hippocampal CA1 region.
AB - Microglia are evenly distributed throughout the brain parenchyma. They respond
rapidly to a variety of alterations in the microenvironment of the brain and act
as sensors for pathological events in the brain. In the present study, we
investigated the age-dependent changes in the immunoreactivity and protein level
of ionized calcium-binding adapter molecule 1 (Iba-1), a microglial marker, in
the CA1 region of the gerbil hippocampus. Iba-1 immunoreactive microglia were
detected in the hippocampal CA1 region of the postnatal month 1 (PM 1) group. Iba
1 positive microglia were morphologically inactive between the PM 1 and PM 12
stages. Some Iba-1 immunoreactive microglia were present in the active form in
the hippocampal CA1 region of the PM 18 and PM 24 groups. The Iba-1 protein
levels in hippocampal CA1 homogenates were decreased in the PM 1 through PM 6
groups and increased in an age-dependent manner thereafter. These results suggest
that Iba-1 immunoreactive microglia in the active form were detected in the
hippocampal CA1 region in the PM 18 and PM 24 groups. This result may be
associated with an age-dependent susceptibility to neurodegenerative diseases
associated with the hippocampus.
PMID- 18057828
TI - Diluted isoflurane as a suitable alternative for diethyl ether for rat
anaesthesia in regular toxicology studies.
AB - Despite its explosive properties and toxicity to both animals and humans, diethyl
ether is an agent long used in Japan in the anaesthesia jar method of rat
anaesthetises. However, in response to a recent report from the Science Council
of Japan condemning diethyl ether as acceptable practice, we searched for an
alternative rat anaesthesia method that provided data continuous with pre
existing regular toxicology studies already conducted under diethyl ether
anaesthesia. For this, we examined two candidates; 30% isoflurane diluted with
propylene glycol and pentobarbitone. Whereas isoflurane is considered to be one
of the representatives of modern volatile anaesthetics, the method of propylene
glycol-diluted 30% isoflurane used in this study was our modification of a
recently reported method revealed to have several advantages as an inhalation
anaesthesia. Intraperitoneal pentobarbitone has long been accepted as a humane
method in laboratory animal anaesthesiology. These 2 modalities were scrutinized
in terms of consistency of haematology and blood chemistry with previous results
using ether. We found that pentobarbitone required a much longer induction time
than diethyl ether, which is suspected to be the cause of fluctuations in several
haematological and blood chemical results. Conversely, only calcium ion
concentration showed a slight difference from traditional results in the case of
30% isoflurane. Additionally, serum prolactin and corticosterone levels indicated
that 30% isoflurane induced less stress than ether, confirming that 30%
isoflurane can both provide results consistent with diethyl ether, while at the
same time remove its disadvantages. As such 30% isoflurane appears to be a strong
alternative anaesthetic agent for future regular toxicology studies in Japan.
PMID- 18057829
TI - Genetic analysis of phosphoprotein and matrix protein of rabies viruses isolated
in Brazil.
AB - To investigate the genetic characteristics of phosphoprotein (P) and matrix
protein (M) genes of variable rabies virus (RV) prevalent in Brazil, the authors
genetically characterized the P and M genes from 30 Brazilian RV field isolates.
Phylogenetic analysis based on the P and M genes revealed the presence of six RV
variants that consisted primarily of three insectivorous bats, the vampire bat,
dog and fox in Brazil. Specific amino acid substitutions corresponding to these
phylogenetic lineages were observed, with Asp(42) and Glu(62) in the P protein
found to be characteristic of Brazilian chiroptera- and carnivora-related RVs,
respectively. Amino acid sequence motifs predicted to associate with a viral
function in the P and M proteins were conserved among Brazilian RV variants.
PMID- 18057830
TI - Pathology of canine oral malignant melanoma with cartilage and/or osteoid
formation.
AB - Of 197 cases of canine oral malignant melanoma, 29 cases with myxoid, cartilage,
and osteoid formation were studied pathologically and immunohistochemically.
Tumor tissues were classified into spindle cell type (13 cases), epithelioid cell
type (1 case), and mixed type (15 cases). Myxoid matrixes (29 tumors) were formed
mainly in the tissues of spindle cell type and were positive for Alcian blue (pH
2.5). Cartilaginous matrixes (12 tumors) were formed in the myxoid tumor tissues.
The morphology of atrophied neoplastic cells, which were embedded in the
cartilage cavities, significantly differed from that of spindle cells
proliferating in surroundings. There were reticular areas in the process of
transitioning from myxoid to cartilaginous matrixes. Osteoid matrixes were not
continuous with myxoid or cartilaginous matrixes, and arose as eosinophilic
trabeculae in the dense collagenous connective tissues. A calcified bone
trabecula was present among the osteoid trabeculae in a case. Melanin-producing
melanocytes were proliferating in the collagenous matrixes, while amelanotic
cells were in the osteoid matrixes. Immunohistochemistry demonstrated
proliferating neoplastic cells as melanocytes. All cells in/out of these three
matrixes were positive for Melan-A, S-100 protein, NSE, and vimentin. From these
results, it is suggested that cartilage and osteoid matrixes are produced by
dedifferentiated melanocytes.
PMID- 18057831
TI - Effects of electroacupuncture on intraocular pressure and hemodynamic parameters
in isoflurane anesthetized dogs.
AB - The effects of electroacupuncture (EA) on intraocular pressure (IOP) and
hemodynamic parameters were evaluated in isoflurane anesthetized 10 (5 males, 5
females) normal mongrel dogs (8.1-9.8 kg, 6-8 years old). After determination of
baseline IOP and hemodynamic parameters (cardiac index, systolic arterial
pressure, diastolic arterial pressure, heart rate and systemic vascular
resistance index), EA was applied at 3 acupoints (LI-4, LIV-3 and GB-37) for 20
min. After the EA treatment, IOP was significantly decreased in the both eyes
(p<0.05). However, there were not significant differences in hemodynamic
parameters between those of before and after EA treatment. From these results,
the EA treatment at LI-4, LIV-3 and GB-37 would be considered one of the valuable
methods for the IOP treatment in dogs.
PMID- 18057832
TI - Comparison of antibody titers in rabbits following immunization with inactivated
influenza virus via subarachnoidal or subcutaneous route.
AB - Rabbits were immunized with inactivated influenza virus via the subarachnoidal
(SA) or subcutaneous (SC) route, and the antibody titers in cerebrospinal fluid
(CSF) and serum were assayed. There were no nervous signs or morphological
lesions related to SA immunization. In the SC group, the antibody titer was
elevated in serum, but not elevated in CSF. In the SA group, the antibody titer
was significantly elevated in serum and even in CSF, and their antibody titers
were much greater than in the SC group. The present results suggest that
intrathecal immunization is more effective than SC immunization at inducing a
protective immune response against the transneural spread of viruses.
PMID- 18057833
TI - Mitral stenosis with bacterial myocarditis in a cat.
AB - An eleven-year-old female Japanese mongrel cat was referred to the Tottori
University Veterinary Teaching Hospital for assessment of acute paresis and
dyspnea. Two-dimensional echocardiography showed a hydropericardium. The mitral
valve leaflets were thickened, the separation of the right and left leaflets was
not complete. Treatments with intravenous fluids of lactate Ringer solution,
furosemide, urokinase, antibiotics were initiated, but did not improve the
respiratory failure. The cat died 10 days later. From pathological and
microbiological examinations, this was an unusual case diagnosed as acquired
mitral stenosis associated with congenital malformation of the mitral valve
complex, and accompanied by secondary infectious myocarditis with Streptococcus
canis.
PMID- 18057834
TI - Expression of aquaporin water channels in the rat pituitary gland.
AB - We investigated the expression of aquaporin (AQP) subtypes (AQP1-AQP9) in the rat
pituitary gland by the reverse transcription-polymerase chain reaction (RT-PCR)
and immunohistochemistry. Specific amplification by RT-PCR was observed in AQP1,
AQP3, AQP4 and AQP5 but not in the others. AQP1 immunoreactivity was detected in
the endothelial cells of blood vessels. No positive reaction to AQP3 was observed
by immunohistochemistry, in spite of the detection of mRNA. AQP4 was localized in
the supporting cells of the adenohypophysis and neurohypophysis. AQP5 was
expressed in parts of the marginal cells in Rathke's residual pouch. These
observations suggest that several subtypes of the AQP family are involved in
regulation of water homeostasis in the rat pituitary gland.
PMID- 18057835
TI - Clinical comparison of recovery from total intravenous anesthesia with propofol
and inhalation anesthesia with isoflurane in dogs.
AB - The characteristics of recovery from total intravenous anesthesia (TIVA) with
propofol and inhalation anesthesia with isoflurane was clinically compared in 149
client-owned dogs that anesthetized for surgical or diagnostic procedures. In all
dogs, anesthesia was induced with an intravenous injection of propofol following
premedication with acepromazine or diazepam. As a result, 58 dogs anesthetized
with propofol-TIVA showed slower but smoother recovery than 91 dogs anesthetized
with isoflurane anesthesia. The dogs stood at 34.5 +/- 19.3 and 27.7 +/- 17.2 min
after propofol-TIVA and isoflurane anesthesia, respectively. Adverse effects,
including hypersalivation, neurologic excitement (paddling, muscle
tremor/twitching, opisthotonos) and vomiting/retching, were observed in similar
infrequent incidences during the recovery from both anesthetic protocols.
Propofol-TIVA is suggested to be an alternative anesthetic protocol for canine
practice.
PMID- 18057836
TI - A case of lymphoma developing in the rabbit cecum.
AB - A rare lymphoma that developed in the cecum of a domestic pet rabbit (6 years
old, male, crossbred) was examined pathologically. The tumor consisted of
proliferating neoplastic lymphoid cells, which were strongly stained with anti
CD79alpha monoclonal antibody. Electron microscopy revealed the tumor cells were
composed of rough endoplasmic reticula and/or dilated rough endoplasmic reticula
filled with moderately electron-dense material. These findings indicated the
present case was rare digestive tract lymphoma originated from B-lymphocytic
lineage in the cecum.
PMID- 18057837
TI - Granulomatous nephritis and meningoencephalomyelitis caused by Halicephalobus
gingivalis in a pony gelding.
AB - A ten-year-old Shetland pony gelding showed low appetite, ataxia, peculiar
swaying, clouding of consciousness, and ultimately died. At necropsy, multiple
coalescing granulomatous foci were detected in the kidneys, and small necrotic
lesions were found in the cerebellum. Histologic examination of the renal tissue
sections revealed extensive granuloma, and Halicephalobus gingivalis-like
nematodes were seen. Similar nematodes were found in the granulomatous or
necrotic lesions of the renal lymph nodes and cerebellum, and were also
frequently detected in cerebrospinal meningovascular lesions. Morphologic
features together with partial ribosomal RNA gene sequences of the nematodes in
the lesions revealed that they were H. gingivalis. The present results indicated
that H. gingivalis caused granulomatous nephritis and meningoencephalomyelitis in
this pony gelding.
PMID- 18057838
TI - Molecular survey of Babesia canis in dogs in Nigeria.
AB - An epidemiological study of Babesia canis in dogs in Nigeria was performed. Four
hundred blood samples collected from dogs in Nigeria were investigated using
nested PCR and sequence analysis. On nested PCR screening, nine samples (2.3%)
produced a band corresponding to a 698-bp fragment indicative of B. canis
infection. Sequence analysis of the PCR products identified eight samples (2.0%)
as B. canis rossi and the ninth (0.3%) as B. canis vogeli. This is the first
report of the prevalence of B. canis rossi and B. canis vogeli in dogs in
Nigeria.
PMID- 18057839
TI - A canine case of necrotizing meningoencephalitis for long-term observation:
clinical and MRI findings.
AB - A 3-year-old female pug presented with general seizure following a partial
seizure. During the remaining 48 months till death, the dog showed various
neurological signs such as disturbance of consciousness, myoclonus and various
types of partial seizure after each occurrence of the seizure clusters, and the
dog eventually exhibited inability to stand and dementia. Magnetic resonance
imaging findings revealed atrophy of the brain over the course of the disease. On
histopathological analysis, the dog was diagnosed with necrotizing
meningoencephalitis. This case of a canine necrotizing meningoencephalitis
observed over the long term is valuable.
PMID- 18057840
TI - Construction of a recombinant plasmid as reaction control in routine PCR for
detection of contagious equine metritis (CEM-PCR).
AB - Contagious equine metritis (CEM) is a highly contagious bacterial venereal
disease of horses caused by Taylorella equigenitalis. CEM-PCR is a semi-nested
PCR method for detecting this bacterium. Although this technique is regarded as a
sensitive diagnostic method for CEM, there are risks of it generating false
positive and false negative results. In this study, we constructed a recombinant
plasmid (CEM-POS) as reaction control to assure adequate PCR reaction and prevent
false positive results caused by contamination of the reaction control in routine
CEM-PCR examinations. CEM-POS was constructed by insertion of rpoB fragments from
Rhodococcus equi into CEM-1P, which is a recombinant plasmid that includes a T.
equigenitalis-specific sequence region. In CEM-PCR, the size of the PCR product
from CEM-POS was clearly different from the true positive PCR product. In
addition, CEM-POS retained high stability under convenient storage conditions of
4 degrees C. These results suggest CEM-POS to be a useful tool as a reaction
control in routine CEM-PCR examinations.
PMID- 18057841
TI - Putative host cell receptor for fowl adenovirus detected in gizzard.
AB - This work was done to identify a fowl adenovirus (FAV) binding protein in the
gizzard, a known target organ for certain strains of FAV serotype 1. By using a
virus overlay protein binding assay (VOPBA), a putative FAV binding protein of
approximately 200 kDa expressed in the gizzard was detected.
PMID- 18057842
TI - Characterization of CD34+ cells from canine umbilical cord blood, bone marrow
leukocytes, and peripheral blood by flow cytometric analysis.
AB - Characterization of CD34+ cells in canine bone marrow, umbilical cord blood, and
peripheral blood was performed by flow cytometric analysis. The ratio of
CD34+CD45hi cells, which are absent in human blood, was high in the CD34+ cell
fraction, but 98% of these was suggested B-cells. The remaining CD34+CD45lo cells
may comprise canine hematopoietic progenitor cells, and these cells accounted for
0.23 +/- 0.07% of the fraction in cord blood, 0.30 +/- 0.07% in bone marrow, and
0.02 +/- 0.01% in peripheral blood.
PMID- 18057843
TI - Changes of multi-drug resistance pattern in Salmonella enterica subspecies
enterica serovar typhimurium isolates from food-producing animals in Japan.
AB - A total of 153 isolates of Salmonella Typhimurium derived from food-producing
animals in Japan between 2002 and 2005 were investigated for antimicrobial
resistance and phage types related to definitive phage type 104 (DT104). The
predominant resistance type was resistance to ampicillin, dihydrostreptomycin,
kanamycin, and oxytetracycline in bovine (45.2%, 48/104) and resistance to
dihydrostreptomycin and oxytetracycline in porcine isolates (58.7%, 27/48). DT104
related phage type was found in 32 of 104 bovine isolates, two of 48 porcine
isolates, and one of eight isolates from poultry, showing that the proportion of
the phage type in S. Typhimurium isolates from cattle and pigs significantly
(P<0.01) decreased from 71.9% and 31.4% in 1999-2001 to 30.8% and 4.1% in 2002
2005, respectively.
PMID- 18057844
TI - [Presence and future of nutrition support team in Japan].
PMID- 18057845
TI - [The relationship between nutrition and upper gastrointestinal diseases].
PMID- 18057846
TI - [Nutritional therapy for Crohn's disease].
PMID- 18057847
TI - [Nutritional management for liver disease].
PMID- 18057848
TI - [Nutrition therapy for patients with chronic pancreatitis].
PMID- 18057849
TI - [A case of Epstein-Barr virus (EBV) associated remnant gastric carcinoma arising
7 years after distal gastrectomy for EBV associated gastric carcinoma].
AB - A 52-year-old man undergoing distal gastrectomy for gastric cancer in July 1998
was found to have a 0-IIa type gastric tumor near EC junction in January 2005.
Histological examination showed the tumor was moderately differentiated
adenocarcinoma. As the tumor was diagnosed as mucosal cancer, endoscopic mucosal
dissection was performed. But pathological findings showed the depth of cancer
cell invasion into deep submucosal layer. Then total resection of remnant stomach
was performed. Both tumors were diagnosed as EBV-associated carcinoma. It is
speculated that the mucosa changing after initial operation would give risk to a
new occurrence of EBV-associated remnant gastric carcinoma. And then follow up
after operation is important. Although some cases of EBV-associated remnant
gastric carcinoma is found for short period after the primary surgery, our case
second primary cancer was found 7 year after primary surgery. Long term follow-up
by Endoscopy seems to be important.
PMID- 18057850
TI - [Crohn's ileocolitis with initially superimposed cytomegalovirus infection,
resembling intestinal tuberculosis].
AB - We describe a case of 41-year-old Japanese man with Crohn's ileocolitis and
cytomegalovirus infection, confirmed by histology of ileal ulcers. Although his
colonoscopic and radiological features resembled those of intestinal
tuberculosis, granulomas of typical Crohn's disease were evident on histology of
biopsy specimens taken from the duodenum and colon. The lesions remain unchanged
even after 1.5 years by oral administration of salazosulphapyridine 3g daily.
PMID- 18057852
TI - [A case of adult neuroblastoma arising in the retroperitoneum following a poorly
aggressive course].
AB - A 69-year-old man complaining of enlarged cervical mass, appetite loss and lower
abdominal pain was found to have abdominal tumors in heaps forming a large mass
around the retroperitoneum. The biopsy specimen in the cervical mass showed
undifferentiated carcinoma with neuroendocrine feature. This malignancy followed
a poorly aggressive course and caused death in only 24 hospital days. The disease
was diagnosed as undifferentiated neuroblastoma arising in the retroperitoneum by
autopsy with appropriate immunohistochemical studies. Adult neuroblastoma in the
peritoneum is rare and our case showed a aggressive behavior and unfortunate
outcome.
PMID- 18057851
TI - [Complete response to treatment with hepatic arterial infusion of cisplatin
powder (IA-call) in a case of hepatocellular carcinoma with multiple lung
metastases].
AB - A 74-year-old woman was admitted to our hospital to treat her hepatocellular
carcinoma (stage IVB) with multiple lung metastases. She was treated with 3 times
of hepatic arterial infusion of cisplatin powder (IA-call). After the treatment,
liver mass and lung tumors were disappeared and high levels of tumor markers (AFP
and PIVKA-II) were markedly decreased. These data revealed that a complete
response (CR) was obtained for her. She has still been maintained in CR for 2
years since the first treatment.
PMID- 18057853
TI - [Late-onset type ornitine transcarbamirase deficiency (OTCD) with fulminant onset
following a fatal prognosis].
AB - A 16-year-old man was admitted to our hospital with nausea, general fatigue, and
consciousness disturbance along with extreme hyperammoniemia eight days after the
onset of symptoms. Familial history and the high concentration of orotic acid in
urine lead us to a diagnosis of OTCD. We immediately initiated intensive
treatment such as continuous hemodiafiltration and sodium benzoate
administration; however, the patient died twelve days after admission. Since OTCD
is not so rare and can be found in all ages, it should be considered fundamental
for evaluation of hyperammoniemia. This case suggested that for a better
prognosis of OTCD patients it is very important to prevent such an onset, and to
make an as early as possible diagnosis and start to treatment.
PMID- 18057854
TI - [A case of intrahepatic splenosis].
AB - When a 32-year-old man was 18 a traffic accident caused splenic rupture, for
which he underwent splenectomy, complicated postoperatively by hepatitis. As part
of routine follow-up with his family doctor for chronic hepatitis C, a hepatic
tumor was detected on plain abdominal computed tomography (CT), and he was
admitted to our hospital for further investigation and treatment. Based on the
results of abdominal dynamic CT, abdominal magnetic resonance imaging(MRI),
abdominal angiography, CT during hepatic arteriography (CTHA) and CT during
arterial portography (CTAP), we performed a laparotomy for suspected
hepatocellular carcinoma. The operative findings were a 40 mm dark purple-brown
nodule on the surface of the S7 segment of the liver, as well as multiple
nodules, less than 20 mm in size but otherwise of similar appearance, scattered
over the abdominal viscera and peritoneum, in particular the greater omentum. A
hepatectomy was not performed, as we considered this to be a case of intrahepatic
splenosis, so the abdomen was closed after a liver biopsy was taken.
Histopathological examination of this specimen confirmed the diagnosis. As there
have been only 19 previous reported cases of intrahepatic splenosis, we hereby
present this valuable case with a review of the literature.
PMID- 18057855
TI - [A case of spindle cell type anaplastic carcinoma of the body of the pancreas].
AB - We report a resected case of small spindle cell carcinoma of the pancreas, In a
Japanese 71-year-old woman with upper abdominal pain, a computed tomography (CT)
showed a solid tumor 10 mm in diameter in the body of the pancreas. We perfomed
distal pancreatectomy with splenectomy. Twenty months after the operation, the
patient is alive without recurrence. Although the outcome of spindle cell
carcinoma of the pancreas has been reported to be very poor, there may be hope of
cure in the patients with small spindle cell carcinoma.
PMID- 18057856
TI - [Diffuse large B-cell lymphoma initially manifested by massive ascites and a
small gastric lesion, clinically mimicking primary effusion lymphoma (PEL) in the
abdominal cavity: a case report and review of the literature on Japanese PEL
patients].
AB - A 50-year-old man was admitted because of increasing massive ascites. While
lymphoma cells (diffuse large B-cell lymphoma: DLBCL) were detected in the
ascites, pleural effusion, cerebrospinal fluid and bone marrow, no tumor masses
other than a submucosal lymphoma lesion in the stomach only 1 cm in diameter were
identified. The patient was treated with chemotherapy including rituximab (R-CHOP
ESHAP) and injection of methotrexate and dexamethasone into the medullary cavity
as well as radiation to the whole brain, and achieved complete remission 4 months
later. The present case suggests that DLBCL can initially manifest as a form of
effusion lymphoma with minimum solid tumor component. The distinctive clinical
features of Japanese patients with primary effusion lymphoma are also reviewed.
PMID- 18057857
TI - Loss of heterozygosity (LOH) on chromosomes 2q, 3p and 21q in Indian oral
squamous cell carcinoma.
AB - Around the world, 200,000 people a year are affected by oral cancer, and the
incidence of this disease is 10 times higher in India than Japan, mainly due to
the custom of chewing tobacco. Loss of heterozygosity (LOH) on the long arm of
chromosome 2 (2q), the short arm of chromosome 3 (3p) and the long arm of
chromosome 21 (21q) are observed in several human cancers. We identified novel
tumor suppressor loci on these regions in primary oral squamous cell carcinomas
(OSCCs) in Japanese. However, there has been no detailed analysis of LOH on these
chromosomes in Indians. In the present study, we investigated LOH at 2q, 3p and
21q using 9 microsatellite markers in 26 Indian OSCCs. LOH was detected in 25
(96.2%) out of 26 informative samples at one or more of the loci examined. On the
basis of the results, two commonly deleted regions were identified and a detailed
deletion map was constructed. In the first region, a high frequency of LOH was
observed at the D3S1007 locus (53.8%) on 3p25, which is located in the region
neighboring the VHL (von Hippel-Lindau) gene. In the second region, LOH was
concentrated at the D3S966 locus (50.0%) on 3p21.3, suggesting the presence of a
putative tumor suppressor gene (TSG) associated with OSCCs. These results
strongly suggest that there are at least two candidate TSGs located on chromosome
3p, and that alteration in them is associated with the tumorigenesis of OSCCs.
PMID- 18057858
TI - Estimation of optimal amount of fluoride dentifrice for adults to prevent caries
by comparison between fluoride uptake into enamel in vitro and fluoride
concentration in oral fluid in vivo.
AB - Fluoride dentifrice has been accepted widely for use in caries prevention and its
effectiveness has been confirmed. In order to enable the use of fluoride
dentifrice effectively in daily brushing, the present study was performed to
examine the relationship between fluoride uptake and the amount of fluoride
dentifrice used and brushing time for adults. We examined the relationship
between fluoride uptake into the surface layer (4-6 microm)of enamel and the
active fluoride concentration in vitro and the relationship between the amount of
fluoride dentifrice (1,000 ppmF) used and the fluoride concentration in oral
fluid in vivo. It became clear that fluoride uptake into the enamel was increased
at the concentrations of 300 ppmF or more. Fluoride uptake at 300 ppmF was
increased for 2 min and became saturated thereafter. The results of the
relationship between the fluoride concentration in the oral fluid and the amount
of fluoride dentifrice used indicated that the amount of dentifrice that could
maintain the mean fluoride concentration at 300 ppm or more for 2 min was 1.0 g
or more. From these results, the recommended amount of fluoride dentifrice (1,000
ppmF) is 1.0 g or more for adults.
PMID- 18057859
TI - Study on internal structure of zygomatic bone using micro-finite element analysis
model--differences between dentulous and edentulous dentition in Japanese
cadavers.
AB - The purpose of this study was to analyze changes in the internal structure of
zygomatic bone using a micro-finite element analysis model (muFEA) and compare
angular orientation of trabeculae against compressive force in edentulous and
dentulous jaws. Twenty zygomatic bones from dentulous jaws and 20 zygomatic bones
from edentulous jaws harvested from Japanese male cadavers were used. From 2
dimensional slice images, we reconstructed 3-dimensional (3D) structure by the
volume rendering method using micro-computed tomography (micro-CT). To analyze
mechanical properties, all voxels were converted to muFEA models. The angle
between the strongest direction of trabecular bone and the axial loading
direction (angle alpha) was then determined using the muFEA models. In the 3-D
reconstruction images, trabecular density in dentulous jaws was higher than that
in edentulous jaws at all loci. Trabeculae in dentulous jaws showed a plate-like
structure. The muFEA modeling revealed that the angle of the trabeculae at the
Jugale in edentulous jaws was lower than that in dentulous jaws. This suggests
that the internal structure of trabeculae is influenced by occlusal force in
zygomatic bone from edentulous jaws.
PMID- 18057860
TI - Dental care for physically or mentally challenged at public dental clinics.
AB - Recently, local administration bureaus have established a number of dental
clinics and centers for the physically or mentally challenged (PMC) in
collaboration with local dental associations. The aim of this study was to
investigate dental treatment and general supportive care for the PMC in dental
clinics in Tokyo. A dental clinic for the PMC located in northwestern Tokyo in a
district with a population of about 680,000 was selected for the study. The
variables studied based on dental records included total number of patients, type
of disability, medical history, systemic condition, age, treatment regimen and
type of general supportive care. The largest group of new patients was under 9
years of age. The highest total number of patients visiting the clinic belonged
to the 60-69-year-olds group and the 70-79-year-olds group. We also investigated
type of disability in patients treated under intravenous sedation at time of
dental treatment. The most common condition was dementia resulting from
Alzheimer's disease (42.74%), autism, cerebral palsy or mental retardation, in
descending order. The percentage of patients referred from other medical
institutions was 17.4%, including those from private dental clinics and Dental
University Hospitals. Type of disability in patients transferred from other
medical institutions included developmental disorders (28.2%), senile defects
(26.9%), chronic and psychiatric diseases (44.9%). The number of patients who
located and visited the clinic by themselves greatly exceeded the number
transferred by request. This suggests that a permanent system should be put in
place offering public specialized dental clinics where the PMC many obtain
treatment.
PMID- 18057861
TI - Age-related differences in expression of vascular endothelial growth factor by
periodontal ligament cells in vitro.
AB - The purpose of this study was to evaluate age-related differences in expression
of vascular endothelial growth factor (VEGF) by periodontal ligament (PDL) cells.
PDL cells were obtained from Wistar male rats weighing approximately 150 g each
in the young group and 350 g each in the old group. PDL cells derived from upper
and lower incisors were seeded in 35-mm culture dishes after primary culture. For
cell proliferation assays, cells were detached and counted at 1, 3, 5, 7, 11 and
14 days after culture. VEGF mRNA expression was analyzed with TaqMan. The number
of cells in both groups increased day by day, but the rate of increase in the
young group was higher than that in the old group. VEGF mRNA expression in the
young group increased from 3 to 14 days, but in the old group increased only
slightly over the same time period. Expression ratios in the young group were
higher than those in the old group, and there were significant differences
between the young and old groups at 7 and 14 days of culture. In conclusion, the
data revealed that PDL cells varied with age, and suggest that in view of such
changes in cell proliferation and VEGF mRNA expression, age should be taken into
consideration in periodontal treatment.
PMID- 18057862
TI - Embryonic cardiac morphometry in Carnegie stages 15-23, from the Complutense
University of Madrid Institute of Embryology Human Embryo Collection.
AB - AIMS: We performed a morphometric study of cardiac development on human embryos
to complement the scarce data on human embryonic cardiac morphometry and to
attempt to establish, from these, algorithms describing cardiac growth during the
second month of gestation. METHODS: Thirty human embryos from Carnegie stages 15
23 were included in the study. Shrinkage and compression effects from fixation
and inclusion in paraffin were considered in our calculations. RESULTS: Growth of
the cardiac (whole heart) volume and volume of ventricular myocardium through the
Carnegie stages were analysed by ANOVA. Linear correlation was used to describe
the relationship between the ventricular myocardium and cardiac volumes.
Comparisons of models were carried out through the R2 statistic. The relationship
volume of ventricular myocardium versus cardiac volume is expressed by the
equation: cardiac volume = 0.6266 + 2.4778 volume of ventricular myocardium. The
relationship cardiac volume versus crown-rump length is expressed by the
equation: cardiac volume = 1.3 e(0.126 CR length), where e is the base of natural
logarithms. CONCLUSION: At a clinical level, these results can contribute towards
the establishment of a normogram for cardiac development, useful for the design
of strategies for early diagnosis of congenital heart disease. They can also help
in the study of embryogenesis, for example in the discussion of ventricular
trabeculation.
PMID- 18057863
TI - A syringe simulation of biological controls for quality assessment of prospective
lung volume measurements.
AB - BACKGROUND: At present a syringe is being used for calibration of lung function
devices, but biological controls are used to detect prospectively the variability
and reproducibility of lung volumes measured by spirometers. Laboratory personnel
is often used as biological control and therefore the cost for these measurements
is substantial and may be reduced by replacement of a syringe procedure to
increase the capacity of the laboratory to measure more patients. OBJECTIVES: To
develop a mechanical syringe procedure for identification of instrument problems.
METHODS: A commercial 3-liter precision syringe is used to simulate breathing
maneuvers (inspiratory vital capacity, functional residual capacity, residual
volume and total lung capacity) on a spirometer. Three healthy males representing
biological controls performed spirometry, maximum expiratory flow volume and
helium dilution forced residual capacity at bimonthly intervals for 3 years.
Confidence intervals and interval widths are calculated for each parameter.
Levene's test for equality of variances was used to test for significance between
standard deviations. RESULTS: The interval width of inspiratory vital capacity,
functional residual capacity, total lung capacity and residual volume of repeated
measurements obtained from the syringe was significantly narrower than those of
biological controls. In addition, almost all standard deviations from lung
volumes obtained from the syringe were smaller and significantly different from
those of the biological control. CONCLUSION: Our syringe procedure may replace
biological controls for detection of variability of lung volumes. This will
result in cost reduction and improve quality assessment of lung function devices.
PMID- 18057865
TI - Deletion of tandem repeats causes flocculation phenotype conversion from Flo1 to
NewFlo in Saccharomyces cerevisiae.
AB - A gene, FLONS, conferring NewFlo-type flocculation ability in yeast was cloned.
The 3,396-bp ORF encoded a peptide of 1,132 amino acids with high identity to
Flo1 protein. Aligned with the FLO1 gene, two repeated regions (675 and 540 bp)
were lost in the middle of FLONS, revealing that this gene was a derived form of
the FLO1 gene. The missing repeated sequence contained three highly homologous
repeat units. Although the flocculation phenotype of the transformant YTS-S with
the FLONS gene was inhibited by both mannose and glucose, it exhibited some
distinguished physiological characteristics from the reported typical NewFlo-type
flocculation during detailed investigation. The deletion of repeats was suspected
to cause conversion of the flocculation phenotype from Flo1 to NewFlo, suggesting
that intragenic tandem repeats generated functional variability in Flo1 protein.
PMID- 18057864
TI - Effects of neonatal handling on central noradrenergic and nitric oxidergic
systems and reproductive parameters in female rats.
AB - Early-life environmental events that disrupt the mother-pup relationship may
induce profound long-lasting changes on several behavioral and neuroendocrine
systems. The neonatal handling procedure, which involves repeated brief maternal
separations followed by experimental manipulations, reduces sexual behavior and
induces anovulatory estrous cycles in female rats. On the afternoon of proestrus,
neonatally handled females show a reduced surge of luteinizing hormone (LH) and
an increased content of gonadotropin-releasing hormone in the medial preoptic
area (MPOA). In order to detect the possible causes for the reduced ovulation and
sexual behavior, the present study aimed to analyze the effects of neonatal
handling on noradrenaline (NA) and nitric oxide (NO) levels in the MPOA on the
afternoon of proestrus. Neonatal handling reduced MHPG (NA metabolite) levels and
MHPG/NA ratio in the MPOA, indicating decreased NAergic activity. Additionally,
neonatal handling decreased NO levels, as measured by the metabolites (NO(x)),
nitrite and nitrate in the same period. We may conclude that the neonatal
handling procedure decreased activity of the NAergic and NOergic systems in the
MPOA during proestrus, which is involved in the control of LH and FSH secretion,
and this may possibly explain the anovulatory estrous cycles and reduced sexual
behavior of the neonatally handled female rats.
PMID- 18057866
TI - Effect of anagrelide on platelet coagulant function in patients with essential
thrombocythemia.
PMID- 18057867
TI - Reduced dose of imatinib for patients with chronic myeloid leukemia and low body
surface area.
PMID- 18057868
TI - Effects of renal replacement therapy on plasma lipoprotein(a) levels.
AB - Patients with end-stage renal disease (ESRD) have significantly higher levels of
lipoprotein(a) [Lp(a)] when compared to control populations. Elevated levels of
Lp(a) may play a role in the high incidence of cardiovascular disease in ESRD. We
conducted a prospective study to test the hypothesis that plasma levels of Lp(a)
decline rapidly after renal transplantation proportional to the improvement in
renal function, but are not affected by hemodialysis. All adults that initiated
hemodialysis or received a renal transplant from our institution during a 10
month period were invited to participate in the study. Lp(a) levels were obtained
immediately prior to the initiation of renal replacement therapy. In transplant
recipients, repeat Lp(a) measures were done at 3 days, 5 days, 1 week, 2 weeks, 3
weeks and 4 weeks post-transplant. In hemodialysis patients, repeat Lp(a)
measures were done after 3 months. We used a mixed effects model to analyze the
effect of time, race and creatinine on Lp(a) after transplant. Lp(a) levels
decreased rapidly after renal transplantation. Mean Lp(a) levels at 2 weeks were
35.3% lower than prior to transplantation. Each reduction of 50% in creatinine
was associated with a 10.6% reduction in Lp(a) (p < 0.001). In contrast, there
was no significant change in Lp(a) after initiation of hemodialysis. The rapid
decrease of Lp(a) levels after renal transplantation provides support for a
metabolic role of the kidney in Lp(a) catabolism and suggests that the increase
in Lp(a) seen in chronic kidney disease is due to loss of functioning renal
tissue.
PMID- 18057869
TI - Kidney volume associations with subclinical renal and cardiovascular disease: the
Diabetes Heart Study.
AB - BACKGROUND: The prognostic significance of total kidney volume (TKV) in subjects
with type 2 diabetes mellitus (T2DM) is unknown. METHODS: One hundred and seventy
unrelated Caucasians with T2DM underwent multidetector-row computed tomography of
the neck, chest, and abdomen to measure calcified plaque in the coronary artery
(CorCP), carotid artery (CarCP), and infrarenal aorta (AorCP). Spearman's rank
correlation coefficients were used to assess associations between TKV and
subclinical renal and cardiovascular disease. Partial correlation coefficients
were computed to adjust for the potential confounding effects of age, sex, body
mass index, glomerular filtration rate (GFR), diabetes duration, and hemoglobin
A(1c). Values are expressed as mean +/- SD (median in parentheses). RESULTS: The
study group (51% female) had a mean age of 62.9 +/- 8.5 (62.3) years, a T2DM
duration of 11.5 +/- 6.8 (10.0) years, a urinary albumin:creatinine ratio of
109.9 +/- 396 (17.6) mg/g, a GFR of 63.8 +/- 12.8 (63.2) ml/min, a TKV of 272.4
+/- 69.7 (261.9) cm(3), CorCP 2,170 +/- 3,394 (653), CarCP 374 +/- 673 (104),
AorCP 14,569 +/- 17,480 (8,370), and a carotid artery intima-media thickness of
0.70 +/- 0.14 (0.68) mm. Adjusting for age, sex, body mass index, diabetes
duration, GFR, and hemoglobin A(1c), the TKV was significantly associated with
AorCP (r = 0.20, p = 0.016), but not with CorCP, CarCP, or carotid artery intima
media thickness (all p >or= 0.25). No significant associations were detected
between TKV and blood pressure or albuminuria. CONCLUSIONS: In Caucasians with
T2DM, TKV and calcified atherosclerotic plaque in the infrarenal abdominal aorta
are positively associated. Common mechanisms linking renal matrix deposition with
aortic atherosclerosis may underlie this association and require further study.
PMID- 18057870
TI - Central auditory impairment in unilateral diencephalic and telencephalic lesions.
AB - The extent of perceptual impairment following unilateral lesions in the auditory
cortex, its thalamic or callosal afferents was studied with psychoacoustic tests.
Thresholds for the discrimination of signal frequency, intensity and duration
were acquired under three different conditions of headphone stimulation
('monaural', 'interaural', and 'dichotic signal/noise tests') using the three
alternative forced-choice procedure. The different test alternatives generated
distinct auditory percepts, which is in accordance with the assumption of
specific signal processing at the level of the auditory brainstem and at
thalamocortical auditory areas. Twenty-one patients from neurology were studied
who suffered from unilateral lesions in the auditory cortex, the auditory
thalamus, or the acoustic radiation. Location and extent of the lesions were
assessed by magnetic resonance imaging. Monaural tests of either ear revealed no
deficits in auditory performance. The patients showed impaired discrimination of
signal frequency, intensity and duration in the dichotic signal/noise tests, when
the signals were presented to the ear contralateral and the noise ipsilateral to
the lesion. With inverted signal and noise stimulation, however, the thresholds
were in the range of age-matched controls. All patients were able to master the
interaural tests, which indicates the preserved ability to lateralize sound
sources to the left and to the right with either one of the auditory cortices
left intact. Another 24 patients were studied who had lesions mostly close to but
sparing the before-mentioned auditory structures. All of them showed unimpaired
performance in all test alternatives. The results indicate the specificity of the
dichotic signal/noise tests for the identification of unilateral lesions in
thalamocortical auditory structures. In addition, the results also point to the
capacity of each telencephalic hemisphere to process the full range of auditory
lateralization from left to right.
PMID- 18057871
TI - Cochlear implantation in 3 patients with osteogenesis imperfecta: imaging,
surgery and programming issues.
AB - Osteogenesis imperfecta (OI) is a heterogeneous disease of the connective tissue
caused by a defective gene that is responsible for the production of collagen
type I, leading to defective bone matrix and connective tissue. Hearing loss
affects 35-60% of the patients and will progress to deafness in 2-11% of OI
patients for whom cochlear implantation may become the only remaining treatment
option. Three patients with OI were retrieved from the Nijmegen Cochlear Implant
Centre's database. Most of the specific observations in ear surgery on patients
with OI, such as brittle scutum, sclerotic thickening of the cochlea,
hyperplastic mucosa in the middle ear and persistent bleeding, were encountered
in these 3 patients. In case 3, with severe deformities on the CT scan,
misplacement of the electrode array into the horizontal semicircular canal
occurred. In all 3 cases, programming was hindered by nonauditory stimulation.
Even after reimplantation, nonauditory sensations lead to case 3 becoming a
nonuser. Averaged electrode voltages in case 3 were deviant in accordance with an
abnormally conductive otic capsule. Spatial spread of neural excitation responses
in cases 1 and 2 suggested intracochlear channel interaction for several
electrodes, often in combination with facial nerve stimulation (FNS). In case 1,
the estimated pitch of the electrodes that caused FNS varied consistently.
Despite the electrophysiological changes, after 1-year follow-up, open set
phoneme scores of 81% and 78% were reached in cases 1 and 2, respectively. When
aware and prepared for the specific changes of the temporal bone in OI, cochlear
implantation can be a safe and feasible procedure. Preoperative imaging is
recommended to be fully informed on the morphology of the petrosal bone. In case
of severe deformities on the CT scan, during counseling the possibility of
misplacement should be mentioned. Rehabilitation is often hindered by FNS
requiring frequent refitting.
PMID- 18057872
TI - Effects of round window dexamethasone on residual hearing in a Guinea pig model
of cochlear implantation.
AB - To study electric acoustic stimulation, we have developed a model of guinea pig
cochlear implantation via a cochleostomy. Thirty minutes prior to implantation, a
hyaluronic acid/carboxymethylcellulose bead, loaded with either dexamethasone or
normal saline, was placed upon the round window membrane. Animals that did not
receive beads acted as controls. Pure-tone auditory brainstem response thresholds
were estimated before and after electrode insertion, and 1 and 4 weeks later.
Selected cochlear histology was performed. RESULTS: Dexamethasone could be
detected in the cochlea for 24 h after cochlear implantation. Thresholds were
elevated across frequencies in all animals immediately after surgery. These
thresholds recovered completely at and below 2 kHz, and partially at higher
frequencies by 1 week after implantation. At 32 kHz, but not the lower
frequencies, the presence of dexamethasone had a significant protective effect
upon hearing, which increased in magnitude over time. The protection was greatest
in difficult implantations where an intractable resistance to electrode insertion
was met. There was a persistent foreign body reaction at the site of implantation
of saline-treated implanted ears but not in the dexamethasone-treated implanted
ears. CONCLUSION: Short-term preoperative delivery of dexamethasone through the
round window can protect residual hearing during cochlear implantation,
especially during technically difficult surgery.
PMID- 18057873
TI - Augmented ototoxic effect of cisplatin in heterozygotes of the German waltzing
guinea pig.
AB - It has previously been demonstrated that the carriers of the German waltzing
guinea pig are less susceptible to noise trauma. To explore whether this
represents a general resistance to inner ear trauma, carriers of the German
waltzing guinea pig were exposed to the ototoxic agent cisplatin. Two doses of
cisplatin were injected intravenously into anesthetized carriers and weight
matched control animals. Prior to and 96 h after the injections hearing
thresholds were established by recording the auditory brainstem responses at 3.5,
7, 14, and 28 kHz. The cochleae were harvested to estimate hair cell loss and to
analyze total platinum content. The carriers of the German waltzing guinea pig
strain suffered from a more pronounced cisplatin-induced hearing loss compared to
the control animals. The results suggest that mechanisms responsible for the
protection against acoustic stress do not provide any protection against
cisplatin in carriers of the German waltzing guinea pig.
PMID- 18057874
TI - The benefits of combining acoustic and electric stimulation for the recognition
of speech, voice and melodies.
AB - Fifteen patients fit with a cochlear implant in one ear and a hearing aid in the
other ear were presented with tests of speech and melody recognition and voice
discrimination under conditions of electric (E) stimulation, acoustic (A)
stimulation and combined electric and acoustic stimulation (EAS). When acoustic
information was added to electrically stimulated information performance
increased by 17-23 percentage points on tests of word and sentence recognition in
quiet and sentence recognition in noise. On average, the EAS patients achieved
higher scores on CNC words than patients fit with a unilateral cochlear implant.
While the best EAS patients did not outperform the best patients fit with a
unilateral cochlear implant, proportionally more EAS patients achieved very high
scores on tests of speech recognition than unilateral cochlear implant patients.
PMID- 18057875
TI - Neuro-otological findings in patients with migraine- and nonmigraine-related
dizziness.
AB - This study presents the neuro-otological findings of 523 patients attending a
tertiary vestibular clinic with migraine- and nonmigraine-related dizziness.
Subjects were categorized into one of 4 groups, definite migrainous vertigo,
probable migrainous vertigo, vestibular disorder coexisting with migraine and
nonmigraine-related dizziness. No notable relationship was found between the
numbers of abnormal findings between the groups for the majority of the neuro
otological tests. However, there was a significant trend in emetic response to
caloric testing. The definite migrainous vertigo group were at least 4 times more
likely to be nauseous to caloric testing than any other migraine category. This
difference was independent of the magnitude of caloric responses between the
emetic migraine groups. While further investigation is required, this study has
potentially identified that nauseous/emetic response to caloric stimulation may
be a distinguishing factor between migrainous vertigo and other vestibular
disorders including those with a coexisting history of migraine.
PMID- 18057876
TI - Regional citrate anticoagulation for single-needle hemodialysis: a prospective
clinical study.
AB - AIM: Regional citrate anticoagulation protocol for single-needle hemodialysis was
tested prospectively for safety and efficacy. METHODS: 15 chronic dialysis
patients at risk of bleeding were included. 4% trisodium citrate (200 ml/h),
calcium-free dialysate and 1 mol/l calcium chloride (7 ml/h) were used. After
dialysis the antithrombotic effect in the circuit was assessed visually (grade 5,
no clotting, to 1, total occlusion) and serum citrate was measured. RESULTS: Of
32 dialyses performed, 94% were uneventful and in 2 cases (6%) there was severe
(ionized calcium < or = 0.8 mmol/l) but asymptomatic hypocalcemia. Mean
anticoagulation score after dialysis was 4.8 +/- 0.7 for the arterial bubble
trap, 4.6 +/- 0.8 for the dialyzer and 4.8 +/- 0.7 for the venous bubble trap.
Serum citrate after dialysis was 158 +/- 60 micromol/l. CONCLUSION: Regional
citrate anticoagulation in single-needle dialysis is safe and efficient.
PMID- 18057877
TI - Association of human platelet alloantigen 1 through 5 polymorphisms with ischemic
stroke.
AB - Polymorphisms in human platelet alloantigen (HPA)-1 and HPA-3 (GPIIb/IIIa), HPA-2
(GPIb/IX), HPA-4 (GPIIIa) and HPA-5 (GPIa/IIa) were investigated in 216 stroke
patients and 318 matched control subjects. HPA genotyping was done by the
polymerase chain reaction method using sequence-specific primers. Higher
frequencies of the HPA-1 a/b (p < 0.001) and HPA-5 a/b (p < 0.001) allele,
together with HPA-1 b/b, HPA-5 a/b and HPA-5 b/b genotypes were seen in patients,
which was confirmed by regression analysis after controlling for a number of
confounding variables. Furthermore, HPA-1 b/b and HPA-5 b/b were significantly
associated with the extent of neurological symptoms, and with the recurrence of
stroke. Both susceptible (1a/ b -2a/a-3a/ b -4a/a-5a/ b ) and protective (1a/a
2a/a-3a/a-4a/a-5a/a; 1a/a-2a/a-3a/ b -4a/a-5a/a; 1a/ b -2a/a-3a/a-4a/a-5a/a; 1a/
b -2a/a-3a/ b -4a/a-5a/a) HPA genotypes were identified. This is the first
evidence demonstrating differential association of the common 5 HPA gene variants
with stroke, with HPA-1b and HPA-5b representing strong genetic risk factors.
PMID- 18057878
TI - Risk of fractures after stroke.
AB - BACKGROUND: The aim of this study was to determine the risk of fractures after
stroke/transient ischemic attack (TIA) in relatively young patients. METHODS:
Administrative claims data were identified for patients aged 18 years and older
hospitalized for stroke/TIA from 1997 to 2005 using ICD-9 codes. Fractures after
stroke/TIA were identified for the same time period. RESULTS: The median age was
56 years. Females represented 47%. There were 411 ischemic strokes, 195 TIAs and
36 intracerebral hemorrhages, as well as 46 fractures in 41 individuals. The risk
of fracture after stroke/TIA was 1.2% at 30 days and 3.1% at 1 year. There was no
significant difference in survival free from fracture between ischemic stroke and
TIA cases (p = 0.8489). CONCLUSIONS: Patients with stroke/TIA, including men and
younger patients, appear to be at risk for bone fractures.
PMID- 18057879
TI - The cortical involvement of territorial infarcts as a risk factor for stroke
related seizures.
AB - BACKGROUND: The cortical involvement of territorial infarcts is considered to be
a significant risk factor for the development of early- as well as late-onset
seizures. However, it is not known which cortical regions are more susceptible to
the development of stroke-related seizures. PATIENTS AND METHODS: In this
retrospective study of 687 patients with territorial infarcts, 184 with stroke
related seizures were compared to 503 without seizures. The extent and the
location of the infarcts were determined by computed tomography (CT) scans and/or
magnetic resonance imaging (MRI) scans of the brain. The infarcts of the seizure
and the non-seizure group were compared on digital cerebral vascular maps by
superimposing the CT and/or MRI slices. RESULTS: In patients with late-onset
seizures, the infarcts were significantly more frequent in the temporal and
parietal branches of the middle cerebral artery in comparison to the non-seizure
group. In patients with early-onset seizures and in those with seizures due to a
recurrent stroke, the territory of the temporal and occipital branches of the
middle cerebral artery was the predilection side of the infarcts. Generalized
tonic-clonic seizures occurred mainly in cases of infarction in the deep
territory of the middle cerebral and of the anterior choroidal artery. Status
epilepticus was significantly correlated with infarcts in the posterior temporal
region. CONCLUSION: Some infarct regions are the sides of predilection for stroke
related seizures according to their type and their onset-time.
PMID- 18057880
TI - The effect of anticonvulsant drugs on the fibrinolytic activity of tissue
plasminogen activator.
AB - BACKGROUND AND PURPOSE: Several anticonvulsant drugs have been found to be
neuroprotective in preclinical models of stroke, and such drugs may possibly be
given in combination with other stroke treatments such as recombinant tissue
plasminogen activator (rt-PA). The goal of this study was to test for potential
interactions between rt-PA and selected anticonvulsants. METHODS: A
spectrophotomeric assay was used to monitor the lysis of fibrin clots in the
presence of rt-PA and the drugs levetiracetam, valproic acid, phenytoin and
phenobarbital. RESULTS: The drugs tested were found to have no effect on either
the rate or total amount of lysis induced by rt-PA. CONCLUSIONS: Although further
studies are required in order to explore the effects of these drugs in stroke
patients, the results suggest that co-administration of rt-PA and anticonvulsant
drugs may be safe and viable.
PMID- 18057881
TI - The additive effects of the active component of grapefruit juice (naringenin) and
antiarrhythmic drugs on HERG inhibition.
AB - BACKGROUND: Grapefruit juice causes significant QT prolongation in healthy
volunteers and naringenin has been identified as the most potent human ether-a-go
go-related gene (HERG) channel blocker among several dietary flavonoids. The
interaction between naringenin and I(Kr)-blocking antiarrhythmic drugs has not
been studied. We evaluated the effect of combining naringenin with I(Kr)
inhibiting antiarrhythmic drugs on cardiac I(Kr). METHODS AND RESULTS: I(Kr)
current was studied by using HERG expressed in Xenopus oocytes, and the two
electrode voltage clamp technique was employed. Antiarrhythmic drugs (azimilide,
amiodarone, dofetilide and quinidine) were tested. Experiments were performed at
room temperature. Naringenin blocked HERG current dose dependently with an IC(50)
of 173.3 +/- 3.1 microM. Naringenin 100 microM alone inhibited HERG current by 31
+/- 6%, and this inhibitory effect was increased with coadministration of 1 or 10
microM antiarrhythmic drugs. When 100 microM naringenin was added to
antiarrhythmic drugs, greater HERG inhibition was demonstrated, compared to the
current inhibition caused by antiarrhythmic drugs alone. Addition of naringenin
significantly increased current inhibition (p < 0.05). CONCLUSIONS: There is an
additive inhibitory effect on HERG current when naringenin is combined with I(Kr)
blocking antiarrhythmic drugs. This additive HERG inhibition could pose an
increased risk of arrhythmias by increasing repolarization delay and possible
repolarization heterogeneity.
PMID- 18057882
TI - Myocardial contractile response to increasing doses of dobutamine in patients
with reperfused acute myocardial infarction by cardiac magnetic resonance
imaging.
AB - BACKGROUND: Cardiac magnetic resonance imaging uses contractile response to
dobutamine (DCMR) and delayed contrast enhancement (DE) to assess myocardial
viability. However, early after acute myocardial infarction (AMI) the optimal
dose of dobutamine is unclear. METHODS: In patients early after reperfused AMI,
DCMR at 5, 10 and 20 microg*kg(-1)*min(-1) and measurement of DE was performed.
On three short-axis slices 18 segments were graded as no DE, DE <50% and DE
>or=50%. Thickening (systolic-diastolic wall thickness) and contractile reserve
(max. thickening - rest) were determined. Segments were classified dysfunctional
if thickening was >2 SD below normal or <2 mm. RESULTS: Forty-nine patients
participated. In segments with no DE, thickening increased continuously but
contractile reserve was low (0.9 +/- 3.2 mm) and dysfunctional segments were
unchanged (rest: 13.1% vs. 20 microg: 14.8%). In segments with DE, contractile
reserve was high (1.4 +/- 3.0 mm and 1.5 +/- 3.0 mm) and dysfunctional segments
decreased from rest to 20 microg (50 vs. 24.8% and 79.9 vs. 43.2%). Between 5 and
10 microg no change of thickening and of dysfunctional segments occurred.
CONCLUSION: Early after AMI, DCMR demonstrated no diagnostic benefit in segments
with no DE. In segments with DE, higher dose of dobutamine can provide additional
information on contractile reserve and dysfunctional segments.
PMID- 18057883
TI - The effects of external counter pulsation therapy on circulating endothelial
progenitor cells in patients with angina pectoris.
AB - OBJECTIVES: External counter pulsation therapy (ECPT) offers symptomatic relief
and improves ischemia in patients with refractory angina pectoris. We aimed to
determine the effects of ECPT on circulating endothelial progenitor cells (EPCs).
METHODS: We prospectively studied 25 patients with angina pectoris treated with
ECPT (n = 15) or receiving standard care (n = 10). The number of EPCs positive
for CD34 and kinase insert domain receptor (KDR) was determined by flow cytometry
and the number of colony-forming units (CFUs) was assessed in a 7-day culture,
before ECPT and after 9 weeks. RESULTS: ECPT improved anginal score from a median
of 3.0 to 2.0 (p < 0.001). Concomitantly, ECPT increased EPC number from a median
of 10.2 to 17.8/10(5) mononuclear cells (p < 0.05), and CFUs from 3.5 to 11.0 (p
= 0.01). Flow-mediated dilatation was improved by ECPT from 7.4 to 12.2% (p <
0.001) and correlated with EPC-CFUs (r = 0.461, p = 0.027). The levels of
asymmetric dimethylarginine were reduced by ECPT from 0.70 to 0.60 micromol/l (p
< 0.01). In contrast, the same parameters did not change in the control group,
before and after follow-up. CONCLUSIONS: The present pilot study shows, for the
first time, that ECPT is associated with increased number and colony-forming
capacity of circulating EPCs.
PMID- 18057884
TI - Simvastatin inhibits C-reactive protein-induced pro-inflammatory changes in
endothelial cells by decreasing mevalonate pathway products.
AB - OBJECTIVES: The effects of HMG-CoA reductase inhibitors on C-reactive protein
(CRP)-induced pro-inflammatory changes in endothelial cells remain unclear. We
tested the hypothesis that simvastatin inhibited CRP-induced pro-inflammatory
changes in endothelial cells by decreasing mevalonate pathway products. METHODS:
Human umbilical vein endothelial cells were incubated with CRP and measurement of
CD32, nuclear factor kappaB (NF-kappaB) activation, vascular cell adhesion
molecule-1 expression and monocyte adhesion assay were performed. The effects of
simvastatin, siRNA against CD32 (siCD32) and mevalonate pathway products were
also examined. RESULTS: Pre-treatment with simvastatin significantly attenuated
the CRP-induced CD32 expression and NF-kappaB activation in human umbilical vein
endothelial cells. Simvastatin also decreased CRP-induced vascular cell adhesion
molecule-1 expression and reduced monocyte adhesion on endothelial cells. The
inhibitory effects of simvastatin were significantly reversed by adding
mevalonate and geranylgeranyl pyrophosphate (GGPP), but not by adding farnesyl
pyrophosphate. Pre-treatment with siCD32 also decreased CRP-induced CD32
expression and inhibitor of kappaB degradation. However, neither mevalonate nor
GGPP reversed the effects of siCD32. CONCLUSIONS: CRP-induced CD32 expression and
NF-kappaB activation were attenuated by simvastatin. A decrease in mevalonate and
subsequent GGPP contributes to the inhibitory effects of simvastatin. These
findings may provide an explanation of using statins on patients with high serum
CRP levels.
PMID- 18057885
TI - Cells of primarily extravascular origin in neointima formation following stent
implantation: coordinated expression of endothelial progenitor, dendritic and
neural crest-derived cells.
AB - OBJECTIVES: In-stent restenosis due to neointima formation is a major limitation
following stent implantation. Recently, several studies reported mobilization of
primarily extravascular cells to the arterial sites after balloon angioplasty.
Therefore, the goal of the present study was to assess the coordinated neointimal
expression of endothelial progenitor, dendritic and neural crest-derived cells
after stent implantation. METHODS: Male minipigs underwent stent implantation in
abdominal aortic segments. Animals were sacrificed at 1, 7, 14, 30, 60 or 90
days. Cross sections of the injured vessels were obtained for
immunohistochemistry using specific antibodies for the detection of endothelial
progenitor (CD133), dendritic (S100) and neural crest-derived cells (GFAP), as
well as monocytes/macrophages (CD14) and T lymphocytes (CD3). RESULTS: As a key
finding, frequency of CD133, S100, GFAP, CD14 and CD3 (18.5 +/- 3.6, 14.9 +/-
1.8, 10.6 +/- 1.1, 40.2 +/- 8.3 and 5.0 +/- 0.6%, respectively) in neointima was
maximal at day 7. With ongoing neointima enlargement, expression of these cells
decreased. In advanced neointima, labeled cells were predominantly localized at
luminal and stented sites. Media showed almost no immunoreactivity of the markers
studied, whereas adventitial zones of neovascularization revealed some signals.
CONCLUSIONS: Endothelial progenitor, dendritic, neural crest-derived and
inflammatory cells are consistently recruited into arterial neointima, mostly at
early time points after stent implantation.
PMID- 18057886
TI - Ivabradine as an alternative therapeutic trial in the therapy of inappropriate
sinus tachycardia: a case report.
AB - Inappropriate sinus tachycardia is a disease which is relatively rarely found and
sometimes difficult to treat. Up to now it has been mostly treated with a beta
blocker or verapamil. If this did not work sinus node modulation was considered.
Since the relatively new selective IF-stream blocker ivabradine has been approved
for the therapy of chronic stable angina pectoris, a new therapeutic option is
available. As ivabradine is well tolerated and only few side effects are known,
it may become a new therapeutic step between medication and the invasive sinus
node modulation. We report the case of a young female patient with inappropriate
sinus tachycardia where a sustained therapeutic success was achieved with
ivabradine medication as an alternative therapeutic trial after various
ineffective medications.
PMID- 18057887
TI - Extracellular acidification and hyperkalemia induce changes in HERG inhibition by
ibutilide.
AB - BACKGROUND: A high incidence of proarrhythmia has been reported with ibutilide,
especially in patients with underlying heart diseases. Our previous studies have
shown that extracellular acidosis and hyperkalemia attenuate the HERG-inhibitory
effect of proarrhythmic drugs, e.g. quinidine, but have little impact on the less
proarrhythmic drug amiodarone. We hypothesized that ibutilide would behave like
quinidine in the presence of extracellular acidosis and hyperkalemia. METHODS AND
RESULTS: HERG was expressed on Xenopus oocytes, and the two-electrode voltage
clamp technique was employed. Our results showed that ibutilide was a potent HERG
inhibitor. When extracellular solution contained 5 mM KCl and pH was 7.4, the
IC(50) of ibutilide was 0.9 +/- 0.1 microM. The inhibitory effect of ibutilide
was attenuated when extracellular pH decreased to 6.2. There was a significant
difference in current inhibition by ibutilide at pH 7.4 versus pH 6.2 (p < 0.01).
When the extracellular potassium concentration was increased from 5 to 10 mM,
ibutilide produced less current inhibition, and the IC(50) was increased to 2.0
+/- 0.1 microM. CONCLUSION: Extracellular acidosis and hyperkalemia attenuate the
HERG-inhibitory effect of ibutilide. The differences in HERG inhibition between
acidic and hyperkalemic regions compared to normal regions in the myocardium may
result in heterogeneity in repolarization, which may contribute to the
proarrhythmic toxicity of ibutilide.
PMID- 18057888
TI - Interventricular mechanical dyssynchrony determines abnormal heightening of
plasma N-terminal probrain natriuretic peptide level in symptomatic
bradyarrhythmia patients with chronic dual-chamber vs. single-chamber atrial
pacing.
AB - OBJECTIVE: Debates about adverse effects of ventricular- vs. atrial-based pacing
have never ended, especially regarding cardiovascular outcomes in common
pacemaker populations. METHODS: To investigate the contribution of right
ventricular apical pacing to the left ventricular negative remodeling, we
measured the inter- and intraventricular mechanical dyssynchrony by
echocardiography as well as plasma N-terminal pro-brain natriuretic peptide (NT
proBNP) level in 116 consecutive patients of symptomatic bradyarrhythmias
including sinus node dysfunction (SND) in 80 and atrioventricular block in 36.
Dual-chamber rate-modulated pacing (DDDR) pacemakers were implanted in 76
patients (SND, 40), and single-chamber ventricular rate-modulated pacing (AAIR)
pacemakers in 40 (all SND). Clinical manifestations were retrospectively
correlated. RESULTS: After 3.5 years of pacing, DDDR pacemaker patients
demonstrated higher plasma NT-proBNP concentration (503 +/- 111 pg/ml) than AAIR
patients (194 +/- 42 pg/ml, p = 0.002) despite similar cardiovascular function at
baseline. Multivariate regression analysis revealed that the only predictor of
the highest quartile of plasma NT-proBNP, i.e. >or=386 pg/ml, was the
interventricular contraction time difference (p = 0.01). Reprogramming to
minimize ventricular pacing percentage in 8 patients of SND caused parallel
reduction of plasma NT-proBNP. CONCLUSION: Interventricular mechanical
dyssynchrony, imposed mostly by right ventricular apical pacing, could lead to
abnormal heightening of plasma NT-proBNP concentration after chronic DDDR pacing
in common pacemaker patients with normal baseline left ventricular function.
PMID- 18057889
TI - Functional recovery of chronic ischemic myocardium after surgical
revascularization correlates with magnitude of oxidative metabolism.
AB - BACKGROUND: The purpose of this study was to validate myocardial microdialysis
measurements in patients after myocardial infarction with or without associated
postoperative functional recovery in order to develop a highly sensitive tool for
real-time in vivo detection of microcellular disorder during cardiac operations.
METHODS: In 20 patients undergoing coronary artery bypass grafting, microdialysis
catheters were implanted into scar or hibernating segments detected by means of
magnetic resonance imaging, and into a vital area of the right ventricle
(control). Myocardial glucose, lactate and pyruvate were analyzed
perioperatively. Myocardial ethanol washout was measured as a sign of recovered
local blood flow. RESULTS: After surgical revascularization, improvement of wall
motion was found in all hibernating segments compared to the scar segments
paralleling an increased glucose delivery to the tissue and increased myocardial
tissue flow. The myocardial glucose/lactate ratio and pyruvate also showed
significantly higher values. Microdialytic measurements of the viable segments
were comparable with those of the right ventricle. CONCLUSIONS: Our results
indicate that microdialysis measurements parallel magnetic resonance imaging
findings in patients with revascularization of chronic ischemic myocardium with
dyskinetic segments. The metabolism of those segments is characterized by a
significantly increased tissue flow, an increased utilization of glucose and a
better oxidative nutrition.
PMID- 18057890
TI - Impaired exercise capacity in diabetic patients after coronary bypass surgery:
effects of diastolic and endothelial function.
AB - OBJECTIVES: The aims of this study were to clarify the influence of cardiac
diastolic and peripheral vascular function on the exercise capacity of patients
with coronary bypass surgery (CABG) and diabetes mellitus (DM) by tissue Doppler
imaging (TDI) and flow-mediated vasodilatation (FMD), and to investigate
interrelations between exercise capacity and LV diastolic function, endothelial
function and biochemical parameters. METHODS: We analyzed the exercise capacity,
TDI at the mitral annulus and FMD in 51 uncomplicated first-time CABG survivors
(23 DM) at an average interval of 21.6 +/- 12.2 months after surgery. RESULTS:
Diabetics had lower E', A', VO(2)peak, (a-v)O(2) difference, and higher E/E'
ratios (p < 0.05) than non-DM patients, but not FMD (p = 0.17). The A and E/E'
ratios correlated negatively with VO(2)peak after age adjustment (r = -0.336, p =
0.024). In addition, HbA(1c), and triglyceride also correlated negatively with
VO(2)peak (r = -0.377, -0.307, respectively, p < 0.05). CONCLUSIONS: Diabetics
after CABG had more advanced diastolic dysfunction and oxygen extraction
impairment than non-DM. It suggests these factors could contribute to lower
exercise capacity, risk of developing heart failure despite preserved systolic
function and poorer long-term survival of diabetic patients after CABG.
PMID- 18057891
TI - Homocysteine, cystatin C and N-terminal-pro brain natriuretic Peptide. Vascular
risk markers in elderly patients with mental illness.
AB - BACKGROUND: There is increasing evidence that vascular disease contributes to
cognitive impairment and dementia. Clarification of the role of vascular risk
factors in dementia is important because most are modifiable, in contrast to
other risk factors such as age and genetics. METHODS: In 451 patients with mental
illness we have investigated three biochemical markers related to vascular
disease, total plasma homocysteine (tHcy), cystatin C, and N-terminal-pro brain
natriuretic peptide (NT-proBNP), and their association with vascular disease,
diagnoses, and brain imaging findings (CT). RESULTS: Plasma tHcy, serum cystatin
C, and serum NT-proBNP showed significantly increased frequencies of elevated
levels in patients with vascular disease, in patients with a pathological CT
finding indicating cerebrovascular disease, and in patients above 75 years of
age. CONCLUSION: It is possible that the control of conventional vascular risk
factors and therapy could be guided by the level of plasma tHcy, serum cystatin
C, and serum NT-proBNP. Patients with an elevation of any of these three
parameters could be selected for a lower target level of risk factors such as
blood pressure, hyperlipidemia etc. than conventional target levels.
PMID- 18057892
TI - Management of complicated choledochal cysts.
AB - OBJECTIVES: The presentation of choledochal cysts (CDCs) is altered by
complications such as acute severe cholangitis, hepatolithiasis, spontaneous
perforation, portal hypertension, pancreatitis, malignancy of the biliary tract
and previous surgery in the form of internal drainage of the cyst. The management
and the outcome of such complicated cysts differ from that of an uncomplicated
CDC. This presentation is an analysis of our experience with complicated CDCs.
PATIENTS AND METHODS: One hundred and forty-four patients with CDCs were managed
at a tertiary level referral hospital in North India, between January 1989 and
June 2004. Thirty-three (23%) CDCs were associated with complicating factors:
severe cholangitis requiring a biliary drainage procedure prior to definitive
operative procedure (n = 11), spontaneous perforation (n = 3), hepatolithiasis (n
= 6), acute cholecystitis (n = 1), recurrent acute pancreatitis (n = 1), chronic
pancreatitis (n = 1), gastric outlet obstruction (n = 1), portal hypertension (n
= 6), biliary tract malignancy (n = 4) and previous internal drainage of the cyst
(n = 5). Five patients had more than one complication. The management outcome of
complicated CDCs was compared with that of uncomplicated CDCs. RESULTS:
Complicated CDCs were significantly more common with type IV-A anatomy.
Endoscopic, percutaneous or external surgical biliary drainage procedure was
performed in 14 complicated CDCs prior to cyst excision. Desired definitive
surgical procedure could be performed in 26/33 (79%) patients with complicated
CDCs as compared to 107/111 (96%) patients with uncomplicated CDCs. Four (12%)
patients with complicated CDCs had early postoperative complications as compared
to 9/111 (8%) patients with uncomplicated CDCs. During a median follow-up of 13
months (2 months to 8 years), 2 patients with complicated CDCs died due to
advanced secondary biliary cirrhosis and advanced gall bladder cancer,
respectively. Six patients reported complications. Of the 6 patients, 3 required
reoperation for a strictured hepaticojejunostomy (n = 2) and hepatolithiasis (n =
1). In contrast, there was no disease-related mortality and only 1 out of 111
patients with uncomplicated cysts had a complication during follow-up.
CONCLUSION: Complicated CDCs merit a carefully planned management strategy
including percutaneous, endoscopic and surgical procedures. The management may
have to be staged. The outcome depends on the nature of complication and the
management strategy adopted.
PMID- 18057893
TI - Strategy for the surgical management of insulinomas: analysis of 52 cases.
AB - AIM: The goal of this retrospective study was to analyze the strategy for the
surgical management of insulinomas. METHODS: From May 2000 to October 2006, the
medical records of 52 patients with insulinomas were retrospectively studied.
RESULTS: All tumors were localized precisely by imaging techniques combined with
intraoperative palpation. Forty-eight patients with benign lesions underwent
surgical treatment: 41 patients open and 7 patients laparoscopic procedures. Four
patients with malignant insulinomas underwent tumor resection; 3 of them
underwent metastatic lesion and/or lymph node dissection. There were no
discrepancies regarding operation time, blood loss, and complication rate between
open enucleation and laparoscopic surgery. The mean hospital stay was 11.8 +/-
3.4 days after laparoscopic surgery, shorter than the 17.0 +/- 6.0 days after the
open approach. Twenty-two complications occurred in 17 patients (32%) following
resection. On follow-up, 86% of the patients were free from symptoms, and
surgical cure was achieved in 95% of the patients with benign insulinomas.
CONCLUSIONS: The choice of the surgical strategy for the treatment of pancreatic
insulinomas depends on size and location of the tumor and the risk of malignancy.
The optimal surgical procedure is key to prevent postoperative complications. The
laparoscopic approach is safe and feasible for patients with benign tumors
located in body or tail of the pancreas.
PMID- 18057894
TI - Presentation, management and outcome of acute sigmoid diverticulitis requiring
hospitalization.
AB - AIM: This study was conducted to assess the presentation, management, and
outcomes of patients with acute sigmoid diverticulitis requiring hospitalization.
METHODS: From 1986 to 2005, the medical records of 265 patients treated for acute
sigmoid diverticulitis requiring hospitalization were retrospectively analyzed.
Data were collected with regard to patient's demographics, clinical
characteristics, presentations of acute diverticulitis, treatment, morbidity, and
mortality. RESULTS: Only 47 patients (17.7%) had a previous diverticulitis
episode. Of the 265 patients, 166 (62.6%) were managed without operation, and 99
(37.4%) underwent surgery. Overall and major morbidity in the whole series were
30.2 (80/265) and 15.5% (40/265), respectively; whereas among the patients with
surgical management, were 72.7 (72/99), and 35.3% (35/99), respectively. Overall
and postoperative mortality rates were 2.6 (7/265) and 6.1% (6/99), respectively.
Older age, steroid use, perforation, and co-morbidities were significantly
associated with unfavorable outcomes. CONCLUSIONS: It was concluded that surgery
for acute sigmoid diverticulitis requiring hospitalization carries important
morbidity and mortality. To achieve improvements in outcome, a selective
therapeutic approach should be considered, choosing the best surgical procedure
for each complication of diverticular disease.
PMID- 18057895
TI - Retrieval of an ingested toothbrush in a 16-year-old girl.
PMID- 18057896
TI - Observations on concussion. A review.
AB - The word 'concussion' has several meanings and applications that are
controversial. This paper attempts to trace the historical origins and evolution
of the descriptive classifications of concussive head injuries. It is suggested
that head injuries should be described on the available evidence of the severity
and duration of altered consciousness, traumatic amnesia and, when present, the
variably associated neurological, physiological and imaging signs. The word
concussion reflects an overenthusiastic trend in medical labelling. Since our
understanding of types of brain injury and their symptoms and sequelae has
advanced, the term should be abandoned.
PMID- 18057897
TI - Incidence of status epilepticus in southern Europe: a population study in the
health district of Ferrara, Italy.
AB - BACKGROUND: The epidemiologic features of status epilepticus (SE) are still in
the course of definition. METHODS: We carried out an intensive survey of multiple
sources of case material in the resident population of the health district of
Ferrara, Italy, in 2003. Information was collected on age, gender, duration,
seizure type and etiology of SE. RESULTS: The age- adjusted annual incidence rate
of SE was 27.2/100,000 (95% CI = 19.4-36.9) and it was higher in men
(41.7/100,000, 95% CI = 26.9-61.7) than in women (12.3/100,000, 95% CI = 6.9
20.4). The incidence was higher in the elderly (older than 60 years,
39.2/100,000) than in younger adults in the age group 20-59 years (14.7/100,000).
The age-specific incidence showed a bimodal distribution peaking in the youngest
(0-4 years) and in the oldest age group (75+ years). Cerebrovascular disease was
the most frequent etiologic factor (45%). Epilepsy had previously been diagnosed
in 40% of the patients. The case fatality was 5%. CONCLUSIONS: The study found a
higher incidence of SE than that expected on the basis of the previous European
studies suggesting that the risk of SE in southern Europe is higher and more
similar to that estimated in population studies in the United States. The case
fatality was lower than that reported in previous South-European population
studies despite the similar clinical features of the patients. Indirect evidence
suggests that several factors related to the SE management could have positively
influenced the outcome.
PMID- 18057898
TI - Complete versus partial lateral sinus thrombosis: a pathophysiological
hypothesis.
AB - BACKGROUND/AIM: The lateral sinus (LS) is composed of the transverse sinus (TS)
and sigmoid sinus (SiS). METHODS: We studied the clinical pattern of complete or
isolated LS thrombosis in 22 consecutive patients. RESULTS: Isolated LS
thrombosis patients had a more severe clinical presentation, and more frequent
intracranial hemorrhages and seizures. CONCLUSION: These data suggest that
partial involvement of the LS in the thrombotic process may cause more severe
clinical symptoms.
PMID- 18057899
TI - Long-term adherence to interferon beta therapy in relapsing-remitting multiple
sclerosis.
AB - BACKGROUND/AIMS: To assess the proportion and the reasons of drop-outs in
relapsing-remitting multiple sclerosis patients treated with interferon-beta
(IFNB) and the outcome of switching subjects. METHODS: Patients stopping IFNB
were classified according to the reason of drop-out: perceived lack of efficacy
(PLE) side effects (SE) and other reasons. Long-term adherence was described
using the Kaplan-Meier curves. RESULTS: We evaluated 225 subjects (158 women; age
= 36.6 +/- 9.2 years, disease duration = 8.0 +/- 6.1 years, Expanded Disability
Status Scale score = 1.9 +/- 1.2) who received Betaferon (46), Avonex (88) and
Rebif (91) therapy. The mean follow-up duration was 4.2 +/- 2.7 years. Forty-six
percent of patients suspended therapy, 29% because of PLE, 15% because of SE and
the remaining 2% due to other reasons. Twenty-five out of 33 subjects who
suspended IFNB because of SE and 62 out of 65 patients who suspended the therapy
due to PLE were switched to another disease-modifying drug. At the end of the
follow-up, the majority of these patients could continue the treatment.
CONCLUSIONS: When starting IFNB therapy in relapsing-remitting multiple
sclerosis, a relatively high proportion of discontinuation is to be expected over
time. Switching from a treatment to another taking into account the reasons of
drop-out and the disease activity is a suitable option.
PMID- 18057900
TI - Prevalence and severity of multiple-sclerosis-associated fatigue in treated and
untreated patients.
AB - Fatigue is one of the most frequent and most disabling symptoms in multiple
sclerosis (MS). We investigated the possible association of the MS-related
fatigue syndrome with the available disease-modifying therapies and the main
disease characteristics in a cross-sectional study on 320 consecutive patients.
The prevalence of severe fatigue (Fatigue Severity Scale score > or =5) was 50%.
In a multivariate regression model controlling for age, disease subtype, duration
and disability we did not find a significant association between the use of
immunosuppressive or immunomodulatory drugs compared to no treatment (OR = 1.34,
p = 0.38 for immunosuppressants; OR = 0.95, p = 0.85 for immune-modulating
agents). Although all used disease-modifying agents successfully reduce disease
activity and inflammation, they do not appear to exhibit a significant effect on
MS-related fatigue.
PMID- 18057901
TI - Increased prevalence of microangiopathic brain lesions among siblings of patients
with lacunar stroke. A prospective multicenter study.
AB - BACKGROUND: Family and twin studies suggest predisposing genetic factors in
stroke. Lacunar infarcts represent a homogeneous phenotype, which is a
prerequisite for genetic analyses. Applying an affected sib -pair analysis, we
prospectively assessed the prevalence of microangiopathic brain lesions (MBL) and
associated risk factors among siblings of patients with lacunar stroke. METHODS:
Index patients fulfilled clinical criteria of a lacunar stroke in combination
with a corresponding MBL on CT or MRI. Siblings were characterized as affected if
MBL demonstrated on MRI. The prevalence of MBL was compared with population
prevalence data extracted from other studies. RESULTS: From 784 patients
screened, 81 index patients with lacunar stroke and 97 siblings were recruited,
of which 42% were identified as affected. Compared with data from unselected
historical controls and stratified by age groups, prevalence was between 2 and 5
times higher. CONCLUSIONS: Our results indicate that genetic stroke studies are
feasible even in subtypes of ischemic stroke. The high prevalence of MBL among
siblings of patients with lacunar infarct might suggest a familial aggregation.
However, due to the small sample size these results need to be interpreted with
caution and require confirmation by planned genetic analyses.
PMID- 18057902
TI - Toward a further elucidation: role of vertebral artery hypoplasia in migraine
with aura.
AB - A higher frequency of hypoplastic vertebral artery (VA) in patients with migraine
with aura than in normal controls has been documented. However, the role of a
hypoplastic VA in a migraine attack remains unclear. The aim of our work was to
measure the net VA flow volume and related spectral parameters in patients with
migraine with aura and a hypoplastic VA. From January 2005 to October 2005 we
reviewed the records of 250 migraine outpatients (108 men and 142 women; mean age
= 30.8 +/- 14.0 years, range = 25-55). Ninety-two patients with migraine with
aura were selected. Among these patients, 26 had a hypoplastic VA that was
delineated by cervical magnetic resonance angiography. We performed a case
control study that included these 26 migrainous patients. Duplex color-coded
ultrasonography was utilized to calculate the spectral parameters during attacks
and headache-free periods. The net VA flow volume did not decrease during
attacks. A reduction in the resistance index of the hypoplastic VA was noted
during attacks in subjects who had migraine with aura. Our observation of VA
vasomotor alteration during migraine attacks extends the understanding of the
role of a hypoplastic VA. Vasomotor regulation of the VA could be neurogenic in
origin. We hypothesize that VA hypoplasia contributes to migraine through complex
neurovascular pathways rather than through its low flow volume.
PMID- 18057903
TI - Modification of the hepatic mitochondrial proteome in response to ischemic
preconditioning following ischemia-reperfusion injury of the rat liver.
AB - BACKGROUND/AIM: Ischemic preconditioning (IPC) may reduce hepatic ischemia
reperfusion (IR) injury, but efficacy of IPC on mitochondrial proteome is not
demonstrated. We investigated how IPC modifies the mitochondrial proteome after
IR injury. METHODS: Rats were subjected to 25 min of portal triad crossclamping
(IR group, n = 8). In the IPC group (n = 8), 10 min of temporal portal triad
clamping was performed before 25 min of portal clamping. Samples were obtained
after 24 h. The mitochondrial inner-membrane potential was measured by the uptake
of a lipophilic cationic carbocyanine probe and mitochondrial proteome was also
investigated using 2-dimensional differential in-gel electrophoresis and liquid
chromatography-tandem mass spectrometry. RESULTS: Mitochondrial inner-membrane
potential and glutathione were lower and serum transaminase was higher in the IPC
group than in the IR group. The mitochondrial precursor of aldehyde dehydrogenase
2 and alpha-methylacyl-CoA-racemase were upregulated in the IPC group in
comparison to the IR group. In contrast, protein disulfide-isomerase A3
precursor, 60S acid ribosomal protein P0, carbonic anhydrase 3 and superoxide
dismutase were significantly more downregulated in the IPC group than in the IR
group. CONCLUSIONS: A hepatoprotective effect by IPC was not shown; however, IPC
caused significant up- or downregulation of several mitochondrial proteins.
PMID- 18057904
TI - The new Audiology Committee of the IALP cooperates with the WHO in the global
work on problems of hearing-impaired people.
PMID- 18057905
TI - Voice range profiles for tonal dialect of Min.
AB - The influence of frequency and intensity in Min dialect on maximum vocal
performance has not been investigated. The purposes of this study are (1) to
investigate the physiological frequency and intensity ranges of the tonal dialect
of Min, and (2) to compare the physiological frequency and intensity ranges of
Min to those of nontonal languages. The subjects were 40 normal Taiwanese adults.
All subjects were native Min speakers. The lowest frequency, the highest
frequency, the maximum range of vowel frequency change (MRVFC), soft voice, loud
voice, and the dynamic intensity range were obtained from voice range profiles.
The independent t test was used to find the statistical significance of all
frequency and intensity variables between female and male speakers in vocal range
profiles. The results revealed that female speakers had significantly greater
lowest frequency and highest frequency than male speakers. Male speakers had a
significantly greater MRVFC than female speakers. Moreover, Min speakers had a
greater MRVFC and dynamic intensity range than most of nontonal language
speakers. The data provide an assessment tool of vocal function for Min speakers.
PMID- 18057906
TI - Living with dysarthria: evaluation of a self-report questionnaire.
AB - The study describes an effort to evaluate the speech difficulties as perceived by
individuals with dysarthria. A self-report questionnaire, Living with
Neurologically Based Speech Difficulties (Living with Dysarthria), was answered
by 55 individuals with varying types and degrees of dysarthria. Results show that
both type and degree of subjectively perceived communicative difficulties varied.
Degree of communicative difficulties was not related to age, gender, diagnosis,
disease duration or employment status in this group. Generally, the overriding
problems were related to restrictions in communicative participation,
possibilities to actively take part in work and studies and to express one's
personality were particularly affected. Communication was also affected by
emotions and by the number and familiarity of people present in communicative
encounters. The dominating speech difficulties were related to reduced speech
rate and a need for repetition as a consequence of misunderstandings. A
statistically nonsignificant difference was found between the higher mean of the
group with moderate dysarthria compared to the groups with severe and mild
dysarthria, indicating that severity of dysarthria does not necessarily predict
extent of perceived communicative difficulties. It is concluded that systematic
subjective reports should always be included in the assessment of individuals
with acquired dysarthria.
PMID- 18057907
TI - Aerodynamic assessment of young women's voices as a function of oral
contraceptive use.
AB - OBJECTIVE: To examine possible differences in glottal airflow parameters
according to oral contraceptive (OC) use. SUBJECTS AND METHODS: The participants
included 16 women, 20-24 years of age. Eight women were taking a triphasic OC;
the remaining 8 women were not taking any form of oral contraception (NOC). All
participants were recorded on days 7 and 14 of their menstrual cycle. Three
repetitions of the sustained vowel /a/ were obtained using a circumferentially
vented respiratory face mask connected to a wide-band pressure transducer.
Measures of peak flow, minimum flow, alternating flow, fundamental frequency
(F(0)) and relative sound pressure level were obtained. RESULTS: A multivariate
analysis of variance with sound pressure level as a covariate revealed no
significant effect of day of recording upon the dependent measures. As a group,
the OC women exhibited significantly higher F(0), peak and alternating flow rates
compared to the NOC women. Removal of data outliers from the OC women resulted in
similar airflow rates for both groups. CONCLUSION: The findings from this
preliminary study did not support the use of glottal airflow measures to
distinguish OC women from NOC women. Differences in F(0) findings may reflect
hormonally mediated changes in laryngeal tissue and warrant further
investigation.
PMID- 18057908
TI - Integrating the acoustics of running speech into the pure tone audiogram: a step
from audibility to intelligibility and disability.
AB - BACKGROUND/AIMS: The aim of the present study is to construct a simple method for
visualizing and quantifying the audibility of speech on the audiogram and to
predict speech intelligibility. The proposed method involves a series of indices
on the audiogram form reflecting the sound pressure level distribution of running
speech. The indices that coincide with a patient's pure tone thresholds reflect
speech audibility and give evidence of residual functional hearing capacity.
PATIENTS AND METHODS: Two validation studies were conducted among sensorineurally
hearing-impaired participants (n = 56 and n = 37, respectively) to investigate
the relation with speech recognition ability and hearing disability. RESULTS: The
potential of the new audibility indices as predictors for speech reception
thresholds is comparable to the predictive potential of the ANSI 1968
articulation index and the ANSI 1997 speech intelligibility index. The sum of
indices or a weighted combination can explain considerable proportions of
variance in speech reception results for sentences in quiet free field
conditions. The proportions of variance that can be explained in questionnaire
results on hearing disability are less, presumably because the threshold indices
almost exclusively reflect message audibility and much less the psychosocial
consequences of hearing deficits. CONCLUSION: The outcomes underpin the validity
of the new audibility indexing system, even though the proposed method may be
better suited for predicting relative performance across a set of conditions than
for predicting absolute speech recognition performance.
PMID- 18057909
TI - Clinical implementation of laryngeal high-speed videoendoscopy: challenges and
evolution.
AB - High-speed videoendoscopy (HSV) captures the true intracycle vibratory behavior
of the vocal folds, which allows for overcoming the limitations of
videostroboscopy for more accurate objective quantification methods. However, the
commercial HSV systems have not gained widespread clinical adoption because of
remaining technical and methodological limitations and an associated lack of
information regarding the validity, practicality, and clinical relevance of HSV.
The purpose of this article is to summarize the practical, technological and
methodological challenges we have faced, to delineate the advances we have made,
and to share our current vision of the necessary steps towards developing HSV
into a robust tool. This tool will provide further insights into the biomechanics
of laryngeal sound production, as well as enable more accurate functional
assessment of the pathophysiology of voice disorders leading to refinements in
the diagnosis and management of vocal fold pathology. The original contributions
of this paper are the descriptions of our color high-resolution HSV integration,
the methods for facilitative playback and HSV dynamic segmentation, and the
ongoing efforts for implementing HSV in phonomicrosurgery, as well as the
analysis of the challenges and prospects for the clinical implementation of HSV,
additionally supported by references to previously reported data.
PMID- 18057910
TI - Habituation of wild chimpanzees (Pan troglodytes) of the South Group at Tai
Forest, Cote d'Ivoire: empirical measure of progress.
AB - The last part of the chimpanzee (Pan troglodytes) habituation process of the Tai
South Group was monitored over 2 years (1994-1996), during which all males and
most of the females became habituated to observation by humans. The time needed
(5-7 years) to habituate the community was similar to that recorded for the Tai
North Group and is comparable to other populations studied in Africa. Variation
emerged in habituation rate: males were habituated earlier than females, and
among females, sexually cycling individuals were habituated faster than non
cycling females. Such differences may be a function of both the methods used to
find the chimpanzees and the sex of the individual. Reproductive status and
individuality may also have influenced habituation rates by affecting the number
of contacts required to habituate a chimpanzee to neutral humans.
PMID- 18057911
TI - Preoperative maneuvers to avert postoperative respiratory failure in elderly
patients.
AB - BACKGROUND: The elderly appear particularly vulnerable to pulmonary complications
following surgical procedures. OBJECTIVE: The purpose of this study was to
identify and assess the merit of various maneuvers employed to mitigate
respiratory difficulties in elderly patients undergoing surgery. RESULTS: The
literature revealed evidence that diminishing sputum production with selective
antibiotics and augmentation of sputum clearance with assisted coughing, postural
drainage, and bronchodilators were deemed important. Futhermore, efforts to
optimize nutritional status and eliminate tobacco and alcohol consumption are
also felt to be of value in improving postsurgical outcome. CONCLUSION: One
significant aspect of this review was the apparent posity of recent work on this
subject despite the profound magnitude of the demise related to postsurgical
respiratory complications in elderly patients.
PMID- 18057912
TI - A universal combination treatment for vaginitis.
AB - BACKGROUND: We compared a novel vaginal tablet consisting of 100 mg of
clotrimazole and 100 mg of metronidazole ('Clo-Met') to a 100-mg clotrimazole
tablet in the treatment of vaginitis. METHODS: A multicenter, double-blind,
randomized controlled study. Women with vaginal discharge and diagnosed as
suffering from vaginitis caused by Trichomonas vaginalis, bacterial vaginosis or
Candida albicans, or any combination of the three, and who had not received
treatment for vaginitis during the previous month, were studied. RESULTS: 165
patients were enrolled into the study--84 into the combined therapy group, and 81
into the clotrimazole group. In women with Candida vaginitis, Clo-Met was more
effective than clotrimazole treatment (p < 0.012 and p < 0.05, respectively).
CONCLUSION: A combination vaginal tablet consisting of clotrimazole and
metronidazole is therapeutically effective in candidal vaginitis. The
effectiveness of Clo-Met on bacterial vaginosis, T. vaginalis infection as well
as on vaginal infections due to a combination of these microorganisms should be
studied further.
PMID- 18057913
TI - New preparations comprising recombinant human growth hormone: deliberations on
the issue of biosimilars.
AB - Manufactured recombinant human GH (rhGH) has been available for more than 25
years. In the meantime, the GH produced by various manufacturers has been
approved for application in replacement therapy in children and adults with GH
deficiency or a number of disorders involving small stature in children. Until
recently approval for each individual diagnosis was only granted after extensive
studies on the long-term efficacy (e.g. adult height reached) and safety of the
various products. Meanwhile, the European approving agency, the European
Medicines Evaluation Agency (EMEA), has relinquished this restrictive stance.
Thus, new rhGH preparations can count on gaining approval for existing
indications even without conducting standard clinical studies of their efficacy
and safety for each of these indications. The EMEA's reconsideration is
apparently based on the rationale that recombinant GH can, in effect, be
considered equivalent to the tried and tested preparations in wide use, provided
certain specifications are met. The term 'biosimilars' was coined to denote the
similarities between the products rather than their parity, as is the case with
generics for instance. The higher complexity of biopharmaceuticals relates not
only to the substances themselves but also to the manufacturing process. It is
generally believed that modifications to a manufacturing process - which are a
prerequisite for a patent - may cause modifications of the active substance which
then may lead to different long-term effects. Thus, the term 'biosimilar' does
not indicate that complex biopharmaceuticals deriving from the same substance are
entirely identical, nor does the approval of a 'biosimilar' ensure this. The
factual information provided here is offered towards clarification of some
uncertainties and as a contribution towards resolving open questions relating to
the topic of biosimilars. The final choice of product to be prescribed must be
made by the informed, independent physician.
PMID- 18057914
TI - Biosimilar somatropin: myths and facts.
PMID- 18057915
TI - The past and the future of toxicology.
PMID- 18057916
TI - Dopamine transporter mutant mice in experimental neuropharmacology.
AB - An opportunity to perform targeted genetic manipulations in mice has provided
another dimension for modern pharmacological research. Genetically modified mice
have become important tools to investigate functions of previously unexplored
proteins, define mechanism of action of new and known pharmacological drugs, and
validate novel targets for treatment of human disorders. One of the best examples
of such use of genetic models in experimental pharmacology represents
investigations involving mice deficient in the gene encoding the dopamine
transporter (DAT). The dopamine transporter tightly regulates the extracellular
dynamics of dopamine by recapturing released neurotransmitter into the
presynaptic terminals, and genetic deletion of this protein results in profound
alterations in both the presynaptic homeostasis and the extracellular dynamics of
dopamine. By using this model of severe dopaminergic dysregulation, significant
progress has been made in defining the major target of psychotropic drugs,
understanding the mechanisms of their action, unraveling novel signaling events
relevant for dopaminergic transmission, and mapping neuronal pathways involved in
dopamine-related behaviors. Furthermore, DAT mutant mice provided an opportunity
to model in vivo conditions of extreme dopaminergic dysfunction that could be
relevant for human disorders such as ADHD, schizophrenia, and Parkinson's disease
and, thus, could serve as test systems for developing novel treatments for these
and related disorders.
PMID- 18057917
TI - The mGlu2 but not the mGlu3 receptor mediates the actions of the mGluR2/3
agonist, LY379268, in mouse models predictive of antipsychotic activity.
AB - RATIONALE: Group II metabotropic glutamate receptors (mGluRs) comprise the mGluR2
and mGluR3 subtypes, the activation and modulation of which has been suggested to
be beneficial for treating schizophrenia. Genetic association studies suggest
limited association between mGluR2 and schizophrenia but some association between
mGluR3 and schizophrenia. Conversely, pre-clinical studies suggest that mGluR2
may be responsible for mediating the antipsychotic activity of mGluR2/3 agonists,
although to date, the role of mGluR3 has not been specifically assessed.
OBJECTIVES: The aim of this study is to use recently generated mGluR3 and mGluR2
knockout mice to investigate which of the group II mGluRs mediates the actions of
the mGluR2/3 agonist, LY379268, in two mouse models predictive of antipsychotic
activity. MATERIALS AND METHODS: LY379268 (0.3-10 mg/kg SC), phencyclidine (PCP;
1-5 mg/kg IP), and amphetamine 1-10 mg/kg IP) were assessed on locomotor activity
and behaviour in C57Bl/6J and transgenic mice. LY379268 was then assessed on PCP
(5 mg/kg IP)- and amphetamine (2.5 mg/kg IP)-induced hyperactivity and behaviour
in C57Bl/6J and transgenic mice. RESULTS: PCP (5 mg/kg)-evoked hyperactivity and
behavioural alterations, i.e. circling, falling, stereotypy and ataxia, as well
as amphetamine (2.5 mg/kg)-evoked hyperactivity, were dose-dependently attenuated
by LY379268 (0.3-3 mg/kg) in C57Bl/6J mice. One milligram per kilogram of
LY379268 reversed PCP-evoked hyperactivity and behavioural alterations in wild
type (WT) and mGluR3 knockout mice but not in mice lacking mGluR2. Similarly, 3
mg/kg LY379268 reversed amphetamine-evoked hyperactivity in WT and mGluR3
knockout mice but not in mice lacking mGluR2. CONCLUSION: The mGlu2 but not the
mGlu3 receptor subtype mediates the actions of the mGluR2/3 agonist, LY379268, in
mouse models predictive of antipsychotic activity.
PMID- 18057919
TI - How we assure quality in teaching analytical chemistry.
PMID- 18057918
TI - Efficacy of caffeine and modafinil in counteracting sleep deprivation in the
marmoset monkey.
AB - BACKGROUND AND PURPOSE: The effects of sleep deprivation are a burden in our 24-h
society. The use of wake-promoting compounds could improve the performance in
situations where sleep cannot be allowed. In this study, the efficacy of the wake
promoting compounds, modafinil and caffeine, in counteracting the effects of 24-h
sleep deprivation in the marmoset monkey were tested. As caffeine is habitually
used, the efficacy of both compounds after short- and long-term use was
investigated. MATERIALS AND METHODS: After a normal active day, the animals were
kept awake and received wake-promoting compounds during the whole night. Three
times during the sleep-deprived night, putative fatigue was assessed with an
activity test and the vigilance and ability to execute a task was assessed with a
hand-eye coordination (HEC) task. RESULTS: Both compounds were able to counteract
to some extent the decline in performance. Modafinil was able to keep the
activity at baseline performance, but performance on the HEC task was not
improved. Caffeine was able to keep performance in the HEC task at a level just
below daytime level but was not able to keep activity at daytime levels during
the last part of the night. Caffeine and modafinil administration for 2 weeks
showed a comparable effect on activity as acute use. The performance on the HEC
task was similar after chronic caffeine and improved after chronic modafinil.
CONCLUSION: It is therefore concluded that modafinil and caffeine were both able
to postpone or prevent the decline in vigilance and psychomotor performance and
increase in fatigue induced by sleep deprivation.
PMID- 18057920
TI - The development of infant upright posture: sway less or sway differently?
AB - Postural control is an important factor for early motor development; however,
compared with adults, little is known about how infants control their unperturbed
upright posture. This lack of knowledge, particularly with respect to spatial and
temporal characteristics of infants' unperturbed independent standing, represents
a significant gap in the understanding of human postural control and its
development. Therefore, our first analysis offers a thorough longitudinal
characterization of infants' quiet stance through the 9 months following the
onset of independent walking. Second, we examined the influence of sensory
mechanical context, light touch contact, on infants' postural control. Nine
typically developing infants were tested monthly as they stood on a small
pedestal either independently or with the right hand lightly touching a
stationary contact surface. In addition to the longitudinal study design, an age
constant sample was analyzed to verify the influence of walking experience in
infant postural development without the confounding effect of chronological age.
Center of pressure excursions were recorded and characterized by distance
related, velocity, and frequency domain measures. The results indicated that,
with increasing experience in the upright, as indexed by walk age, infants'
postural sway exhibited shifts in rate-related characteristics toward lower
frequency and slower, less variable velocity oscillations without changing the
spatial characteristics of sway. Additional touch contact stabilized infants'
postural sway as revealed by decrease in sway position variance, amplitude, and
area as well as lower frequency and velocity. These results were confirmed by the
age-constant analysis. Taken together, our findings suggest that instead of
progressively reducing the sway magnitude, infants sway differently with
increasing upright experience or with additional somatosensory information. These
differences suggest that early development of upright stance, particularly as it
relates to increasing postural and locomotor experience, involves a refinement of
sensorimotor dynamics that enhances estimation of self-motion for controlling
upright stance.
PMID- 18057921
TI - The relation between antisaccade errors, fixation stability and prosaccade errors
in schizophrenia.
AB - Whether antisaccade errors in schizophrenia are due to defects in implementing
saccadic inhibition or difficulty in generating novel responses is uncertain. We
investigated whether antisaccade errors were related to difficulty in inhibiting
saccades when subjects were asked to maintain steady fixation, a situation that
does not require a novel response. We examined the ocular motor data of 15
schizophrenia subjects and 16 healthy subjects. We assessed fixation in two
situations: first, during the period before target onset during each saccadic
trial, and second, during fixation trials that were interspersed with saccadic
trials. We found that schizophrenia subjects had higher rates of fixation losses
than control subjects in both situations. Second, both in healthy and
schizophrenia subjects, antisaccade error rate was positively correlated with the
frequency of fixation losses in the preparatory period of saccadic trials, but
not with the frequency of fixation losses during fixation trials. Third,
antisaccade errors were more likely to occur in trials with unstable fixation
than in trials with stable fixation. Last, antisaccade error rate was also
correlated with prosaccade error rate. We conclude that antisaccade errors are
related to difficulties with implementing inhibitory control in the saccadic
system. However, the finding of a correlation between the error rates for
antisaccades and prosaccades suggests that this is not specifically concerned
with inhibiting the automatic prosaccade, but a more general deficit in
implementing goal-oriented behavior.
PMID- 18057922
TI - Survival and regeneration of cutaneous and muscular afferent neurons after
peripheral nerve injury in adult rats.
AB - Peripheral nerve injury induces the retrograde degeneration of dorsal root
ganglion (DRG) cells, which affects predominantly the small-diameter cutaneous
afferent neurons. This study compares the time-course of retrograde cell death in
cutaneous and muscular DRG cells after peripheral nerve transection as well as
neuronal survival and axonal regeneration after primary repair or nerve grafting.
For comparison, spinal motoneurons were also included in the study. Sural and
medial gastrocnemius DRG neurons were retrogradely labeled with the fluorescent
tracers Fast Blue (FB) or Fluoro-Gold (FG) from the homonymous transected nerves.
Survival of labeled sural and gastrocnemius DRG cells was assessed at 3 days and
1-24 weeks after axotomy. To evaluate axonal regeneration, the sciatic nerve was
transected proximally at 1 week after FB-labeling of the sural and medial
gastrocnemius nerves and immediately reconstructed using primary repair or
autologous nerve grafting. Twelve weeks later, the fluorescent tracer Fluoro-Ruby
(FR) was applied 10 mm distal to the sciatic lesion in order to double-label
sural and gastrocnemius neurons that had regenerated across the repair site.
Counts of labeled gastrocnemius DRG neurons did not reveal any significant
retrograde cell death after nerve transection. In contrast, sural axotomy induced
a delayed loss of sural DRG cells, which amounted to 22% at 4 weeks and 43-48% at
8-24 weeks postoperatively. Proximal transection of the sciatic nerve at 1 week
after injury to the sural or gastrocnemius nerves neither further increased
retrograde DRG degeneration, nor did it affect survival of sural or gastrocnemius
motoneurons. Primary repair or peripheral nerve grafting supported regeneration
of 53-60% of the spinal motoneurons and 47-49% of the muscular DRG neurons at 13
weeks postoperatively. In the cutaneous DRG neurons, primary repair or peripheral
nerve grafting increased survival by 19-30% and promoted regeneration of 46-66%
of the cells. The present results suggest that cutaneous DRG neurons are more
sensitive to peripheral nerve injury than muscular DRG cells, but that their
regenerative capacity does not differ from that of the latter cells. However, the
retrograde loss of cutaneous DRG cells taking place despite immediate nerve
repair would still limit the recovery of cutaneous sensory functions.
PMID- 18057923
TI - Effects of the Ebbinghaus illusion on children's perception and grasping.
AB - We investigated the development of the Ebbinghaus illusion in children's
perception and grasping. A previous study (Hanisch et al. 2001) had reported
negative illusion effects on 5- to 12-year-olds' grasping as compared to their
perception. We attempted to replicate this finding and to test different
hypotheses based on a direct influence of the context elements on the
trajectories of the fingers which could explain this reversal of the illusion
effects. For 5- to 7- and 9- to 11-year-olds we observed the classical illusion
effects in perception. Illusion effects were perfectly similar for perception and
grasping in 9- to 11-year-olds, while there was a non-significant trend toward
smaller illusion effects in grasping for the 5- to 7-year-olds. This could be due
to a slightly different effect of the illusion on younger children's grasping.
However, it seems clear that there are no qualitative changes, as a reversal of
the illusion effects in grasping of younger children. Finally, we show that our
grasping data conform well to the motor literature for children's grasping,
thereby strengthening our conclusions.
PMID- 18057924
TI - Perception of hand motion direction uses a gravitational reference.
AB - We studied possible frames of reference for kinesthetic perception of imposed
hand motion direction in the frontal plane in ten young adult subjects with no
history of neuromuscular disease. In one experiment, subjects were instructed to
set unseen hand motion imposed by a motorized linear slide device parallel to the
trunk-fixed longitudinal axis, seven visually specified axes and vertical
(gravitational axis) while in a standard erect head/trunk posture and with
head/trunk orientation varied. The visually specified axes were presented on a
head-mounted display that also blocked vision of the external environment. In a
second experiment using the same device, subjects set unseen hand motion parallel
to vertical and to subjective oblique directions of 45 degrees clockwise (cw) and
counter clockwise (ccw) from vertical in erect and varied head/trunk postures.
Errors for setting hand motion to vertical and to verbally specified oblique axes
(45 degrees cw and ccw from vertical) were lower than to the trunk longitudinal
axis and visually specified axes. There were clear oblique effects in setting
hand motion to visually specified axes and to subjective oblique (45 degrees cw
and ccw) axes. When head and trunk orientation were varied, variable errors were
higher for all axes, but remained lowest for vertical and subjective oblique
axes. Moreover, errors for setting hand motion to all axes depended on head/trunk
orientation. Overall, these results show that kinesthetic perception of imposed
hand motion uses a subjective gravitational frame of reference that varies
somewhat with head/trunk orientation.
PMID- 18057925
TI - Capture of the eyes by relevant and irrelevant onsets.
AB - During early visual processing the eyes can be captured by salient visual
information in the environment. Whether a salient stimulus captures the eyes in a
purely automatic, bottom-up fashion or whether capture is contingent on task
demands is still under debate. In the first experiment, we manipulated the
relevance of a salient onset distractor. The onset distractor could either be
similar or dissimilar to the target. Error saccade latency distributions showed
that early in time, oculomotor capture was driven purely bottom-up irrespective
of distractor similarity. Later in time, top-down information became available
resulting in contingent capture. In the second experiment, we manipulated the
saliency information at the target location. A salient onset stimulus could be
presented either at the target or at a non-target location. The latency
distributions of error and correct saccades had a similar time-course as those
observed in the first experiment. Initially, the distributions overlapped but
later in time task-relevant information decelerated the oculomotor system. The
present findings reveal the interaction between bottom-up and top-down processes
in oculomotor behavior. We conclude that the task relevance of a salient event is
not crucial for capture of the eyes to occur. Moreover, task-relevant information
may integrate with saliency information to initiate saccades, but only later in
time.
PMID- 18057926
TI - Anticipatory smooth-pursuit eye movements in man and monkey.
AB - A fundamental problem in the generation of goal-directed behaviour is caused by
the inevitable latency of biological sensory systems. Behaviour which is fully
synchronised with the triggering sensory event can only be executed if the
occurrence of this event can be predicted based on prior information. Smooth
pursuit eye movements are a classical and well-established example of goal
directed behaviour. The execution of these eye movements is thought to be very
closely linked to the processing of visual motion signals. Here, we show that
healthy human subjects as well as trained rhesus monkeys are able to initiate
smooth-pursuit eye movements in anticipation of a moving target. These
anticipatory pursuit eye movements are scaled to the velocity of the expected
target. Furthermore, we can exclude the possibility that anticipatory pursuit is
simply an after-pursuit of the previous trial. Visually-guided pursuit is only
marginally affected by the presence of a structured background. However, the
presence of a structured background severely impedes the ability to perform
anticipatory pursuit. More generally, our data provide additional evidence that
the cognitive oculomotor repertoires of human and monkeys are similar, at least
with respect of smooth-pursuit in the prediction of an appearing target.
PMID- 18057927
TI - Asymmetric generalization between the arm and leg following prism-induced
visuomotor adaptation.
AB - We have previously shown an asymmetric generalization following a prism-induced
visuomotor adaptation. Subjects who adapt to laterally deviating prism lenses
during walking show a broad generalization to an arm pointing task, while
subjects who adapt to prisms during arm pointing do not show generalization to
walking. It is not known whether this broad generalization persists with other
movements outside of walking or what specific features of the walking task, e.g.
lower extremity involvement, allow it to be so broadly generalizable. In the
current study, we tested healthy adult subjects performing one of three forms of
prism adaptation and subsequently measured generalization. In Experiment 1 we
tested whether a seated arm pointing prism adaptation would generalize to the
leg. In Experiment 2 we tested whether a seated leg pointing prism adaptation
would generalize to the arm. In Experiment 3 we tested whether standing
influenced the extent of generalization from leg to arm. Results were surprising.
We found a clear and consistent generalization from arm to leg, but much less so
from leg to arm during either the seated or the standing task. These findings
indicate that prism adaptations during arm movements are not limb-specific, as
has been previously suggested. Further, the lack of generalization from leg to
arm suggests that neither the adaptation of leg movements specifically, nor
standing posture, nor the bilateral component of walking could be the salient
feature allowing for its broad generalization across body parts.
PMID- 18057928
TI - High prevalence of the CYP2B6 516G-->T(*6) variant and effect on the population
pharmacokinetics of efavirenz in HIV/AIDS outpatients in Zimbabwe.
AB - OBJECTIVE: The study sought to investigate the relationship between efavirenz
exposure and the CYP2B6 516G-->T(*6) genotype in HIV/AIDS outpatients, using
pharmacokinetic modelling and simulation. METHODS: Blood samples where obtained
from 74 outpatients treated with a combination regimen including 600 mg efavirenz
daily for a duration of at least 3 weeks at clinics in Harare, Zimbabwe. The
subjects were genotyped for the major CYP2B6 variant, CYP2B6*6, associated with
reduced enzyme activity, using a PCR-RFLP method. Efavirenz plasma concentrations
were determined by HPLC-UV. Population pharmacokinetic modelling and simulation
of the data were performed in NONMEM VI. RESULTS: A high allele frequency of the
CYP2B6*6 allele of 49% was observed. Efavirenz plasma concentrations were above 4
mg/L in 50% of the patients. Genotype and sex were identified as predictive
covariates of efavirenz disposition. Pharmacokinetic parameter estimates indicate
that a dose reduction to 400 mg efavirenz per day is possible in patients
homozygous for the CYP2B6*6 genotype without compromising therapeutic efficacy.
CONCLUSION: The CYP2B6*6 allele occurs at a high frequency in people of African
origin and is associated with high efavirenz concentrations. Simulations indicate
that an a priori 35% dose reduction in homozygous CYP2B6*6 patients would
maintain drug exposure within the therapeutic range in this group of patients.
Our preliminary results suggest the conduct of a prospective clinical dose
optimization study to evaluate the utility of genotype-driven dose adjustment in
this population.
PMID- 18057929
TI - The anterior cerebral artery is an appropriate arterial input function for
perfusion-CT processing in patients with acute stroke.
AB - INTRODUCTION: Dynamic perfusion-CT (PCT) with deconvolution requires an arterial
input function (AIF) for postprocessing. In clinical settings, the anterior
cerebral artery (ACA) is often chosen for simplicity. The goals of this study
were to determine how the AIF selection influences PCT results in acute stroke
patients and whether the ACA is an appropriate default AIF. METHODS: We
retrospectively identified consecutive patients suspected of hemispheric stroke
of less than 48 h duration who were evaluated on admission by PCT. PCT datasets
were postprocessed using multiple AIF, and cerebral blood volume (CBV) and flow
(CBF), and mean transit time (MTT) values were measured in the corresponding
territories. Results from corresponding territories in the same patients were
compared using paired t-tests. The volumes of infarct core and tissue at risk
obtained with different AIFs were compared to the final infarct volume. RESULTS:
Of 113 patients who met the inclusion criteria, 55 with stroke were considered
for analysis. The MTT values obtained with an "ischemic" AIF tended to be shorter
(P=0.055) and the CBF values higher (P=0.108) than those obtained using a
"nonischemic" AIF. CBV values were not influenced by the selection of the AIF. No
statistically significant difference was observed between the size of the PCT
infarct core (P=0.121) and tissue at risk (P=0.178), regardless of AIF selection.
CONCLUSION: In acute stroke patients, the selection of the AIF has no
statistically significant impact of the PCT results; standardization of the PCT
postprocessing using the ACA as the default AIF is adequate.
PMID- 18057930
TI - Improvement of P450(BM-3) whole-cell biocatalysis by integrating heterologous
cofactor regeneration combining glucose facilitator and dehydrogenase in E. coli.
AB - Escherichia coli BL21, expressing a quintuple mutant of P450(BM-3),
oxyfunctionalizes alpha-pinene in an NADPH-dependent reaction to alpha-pinene
oxide, verbenol, and myrtenol. We optimized the whole-cell biocatalyst by
integrating a recombinant intracellular NADPH regeneration system through co
expression of a glucose facilitator from Zymomonas mobilis for uptake of
unphosphorylated glucose and a NADP(+)-dependent glucose dehydrogenase from
Bacillus megaterium that oxidizes glucose to gluconolactone. The engineered
strain showed a nine times higher initial alpha-pinene oxide formation rate
corresponding to a sixfold higher yield of 20 mg g(-1) cell dry weight after 1.5
h. The initial total product formation rate was 1,000 micromol h(-1) micromol(-1)
P450 leading to a total of 32 mg oxidized products per gram cell of dry weight
after 1.5 h. The physiological functioning of the heterologous cofactor
regeneration system was illustrated by a sevenfold increased alpha-pinene oxide
yield in the presence of glucose compared to glucose-free conditions.
PMID- 18057931
TI - Facts and figures on CAD assessment with SPECT and PET-CT.
PMID- 18057932
TI - Evaluation of 2'-deoxy-2'-[18F]fluoro-5-methyl-1-beta-L: -arabinofuranosyluracil
([18F]-L: -FMAU) as a PET imaging agent for cellular proliferation: comparison
with [18F]-D: -FMAU and [18F]FLT.
AB - PURPOSE: Clevudine (L: -FMAU) an un-natural analogue of thymidine, is in clinical
trials for the treatment of hepatitis B virus (HBV). L: -FMAU is phosphorylated
by cellular kinases such as thymidine kinase 1 and deoxycytidine kinase, and its
triphosphate form inhibits HBV deoxyribonucleic acid synthesis. Thus, L: -FMAU,
radiolabeled with an appropriate isotope, may be useful for positron emission
tomography (PET) imaging of tumor proliferation. We evaluated [18F]-L-FMAU as a
PET imaging agent in tumor-bearing mice and compared the results with those of
two other radiotracers, [18F]-d-FMAU and [18F]-FLT. METHODS: Subcutaneous
xenografts of the human lung cancer cell lines H441 and H3255 were established in
mice. A micro-PET scanner was used to obtain images of the tumor-bearing animals
with [18F]-L-FMAU, [18F]-D-FMAU, and [18F]-FLT. RESULTS: At 2 h postinjection,
the tumor uptake (% ID/g) of 18F]-L: -FMAU, 18F]-D: -FMAU, and [18F]-FLT in the
faster-growing H441 cells was 3.13 +/- 1.11, 7.74 +/- 1.39, and 5.10 +/- 1.45,
respectively. The corresponding values for the slower-growing H3255 cells were
1.38 +/- 0.81, 4.49 +/- 1.08, and 0.57 +/- 0.33. Tumor/muscle ratios of
accumulation for [18F]-L: -FMAU, [18F]-D: -FMAU, and [18F]-FLT in H441 cells were
4.15 +/- 1.82, 3.37 +/- 1.19, and 12.94 +/- 4.38, respectively, and the
corresponding values in H3255 cells were 1.62 +/- 0.50, 1.96 +/- 0.74, and 1.50
+/- 0.90. CONCLUSIONS: [18F]-L: -FMAU may be a useful agent for imaging tumor
proliferation in fast-growing human lung cancers by PET.
PMID- 18057933
TI - (13)N-ammonia myocardial perfusion imaging with a PET/CT scanner: impact on
clinical decision making and cost-effectiveness.
AB - PURPOSE: The purpose of the study is to determine the impact of 13N-ammonia
positron emission tomography (PET) myocardial perfusion imaging (MPI) on clinical
decision making and its cost-effectiveness. MATERIALS AND METHODS: One hundred
consecutive patients (28 women, 72 men; mean age 60.9 +/- 12.0 years; range 24-85
years) underwent 13N-ammonia PET scanning (and computed tomography, used only for
attenuation correction) to assess myocardial perfusion in patients with known (n
= 79) or suspected (n = 8) coronary artery disease (CAD), or for suspected small
vessel disease (SVD; n = 13). Before PET, the referring physician was asked to
determine patient treatment if PET would not be available. Four weeks later, PET
patient management was reassessed for each patient individually. RESULTS: Before
PET management strategies would have been: diagnostic angiography (62 of 100
patients), diagnostic angiography and percutaneous coronary intervention (PCI; 6
of 100), coronary artery bypass grafting (CABG; 3 of 100), transplantation (1 of
100), or conservative medical treatment (28 of 100). After PET scanning,
treatment strategies were altered in 78 patients leading to: diagnostic
angiography (0 of 100), PCI (20 of 100), CABG (3 of 100), transplantation (1 of
100), or conservative medical treatment (76 of 100). Patient management followed
the recommendations of PET findings in 97% of the cases. Cost-effectiveness
analysis revealed lower costs of 206/patient as a result of PET scanning.
CONCLUSION: In a population with a high prevalence of known CAD, PET is cost
effective and has an important impact on patient management.
PMID- 18057934
TI - Pharmacological evaluation of [123I]-CLINDE: a radioiodinated imidazopyridine-3
acetamide for the study of peripheral benzodiazepine binding sites (PBBS).
AB - PURPOSE: The study aims to evaluate the iodinated imidazopyridine, N',N'-diethyl
6-Chloro-(4'-[(123)I]iodophenyl)imidazo[1,2-a]pyridine-3-acetamide ([(123)I]
CLINDE) as a tracer for the study of peripheral benzodiazepine binding sites
(PBBS). MATERIALS AND METHODS: In vitro studies were performed using membrane
homogenates and sections from kidney, adrenals, and brain cortex of Sprague
Dawley (SD) rats and incubated with [(123)I]-CLINDE. For in vivo studies, the
rats were injected with [(123)I]-CLINDE. In competition studies, PBBS-specific
drugs PK11195 and Ro 5-4864 and the CBR specific drug Flumazenil were injected
before the radiotracer. RESULTS: In vitro binding studies in adrenal, kidney, and
cortex mitochondrial membranes indicated that [(123)I]-CLINDE binds with high
affinity to PBBS, K(d) = 12.6, 0.20, and 3.84 nM, respectively. The density of
binding sites was 163, 5.3, and 0.34 pmol/mg protein, respectively. In vivo
biodistribution indicated high uptake in adrenals (5.4), heart (1.5), lungs
(1.5), kidney (1.5) %ID/g at 6 h p.i. In the central nervous system (CNS), the
olfactory bulbs displayed the highest uptake; up to six times the activity in
blood. Pre-administration of unlabeled CLINDE, PK11195 and Ro 5-4864 (1 mg/kg)
reduced the uptake of [(123)I]-CLINDE by 70-55% in olfactory bulbs. In the kidney
and heart, a reduction of 60-80% ID/g was observed, while an increase was
observed in the adrenals requiring 10 mg/kg for significant displacement.
Flumazenil had no effect on uptake in peripheral organs and brain. Metabolite
analysis indicated >90% of the radioactivity in the above tissues was intact
[(123)I]-CLINDE. CONCLUSION: [(123)I]-CLINDE displays high and selective uptake
for the PBBS and warrants further development as a probe for imaging PBBS using
single photon emission computed tomography (SPECT).
PMID- 18057935
TI - Autologous versus allogeneic peptide-pulsed dendritic cells for anti-tumour
vaccination: expression of allogeneic MHC supports activation of antigen specific
T cells, but impairs early naive cytotoxic priming and anti-tumour therapy.
AB - BACKGROUND: Dendritic cells (DC) pulsed with MHC class I-restricted tumour
associated antigen (TAA) peptides have been widely tested in pre-clinical models
and early clinical studies for their ability to prime cytotoxic T cell (CTL)
responses. The effect of co-expression of allogeneic MHC antigens on DC
immunogenicity has not been addressed, and has implications for the feasibility
of clinical applications. OBJECTIVE: This study compared DC from autologous H
2(b) or semi-allogeneic F1 H-2(bxk) mice pulsed with the H-2(b)-restricted model
ovalbumin (OVA) peptide SIINFEKL, and compared in vitro and in vivo their ability
to (i) activate specific OT1 cells, (ii) prime naive CTL, and (iii) protect
against B16.OVA challenge. Peptide-pulsed autologous and allogeneic DC were also
tested in naive human CTL priming assays. RESULTS: Semi-allogeneic DC expressed
higher levels of co-stimulatory molecules. On pulsing with SIINFEKL they
triggered greater proliferation of OT1 cells in vitro and in vivo, but were less
effective at naive CTL priming and tumour protection. Autologous human DC were
similarly more potent at naive CTL priming against the melanoma-associated TAA
MART-1 in vitro. CONCLUSION: The expression of allogeneic MHC antigens on peptide
pulsed DC impairs naive CTL priming and anti-tumour effects, despite effective
TAA presentation both in vitro and in vivo.
PMID- 18057936
TI - [Hepatocellular carcinoma in the non-cirrhotic liver].
AB - Of hepatocellular carcinomas (HCC), 15-20% occur in the non-cirrhotic liver. All
factors which cause HCC when liver cirrhosis (LC) is present, can also lead to
HCC without LC. On the basis of the relative frequency, HCC can be roughly
differentiated into 3 groups: 1) HCC, rarely occurring without cirrhosis (e.g.
virus hepatitis, alcohol abuse). 2) HCC, frequently occurring without LC (alpha1
antitrypsin deficiency, hemochromatosis, non-alcoholic fatty liver disease). 3)
HCC, consistently occurring without LC (glycogen storage disease type 1,
consumption of oral contraceptives/anabolic steroids). In groups 1 and 2 the
level of hepatocellular toxicity necessary to reach LC is not yet achieved but
the carcinogenic effect is already strong enough to induce HCC, possibly owing to
the influence of additional carcinogens or host factors. In group 3, the
carcinogenic effect is mediated by a long-standing alteration of the
hepatocellular metabolism that is of low toxic effect and does not lead to cell
death, but is nevertheless carcinogenic. In these cases, the initial formation of
hepatocellular adenomas that subsequently transform into HCC is a common finding
(adenoma-carcinoma sequence).
PMID- 18057937
TI - A novel connection between nucleotide and carbohydrate metabolism in
mitochondria: sugar regulation of the Arabidopsis nucleoside diphosphate kinase
3a gene.
AB - Sugar metabolism is intricately connected with mitochondria through the
conversion of sugars to ATP, and through the production of carbon skeletons that
can be used in anabolic processes. Sugar molecules also take part in signalling
cascades. In this study we investigated the impact of sucrose on the expression
of the Arabidopsis thaliana Nucleoside Diphosphate Kinase gene family (NDPK, EC
2.7.4.6), focusing on NDPK3a, the product of which is located predominantly in
mitochondria. Using quantitative PCR we show that the NDPK3a gene is subject to
sucrose and glucose induction, while no other Arabidopsis NDPK gene are sucrose
inducible. The induction reaches a half-maximum after about 6 hours and is stable
for at least 48 h. Sucrose and glucose inductions were found not to be affected
by the presence of a hexokinase inhibitor, N-acetyl-glucosamine. Furthermore,
turanose, a sucrose analogue that is not metabolised in plant cells, did not
induce NDPK3a gene expression. An analysis of the NDPK3a gene revealed two
WBOXHWISO1 boxes in the promoter region, elements that have previously been
reported to be involved in sugar signalling in barley via the SUSIBA2 protein.
SUSIBA2 belongs to the WRKY group of transcription factors. In this study we used
two mutants containing T-DNA insertions in WRKY-genes, AtWrky4 and AtWrky34, to
investigate the possible involvement of WRKY transcription factors in the sugar
induction of NDPK3a.
PMID- 18057938
TI - Genetic transformation via somatic embryogenesis to establish herbicide-resistant
opium poppy.
AB - A reliable genetic transformation protocol via somatic embryogenesis has been
developed for the production of fertile, herbicide-resistant opium poppy plants.
Transformation was mediated by Agrobacterium tumefaciens using the pCAMBIA3301
vector, which harbors the phosphinothricin acetyltransferase (pat) gene driven by
a tandem repeat of the cauliflower mosaic virus (CaMV) 35S promoter and the beta
glucuronidase (gus) structural gene driven by a single copy of the CaMV 35S
promoter between left- and right-border sequences. Co-cultivation of explants and
A. tumefaciens was performed in the presence of 50 microM ATP and 50 microM
MgCl(2). Root explants pre-cultured on callus induction medium were used for
transformation. Herbicide-resistant, proliferating callus was obtained from
explants on a medium containing both 2,4-dichlorophenoxyacetic acid (2,4-D) and 6
benzyladenine (BA). Globular embryogenic callus, induced by removal of the BA
from the medium, was placed on a hormone-free medium to form somatic embryos,
which were converted to plantlets under specific culture conditions. Plantlets
with roots were transferred to soil, allowed to mature and set seed. Both pat and
gus gene transcripts, and PAT and GUS enzyme activities were detected in the
transgenic lines tested. Histochemical localization of GUS activity in T(1) opium
poppy plants revealed transgene expression in most tissues of all plant organs.
The protocol required 8-12 months to establish transgenic T(1) seed stocks and
was developed using a commercial opium poppy cultivar that produces high levels
of pharmaceutical alkaloids.
PMID- 18057939
TI - Stress-induced expression of choline oxidase in potato plant chloroplasts confers
enhanced tolerance to oxidative, salt, and drought stresses.
AB - Transgenic potato plants (Solanum tuberosum L. cv. Superior) with the ability to
synthesize glycinebetaine (GB) in chloroplasts (referred to as SC plants) were
developed via the introduction of the bacterial choline oxidase (codA) gene under
the control of an oxidative stress-inducible SWPA2 promoter. SC1 and SC2 plants
were selected via the evaluation of methyl viologen (MV)-mediated oxidative
stress tolerance, using leaf discs for further characterization. The GB contents
in the leaves of SC1 and SC2 plants following MV treatment were found to be 0.9
and 1.43 micromol/g fresh weight by HPLC analysis, respectively. In addition to
reduced membrane damage after oxidative stress, the SC plants evidenced enhanced
tolerance to NaCl and drought stress on the whole plant level. When the SC plants
were subjected to two weeks of 150 mM NaCl stress, the photosynthetic activity of
the SC1 and SC2 plants was attenuated by 38 and 27%, respectively, whereas that
of non-transgenic (NT) plants was decreased by 58%. Under drought stress
conditions, the SC plants maintained higher water contents and accumulated higher
levels of vegetative biomass than was observed in the NT plants. These results
indicate that stress-induced GB production in the chloroplasts of GB non
accumulating plants may prove useful in the development of industrial transgenic
plants with increased tolerance to a variety of environmental stresses for
sustainable agriculture applications.
PMID- 18057940
TI - The olfactory pathway mediates sheltering behavior of Caribbean spiny lobsters,
Panulirus argus, to conspecific urine signals.
AB - The "noses" of diverse taxa are organized into different subsystems whose
functions are often not well understood. The "nose" of decapod crustaceans is
organized into two parallel pathways that originate in different populations of
antennular sensilla and project to specific neuropils in the brain-the
aesthetasc/olfactory lobe pathway and the non-aesthetasc/lateral antennular
neuropil pathway. In this study, we investigated the role of these pathways in
mediating shelter selection of Caribbean spiny lobsters, Panulirus argus, in
response to conspecific urine signals. We compared the behavior of ablated
animals and intact controls. Our results show that control and non-aesthetasc
ablated lobsters have a significant overall preference for shelters emanating
urine over control shelters. Thus the non-aesthetasc pathway does not play a
critical role in shelter selection. In contrast, spiny lobsters with aesthetascs
ablated did not show a preference for either shelter, suggesting that the
aesthetasc/olfactory pathway is important for processing social odors. Our
results show a difference in the function of these dual chemosensory pathways in
responding to social cues, with the aesthetasc/olfactory lobe pathway playing a
major role. We discuss our results in the context of why the noses of many
animals contain multiple parallel chemosensory systems.
PMID- 18057941
TI - Effects of constant light on circadian rhythmicity in mice lacking functional cry
genes: dissimilar from per mutants.
AB - Mutations in each of the genes mPer1, mPer2, mCry1 and mCry2 separately cause
deviations from the wild type circadian system. Differences between these mutant
strains have inspired the hypothesis that the duality of circadian genes (two
mPer and two mCry genes involved) is related to the existence of two components
in the circadian oscillator (Daan et al., J Biol Rhythms 16:105-116, 2001). We
tested the predictions from this theory that the circadian period (tau) lengthens
under constant illumination (LL) in mCry1 and mPer1 mutant mice, while it
shortens in mCry2 and mPer2 mutants. mCry1 ( -/- ) and mCry2 ( -/- ) knockout
mice both consistently increased tau with increasing light intensity, as did wild
type mice. With increasing illumination, rhythmicity is reduced in mCry1, mCry2
and mPer1, but not in mPer2 deficient mice. Results for mPer mutant mice are in
agreement with data reported on these strains earlier by Steinlechner et al. (J
Biol Rhythms 17:202-209, 2002), and also with the predictions from the model. The
increase in cycle length of the circadian system by light in the mCry2 deficient
mice violates the predictions. The model is thereby rejected: the mCry genes do
not play a differential role, although the opposite responses of mPer mutants to
light remain consistent with a functional Evening-Morning differentiation.
PMID- 18057942
TI - Response properties of electrosensory neurons in the lateral mesencephalic
nucleus of the paddlefish.
AB - Many fishes and amphibians are able to sense weak electric fields from prey
animals or other sources. The response properties of primary afferent fibers
innervating the electroreceptors and information processing at the level of the
hindbrain is well investigated in a number of taxa. However, there are only a few
studies in higher brain areas. We recorded from electrosensory neurons in the
lateral mesencephalic nucleus (LMN) and from neurons in the dorsal octavolateral
nucleus (DON) of the paddlefish. We stimulated with sine wave stimuli of
different amplitudes and frequencies and with moving DC stimuli. During
sinusoidal stimulation, DON units increased their firing rate during the negative
cycle of the sine wave and decreased their firing rate to the positive cycle.
Lateral mesencephalic nucleus units increased their rate for both half cycles of
the sine wave. Lateral mesencephalic nucleus units are more sensitive than DON
units, especially to small moving dipoles. Dorsal octavolateral nucleus units
respond to a moving DC dipole with an increase followed by a decrease in spike
rate or vice versa, depending on movement direction and dipole orientation.
Lateral mesencephalic nucleus units, in contrast, increased their discharge rate
for all stimuli. Any change in discharge rate of DON units is converted in the
LMN to a discharge rate increase. Lateral mesencephalic nucleus units therefore
appear to code the presence of a stimulus regardless of orientation and motion
direction.
PMID- 18057943
TI - Intrathecal chemotherapy for refractory disseminated medulloblastoma.
AB - OBJECTIVE: To analyze the effect of intrathecal (IT) chemotherapy for
disseminated medulloblastoma. MATERIALS AND METHODS: Twenty-one patients received
IT chemotherapy using the chemotherapeutic agents of methotrexate (MTX) and
nitrosoureas (ACNU, MCNU) including nine patients for residual leptomeningeal
lesions after initial surgery and radiation, and 12 for a recurrence with
leptomeningeal dissemination. Of these 21 patients, 12 received a lumbar and/or
ventricular bolus injection of the chemotherapeutic agents, one received the
ventriculolumbar perfusion of the agents, and eight received both the perfusion
and bolus injection. The doses ranged from 6-7 mg/m(2) of ACNU for perfusion and
3-3.5 mg/m(2) of ACNU, MCNU, or MTX for the bolus injection, and the cycles were
administered from 3 to 12 times for perfusion and from 5 to 54 times for the
bolus injection. The effects of chemotherapy were assessed by both radiological
and cytological examinations, and the clinical symptoms were also assessed.
Radiological and/or cytological responses were observed in 10 of 21 patients
(47.6%), including seven cases demonstrating a complete remission. The 5-year
overall survival rate and 5-year survival rate after dissemination were 61.5 and
46.4%, respectively. Five patients who received a lumbar bolus injection of
nitrosoureas experienced paraplegia and double incontinence. One patient who
received a ventricular injection of nitrosoureas experienced truncal ataxia.
CONCLUSION: IT chemotherapy was found to be effective in some cases with
refractory disseminated medulloblastoma and it seems to be an appropriate
treatment choice for leptomeningeal recurrence. However, the frequent bolus
injections of nitrosoureas should be avoided to prevent the side effects.
PMID- 18057944
TI - Biomechanical and functional analysis of the pins and rubbers tractions system
for treatment of proximal interphalangeal joint fracture dislocations.
AB - INTRODUCTION: Dynamic external fixation systems are considered as optimal devices
for treatment of fracture dislocations of the proximal interphalangeal joint
(PiP). The pins and rubbers traction system (PRTS) is cheap, easy to assemble and
comfortable and allows early mobilization of the affected digit. We investigated
the influence of different wire positions and rubber strength and provided a
follow-up of five consecutively treated patients. METHODS: Sixteen cadaver
fingers (dig 2-5) were included into the biomechanical study. Forces for flexion
of the PiP joint (30 degrees, 60 degrees, and 90 degrees) were measured by
pulling the flexor digitorum profundus tendon; width of the PiP joint was
assessed radiologically. Measurements were obtained prior and after assembly of
PRTS and were repeated with three different types of rubbers and two different
positions of the retention wire (distal and proximal). The clinical part of the
study included five patients (21-72 years) who were treated using the PRTS. Mean
follow-up was 272 days. RESULTS: The PRTS increased flexion force of the PiP
joint. Proximal position of the retention wire increased forces for 30 degrees
flexion. Different rubber strengths did neither increase PiP width nor influence
flexion forces. In the clinical part of the study total range of motion was 74
degrees and DASH score was 10.1. Pinch grip of the affected digit was 72% of the
unaffected side. DISCUSSION: The PRTS allows for efficient treatment of
intraarticular fractures with PiP involvement. Although standardized positioning
of the wires is important, proximal position of the retraction only increased
forces for 30 degrees flexion.
PMID- 18057945
TI - Chronic rupture of Achilles tendon: is the percutaneous suture technique
effective?
AB - BACKGROUND: We report the long-term results of the surgical treatment of chronic
rupture of the Achilles tendon using percutaneous suturing under local
anesthesia. PATIENTS AND METHODS: We operated on 22 patients with median age of
50 years (29-72) with chronic rupture of Achilles tendon between 1991 and 2005.
The median time from injury to surgery was 7.1 weeks (4-40). We used percutaneous
surgical technique similar to a technique described by Ma and Griffith (1977,
Clin Orthop Relat Res 128:247-255) and Kosanovic (1994, Arch Orthop Trauma
Surgery 113:177-179). Eighteen (82%) patients attended the clinical review at a
mean of 67 months (14-176). During follow up, patients were asked about pain,
stiffness, weakness of the calf, footwear restrictions, occupation and level of
activity before and after injury (Tegner score), influence of injury on ADL
activities and satisfaction with treatment. The passive and active range of
movement of the ankle and the power of isometric plantar flexion were measured,
and the endurance test was performed. Functional assessment was performed using a
Leppilahti clinical scoring scale and a modified Merkel score RESULTS: Complete
healing of the tendon was achieved in 21 patients (95%). In 17 patients (77%) the
postoperative course was complications free. There were no reruptures during the
observation period. All were able to stand on the tiptoe of the injured leg. The
operated leg had a mean of 87.5% of the isometric power compared with the
uninjured leg (p = NS). On an average they could stand on toes and raise the heel
13 times on side with the ruptured tendon. The Leppilahti scoring scale revealed
a result that was excellent for 11 patients (62%), good for 2 (11%), fair for 5
(28%) and no one had poor result. The average score was 83.3 (60-100). Eighty
three percent of patients stated that the result of surgery was very good and 11%
rated it as good. Fourteen patients (78%) returned to same level of activity at
median 7 months after surgery. CONCLUSIONS: Our series is one of the largest to
be reported for the treatment of chronic rupture. Our technique offers a
considerable advantage; it is minimal invasive, easy to perform with no
associated harvesting morbidity and increased patient acceptance. We recommend
this technique for the treatment of chronic rupture of Achilles tendon.
PMID- 18057946
TI - Potential risk of cartilage damage in double bundle ACL reconstruction: impact of
knee flexion angle and portal location on the femoral PL bundle tunnel.
AB - The aim of this study was to compare the impact of knee flexion angle and the
level of the medial drilling portal on a potential damage to the subchondral bone
in double bundle ACL reconstruction, drilling the femoral PL tunnel through an
accessory medial portal. We hypothesized that a knee flexion angle of 70 degrees
and 90 degrees or a high accessory medial portal will result in a potential
damage to the subchondral bone of the lateral femoral condyle. In a sawbone knee
model, the medial portal location was standardized as 0 mm above the meniscus
(low portal) and 10 mm above the meniscus (high portal). Femoral PL bundle
tunnels were drilled at three different knee flexion angels: 70 degrees , 90
degrees , and 110 degrees of knee flexion. For each portal, ten specimens were
used for every flexion angle. Drilling the PL tunnel through the high medial
portal at a knee flexion angle of 70 degrees resulted in damage of the
subchondral bone plate in all specimens. At 110 degrees of flexion the distance
of the tunnel exit to the subchondral bone plate was significantly higher than at
70 degrees of flexion for both the groups, drilling through the high and low
medial portal (P < 0.05). Drilling through the low portal did not result in bone
plate damage at 90 and 110 degrees of knee flexion angle. Drilling of the femoral
PL bundle tunnel through a high medial portal at low knee flexion angles may
damage the subchondral bone of the lateral compartment. In ACL reconstruction
restoring the AM and PL bundle separately, high medial portal drilling should be
avoided. We recommend drilling of the femoral PL bundle tunnel through a low
medial portal in high knee flexion.
PMID- 18057947
TI - Auditory steady-state responses to multiple simultaneous stimuli in children with
functional or sensorineural hearing loss.
AB - The goal of our study was to identify the role of auditory steady-state responses
for hearing assessment in patients with functional hearing loss. The study design
was to compare auditory steady-state response thresholds and standard pure-tone
audiometry thresholds between patients with functional or sensorineural hearing
loss. Subjects comprised 16 patients (24 ears) with functional hearing loss and
17 patients (24 ears) with sensorineural hearing loss. Differences and
correlations between auditory steady-state response thresholds and standard pure
tone audiometry thresholds at 500, 1,000, 2,000 and 4,000 Hz were evaluated. In
children with functional hearing loss, pure-tone audiometry thresholds and
auditory steady-state response thresholds were significantly different at all
frequencies and were not significantly correlated. In patients with sensorineural
hearing loss, pure-tone audiometry thresholds and auditory steady-state response
thresholds did not differ significantly at any frequencies and were significantly
correlated. Auditory steady-state responses may have principal role in the
assessment of auditory brainstem acuity, particularly at low frequencies in
patients with functional hearing loss.
PMID- 18057948
TI - Hyperplastic epithelial lesions of the vocal folds: combined use of exfoliative
cytology and laryngostroboscopy in differential diagnosis.
AB - The mainstay of successful tumor therapy is early detection of neoplastic tissue.
Although exfoliative cytology has proven to be a reliable tool, its importance is
still underestimated. Laryngostroboscopy is the most important tool for
functional investigation in laryngological and phoniatric diagnosis. Stroboscopic
evaluation allows early detection of infiltrative processes of the vocal folds.
Aim of our study was to demonstrate that combination of both, exfoliative
cytology and stroboscopy, provides a highly sensitive and easy to perform method
in differential diagnosis of epithelial hyperplastic lesions of the vocal folds.
In 130 patients with varying degrees of vocal fold keratosis up to glottic
cancer, preoperative layngostroboscopy was performed. Stroboscopy was classified
pathological in case of reduced or abolished amplitude of vocal fold vibration
and/or reduced or abolished mucosal wave propagation. Under general anaesthesia
histology with corresponding cytological specimens were obtained. The latter were
classified in three groups reaching from normal (I), dysplastic (II), up to
malignant (III) cytology. Invasive carcinoma was diagnosed in 32 cases by
histology, corresponding malignant cytology was found in 21 specimens
(sensitivity: 74%). By certain combination of cytology with pathological
stroboscopy, a sensitivity of more than 97% can be achieved. Combination of
cytology and stroboscopy allows detection of glottic cancer with a sensitivity of
97%, in contrast to 74% as found by cytology alone. This combination can be used
as preliminary or sorting procedure and gives the opportunity of early detection,
as well as for follow-up examinations. For repeated biopsies can cause scars with
consecutive voice impairment, this procedure is very smooth but nevertheless
reliable method.
PMID- 18057949
TI - Multiple mechanisms of chromosome movement in vertebrate cells mediated through
the Ndc80 complex and dynein/dynactin.
AB - Kinetochores bind microtubules laterally in a transient fashion and stably, by
insertion of plus ends. These pathways may exist to carry out distinct tasks
during different stages of mitosis and likely depend on distinct molecular
mechanisms. On isolated chromosomes, we found microtubule nucleation/binding
depended additively on both dynein/dynactin and on the Ndc80/Hec1 complex.
Studying chromosome movement in living Xenopus cells within the simplified
geometry of monopolar spindles, we quantified the relative contributions of
dynein/dynactin and the Ndc80/Hec1 complex. Inhibition of dynein/dynactin alone
had minor effects but did suppress transient, rapid, poleward movements. In
contrast, inhibition of the Ndc80 complex blocked normal end-on attachments of
microtubules to kinetochores resulting in persistent rapid poleward movements
that required dynein/dynactin. In normal cells with bipolar spindles,
dynein/dynactin activity on its own allowed attachment and rapid movement of
chromosomes on prometaphase spindles but failed to support metaphase alignment
and chromatid movement in anaphase. Thus, in prometaphase, dynein/dynactin likely
mediates early transient, lateral interactions of kinetochores and microtubules.
However, mature attachment via the Ndc80 complex is essential for metaphase
alignment and anaphase A.
PMID- 18057950
TI - Expression and localization of PMCA4 in rat testis and epididymis.
AB - It has recently been shown in mice that the plasma membrane Ca(2+)-ATPase isoform
4 (PMCA4) is essential for sperm fertilization capacity. We analyzed whether
sperm PMCA4 is formed in the rat during spermatogenesis or is synthesized in the
epididymis and transferred onto sperm during sperm maturation. We could show that
PMCA4 is conserved in sperm from testis to epididymis. In testis, PMCA4 mRNA was
restricted to spermatogonia and early spermatocytes, while the PMCA4 protein was
detected in spermatogonia, late spermatocytes, spermatids and in epididymal
sperm. In epididymis PMCA4 mRNA was localized in basolateral plasma membranes of
epithelial cells of the caput, corpus and cauda epididymidis. In contrast, the
protein was only detectable in the epithelial cells of the caput, indicating that
PMCA4 mRNA is only translated into protein in caput epithelium. In the epididymal
corpus and cauda, PMCA4 mRNA and protein, respectively, was localized and in
peritubular cells. Furthermore, we detected an identical distribution of PMCA4a
and b splice variants in rat testis, epididymal corpus and cauda. In the caput
epididymidis, where PMCA4 is located in the epithelium splice variant 4b was more
prominent. Further experiments have to clarify the functional importance of the
differences in the PMCA4 distribution.
PMID- 18057951
TI - Evaluation of medical decisions' effectiveness: a 4-year evidence-based study in
a health care setting.
AB - OBJECTIVES: Specific studies evaluating the effectiveness of the judgement of
fitness for work formulated by occupational physicians (OP) are needed. The
evaluation consists in investigating OPs' decisions by measuring their impact on
health with specific indicators. This specific study aims at assessing, through
outcome indicators, the effectiveness of medical decisions in 61 cases leading to
preventive interventions. METHODS: A 3-step pre-post observational study was
carried out in a 4-year period. About 61 clinical cases of health care workers
were studied according to the following steps: (1) a medical examination
resulting in an evidence-based judgement of fitness for work including
prescriptions for an appropriate intervention, (2) the application of the
preventive intervention, (3) a second medical examination and completion of a
questionnaire by the workers. Two outcome measures were chosen to investigate
changes occurred after the intervention: the first measured the perceived and the
objective health condition, the second measured satisfaction and working
capacity. RESULTS: Results show a statistically significant increase in subjects
presenting a perceived (36/61; chi 2 = 44.099, P < 0.001) and an objective
(28/61; chi 2 = 12.190, P < 0.01) improvement of health conditions after the
intervention, together with an increased satisfaction in working conditions. An
overall improvement of health condition was shown in 44/61 workers (72%).
CONCLUSIONS: Decisions made on the basis of a comprehensive process founded on
scientific evidence, searched and appraised according to the paradigm of Evidence
Based Occupational Health, result in effective outcomes.
PMID- 18057953
TI - Physiology-based modeling of cortical auditory evoked potentials.
AB - Evoked potentials are the transient electrical responses caused by changes in the
brain following stimuli. This work uses a physiology-based continuum model of
neuronal activity in the human brain to calculate theoretical cortical auditory
evoked potentials (CAEPs) from the model's linearized response. These are fitted
to experimental data, allowing the fitted parameters to be related to brain
physiology. This approach yields excellent fits to CAEP data, which can then be
compared to fits of EEG spectra. It is shown that the differences between resting
eyes-open EEG and standard CAEPs can be explained by changes in the physiology of
populations of neurons in corticothalamic pathways, with notable similarities to
certain aspects of slow-wave sleep. This pilot study demonstrates the ability of
our model-based fitting method to provide information on the underlying
physiology of the brain that is not available using standard methods.
PMID- 18057952
TI - Digital blood flow and temperature responses in palmar and dorsal skin induced by
short-term vibration exposure while grasping a vibratory handle.
AB - OBJECTIVE: The present study was designed to examine the simultaneous responses
in digital circulation at both palmar and dorsal skin induced by acute exposure
to short-term vibration while grasping a vibratory handle, and also to evaluate
the importance of measurement site for such responses. METHODS: Finger blood flow
(FBF) and finger skin temperature (FST) were measured from the palmar (index
finger) and dorsal (middle finger) regions of the right hand in eight male
subjects, at rest for 5 min and while gripping the handle for 15 min. At the end
of fifth minute during grasping of the handle, subject's hand was exposed for 5
min to four different exposure conditions (vibration at three frequencies of
31.5, 125 and 250 Hz with a frequency weighted rms acceleration of 5.5 m/s(2),
and no vibration). RESULTS: During vibration exposure relative to the
corresponding control conditions, the %change (calculated from before exposure
mean value in gripping condition) in dorsal FBF increased substantially (P < 0.01
0.001), and the %change for decreased palmar FST augmented significantly (P <
0.05-0.01) in all frequencies. After vibration exposure, blood flow and skin
temperature also remained significantly higher in dorsal finger (P < 0.05-0.005),
and significantly lower in palmar finger (P < 0.005-0.001) at different
frequencies comparing to the control values. Also, only vibration exposure caused
significantly different %changes in digital circulation between palmar and dorsal
fingers (P < 0.001). CONCLUSION: These data suggest that vibration can affect the
digital circulation in both palmar and dorsal skin but differently. Therefore,
while comparing the data of digital circulatory responses induced by acute
exposure to hand-transmitted vibration recorded at palmar and dorsal sides of the
hand, cautions are required when interpreting the results.
PMID- 18057954
TI - Assessment of brain interactivity in the motor cortex from the concept of
functional connectivity and spectral analysis of fMRI data.
AB - Functional magnetic resonance imaging (fMRI) was used to assess the contributions
of movement preparation and execution of a visuomotor task in a cerebral motor
network. The functional connectivity of the voxel time series between brain
regions in the frequency space was investigated by performing spectral analysis
of fMRI time series. The regional interactivities between the two portions of the
supplementary motor area (pre-SMA and SMA-proper) and the primary motor cortex
(M1), defined as a seed region, were evaluated. The spectral parameter of
coherence was used to describe a correlation structure in the frequency domain
between two voxel-based time series and to infer the strength of the functional
interaction within our presumed motor network of connections. The results showed
meaningful differences of the functional interactions between the two portions of
the SMA and the M1 area depending on the task conditions. This approach
demonstrated the existence of a functional dissociation between the pre-SMA and
SMA-proper subregions. We therefore conclude that spectral analysis is useful for
identifying functional interactions of brain regions and might provide a powerful
tool to quantify changes in connectivity profiles associated with various
components of an experimental task.
PMID- 18057955
TI - p53 Expression and apoptosis in liver and spleen during CO2 pneumoperitoneum.
AB - BACKGROUNDS AND AIMS: Laparoscopic surgery techniques have been increasingly
preferred to classic laparotomy by surgeons since 1987. However, this method has
some important adverse effects on intra-abdominal organs. The aim of this study
is to evaluate the effects of different pressures of CO(2) on apoptosis and p53
expression in cells in liver and spleen. METHODS: In total, 30 male Sprague
Dawley rats were used in the study. CO(2) was insufflated into the intra
abdominal cavity via angiocatheter cannule by an insufflator in two different
pressures of 10 and 20 mm Hg for 60 min. However, in the control group, only
cannule was inserted into the intra-abdominal cavity, but no gas was insufflated.
After 60 min, the rats were killed and laparotomy was applied. The liver and
spleen were excised. The samples were histologically processed and
immunohistochemistry was applied. RESULTS: All the data revealed that the number
of apoptotic cells in liver and spleen increases in proportion to CO(2) pressure
level. No p53 expression was detected in both organs. CONCLUSION: CO(2) pressure
level and application time may affect on cells living in liver and spleen. High
pressure and/or long application time may cause releasing of cytokines and
superoxide radicals from these organs' cells, and transient or serious organ
dysfunctions may occur.
PMID- 18057956
TI - Adenosine receptors in rat and human pancreatic ducts stimulate chloride
transport.
AB - Previously, we have shown that pancreatic acini release adenosine triphosphate
(ATP) and ATP-handling enzymes, and pancreatic ducts express various purinergic
P2 receptors. The aim of the present study was to establish whether pancreatic
ducts also express adenosine receptors and whether these could be involved in
secretory processes, which involve cystic fibrosis transmembrane regulator (CFTR)
Cl- channels or Ca2+-activated Cl- channels and H(+)/HCO(-)(3) transporters.
Reverse transcriptase polymerase chain reaction analysis on rat pancreatic ducts
and human duct cell adenocarcinoma lines showed that they express A1, A2A, A2B,
and A3 receptors. Real-time PCR revealed relatively low messenger RNA levels of
adenosine receptors compared to beta-actin; the rank order for the receptors was
A2A>A2B>or=A3>>A1 for rat pancreas and A2B>A2A>>A3>or=A1 for duct cell lines.
Whole-cell patch-clamp recordings on rat pancreatic ducts showed that, in about
half of the recordings, adenosine depolarized the membrane voltage, and this was
because of the opening of Cl- channels. Using a Cl--sensitive fluorophore and
single-cell imaging on duct cell lines, it was found that 58% of PANC-1 cells
responded to adenosine, whereas only 9% of CFPAC-1 cells responded. Adenosine
elicited Ca2+ signals only in a few rat and human duct cells, which did not seem
to correlate with Cl- signals. A2A receptors were localized in the luminal
membranes of rat pancreatic ducts, plasma membrane of many PANC-1 cells, but only
a few CFPAC-1 cells. Taken together, our data indicate that A2A receptors open Cl
channels in pancreatic ducts cells with functional CFTR. We propose that
adenosine can stimulate pancreatic secretion and, thereby, is an active player in
the acini-to-duct signaling.
PMID- 18057957
TI - Imaging CFTR in its native environment.
AB - Application of atomic force microscopy (AFM) on isolated plasma membranes is a
valuable method to study membrane proteins down to single-molecule level in their
native environment. The cystic fibrosis transmembrane conductance regulator
(CFTR), a protein of the adenosine triphosphate-binding cassette transporter
superfamily, is known to play a crucial role in maintaining the salt and water
balance on the epithelium and to influence processes such as cell volume
regulation. A mutation in the gene encoding for CFTR results in cystic fibrosis
(CF), a very common lethal genetic disease. Identification of CFTR within the
cell membrane at the single-molecule level makes it feasible to visualize the
distribution and organization of CFTR proteins within the cell membrane of
healthy individuals and CF patients. We were able to show that human red blood
cells have a CFTR distribution comparable to that of epithelial cells and that
the number of CFTR in cells derived from CF patients is strongly reduced. Studies
on CFTR-expressing oocytes disclose CFTR dynamics upon CFTR activation. We
observed that cyclic adenosine monophosphate induces an insertion of CFTR in the
plasma membrane and the formation of heteromeric CFTR-containing structures with
yet unknown stoichiometry. The structure of CFTR was identified by high
resolution scans of immunogold-labeled CFTR, revealing that CFTR forms a tail-to
tail dimer with a central pore. In conclusion, these studies show that AFM
experiments on isolated plasma membranes allow not only quantification and
localization of membrane proteins but also provide insight in their dynamics at a
single-molecule level.
PMID- 18057958
TI - ABCB1 (P-glycoprotein) but not ABCC1 (MRP1) is downregulated in peripheral blood
mononuclear cells of spontaneously hypertensive rats.
AB - Although the kidney is a major target in hypertension, several studies have
correlated important immune alterations with the development of hypertension in
spontaneously hypertensive rats (SHR), like increased secretion of pro
inflammatory cytokines, inflammatory infiltration in kidneys and thymic atrophy.
Because adenosine-triphosphate-binding cassette sub-family B member 1 (ABCB1; P
glycoprotein) and adenosine-triphosphate-binding cassette sub-family C member 1
(ABCC1; multidrug resistance protein 1), two proteins first described in
multidrug resistant tumors, physiologically transport several immune mediators
and are required for the adequate functioning of the immune system, we aimed to
measure the expression and activity of these proteins in peripheral blood
mononuclear cells (PBMC), thymocytes, and also kidneys of normotensive Wistar
Kyoto rats and SHR. Our results showed that ABCB1, but not ABCC1, activity was
diminished (nearly 50%) in PBMC. Moreover, Abcb1b gene was downregulated in PBMC
and kidney of SHR and this was not counterbalanced by an upregulation of its
homolog Abcb1a, suggesting that the diminished activity is due to downregulation
of the gene. No alteration was detected in ABCB1 activity in SHR thymocytes,
indicating that this downregulation occurs after lymphocytes leave the primary
lymphoid organs. Even though it is not known at present which parameter(s)
is(are) responsible for this downregulation, it may contribute for the altered
immune response observed in hypertension and to possible altered drug disposition
in hypertensive individuals, resulting in greater drug interaction and increased
drug toxicity.
PMID- 18057959
TI - Dissociation of force decline from calcium decline by preload in isolated rabbit
myocardium.
AB - It is well known that the rate of intracellular calcium ([Ca2+]i) decline is an
important factor governing relaxation in unloaded myocardium. However, it remains
unclear to what extent, under near physiological conditions, the intracellular
calcium transient amplitude and kinetics contribute to the length-dependent
increase in force and increase in duration of relaxation. We hypothesize that
myofilament properties rather than calcium transient decline primarily determines
the duration of relaxation in adult mammalian myocardium. To test this
hypothesis, we simultaneously measured force of contraction and calibrated
[Ca2+]i transients in isolated, thin rabbit trabeculae at various lengths at 37
degrees C. Time from peak tension to 50% relaxation (RT50(tension)) increases
significantly with length (from 49.8+/-3.4 to 83.8+/-7.4 ms at an [Ca2+]o of 2.5
mM), whereas time from peak calcium to 50% decline (RT50(calcium)) was not
prolonged (from 124.8+/-5.3 to 107.7+/-11.4 ms at an [Ca2+]o of 2.5 mM). Analysis
of variance revealed that RT50(tension) is significantly correlated with length
(P<0.0001). At optimal length, varying the extracellular calcium concentration
increased both developed force and calcium transient amplitude, but RT50(tension)
remained unchanged (P=0.90), whereas intracellular calcium decline actually
accelerated (P<0.05). Thus, an increase in muscle length will result in an
increase in both force and duration of relaxation, whereas the latter is not
primarily governed by the rate of [Ca2+]i decline.
PMID- 18057961
TI - That's what task sets are for: shielding against irrelevant information.
AB - Goal-directed behavior requires the cognitive system to distinguish between
relevant and irrelevant information. The authors show that task sets help to
shield the system from irrelevant information. Participants had to respond to
eight different colored word stimuli under different instruction conditions. They
either had to learn the stimulus-response mappings (SR condition), to use one
task set (1 TS condition) or to use two different task sets (2 TS condition). In
the 2 TS and the SR conditions, participants showed response repetition effects
(interaction of color repetition x response repetition), indicating that
participants processed the color of the words. Importantly, the 1 TS condition
did not show such an interaction. Overall, the results provide evidence for the
shielding function of task sets. This benefit turns into costs in classical task
switching paradigms. From this perspective, switch costs can be interpreted as
the consequence of successful shielding on the previous task.
PMID- 18057960
TI - Insights into the chemical composition of Equisetum hyemale by high resolution
Raman imaging.
AB - Equisetaceae has been of research interest for decades, as it is one of the
oldest living plant families, and also due to its high accumulation of silica up
to 25% dry wt. Aspects of silica deposition, its association with other
biomolecules, as well as the chemical composition of the outer strengthening
tissue still remain unclear. These questions were addressed by using high
resolution (<1 microm) Confocal Raman microscopy. Two-dimensional spectral maps
were acquired on cross sections of Equisetum hyemale and Raman images calculated
by integrating over the intensity of characteristic spectral regions. This
enabled direct visualization of differences in chemical composition and
extraction of average spectra from defined regions for detailed analyses,
including principal component analysis (PCA) and basis analysis (partial least
square fit based on model spectra). Accumulation of silica was imaged in the
knobs and in a thin layer below the cuticula. In the spectrum extracted from the
knob region as main contributions, a broad band below 500 cm(-1) attributed to
amorphous silica, and a band at 976 cm(-1) assigned to silanol groups, were
found. From this, we concluded that these protrusions were almost pure amorphous,
hydrated silica. No silanol group vibration was detected in the silicified
epidermal layer below and association with pectin and hemicelluloses indicated.
Pectin and hemicelluloses (glucomannan) were found in high levels in the
epidermal layer and in a clearly distinguished outer part of the hypodermal
sterome fibers. The inner part of the two-layered cells revealed as almost pure
cellulose, oriented parallel along the fiber.
PMID- 18057962
TI - Dealing with indeterminacy in spatial descriptions.
AB - How do people tackle indeterminate spatial descriptions, that is those
descriptions for which several representations are possible? Take for instance
the two following statements: B is to the left of A, C is to the left of A. This
description is indeterminate because it is compatible with at least two
possibilities: (1) C B A; (2) B C A. Studies on human reasoning have shown that
people tend to reduce the complexity of such indeterminate descriptions by
representing only one possibility. Which one do people favour? Is one possibility
easier to work out than the other? Is one possibility more plausible than the
other? Two competing hypotheses make different predictions about the
representation people favour. If the building of the representation is driven by
what we call manipulation difficulty, then (1) is more likely to be constructed
than (2) because (2) results from reorganising the representation following the
first statement where B is adjacent to A (i.e. B A) while (1) is just an
extension of this initial representation. However, if the representation process
is driven by pragmatic factors, then (2) is more likely to be built than (1)
because the second statement could be interpreted as implicating "C is not to the
left of B". Indeed, if C had been to the left of B it would have been more
appropriate to utter, "C is to the left of B" rather than "C is to the left of
A". Data from several experiments show that both manipulation difficulty and
pragmatic factors play a role in determining participants' representations.
PMID- 18057963
TI - Tumor development in three patients with Noonan syndrome.
AB - The diagnosis of Noonan syndrome is essentially clinical, based upon the distinct
phenotype and the involvement of the cardiovascular system. Tumor development is
a rare manifestation of Noonan syndrome but can be explained by the molecular
pathophysiology involved in the disorder. We present three Noonan patients who
developed solid tumors. The first patient, a 4-year-old girl, developed granular
cell tumors as did her mother in childhood. The second patient, a 1-year-old boy,
had a low grade pilocytic astrocytoma, the clinical expression of which was
persistent headache. MRI showed a pituitary mass in the posterior lobe. It was
surgically removed. The third patient, a 7-year-old boy was found to have Sertoli
tumors in his right cryptorchid testis. All three patients fulfilled the clinical
criteria for Noonan syndrome. However, genetic testing was negative in patients 1
and 3. The diagnosis of Noonan syndrome was made based on distinct phenotypic
findings in three patients who had different types of tumors.
PMID- 18057964
TI - Pitfalls in studies of children with monosymptomatic nocturnal enuresis.
AB - In this issue Ferrara et al. present an important placebo-controlled, three-arm,
double-blind, double-dummy, study on the treatment of nocturnal enuresis,
demonstrating that homotoxicology is superior to placebo but less effective than
desmopressin. Nocturnal enuresis is a disease with a heterogeneous aetiology and
complex pathophysiology. The fact that different therapies may result in a wide
range of responses is, therefore, not surprising. Differences in success rate
can, therefore, be largely attributed to selection bias in the sub-populations.
This consideration must be taken into account for every study design, to avoid
premature interpretation of the results. Positive results in a paper are only not
subject for discussion if both methodology and study population fulfil the
highest standards, because negative results are not likely to be reported.
Several points of weakness are present in the majority of studies, such as (a)
inappropriate subtyping of the patients (terminology) or (b) epidemiological
data, (c) insufficient documentation of patients' characteristics, (d) lack of
plausible explanation as to why the placebo effect might be absent, (e) the
heterogeneity of the severity of bedwetting. All these may lead to false positive
and/or false negative results. In this commentary we try to tackle these
different issues which might be relevant for the interpretation even of placebo
controlled studies like that of Ferrara et al.
PMID- 18057965
TI - Relationships between alpha diversity of plant species in bloom and climatic
variables across an elevation gradient.
AB - This study analyzes a 20-year record of flowering observations collected near
Tucson, Arizona, USA. In contrast to traditional phenological records, this
dataset is a record of all species observed in bloom collected in five segments
of approximately 1 mile (1.61 km) in length across a 4,158-ft (1,200-m) elevation
gradient. The data showed differing seasonal and interannual patterns,
demonstrating the influence of climatic factors and elevation on flowering. Miles
at higher elevations showed bloom peaks in summer, consistent with temperate and
montane communities. Conversely, lower miles demonstrated two distinct flowering
seasons, typical of the surrounding Sonoran Desert. Interannual fluctuations in
total species observed in bloom were not consistent across the 5 miles (c. 8 km),
suggesting that these communities respond to different flowering cues. Consistent
with documented flowering triggers in semi-arid systems, the alpha diversity of
species in bloom at lower elevations in this study was strongly influenced by
precipitation. Upper elevation bloom numbers were heavily influenced by
temperature, correspondent with bloom triggers in temperate and montane systems.
In general, different life forms exhibited similar bloom triggers within the
study miles, believed to be a function of shallow soils. Multivariate community
analyses showed that anomalous climate conditions yielded unique seasonal bloom
compositions. Over the course of the study, average summer temperature showed an
upward trend; the number of species in bloom in summer (July-October) in the
highest mile (1,940-2,210 m) demonstrated a concurrent increasing trend.
Community analysis suggested a gradual shift in the composition of species in
bloom in this mile over the study period.
PMID- 18057966
TI - Scoliosis correction with pedicle screws in Duchenne muscular dystrophy.
AB - This report describes the spinal fixation with pedicle-screw-alone constructs for
the posterior correction of scoliosis in patients suffering from Duchenne
muscular dystrophy (DMD). Twenty consecutive patients were prospectively followed
up for an average of 5.2 years (min 2 years). All patients were instrumented from
T3/T4 to the pelvis. Pelvic fixation was done with iliac screws similar to
Galveston technique. The combination of L5 pedicle screws and iliac screws
provided a stable caudal foundation. An average of 16 pedicle screws was used per
patient. The mean total blood loss was 3.7 l, stay at the intensive care unit was
77 h and hospital stay was 19 days. Rigid stabilisation allowed immediate
mobilisation of the patient in the wheel chair. Cobb angle improved 77% from 44
degrees to 10 degrees, pelvic tilt improved 65% from 14 degrees to 3 degrees.
Lumbar lordosis improved significantly from 20 degrees to 49 degrees, thoracic
kyphosis remained unchanged. No problems related to iliac fixation, no
pseudarthrosis or implant failures were observed. The average percentage of
predicted forced vital capacity (%FVC) of the patients was 55% (22-94%)
preoperatively and decreased to 44% at the last follow-up. There were no
pulmonary complications. One patient with a known cardiomyopathy died
intraoperatively due to a sudden cardiac arrest. The rigid primary stability with
pedicle screws allowed early mobilisation of the patients, which helped to avoid
pulmonary complications.
PMID- 18057967
TI - The impact of aerobic fitness on functioning in chronic back pain.
AB - Despite lack of convincing evidence that reduced aerobic fitness is associated
with chronic back pain (CBP), exercise programs are regarded as being effective
for persons with non-specific CBP. It is unsure whether gain in aerobic fitness
following intervention is associated with functioning improvement in persons with
CBP. The objective of this prospective cohort study was to study the impact of
aerobic fitness on functioning in persons with CBP, at baseline and following 3
week intensive interdisciplinary intervention. This study included persons who
had passed 8 weeks of sick-listing because of back pain (n = 94) and were
referred to a 3-week intensive biopsychosocial rehabilitation program. Aerobic
fitness was assessed with a sub-maximal bicycle test at baseline, at admission to
and discharge from the rehabilitation program, and at 6 months follow-up.
Contextual factors, body function, activity and participation were evaluated
before and after intervention. In addition, working ability was recorded at 3
years follow-up. At baseline aerobic fitness was reduced in most subjects, but
improved significantly following intervention. Baseline measurements and
intervention effects did not differ among the diagnostic sub-groups. Neither
contextual factors nor functioning at baseline were associated with aerobic
fitness. Increase in aerobic fitness was not associated with improvements in
functioning and contextual factors and work-return following intervention either.
From this study we conclude that improvement of aerobic fitness seems of limited
value as goal of treatment outcome for patients with CBP.
PMID- 18057968
TI - Spinal extra-dural metastasis from Merkel cell carcinoma: a rare cause of
paraplegia.
AB - We report a rare case of Merkel cell carcinoma with extra-dural spinal metastasis
causing paraplegia. There are only four reported cases in literature. A 57-year
old lady presented with a breast lump, multiple truncal skin swellings, low back
pain and rapidly progressive paraplegia. MRI showed multiple epidural soft tissue
masses causing neural compression. A biopsy from the truncal skin lesion was
diagnosed as Merkel cell carcinoma (MCC). Posterior decompression and tumor
debulking at all three sites of neural compression was performed. Histopathology
of the epidural tumor was consistent with MCC and the diagnosis was confirmed by
immuno-histochemistry staining for cytokeratin-20. She was started on
chemotherapy and radiotherapy. One month after diagnosis she died due to
extensive metastasis. The short term palliative response seen in our patient
demonstrates the poor prognosis for patients with spinal metastasis.
PMID- 18057969
TI - Systematic development of computational models for the catalytic site in
galactose oxidase: impact of outer-sphere residues on the geometric and
electronic structures.
AB - A systematic in silico approach has been employed to generate sound,
experimentally validated active-site models for galactose oxidase (GO) using a
hybrid density functional, B(38HF)P86. GO displays three distinct oxidation
states: oxidized [Cu(II)-Y*]; semireduced [Cu(II)-Y]; and reduced [Cu(I)-Y]. Only
the [Cu(II)-Y*] and the [Cu(I)-Y] states are assumed to be involved in the
catalytic cycle, but their structures have not yet been determined. We have
developed several models (1-7) for the [Cu(II)-Y*] state that were evaluated by
comparison of our computational results with experimental data. An extended model
system (6) that includes solvent molecules and second coordination sphere
residues (R330, Y405, and W290) is essential to obtain an experimentally correct
electronic structure of the active site. The optimized structure of 6 resulted in
a five-coordinate Cu site with a protein radical centered on the Tyr-Cys
cofactor. We further validated our converged model with the largest model (7)
that included additional outer-sphere residues (Q406, H334, Y329, G513, and T580)
and water molecules. Adding these residues did not affect significantly the
active site's electronic and geometric structures. Using both 6 and 7, we
explored the redox dependence of the active-site structure. We obtained four- and
three-coordinate Cu sites for [Cu(II)-Y] and [Cu(I)-Y] states, respectively, that
corroborate well with the experimental data. The relative energies of these
states were validated by a comparison with experimental redox potentials.
Collectively, our computational GO models well reproduce the physicochemical
characteristics of the individual states, including their redox behaviors.
PMID- 18057970
TI - A new method for chlorhexidine (CHX) determination: CHX release after application
of differently concentrated CHX-containing preparations on artificial fissures.
AB - Aims of the study were (1) to establish a method for quantification of
chlorhexidine (CHX) in small volumes and (2) to determine CHX release from
differently concentrated CHX-containing preparations, varnishes, and a CHX gel
applied on artificial fissures. CHX determination was conducted in a microplate
reader using polystyrene wells. The reduced intensity of fluorescence of the
microplates was used for CHX quantification. For verification of the technique,
intra- and inter-assay coefficients of variation were calculated for graded
series of CHX concentrations, and the lower limit of quantification (LLOQ) was
determined. Additionally, artificial fissures were prepared in 50 bovine enamel
samples, divided into five groups (A-E, n=10) and stored in distilled water (7
days); A: CHX-varnish EC40; B: CHX-varnish Cervitec; C: CHX-gel Chlorhexamed; D:
negative control, no CHX application; and E: CXH-diacetate standard (E1, n=5) or
CHX-digluconate (E2, n=5) in the solution. The specimens were brushed daily, and
CHX in the solution was measured. The method showed intra- and inter-assay
coefficients of variation of <10 and <20%, respectively; LLOQ was 0.91-1.22
nmol/well. The cumulative CHX release (mean+/-SD) during the 7 days was: EC40
(217.2+/-41.8 nmol), CHX-gel (31.3+/-8.5 nmol), Cervitec (18.6+/-1.7 nmol).
Groups A-C revealed a significantly higher CHX release than group D and a
continuous CHX-release with the highest increase from day 0 to 7 for EC40 and the
lowest for Chlorhexamed. The new method is a reliable tool to quantify CHX in
small volumes. Both tested varnishes demonstrate prolonged and higher CHX release
from artificial fissures than the CHX-gel tested.
PMID- 18057971
TI - Myotonic dystrophy type 2 in Japan: ancestral origin distinct from Caucasian
families.
AB - Myotonic dystrophy type 2 (DM2) is caused by expansion of a tetranucleotide CCTG
repeat in intron 1 of the ZNF9 gene on chromosome 3q21. All studied DM2 mutations
have been reported in Caucasians and share an identical haplotype, suggesting a
common founder. We identified a Japanese patient with DM2 and showed that the
affected haplotype is distinct from the previously identified DM2 haplotype
shared among Caucasians. These data strongly suggest that DM2 expansion mutations
originate from separate founders in Europe and Japan and are more widely
distributed than previously recognized.
PMID- 18057972
TI - Paradoxical growth effects of the echinocandins caspofungin and micafungin, but
not of anidulafungin, on clinical isolates of Candida albicans and C.
dubliniensis.
AB - OBJECTIVES: To analyze the effects of a high concentration of three antifungal
substances, the echinocandins anidulafungin, caspofungin, and micafungin, on the
growth of Candida spp. METHODS: The growth of 127 C. dubliniensis isolates and
103 C. albicans isolates cultured in medium containing anidulafungin,
caspofungin, or micafungin was analyzed using a broth microdilution test
according to the guidelines of the CLSI M27-A2 [NCCLS (1997), Wayne, PA]. The
final concentrations of all three echinocandins ranged from 0.125 to 64 microg/L.
RESULTS: The different effects of these three antifungal substances on C.
albicans cells in comparison to C. dubliniensis cells were quite distinct. When
both Candida species were grown in the presence of anidulafungin only a trailing
effect was observed. Micafungin induced an Eagle effect in C. dubliniensis only
(63%), while caspofungin induced this effect in the majority of C. dubliniensis
isolates (90%) and in only a few C. albicans isolates (14%). CONCLUSIONS: Based
on our observations, anidulafungin has effects that are different from the ones
produced by micafungin and caspofungin. Whether this different response to high
concentrations of echinocandins is based on genetic or phenotypic differences
between C. albicans and C. dubliniensis has to be determined in future
experiments.
PMID- 18057973
TI - Impact of fermentation pH and temperature on freeze-drying survival and membrane
lipid composition of Lactobacillus coryniformis Si3.
AB - During the industrial stabilization process, lactic acid bacteria are subjected
to several stressful conditions. Tolerance to dehydration differs among lactic
acid bacteria and the determining factors remain largely unknown. Lactobacillus
coryniformis Si3 prevents spoilage by mold due to production of acids and
specific antifungal compounds. This strain could be added as a biopreservative in
feed systems, e.g. silage. We studied the survival of Lb. coryniformis Si3 after
freeze-drying in a 10% skim milk and 5% sucrose formulation following different
fermentation pH values and temperatures. Initially, a response surface
methodology was employed to optimize final cell density and growth rate. At
optimal pH and temperature (pH 5.5 and 34 degrees C), the freeze-drying survival
of Lb. coryniformis Si3 was 67% (+/-6%). The influence of temperature or pH
stress in late logarithmic phase was dependent upon the nature of the stress
applied. Heat stress (42 degrees C) did not influence freeze-drying survival,
whereas mild cold- (26 degrees C), base- (pH 6.5), and acid- (pH 4.5) stress
significantly reduced survival. Freeze-drying survival rates varied fourfold,
with the lowest survival following mild cold stress (26 degrees C) prior to
freeze-drying and the highest survival after optimal growth or after mild heat
(42 degrees C) stress. Levels of different membrane fatty acids were analyzed to
determine the adaptive response in this strain. Fatty acids changed with altered
fermentation conditions and the degree of membrane lipid saturation decreased
when the cells were subjected to stress. This study shows the importance of
selecting appropriate fermentation conditions to maximize freeze-drying viability
of Lb. coryniformis as well as the effects of various unfavorable conditions
during growth on freeze-drying survival.
PMID- 18057974
TI - Functional MRI at 3T using intermolecular double-quantum coherence (iDQC) with
spin-echo (SE) acquisitions.
AB - OBJECT: To reinvestigate the dependence of the signal and contrast on sequence
parameters and tissue relaxation times for intermolecular double-quantum
coherence (iDQC) signals, and to explore the possibility to use a spin-echo (SE)
iDQC sequence for detecting activation signals at 3T. MATERIALS AND METHODS:
Brain activations were detected in five human volunteers in a visual simulation
study using a SE-iDQC sequence, in addition to a GE-iDQC and a conventional
single-quantum coherence (SQC) blood-oxygenation-level-dependent (BOLD) sequence.
A brain phantom was also used for some quantitative measurements. RESULTS: By
choosing an optimal echo time TE (approximately T2) and iDQC evolution time
tau(approximately 20 ms), robust brain activations were detected using the SE
iDQC sequence, in addition to the GE-iDQC and a conventional single-quantum
coherence (SQC) BOLD sequence. A higher percentage signal change due to
activation was observed for both the iDQC-based measurements in comparison to the
conventional SQC acquisition. CONCLUSION: Even though a phenomenological analysis
consistent with the experimental results was provided, a detailed model is still
needed for the contrast mechanism at microscopic level to guide potential
applications of brain functional imaging based on the SE-iDQC.
PMID- 18057975
TI - Optimized quadrature surface coil designs.
AB - BACKGROUND: Quadrature surface MRI/MRS detectors comprised of circular loop and
figure-8 or butterfly-shaped coils offer improved signal-to-noise-ratios (SNR)
compared to single surface coils, and reduced power and specific absorption rates
(SAR) when used for MRI excitation. While the radius of the optimum loop coil for
performing MRI at depth d in a sample is known, the optimum geometry for figure-8
and butterfly coils is not. MATERIALS AND METHODS: The geometries of figure-8 and
square butterfly detector coils that deliver the optimum SNR are determined
numerically by the electromagnetic method of moments. Figure-8 and loop detectors
are then combined to create SNR-optimized quadrature detectors whose theoretical
and experimental SNR performance are compared with a novel quadrature detector
comprised of a strip and a loop, and with two overlapped loops optimized for the
same depth at 3 T. The quadrature detection efficiency and local SAR during
transmission for the three quadrature configurations are analyzed and compared.
RESULTS: The SNR-optimized figure-8 detector has loop radius r8 approximately
0.6d, so r8/r0 approximately 1.3 in an optimized quadrature detector at 3 T. The
optimized butterfly coil has side length approximately d and crossover angle of >
or = 150 degrees at the center. CONCLUSIONS: These new design rules for figure-8
and butterfly coils optimize their performance as linear and quadrature
detectors.
PMID- 18057976
TI - Low intense physical exercise in normobaric hypoxia leads to more weight loss in
obese people than low intense physical exercise in normobaric sham hypoxia.
AB - Training in mild to moderate hypoxia (14-17% O(2) in breathing air) and extended
resting in moderate hypoxia (9-13% O(2)) have been shown to have effects in
animals and humans on lipid and glucose metabolism, appetite loss, and, in part,
on body weight. The causality for these effects is not yet known in detail, and
the available data in humans from high-altitude and low-pressure chamber studies
are scarce. New technical developments by German companies in the production of
artificial climates with normobaric hypoxic conditions in larger rooms at
reasonable energy costs allow now to perform hypoxia weight loss studies in obese
humans with stable experimental conditions and protocols with a sham hypoxia
control. Thirty-two obese people were recruited for a mild intense training study
in normobaric hypoxia (15 vol.% O(2)) and normoxia/sham hypoxia (20.1 vol.%
O(2)). Twenty of these [mean age 47.6 years, mean body mass index (BMI) 33.1, 16
m, 4 f) were willing to follow up on an 8-week, three times per week, 90-min low
intense physical exercise in their individual fat burning mode, which has been
determined by an exercise testing with spiro-ergometry upfront. The subjects were
evenly randomized into a hypoxia and sham hypoxia group. The difference of the
two groups in weight loss and changes in HBa1C values were analyzed before and
after the training period. No nutritional diet was applied. Subjects in the
hypoxia group in mean lost significantly more weight than in the sham hypoxia
group (Delta1.14 kg vs Delta0.03 kg; p = 0.026). This resulted in a tendency to
reduce the BMI more in the hypoxia group (p = 0.326). In the mean, there was no
HbA1C exceeding normal values (mean 5.67 and 5.47%), and the HbA1C stayed
basically unchanged after the 8-week training. Mild physical exercise three times
per week for 90 min in normobaric hypoxia for 8 weeks led to significantly
greater weight loss in obese persons than the exercise in sham hypoxia in this,
to our knowledge, first sham hypoxia controlled study.
PMID- 18057977
TI - Prediction of the susceptibility to AMS in simulated altitude.
AB - Acute mountain sickness (AMS) develops when rapidly ascending to high altitudes.
However, some mountaineers will suffer from AMS even at 2,000 m and others not
until 5,000 m. The awareness of the individual susceptibility for AMS would be
helpful for preventive strategies. Thus, the main purpose of this paper is the
comparison of existing studies dealing with the prediction of AMS susceptibility
and to draw conclusions on presently most valuable tests. DATA SOURCE: A PubMed
search has been performed, and preliminary observations from our laboratory have
been included. The cautious conclusion derived from the reviewed 16 studies is
that values of arterial oxygen saturation (SaO(2)), determined 20-30 min after
exposure to simulated hypoxia equivalent to 2,300-4,200 m, seem to be the most
useful predictors of AMS susceptibility (>80% correct prediction). Because the
sympathetic activation during acute exposure to hypoxia may well contribute to
the AMS development, parameters like heart rate variability or blood lactate
could even enhance this predictability. The ventilatory response to hypoxia is
easily trainable by pre-exposures to hypoxia but considers only part of the
complex acclimatization process.
PMID- 18057978
TI - Solitary angiokeratoma of the tongue treated with diode laser.
AB - Angiokeratoma is a muco-cutaneous disorder that is usually associated with a
number of metabolic disorders. Involvement of the tongue with solitary
angiokeratoma, without a systemic or metabolic disease, is very rare. To the best
of our knowledge, we report the second case of solitary angiokeratoma of the
tongue arising in a 16-year-old woman who was treated with diode laser (810 nm)
in our department.
PMID- 18057979
TI - Apolipoprotein E promoter polymorphisms (-491A/T and -427T/C) and Alzheimer's
disease: no evidence of association in the Irish population.
AB - BACKGROUND: Alzheimer's Disease (AD) is a progressive neurodegenerative disorder
with as yet poorly understood aetiology. Both environmental and genetic factors
have been implicated as predisposing factors. The APOE e4 allele is an
established genetic susceptibility factor for AD for several populations
including the Irish. Polymorphisms (-491A/T and -427T/C) at the promoter region
of the APOE gene are postulated to affect the expression of the gene through
differential binding of transcription factors. AIMS: Two APOE promoter
polymorphisms (-491A/T and -427T/C) are examined for possible association with
AD. METHODS: Using a case-control study design, a sample of 112 Irish late onset
Alzheimer's (LOAD) patients and 107 ethnically matched controls were investigated
for association with the above polymorphisms. CONCLUSIONS: No evidence of
association between any of the examined markers and AD was observed. Haplotype
analysis using markers -491A/T and -427T/C in conjunction with the APOE (Hha I)
polymorphism revealed significant associations of three haplotypes with AD.
However, this association was mainly due to the highly significant association of
the APOE e4 allele with AD and not of the promoter variants.
PMID- 18057982
TI - Setting up a surgical skills center.
AB - With the increasing use of simulation in medicine, many departments of surgery
are considering the development of a surgical skills center. This article focuses
on practical issues that must be considered when setting up a surgical skills
center. The importance of developing a mission statement and including relevant
stakeholders is discussed. The types of curricula that can be developed as well
as the appropriate equipment purchased to support different curricula are
considerations. Space requirements, funding sources, and staffing are also
covered. Setting up a surgical skills center requires institutional buy-in and
planning from the outset. Various models of skills centers, depending on local
politics, are discussed.
PMID- 18057983
TI - Systematic management of postoperative enterocutaneous fistulas: factors related
to outcomes.
AB - BACKGROUND: Most enterocutaneous fistulas are postoperative in origin. Sepsis,
malnutrition, and hydroelectrolytic deficit are still the most important
complications to which patients with postoperative enterocutaneous fistulas (PEF)
are exposed. Knowledge of prognostic factors related to specific outcomes is
essential for therapeutic decision-making processes. METHODS: We reviewed files
of all consecutive patients with PEF treated in our hospital during a 10-year
period. Our aim was to identify factors related to spontaneous closure, need for
operative treatment, and mortality. Univariate and multivariate analyses were
performed. RESULTS: A total of 174 patients were treated. The most frequent site
of origin was the small bowel (90 patients: 48 jejunal, and 42 ileal), followed
in frequency by the colon (50 patients). Postoperative enterocutaneous fistula
closure was achieved in 151 patients (86%), being spontaneous in 65 (37%) and
surgical in 86 (49%). Factors that significantly precluded spontaneous closure
were jejunal site, multiple fistulas, sepsis, high output, and hydroelectrolytic
deficit at diagnosis or referral. Origin of PEF at our hospital was the only
factor significantly associated with spontaneous closure. The most frequent
operative indication was PEF persistence without sepsis. Factors significantly
associated with the need for operative treatment were high output, jejunal site,
and multiple fistulas. Closure was achieved in 84% of patients who underwent
operation. A total of 23 patients died (13%). Factors associated with mortality
were serum albumin <3.0 g/dl (at diagnosis or referral), high output,
hydroelectrolytic deficit, multiple fistulas, jejunal site, sepsis, and a complex
fistulous tract. CONCLUSIONS: In spite of advances in management of PEF, the
associated morbidity and mortality remain high. Among several variables
influencing outcome, our multivariate analysis disclosed high output, jejunal
site, multiple fistulas, and sepsis as independent adverse factors related to non
spontaneous closure, need for operative treatment, and/or death.
PMID- 18057984
TI - CRC surgery trends in Kenya, 1993-2005.
AB - BACKGROUND: CRC (CRC) rates are low but increasing in Africa. Data on detection,
treatment, and outcome are scarce. OBJECTIVE: The aim of this study was to
evaluate the presentation, treatment, and outcome pattern of CRC and to compare
the care processes for two time periods. SETTING: The setting was Kenyatta
National Hospital (KNH), a teaching and referral center. PATIENTS AND METHODS: A
total of 259 patients seen over two time periods (1993-1998 and 1999-2005) were
analyzed for admission date, sex, subsite involvement, diagnostic process,
treatment, follow-up, and outcome. The distribution of variables between the time
periods were analyzed using Student's t-test and chi2 as appropriate. Survival
trends were generated using Kaplan Meier method; p<0.05 was statistically
significant. RESULTS: The average number of CRC diagnoses showed a 2.7-fold
increase during the study periods. The mean age at presentation was 49.7 years.
The mean duration of symptoms was 29.6 weeks; and the commonest subsite was the
rectum (55.3%). The overall resection rate was 67.7%. For rectal tumors the
abdominoperineal rate was 51.4%. Mortality was higher for poorly differentiated
cancer, advanced disease, age>50 years, and emergency surgery. There was no
change in the age, duration of symptoms, proportion of patients<40 years, or the
colon/rectal ratios of the cancer site. The second time period saw more adjuncts
for diagnosis, less in-hospital mortality, and better staging data. CONCLUSION:
CRC peaks during the fifth decade of life in Kenyans. The disease is
characterized by late presentation, rectal preponderance, and inadequate
pathology data. Improved patient follow-up will unravel the true pattern of
disease outcome.
PMID- 18057985
TI - MR reproducibility in the assessment of uterine fibroids for patients scheduled
for uterine artery embolization.
AB - Magnetic resonance imaging (MRI) is increasingly applied in the evaluation of
uterine fibroids. However, little is known about the reproducibility of MRI in
the assessment of uterine fibroids. This study evaluates the inter- and
intraobserver variation in the assessment of the uterine fibroids and concomitant
adenomyosis in women scheduled for uterine artery embolization (UAE). Forty
patients (mean age: 44.5 years) with symptomatic uterine fibroids who were
scheduled for UAE underwent T(1)- and T(2)-weighted MRI. To study inter- and
intraobserver agreement 40 MR images were evaluated independently by two
observers and reevaluated by both observers 4 months later. Inter- and
intraobserver agreement was calculated using Cohen's kappa statistic and
intraclass correlation coefficient for categorical and continuous variables,
respectively. Inter-observer agreement for uterine volumes (kappa = 0.99, p <
0.0001), dominant fibroid volumes (kappa = 0.98, p or = 10 mm,
respectively (P < .001). Of 116 ypN+ patients, lateral pelvic recurrence
developed in 4.3%, 35.7%, and 87.5% of patients with lateral lymph node sizes of
< 5, 5-9.9, and > or = 10 mm, respectively (P < .001). CONCLUSIONS: In our study,
lateral pelvic recurrence was a major cause of locoregional recurrence, and ypN+
and lateral lymph node size were risk factors for lateral pelvic recurrence.
PMID- 18057991
TI - Prognosis of perihilar cholangiocarcinoma: hilar bile duct cancer versus
intrahepatic cholangiocarcinoma involving the hepatic hilus.
AB - BACKGROUND: Clinically hepatobiliary resection is indicated for both hilar bile
duct cancer (BDC) and intrahepatic cholangiocarcinoma involving the hepatic hilus
(CCC). The aim of this study was to compare the long-term outcome of BDC and CCC.
METHODS: Between 1990 and 2004, we surgically treated 158 consecutive patients
with perihilar cholangiocarcinoma. The clinicopathological data on all of the
patients were analyzed retrospectively. RESULTS: The overall 3-year survival
rate, 5-year survival rate, and median survival time for BDC patients were 48.4%,
38.4 %, and 33.7 months, respectively, and 35.8%, 24.5 %, and 22.7 months,
respectively, in CCC patients (P = .033). On multivariate analysis, three
independent factors were related to longer survival in BDC patients: achieved in
curative resection with cancer free margin (R0) (P = .024, odds ratio 1.862),
well differentiated or papillary adenocarcinoma (P = .011, odds ratio 2.135), and
absence of lymph node metastasis (P < .001, odds ratio 3.314). Five factors were
related to longer survival in CCC patients: absence of intrahepatic daughter
nodules (P < .001, odds ratio 2.318), CEA level =2.9 ng/mL (P = .005, odds
ratio 2.606), no red blood cell transfusion requirement (P = .016, odds ratio
2.614), absence or slight degree of lymphatic system invasion (P < .001, odds
ratio 4.577), and negative margin of the proximal bile duct (P = .003, odds ratio
7.398). CONCLUSIONS: BDC and CCC appear to have different prognoses after
hepatobiliary resection. Therefore, differentiating between these two categories
must impact the prediction of postoperative survival in patients with perihilar
cholangiocarcinoma.
PMID- 18057992
TI - Breast conservation therapy: multiple reexcisions or subcutaneous and nipple
sparing mastectomy?
PMID- 18057993
TI - Concurrent chemoradiotherapy or endoscopic stenting for advanced squamous cell
carcinoma of esophagus: a case-control study.
AB - BACKGROUND: We evaluated the role of chemoradiotherapy (CRT) for patients with
inoperable squamous esophageal cancer. METHODS: Patients with locally advanced or
metastatic squamous esophageal carcinoma who received CRT were recruited. The CRT
consists of continuous infusion of 5-fluorouracil at 200 mg/m(2)/day, and
cisplatin at 60 mg/m(2) on days 1 and 22, with concurrent radiotherapy for a
total of 50 to 60 Gy in 25 to 30 fractions over 6 weeks. Efficacy was assessed by
endoscopy and computed tomographic scan before and 8 weeks after completion of
the treatment program. Median survival and the need for palliative esophageal
stenting were compared with another group of patients who received endoscopic
stenting. RESULTS: From 1996 to 2003, a total of 36 consecutive patients (33
male, mean +/- SD age 63.2 +/- 9.5 years) with T4 disease (81%) with or without
cervical nodal metastasis (50%) received CRT, while 36 patients treated with
endoscopic stenting alone were recruited as controls. Both groups were comparable
in demographics, pretreatment dysphagia score, comorbidities, and tumor
characteristics. CRT was completed in 32 patients (89%). There was no treatment
related mortality. Tumor volume was greatly reduced after CRT in 19 patients.
Four patients (11%) received salvage esophagectomy 9 to 42 months after CRT.
Compared with the stenting group, CRT statistically significantly improved 5-year
survival (15% vs. 0%, P = .01), median survival (10.8 months vs. 4.0 months, P <
.005), and need for stenting (22% vs. 100%, P = .005). CONCLUSIONS: Palliative
CRT can effectively improve the symptoms of dysphagia in patients with inoperable
squamous esophageal carcinoma. It results in better survival compared with
endoscopic stenting in these patients.
PMID- 18057994
TI - Synthetic extracellular matrix enhances tumor growth and metastasis in an
orthotopic mouse model of pancreatic adenocarcinoma.
AB - Individuals with pancreatic cancer have one of the poorest survival rates among
the major cancers, suggesting the need to develop new therapeutic approaches. An
effective animal model that mimics the progression and metastases of human
pancreatic adenocarcinoma does not exist. The goal of this investigation was to
develop a model that would compare the growth and metastasis of orthotopically
injected pancreatic cancer cells to cells encapsulated within a synthetic
extracellular matrix (sECM). The hypotheses tested were that the cells within the
sECM would grow more quickly and more frequently develop metastasis to distant
organs. MiaPaCa-2 cells expressing red fluorescent protein, either in serum-free
media or within a hyaluronan-based hydrogel, were injected into the pancreas of
nude mice. Tumors were monitored for 8 weeks via intravital red fluorescent
protein imaging. Cells encapsulated within the sECM grew more quickly and
produced larger tumors compared with the cells alone. In addition, the cells
within the sECM developed metastasis more frequently. Therefore, the
encapsulation of human pancreatic cancer cells within an injectable sECM improved
the rate of tumor growth and metastasis in an orthotopic mouse model. The
advantages of this new approach can be utilized to investigate the mechanisms of
tumor progression and test novel therapeutic agents in vivo.
PMID- 18057995
TI - Natural orifice translumenal endoscopic surgery: a critical review.
AB - Natural orifice translumenal endoscopic surgery (NOTES) involves the intentional
puncture of one of the viscera (e.g., stomach, rectum, vagina, urinary bladder)
with an endoscope to access the abdominal cavity and perform an intraabdominal
operation. Early laboratory work focused on feasibility studies, including such
accomplishments as pure transgastric splenectomy and gastrojejunostomy.
Contemporary laboratory work is investigating the infectious and immunologic
implications of NOTES and honing the tools and techniques required for complex
abdominal operations. Today NOTES has entered the clinical arena in a few cases:
the first clinical series of transgastric peritoneoscopy has recently been
published; multiple groups are accumulating patients in studies of NOTES
cholecystectomy, either via the transgastric or transvaginal route; and a series
of transgastric appendectomies has been well publicized, yet it remains
unpublished. Although clinical NOTES is gaining momentum, the field should remain
in check while rigorous laboratory work is performed and cogent clinical trials
are undertaken. The zeal for NOTES should not take precedence over the welfare of
the patient.
PMID- 18057997
TI - Calmodulin binding is dispensable for Rem-mediated Ca2+ channel inhibition.
AB - GTPases of the Ras-related RGK family are negative regulators of high voltage
activated (HVA) Ca2+ channel activity. In this study, we examined the role of
calmodulin (CaM) association in Rem-mediated Ca2+ channel inhibition. We found
that the Rem/CaM interaction is Ca2+-dependent, and that truncation of the Rem C
terminus before position 277 prevents CaM binding. Serial mutagenesis of the Rem
C-terminus between residues 265 and 276 to alanine generated two mutants
(Rem(L271A) and Rem(L274A)) that displayed reduced CaM binding, and a subset of
these mutants displayed significantly lower cell periphery localization than
Rem(WT). However, reductions in CaM association or membrane trafficking did not
affect function, as all Rem mutants could completely inhibit Ca2+ channels. The
Rem(1-275) truncation mutant partially inhibited Ca2+ channel activity despite
its inability to bind CaM. Taken together, these studies indicate that CaM
association is not essential for either Rem-mediated Ca2+ channel inhibition or
plasma membrane localization.
PMID- 18057996
TI - Chymase induces profibrotic response via transforming growth factor-beta 1/Smad
activation in rat cardiac fibroblasts.
AB - Mast cell-derived chymase is implicated in myocardial fibrosis (MF), but the
underlying mechanism of intracellular signaling remains unclear. Transforming
growth factor-beta 1 (TGF-beta1) is identified as the most important profibrotic
cytokine, and Smad proteins are essential, but not exclusive downstream
components of TGF-beta 1 signaling. Moreover, novel evidence indicates that there
is a cross talk between Smad and mitogen-activated protein kinase (MAPK)
signaling cascade. We investigated whether chymase activated TGF-beta 1/Smad
pathway and its potential role in MF by evaluating cardiac fibroblasts (CFs)
proliferation and collagen synthesis in neonatal rats. MTT assay and 3H-Proline
incorporation revealed that chymase induced CFs proliferation and collagen
synthesis in a dose-dependent manner. RT-PCR and Western blot assay demonstrated
that chymase not only increased TGF-beta1 expression but also upregulated
phosphorylated-Smad2/3 protein. Furthermore, pretreatment with TGF-beta 1
neutralizing antibody suppressed chymase-induced cell growth, collagen
production, and Smad activation. In contrast, the blockade of angiotensin II
receptor had no effects on chymase-induced production of TGF-beta 1 and
profibrotic action. Additionally, the inhibition of MAPK signaling had no effect
on Smad activation elicited by chymase. These results suggest that chymase can
promote CFs proliferation and collagen synthesis via TGF-beta 1/Smad pathway
rather than angiotensin II, which is implicated in the process of MF.
PMID- 18057998
TI - Na+/H+ exchange inhibition with cariporide prevents alterations of coronary
endothelial function in streptozotocin-induced diabetes.
AB - Hyperglycemia encountered during diabetes triggers abnormalities of vascular
function associated with cell acidosis and calcium overload. The purpose of this
study was to determine, whether Na+/H+ exchanger (NHE-1) inhibition by cariporide
protects coronary cells against the deleterious effect of hyperglycemia in the
rat. In vivo hyperglycemia was triggered by streptozotocin injection. One week
after, the glycemia was checked and the control and diabetic animals were treated
or not with cariporide (2.5 mg/kg/day) for two weeks. Glycemia was again
estimated and the hearts were perfused according to the Langendorff mode at
forced flow. The left ventricle developed pressure (LVDP) and heart rate (HR)
were determined with a latex balloon inserted into the left ventricle. Coronary
pressure was artificially increased to 130 mmHg by infusing a thromboxane A2
analogue (U46619). This allowed the evaluation of endothelium-dependent (EDD) and
endothelium-independent (EID) dilatation through bolus injections of
carbamoylcholin and sodium nitroprusside, respectively. Releases of lactate and
pyruvate in the coronary effluents were also determined. Diabetes did not
modified LVDP, but reduced HR (-15%). This was associated with a marked decrease
in EDD (-56%) and EID (-30%), while the cytosolic redox potential (estimated as
the lactate/pyruvate ratio) was reduced. NHE-1 inhibition restored EDD and the
lactate/pyruvate ratio without improving EID and HR. The present findings
indicate that NHE-1 exchanger inhibition by cariporide protects the coronary
endothelium against the deleterious effects of hyperglycemia.
PMID- 18057999
TI - Involvement of p38 MAPK-mediated signaling in the calpeptin-mediated suppression
of myogenic differentiation and fusion in C2C12 cells.
AB - Calpeptin inhibits myoblast fusion by inhibiting the activity of calpain.
However, the mechanism by which calpeptin inhibits myogenesis is not completely
understood. This study examined how calpeptin affects the expression of the
myogenic regulatory factors (MRFs) and the phosphorylation of p38 mitogen
activated protein kinase (MAPK) in differentiating C2C12 myoblasts. Consistent
with previous reports, calpeptin inhibited the induction of mu-calpain and the
formation of myotubes in these cells. In particular, calpeptin inhibited the
expression of the early and mid differentiation markers including MyoD, Myf5,
myogenin, and MRF4 as well as the expression of the late markers such as troponin
T and myosin heavy chain (MyHC). Calpeptin also suppressed the phosphorylation of
p38 MAPK in C2C12 cells. SB203580, a specific p38 inhibitor, prevented the
expression of the muscle-specific markers and their fusion into myotubes in these
cells, which was further accelerated in the presence of calpeptin. These findings
suggest that calpeptin inhibits the myogenesis of skeletal muscle cells by down
regulating the MRFs and involving p38 MAPK signaling.
PMID- 18058000
TI - Water sorption/desorption in polyacid-modified composite resins for dentistry.
AB - The water sorption and desorption behaviour of three commercial polyacid-modified
composite resins used in clinical dentistry have been studied in detail. Cured
specimens of each material were subjected to two successive water uptake cycles
in an atmosphere of 93% relative humidity, with one intervening desorption cycle
in a desiccating atmosphere over concentrated sulfuric acid. Specimens were found
to absorb and desorb water according Fick's law until Mt/M(infinity) values of
approximately 0.5. Diffusion rates for uptake varied between cycles, ranging from
2.37-4.53 x 10(-9 )cm(2) s(-1) for 1st cycle to 0.85-2.72 x 10(-8 )cm(2 )s(-1)
for 2nd cycle. Desorption rates were similar to those for 2nd cycle sorption, and
ranged from 0.86 to 5.47 x 10(-8 )cm(2 )s(-1). Equilibration times for 1st cycle
water uptake were greater than for 2nd cycle sorption and for desorption and
overall the behaviour of polyacid-modified composites in a high humidity
atmosphere was similar to that of conventional composites in water. It is
concluded that the hydrophilic components of the former do not bring about an
enhanced rate of water transport.
PMID- 18058001
TI - Safe and effective permeation enhancers for oral drug delivery.
AB - PURPOSE: The use of intestinal permeation enhancers to overcome the absorption
challenges associated with oral drug delivery has been hampered by the notion
that enhancer efficacy is directly linked to toxicity. This study attempts to
gain insight into the principles governing the potency and toxicity behavior of
enhancers. METHODS: Fifty-one enhancers were selected from 11 chemical categories
and their potency and toxicity were analyzed in Caco-2 monolayers at
concentrations spanning three orders of magnitude. RESULTS: A small but
significant fraction of the 153 enhancer formulations studied demonstrated
unexpected but desired behavior, that is, substantial efficacy without marked
toxicity. Our results revealed that both chemical category and concentration
proved critical in determining the usefulness of many enhancers, and the concept
of an enhancer's 'therapeutic window' is discussed. Several of the most promising
enhancers identified by the study were tested for their effect on the transport
of the marker molecules mannitol and 70 kDa dextran across Caco-2 cells and were
capable of increasing permeability more than 10-fold. CONCLUSIONS: The results
presented here underscore the potential of chemical permeation enhancers while
providing valuable direction as to what classes and concentrations of compounds
are of interest when searching for safe and effective additions to oral
formulations.
PMID- 18058002
TI - Governing humanity.
AB - In the United Kingdom, clinical governance has become a master narrative for
health care over the last decade. While many see this political imperative as
embodying both enlightening and humanistic goals, I argue that it has also become
an apparatus for resuscitating a hypermodernist worldview which further conceals
the political drivers of health care delivery. While resistance to clinical
governance seems futile, insistence on the inclusion of historical analysis in
understanding modern health care delivery may be profitable. Drawing from
selected dramatic texts by Henrik Ibsen, an historical moment of clinical
governance may be analysed showing the complex interplay of the personal, social,
empirical and ethical dimensions of health care delivery.
PMID- 18058003
TI - Rejecting medical humanism: medical humanities and the metaphysics of medicine.
AB - The call for a narrative medicine has been touted as the cure-all for an
increasingly mechanical medicine. It has been claimed that the humanities might
create more empathic, reflective, professional and trustworthy doctors. In other
words, we can once again humanise medicine through the addition of humanities. In
this essay, I explore how the humanities, particularly narrative medicine,
appeals to the metaphysical commitments of the medical institution in order to
find its justification, and in so doing, perpetuates a dualism of humanity that
would have humanism as the counterpoint to the biopsychosociologisms of our day.
PMID- 18058004
TI - Abundance of Escherichia coli F1-ATPase molecules observed to rotate via single
molecule microscopy with gold nanorod probes.
AB - The abundance of E. coli F1-ATPase molecules observed to rotate using gold
nanorods attached to the gamma-subunit was quantitated. Individual F1 molecules
were determined to be rotating based upon time dependent fluctuations of red and
green light scattered from the nanorods when viewed through a polarizing filter.
The average number of F1 molecules observed to rotate in the presence of GTP,
ATP, and without nucleotide was approximately 50, approximately 25, and
approximately 4% respectively. In some experiments, the fraction of molecules
observed to rotate in the presence of GTP was as high as 65%. These data indicate
that rotational measurements made using gold nanorods provide information of the
F1-ATPase mechanism that is representative of the characteristics of the enzyme
population as a whole.
PMID- 18058005
TI - The K+-translocating KdpFABC complex from Escherichia coli: a P-type ATPase with
unique features.
AB - The prokaryotic KdpFABC complex from the enterobacterium Escherichia coli
represents a unique type of P-type ATPase composed of four different subunits, in
which a catalytically active P-type ATPase has evolutionary recruited a potassium
channel module in order to facilitate ATP-driven potassium transport into the
bacterial cell against steep concentration gradients. This unusual composition
entails special features with respect to other P-type ATPases, for example the
spatial separation of the sites of ATP hydrolysis and substrate transport on two
different polypeptides within this multisubunit enzyme complex, which, in turn,
leads to an interesting coupling mechanism. As all other P-type ATPases, also the
KdpFABC complex cycles between the so-called E1 and E2 states during catalysis,
each of which comprises different structural properties together with different
binding affinities for both ATP and the transport substrate. Distinct
configurations of this transport cycle have recently been visualized in the
working enzyme. All typical features of P-type ATPases are attributed to the KdpB
subunit, which also comprises strong structural homologies to other P-type ATPase
family members. However, the translocation of the transport substrate, potassium,
is mediated by the KdpA subunit, which comprises structural as well as functional
homologies to MPM-type potassium channels like KcsA from Streptomyces lividans.
Subunit KdpC has long been thought to exhibit an FXYD protein-like function in
the regulation of KdpFABC activity. However, our latest results are in favor of
the notion that KdpC might act as a catalytical chaperone, which cooperatively
interacts with the nucleotide to be hydrolyzed and, thus, increases the rather
untypical weak nucleotide binding affinity of the KdpB nucleotide binding domain.
PMID- 18058006
TI - Plasmalemmal vacuolar H+-ATPases in angiogenesis, diabetes and cancer.
AB - Angiogenesis, i.e., new blood vessel formation, is required in normal and
pathological states. A dysfunction in the microvascular endothelium occurs in
diabetes, leading to decreased blood flow and limb amputation. In cancer,
angiogenesis is increased to allow for growth, invasion, and metastasis of tumor
cells. Better understanding of the molecular events that cause or are associated
with either of these diseases is needed to develop therapies. The tumor and
angiogenic cells micro-environment is acidic and not permissive for growth. We
have shown that to survive this environment, highly metastatic and angiogenic
cells employ vacuolar H+-ATPase at their plasma membranes (pmV-ATPases) to
maintain an alkaline pHcyt. However, in lowly metastatic and in microvascular
endothelial cells from diabetic model, the density of pmV-ATPase and the cell
invasiveness are decreased. Therefore, the overexpression of the pmV-ATPase is
important for cell invasion, and essential for tumor progression, angiogenesis
and metastasis. Both, cancer and diabetes are heterogenous diseases that involve
many different proteins and signaling pathways. Changes in pHcyt have been
associated with the regulation of a myriad of proteins, signaling molecules and
pathways affecting many if not all cellular functions. Since changes in pHcyt are
pleiotropic, we hypothesize that alteration in a single protein, pmV-ATPase, that
can regulate pHcyt may explain the dysfunction of many proteins and cellular
pathways in diabetes and cancer. Our long term goal is to determine the molecular
mechanisms by which pmV-ATPase expression regulates tumor angiogenesis and
metastasis. Such knowledge would be useful to identify targets for cancer
therapy.
PMID- 18058008
TI - Activation of protease activated receptor-2 induces delayed cardioprotection in
anesthetized mice.
PMID- 18058007
TI - Roles of transmembrane segment M1 of Na+,K+-ATPase and Ca2-ATPase, the gatekeeper
and the pivot.
AB - In this review we summarize mutagenesis work on the structure-function
relationship of transmembrane segment M1 in the Na+,K+-ATPase and the
sarco(endo)plasmic reticulum Ca2+-ATPase. The original hypothesis that charged
residues in the N-terminal part of M1 interact with the transported cations can
be rejected. On the other hand hydrophobic residues in the middle part of M1
turned out to play crucial roles in Ca2+ interaction/occlusion in Ca2+-ATPase and
K+ interaction/occlusion in Na+,K+-ATPase. Leu65 of the Ca2+-ATPase and Leu99 of
the Na+,K+-ATPase, located at homologous positions in M1, function as gate
locking residues that restrict the mobility of the side chain of the cation
binding/gating residue of transmembrane segment M4, Glu309/Glu329. A pivot formed
between a pair of a glycine and a bulky residue in M1 and M3 seems critical to
the opening of the extracytoplasmic gate in both the Ca2+-ATPase and the Na+,K+
ATPase.
PMID- 18058009
TI - A psychophysical test of the visual pathway of children with autism.
AB - Signal detection psychophysical experiments were conducted to investigate the
visual path of children with autism. Computer generated images with Gaussian
noise were used. Simple signals, still and in motion were embedded in the
background noise. The computer monitor was linearized to properly display the
contrast changes. To our knowledge, this is the first time that experiments of
this type have been done with observers with autism. Our results show that the
visual capabilities of typically developed children were superior to those of the
children with autism, and that the related problems of children with autism are
sensation related only in a reduced proportion. There is no evidence that they
are disabled in detecting simple visual stimuli, still and in motion.
PMID- 18058010
TI - Use of home videotapes to confirm parental reports of regression in autism.
AB - The current study examined consistency between parental reports on early language
development and behaviors in non-language domains and observer-coded videotapes
of young children with and without autism spectrum disorder (ASD) and autistic
regression. Data are reported on 56 children (84% male) with ASD (early onset or
autistic regression) and 14 typically developing children (57% male) who had home
videotapes. Unique to the current study is the independent identification of
loss/no loss for each child by both parental report and observer-coded home
videotapes and the examination of agreement between these two methods. Results
indicate substantial concordance between parental report and observer codes for
onset and loss of expressive language, but minimal concordance for loss in non
language domains, suggesting a need for supplementation of parental reports in
these areas.
PMID- 18058011
TI - Head circumference as an early predictor of autism symptoms in younger siblings
of children with autism spectrum disorder.
AB - Siblings of children with autism have an increased risk for autism spectrum
disorders (ASD). As children with autism often exhibit an atypical trajectory of
head circumference (HC) growth, HC may be an indicator of vulnerability to
autism. This study investigated whether infant siblings of children with ASD (n =
77) with an atypical trajectory of HC growth were more likely than those without
an atypical HC trajectory to develop autism symptoms. Results showed that infants
who had larger HC at 12 months, and whose HC growth rate decelerated more rapidly
between 12 and 24 months were more likely to exhibit autism symptoms than infants
with more typical HC trajectories. Among infant siblings of children with autism,
atypical HC growth might alert pediatricians to provide screening and/or referral
for further evaluation.
PMID- 18058012
TI - Effectiveness of a manualized summer social treatment program for high
functioning children with autism spectrum disorders.
AB - This paper presents findings from the final two years of a four-year study
investigating a manualized social treatment program for high-functioning children
with autism spectrum disorders. The study sought to (1) replicate and expand
findings from years one and two; (2) compare outcomes of participants who
received response-cost feedback versus non-categorical feedback; and (3) provide
further evidence of program feasibility. Results indicated significant
improvements in social skills and problem behaviors, however no significant
differences for face emotion recognition. Measures of several socially-related
behaviors yielded mixed results based on rater. While parent ratings did not
appear to favor one feedback format, staff ratings appeared to favor the response
cost format on some measures. Results also provided support for program
feasibility.
PMID- 18058013
TI - Molecular characterization and evolutionary analysis of soybean mosaic virus
infecting Pinellia ternata in China.
AB - Twenty-nine Pinellia ternata specimens were collected from representative areas
in China, including the major production provinces of Zhejiang, Henan, Shanxi,
Hunan, Shandong and Hubei. Seven isolates related to soybean mosaic virus (SMV),
which could be pathogenic on P. ternata and some soybean [Glycine max (L.) Merr.]
cultivars, were detected using double antibody sandwich immunosorbent assay (DAS
ELISA) and RT-PCR amplification performed with degenerate primer of potyviruses.
It is revealed that the common potyvirus infecting P. ternata is, indeed, only
SMVs rather than Dasheen mosaic virus (DsMV) as previously reported. Further
molecular phylogenetic analysis of the coat protein (CP) genes of these SMV
isolates from P. ternata and G. max, along with some other potyvirus members,
such as DsMV and Watermelon mosaic virus (WMV) reconstructed the evolutionary
route on both nucleotide and amino acid levels. Similarity and homology of
nucleotide sequences for SMV CP genes demonstrated high host correlation and low
partial habitat correlation, while those of amino acid sequences also showed that
the host correlation was more notable than the habitat correlation. The amino
acid sequence of conserved region within CP determines the main function, which
shows high homology between species. This study outspreaded from the viruses
themselves and their relationship to the infected hosts and revealed the
evolutionary strategies, especially the rapid variation or recombination of SMV
of P. ternata, in order to adapt itself naturally to the special host.
PMID- 18058014
TI - Increase in Chlamydia trachomatis infection in Sweden: time for new strategies.
PMID- 18058016
TI - Mild ovarian stimulation.
AB - PURPOSE: To evaluate the efficacy of using only mild ovarian stimulation
protocols for in vitro fertilization. Both groups with decreased and normal
ovarian reserve were evaluated. METHODS: Three different mild stimulation
protocols were evaluated: natural with no exogenous follicle stimulation hormone
(FSH) drugs at all, natural with a boost of low dose FSH to complete follicular
maturation, and minimal stimulation with low dose (75-150IU) FSH from day 3-5 of
the menstrual cycle. Ethinyl estradiol was sometimes used to lower high day 3
serum FSH. RESULTS: Good pregnancy and implantation rates were found even in
those women with elevated serum FSH that could only generate one follicle.
CONCLUSIONS: Mild ovarian stimulation seems preferable to high dose FSH regimens
in women with elevated day 3 serum FSH based on previous poor reported pregnancy
rates with the latter protocols. Comparable pregnancy rates to high dose regimen
were seen in women with normal egg reserve at much lower risk and cost.
PMID- 18058017
TI - Time course of peripheral oxidative stress as consequence of global ischaemic
brain injury in rats.
AB - Free radicals play an important role in the pathogenesis of brain injury. This
study evaluates the potential relationship between ischaemia/reperfusion (I/R)
induced brain injury, peripheral oxidative stress (lymphocyte DNA damage), plasma
antioxidant potential and uric acid levels. We observed that 15 min of ischaemia
were sufficient to significantly increase lymphocyte DNA damage that remained
elevated at the end of early (3 h) reperfusion and at later (72 h) reperfusion
time; this parameter was not significantly increased, when compared to
preoperated levels. In parallel, antioxidant potential was elevated after 15 min
of ischaemia, remained high at early (3 h) reperfusion and decreased again with
longer (72 h) reperfusion. A close association between the plasma antioxidant
status and the uric acid content has been confirmed by findings that changes in
TRAP values positively correlate with uric acid concentration in rat plasma after
ischaemic injury. Moreover, results of in vitro experiments with extra uric acid
addition to control plasma have shown that uric acid contributes to a greater
part of TRAP values. These results indicate a similar time course of brain I/R
associated oxidative stress and peripheral antioxidant defence status and/or
oxidative stress in animal experiments.
PMID- 18058018
TI - The Neuroscience Research Institute at Peking University: a place for the
solution of pain and drug abuse.
AB - Neuroscience research in China has undergone rapid expansion since 1980. The
Neuroscience Research Institute of Peking University, one of the most active
neuroscience research groups in China, was founded in 1987. Currently, the
institute is overseeing four research areas, i.e., (1) pain and analgesia, (2)
drug abuse and acupuncture treatment for drug addiction, (3) the mechanism of
neurological degenerative disorders, and (4) the role of neuroglia in central
nervous system injury. The institute is simultaneously investigating both
theoretical and clinical studies. Acupuncture remains the core of research, while
pain and drug abuse form the two disciplines.
PMID- 18058019
TI - Objective versus subjective assessment of methylphenidate response.
AB - Subjective improvement-assessment in attention deficit/hyperactivity disorder
(ADHD), following a single dose of methylphenidate (MPH) was compared to
performance on the Test-of-Variables-of-Attention (TOVA). Self-perception was
assessed with the clinical-global-impression-of-change (CGI-C). Participants
included 165 ADHD subjects (M:F ratio 67%:33%) aged 5-18 (11.09 +/- 3.43) years.
TOVA was administered before and after MPH challenge (0.3 mg/kg). Self-perception
CGI-C scores were compared to the TOVA scores. An inverse correlation was found
only between CGI-C and the TOVA-Commission-scores (r = -0.326, p < 0.001). We
thus conclude that subjective reports are too unreliable to be used in order to
assess MPH benefit in ADHD pediatric populations.
PMID- 18058021
TI - Receding horizon controller for the baroreceptor loop in a model for the
cardiovascular system.
AB - In this article, we discuss the design and implementation of a receding horizon
control (RHC) which will be used to represent the control for the baroreceptor
loop in the human cardiovascular system (CVS). This control will be applied to a
model of the CVS developed in a previous work by Kappel and Peer. In that earlier
work, a linear quadratic control strategy (LQR) was implemented to represent this
baroreflex control which was designed to stabilize the system under an ergometric
workload. The RHC approach will be examined as an alternate to the LQR
implementation. The control parameters in the cost functional of the RHC will be
estimated using the same experimental data as was used in the LQR study. The
results of the RHQ implementation will be compared with the LQR implementation.
PMID- 18058020
TI - Dietary fiber is associated with serum sex hormones and insulin-related peptides
in postmenopausal breast cancer survivors.
AB - OBJECTIVE: To measure the association between dietary fiber intake and eleven
hormones and peptides in postmenopausal breast cancer survivors. METHODS: Intake
of fiber from food and supplements was measured two to three years after breast
cancer diagnosis in 493 postmenopausal women from three western states.
Concurrently, a fasting blood sample was obtained for assay of estrone,
estradiol, free estradiol, testosterone, free testosterone,
dehydroepiandrosterone sulfate, sex hormone-binding globulin (SHBG), leptin, C
peptide, insulin-like growth factor-1 (IGF1), and IGF-binding protein-3. Adjusted
means of these hormones and peptides were calculated for categories of fiber
intake. RESULTS: High intake of dietary fiber was significantly (P 0.29; p < 0.001)
relationships with soil pH(aq) in soil water extracts include Al, Ba, Fe, Ga, K,
Li, Rb, Tl, V (negative) and Ca (positive). Elemental partitioning in mineral
soil was independent of forest type; tree species differed in their response to
chemical differences in mineral soil. For example, Rb, Ba, and Sr concentrations
in foliage of sugar maple and white birch significantly increased with increasing
soil acidity, whereas Rb, Ba, and Sr concentrations in balsam fir and white pine
foliage exhibited no response to soil pH(aq). While K(d) values can provide
useful information on the potential mobility and bioavailability of mineral
elements in forest soils, care must be used when interpreting the relative
contribution of solid and aqueous phases to this relationship and the differing
responses of vegetation in elemental cycling in forests must also be considered.
PMID- 18058025
TI - Rearing of coconut mite Aceria guerreronis and the predatory mite Neoseiulus
baraki in the laboratory.
AB - A method was developed for the rearing of coconut mite, Aceria guerreronis Keifer
(Acari: Eriophyidae), and its predatory mite Neoseiulus baraki (Athias-Henriot)
(Acari: Phytoseiidae) on embryo culture seedlings of coconut (Cocos nucifera) in
the laboratory. Seedlings in the ages of <2, 2-4 and 4-6 months were infested
with 75 field-collected coconut mites and the population growth was determined up
to six weeks after introduction. The populations of coconut mites increased
exponentially up to five weeks after introduction and declined thereafter on
seedlings of all ages with significant differences among the three groups of
seedlings occurring over time. At week 5, a significantly higher mean number (+/
SE) of coconut mites (20,098 +/- 3,465) was bred on 4-6-month-old seedlings than
on smaller seedlings, and on the largest seedlings the numbers were highest at
all time intervals, except at week 2. Neoseiulus baraki was reared on embryo
culture seedlings of the three age groups infested with coconut mites, by
introduction of five female deutonymphs and one male, three weeks after
introducing coconut mites. Predator numbers progressed significantly over time,
but the size of seedlings did not significantly influence the numbers. On all
groups of seedlings, the mean number of N. baraki increased up to two weeks after
introduction on to seedlings and then declined. Many coconut mites were
successfully reared in the laboratory for a longer period by this method and it
could also be used as an alternative method to rear N. baraki. Development of
this method may contribute to the progress of studies on the biology and ecology
of coconut mite and its interactions with natural enemies.
PMID- 18058026
TI - Life history of the predatory mite Phytoseiulus fragariae on Tetranychus evansi
and Tetranychus urticae (Acari: Phytoseiidae, Tetranychidae) at five
temperatures.
AB - Tetranychus evansi Baker and Pritchard and Tetranychus urticae Koch (Acari:
Tetranychidae) are important pests of Solanaceae in many countries. Several
studies have demonstrated that T. urticae is an acceptable prey to many predatory
mites, although the suitability of this prey depends on the host plant. T.
evansi, has been shown to be an unfavorable prey to most predatory mites that
have been tested against it. The predator Phytoseiulus fragariae Denmark and
Schicha (Acari: Phytoseiidae) has been found in association with the two species
in Brazil. The objective of this work was to compare biological parameters of P.
fragariae on T. evansi and on T. urticae as prey. The study was conducted under
laboratory conditions at 10, 15, 20, 25 and 30 degrees C. At all temperatures,
survivorship was lower on T. evansi than on T. urticae. No predator reached
adulthood at 10 degrees C on the former species; even on the latter species, only
about 36% of the predators reached adulthood at 10 degrees C. For both prey, in
general, duration of each life stage was shorter, total fecundity was lower and
intrinsic rate of population increase (r(m)) was higher with increasing
temperatures. The slower rate of development of P. fragariae on T. evansi
resulted in a slightly higher thermal requirement (103.9 degree-days) on that
prey than on T. urticae (97.1 degree-days). The values of net reproduction rate
(R (0)), intrinsic rate of increase (r(m)) and finite rate of increase (lambda)
were significantly higher on T. urticae, indicating faster population increase of
the predator on this prey species. The highest value of r(m) of the predator was
0.154 and 0.337 female per female per day on T. evansi and on T. urticae,
respectively. The results suggested that P. fragariae cannot be considered a good
predator of T. evansi.
PMID- 18058028
TI - Reversing mitochondrial dysfunction, fatigue and the adverse effects of
chemotherapy of metastatic disease by molecular replacement therapy.
AB - Metastatic cancers are associated with cellular oxidative stress, and during
cancer chemotherapy excess drug-induced oxidative stress can limit therapeutic
effectiveness and cause a number of side effects, including fatigue, nausea,
vomiting, diarrhea and more serious adverse effects, such as cardiomyopathy,
peripheral neuropathy, hepatotoxicity and pulmonary fibrosis. We review here the
hypothesis that the acute and chronic adverse effects of cancer chemotherapy can
be reduced by molecular replacement of membrane lipids and enzymatic cofactors,
such as coenzyme Q(10). By administering nutritional supplements with replacement
molecules and antioxidants, oxidative membrane damage and reductions of cofactors
in normal tissues can be reversed, protecting and restoring mitochondrial and
other cellular functions and reducing chemotherapy adverse effects. Recent
clinical trials using cancer and non-cancer patients with chronic fatigue have
shown the benefit of molecular replacement plus antioxidants in reducing the
damage to mitochondrial membranes, restoring mitochondrial electron transport
function, reducing fatigue and protecting cellular structures and enzymes from
oxidative damage. Molecular replacement and antioxidant administration mitigates
the damage to normal tissues, such as cardiac tissue, and reduces the adverse
effects of cancer therapy without reduction in therapeutic results.
PMID- 18058027
TI - The tumor cell-host organ interface in the early onset of metastatic organ
colonisation.
AB - Metastatic lesions are the leading cause of death among cancer patients. These
lesions usually originate from clonal proliferation of single tumor cells
dispersed from the primary tumor into the circulation which finally arrest in the
capillary bed of distant organs. The microenvironment within the circulation of
potential metastatic target organs provides a variety of pro- and anti-
metastatic stimuli regulating the onset of organ colonisation by metastatic tumor
cells. Mechanical shear stress, anoikis and cell mediated cytotoxicity within the
microcirculation probably clear most circulating tumor cells. Adhesion, and
eventually extravasation, are essential initial interactions of circulating tumor
cells with distant organs and can provide escape from the cytotoxic environment
within the circulation. Adhesion to the capillary wall is mostly controlled by
the organ-specific availability of adhesion molecules on tumor cells, the
endothelium, and the composition of the underlying extracellular matrix. The
availability of pro-adhesive and pro-migratory paracrine signals provided by the
organ specific microenvironment can further initiate the onset of metastatic
organ colonisation. Tumor cell and microenvironment factors regulating survival
within the microcirculation, adhesion and extravasation of tumor cells are
highlighted in the review.
PMID- 18058029
TI - Nm23-H1 homologs suppress tumor cell motility and anchorage independent growth.
AB - Nm23-H1 suppresses metastasis, as well as in vitro cell motility, invasion and
anchorage independent growth, in a variety of cancer models. Eight human homologs
of Nm23 have been identified that share 26-88% identity with the prototype Nm23
H1. Here, we examine the potential of its homologs, -H2, DR-, -H4 and -H5, to
inhibit in vitro correlates of metastasis in two highly metastatic human cell
lines, MDA-MB-435 and MDA-MB-231. The metastatic cells were transfected with
mammalian expression constructs containing the genes encoding for Nm23-H1, -H2,
DR-, -H4 and -H5 and the resultant transfectants were analyzed by Boyden chamber
motility and soft agar colonization assays. Nm23-H1 suppressed motility by 3.3-
and 1.5-fold in MDA-MB-435 and MDA-MB-231 cells, respectively and inhibited
anchorage independent growth in soft agar by 2.9- and 1.9-fold, respectively.
None of the -H1 homologs were capable of suppressing motility in MDA-MB-435
cells, but in MDA-MB-231 cells, -H2 inhibited motility by 3-fold upon
overexpression. When anchorage independent growth was assessed, -H2, -H4 and -H5
suppressed growth from 1.2- to 2.0-fold in both cell lines. Given their ability
to suppress anchorage independent growth, Nm23-H1 homologs -H2, -H4 and -H5 may
have some capacity to suppress metastasis. Motility suppression appears to be
cell context dependent, but sequence disparities between -H1/H2 and the other
family members may reveal regions critical for this inhibitory phenotype.
Similarly, sequence differences between DR-Nm23 and its homologs may be important
for anchorage independent growth suppression.
PMID- 18058031
TI - Comet assay-based methods for measuring DNA repair in vitro; estimates of inter-
and intra-individual variation.
AB - DNA repair is one of the important determinants of susceptibility to cancer. It
is therefore useful to be able to measure DNA repair capacity in samples from
population studies. Our aim was, first, to develop a simple comet-based in vitro
assay for nucleotide excision repair (NER), similar to that already in use for
base excision repair (BER), and then to apply these in vitro assays to lymphocyte
samples collected on several occasions from healthy subjects, to gain an
impression of the degree of intra- and inter-individual variability. The in vitro
assay consists of an incubation of lymphocyte extract with substrate nucleoid DNA
from cells pretreated with specific damaging agent; either photosensitiser plus
light to induce 8-oxoguanine, for BER, or short wavelength ultraviolet light
irradiation for NER. In the new NER assay, which requires magnesium but not
adenosine triphosphate, there was significant accumulation of UV-dependent
incisions during a 30-min incubation of extract with DNA. We found significant
correlations between individual repair rates from samples taken on different
occasions; i.e. individuals have a characteristic repair capacity. There was also
significant variation between individuals, to the extent of about fourfold for
BER and tenfold for NER. There was no correlation between BER and NER rates. The
BER and NER assays are simple to perform and can provide valuable information in
molecular epidemiological studies in which DNA instability is an endpoint.
PMID- 18058030
TI - Analyses of the role of endogenous SPARC in mouse models of prostate and breast
cancer.
AB - Secreted protein, acidic and rich in cysteine (SPARC, also known as osteonectin
or BM-40) is a glycoprotein component of the extracellular matrix that has been
reported to be involved with a variety of cellular processes. Although SPARC
expression levels are frequently altered in a variety of tumor types, the exact
implications of deregulated SPARC expression--whether it promotes, inhibits or
has no effect on tumor progression--have remained unclear. Our recent gene
expression analyses have shown that SPARC is significantly downregulated in
highly metastatic human prostate cancer cells. To test the role of endogenous
SPARC in tumorigenesis directly, we examined cancer progression and metastasis in
SPARC(+/-) and SPARC(-/-) mice using two separate transgenic mouse tumor models:
transgenic adenocarcinoma of the mouse prostate (TRAMP) and murine mammary tumor
virus-polyoma middle T (MMTV-PyMT). Surprisingly, in both instances, we found
that loss of SPARC had no significant effects on tumor initiation, progression or
metastasis. Tumor angiogenesis and collagen deposition were also largely
unaffected. Our results indicate that, although differential SPARC expression may
be a useful marker of aggressive, metastasis-prone tumors, loss of SPARC is not
sufficient either to promote or to inhibit cancer progression in two spontaneous
mouse tumor models.
PMID- 18058032
TI - Spring-harvested game birds in the Western James Bay region of Northern Ontario,
Canada: the amount of organochlorines in matched samples of breast muscle, skin,
and abdominal fat.
AB - We examined matched-tissue samples (the right pectoral muscle plus the associated
skin and fat was considered a breast portion) of 81 spring-harvested waterfowl
and 19 summer-harvested godwits (Limosa spp.) to assess the potential of these
water birds contributing to the body burden of PCBs and DDT noted in First Nation
people of the western James Bay region, northern Ontario, Canada. In general, the
dabbling ducks (mallard duck, Anas platyrhynchos; and northern pintail, A. acuta)
had significantly lower percent lipid (gravimetrically determined) values in skin
tissue, fat tissue, and breast muscle compared to the goose species (Canada
goose, Branta canadensis; lesser snow goose, Chen caerulescens); godwits had
percent lipid values not significantly different than ducks and geese. Also, the
percent lipid values in skin for all species of birds examined approached those
found in fat tissue. Organochlorine data were expressed as the amount (microg) of
each contaminant per breast portion to show contaminant consumption in terms of
typical and easily recognizable dietary portions; direct comparisons were made to
acceptable daily intake (ADI) or tolerable daily intake (TDI) values as
recommended by Health Canada. Significant differences in the amount of
organochlorines between bird species for skin, fat tissue, and breast muscle
samples were found. In general, breast portions from snow geese contained the
least amount of organochlorines, followed by godwits (except for mirex) and then
Canada geese; the dabbling ducks had the greatest amount of organochlorines on a
breast portion basis. However, on average, no 60 kg person would exceed the
calculated organochlorine ADI/TDI values consuming one breast portion (i.e.,
breast + associated skin and fat), but the maximum value of SigmaPCBs for skin
tissue alone in male mallards (47 microg) was more than twice the ADI/TDI (18
microg/day); while, that in fat tissue alone (17 microg) approached the ADI/TDI.
Thus, the consumption of dabbling ducks by children is an issue that should be
explored further, if tissue other than breast muscle is eaten. Lastly, the
consumption of waterfowl was a source of PCBs for people of Fort Albany and
Kashechewan, but not DDT, as this organochlorine was infrequently detected.
PMID- 18058033
TI - Monitoring pollution in Esmoriz-Paramos lagoon, Portugal: liver histological and
biochemical effects in Liza saliens.
AB - The Esmoriz-Paramos lagoon is one important ecosystem located in the Northwest
coast of Portugal. This study is concerned with the assessment of pollution of
the lagoon contributed by urban, industrial and agricultural activities. The
parameters selected for this aim were the occurrence of hepatic histological
alterations and plasma blood biochemistry in Liza saliens, the dominant fish in
this lagoon. Blood parameters were compared between mullets collected from the
lagoon and from the sea and included plasma enzyme activities (aspartate
aminotransferase (AST), alanine aminotransferase (ALT), alkaline phosphatase
(ALP)), glucose (GLU) and total protein (TP). A positive relationship between
hepatocyte vacuolization index and hepatosomatic index was found, suggesting that
lipid accumulation could have contributed to the increase of liver weight. The
hepatic alterations recorded included general diagnostic categories and
additionally large areas of heterogeneous parenchyma, composed of hepatocytes
with different spectrum of vacuolization. Liver histopathological evaluation
revealed a sample prevalence of 34% for heterogeneous parenchyma, 31% for foci of
necrosis and 9% for non-neoplastic lesions. No neoplastic lesions were found.
Livers with heterogeneous parenchyma showed higher Cu and Zn content than livers
without this category of lesion, suggesting that metals accumulated could trigger
this hepatic alteration. AST was higher in mullets from the lagoon than in
mullets from the sea, but no differences in ALP and ALT were found, suggesting a
change in protein metabolism as an adaptive response to metals exposure. The
higher glucose and protein contents observed in fish caught in the lagoon are
consistent with a stress response and the measurement of plasma AST activity
could be a sensitive indicator of lagoon fish stress. In conclusion, Esmoriz
Paramos lagoon biomonitorization, using indicator species will proceed to assess
the impact of the restoration program under development by the Portuguese
government.
PMID- 18058034
TI - Speciation of inorganic arsenic in coastal seawater from Ionian and Tyrrhenian
seas (Sicily, Italy) using derivative anodic stripping chronopotentiometry.
AB - The purpose of this paper was to use derivative anodic stripping
chronopotentiometry (dASCP) as a sensitive and accurate technique, to determine
the concentrations of dissolved As (III) and As (V) in coastal seawater samples
from the Straits of Messina, the Ionian and the Tyrrhenian seas, and to
investigate the relationship between the anthropogenic activities on the coastal
areas and the concentration of dissolved inorganic arsenic in seawaters. The
obtained data indicated that As (V) was the most abundant species, with
concentration ranging from 26.7 to 307 nM, whereas As (III) levels were lower
than 48 nM in all the samples. In particular, As (III) and As (V) levels
significantly decreased from high to low anthropogenic activities zones (p <
0.00001, ANOVA), with the reference samples, from a wildlife reserve, showing the
lowest values. Furthermore it was observed that human activities influenced
inorganic arsenic speciation, since the zones that received high human input
presented the highest As(V)/As (III) ratio.
PMID- 18058035
TI - Should comprehensive diagnosis include idiographic understanding?
AB - The World Psychiatric Association has emphasised the importance of idiographic
understanding as a distinct component of comprehensive assessment but in
introductions to the idea it is often assimilated to the notion of narrative
judgement. This paper aims to distinguish between supposed idiographic and
narrative judgement. Taking the former to mean a kind of individualised
judgement, I argue that it has no place in psychiatry in part because it
threatens psychiatric validity. Narrative judgement, by contrast, is a genuinely
distinct complement to criteriological diagnosis but it is, nevertheless, a
special kind of general judgement and thus can possess validity. To argue this I
first examine the origin of the distinction between idiographic and nomothetic in
Windelband's 1894 rectorial address. I argue that none of three ways of
understanding that distinction is tenable. Windelband's description of historical
methods, as a practical example, does not articulate a genuine form of
understanding. A metaphysical distinction between particulars and general kinds
is guilty of subscribing to the Myth of the Given. A distinction based on an
abstraction of essentially combined aspects of empirical judgement cannot
underpin a distinct empirical method. Furthermore, idiographic elements
understood as individualised judgements threaten the validity of psychiatric
diagnosis. In the final part I briefly describe some aspects of the logic of
narrative judgements and argue that in the call for comprehensive diagnosis,
narrative rather than idiographic elements have an important role. Importantly,
however, whilst directed towards individual subjects, narratives are framed in
intrinsically general concepts and thus can aspire to validity.
PMID- 18058036
TI - Reliability and validity of the social integration survey (SIS) in patients with
schizophrenia.
AB - INTRODUCTION: Schizophrenia-related impairments impact functional status and
health-related quality of life. The Social Integration Survey (SIS) measures
social functioning outcomes in schizophrenia from patient and informant
perspectives. METHODS: A total of 129 patient/informant dyads at eight US sites
participated. Patients were at least 18 years old, with schizophrenia or
schizoaffective disorder for 1 year or more, residing in the community.
Informants were at least 21 years old, cared for patient at least 1 month, with
contact at least twice weekly. The SIS, PSP, PETiT, QLS, CGI-S, and PANSS were
completed. Individual item performance, subscale development, reliability, and
validity were examined. RESULTS: Items were easily understood and responses well
distributed for patients and informants. Four of five subscales identified were
internally consistent (alphas >or=0.68) and stable over time. Inter-rater
reliability was low. SIS scores were not strongly correlated with other measures,
except PETiT Quality of Life. The SIS differentiated on social functioning at
varying levels of symptom severity (P < 0.05). CONCLUSIONS: Patient and informant
completed versions showed adequate internal consistency, test-retest reliability,
and discriminant validity. Lack of correspondence between patient and informant
responses and construct validity require further examination. Work-related items
were inapplicable for most patients, but would be for those with higher
functioning.
PMID- 18058040
TI - Common mental health problems in historically disadvantaged urban and rural
communities in South Africa: prevalence and risk factors.
AB - This paper reports on an epidemiological study of common mental health and
substance abuse problems in a historically disadvantaged urban and rural
community in South Africa. In the rural Limpopo Province of South Africa, and in
a peri-urban township near Cape Town, self-report instruments were used in two
random population samples and among respondents at primary care and traditional
healer settings, to assess common mental health problems, substance abuse
problems and associated problems in social functioning. A high prevalence of
mental health and substance abuse problems was observed in both communities, with
highest rates in the peri-urban township. Even higher prevalences were found
among respondents at primary health care or traditional healers. The study shows
that mental health and substance abuse problems constitute a considerable burden
of disease among disadvantaged communities in South Africa. The study further
underscores the integral role of traditional healers in the mental health care
system.
PMID- 18058038
TI - Hepatitis B viral factors and clinical outcomes of chronic hepatitis B.
AB - Hepatitis B virus (HBV) infection is an important health problem and the major
cause of chronic hepatitis, cirrhosis as well as hepatocellular carcinoma (HCC)
worldwide. The natural history of chronic HBV infection can be divided into 4
dynamic phases in HBV carriers who acquire the virus early in life. In general,
the frequency and severity of hepatitis flares in the immune clearance or
reactivation phase predict disease progression in HBV carriers, and early HBeAg
seroconversion typically confers a favorable outcome. In contrast, late or absent
HBeAg seroconversion after multiple hepatitis flares accelerates the progression
of chronic hepatitis to cirrhosis. Recently, several hepatitis B viral factors
predictive of clinical outcomes have been identified. For example, serum HBV DNA
level at enrollment is the best predictor of adverse outcomes (cirrhosis, HCC and
death from liver disease) in adults with chronic HBV infection. In addition, HBV
genotype C, basal core promoter (BCP) mutant and pre-S deletion mutant are
associated with increased risk of HCC development. In conclusion, hepatitis B
viral factors such as serum HBV DNA level, genotype and mutants have already been
clarified to influence disease progression of chronic hepatitis B. Further
studies are needed to investigate the pathogenic mechanism of each viral factor.
PMID- 18058041
TI - Survey of airborne polyfluorinated telomers in Keihan area, Japan.
AB - Perfluorooctanoate (PFOA) are environmental contaminants posing special public
health concerns because of their long-term persistence and bioaccumulation in the
environment. Fluorotelomer alcohols are volatile and may undergo long-range
transport. Air samples were collected at five sites in the Keihan area, Japan:
Sakyo, Morinomiya and three sites in Higashiyodogawa. Except for Higashiyodogawa,
the highest concentrations of fluorotelomer alcohols (FTOHs) were for 8:2 FTOH
(median 447 pg m(-3)) followed by 10:2 FTOH (56 pg m(-3)) and 6:2 FTOH (22 pg m(
3)). In contrast, 8:2 FTOAcryl (median 865 pg m(-3)) and 8:2 FTOH (1,864 pg m(
3)) were both major components in Higashiyodogawa. Compared to data published for
North America and Europe, 8:2 FTOH levels are significantly higher in Keihan,
suggesting a possible point source.
PMID- 18058037
TI - Structural genomics of protein phosphatases.
AB - The New York SGX Research Center for Structural Genomics (NYSGXRC) of the NIGMS
Protein Structure Initiative (PSI) has applied its high-throughput X-ray
crystallographic structure determination platform to systematic studies of all
human protein phosphatases and protein phosphatases from biomedically-relevant
pathogens. To date, the NYSGXRC has determined structures of 21 distinct protein
phosphatases: 14 from human, 2 from mouse, 2 from the pathogen Toxoplasma gondii,
1 from Trypanosoma brucei, the parasite responsible for African sleeping
sickness, and 2 from the principal mosquito vector of malaria in Africa,
Anopheles gambiae. These structures provide insights into both normal and
pathophysiologic processes, including transcriptional regulation, regulation of
major signaling pathways, neural development, and type 1 diabetes. In conjunction
with the contributions of other international structural genomics consortia,
these efforts promise to provide an unprecedented database and materials
repository for structure-guided experimental and computational discovery of
inhibitors for all classes of protein phosphatases.
PMID- 18058042
TI - Baseline concentrations of trace metals in macroalgae from the Strait of
Magellan, Chile.
AB - Samples of four different species of seaweed were collected monthly between
October 2000 and March 2001 from the coast of the Strait of Magellan, Chile to
establish baseline levels of trace metals (silver, total mercury, nickel, lead,
antimony, vanadium and zinc) and to compare the accumulation capacity among
species. The algae included in the study were Adenocystis utricularis (n=15);
Enteromorpha sp. (n=11), Mazzaella laminarioides (n=12) and Porphyra columbina
(n=6). The concentration range of each metal in microg g(-1) dry weight varied as
follows: Ag=ND-0.3, Hg=ND-0.02, Ni=ND-12.6, Pb = ND-11.2, Sb=ND-1.97, V=ND-11.34
and Zn=14.10-79. Results showed that levels of Ag, Hg, Ni, Pb, Sb, V and Zn for
all species were similar to those found in other studies for non-contaminated
areas with very little influence from anthropogenic activity. Also among the four
species studied macroalgae Enteromorpha sp. had the highest capacity for metal
accumulation and could therefore be considered as a biomonitor for future studies
in the area.
PMID- 18058043
TI - The metal content of bivalve molluscs of a coastal lagoon of NW Mexico.
AB - The lagoonal system Altata-Ensenada del Pabellon supports important traditional
fisheries and mollusc cultures and receives urban and agricultural effluents. The
annual mean Cd contents of the oyster and mussel Crassostrea gigas and Mytella
strigata of the inner mangrove swamps were higher than that of the clam
Megapitaria squalida, which lives in areas under marine influence. Crassostrea
corteziensis had the highest Cu and Zn contents, showing that it is a strong
accumulator of both metals and especially of Zn, and there were no significant
differences in the Pb content of the three species.
PMID- 18058044
TI - Spectrophotometric determination of endosulfan using thionin and methylene blue
as chromogenic reagents.
AB - A simple, selective and sensitive spectrophotometric method is proposed for the
determination of widely used organochlorine pesticide endosulfan using thionin
and methylene blue as chromogenic reagents. The method is based on the liberation
of sulfur dioxide from endosulfan by adding acid reagent and alcoholic potassium
hydroxide. The liberated sulfur dioxide is passed through potassium iodate
solution and the iodine so liberated bleaches the violet color of thionin and
blue color of methylene blue and is measured at 600 nm and 665 nm respectively.
This decrease in absorbance is directly proportional to the endosulfan
concentration. The Beer's law is obeyed in the range of 0.4-7.0 and 0.2-9.0
microg mL(-1) of endosulfan using thionin and methylene blue as reagents
respectively. The molar absorptivity and Sandell's sensitivity were found to be
1.05 x 10(5) and 5.03 x 10(4) L mol(-1) cm(-1), 3.85 x 10(-3) and 8.10 x 10(-3)
microg cm(-2) of endosulfan using thionin and methylene blue as reagents
respectively. The method has been applied for the determination of endosulfan in
water, soil and vegetables.
PMID- 18058045
TI - Concentrations and distribution of mercury and other heavy metals in surface
sediments of the Yatsushiro Sea including Minamata Bay, Japan.
AB - The concentrations and distribution of heavy metals, such as mercury, zinc,
copper, lead, and iron in surface sediments from 234 stations of the Yatsushiro
Sea including Minamata bay were investigated. High concentrations of mercury were
found in sediments from Minamata bay and its vicinity, but the levels decreased
gradually with distance from the bay. The concentrations of mercury in sediments
decreased gradually from south to north of the Yatsushiro Sea. These imply the
lack of movement of mercury from Minamata bay to the northern Yatsushiro Sea. The
geographical profiles of zinc and copper were contrary to that found for mercury,
indicating the presence of natural and anthropogenic sources of copper and zinc
in the northern Yatsushiro Sea.
PMID- 18058046
TI - Degradation dynamics and persistence of Quinolphos and Methomyl in/on Okra
(Ablemoschus esculentus) fruits and cropped soil.
AB - Dissipation of Quinalphos (Ekalux 20 AF) and Methomyl (Lannate 12.5 L) residues
were studied in/on Okra (var. Pusa Sawani) fruits and cropped soil at Baruipur,
West Bengal, India. The insecticides were applied at 21 days after sowing by
foliar spray at the recommended and double the recommended dose (i.e. 500 and
1,000 g a.i. ha(-1) in both the cases). Four sprays were given at 15 days
interval in all the cases. The initial build-up residue on Okra fruits was to the
magnitude of 3.20 and 7.50 microg g(-1) for Quinalphos, 5.61 and 8.42 microg g(
1) for Methomyl at lower and higher doses respectively. The half-lives (t(1/2))
in Okra fruit were found to be 1.25-1.43 days for Quinalphos and 0.88-0.94 days
for Methomyl. The safe waiting period (T(MRL)) determined were 6.7 and 5.3 days
at the lower dose of Quinalphos. The corresponding waiting period for Methomyl
were 5.7 and 4.9 days. Decontamination process like washing and cooking dislodged
25.50%-81.50% residue depending on insecticides and doses, whereas 20.00%-69.60%
surface residue was removed by washing alone. The residues of both insecticides
in soil persisted for 6-8 days depending on dose. The half-lives in soil were
found to be 1.07-1.20 days for Quinalphos and 0.97-1.25 days for Methomyl.
PMID- 18058047
TI - Effect of waterborne copper on the microstructures and ultrastructure of the X
organ sinus gland complex in Eriocheir sinensis.
AB - The effects of different water-borne copper (Cu(2+)) concentrations on the
microstructures and ultrastructures of the X-Organ sinus gland (XO/SG) in
Eriocheir sinensis were studied. Under a light microscope, no significant
differences were found between the treated and control groups. Under Transmission
Electron Microscopy (TEM), the mitochondrial cristae in the neuro-terminal of the
XO of the 5.00 mg/L Cu(2+) treated group disappeared, or even only a few vacuoles
left. No other differences were found in SG between the 0.01, 0.10, and 1.00 mg/L
Cu(2+) treated and the control group under light microscopy, except for the 5.00
mg/L Cu(2+) treated groups, in which the boundary between the SG hemocoels and
glial cells became unclear, and some central hemocoels closed and even
disintegrated. In addition, in the 5.00 mg/L Cu(2+) treated groups the hemocytes
mixed with the glial cells, axon terminal disordered arranged, and furthermore,
under the TEM glial cells ruptured, mitochondrial cristae disappeared, or even
remained only a vacuoles. Such a change also occurred in the mitochondria in the
axon terminal. In some of the glial cells the structure of the membrane
disintegrated, the cytoplasm disappeared, and the nucleus entered the haemocoels
being piled together with the hemocytes. The axon terminal and neurosecretory
granular decreased near the amorphous figurationling of the haemolymph. The
results indicate that the damage to the microstructure and ultrastructure of the
XO/SG was relevant to the elevated water-borne copper concentration in E.
sinensis.
PMID- 18058048
TI - The effect of multi-generational exposure to metals and resultant change in
median lethal toxicity tests values over subsequent generations.
AB - A study was conducted on the long term effects of nine heavy metals on the
Chironomus plumosus and Culicoides furens larvae. This study tested the effect of
the heavy metals on several generations of the larvae to observe the formation of
increased hardiness against pollutants present within the aquatic habitat. From
this study it was observed that susceptibility or sensitivity to heavy metals
decreased with LC50 values becoming larger indicating a decreased toxicity level.
Significant variations (p < 0.05) were observed between first generation and
third generation culicoides for all metals and at all concentrations. Variations
between third and fourth generation culicoides were also significantly different
(p < 0.05) with the exception of chromium at 25 degrees C and nickel and lead at
every temperature range group. The variation between all generations 4, 5 and 6
was found to be insignificant (p > 0.05). This would indicate that metal
tolerance would have occurred in these generations and the effect of metals was
less toxic to the culicoides. Generation 9 was found to have LC50 values (p >
0.05) the same as the LC50 values obtained in third generation culicoides. Thus
it would appear that heavy metal resistance was developed when the organisms were
exposed to prolonged exposure of the heavy metals but was lost when the organisms
were bred in non-contaminated water.
PMID- 18058049
TI - Single strand dna breaks in human lymphocytes exposed to para-phenylenediamine
and its derivatives.
AB - Para-Phenylenediamine (PPD), the main aromatic amines used in the hair dye
formation, and its four derivatives (2-chloro-p-phenylenediamine, 4-chloro-o
phenylenediamine, 2-nitro-p-phenylenediamine, and 4-nitro-o-phenylenediamine)
were examined for their potential to produce single strand DNA breaks in human
lymphocytes using the alkaline comet assay. Results revealed that all the tested
chemicals within the range of doses from 100 microM to 500 microM showed the
genotoxicity in a dose-dependent manner after the incubation of lymphocytes with
these chemicals for 2 h. In this study, we first reported that PPD and its four
derivatives can elicit the type of single strand breaks in human lymphocytes.
PMID- 18058050
TI - Autotrophic biofilm development on superficial samples of the gold-silver mine
tailings, Valenciana (Mexico): pioneers in tailings remediation?
AB - We report the results of long term bio-assays on microorganism colonization of
mine tailings samples, taken from the Valenciana mine tailings (Guanajuato,
Mexico), under stable laboratory conditions (humidity, temperature, light
exposure). In order to identify the main metabolic groups of the potentially
colonizing microorganisms and the implications of their growth on the main
tailing's characteristics related to biological succession, organic matter (OM)
content, cationic exchange capacity (CEC), and pH values were measured as the
colonization took place. We observe that photosynthetic biofilms (cyanobacteria,
green algae, and diatoms) successfully colonize the mine tailings samples as
pioneers; moreover, bacteria, yeast and fungi were also identified. Biofilm
colonization significantly improved the OM contents, whereas the pH value is not
modified during the entire observed colonization process. The results suggest
that biofilms are useful during the first steps of the mine tailings remediation.
This is the first report of microalgae and cyanobacteria grown of on tailings
samples obtained from a semiarid region.
PMID- 18058051
TI - Acute toxicity of synthetic pyrethroid cypermethrin to some freshwater organisms.
AB - Ninety-six hours static bioassays were made in the laboratory to determine acute
toxicity of cypermethrin to five non-target freshwater organisms belonging to
different taxa and niche. Susceptibility of the organisms to cypermethrin was in
the order: the crustacean Diaptomus forbesi > the aquatic insect Ranatra
filiformis > the freshwater carp Cyprinus carpio > the tadpole larva of the toad
Bufo melanostictus > the oligochaet worm Branchiura sowerbyi. Ninety-six hours
LC50 values of aqueous cypermethrin ranged from 0.03 microg/L for the crustacean
to 9.0 microg/L for the tadpole larva. The value was very high (71.12 microg/L)
for the oligochaet worm. LC50 values changed with hours of exposure till 72 h
after which cypermethrin became inactive in both aqueous and acetone solution.
Acetone solution of cypermethrin was more toxic to B. sowerbyi, C. carpio and the
tadpole larva. There was no significant difference in susceptibility of any other
test organism between aqueous and acetone solution of cypermethrin.
PMID- 18058052
TI - Decolorization of cotton pulp black liquor by pleurotus ostreatus in a bubble
column reactor.
AB - Decolorization of cotton pulp black liquor by Pleurotus ostreatus B1 in a bubble
column reactor (BCR) was studied. The optimal conditions for the running of BCR
are 30 degrees C, pH 6.0, aeration rate 1.2 L min(-1), and mycelial age 7 days.
Under the optimal conditions, the BCR was run for four cycles (each cycle, 12
days) and the same mycelial pellets were reused. The ultimate decolorization and
COD removal rates are 76% and 80%, respectively.
PMID- 18058053
TI - The association between cysteine, bone turnover, and low bone mass.
AB - BACKGROUND: With the identification of hyperhomocysteinemia as a risk factor for
developing osteoporosis, the contribution of thiols metabolically linked with
homocysteine (tHcy) may be of importance. Cysteine (Cys) is formed from tHcy and
is involved in bone metabolism via incorporation into collagen and cysteine
protease enzymes. METHODS: We investigated the association of plasma Cys and
related thiols, the bone turnover markers C-telopeptide (CTX) and procollagen
type 1 N propeptide (P1NP) and folate and vitamin B(6) with calcaneal bone
mineral density (BMD) in 328 postmenopausal British women grouped according to
their BMD measurement. RESULTS: Subjects with low BMD had a significantly lower
plasma Cys concentration (146.3 vs. 177.7 micromol/l, p < 0.0001), a
significantly higher recent fracture rate (30.9% vs. 16.4%, p = 0.017), and a
significantly higher percentage of current smokers (26.4% vs. 7.3%. p = 0.003)
than those with normal BMD. Additionally, they had a significantly lower plasma
Cys, and higher plasma tHcy and CTX, than those with osteopenia. In the whole
population, Cys was significantly associated with BMD, weight, height, smoking
habit, log creatinine, Cys-Gly, log tHcy, and log folate, but the significant
positive association of Cys with BMD was maintained after correction for all
other variables (r = 0.197, p = 0.003). After weight, Cys was the next most
significant predictor of BMD in a stepwise multiple linear regression model.
CONCLUSION: Our study suggests a significant association between plasma Cys and
BMD. A reduced Cys concentration, possibly modulated by smoking, or reduced flux
from tHcy, may lead to reduced availability for collagen formation. Increased
osteoclast activation, possibly as a result of relative hyperhomocysteinemia, may
lead to increased Cys utilization in cysteine proteases.
PMID- 18058054
TI - Polymorphisms in the low-density lipoprotein receptor-related protein 5 (LRP5)
gene are associated with peak bone mass in non-sedentary men: results from the
Odense androgen study.
AB - PURPOSE: To investigate the impact of the Ala1330Val (rs3736228, exon 18) and
Val667Met (rs4988321, exon 9) polymorphisms of the low-density lipoprotein
receptor-related protein 5 (LRP5) gene on peak bone mass in young men. METHODS:
The Odense Androgen Study (OAS) is a population-based study comprising 783
Caucasian men aged 20-30 years. Genotyping was performed using real-time
polymerase chain reaction (PCR) or fluorescence polarization. Bone mineral
density (BMD) measurements were performed using dual-energy X-ray absorptiometry.
RESULTS: The CC, CT, and TT genotypes in Ala1330Val were found in 75.6%, 21.8%,
and 2.6% of the participants, respectively. Similarly, the GG, GA, and AA
genotypes of Val667Met were found in 89.7%, 9.8%, and 0.5%, respectively. For the
Ala1330Val polymorphism, no significant differences between the genotypes were
found regarding BMD in the overall study population. However, when analysis was
restricted to non-sedentary men (n = 589), a significant association between the
number of T-alleles and BMD in the spine and whole body were found. Each copy of
the T-allele changed the Z-score of the spine by (median and 95% confidence
interval) -0.21 [95% CI: -0.40; -0.03] (p < 0.02). Analysis suggested an
association between the AA genotype in the Val667Met polymorphism and increased
body height and decreased BMD of the femoral neck; however, no significant gene
dose effect of the A-allele could be demonstrated in the whole population. When
the analysis was restricted to non-sedentary subjects, however, each number of A
alleles was associated with a change in Z-score of -0.26 [95% CI: -0.51; -0.01]
(p = 0.04). No further significant results emerged with haplotype analysis.
CONCLUSION: The Ala1330Val and Val667Met polymorphisms in the LRP5 gene are
significantly associated with peak bone mass in physically active men.
PMID- 18058055
TI - Wrist fracture as a predictor of future fractures in younger versus older
postmenopausal women: results from the National Osteoporosis Risk Assessment
(NORA).
AB - The short-term association between wrist-fracture history and future fracture has
not been simultaneously compared between younger and older postmenopausal women.
This 3-year follow-up study of 158,940 women showed a similar future fracture
risk in younger and older women with wrist-fracture history. INTRODUCTION: We
examined the association between prior wrist fracture and future osteoporosis
related fractures within 3 years in younger and older postmenopausal women.
METHODS: In the National Osteoporosis Risk Assessment (NORA) study, 158,940
postmenopausal women, aged 50-98 (median 63) years, provided information on
fracture history since age 45, and responded to follow-up surveys 1 or 3 years
later when new fractures were queried. Cox regression models were used to obtain
relative risk (RR) and 95% confidence interval (CI) estimates. RESULTS: Of the
158,940 participants, 8,665 reported a history of wrist fracture at baseline;
4,316 women reported at least one new fracture within three years. The RR for any
subsequent clinical fracture, adjusted for covariates and baseline BMD T-score,
was 2.4 (2.0, 2.9) for younger and 2.1 (1.9, 2.3) for older women. A prior wrist
fracture increased the risk of a future wrist fracture about 3-fold and doubled
the risk of any osteoporotic fracture. CONCLUSIONS: Prior wrist fracture strongly
predicts three-year risk of any future osteoporotic fracture for older and
younger postmenopausal women, independent of baseline BMD and common osteoporosis
risk factors. More consideration should be given to evaluating and managing
osteoporosis in younger and older women with a history of wrist fracture,
independent of their BMD.
PMID- 18058056
TI - A study of multi-detector row CT scan on greater omentum in 50 individuals:
correlating with anatomical basis and clinical application.
AB - BACKGROUND: In view of the descriptions of the CT manifestations on the greater
omentum (GO) were not precise and detailed enough in the previous literature, we
tried to evaluate the radiologic-anatomical features of the GO and to address the
related clinical implications for the radiologic diagnosis and surgical
application. METHODS: We evaluated the GO by using multi-detector row CT (MDCT)
scanning in 50 individuals correlating with anatomical basis and clinical
application. Emphasis was placed on the following items: the anatomical
distribution and location of the GO; the CT manifestations of the vasculature,
fatty tissue and lymph nodes. RESULTS: The gastro-epiploic vessel, the landmark
for delineating the GO, was visualized in 50/50 cases (100%). The gastro-colic
vein was detected in 34/50 cases (68%). The free-hanging portion, 48 cases
revealed, seemed to have the capability of 'migration': it is located in the
subphrenic spaces in 9/48 cases (19%) and distributed in the right lower quadrant
predominantly in 10/48 cases (21%). Three-dimensional reformatted images,
obtained in five cases, demonstrated the return of the gastro-epiploic vein
distinctly. Lymph nodes were rarely detected within the GO. CONCLUSION: The
depicted omental vessels, visualized by using multi-planar reconstruction images
together with three-dimensional reformatted images, played a crucial role in
delineating the GO comprehensively. It can provide the valuable data for the
radiologic diagnosis and surgical therapy planning including surgery of
reconstruction, pancreas, portal hypertension as well as infections and
neoplastic diseases.
PMID- 18058057
TI - The phrenico-esophageal ligament: an anatomical study.
AB - The phrenico-esophageal ligament (PEL), which is claimed by some to be an
important anti-reflux barrier, has been accepted as an important structure by
some surgeons dealing with the surgical treatment of hiatal hernias. However, the
characteristics of its anatomical structure and the physiological importance of
this ligament is still a subject of discussion. The aim of this study was to
define this anatomic structure and to point out the clinical importance of the
PEL. This study has been carried out on samples taken from 2 fresh and 12 fixed
cadavers. The PEL was observed to be derived from the transversalis and
endothoracic fascia attaching the esophagus to the diaphragmatic crura at the
region of the esophageal hiatus. While the transversalis fascia covered the
inferior surface of the diaphragm, it was observed to divide into upper and lower
leaflets when it approached the esophageal hiatus. The endothoracic fascia turned
superiorly at the level of esophageal hiatus and attached on to the esophagus by
uniting with the upper leaflet of the transversalis fascia in 11 of the
specimens. In three of the specimens, it attached on the esophagus at a higher
level than the transversalis fascia. The histologic sections of our study
revealed that the PEL is formed by collagen and elastic fibers composed of
fibroblasts and blood vessels. Since the PEL is a strong structure that firmly
attached to the esophageal wall and surrounded the upper part of the distal
esophagus like a skirt, it is reasonable that it may play an important role in
the gastroesophageal sphincteric mechanism. Histological evidence for decrease in
collagen fibers with age and the loose arrangement of the elastic fibers due to
this decrement might decrease the resistance and the elasticity of the PEL. This
situation may explain the predisposition to hiatal hernias seen with increased in
age.
PMID- 18058058
TI - Side selection of pterional approach for anterior communicating artery aneurysms-
surgical anatomy and strategy.
AB - BACKGROUND: To evaluate our decision policy based on vertical aneurysm projection
for selecting the side of the pterional approach for the surgical treatment of
anterior communicating artery aneurysms. METHODS: Inferiorly projecting aneurysms
were treated through the dominant A1 side, and superiorly projecting aneurysms
were treated through the side of aneurysm fundus projection. We analysed
postoperative outcome and surgical complications, and the correlations between
the anatomical factors such as position (high or low), projection (dorsal or
anterior), and the plane containing both A2 vessels (open A2 plane defined as the
A2 of the approach side located more posteriorly than the contralateral A2;
closed A2 plane as the ipsilateral A2 located more anteriorly than the
contralateral A2), to assess the surgical requirements of approaches in patients
with superiorly projecting aneurysms. FINDINGS: A favorable outcome was achieved
in 95.1% of patients with inferior type aneurysms and 85.2% of patients with
superior type aneurysms (P = 0.088). Surgical complications occurred in 8.9% of
patients with inferior type aneurysms and 17.9% with superior type aneurysms.
However, there was a distinct group of patients with superior type aneurysms
characterised by a closed A2 plane, in which the ipsilateral A2 was located
anterior to the contralateral A2, in whom the approach toward the neck was
significantly more difficult, requiring A2 displacement or gyrus aspiration, and
resulting in a neck remnant and more surgical complications such as vascular
injury or cerebral contusion. This group also had a significantly high
correlation with high position and dorsal projection of aneurysms causing more
difficult dissection. CONCLUSIONS: This policy provided good postoperative
outcomes. However, use of skull base techniques or the interhemispheric approach,
instead of the normal pterional approach, may further improve the postoperative
outcome for closed A2 plane aneurysms.
PMID- 18058059
TI - Spontaneous resolution of isolated dissecting aneurysm on the posterior inferior
cerebellar artery.
AB - The authors report a rare example of an isolated dissecting posterior inferior
cerebellar artery (PICA) aneurysm with spontaneous resolution. A 41 year-old male
suffered sudden dizziness, nausea and vomiting. An angiogram and magnetic
resonance imaging (MRI) detected an isolated PICA dissection. The patient was
treated conservatively and recovered without any apparent neurological deficit.
MRI detected the self-resolution of the dissecting aneurysm. Dissecting PICA
aneurysms, especially non-haemorrhagic lesions, have the possibility of
spontaneous resolution resulting in a favorable outcome. The treatment strategy
for this vascular lesion may be decided based upon neuroradiological changes on
careful follow-up.
PMID- 18058060
TI - Cordectomy for post-traumatic syringomyelia.
AB - We performed cordectomy, a surgical technique that is infrequently used at
present, for a patient with post-traumatic syringomyelia (following complete
paraplegia of both lower limbs due to dislocation fracture of the 9th thoracic
vertebra), yielding a favourable result. We recommend cordectomy as a surgical
technique to which spinal surgeons should give utmost consideration for patients
with post-traumatic syringomyelia demonstrating progressive symptoms assumed to
be attributable to the syrinx and with an anatomically transected spinal cord of
the mid-to-lower thoracic vertebral level.
PMID- 18058061
TI - Ependymoma of conus medullaris presenting as subarachnoid haemorrhage.
AB - Subarachnoid haemorrhage (SAH) due to spinal ependymoma is very rare. We report a
37 year old man who presented with typical clinical signs of SAH. Lumbar puncture
confirmed SAH but cerebral angiography was negative, and further diagnostic work
up revealed an ependymoma of the conus medullaris as the source of the
haemorrhage. A comprehensive review of the literature was conducted. Only 17
patients with spontaneous SAH due to a spinal ependymoma have been reported since
1958. However, in cases of SAH and negative diagnostic findings for cerebral
aneurysms or malformations, this aetiology should be considered and work-up of
the spinal axis completed.
PMID- 18058062
TI - Validation of the Edinburgh Postnatal Depression Scale in an Iranian sample.
AB - BACKGROUND: Considering the adverse effects of postpartum depression on both
mother and infant, a screening instrument for early diagnosis seems to be of
importance. AIMS: To assess the psychometric properties of the Persian version of
Edinburgh Postnatal Depression Scale (EPDS) on a sample of Iranian postpartum
women. METHOD: The EPDS was translated and back-translated in the standard
method. The questionnaire was completed by 600 postpartum women. Hundred cases
with an EPDS score of > or =9 and 100 cases with an EPDS of <9 were randomly
selected for interview. Sensitivity, specificity, positive likelihood ratio, and
receiver operating characteristics were calculated by comparing the EPDS sum
score against the DSM-IV diagnoses. The correlation coefficient of the EPDS score
with GHQ-12 score was calculated. Principal component analysis and internal
consistency were assessed. RESULTS: The best cutoff scores for major depression
were 12/13 with a sensitivity and specificity of 95.3% and 87.9%, respectively.
The correlation coefficient of the total score of the Persian version of EPDS
with the GHQ-12 total score was 0.76 (P < 0.001). A two-factor solution was
selected as the most appropriate model based on both values and the score plot.
The coefficient alpha for the whole scale was 0.83. CONCLUSION: The Persian
version of EPDS is a reliable and valid measure for detecting postpartum
depression. Furthermore, it seems acceptable to patients and a valid screening
instrument for depression in postpartum women.
PMID- 18058063
TI - The role of the N-terminal caspase cleavage site in the nucleoprotein of
influenza A virus in vitro and in vivo.
AB - The N-terminal caspase cleavage in the nucleoprotein (NP) of influenza A virus is
correlated with the host origin of the virus, thus could be a molecular
determinant for host range. We studied how mutations targeting the NP cleavage
motif of human and avian influenza viruses affect virus replication in vitro and
in vivo. The "avian-like" D16-->G substitution in the NP, which makes this
protein resistant to cleavage, did not significantly affect the human A/Puerto
Rico/8/34 (H1N1) virus replication in vitro but decreased the lethality of this
virus in mice by 68-fold. Gene incompatibility contributed to the attenuated
phenotype of the reassortant A/Puerto Rico/8/34 virus with avian NP derived from
A/Teal/Hong Kong/w312/97 (H6N1) virus in vitro and in vivo. Insertion of the
"human-like" G16-->D mutation into avian NP, which resulted in susceptibility to
caspase cleavage, did not rescue virulence, but made the reassortant virus even
more attenuated. Introducing the human-like G16-->D substitution into the NP of
highly pathogenic A/Vietnam/1203/04 (H5N1) virus decreased lethality in mice. We
confirmed that position 16, which associated with the N-terminal caspase cleavage
of the NP, is important for optimal virus fitness in vitro and in vivo. An avian
like mutation at position 16 in the NP of human virus as well as a human-like
substitution at this residue in avian NP both resulted in virus attenuation.
PMID- 18058064
TI - Identification of a significant association of a single nucleotide polymorphism
in TNXB with systemic lupus erythematosus in a Japanese population.
AB - Systemic lupus erythematosus (SLE) is one of the common autoimmune diseases, with
complex genetic components. Here, we report on a case-control association study
of 178 SLE patients and 899 control subjects, using genome-wide gene-based single
nucleotide polymorphism (SNP) markers. An SNP, rs3130342, in a 5' flanking region
of the TNXB gene revealed a significant association with SLE [P = 0.000000930,
odds ratio (OR) 3.11, with 95% confidence interval (95%CI) of 1.89-5.28] in a
Japanese population. This association was replicated independently with 203 cases
and 294 controls (P = 0.0440, OR 1.52, with 95%CI of 1.01-2.78). Although a copy
number variation (CNV) of the C4 gene adjacent to the TNXB gene was reported to
be associated with SLE, our analysis on this CNV revealed that the association of
CNV of the C4 gene was weaker than the SNP in the TNXB gene and likely to reflect
the linkage disequilibrium between C4 CNV and this particular SNP. Stratified
analysis also revealed that the association of SNP rs3130342 with SLE was
independent of the HLA-DRB1*1501 allele that has been shown to be associated with
SLE. Our findings strongly imply that the TNXB gene is a candidate gene
susceptible to SLE in the Japanese population.
PMID- 18058065
TI - The role of transperineal ultrasonography in the assessment of the internal
opening of cryptogenic anal fistula.
AB - PURPOSE: The aim of this study was to assess the reliability of transperineal
ultrasonography (TPUS) in the pre-operative identification of the internal
opening of anal fistulas compared to conventional proctological examination and
operative findings. METHODS: Forty-three patients with anal fistula were assessed
by TPUS with and without the use of hydrogen-peroxide injection (E-TPUS) in an
attempt to identify the internal opening. Results were compared to preoperative
conventional examination and operative findings. RESULTS: Operative assessment
showed an anterior internal opening in 29 patients (67.4%) and a posterior
opening in 14 (32.6%). Compared to the operative findings, the agreement rate for
the identification of the internal opening was 74.4% for physical examination
alone, 93.0% for TPUS and 90.7% for E-TPUS. Physical examination was less
accurate in detecting the internal opening than both TPUS (p=0.014) and E-TPUS
(p=0.026). CONCLUSIONS: TPUS and E-TPUS are superior to physical examination in
the identification of internal openings in anal fistulas. Hydrogen peroxide
injection does not provide additional advantages.
PMID- 18058066
TI - Comparative evaluation of the effect of sustained inflation and rapid
inflation/deflation of the intrarectal balloon upon rectoanal inhibitory reflex
parameters in asymptomatic subjects.
AB - PURPOSE: The effects of rapid sustained inflation versus rapid
inflation/deflation of the intrarectal balloon upon rectoanal inhibitory reflex
(RAIR) parameters were evaluated in asymptomatic subjects. METHODS: Forty
asymptomatic adults were submitted to anorectal manometry with rapid or sustained
inflation with 30 and 60 mL air. The average age was 27.4 years (range, 20-40).
The subjects were divided into Group I (20 men) and Group II (20 women) for
analysis. RAIR parameters were registered in order to compare the inflation
patterns within each group, and Groups I and II were compared for each inflation
pattern with regard to RAIR parameters. RESULTS: Sustained inflation
significantly increased IAS relaxation time and duration of the reflex in both
groups, and IAS tone recovery time in Group I. CONCLUSIONS: RAIR parameters are
influenced by the choice of inflation pattern. Further studies are required to
establish a standard intrarectal balloon inflation pattern.
PMID- 18058067
TI - Genetics of migraine and pharmacogenomics: some considerations.
AB - Migraine is a complex disorder caused by a combination of genetic and
environmental factors. Although family and twin studies show that there is a
genetic component in migraine, no genes predisposing to common forms of the
disorder, migraine with and without aura, have been identified. Patients with
migraine respond differently to a given drug administered. The efficacy of
therapy and the occurrence of adverse drug response are a consequence of
individual variability. Genetic profiling of predisposition to migraine should
facilitate the development of more effective diagnostic and therapeutic
applications. The development of International Hap Map project could provide a
powerful tool for identification of the candidate genes in this complex disease
and pharmacogenomics research could be the promise for individualized treatments
and prevention of adverse drug response.
PMID- 18058068
TI - Epstein-Barr virus-associated T/NK cell-type central nervous system lymphoma
which manifested as a post-transplantation lymphoproliferative disorder in a
renal transplant recipient.
AB - A 31-year-old male, who had received a cadaveric renal allograft in April 2003,
consulted a clinic for a transient hemiplegia in August 2004. At that time, a
course observation without medication was chosen. In October 2004, he was
admitted to our hospital by ambulance with a clonic seizure and a recurrence of
hemiplegia on the right side of his body. Head magnetic resonance imaging (MRI)
showed enhanced multifocal nodular lesions with remarkable cerebral edema mainly
in the left frontal lobe. A stereotactic brain biopsy was performed, and the
pathological diagnosis was nasal type extranodal T/NK cell lymphoma manifested as
the post transplant lymphoproliferative disease (PTLD). Systemic staging workups
showed no extra-CNS involvement. Because of his renal dysfunction and no sign of
any extra-CNS involvement, a reduction of the immunosuppressants and whole brain
radiation therapy (WBRT) (40 Gy) without chemotherapy were applied to his
therapeutic regimen. After WBRT, MRI showed a remarkable reduction in the number
and size of the tumors, and no neurological abnormalities were physically
observed. As of December 2006, no sign of recurrence has subsequently been found
in both the intra- and extra-CNS.
PMID- 18058070
TI - In vivo functions of the gamma-butyrolactone autoregulator receptor in
Streptomyces ambofaciens producing spiramycin.
AB - A gene encoding a gamma-butyrolactone autoregulator receptor was cloned in to E.
coli from Streptomyces ambofaciens producing spiramycin, a macrolide antibiotic
used in both veterinary medicine and human medicine. A 714-bp intact receptor
gene (saaR) was obtained by PCR and genomic Southern hybridization with the 100
bp PCR product as a probe. To clarify the in vivo function of saaR, a saaR
disrupted strain was constructed by means of homologous recombination, and
phenotypes were compared with those of the wild-type strain. The number of saaR
disruptant spores was 4-fold less than that of the wild-type strain. In addition,
saaR deletion from the S. ambofaciens chromosome resulted in complete loss of
spiramycin production suggesting that saaR is a rare positive regulator,
controlling both spiramycin biosynthesis and sporulation.
PMID- 18058069
TI - Vincristine and lomustine induce apoptosis and p21(WAF1) up-regulation in
medulloblastoma and normal human epithelial and fibroblast cells.
AB - Medulloblastomas arise in the cerebellum and are the most common pediatric
primary malignant brain tumors. Currently, medulloblastoma patients are best
treated with surgical removal of the tumor, adjuvant radiation therapy and
chemotherapy. The chemotherapeutic agents that showed efficiency against
medulloblastomas include lomustine and vincristine. However, the effects of these
drugs on medulloblastomas as well as on other cell types is still not well
defined. In the present report we present evidence that the cytotoxic effect of
these drugs is not specific for medulloblastoma cells but includes also normal
fibroblast and epithelial cells. We have also shown that vincristine and
lomustine trigger apoptosis in all these cells through the mitochondrial pathway
via decrease in the level of the anti-apoptosis proteins Bcl-2 and Bcl-xl,
respectively. Intriguingly, the proportion of apoptotic cells induced in
medulloblastoma and normal epithelial and fibroblastic cells was similar. In
addition, vincristine induced low proportion of necrosis in medulloblastoma and
normal fibroblast cells. Interestingly, while vincristine induced cell cycle
delay in G2/M phase in normal as well as medulloblastoma cells, lomustine effect
on the cell cycle was specific for medulloblastoma cells. Furthermore, we have
shown that vincristine and lomustine up-regulated p21 protein level in a p53
independent manner. These results shed more light on the biological effects of
vincristine and lomustine and show that lomustine is a more specific and potent
anti-medulloblastoma agent.
PMID- 18058071
TI - Expression, purification and characterization of a high potential iron-sulfur
protein from Acidithiobacillus ferrooxidans.
AB - The high potential iron-sulfur protein (HiPIP) is involved in the iron
respiratory electron transport chain of Acidithiobacillus ferrooxidans but its
exact role is unclear. The gene of HiPIP from A. ferrooxidans ATCC 23270 was
cloned and expressed in Escherichia coli, and the protein then purified by one
step affinity chromatography to homogeneity. The molecular mass of the HiPIP
monomer was 7250.43 Da by MALDI-TOF MS, indicating the presence of the
[Fe(4)S(4)] cluster. The optical and EPR spectra results of the recombinant
protein confirmed that the iron-sulfur cluster was correctly inserted into the
active site of the protein. Site-directed mutagenesis results revealed that
Cys25, Cys28, Cys37 and Cys50 were involved in ligating to the iron-sulfur
cluster.
PMID- 18058072
TI - Impact of a physician education and patient awareness campaign on the diagnosis
and management of primary immunodeficiencies.
PMID- 18058073
TI - Photic regulation of map kinase phosphatases MKP1/2 and MKP3 in the hamster
suprachiasmatic nuclei.
AB - MAP kinases (MAPKs) play a key role in photic entrainment signaling in the
suprachiasmatic nuclei (SCN), the mammalian circadian clock. The control of MAPKs
is a fine balance between specific kinases (MEKs) and phosphatases (MKPs), whose
orchestration in the SCN is still unresolved. We have found MKP1/2 and MKP3
immunoreactive-cells in the hamster SCN, whose levels are rapidly increased in
response to transient light stimulation in the subjective night (CT 18), when
light is able to entrain the clock. Moreover, the expression level of MKP3 varies
under light-dark cycles and constant darkness, peaking at noon, when MAPKs are in
their activated state and begin their inactivation. These results show a
different perspective on MAPKs in the SCN, which includes its regulation by a
complex net of phosphatases.
PMID- 18058074
TI - The cytoskeleton in oligodendrocytes. Microtubule dynamics in health and disease.
AB - Oligodendrocytes have a complex cytoarchitecture and are characterized by an
elaborate network of microtubules. They provide the tracks for organelle
trafficking and the intracellular translocation of myelin-specific gene products.
The integrity of the cytoskeleton is an essential determinant of the function and
survival of oligodendrocytes. Microtubule growth and stability are regulated by
microtubule-associated proteins. Oligodendrocytes contain a number of microtubule
associated proteins, including the tau proteins, which are developmentally
regulated and especially prominent in the branching points of the cellular
processes. Process outgrowth is regulated by the interaction of Fyn kinase with
the cytoskeleton and by microtubule-severing proteins, such as stathmin.
Alterations or disruption of the cytoskeleton and abundant abnormal aggregates of
cytoskeletal proteins often accompany neurodegenerative diseases, and inclusion
bodies, resembling protein aggregates found in neurons, are prominent in
oligodendroglial lesions in white matter pathology. This review emphasizes the
role of the cytoskeleton, particularly of microtubules and their associated
proteins, in oligodendrocytes during developmental processes. Furthermore, recent
data on protein aggregate formation in oligodendroglial cells, which might occur
during aging and disease processes, are summarized.
PMID- 18058075
TI - Maintenance for acute myeloid leukemia revisited.
AB - Maintenance treatment for AML is an approach to minimize residual disease,
optimize quality of remission and prevent a leukemic regrowth over a longer
period of time. This intention implies a certain antileukemic activity and
myelotoxicity. Thus, a prolonged myelosuppressive maintenance is best exemplified
by the optimized protocol of the CALGB published by Kanti R. Rai in 1981 (Blood
58:1203-1212, 1981) and derived by the AMLCG as a therapeutic standard. From our
today's knowledge about the impact of various strategies, a lack of postremission
therapy is not compatible with durable remissions. Even after an induction-type
consolidation, the classic CALGB-type maintenance, or a comparably intensive
regimen improved the relapse-free survival over that from alternatives. Some
studies which failed to show a benefit used maintenance at low-dosage or short
duration. Data about maintenance delivery in patients reaching long-term
remissions demonstrate feasibility and compliance, and a low maintenance-related
death rate can compete with that from alternative options. Revisiting
maintenance, however, requires a comparison with other strategies on the basis of
intention-to-treat. Either single prospective trials or crosstrial networking by
a common standard arm and general upfront randomization can further assess the
relative value of maintenance for AML.
PMID- 18058076
TI - Prediction of successful outcome in patients with primary aldosteronism.
AB - Primary aldosteronism is one of the most common causes of secondary hypertension.
In recent years the prevalence has risen dramatically, from 1% to 14% of all
hypertensive patients. This has been largely attributed to an increase in
diagnosis. Primary aldosteronism is characterized by hypertension with or without
hypokalemia and a high plasma aldosterone concentration (PAC) with a concurrent
low plasma renin activity (PRA). The most common subtypes of primary
aldosteronism are aldosterone-producing adenoma (42%) and bilateral idiopathic
hyperaldosteronism (58%). Other less common subtypes (<1%) are glucocorticoid
remediable aldosteronism, and unilateral primary hyperplasia. Current treatment
for primary aldosteronism relies on accurate subtype distinction and assessment
of unilateral versus bilateral disease. Bilateral idiopathic hyperaldosteronism
is best managed pharmacologically and improves with the use of aldosterone
receptor antagonists. Combined treatment with sodium-channel blockers and calcium
channel blockers has also shown satisfactory results. Glucocorticoid-remediable
aldosteronism responds well to treatment with low-dose glucocorticoids.
Aldosterone producing adenoma and unilateral adrenal hyperplasia are
appropriately treated with laparoscopic adrenalectomy. Following adrenalectomy
blood pressure improves in 98% of these patients, but only about 33% require no
further antihypertensive medication. Identifying the subgroups that will most
benefit from adrenalectomy is paramount to formulating individual treatment
strategies. In the past, treatment focused mainly on the correction of
hypertension and electrolyte disturbances. Now, with accumulating evidence of the
detrimental effects of aldosterone to the myocardium, vascular endothelium and
kidneys, treatment also focuses on normalizing aldosterone levels or blocking
aldosterone action at the receptor level. Therefore, it is essential to
accurately identify the specific subtype of primary aldosteronism in order to
select optimal treatment and to achieve successful patient outcomes.
PMID- 18058077
TI - [Surgery of mediastinal tumors].
AB - Thymomas, lymphomas, and germ cell tumors are the most frequent lesions of the
anterior mediastinum, whereas endodermal (bronchogenic) cysts and lymphomas are
the most frequent lesions of the middle mediastinum. In the posterior
mediastinum, neurogenic tumors and soft-tissue sarcomas are the most frequent.
Depending on tumor location, mediastinoscopy, mediastinotomy, and thoracoscopy
are the preferred diagnostic methods. Surgical treatment of thymoma is the gold
standard, and median sternotomy is the most frequently applied approach. The
decisive prognostic and therapeutic criteria are Masaoka staging, WHO
classification, and R0 status. Thoracoscopy should be performed only in patients
with myasthenia gravis and with very small tumors. Surgical treatment is highly
recommended in patients with locally recurrent tumors. The importance of surgical
treatment of germ cell tumors is determined by a negative concentration of beta
HCG and alpha-fetoprotein and in cases of residual tumor after chemotherapy.
Bronchogenic cysts always require resection because of their high complication
rate (66%) after conservative treatment. In these cases complete resection is
necessary due to the probability of recurrence. Ninety-eight percent of
neurogenic tumors in adults are benign and usually resected via thoracoscopy or
thoracotomy, depending on location and size.
PMID- 18058079
TI - Canopy compass in nocturnal homing of the subsocial shield bug, Parastrachia
japonensis (Heteroptera: Parastrachiidae).
AB - In contrast to an open environment where a specific celestial cue is
predominantly used, visual contrast of canopies against the sky through the gap,
known as canopy cues, is known to play a major role for visually guided insect
navigators in woodland habitats. In this paper, we investigated whether a
subsocial shield bug, Parastrachia japonensis, could gauge direction using canopy
cues on a moonless night. The results show that they could perform the round trip
foraging behaviour even in an experimental arena with only an artificial round
gap opened in the ceiling of the arena and adjust their homing direction for a
new azimuth when the gap was rotated. Thus, P. japonensis can use slightly
brighter canopy cues as a compass reference but not complex landmarks during
nocturnal homing behaviour.
PMID- 18058078
TI - [Relevance of cell culture models in cutaneous tumour biology. Part I: tumour
cell lines].
AB - Cutaneous squamous cell carcinoma, basal cell carcinoma and melanoma, much like
all other human solid tumors, result from a multi-step process in which genetic
and epigenetic changes accumulate in the affected cells. Insight into the biology
of human tumors is a requirement for developing effective therapies. Cell culture
models are a very valuable experimental system. The degree of tumorigenic
transformation can be precisely defined. Tumor cell lines display similar
functional hierarchy as tumors or tissues in vivo and can, consequently, provide
a crucial source of minor cell subsets, like tumor stem cells. Progression series
of clonally related cell lines offer the opportunity to follow the process of
sequential acquisition of transformation-related traits up to the development of
properties with direct clinical equivalents, like tumorigenicity and metastatic
competence. These phenotypical changes can be directly correlated with changes at
the genome level, concerning both gene structure and expression. While for most
studies, human transformed cell lines are the model of choice, there are
questions for which animal cell lines are strongly preferred, such as
interactions between the tumor and the immune system. To properly interpret the
results of all experiments with classical two-dimensional cell culture, a
possible danger of artifacts due to grossly unnatural environment must be
constantly taken into account. It is thus obligatory to confirm any such result
with other experimental models like complex three-dimensional culture models or
experimental animals, and with clinical samples.
PMID- 18058080
TI - [Isolated neuritis of the oculomotor nerve in infectious mononucleosis].
AB - A 19-year-old immune-competent patient developed right-sided headache and,
subsequently, subacute diplopia. On clinical examination he had incomplete right
oculomotor palsy. Cranial MRI showed pathologic contrast enhancement of the right
oculomotor nerve at its exit point from the mesencephalon, and the CSF displayed
slight pleocytosis. The following relevant differential diagnoses were not
supported by additional examinations: neurosarcoidosis, Lyme neuroborreliosis,
neurosyphilis, tuberculous meningitis, viral meningitis (HIV, VZV, CMV), CNS
lymphoma, vasculitis associated with rheumatic disease, Tolosa-Hunt syndrome, and
diabetic neuropathy. However, on the basis of blood lymphocytosis, positive
heterophile antibody test (Paul-Bunnell test), the presence of IgM antibodies
against Epstein-Barr virus capsid antigen, and elevated transaminases, infectious
mononucleosis was diagnosed. Isolated neuritis of the oculomotor nerve is a rare
parainfectious manifestation of infectious mononucleosis.
PMID- 18058081
TI - [Eugen Bleuler (1857-1939) and German psychiatry].
AB - Eugen Bleuler was born 150 years ago, and about 100 years ago he published his
"Schizophrenia" for the very first time-giving rise to a retrospective view
especially concerning German psychiatry. Together with Emil Kraepelin, who was
more or less of the same epoch as Freud, E. Bleuler is one of the fathers of
modern psychiatry. From the broad spectrum of his psychiatry with many findings,
two achievements are particularly meaningful: the schizophrenia monography of
1911 and the first edition of his educational manual from 1916. Psychiatry owes
two achievements to E. Bleuler: "deepened" psychopathology, which depicted
schizophrenic symptoms and their relation, and the importance of psychoanalysis
for psychiatry. Bleuler was not a psychoanalyst himself but rather a
pluridimensional psychiatrist in the best sense of the word and at the same time
sympathetic to psychoanalysis. The reception and historical effect of Bleuler's
work are described. Another important subject that Bleuler himself regarded as
the central field in his work is pointed out: the fight against alcoholism.
PMID- 18058082
TI - [Chronic spinal subdural hematoma].
AB - Compared with spinal epidural hematomas, spinal subdural hematomas are rare;
chronic forms are even more uncommon. These hematomas are associated not only
with lumbar puncture and spinal trauma, but also with coagulopathies, vascular
malformations and tumors. Compression of the spinal cord and the cauda equina
means that the patients develop increasing back or radicular pain, followed by
paraparesis and bladder and bowel paralysis, so that in most cases surgical
decompression is carried out. On magnetic resonance imaging these hematomas
present as thoracic or lumbar subdural masses, their signal intensity varying
with the age of the hematoma. We report the clinical course and the findings
revealed by imaging that led to the diagnosis in three cases of chronic spinal
subdural hematoma.
PMID- 18058083
TI - Non-alcoholic fatty liver disease is independently associated with an increased
prevalence of chronic kidney disease and proliferative/laser-treated retinopathy
in type 2 diabetic patients.
AB - AIMS/HYPOTHESIS: Non-alcoholic fatty liver disease (NAFLD) is associated with an
increased risk of cardiovascular disease in type 2 diabetes. Currently, there is
a lack of information on associations between NAFLD and microvascular
complications of diabetes. We assessed the associations between NAFLD and both
chronic kidney disease (CKD) and retinopathy in a large cohort of type 2 diabetic
individuals using a cross-sectional design. METHODS: Prevalence rates of
retinopathy (by ophthalmoscopy) and CKD (defined as overt proteinuria and/or
estimated GFR or = 65 mm Hg (1C); dobutamine
inotropic therapy when cardiac output remains low despite fluid resuscitation and
combined inotropic/vasopressor therapy (1C); stress-dose steroid therapy given
only in septic shock after blood pressure is identified to be poorly responsive
to fluid and vasopressor therapy (2C); recombinant activated protein C in
patients with severe sepsis and clinical assessment of high risk for death (2B
except 2C for post-operative patients). In the absence of tissue hypoperfusion,
coronary artery disease, or acute hemorrhage, target a hemoglobin of 7-9 g/dL
(1B); a low tidal volume (1B) and limitation of inspiratory plateau pressure
strategy (1C) for acute lung injury (ALI)/acute respiratory distress syndrome
(ARDS); application of at least a minimal amount of positive end-expiratory
pressure in acute lung injury (1C); head of bed elevation in mechanically
ventilated patients unless contraindicated (1B); avoiding routine use of
pulmonary artery catheters in ALI/ARDS (1A); to decrease days of mechanical
ventilation and ICU length of stay, a conservative fluid strategy for patients
with established ALI/ARDS who are not in shock (1C); protocols for weaning and
sedation/analgesia (1B); using either intermittent bolus sedation or continuous
infusion sedation with daily interruptions or lightening (1B); avoidance of
neuromuscular blockers, if at all possible (1B); institution of glycemic control
(1B) targeting a blood glucose < 150 mg/dL after initial stabilization ( 2C );
equivalency of continuous veno-veno hemofiltration or intermittent hemodialysis
(2B); prophylaxis for deep vein thrombosis (1A); use of stress ulcer prophylaxis
to prevent upper GI bleeding using H2 blockers (1A) or proton pump inhibitors
(1B); and consideration of limitation of support where appropriate (1D).
Recommendations specific to pediatric severe sepsis include: greater use of
physical examination therapeutic end points (2C); dopamine as the first drug of
choice for hypotension (2C); steroids only in children with suspected or proven
adrenal insufficiency (2C); a recommendation against the use of recombinant
activated protein C in children (1B). CONCLUSION: There was strong agreement
among a large cohort of international experts regarding many level 1
recommendations for the best current care of patients with severe sepsis.
Evidenced-based recommendations regarding the acute management of sepsis and
septic shock are the first step toward improved outcomes for this important group
of critically ill patients.
PMID- 18058088
TI - Bidirectional cannabinoid modulation of social behavior in adolescent rats.
AB - RATIONALE: Marijuana use in adolescents is a highly social activity, and
interacting endocannabinoid and opioid systems may modulate social reward.
However, cannabinoid exposure has been reported to reduce social behavior.
OBJECTIVES: The aim of this study was to elucidate the mechanisms underlying the
paradoxical relationship between cannabinoid exposure and sociability. MATERIALS
AND METHODS: We investigated the effect of cannabinoid agonists with a different
mechanism of action on social play behavior in adolescent rats. In addition, we
examined whether endocannabinoid neurotransmission interacts with opioid and
dopaminergic neurotransmission in the modulation of social play behavior.
RESULTS: The direct CB1 cannabinoid receptor agonist WIN55,212-2 reduced social
play. However, the indirect cannabinoid agonist URB597, which inhibits the
hydrolysis of the endocannabinoid anandamide, enhanced social play. This effect
of URB597 depended upon stimulation of opioid and dopamine receptors. The well
known stimulatory effect of morphine on social play was attenuated by the CB1
cannabinoid receptor antagonist SR141716A, but independent of dopamine receptor
stimulation. Combined treatment with ineffective doses of URB597 and morphine
increased social play. CONCLUSIONS: Cannabinoid neurotransmission can both
enhance and inhibit social interaction in adolescent rats depending on how the
endocannabinoid system is stimulated. Activation of cannabinoid receptors
throughout the brain, which occurs during cannabis use, inhibits sociability. In
contrast, on-demand release of endocannabinoids facilitates social interaction,
which is magnified by indirect cannabinoid agonists through an interaction with
opioid and dopaminergic neurotransmission. These results shed light on the
paradoxical relationship between cannabis exposure and sociability and suggest
that endocannabinoid degradation inhibitors hold promise for the treatment of
social dysfunctions.
PMID- 18058091
TI - Heteroatom(isotope)-tagged genomics and proteomics.
PMID- 18058089
TI - Models and mechanisms of anxiety: evidence from startle studies.
AB - RATIONALE: Preclinical data indicates that threat stimuli elicit two classes of
defensive behaviors, those that are associated with imminent danger and are
characterized by flight or fight (fear), and those that are associated with
temporally uncertain danger and are characterized by sustained apprehension and
hypervigilance (anxiety). OBJECTIVE: The objectives of the study are to (1)
review evidence for a distinction between fear and anxiety in animal and human
experimental models using the startle reflex as an operational measure of
aversive states, (2) describe experimental models of anxiety, as opposed to fear,
in humans, (3) examine the relevance of these models to clinical anxiety.
RESULTS: The distinction between phasic fear to imminent threat and sustained
anxiety to temporally uncertain danger is suggested by psychopharmacological and
behavioral evidence from ethological studies and can be traced back to distinct
neuroanatomical systems, the amygdala and the bed nucleus of the stria
terminalis. Experimental models of anxiety, not fear, are relevant to non-phobic
anxiety disorders. CONCLUSIONS: Progress in our understanding of normal and
abnormal anxiety is critically dependent on our ability to model sustained
aversive states to temporally uncertain threat.
PMID- 18058090
TI - Escitalopram effects on insula and amygdala BOLD activation during emotional
processing.
AB - RATIONALE: The amygdala and insular cortex are integral to the processing of
emotionally salient stimuli. We have shown in healthy volunteers that an
anxiolytic agent, lorazepam, dose-dependently attenuates activation of limbic
structures. OBJECTIVE: The current study investigated whether administration of a
selective serotonin reuptake inhibitor (SSRI), escitalopram, alters the
activation of limbic structures. We hypothesized that subchronic (21 days) SSRI
treatment attenuates the activation of the amygdala and insula during processing
of emotional faces. MATERIALS AND METHODS: Thirteen healthy volunteers
participated in a double-blind, placebo-controlled, crossover, randomized study.
After 21 days of treatment with either escitalopram or placebo, participants
underwent functional magnetic resonance imaging (fMRI) during which all subjects
completed an emotion face assessment task, which has been shown to elicit
amygdala and insula activation. RESULTS: Subjects activated the bilateral insula
and amygdala after treatment with both escitalopram and placebo. In subjects who
were adherent to the protocol (as evidenced by sufficiently high urine
concentrations of escitalopram), a reduction in amygdala activation was seen in
the escitalopram condition compared to placebo. CONCLUSION: The current
investigation provides further evidence for the mechanism of action of SSRIs
through the attenuation of activation in brain regions responsible for emotion
processing and provides support for the use of blood oxygenation level-dependent
fMRI with pharmacological probes to help identify the specific therapeutic effect
of these agents in patients with anxiety and mood disorders.
PMID- 18058092
TI - Distribution of the GNB3 825C>T polymorphism among Brazilians: impact of
population structure.
AB - OBJECTIVE: To describe the impact of population admixture on the distribution of
the GNB3 825C>T polymorphism in the heterogeneous Brazilian population. METHODS:
Individual DNA from 236 healthy Brazilians, self-identified as White,
Intermediate and Black, was genotyped for a set of insertion/deletion
polymorphisms that have been previously validated as ancestry informative markers
(AIMs). The GNB3 825C>T polymorphism was detected by PCR-RFLP. Non-linear
logistic regression modeling was applied to describe the association between the
GNB3 825C>T polymorphisms and the African component of ancestry (ACA) estimated
by the AIMs. RESULTS: The GNB3 825C>T allele and genotype distribution differed
significantly across the three self-reported groups (P < 0.0001, chi(2) test),
with a trend for increasing frequency of both the GNB3 825T allele and the TT
genotype from White to Intermediate to Black individuals (P < 0.0001, chi(2) test
for trend in proportions). Non-linear logistic regression showed that the odds of
having the GNB3 825C>T allele increase monotonically (P < 0.0001, Wald
statistics) with increasing ACA throughout the ACA range (0.136-0.897) observed
in the overall population sample, irrespective of "racial/color" self
identification. CONCLUSION: The present data on the GNB3 825C>T polymorphism
support the notion that interethnic admixture, which is a source of spurious
genotype-phenotype associations in pharmacogenetic/-genomic studies, must be
dealt with as a continuous variable, rather than proportioned in arbitrary sub
categories for the convenience of data quantification and analysis.
PMID- 18058093
TI - Human cerebral cortices: signal variation on diffusion-weighted MR imaging.
AB - INTRODUCTION: We have often encountered high signal intensity (SI) of the
cingulate gyrus and insula during diffusion-weighted magnetic resonance imaging
(DW-MRI) on neurologically healthy adults. To date, cortical signal heterogeneity
on DW images has not been investigated systematically. The purpose of our study
was to determine whether there is regional signal variation in the brain cortices
of neurologically healthy adults on DW-MR images. METHODS: The SI of the cerebral
cortices on DW-MR images at 1.5 T was evaluated in 50 neurologically healthy
subjects (34 men, 16 women; age range 33-84 years; mean age 57.6 years). The
cortical SI in the cingulate gyrus, insula, and temporal, occipital, and parietal
lobes was graded relative to the SI of the frontal lobe. Contrast-to-noise ratios
(CNRs) on DW-MR images were compared for each cortical area. Diffusion changes
were analyzed by visually assessment of the differences in appearance among the
cortices on apparent diffusion coefficient (ADC) maps. RESULTS: Increased SI was
frequently seen in the cingulate gyrus and insula regardless of patient age.
There were no significant gender- or laterality-related differences. The CNR was
significantly higher in the cingulate gyrus and insula than in the other cortices
(p< .01) , and significant differences existed among the cortical regions (p<
.001). There were no apparent ADC differences among the cortices on ADC maps.
CONCLUSION: Regional signal variation of the brain cortices was observed on DW-MR
images of healthy subjects, and the cingulate gyrus and insula frequently
manifested high SI. These findings may help in the recognition of cortical signal
abnormalities as visualized on DW-MR images.
PMID- 18058094
TI - Plantar vein thrombosis: a rare cause of plantar foot pain.
AB - Plantar vein thrombosis is a rare condition, with only a handful of cases
reported in the literature. The cause is unknown; however, the disease has been
attributed to prior surgery, trauma, and paraneoplastic conditions. We present a
case of a 32-year-old female runner with plantar vein thrombosis diagnosed on
contrast-enhanced MRI and confirmed on ultrasound. The symptoms resolved with
conservative treatment and evaluation revealed the presence of a prothrombin gene
mutation and use of oral contraceptive pills. To our knowledge, this is the first
case of plantar vein thrombosis diagnosed initially by MRI. Moreover, this case
suggests that plantar vein thrombosis should be considered in patients with
hypercoagulable states and plantar foot pain.
PMID- 18058095
TI - Changes underlying the dynamic contrast-enhanced MRI response to treatment in
rheumatoid arthritis.
AB - OBJECTIVE: Dynamic contrast-enhanced MRI of patients with rheumatoid arthritis
has shown a decrease in the early enhancement rate (EER) of synovitis after
treatment. The purpose of this work was to investigate the underlying changes.
METHODS: 3D dynamic contrast-enhanced images were acquired from 13 patients
before and 1-2 weeks after anti-TNF alpha treatment. The EER of the inflamed
synovium was measured. The T1 relaxation time of the synovitis was calculated
from images at different flip angles. The time course of the arrival of
gadolinium at the radial artery was determined. The gadolinium enhancement of the
inflamed synovium was modeled to calculate the fractional plasma volume (vp), the
fractional extravascular, extracellular fluid volume (ve), and the volume
transfer constant (Ktrans). Pre- and post-treatment values were compared and the
dependence of the EER on each parameter was assessed. RESULTS: There was a
decrease in the EER measured over 26 s after treatment (29%, p = 0.002).
Reductions in T1 (12%, p = 0.001), Ktrans (31%, p = 0.002), and vp (43%, p =
0.01) contributed to this; however, the EER was relatively insensitive to changes
in ve. CONCLUSIONS: The decrease in EER after anti-TNF alpha treatment is largely
caused by reductions in the volume transfer constant Ktrans, the fractional
plasma volume vp, and the T1 relaxation time. Only the contributions from Ktrans
and vp directly reflect synovial vascularity.
PMID- 18058096
TI - Immunotherapy of metastatic colorectal cancer with vitamin D-binding protein
derived macrophage-activating factor, GcMAF.
AB - Serum vitamin D binding protein (Gc protein) is the precursor for the principal
macrophage-activating factor (MAF). The MAF precursor activity of serum Gc
protein of colorectal cancer patients was lost or reduced because Gc protein is
deglycosylated by serum alpha-N-acetylgalactosaminidase (Nagalase) secreted from
cancerous cells. Deglycosylated Gc protein cannot be converted to MAF, leading to
immunosuppression. Stepwise treatment of purified Gc protein with immobilized
beta-galactosidase and sialidase generated the most potent macrophage-activating
factor (GcMAF) ever discovered, but it produces no side effect in humans.
Macrophages treated with GcMAF (100 microg/ml) develop an enormous variation of
receptors and are highly tumoricidal to a variety of cancers indiscriminately.
Administration of 100 nanogram (ng)/ human maximally activates systemic
macrophages that can kill cancerous cells. Since the half-life of the activated
macrophages is approximately 6 days, 100 ng GcMAF was administered weekly to
eight nonanemic colorectal cancer patients who had previously received tumor
resection but still carried significant amounts of metastatic tumor cells. As
GcMAF therapy progressed, the MAF precursor activities of all patients increased
and conversely their serum Nagalase activities decreased. Since serum Nagalase is
proportional to tumor burden, serum Nagalase activity was used as a prognostic
index for time course analysis of GcMAF therapy. After 32-50 weekly
administrations of 100 ng GcMAF, all colorectal cancer patients exhibited healthy
control levels of the serum Nagalase activity, indicating eradication of
metastatic tumor cells. During 7 years after the completion of GcMAF therapy,
their serum Nagalase activity did not increase, indicating no recurrence of
cancer, which was also supported by the annual CT scans of these patients.
PMID- 18058097
TI - Tumors skew endothelial cells to disrupt NK cell, T-cell and macrophage
functions.
AB - INTRODUCTION: Patients and mice with solid tumors, such as Lewis lung carcinoma
(LLC), have defects in functions of immune effector cells. Endothelial cells, a
component of the tumor vasculature, are potential regulators of immune cell
functions. Therefore, these studies examined the impact of exposure to LLC tumor
on the ability of endothelial cells to modulate immune cell functions. MATERIALS
AND METHODS: Endothelial cells were pre-treated with LLC tumor-conditioned medium
(Endo(T-sup)) for 24 h. Control endothelial cells that were exposed to medium
(Endo(Media)) epithelial cell-conditioned medium or (Endo(Epi-sup)). After the
initial 24 h incubation, endothelial cells were washed and fresh media was added.
Cells were allowed to incubate for an additional 24 h. Supernatants from
Endo(Media), Endo(Epi-sup) or Endo(T-sup) were collected and assayed for immune
modulatory products and for immune modulatory activity. RESULTS: Supernatant from
Endo(T-sup) contained increased levels of PGE2, IL-6 and VEGF as compared to
Endo(Media) and Endo(Epi-sup) controls. NK cell activity, as measured by TNF
alpha and IFN-gamma secretion, was increased following exposure to media
conditioned by Endo(Media) and Endo(Epi-sup) Exposure of NK cells to supernatants
of Endo(T-sup), also increases TNF-alpha and IFN-gamma secretion, but to a lesser
extent than by Endo(Media) and Endo(Epi-sup). Examination of macrophage functions
demonstrated that supernatant from Endo(T-sup) decreased microbead phagocytosis
and increased production of the immune suppressive mediators, IL-10 and PGE2.
Lastly, T-cell responses to stimulation with anti-CD3 in the presence of
supernatants from Endo(T-sup) were examined. IFN-gamma production by CD8+ T-cells
was reduced after exposure to Endo(T-sup)-conditioned medium, as compared to
cells treatments with medium or control conditioned medium. Production of IFN
gamma by CD4+ T-cells exposed to Endo(T-sup) was not altered. CONCLUSIONS: Taken
together, these studies demonstrate that tumors skew endothelial cells to disrupt
NK cell, T-cell and macrophages functions, and represents a novel mechanism of
tumor-induced immune suppression.
PMID- 18058098
TI - A phase I safety, pharmacological, and biological study of the farnesyl protein
transferase inhibitor, lonafarnib (SCH 663366), in combination with cisplatin and
gemcitabine in patients with advanced solid tumors.
AB - PURPOSE: This phase I study was conducted to evaluate the safety, tolerability,
pharmacological properties and biological activity of the combination of the
lonafarnib, a farnesylproteintransferase (FTPase) inhibitor, with gemcitabine and
cisplatin in patients with advanced solid malignancies. EXPERIMENTAL DESIGN: This
was a single institution study to determine the maximal tolerated dose (MTD) of
escalating lonafarnib (75-125 mg po BID) with gemcitabine (750-1,000 mg/m(2) on
days 1, 8, 15) and fixed cisplatin (75 mg/m(2) day 1) every 28 days. Due to dose
limiting toxicities (DLTs) of neutropenia and thrombocytopenia in initial
patients, these patients were considered "heavily pre-treated" and the protocol
was amended to limit prior therapy and re-escalate lonafarnib in "less heavily
pre-treated patients" on 28-day and 21-day schedules. Cycle 1 and 2
pharmacokinetics (PK), and farnesylation of the HDJ2 chaperone protein and FPTase
activity were analyzed. RESULTS: Twenty-two patients received 53 courses of
therapy. Nausea, vomiting, and fatigue were frequent in all patients. Severe
toxicities were observed in 91% of patients: neutropenia (41%), nausea (36%),
thrombocytopenia (32%), anemia (23%) and vomiting (23%). Nine patients withdrew
from the study due to toxicity. DLTs of neutropenia, febrile neutropenia,
thrombocytopenia, and fatigue limited dose-escalation on the 28-day schedule. The
MTD was established as lonafarnib 75 mg BID, gemcitabine 750 mg/m(2) days 1, 8,
15, and cisplatin 75 mg/m(2) in heavily pre-treated patients. The MTD in the less
heavily pre-treated patients could not be established on the 28-day schedule as
DLTs were observed at the lowest dose level, and dose escalation was not
completed on the 21-day schedule due to early study termination by the Sponsor.
No PK interactions were observed. FTPase inhibition was not observed at the MTD,
however HDJ-2 gel shift was observed in one patient at the 100 mg BID lonafarnib
dose. Anti-cancer activity was observed: four patients had stable disease lasting
>2 cycles, one subject had a complete response, and another had a partial
response, both with metastatic breast cancer. CONCLUSION: Lonafarnib 75 mg BID,
gemcitabine 750 mg/m(2) days 1, 8, 15, and cisplatin 75 mg/m(2) day 1 on a 28-day
schedule was established as the MTD. Lonafarnib did not demonstrate FTPase
inhibition at these doses. Despite the observed efficacy, substantial toxicity
and questionable contribution of anti-tumor activity of lonafarnib to gemcitabine
and cisplatin limits further exploration of this combination.
PMID- 18058099
TI - TLR2 in murine atherosclerosis.
AB - Atherosclerosis was once thought to be solely a disease of lipid accumulation in
the vessel wall. It does involve lipid accumulation, but inflammation appears to
be an important driving factor. Consequently, our laboratory undertook to examine
the role(s) of TLRs, and especially TLR2, in murine models of atherosclerosis.
PMID- 18058100
TI - Stationary multiple spots for reaction-diffusion systems.
AB - In this paper, we review analytical methods for a rigorous study of the existence
and stability of stationary, multiple spots for reaction-diffusion systems. We
will consider two classes of reaction-diffusion systems: activator-inhibitor
systems (such as the Gierer-Meinhardt system) and activator-substrate systems
(such as the Gray-Scott system or the Schnakenberg model). The main ideas are
presented in the context of the Schnakenberg model, and these results are new to
the literature. We will consider the systems in a two-dimensional, bounded and
smooth domain for small diffusion constant of the activator. Existence of multi
spots is proved using tools from nonlinear functional analysis such as Liapunov
Schmidt reduction and fixed-point theorems. The amplitudes and positions of spots
follow from this analysis. Stability is shown in two parts, for eigenvalues of
order one and eigenvalues converging to zero, respectively. Eigenvalues of order
one are studied by deriving their leading-order asymptotic behavior and reducing
the eigenvalue problem to a nonlocal eigenvalue problem (NLEP). A study of the
NLEP reveals a condition for the maximal number of stable spots. Eigenvalues
converging to zero are investigated using a projection similar to Liapunov
Schmidt reduction and conditions on the positions for stable spots are derived.
The Green's function of the Laplacian plays a central role in the analysis. The
results are interpreted in the biological, chemical and ecological contexts. They
are confirmed by numerical simulations.
PMID- 18058102
TI - Identification and characterization of simple sequence repeat markers for Pythium
aphanidermatum, P. cryptoirregulare, and P. irregulare and the potential use in
Pythium population genetics.
AB - Six simple sequence repeat (SSR)-enriched genome libraries from Pythium
aphanidermatum, P. irregulare, and P. cryptoirregulare were constructed to
develop SSR markers. One hundred six SSR primer pairs for P. aphanidermatum, 73
for P. cryptoirregulare, and 82 for P. irregulare were initially identified.
After examining primers, the most polymorphic and reproducible SSR markers were
selected for each Pythium species; 14 in P. aphanidermatum, 21 in P. irregulare,
and 22 in P. cryptoirregulare. Analysis of isolates from each Pythium species
using SSR markers showed the high degree of gene diversity and polymorphic
information content (PIC) value in the three species. The average number of
alleles was 3.5-5.3 in the three Pythium species. Seven SSR loci from P.
cryptoirregulare and P. irregualre showed the distinct genetic separations of P.
irregualre complex isolates. SSR markers identified for the three Pythium target
species were highly transferable to other closely related Pythium species. Cross
amplification was found in all SSR markers between P. cryptoirregulare and P.
irregulare. SSR loci were successfully amplified by direct PCR from mycelia of P.
aphanidermatum, P. cryptoirregulare, and P. irregulare. These newly developed SSR
markers can be used for population genetic studies and monitoring the movement of
isolates in crop production systems or in nature.
PMID- 18058101
TI - Mutations affecting spindle pole body and mitotic exit network function are
synthetically lethal with a deletion of the nucleoporin NUP1 in S. cerevisiae.
AB - Nuclear pore complexes (NPCs) are embedded in the nuclear envelope of eukaryotic
cells and function to regulate passage of macromolecules in and out of the
nucleus. Nup1 is one of 30 nucleoporins comprising the NPC of the yeast
Saccharomyces cerevisiae and is located on the nucleoplasmic face of the NPC
where it plays a role in mRNA export and protein transport. In order to further
characterize the function of Nup1 we used a genetic approach to identify
mutations that are synthetically lethal in combination with a deletion of NUP1
(nup1Delta). We have identified one such nup1 lethal mutant (nle6) as a
temperature sensitive allele of nud1. NUD1 encodes a component of the yeast
spindle pole body (SPB) and acts as scaffolding for the mitotic exit network
(MEN). We observe that nle6/nud1 mutant cells have a normal distribution of NPCs
within the nuclear envelope and exhibit normal rates of nuclear protein import at
both the permissive and restrictive temperatures. nup1Delta also exhibits
synthetic lethality with bub2Delta and bfa1Delta, both of which encode proteins
that colocalize with Nud1 at spindle pole bodies and function in the mitotic exit
network. However, we do not observe genetic interactions among nle6/nud1,
bub2Delta, or bfa1Delta and mutations in the nucleoporin encoding genes NUP60 or
NUP170, nor is nup1Delta synthetically lethal with the absence of components
downstream in the mitotic exit network, including Lte1, Swi5, and Dbf2. Our
results suggest a novel functional connection between Nup1 and proteins
comprising both the spindle pole body and early mitotic exit network.
PMID- 18058103
TI - Isolation of mycoparasitic-related transcripts by SSH during interaction of the
mycoparasite Stachybotrys elegans with its host Rhizoctonia solani.
AB - Mycoparasitism by antagonistic fungi involves changes in the biochemistry and
physiology of both partners. Analysis of genes that are expressed during
mycoparasite-host interaction represents a powerful strategy to obtain insight
into the molecular events underlying these changes. The aim of this study is to
identify genes whose expression is upregulated when the mycoparasite Stachybotrys
elegans is in direct confrontation with its host Rhizoctonia solani. Suppression
subtractive hybridization (SSH) was used to create a subtracted cDNA library, and
differential screening was applied to identify the over-expressed transcripts. We
report the analysis of 2,166 clones, among which 47% were upregulated during
mycoparasitism. Two hundred and sixty-one clones were sequenced that corresponded
to 94 unique genes. Forty-four of these were identified as novel genes, while the
remainder showed similarity to a broad diversity of genes with putative functions
related to toxin production, pathogenicity, and metabolism. As a result of
mycoparasitism, 15 genes belonged to R. solani among which 9 genes were assigned
putative functions. Quantitative RT-PCR was used to examine the upregulation of
12 genes during the course of mycoparasitism. Seven genes showed significant
upregulation at least at one-time point during interaction of the mycoparasite
with its host. This study describes a first step toward knowledge of S. elegans
genome. The results present the useful application of EST analysis on S. elegans
and provide preliminary indication of gene expression putatively involved in
mycoparasitism.
PMID- 18058104
TI - Unusual presentation of non-Hodgkin lymphoma: polyarthritis and uveitis mimicking
a rheumatologic disease.
AB - We report a case of 45-year-old male who presented with polyarthritis and
posterior uveitis. He was given azathioprine (AZA) 150 mg day(-1) and methyl
prednisolone 48 mg day(-1) for his uveitis and polyarthritis. Eye and joint
complaints improved with these medications within 1 month. Three months later,
while he was on AZA, the patient presented with pain on his anterior chest wall
associated with diffuse hyperemic and warm mass lesion. A wedge biopsy of the
lesion was reported as diffuse large B-cell NHL. Here, we briefly discuss the
relationship between arthritis, uveitis and lymphoproliferative disease.
PMID- 18058105
TI - Coping styles in fibromyalgia: effect of co-morbid posttraumatic stress disorder.
AB - To analyze coping styles of fibromyalgia (FM) patients with specific emphasis on
differences in coping styles between fibromyalgia patients with and without post
traumatic stress disorder (PTSD). Seventy-seven consecutive patients (40 women
and 37 men) who fulfilled ACR criteria for FM, and 48 healthy controls, completed
questionnaires measuring prevalence and severity of PTSD symptoms, including the
structured clinical interview for DSM-III-R-non-patient edition (SCID-NP) and the
clinician administered PTSD scale (CAPS). Subjects were divided into two groups
based on the presence or absence of PTSD symptoms. Subsequently, coping styles
were measured using the Albert Einstein College of Medicine (AECOM) Coping Style
Questionnaire. Student t tests were used to compare the means of quantitative
variables, and proportions were compared by Chi square tests. Analysis of
variance (ANOVA) was used to compare the scores of the FM patients with and
without PTSD, as well as to estimate the effect of gender on psychiatric
variables. FM patients exhibit significantly higher levels of suppression
(P<0.00001), help-seeking (P<0.007), replacement (P<0.003), substitution
(P<0.002), and reversal (P<0.004) compared with healthy controls. FM patients
with PTSD and without PTSD differed significantly only on the suppression
subscale (P<0.02). FM patients that have PTSD presented higher suppression scores
compared to FM patients without PTSD. No significant difference was noted on
scales of minimization, help-seeking, replacement, blame, substitution, mapping,
and reversal. Our results have delineated coping patterns of FM patients,
identifying suppression, help-seeking, replacement, substitution and replacement
as strategies more common among these patients. We further identified suppression
as the only coping style significantly more common among FM patients with co
morbid PTSD then among FM patients without such a diagnosis. Our results may
serve to further characterize cognitive and behavioral aspects of FM patients and
subsequently guide therapeutic interventions.
PMID- 18058106
TI - Benign nontraumatic osteolytic vertebral collapse simulating malignancy.
AB - This study described the imaging features of a distinctive pattern of biopsy
proven benign non-traumatic vertebral collapse (VC) that can mimic malignancy.
Among 240 patients referred with painful VC over a 10-year period, we
retrospectively selected 15 cases of benign VC which simulated malignancy, due to
cortical bone destruction on radiographs. The diagnosis of benign origin was
documented by percutaneous biopsy and 12-months of follow-up. Radiographs, CT and
MR images of the spine were reviewed. Findings suggestive of malignancy included
destruction involving the anterolateral cortex, posterior cortex and pedicles of
the vertebral body (46%,15% and 15% respectively) at CT, epidural soft-tissue
masses on CT (23%) and MR images (21%), and diffuse low signal-intensity (SI) of
the vertebral body (50%) and pedicles (79%) on T1-weighted images. Features
suggestive of a benign origin included an intravertebral vacuum phenomenon on
radiographs and CT (13%), fracture lines within the vertebral body (92%) or
pedicles (62%) at CT and presence an intravertebral area of high SI on T2
weighted images (93%). Benign non-traumatic osteolytic VC can simulate malignancy
on radiographs. The features, above mentioned on CT and MR suggestive of a benign
VC, are useful in interpreting biopsy results of such lesions and avoiding
unnecessary repeat biopsy.
PMID- 18058107
TI - Diagnostic efficacy of gadoxetic acid (Primovist)-enhanced MRI and spiral CT for
a therapeutic strategy: comparison with intraoperative and histopathologic
findings in focal liver lesions.
AB - A multicenter study has been employed to evaluate the diagnostic efficacy of
magnetic resonance imaging (MRI) using the new liver-specific contrast agent
gadoxetic acid (Gd-EOB-DTPA, Primovist), as opposed to contrast-enhanced biphasic
spiral computed tomography (CT), in the diagnosis of focal liver lesions,
compared with a standard of reference (SOR). One hundred and sixty-nine patients
with hepatic lesions eligible for surgery underwent Gd-EOB-DTPA-enhanced MRI as
well as CT within 6 weeks. Pathologic evaluation of the liver specimen combined
with intraoperative ultrasound established the SOR. Data sets were evaluated on
site (14 investigators) and off-site (three independent blinded readers). Gd-EOB
DTPA was well tolerated. Three hundred and two lesions were detected in 131
patients valid for analysis by SOR. The frequency of correctly detected lesions
was significantly higher on Gd-EOB-DTPA-enhanced MRI compared with CT in the
clinical evaluation [10.44%; 95% confidence interval (CI): 4.88, 16.0]. In the
blinded reading there was a trend towards Gd-EOB-DTPA-enhanced MRI, not reaching
statistical significance (2.14%; 95% CI: -4.32, 8.6). However, the highest rate
of correctly detected lesions with a diameter below 1 cm was achieved by Gd-EOB
DTPA-enhanced MRI. Differential diagnosis was superior for Gd-EOB-DTPA-enhanced
MRI (82.1%) versus CT (71.0%). A change in surgical therapy was documented in 19
of 131 patients (14.5%) post Gd-EOB-DTPA-enhanced MRI. Gd-EOB-DTPA-enhanced MRI
was superior in the diagnosis and therapeutic management of focal liver lesions
compared with CT.
PMID- 18058108
TI - Defining the role of NMP22 in bladder cancer surveillance.
AB - Despite advances in treatment and knowledge of its pathogenesis, urothelial
carcinoma of the bladder remains a significant cause of morbidity and mortality.
Experience with the natural course of bladder cancer has revealed that early
diagnosis of primary and recurrent disease improves patient prognosis. In this
regard, cystoscopy (usually in combination with urinary cytology) has long been
regarded as the gold standard for the diagnosis and surveillance of bladder
cancer. However, the disadvantages inherent to cystoscopy, including invasiveness
and cost, have stimulated a search for alternative methods for detecting
urothelial malignancy. The ideal alternative test would duplicate the high
accuracy of cystoscopy for detecting bladder tumors while eschewing its
invasiveness, attendant morbidity, and high cost. The vast majority of bladder
cancers arise from the urothelium, which continually sheds cells as well as
intracellular contents into the urine, thereby providing a potential source of
cancer-specific markers. Voided cytology and urinalysis are established tests
that have been the standard tools for detection of such substances. The last
decade has seen the rise of a myriad of novel urine-based bladder tumor markers,
including bladder tumor antigen, urinary bladder cancer antigen, fibronectin,
telomerase, and nuclear matrix proteins (e.g., NMP22). The NMP22 assay in
particular has been the subject of considerable study and has demonstrated some
promise as a potential adjunct to cystoscopy and cytology. Through a critical
review of the literature, we seek to define the role, if any, of NMP22 in the
follow-up of patients with a previous history of urothelial carcinoma of the
bladder.
PMID- 18058109
TI - [Congenital cranial dysinnervation disorders].
AB - Congenital cranial dysinnervation disorders (CCDDs) are responsible for 1-2% of
infant strabismus cases. Insufficient innervation and misinnervation of aberrant
nerve fibres lead to motility restrictions and synkinesis. We present the most
common CCDDs and explain their pathogenesis and the resulting clinical features.
Furthermore, we emphasize essential diagnostic steps and treatment aspects.
PMID- 18058110
TI - Increased urea synthesis and/or suppressed ammonia production in the African
lungfish, Protopterus annectens, during aestivation in air or mud.
AB - The objective of this study was to elucidate how the African lungfish,
Protopterus annectens, ameliorated ammonia toxicity during 12 or 46 days of
aestivation in air or in mud. Twelve days of aestivation in air led to
significant increases in contents of urea, but not ammonia, in tissues of P.
annectens. The estimated rate of urea synthesis increased 2.7-fold despite the
lack of changes in the activities of hepatic ornithine-urea cycle enzymes, but
there was only a minor change in the estimated rate of ammonia production. After
46 days of aestivation in air, the ammonia content in the liver decreased
significantly and contents of urea in all tissues studied increased
significantly, indicating that the fish shifted to a combination of increased
urea synthesis (1.4-fold of the day 0 value) and decreased ammonia production
(56% of the day 0 value) to defend against ammonia toxicity. By contrast, 12 days
of aestivation in mud produced only minor increases in tissue urea contents, with
ammonia contents remained unchanged. This was apparently achieved through
decreases in urea synthesis and ammonia production (40 and 15%, respectively, of
the corresponding day 0 value). Surprisingly, 46 days of aestivation in mud
resulted in no changes in tissue urea contents, indicating that profound
suppressions of urea synthesis and ammonia production (2.6 and 1.2%,
respectively, of the corresponding day 0 value) had occurred. This is the first
report on such a phenomenon, and the reduction in ammonia production was so
profound that it could be the greatest reduction known among animals. Since fish
aestivated in mud had relatively low blood pO(2) and muscle ATP content, they
could have been exposed to hypoxia, which induced reductions in metabolic rate
and ammonia production. Consequently, fish aestivating in mud had a lower
dependency on increased urea synthesis to detoxify ammonia, which is energy
intensive, than fish aestivating in air.
PMID- 18058111
TI - Outcomes after surgery for focal epilepsy in children.
AB - OBJECT: Surgery is an effective treatment for selected patients with intractable
epilepsy. The authors report the outcomes of focal resection in a series of
children suffering from intractable focal epilepsy treated at a single
institution. METHODS: The authors retrospectively analyzed a series of 58
consecutive children who underwent surgery between 1998 and 2006 for intractable
localized epilepsy at Primary Children's Medical Center. Evaluation for surgery
and follow-up was performed by the authors in the combined Pediatric Epilepsy
Surgery Clinic. RESULTS: Preoperative seizure duration ranged from 6 months to 15
years. The cause of epilepsy was mesial temporal sclerosis (MTS) in 16 patients,
dual pathology (MTS plus another lesion) in 3 patients, low-grade tumors in 16
patients, cortical dysplasia (CD) in 13 patients, cavernous malformation (CM) in
5 patients, and other conditions in 5 patients. In 33 cases, the lesions were in
the temporal lobe, and in 25 cases, the lesions were extratemporal. At last
follow-up, 74% (43/58) of all patients were seizure-free; seizure-free rates for
specific conditions were 88% (14/16) for MTS, 33% (1/3) for dual pathology, 81%
(13/16) for tumor, 62% (8/13) for CD, and 80% (4/5) for CM. Seizure-free rates
were 85% (28/33) for temporal locations and 60% (15/25) for extratemporal
locations. There were no permanent neurological complications or deaths.
CONCLUSION: Surgery for localized epilepsy in carefully selected children has
good seizure control rates with minimal complications. Outcomes for patients with
resections in temporal locations were better than those for patients with
extratemporal resections.
PMID- 18058112
TI - Femoral fit in ABG-II hip stems, influence on clinical outcome and bone
remodeling: a radiographic study.
AB - INTRODUCTION: In a consecutive series of 64 patients with an ABG-II stem, we
analyzed whether the tightness of the fit of the prosthesis affected bone
remodeling and if there was a relation between clinical and radiological results.
MATERIALS AND METHODS: Radiographic analysis of bone remodeling in different
Gruen zones was done. Bone density changes were graded as present or absent in
the AP and lateral radiographs as compared with the previous sets of radiographs.
Bone remodeling was compared to literature values of the ABG-I stem. Three stem
levels were defined. The proximal level was set at the upper border of the lesser
trochanter, the mid-stem level at halfway the stem and the distal level 1 cm
above the tip of the prosthesis. Femoral fit was defined as tight when the ratio
of the fit was >/=0.8 and as non-tight if the fit was <0.8. The incidence of
thigh pain was scored using the Merle d'Aubigne (MdA) hip score. RESULTS:
Proximal bone resorption in Gruen zone 1 was 26.6% and in zone 7, 34.4% compared
to 48 and 45% for the ABG-I stem after 5 years. No correlation was found between
femoral fit and radiological changes. Proximal and distal fit was significantly
lower for patients with thigh pain than without thigh pain. Patients with a non
tight proximal fit produced significantly more varus (17/30 = 56.7%) than
patients with a tight proximal fit (2/34 = 5.9%; P < 0.01). CONCLUSION: Femoral
fit in ABG-II does not predict certain radiological changes, but less proximal
bone resorption confirms the design changes from ABG-I to ABG-II. A non-tight
proximal fit is correlated with varus position of the stem. Thigh pain is
correlated with a poor fit and fill of the femoral stem.
PMID- 18058114
TI - Arthroscopic double-pulley suture-bridge technique for rotator cuff repair.
AB - After preparation of the bone bed, two doubly loaded suture anchors with suture
eyelets are inserted at the articular margin of the greater tuberosity. A
retrograde suture-passing instrument penetrates the rotator cuff to retrieve the
sutures through the modified Neviaser or subclavian portal. An ipsilateral pair
of suture eyelets in the suture anchor is passed through the margins of the
rotator cuff tear. The blue suture of the second and third pair is pulled out of
the lateral cannula, and the threaded blue suture of the third pair in the needle
is passed through the blue suture of the second pair. After retrieving the blue
suture of the first pair through the anterior portal, it is pulled out to pass
the blue suture of the third pair through the eyelet of the anteromedial anchor.
The blue suture is linked between two anchors. The medial row of suture-bridge is
repaired with a sliding knot, and the sutures are not cut. Once the rotator cuff
repair using the suture-bridge technique has been performed, the two blue strands
in the anterior portal are tied. We describe our technique that possesses the
advantages of both the double-pulley and suture-bridge techniques, which improves
the pressurized contact area and maximizes compression along the medial row.
PMID- 18058113
TI - Soft tissue necrosis following using calcium phosphate cement in calcaneal bone
cyst: case report.
AB - Calcium phosphate cements have received widespread attention for their possible
role as bone-grafting material and bone fillers in skeletal defects. They were
evaluated as a biomaterial in many aspects. No serious harmful effects such as
foreign body reaction and tissue necrosis against to calcium phosphate cements
have been reported yet. They were accepted as highly biocompatible materials. In
this paper, we represent a patient who had soft tissue necrosis around lateral
malleolar region, following using percutaneous calcium phosphate cement as a
filler bone substitute in calcaneus bone cyst. The possible mechanisms were
discussed.
PMID- 18058115
TI - Sagittal plane analysis of the open and closed methods in children with displaced
supracondylar fractures of the humerus (a radiological study).
AB - OBJECTIVES: The aim of this study was to compare the radiological outcome of open
and close reduction and osteosynthesis methods in the treatment of type II and
III supracondylar humerus fractures in childhood with respect to the immediate
post-operative reduction quality in sagittal plane. METHOD: One hundred and forty
four-pediatric patients with type IIb and III supracondylar humerus fractures
treated at two centers between 1995 and 2005 were evaluated radiologically within
a retrospective study. Seventy-six patients (54 boys, 22 girls, mean age 7.6,
range 2-12) were treated by closed reduction and cross percutaneous pinning while
68 (49 boys, 19 girls, mean age 7.3, range 2-13) were treated by open reduction.
The reduction quality of the open and closed groups was compared on immediate
post-operative lateral radiographs by measuring of lateral humerocapitellar
angle, anterior humeral line and anterior coronoid line criteria. The reduction
quality was classified excellent, good, fair and poor according to the
achievement of three, two, one or none of the criteria, respectively. Reductions
classified as excellent and good were introduced as acceptable results. RESULTS:
At least one criterion was achieved in all the patients of both the groups. The
mean humerocapitellar angle was 30.1 degrees in closed reduction group while the
mean of it was 29.8 degrees in open reduced group. Radiograph of 48 (63.1%)
patients with closed reduction were found to display the anterior humeral line
intersecting the middle one-third of capitellum while this criteria was 45 (66%)
in open reduction group. The anterior coronoid line was disturbed in three
patients in each of both the groups. The reduction quality was evaluated to be
excellent in 32 patients, good in 31, fair in 13 at the closed reduction group
while these evaluations were 31, 20 and 17 in open reduction group, respectively.
Successful reduction was achieved in 74.9% of the patients in closed reduction
group and 75% of the patients in open reduction group. CONCLUSION: It is
concluded that there was no significant difference between closed and open
reductions of pediatric displaced supracondylar fractures with regard to the
radiological criteria of reduction quality in sagittal plane.
PMID- 18058116
TI - A new hinged dynamic distractor, for immediate mobilization after knee
dislocations: Technical note.
AB - Knee dislocation is always a difficult topic mainly with regard to the structures
that have been damaged and the best treatment option. The purpose of this study
is to describe a new dynamic external fixator (EF) to be applied after knee
dislocation, in combination with posterior cruciate ligament (PCL)
reconstruction. This approach permits an immediate postoperative mobilization of
the joint, with the possibility to perform a delayed ACL reconstruction and
eventually reducing the complication rate related to multiple ligament surgery.
PMID- 18058117
TI - "Minimized rotational vestibular testing" as a screening procedure detecting
vestibular areflexy in deaf children: screening cochlear implant candidates for
Usher syndrome type I.
AB - Morbus Usher (USH), a combination of sensorineural hearing loss and retinal
visual impairment, is classified into group I-III. USH I patients are born deaf.
Within the first 10 years of life, they develop a severe vision impairment due to
progressive retinal dystrophy (retinitis pigmentosa). USH I patients show
vestibular deficits. The incidence of USH I among congenitally deaf children is
assumed to be as high as 10%. We intend to create a simple examination procedure
for screening congenitally deaf children for vestibular deficiency and
subsequently USH I. The examination procedure is named "Minimized Rotation". The
vestibular function of deaf children was examined by Minimized Rotation during
their preoperative cochlear implant candidacy examination. A lack of
postrotational nystagmus was seen as an indication for vestibular deficit.
Subsequently some of these patients were examined under general anaesthesia by
electroretinography (ERG) at the Department of Ophthalmology. A total of 117
children were examined by Minimized Rotation. In 19 children (16.2%) no
rotational nystagmus was found. Six of these children were additionally examined
at the Department of Ophthalmology using Ganzfeld ERG. Three of them (50%) showed
generalized dysfunction of the retina; 8.1% of the children undergoing
preoperative evaluation for cochlear implatation are assumed to show
abnormalities of the retina. Rotational examination seems to be an appropriate
screening method to detect vestibular deficits, which is one sign of USH I. The
results always have to be verified by Ganzfeld-ERG or further genetic
investigations. Children with USH I are threatened by progressive reduction of
vision. We, therefore, consider USH I children always to be implanted bilaterally
with a cochlear implant to maximize the benefit of auditory rehabilitation.
PMID- 18058118
TI - Production of cytokines by peritoneal macrophages and splenocytes after exposures
of mice to low doses of X-rays.
AB - We have shown previously that irradiations of mice with 0.1 or 0.2 Gy of X-rays
stimulate anti-tumour cytotoxic activities of peritoneal macrophages and
splenocytes enriched for NK lymphocytes and suppress the development of pulmonary
tumour colonies. The up-regulated cytotoxicities were related to the production
of nitric oxide by macrophages, and perforin and Fas ligand by the splenocytes,
but specific blockade of these pathways did not totally suppress the effector
cell-mediated cytolysis of the tumour target. Hence, other factors such as
cytotoxic/cytostatic cytokines might have been produced by the effector cells. To
test this possibility peritoneal macrophages and splenocytes were isolated from
BALB/c mice which had been either once or tentimes whole body-irradiated with the
total doses of 0.1 and 0.2 Gy of X-rays and assayed for the levels of IL-1beta,
IL-2, IL-12, IFN-gamma and TNF-alpha in the incubation medium using the
respective ELISA kits. The results demonstrate that both single and multiple
exposures to the two low doses of X-rays significantly stimulate secretion of IL
1beta, TNF-alpha and IL-12 by macrophages and IL-2 and IFN-gamma by splenocytes,
but the kinetics and magnitude of the induced changes in the production of these
cytokines differ between the two irradiation protocols.
PMID- 18058119
TI - Molecular and cytogenetic evidence for an allotetraploid origin of Trifolium
dubium (Leguminosae).
AB - Suckling clover, Trifolium dubium Sibth., is a European grassland legume that has
spread to many parts of the world. The present work shows that it is an
allotetraploid (2n = 4x = 30) combining the genomes of T. campestre Schreb. (2n =
2x = 14) and T. micranthum Viv. (2n = 2x = 16), two diploid species of similar
geographic distribution. T. dubium has two nuclear ITS sequences that closely
match those of T. campestre and T. micranthum. Genomic in situ hybridisation
using genomic DNA of T. campestre and T. micranthum as probes has differentiated
the ancestral sets of chromosomes in T. dubium cells. Comparative fluorescence in
situ hybridisation analyses of 5S and 18S-26S rDNA loci were also consistent with
an allotetraploid structure of the T. dubium genome. A marked preponderance of
ITS repeats from T. campestre over those from T. micranthum indicated that
concerted evolution has resulted in partial homogenisation of these sequences by
depletion of the T. micranthum-derived 18S-26S rDNA repeats. In parallel with
this, the epigenetic phenomenon of nucleolar dominance has been observed in T.
dubium such that the chromatin associated with the 18S-26S rDNA loci derived from
T. campestre is decondensed (transcriptionally active), whilst that from T.
micranthum remains highly condensed throughout the cell cycle. T. dubium,
therefore, appears to have arisen by way of hybridisation between forms of the
diploid species T. campestre and T. micranthum accompanied by chromosome
doubling. The observed genomic changes in rDNA resulting from interspecific
hybridisation provide evidence for the process of genome diploidisation in T.
dubium.
PMID- 18058120
TI - Prevalence of self-reported symptoms and consequences related to inhalation of
airborne chemicals in a Danish general population.
AB - OBJECTIVE: To estimate the prevalence and consequences of self-reported symptoms
related to inhalation of airborne chemicals in a Danish general population.
METHODS: A random sample of 18-69-year-old individuals (n = 6,000) was drawn from
the Danish Civil Registration System. A questionnaire on self-reported symptoms
related to inhalation of 11 categories of airborne chemicals was mailed to the
population. Respondents who reported symptoms received an additional
questionnaire to verify the reported symptoms and to characterise factors related
to the initial onset of symptoms. RESULTS: The response rate to the primary
questionnaire was 71%. A total of 1,134 individuals (27%, 95% CI 25-28) reported
symptoms related to inhalation of airborne chemicals, 141 individuals (3.3%, 95%
CI 2.8-3.9) reported adjustments of social life or occupational conditions due to
symptoms, whereas 20 individuals (0.5%, 95% CI 0.3-0.7) had made adjustments of
both social life and occupational conditions. Women reported more exposures as
annoying than men and had more symptoms related to inhalation of airborne
chemicals (P < 0.001). However, sex had no effect on the reporting of adjustments
of social life or occupational conditions (P = 0.54). CONCLUSION: Symptoms
related to inhalation of airborne chemicals were common in this general
population, and a minority reported that these symptoms affected social life or
occupational conditions. Women as compared to men reported more symptoms but not
adjustments of social life or occupational conditions.
PMID- 18058121
TI - Spontaneous abortions in female populations occupationally exposed to ionizing
radiation.
AB - OBJECTIVE: Exposure to radioisotopes of metals and halogen elements occurring in
medical practice may cause spontaneous abortions. The potential role of
occupational exposure to X-rays and internal radioisotopes on pregnancy outcome
in childbearing age women employed in hospital departments were analyzed in order
to estimate miscarriage risk. METHODS: Over a period of 16 years, the occurrence
of miscarriages in 61 women exposed to radioisotopes was compared to that
reported in 170 X-ray exposed women. Chromosomal aberrations (CA) were measured
in both radiation-exposed groups and in 53 non-exposed women. RESULTS: Women
exposed to radioisotopes experienced at least a threefold higher rate of
spontaneous abortions than those exposed to X-ray (OR = 3.68, 95% CI = 1.39-9.74,
P < 0.01). Although X-ray and radioisotopes exposed women had significantly
higher levels of chromosome type frequency (0.51 +/- 0.82, and 0.63 +/- 0.99,
respectively) than referents (0.17 +/- 0.34), there was no clear difference
between radiation-exposed women. CONCLUSIONS: For exposure levels within standard
recommended guidelines, radioisotopes are far more likely to play a role in the
occurrence of spontaneous abortions than X-rays. Such biological effect is not
detectable by deviations in CA frequency.
PMID- 18058122
TI - Characterizing the interactions between GPI-anchored alkaline phosphatases and
membrane domains by AFM.
AB - In plasma membranes, most glycosylphosphatidylinositol-anchored proteins (GPI
proteins) would be associated with ordered microdomains enriched in sphingolipids
and cholesterol. Debates on the composition and the nano- or mesoscales
organization of these membrane domains are still opened. This complexity of
biomembranes explains the use, in the recent years, of both model systems and
atomic force microscopy (AFM) approaches to better characterize GPI
proteins/membranes interactions. So far, the studies have mainly been focused on
alkaline phosphatases of intestinal (BIAP) or placental (PLAP) origins
reconstituted in model systems. The data show that GPI-anchored alkaline
phosphatases (AP-GPI) molecules inserted in supported membranes can be easily
imaged by AFM, in physiological buffer. They are generally observed in the most
ordered domains of model membranes under phase separation, i.e. presenting both
fluid and ordered domains. This direct access to the membrane structure at a
mesoscopic scale allows establishing the GPI protein induced changes in
microdomains size. It provides direct evidence for the temperature-dependent
distribution of a GPI protein between fluid and ordered membrane domains. Origins
of reported differences in the behavior of BIAP and PLAP are discussed. Finally,
advantages and limits of AFM in the study of GPI proteins/membrane domains
interactions are presented in this review.
PMID- 18058123
TI - Probing cellular microenvironments and tissue remodeling by atomic force
microscopy.
AB - The function of cells is strongly determined by the properties of their
extracellular microenvironment. Biophysical parameters like environmental
stiffness and fiber orientation in the surrounding matrix are important
determinants of cell adhesion and migration. Processes like tissue maintenance,
wound repair, cancer cell invasion, and morphogenesis depend critically on the
ability of cells to actively sense and remodel their surroundings. Pericellular
proteolytic activity and adaptation of migration tactics to the environment are
strategies to achieve this aim. Little is known about the distinct regulatory
mechanisms that are involved in these processes. The system's critical
biophysical and biochemical determinants are well accessible by atomic force
microscopy (AFM), a unique tool for functional, nanoscale probing and
morphometric, high-resolution imaging of processes in live cells. This review
highlights common principles of tissue remodeling and focuses on application
examples of different AFM techniques, for example elasticity mapping, the
combination of AFM and fluorescence microscopy, the morphometric imaging of
proteolytic activity, and force spectroscopy applications of single molecules or
individual cells. To achieve a more complete understanding of the processes
underlying the interaction of cells with their environments, the combination of
AFM force spectroscopy experiments will be essential.
PMID- 18058124
TI - Inhibition of suicidal erythrocyte death by nitric oxide.
AB - Nitric oxide (NO) is known to counteract apoptosis by S-nitrosylation of protein
thiol groups. NO is generated and stored in erythrocytes, which may undergo
eryptosis, a suicidal cell death similar to apoptosis of nucleated cells.
Eryptosis is triggered by increased cytosolic Ca2+ activity and/or ceramide and
characterized by cell shrinkage and phosphatidylserine exposure at the cell
surface. The present study explored whether nitric oxide could interfere with the
machinery underlying eryptosis. To this end, erythrocyte phosphatidylserine
exposure (annexin V-binding) and cell volume (forward scatter) were determined by
flow cytometry. The Ca2+ ionophore ionomycin (0.1 microM) increased cytosolic
Ca2+ activity, triggered annexin binding, and decreased forward scatter. The
annexin binding and decrease of forward scatter but not the increase of cytosolic
Ca2+ activity were reversed by the NO-donor nitroprusside (1 microM) and
papanonoate (100 microM). Higher concentrations of nitroprusside (0.1 and 1 mM)
stimulated eryptosis. Glucose depletion, exposure to C6-ceramide (3 microM),
hypertonic (addition of 550 mM sucrose), and isotonic (replacement of Cl- with
gluconate) cell shrinkage all triggered annexin V binding, effects all reversed
by nitroprusside (1 microM). Dibutyryl-cGMP (1 mM) blunted the ionomycin- but not
the ceramide-induced annexin V binding. Ionomycin decreased protein nitrosylation
and thioredoxin activity, effects reversed by the NO-donor papanonoate. Clearance
of erythrocytes from circulating blood was significantly faster in eNOS knockout
mice than in their wild-type littermates. In conclusion, nitric oxide
participates in the regulation of erythrocyte survival, an effect partially
mimicked by cGMP and paralleled by alterations of protein nitrosylation and
thioredoxin activity.
PMID- 18058126
TI - Application of AFM in understanding biomineral formation in diatoms.
AB - We review previous work and present new data on the application of atomic force
microscopy (AFM) to study biomineral formation in diatoms, unicellular algae that
make cell walls of silica. Previous studies examined a small subset of mostly
larger diatom species, identifying a prevalence of large particulate silica on
the nanoscale. We survey different structures including valves, girdle bands, and
elongated spines called setae, in a variety of species, and show a diversity of
nano- and meso-scale silica morphologies, even on different portions of the same
structure. A general trend of highly organized mesoscale silica structure on the
proximal face of cell wall components was observed, with less organized structure
occurring on the distal face. The highly organized structures have features
suggestive of an underlying linear template, which defines the area of initial
silica polymerization. Such features have not been imaged with such clarity
previously, demonstrating the advantages of AFM to image small differences in
surface morphology and providing new insights and confirming evidence for models
of diatom silica structure formation. In addition to its imaging capability, more
developed application of AFM to map locations of organic template components on
the nanoscale will greatly aid in elucidating mechanisms of diatom biosilica
synthesis.
PMID- 18058125
TI - Pulling single molecules of titin by AFM--recent advances and physiological
implications.
AB - Perturbation of a protein away from its native state by mechanical stress is a
physiological process immanent to many cells. The mechanical stability and
conformational diversity of proteins under force therefore are important
parameters in nature. Molecular-level investigations of "mechanical proteins"
have enjoyed major breakthroughs over the last decade, a development to which
atomic force microscopy (AFM) force spectroscopy has been instrumental. The giant
muscle protein titin continues to be a paradigm model in this field. In this
paper, we review how single-molecule mechanical measurements of titin using AFM
have served to elucidate key aspects of protein unfolding-refolding and
mechanisms by which biomolecular elasticity is attained. We outline recent work
combining protein engineering and AFM force spectroscopy to establish the
mechanical behavior of titin domains using molecular "fingerprinting."
Furthermore, we summarize AFM force-extension data demonstrating different
mechanical stabilities of distinct molecular-spring elements in titin, compare
AFM force-extension to novel force-ramp/force-clamp studies, and elaborate on
exciting new results showing that AFM force clamp captures the unfolding and
refolding trajectory of single mechanical proteins. Along the way, we discuss the
physiological implications of the findings, not least with respect to muscle
mechanics. These studies help us understand how proteins respond to forces in
cells and how mechanosensing and mechanosignaling events may proceed in vivo.
PMID- 18058127
TI - Increased pathogenesis and inflammation of airways from respiratory syncytial
virus infection in T cell deficient nude mice.
AB - Respiratory syncytial virus (RSV) infection is ubiquitous and leads to various
outcomes between immunocompetent and immunocompromised individuals. This study
aimed to compare RSV infection and inflammatory responses between immunocompetent
BALB/c mice and immunodeficient nude mice. RSV titers in both infected BALB/c
mice and nude mice peaked on the third day post-inoculation, but the nude mice
had longer lasting and higher levels of viral replication. RSV infection induced
a more severe grade of pulmonary histopathology and larger numbers of leukocytes
in airways of nude mice than that of BALB/c mice. RSV infection increased
pulmonary macrophages and natural killer (NK) cells in both strains of mice.
Furthermore, infected nude mice had larger numbers of pulmonary macrophages and
NK cells than infected BALB/c mice. Whereas the RSV infected BALB/c mice secreted
more tumor necrosis factor -alpha (TNF-alpha), interleukin-12 (IL-12), interferon
gamma (IFN-gamma) and IL-10 than control BALB/c mice, the infected nude mice had
higher levels of TNF-alpha, IL-12 and IL-10 than the infected BALB/c mice. The
inflammation induced by RSV infection did not correspond with the immune response
of T cells. Macrophages and NK cells were potent immunocytes and inflammatory
cells in RSV infection especially when T lymphocytes were deficient. Therefore,
nude mice may be a good model for severe and persistent RSV infection in
immunocompromised hosts.
PMID- 18058128
TI - Larvicidal effects of various Euro-Asiatic plants against Culex quinquefasciatus
Say larvae (Diptera: Culicidae).
AB - Extracts from 56 species of plants in the Euro-Asiatic region were tested for
larvicidal activity against the fourth larval instar of the mosquito Culex
quinquefasciatus Say (Diptera: Culicidae). All plant extracts showed larvicidal
activity after 24 h of exposure to the plant extracts in a maximal dose of 500
ppm. The extracts of the plants Otanthus maritimus and Ammi visnaga displayed the
highest larvicidal effect (LD(50) 7 and 9 ppm, respectively) followed by Acer
pseudoplatanus, Humulus japonicus, Acer platanoides, Satureja hortensis, Ocimum
basilicum and Thymus vulgaris (LD(50) 23, 25, 28, 28, 32 and 48 ppm
respectively). For eight species, the appraisal value of LD(50) was between 51
and 100 ppm, another eight species from 101 to 200 ppm, 15 species from 201 to
500 ppm and for 17 species, low mortality showed no lethal dose (LD(50)>500 ppm).
PMID- 18058130
TI - New records of endoparasites infecting Hypsiboas albopunctatus (Anura: Hylidae)
in a savanna area in Brasilia, Brazil.
AB - Seventy specimens of Hypsiboas albopunctatus (Anura: Hylidae) were collected and
surveyed for endoparasites in Brasilia, Brazil at the end of the rainy season
(February and March) in 2005. Sixteen males (22.86%) were infected by Rhabdias
sp., Aplectana sp., Cosmocerca sp., and Falcaustra mascula. Rhabdias sp., a lung
parasite, presented the highest prevalence (8.57%), mean intensity of infestation
(1.333), and mean abundance (0.114). Moreover, this is the first report of a
rhabdiasid nematode in the Hylidae in Brazil. The intestinal parasites,
Cosmocerca sp. and Aplectana sp., had a similar prevalence (5.71%), but the
former presented a higher mean intensity of infestation and mean abundance. The
behavior and food habits of amphibians are responsible for the differences in
their helminthofauna, and this study presents four new records of nematodes in H.
albopunctatus.
PMID- 18058129
TI - Transcriptome profile of Trypanosoma cruzi-infected cells: simultaneous up- and
down-regulation of proliferation inhibitors and promoters.
AB - As Trypanosoma cruzi, the etiological agent of Chagas disease, multiplies in the
cytoplasm of nucleated host cells, infection with this parasite is highly likely
to affect host cells. We performed an exhaustive transcriptome analysis of T.
cruzi-infected HeLa cells using an oligonucleotide microarray containing probes
for greater than 47,000 human gene transcripts. In comparison with uninfected
cells, those infected with T. cruzi showed greater than threefold up-regulation
of 41 genes and greater than threefold down-regulation of 23 genes. Real-time
reverse transcriptase-polymerase chain reaction (RT-PCR) of selected,
differentially expressed genes confirmed the microarray data. Many of these up-
and down-regulated genes were related to cellular proliferation, including seven
up-regulated genes encoding proliferation inhibitors and three down-regulated
genes encoding proliferation promoters, strongly suggesting that T. cruzi
infection inhibits host cell proliferation, which may allow more time for T.
cruzi to replicate and produce its intracellular nests. These findings provide
new insight into the molecular mechanisms by which intracellular T. cruzi
infection influences the host cell, leading to pathogenicity.
PMID- 18058131
TI - The presence of Mycoplasma hominis in isolates of Trichomonas vaginalis impacts
significantly on DNA fingerprinting results.
AB - The genetic characterization of Trichomonas vaginalis (Protista:
Trichomonadidae), the causative agent of trichomoniasis in humans, is central to
understanding the epidemiology, treatment, drug resistance, and virulence as well
as the diagnosis and control of this parasite. Various molecular approaches,
including DNA fingerprinting, have been employed for this purpose, and random
amplification of polymorphic DNA (RAPD) continues to be utilized. However, little
attention has been paid to the fact that some T. vaginalis populations can harbor
symbiotic Mycoplasma hominis and/or other agents, which could cause artifacts in
the RAPD results. In the present study, we demonstrate clearly that the presence
of M. hominis from T. vaginalis isolates impacts significantly on RAPD results
and on the subsequent analyses and interpretation of data sets. Moreover,
symbiotic M. hominis displays an isolate-to-isolate variability in RAPD profile
before elimination, suggesting a variability of M. hominis infection.
PMID- 18058132
TI - A stochastic model of Echinococcus multilocularis transmission in Hokkaido,
Japan, focusing on the infection process.
AB - Echinococcus multilocularis causes human alveolar echinococcus. In Japan, high
prevalence of E. multilocularis among the fox population has been reported
throughout Hokkaido. Accordingly, control measures, such as fox hunting and the
distribution of bait containing Praziquantel, have been conducted. This study
developed a transmission model for individuals in the fox population and included
a stochastic infection process to assess the prevalence of E. multilocularis. To
make our model realistic, we used the worm burden for each individual in the fox
population. We assumed that the worm burden depends on the number of
protoscoleces in a predated vole and the number of infection experiences. We
carried out stochastic simulations with 1,000 trials for the situations of
Koshimizu and Sapporo, Hokkaido, Japan. The distribution of the worm burden among
foxes obtained using the model agreed with dissection data. The simulation
indicates that a careful choice of season is necessary for an effective
distribution of Praziquantel-containing bait. A stochastic model for E.
multilocularis, which can assess the range of the prevalence in the fox
population, would be helpful in analyzing their complex life-cycle and also in
designing control strategies.
PMID- 18058133
TI - Identification and enzymatic activities of four protein disulfide isomerase (PDI)
isoforms of Leishmania amazonensis.
AB - Leishmania parasites primarily infect cells of macrophage lineage and can cause
leishmaniasis in the skin, mucosal, and visceral organs, depending on both host-
and parasite-derived factors. The protein disulfide isomerases (PDIs) are thiol
disulfide oxidoreductases that catalyze the formation, reduction, and
isomerization of disulfide bonds of proteins in cells. Although four Leishmania
PDI genes are functionally inferred from homology in the genome sequences, only
two of them have been expressed as active proteins to date. The functional
relationship among various PDI enzymes remains largely unclear. In this study, we
expressed and partially characterized all four L. amazonensis PDIs encoding 52-,
47-, 40-, and 15-kDa proteins. Homology analysis showed that the sequence
identity between L. amazonensis (New World) PDIs and their counterpart PDI
sequences from L. major (Old World) ranged from 76% to 99%. Kinetic
characterization indicated that while the 15-, 40-, and 47- kDa PDI proteins
displayed both insulin isomerase and reductase activities, the 52-kDa protein had
only isomerase activity with no detectable reductase activity. All four PDI
proteins were recognized by sera from L. amazonensis-infected mice and were
sensitive to inhibition by standard PDI inhibitors. This study describes the
enzymatic activities of recombinant L. amazonensis PDIs and suggests a role for
these proteins in parasite development.
PMID- 18058134
TI - Apoptosis-related gene expression in hamster opisthorchiasis post praziquantel
treatment.
AB - The aim of this study was to investigate the apoptosis-related gene expression in
hamster opisthorchiasis after praziquantel treatment. Hamsters were infected with
Opisthorchis viverrini metacercariae then treated with praziquantel. The
expression of apoptosis-related genes [i.e., apoptosis gene Bcl-2-associated
protein X (BAX), caspase 9, p53, and protein kinase B (PKB)] was detected by real
time reverse transcription polymerase chain reaction. Histopathological analyses
of liver tissues were studies by staining the sections with hematoxylin and eosin
using light microscopy. Apoptotic assay was used to localize the apoptotic cell
death. The results show that BAX, Akt/PKB, p53, and caspase 9 expression level
were significantly increased on day 30 post infection and at 6 h post treatment
and gradually decreased nearly to the uninfected control and 24 h post treatment,
perhaps due to a decrease in inflammatory cells. Apoptotic staining was positive
reaction at inflammatory cells and nuclei of epithelial bile ducts. Although
using praziquantel has an advantage in killing parasites, our results show the
effect of praziquantel treatment from host immune response that induces increased
apoptosis-related genes in the short term due to an increase in inflammatory
cells surrounding the bile ducts.
PMID- 18058135
TI - Stem cells: established facts, open issues, and future directions.
PMID- 18058136
TI - Eye involvement in children with primary focal segmental glomerulosclerosis.
AB - Distinct eye abnormalities have been described in children with nephrotic
syndrome, particularly in diffuse mesangial sclerosis (i.e. Pierson syndrome).
The aim of the study was to investigate whether there were any associated ocular
anomalies in children with steroid-resistant nephrotic syndrome (SRNS), all of
whom had revealed primary focal segmental glomerulosclerosis in biopsy. Thirty
three SRNS patients (16 male, 17 female) with a median age of 10.5 years (range 3
25 years) were enrolled in the study. Twenty steroid-sensitive nephrotic syndrome
(SSNS) patients (ten male, ten female) with a median age of 8 years (range 3-15
years) served as controls. All SRNS patients were examined by mutational analysis
for mutations in the NPHS2, WT1, and LAMB2 genes. Nine out of 33 SRNS patients
(27.2%) showed various eye abnormalities. However, no abnormal ocular findings
were detected in any of the SSNS patients. Abnormal eye findings detected in SRNS
patients were anisometropic amblyopia (n = 4), Mittendorf's dots (n = 4), myopic
astigmatism (n = 3) and exotropia (n = 1). Macular pigment changes (n = 1),
posterior subcapsular opacities (n = 1) and cataract (n = 1) were considered as
steroid-induced side effects. In four patients, more than one eye abnormality was
found. Mutational analysis for the NPHS2, WT1 and LAMB2 genes revealed disease
causing mutations in 24.2% of patients. Homozygous NPHS2 mutations were detected
in five patients (15.1%), all of whom had parental consanguinity. In three
patients (9%) from non-consanguineous parents, heterozygous de novo WT1 mutations
were detected as disease-causing mutations. No LAMB2 mutation was detected in any
patient. While four out of five (80%) patients with homozygous NPHS2 mutations
showed at least one abnormal ocular finding (i.e. Mittendorf's dot or anisometric
amblyopia), none of the patients with a WT1 mutation had ocular involvement. In
conclusion, ocular involvement may accompany SRNS caused by primary focal
segmental glomerulosclerosis (FSGS). Ophthalmologic evaluation at the time of
diagnosis might be beneficial to characterize further the spectrum of this
possible association.
PMID- 18058137
TI - Automated peritoneal dialysis as the modality of choice: a single-center, 3-year
experience with 458 children in Mexico.
AB - Automated peritoneal dialysis (APD) has been considered as the ideal dialysis
modality for pediatric patients. This study reports the 3-year APD experience
with 458 end-stage renal disease (ESRD) children who started APD in a single
pediatric center in Mexico City between June 2003 and June 2006. By June 2003,
there were 310 patients being treated with continuous ambulatory peritoneal
dialysis (CAPD). At that time, these patients were gradually switched to APD,
with priority being given to those prescribed more than four exchanges per day,
younger than 6 years of age, or presenting complications [hernias or decreased
ultrafiltration (UF)]. An improvement of daily UF was observed when the patients
were switched from CAPD (590 +/- 340 ml/day) to APD (846 +/- 335 ml/day). The
presence of edema decreased (from 67% to 8%) as well as the percentage of
patients requiring antihypertensive drugs (from 83% to 38%), the peritonitis rate
improved from one episode every 35 patient/month to one episode every 47
patient/month, the total number of hospitalizations decreased (from 384 to 51),
and 85% of children attended school. While waiting for renal transplant, APD is
the dialysis modality of choice for ESRD children at the La Raza Medical Center
in Mexico City.
PMID- 18058138
TI - A simple heat alert system for Melbourne, Australia.
AB - A simple heat alert system, based solely on predicted maximum and minimum daily
temperatures, has been developed for the city of Melbourne in southeast
Australia. The system is based upon a demonstration that, when mean daily
temperature exceeds a threshold of 30 degrees C (mean of today's maximum
temperature and tonight's minimum temperature), the average daily mortality of
people aged 65 years or more is about 15-17% greater than usual. Similar numbers
of excess deaths also occur when daily minimum temperatures exceed 24 degrees C
(increases of 19-21% over expected death rate), so a heat alert system based
solely on this widely available weather forecast variable is also feasible. No
strong signal of excess heat-related deaths appears when the data are stratified
using daily maximum temperatures. This may be because in Melbourne some days with
very high maximum temperatures will be affected by the passage of cool changes
and cold fronts in the afternoon, leading to a rapid drop in temperature (i.e.,
some days with high maximum temperatures will not continue to be hot throughout
the day and into the evening). A single day with temperatures exceeding the
thresholds noted above is sufficient to cause this increase in mortality, rather
than requiring an extended heat wave. The increased daily mortality does not
appear to represent a short-term advancement of mortality.
PMID- 18058139
TI - EPR and ENDOR studies of Fe(II) hemoproteins reduced and oxidized at 77 K.
AB - gamma-irradiation of frozen solutions of Fe(II) hemoproteins at 77 K generates
both electron paramagnetic resonance (EPR) active singly reduced and oxidized
heme centers trapped in the conformation of the Fe(II) precursors. The reduction
products of pentacoordinate (S = 2) Fe(II) globins, peroxidases and cytochrome
P450cam show EPR and electron-nuclear double resonance (ENDOR) spectra
characteristic of (3d 7) Fe(I) species. In addition, cryoreduced Fe(II) alpha
chains of hemoglobin and myoglobin exhibit an S = 3/2 spin state produced by
antiferromagnetic coupling between a porphyrin anion radical and pentacoordinate
(S = 2) Fe(II). The spectra of cryoreduced forms of Fe(II) hemoglobin alpha
chains and deoxymyoglobin reveal that the Fe(II) precursors adopt multiple
conformational substates. Reduction of hexacoordinate Fe(II) cytochrome c and
cytochrome b5 as well as carboxy complexes of deoxyglobins produces only Fe(II)
porphyrin pi-anion radical species. The low-valent hemoprotein intermediates
produced by cryoreduction convert to the Fe(II) states at T > 200 K.
Cryogenerated Fe(III) cytochrome c and cytochrome b5 have spectra similar to
these for the resting Fe(III) states, whereas the spectra of the products of
cryooxidation of pentacoordinate Fe(II) globins and peroxidases are different.
Cryooxidation of CO-Fe(II) globins generates Fe(III) hemes with quantum
mechanically admixed S = 3/2, 5/2 ground states. The trapped Fe(III) species
relax to the equilibrium ferric states upon annealing at T > 190 K. Both
cryooxidized and reduced centers provide very sensitive EPR/ENDOR structure
probes of the EPR-silent Fe(II) state.
PMID- 18058140
TI - Cisplatin and siRNA interference with structure and function of Wnt-5a mRNA:
design and in vitro evaluation of targeting AU-rich elements in the 3' UTR.
AB - Wnt-5a is a secreted glycoprotein which has been shown to be involved in the
regulation of cell adhesion and motility, processes which are of importance in
metastasis formation by cancer cells. We here present an initial study aiming at
evaluating whether small interfering RNA (siRNA) in combination with cisplatin
can be used to modulate protein expression levels under in vitro conditions. For
this purpose, an AU-rich region corresponding to the initial 260 bases of the Wnt
5a 3' untranslated region was chosen as the target. The effect of four different
siRNAs was evaluated by analysis of protein suppression levels in rabbit
reticulocyte lysate (RRL) and an immortalized noncancerous mammary epithelial
(HB2) cell line by monitoring the activity of transiently expressed luciferase.
The specificity and kinetics for hybridization of the siRNA with the messenger
RNA target were followed by digestion techniques and analysis by polyacrylamide
gel electrophoresis. Specific and temperature-dependent hybridization was
observed, with a half-life of approximately 0.5 h at 4 degrees C. Significant
downregulation of luciferase activity was obtained in the micromolar and
nanomolar range, for RRL and HB2, respectively. In addition, the downregulation
of protein production caused by addition of cisplatin could be further
potentiated by addition of siRNA in a selective manner. The latter observation
suggests that combined use of cisplatin and siRNA could be a method to decrease
therapeutically used cisplatin concentrations. Thus, toxic side effects could be
minimized while key proteins are targeted in a highly specific manner.
PMID- 18058141
TI - Effects on spectrum and susceptibility patterns of isolates causing bloodstream
infection by restriction of fluoroquinolone prophylaxis in a hematology-oncology
unit.
AB - The emergence of fluoroquinolone-resistant gram-negative organisms has been
demonstrated in patients given fluoroquinolone prophylaxis. To prevent increases
in resistant bacteria, we restricted prophylactic use of fluoroquinolones. The
spectrum and susceptibility patterns of isolates causing bloodstream infection
(BSI) were assessed in patients receiving chemotherapy during periods of routine
prophylaxis (period A: October 2001 to May 2003) and restricted prophylaxis
(period B: June 2003 to January 2005). The total number of patients receiving
chemotherapy was 442 during period A and 365 during period B. No significant
differences were seen between periods with respect to patient characteristics.
BSI was identified in 42 patients (44 episodes) during period A and 69 patients
(74 episodes) during period B. Incidence of BSI increased significantly from
10.0% (44/442) during period A to 20.3% (74/365) during period B (P < 0.0001).
Rate of Enterobacteriaceae BSI increased significantly, from 2.0% (9/442) during
period A to 8.2% (30/365) during period B (P < 0.0001). For all BSI episodes, the
proportion of BSI with gram-positive cocci decreased from 63.6% (28/44) during
period A to 44.6% (33/74) during period B (P = 0.045), while the proportion of
BSI with Enterobacteriaceae increased from 20.5% (9/44) to 40.5% (30/74) (P <
0.0001). The proportion of fluoroquinolone-resistant Enterobacteriaceae BSI for
all Enterobacteriaceae BSI decreased from 75% (9/12) during period A to 17%
(5/30) during period B (P = 0.0078). Restriction of fluoroquinolone prophylaxis
affects the etiology of BSI and reduces the proportion of drug-resistant
organisms.
PMID- 18058142
TI - Health insurance theory: the case of the missing welfare gain.
AB - An important source of value is missing from the conventional welfare analysis of
moral hazard, namely, the effect of income transfers (from those who purchase
insurance and remain healthy to those who become ill) on purchases of medical
care. Income transfers are contained within the price reduction that is
associated with standard health insurance. However, in contrast to the income
effects contained within an exogenous price decrease, these income transfers act
to shift out the demand for medical care. As a result, the consumer's willingness
to pay for medical care increases and the resulting additional consumption is
welfare increasing.
PMID- 18058143
TI - Evolving mechanical properties of a model of abdominal aortic aneurysm.
AB - The novel three-dimensional (3D) mathematical model for the development of
abdominal aortic aneurysm (AAA) of Watton et al. Biomech Model Mechanobiol 3(2):
98-113, (2004) describes how changes in the micro-structure of the arterial wall
lead to the development of AAA, during which collagen remodels to compensate for
loss of elastin. In this paper, we examine the influence of several of the
model's material and remodelling parameters on growth rates of the AAA and
compare with clinical data. Furthermore, we calculate the dynamic properties of
the AAA at different stages in its development and examine the evolution of
clinically measurable mechanical properties. The model predicts that the maximum
diameter of the aneurysm increases exponentially and that the ratio of systolic
to diastolic diameter decreases from 1.13 to 1.02 as the aneurysm develops; these
predictions are consistent with physiological observations of Vardulaki et al. Br
J Surg 85:1674-1680 (1998) and Lanne et al. Eur J Vasc Surg 6:178-184 (1992),
respectively. We conclude that mathematical models of aneurysm growth have the
potential to be useful, noninvasive diagnostic tools and thus merit further
development.
PMID- 18058144
TI - Stress field in actin gel growing on spherical substrate.
AB - Polymerization of actin to form an elastic gel is one of the main mechanisms
responsible for cellular motility. The particular problem addressed here stems
from the need to model theoretically the growth of actin gel under controlled
conditions, as observed in experiments. A biomimetic in vitro system which
consists of a spherical latex bead, coated by the enzymatic protein ActA, and a
reconstituted cytoplasm within which such beads are placed, induces
polymerization of actin on the surface of the bead in the form of successive
elastic thin spherical layers. Each newly formed layer pushes outward, and is
pushed inward by, the already formed spherical layers which altogether constitute
an elastic spherical shell of thickness h varying with time. Thus, a stress field
is created in the shell which in turn affects the rate of polymerization as well
as that of dissociation of actin gel. Given this bio-chemo-mechanical coupling,
the accurate determination of the stress field becomes a subject of great
importance for the understanding of the process, and it is the main objective of
this work. The problem is addressed by first assuming appropriate constitutive
laws for the actin gel elastic material, and then solving the only non-trivial
stress equilibrium differential equation along the radial direction assuming
spherical symmetry. A linear and a non-linear constitutive model for isotropic
elasticity is used, appropriate for small and finite strains, respectively, and
the solution is found in closed analytical forms in both cases. Two important
conclusions are reached. First, the stress field depends strongly on the
compressibility of the actin gel medium via the value of the Poisson ratio, for
both linear and non-linear analysis. Second, the linear and non-linear solutions
are very close for small strains, but they diverge progressively as the strains
increase from small to large. Guided by available experimental data on the
observed strain levels, the analytical results are illustrated by selected graphs
of stress variation along the radial direction. At the end some comments and
suggestions on the bio-chemo-mechanical coupling of actin gel growth and
resorption are presented, where the role of properly defined joint isotropic
invariants of stress and a unit vector along the predominant direction of free
ends of actin filaments at the polymerization site is introduced.
PMID- 18058145
TI - Hermann Buhl's special achievements : The 2nd International Symposium on Hypoxia
in Training and Rehabilitation 2007 in honor of the Austrian/Bavarian
mountaineer.
PMID- 18058146
TI - Evidence for myxobacterial origin of eukaryotic defensins.
AB - Antimicrobial defensins with the cysteine-stabilized alpha-helical and beta-sheet
(CS alpha beta) motif are a large family of ancient, evolutionarily related
innate immunity effectors of multicellular organisms. Although the widespread
distribution in plants, fungi, and invertebrates suggests their uniqueness to
Eukarya, it is unknown whether these eukaryotic defensins originated before or
posterior to the emergence of eukaryotes. In this study, we provide evidence in
support of the existence of defensin-like peptides (DLPs) in myxobacteria based
on structural bioinformatics analysis, which recognized two bacterial peptides
with a conserved cysteine-stabilized alpha-helical motif, a nested structural
unit of the CS alpha beta motif. Similarity in sequence and structure to fungal
DLPs together with restricted distribution to the myxobacteria as well as central
role of the myxobacteria in the origin of eukaryotes suggest that the bacterial
DLPs represent the ancestor of the eukaryotic defensins and could mediate immune
defense of early eukaryotes after gene transfer to the proto-eukaryotic genome.
Our work thus offers a basis for further investigation of prokaryotic origin of
eukaryotic immune effector molecules.
PMID- 18058147
TI - [Rare diseases as models in clinical research--from genetics to better medical
care ].
PMID- 18058149
TI - [Disorders of sex development].
AB - Disorders of sex development (DSD) include a heterogeneous group of heritable
disorders of sex determination and differentiation, formerly termed
"intersexuality". This includes chromosomal as well as monogenic disorders, which
inhibit or change primarily genetic or endocrine pathways of normal sex
development. However, in most patients affected, no definitive cause for the
disorder can be found. Therefore, the birth of a child with ambiguous genitalia
still represents an enormous challenge. For the structuring of diagnostic
procedures, for decision making and also for therapeutic interventions a highly
specialized team of physicians of different subspecialties and of experts for
psychosocial care is needed to counsel parents and patients accordingly. This
manuscript shall explain the genetic and molecular origins of DSD, the new DSD
nomenclature, the consecutive classification and steps for diagnosis. New
scientific topics on DSD are presented.
PMID- 18058148
TI - [Hereditary movement disorders].
AB - Hereditary movement disorders comprise a group of genetically defined diseases
characterized by an impaired control of movements, ataxia and/or spasticity.
Affected individuals are disabled, their quality of life significantly reduced
and their life expectancy shortened. One or more genetic causes have been
identified for many of these diseases, including Huntington's disease, Wilson's
disease, spinocerebellar ataxias, recessive ataxias, hereditary spastic
paraplegia and hereditary dystonias. Due to their characteristic molecular and
biochemical pathogenesis, these rare diseases can often serve as models for more
common disorders such as Alzheimer's disease or Parkinson's disease. The primary
tasks of the German Network of Hereditary Movement Disorders (GeNeMove), funded
by the German Ministry for Education and Research (BMBF), are to co-ordinate
basic scientific research and clinical research into rare hereditary movement
disorders and to improve the cooperation between the German centers specializing
in hereditary movement disorders. For each of the diseases in its scope, GeNeMove
works at creating standardized documentation of symptoms and the disease's
progressive course over time; developing rating scales for clinical examinations
and guidelines for therapy; improving genetic testing; fostering genetic
research; and collecting samples of DNA, tissue, CSF and blood from sufferers of
the disease for biobanks.
PMID- 18058156
TI - The FTO gene, implicated in human obesity, is found only in vertebrates and
marine algae.
AB - Human obesity is a main cause of morbidity and mortality. Recently, several
studies have demonstrated an association between the FTO gene locus and early
onset and severe obesity. To date, the FTO gene has only been discovered in
vertebrates. We identified FTO homologs in the complete genome sequences of
various evolutionary diverse marine eukaryotic algae, ranging from unicellular
photosynthetic picoplankton to a multicellular seaweed. However, FTO homologs
appear to be absent from all other completely sequenced genomes of plants, fungi,
and invertebrate animals. Although the biological roles of these marine algal FTO
homologs are still unknown, these genes will be useful for exploring basic
protein features and could hence help unravel the function of the FTO gene in
vertebrates and its inferred link with obesity in humans.
PMID- 18058158
TI - Availability and bioaccessibility of metals in fine particles of some urban
soils.
AB - Metals in urban soils might be transferred to humans via ingestion, dermal
contact, or breathing, especially to children due to the "hand to mouth" activity
during outdoor activities in playground and recreational areas. This involuntary
soil ingestion depends on soil adherence to skin; it is known that the adhesion
process tends to exclude particles greater than 50 microm, so the fraction below
this diameter would be the most dangerous for health. The aim of this work was to
study the "availability", estimated by the EDTA extraction, and "oral
bioaccessibility", estimated by the Simple Bioaccessibility Extraction Test
(SBET), of several metals in urban soils of two European cities (Sevilla and
Torino), as related to the soil particle size distribution. Torino and Sevilla
showed different levels of metal contents, availability, and bioaccessibility. In
Torino, the finer particles showed metal enrichment of Cu, Zn, and, to a lesser
extent, Pb, whereas in Sevilla, all of the studied metals showed this enrichment
compared to the whole soils. The whole soil cannot be used as a good general
indicator of the bioaccessibility of metals in the finest fractions of the soil.
Metal availability was higher in the clay fraction (<2 microm) than in other
fractions or whole soils in both cities, and principal component analysis shows
that availability is especially due to this fraction. In contrast, Cu and Pb
bioaccessibility in the clay fraction seems to be slightly lower than, or
comparable to, all of the other fractions and the whole soil. Bioaccessibility of
Cr and Ni is clearly greater in the coarser fractions of Sevilla than those of
Torino, despite the considerably greater total contents of both metals in the
latter city. Adsorbed metal forms are assumed to be preferentially responsible
for metals released by EDTA. A different origin is attributed to bioaccessible
metal forms. Anthropic influence seems more important in determining metal
availability and bioaccessibility in urban soils of both cities than the
different geological or industrial characteristics.
PMID- 18058159
TI - Cardiac arrest secondary to long QT(C )in a child with propionic acidemia.
AB - In 2006 a case report was published in this journal of a child with known
propionic acidemia being diagnosed with the long QT(C) syndrome. This following
case documents the first reported case of a child with this condition suffering a
life-threatening event from the associated long QT(C) syndrome.
PMID- 18058157
TI - The origin and evolution of tRNA inferred from phylogenetic analysis of
structure.
AB - The evolutionary history of the two structural and functional domains of tRNA is
controversial but harbors the secrets of early translation and the genetic code.
To explore the origin and evolution of tRNA, we reconstructed phylogenetic trees
directly from molecular structure. Forty-two structural characters describing the
geometry of 571 tRNAs and three statistical parameters describing thermodynamic
and mechanical features of molecules quantitatively were used to derive
phylogenetic trees of molecules and molecular substructures. Trees of molecules
failed to group tRNA according to amino acid specificity and did not reveal the
tripartite nature of life, probably due to loss of phylogenetic signal or because
tRNA diversification predated organismal diversification. Trees of substructures
derived from both structural and statistical characters support the origin of
tRNA in the acceptor arm and the hypothesis that the top half domain composed of
acceptor and pseudouridine (TPsiC) arms is more ancient than the bottom half
domain composed of dihydrouridine (DHU) and anticodon arms. This constitutes the
cornerstone of the genomic tag hypothesis that postulates tRNAs were ancient
telomeres in the RNA world. The trees of substructures suggest a model for the
evolution of the major functional and structural components of tRNA. In this
model, short RNA hairpins with stems homologous to the acceptor arm of present
day tRNAs were extended with regions homologous to TPsiC and anticodon arms. The
DHU arm was then incorporated into the resulting three-stemmed structure to form
a proto-cloverleaf structure. The variable region was the last structural
addition to the molecular repertoire of evolving tRNA substructures.
PMID- 18058160
TI - Comparison of the effectiveness of oral sildenafil versus oxygen administration
as a test for feasibility of operation for patients with secondary pulmonary
arterial hypertension.
AB - It is shown that phosphodiesterase type 5 (PDE5) inhibitors such as sildenafil
can modulate pulmonary arterial hypertension (PAH) via increasing the level of
guanosine-3,5-cyclic monophosphate (cGMP) and decreases pulmonary artery pressure
(PAP). In this study we determined the effectiveness of sildenafil and compared
its efficacy with inhaled nasal oxygen (O2) during cardiac catheterization in
patients with congenital heart diseases (CHD) and PAH, as a test of feasibility
for surgical repair of the patients. We studied 15 patients, 9 male and 6 female,
with a mean age of 8.3 years. Hemodynamic measurements were made at baseline,
after O2 administration for 20 min (5 L/min by mask), and then 45 min after
administration of a single dose of sildenafil (0.5 mg/kg orally or via
nasogastric tube). Mean PAP at baseline was 72.2 +/- 12.54 mm Hg and was reduced
by sildenafil to 52.5 +/- 9.6 and by O2 to 61.3 +/- 10.39. Both sildenafil and O2
decreased PAP effectively (p = 0.08 and p = 0.04, respectively). Pulmonary
vascular resistance (PVR) was calculated for 12 patients, with a baseline level
of 9.08 +/- 1.09 mm Hg . L(-1) . min, which was significantly decreased by O2, to
3.74 +/- 0.43, and by sildenafil, to 5.93 +/- 0.75 (p = 0.005 and p = 0.05,
respectively). Sildenafil, as a single oral dose, can effectively reduce PAP and
PVR. This novel PDE5 inhibitor can be used for assessment of feasibility of
operation for patients with CHD and PAH when inhaled NO is not available.
PMID- 18058161
TI - 62-kb plasmids harboring rulAB homologues confer UV-tolerance and epiphytic
fitness to Pseudomonas syringae pv. syringae mango isolates.
AB - The presence of genetic determinants homologous to rulAB genes for ultraviolet
(UV) radiation resistance was determined in a collection of Pseudomonas syringae
pv. syringae strains isolated from mango. The potential role of these plasmids in
UV tolerance and ecological fitness in the mango phyllosphere was also evaluated.
Nearly all of the 62-kb plasmids present in the P. syringae pv. syringae strains
hybridized with a rulAB probe, but these 62-kb plasmids showed differences in
restriction patterns. In vitro assays of tolerance to UV radiation of P. syringae
pv. syringae strains showed a higher survival of the strains harboring the 62-kb
plasmids compared to strains lacking plasmids when exposed to UVC or UVA+B
fractions. Similar results were observed when transconjugants harboring the 62-kb
plasmid were tested. Survival assays were carried out under field conditions, and
a higher survival of P. syringae pv. syringae strains harboring 62-kb plasmids
under direct solar radiation on the adaxial surface of leaves was also observed.
When the assays were carried out in shady areas or on the abaxial surface of
leaves, survival time was comparable for all the assayed strains, whether or not
they contained a 62-kb plasmid hybridizing to rulAB. Our results indicate that P.
syringae pv. syringae strains harboring 62-kb plasmids show an increase in
ecological fitness when colonizing the mango phyllosphere.
PMID- 18058163
TI - The factors considered by editors of plastic surgery journals in evaluating
submitted manuscripts.
AB - The publication of clinical- or laboratory-based research in peer-reviewed
journals is seen as the final end point rewarding many months of detailed work.
For both trainees and established consultants alike, having a submitted
manuscript rejected is both frustrating and disheartening. All journals publish
details regarding manuscript structure and preparation. However these "in-house"
guidelines tell little about what editors are looking for in their journals, and
indeed what can be done to ensure acceptance of any work that researchers submit.
The authors surveyed the editors of 40 peer-reviewed plastic surgery and related
subspeciality journals regarding factors that influence their decision to accept
or reject a manuscript. The aim was to establish factors that influence editors'
decisions regarding submitted papers, which then would enable aspects to be
highlighted that authors could address to expedite publication and produce
relevant guidelines to facilitate this process. The results demonstrate that
editors value an original, rigorously designed manuscript with valid methodology
and appropriate conclusions. Adherence to the philosophy and aims of the journal
and the journal's target audience will further improve the likelihood of
successful publication for the submitting authors.
PMID- 18058162
TI - Screenhouse and field persistence of nonpathogenic endophytic Fusarium oxysporum
in Musa tissue culture plants.
AB - Two major biotic constraints to highland cooking banana (Musa spp., genome group
AAA-EA) production in Uganda are the banana weevil Cosmopolites sordidus and the
burrowing nematode Radopholus similis. Endophytic Fusarium oxysporum strains
inoculated into tissue culture banana plantlets have shown control of the banana
weevil and the nematode. We conducted screenhouse and field experiments to
investigate persistence in the roots and rhizome of two endophytic Fusarium
oxysporum strains, V2w2 and III4w1, inoculated into tissue-culture banana
plantlets of highland cooking banana cultivars Kibuzi and Nabusa. Re-isolation of
F. oxysporum showed that endophyte colonization decreased faster from the
rhizomes than from the roots of inoculated plants, both in the screenhouse and in
the field. Whereas rhizome colonization by F. oxysporum decreased in the
screenhouse (4-16 weeks after inoculation), root colonization did not. However,
in the field (17-33 weeks after inoculation), a decrease was observed in both
rhizome and root colonization. The results show a better persistence in the roots
than rhizomes of endophytic F. oxysporum strains V2w2 and III4w1.
PMID- 18058164
TI - The use of buflomedil in reduction mammaplasty for a previously irradiated
breast: a case report.
AB - In recent years, lumpectomy combined with breast irradiation and chemotherapy, as
a part of conservation therapy for breast cancer, has well-established results.
Little has been published on reduction mammoplasty for breast-irradiated
patients. These patients have increased risks of nipple necrosis, wound
complications, and delayed healing. Breast reduction techniques that rely on
minimum skin undermining combined with the use of buflomedil may prevent major
postoperative complications in breast-irradiated patients. Buflomedil was
administered intraoperatively and for 14 days after the procedure. The use of
buflomedil in reduction mammaplasty for a previously irradiated breast patient
has not been heretofore described. The case of a 58-year-old woman who underwent
bilateral breast reduction after breast conservation therapy is reported.
PMID- 18058165
TI - Controlled trials in aesthetic plastic surgery: a 16-year analysis.
AB - Aesthetic surgery is one pillar of plastic surgery. Thus, not surprisingly,
journals exist that focus predominantly on advances within this subspecialty.
However, rarely has the process of systematic reviewing that identified
randomized controlled trials (RCTs) and controlled clinical trials (CCTs) been
conducted within this subspecialty. All original articles published in Aesthetic
Plastic Surgery were analyzed to identify all RCTs and CCTs. The proportion of
RCTs and CCTs in all original articles was determined, and the quality of
reporting was assessed on the basis of established quality items. Additional
parameters were investigated including reporting of statistically significant
differences, type of institution, and country affiliation of the first author. Of
the 1,048 original articles analyzed, 11 (1%) and 24 (2.3%) articles met the
inclusion criteria for RCTs and CCTs, respectively. Only two studies were single
blinded, whereas only one study reported on successful double blinding and
appropriate allocation concealment. Notably, these trials were RCTs. Participant
dropout was reported in one study. Statistically significant differences were
reported in 18 trials, 6 of which were RCTs. The annual publication of RCTs has
increased over the past 5 years. North America and Europe contributed a total of
28 controlled trials (80%). Controlled trials are being conducted in aesthetic
surgery at a strikingly low rate. However, a recent increase in published RCTs
reflects the recognition that performing outcome studies is pivotal in moving
practice toward a foundation based on assessment by outcome. The quality of
reporting, however, needs improvement.
PMID- 18058166
TI - Numeric expression of aesthetics and beauty.
AB - Debate over what constitutes beauty, particularly beauty of the human body, has
raged since philosophy began. Interested scholars have debated the meaning of
beauty for centuries. However, it seems that numbers and the resulting numeric
relationships play a fundamental role in the classification of the human body,
and that a harmonic profile or body shape is produced only at certain definite
numeric relationships. The beauty of individual features depends on "ideal"
proportions, and it is suggested that expressing beauty in terms of geometry is
possible. As the demand for aesthetic surgery has increased tremendously over the
past few decades, it is becoming essential to be able to assess the possible
satisfaction that can be expected after an aesthetic surgery procedure and to
determine the beauty of the final result as precisely as possible.
PMID- 18058167
TI - How to quantify sustainable development: a risk-based approach to water quality
management.
AB - Since the term was coined in the Brundtland report in 1987, the issue of
sustainable development has been challenged in terms of quantification. Different
policy options may lend themselves more or less to the underlying principles of
sustainability, but no analytical tools are available for a more in-depth
assessment of the degree of sustainability. Overall, there are two major schools
of thought employing the sustainability concept in managerial decisions: those of
measuring and those of monitoring. Measurement of relative sustainability is the
key issue in bridging the gap between theory and practice of sustainability of
water resources systems. The objective of this study is to develop a practical
tool for quantifying and assessing the degree of relative sustainability of water
quality systems based on risk-based indicators, including reliability,
resilience, and vulnerability. Current work on the Karoun River, the largest
river in Iran, has included the development of an integrated model consisting of
two main parts: a water quality simulation subroutine to evaluate Dissolved
Oxygen Biological Oxygen Demand (DO-BOD) response, and an estimation of risk
based indicators subroutine via the First Order Reliability Method (FORM) and
Monte Carlo Simulation (MCS). We also developed a simple waste load allocation
model via Least Cost and Uniform Treatment approaches in order to consider the
optimal point of pollutants control costs given a desired reliability value which
addresses DO in two different targets. The Risk-based approach developed herein,
particularly via the FORM technique, appears to be an appropriately efficient
tool for estimating the relative sustainability. Moreover, our results in the
Karoun system indicate that significant changes in sustainability values are
possible through dedicating money for treatment and strict pollution controls
while simultaneously requiring a technical advance along change in current
attitudes for environment protection.
PMID- 18058168
TI - Biliary complications after duct-to-duct biliary reconstruction in living-donor
liver transplantation: causes and treatment.
PMID- 18058169
TI - Hereditary non-medullary thyroid cancer.
AB - An estimated 5% of all non-medullary thyroid cancers are hereditary. If three or
more first-degree relatives are affected, there is a greater than 94% chance that
these cases are hereditary non-medullary thyroid cancer (HNMTC). Although, the
susceptibility gene(s) for HNMTC has not been identified, there are enough
epidemiologic studies and kindreds reported to suggest a hereditary
predisposition to thyroid cancer. Until the susceptibility genes are identified,
clinicians will have to rely on comprehensive history taking to identify at-risk
families and clinically screen at-risk family members. When families are at risk
for HNMTC, it is unclear whether neck examination and or neck ultrasound is most
effective for screening. Hereditary non-medullary thyroid cancer is associated
with more aggressive disease than sporadic HNMTC, especially in index cases, with
higher rates of multicentric tumors, lymph node metastasis, and extrathyroidal
invasion. Aggressive screening may benefit other members of the affected kindred
because the outcome for the non-index cases is better. Although no studies have
demonstrated any difference in mortality in patients with HNMTC versus sporadic
disease, disease-free survival is shorter in HNMTC. Aggressive surgical and
postoperative medical therapy is warranted in patients with HNMTC. It is likely
that emerging molecular approaches may help identify the gene or genes involved
in HNMTC which would have important clinical ramifications.
PMID- 18058170
TI - To the editor: the role of prophylactic surgery in cancer prevention.
PMID- 18058171
TI - Experimental study on transarterial administration of GRGDSP combined with
transarterial chemoembolization in rats with hepatic carcinoma.
AB - PURPOSE: To evaluate the effects of transarterial administration of an integrin
antagonist, GRGDSP (Gly-Arg-Gly-Asp-Ser-Pro), combined with transarterial
chemoembolization (TACE) to treat hepatic carcinoma in rats. METHODS: Walker-256
tumor was implanted beneath the liver capsule in 26 Wistar rats. Animal subjects
were assigned to groups based on which treatment was injected into the hepatic
artery: group A, GRGDSP + TACE; group B, TACE alone; and group C, normal saline.
Magnetic resonance imaging (MRI), tumor pathology, and immunohistochemistry were
performed to assess each treatment. RESULTS: The ratios of the post-treatment to
pretreatment tumor volumes (V2/V1) in groups A, B, and C were 4.42 +/- 0.48, 6.98
+/- 1.09, and 13.00 +/- 1.68, respectively. The metastatic potential of the
tumors was assessed by tumor cell nest counts, which were 5.00 +/- 1.25, 6.63 +/-
1.60, and 7.22 +/- 1.92 in groups A, B, and C, respectively. Microvessel density
(MVD) was quantified by measuring von Willebrand factor density values, which
were 0.18 +/- 0.02, 0.22 +/- 0.02, and 0.23 +/- 0.02 in groups A, B, and C,
respectively. CONCLUSIONS: Transarterial infusion of GRGDSP combined with TACE
noticeably inhibited the growth of hepatic carcinoma and intrahepatic metastases
in rats.
PMID- 18058172
TI - Optimization of sterilization of Escherichia coli in milk by surfactin and
fengycin using a response surface method.
AB - In this paper, the sensitivity of Escherichia coli to surfactin and fengycin was
observed, and the optimization of the antimicrobial activity of surfactin and
fengycin to E. coli in milk by a response surface methodology was studied.
Results showed that E. coli had high sensitivity to these antibiotics, whose
minimal inhibitory concentrations were 15.625 microg.mL(-1) and 31.25 microg.mL(
1), respectively. The optimization result indicated that E. coli could be
sterilized by 5 orders of magnitude when the temperature was 5.5 degrees C, the
action time was 15.8 h, and the concentration (surfactin/fengycin weight ratio
1:1) was 14.63 microg.mL(-1).
PMID- 18058173
TI - Primary pedunculated giant esophageal liposarcoma: case report.
AB - Liposarcoma is one of the most common soft tissue sarcomas in adults, but the
incidence of esophageal liposarcoma is extremely low. To our knowledge there are
only 18 cases of esophageal liposarcoma reported in the English-language
literature. Here we report a new case of primary esophageal liposarcoma in a 50
year-old male patient who complained of aggravating dysphagia with intermittent
vomiting for 6 months. The tumor was located in the upper esophagus. Polypectomy
was performed and histologic examination showed a well-differentiated
liposarcoma. Diagnostic and therapeutic tools are discussed and the results of a
literature review are discussed.
PMID- 18058174
TI - Varicoid adenocarcinoma of the esophagus: case report.
AB - Varicoid swellings resemble a varix, or an enlarged and convoluted vessel.
Varicoid or superficial spreading carcinoma of the esophagus is a rare condition
demonstrating an appearance similar to esophageal varices and as such can be
misdiagnosed. In the majority of cases they tend to be squamous cell carcinomas.
We present only the second reported case of a patient with varicoid
adenocarcinoma of the esophagus. In the absence of chronic liver disease, we
advocate that variceal lesions within the esophagus should be regarded with a
high index of suspicion for possible varicoid esophageal cancer.
PMID- 18058175
TI - Clinical utility of the 3-ounce water swallow test.
AB - The 3-ounce water swallow test is frequently used to screen individuals for
aspiration risk. Prior research concerning its clinical usefulness, however, is
confounded by inadequate statistical power due to small sample sizes and varying
methodologies. Importantly, research has been limited to a few select patient
populations, thereby limiting the widespread generalizability and applicability
of the 3-ounce test. The purpose of this study was to investigate the clinical
utility of the 3-ounce water swallow test for determining aspiration status and
oral feeding recommendations in a large and heterogeneous patient population.
Fiberoptic endoscopic evaluation of swallowing (FEES) was performed in
conjunction with the 3-ounce water swallow test on 3000 participants with a wide
range of ages and diagnoses. A total of 1151 (38.4%) passed and 1849 (61.6%)
failed the 3-ounce water swallow test. Sensitivity of the 3-ounce water swallow
test for predicting aspiration status during FEES = 96.5%, specificity = 48.7%,
and false positive rate = 51.3%. Sensitivity for identifying individuals who were
deemed safe for oral intake based on FEES results = 96.4%, specificity = 46.4%,
and false positive rate = 53.6%. Passing the 3-ounce water swallow test appears
to be a good predictor of ability to tolerate thin liquids. However, failure
often does not indicate inability to tolerate thin liquids, i.e., low specificity
and high false-positive rate. Use of the 3-ounce water swallow test alone to make
decisions regarding safety of liquid intake results in over-referral and
unnecessary restriction of liquid intake for nearly 50% of patients tested. In
addition, because 71% of participants who failed the 3-ounce water swallow test
were deemed safe for an oral diet, nonsuccess on the 3-ounce water swallow test
is not indicative of swallowing failure. The clinical utility of the 3-ounce
water swallow test has been extended to include a wide range of medical and
surgical diagnostic categories. Importantly, for the first time it has been shown
that if the 3-ounce water swallow test is passed, diet recommendations can be
made without further objective dysphagia testing.
PMID- 18058176
TI - The identification of 2,4-diacetylphloroglucinol as an antifungal metabolite
produced by cutaneous bacteria of the salamander Plethodon cinereus.
AB - Beneficial bacteria that live on salamander skins have the ability to inhibit
pathogenic fungi. Our study aimed to identify the specific chemical agent(s) of
this process and asked if any of the antifungal compounds known to operate in
analogous plant-bacteria-fungi systems were present. Crude extracts of bacteria
isolated from salamander skin were exposed to HPLC, UV-Vis, GC-MS, and HR-MS
analyses. These investigations show that 2,4-diacetylphloroglucinol is produced
by the bacteria isolate Lysobacter gummosus (AB161361), which was found on the
red-backed salamander, Plethodon cinereus. Furthermore, exposure of the amphibian
fungal pathogen, Batrachochytrium dendrobatidis (isolate JEL 215), to different
concentrations of 2,4-diacetylphloroglucinol resulted in an IC50 value of 8.73
microM, comparable to crude extract concentrations. This study is the first to
show that an epibiotic bacterium on an amphibian species produces a chemical that
inhibits pathogenic fungi.
PMID- 18058177
TI - Gene expression of different wheat genotypes during attack by virulent and
avirulent Hessian fly (Mayetiola destructor) larvae.
AB - Wheat and its relatives possess a number of resistance (R) genes specific for the
Hessian fly (HF) [Mayetiola destructor (Say)]. HF populations overcome R gene
resistance by evolving virulence. Virulent HF larvae manipulate the plant to
produce a nutritionally enhanced feeding tissue and, probably, also suppress
plant defense responses. Using two wheat R genes, H9 and H13, and three HF
strains (biotypes) differing in virulence for H9 and H13, we conducted a genome
wide transcriptional analysis of gene expression during compatible interactions
with virulent larvae and incompatible interactions with avirulent larvae. During
both types of interactions, a large number of genes (>1,000) showed alterations
in gene expression. Analysis of genes with known functions revealed that major
targets for differential regulation were genes that encoded defense proteins or
enzymes involved in the phenylpropanoid, cell wall, and lipid metabolism
pathways. A combination of the enhancement of antibiosis defense, the evasion of
nutrient metabolism induction, and the fortification and expansion of the cell
wall are likely the collective mechanism for host-plant resistance observed
during incompatible interactions. To overcome this resistance, virulent larvae
appeared to suppress antibiosis defense while inducing nutrient metabolism,
weakening cell wall, and inhibiting plant growth.
PMID- 18058178
TI - Honeybee (Apis cerana) foraging responses to the toxic honey of Tripterygium
hypoglaucum (Celastraceae): changing threshold of nectar acceptability.
AB - To investigate honeybee foraging responses to toxic nectar, honey was collected
from Apis cerana colonies in the Yaoan county of Yunnan Province, China, during
June, when flowers of Tripterygium hypoglaucum were the main nectar source
available. Pollen analysis confirmed the origin of the honey, and high
performance liquid chromatography showed the prominent component triptolide to be
present at a concentration of 0.61 mug/g +/- 0.11 SD. In cage tests that used
young adult worker bees, significantly more of those provided with a diet of T.
hypoglaucum honey mixed with sugar powder (1:1) died within 6 d (68.3%) compared
to control groups provided with normal honey mixed with sugar powder (15.8%).
Honeybees were trained to visit feeders that contained honey of T. hypoglaucum
(toxic honey) as the test group and honey of Vicia sativa or Elsholtzia ciliata
as control groups (all honeys diluted 1:3 with water). Bees preferred the feeders
with normal honey to those with toxic honey, as shown by significantly higher
visiting frequencies and longer imbibition times. However, when the feeder of
normal honey was removed, leaving only honey of T. hypoglaucum, the foraging bees
returned to the toxic honey after a few seconds of hesitation, and both visiting
frequency and imbibition time increased to values previously recorded for normal
honey. Toxic honey thus became acceptable to the bees in the absence of other
nectar sources.
PMID- 18058179
TI - Complications of neck dissection for thyroid cancer.
PMID- 18058180
TI - Locally advanced GISTs need aggressive therapy pending the results of trials.
PMID- 18058181
TI - Advances in laparoscopic gastrectomy expand clinical use.
PMID- 18058182
TI - The influence of age on the breast surgery decision-making process.
AB - BACKGROUND: Mastectomy rates have been assumed to be a function of physician
recommendations, although they correlate with patient involvement in decision
making. The influence of age on the decision-making process and treatment choice
is poorly described. METHODS: All women with ductal carcinoma in situ (DCIS) and
a random sample with invasive breast cancer were identified from two Surveillance
Epidemiology and End Results (SEER) program registries and surveyed 6 months
postoperatively. Women older than 79 years with noninvasive or localized invasive
breast cancer diagnosed in 2002 were included. Women with breast-conserving
therapy (BCT) contraindications were excluded. Women were questioned about
involvement in surgical decision-making, inquiring if this decision was patient
based, surgeon-based, or shared. Knowledge and concerns were assessed. RESULTS:
The response rate was 77.0%. There were 1,259 patients who met the study
eligibility criteria and age data was available for 1,131. Median patient age was
59.9 years. The frequency of patient-based decisions did not vary with age (p =
0.20), but older women had less knowledge for decision making. The mastectomy
rate overall was 19.7%, with no differences in mastectomy choice by age (p =
0.18). In logistic regression for the likelihood of undergoing mastectomy,
patient involvement (p < 0.0001), larger tumor size (p < 0.0001), lower education
(p = 0.0002), number of surgeons consulted (p = 0.0005), and nonwhite race origin
(p = 0.011) were significant predictors, while age, invasion, and comorbidities
were not significant. CONCLUSION: Older women participate equally in breast
cancer surgical decision making and are equally likely to select mastectomy, but
use less knowledge to make the decision. The impact of education and ethnic
origin on mastectomy use indicates the need for improved educational strategies
for these groups.
PMID- 18058183
TI - Factors influencing pathologic results after total mesorectal excision for rectal
cancer: analysis of consecutive 100 cases.
AB - BACKGROUND: The aim of this study was to analyze clinical and anatomical factors
affecting the pathologic quality of the resected specimen after total mesorectal
excision (TME) for rectal cancer. METHODS: A total of 100 patients who underwent
TME for mid or low rectal cancer were evaluated prospectively. MRI pelvimetry
data (transverse diameter, obstetric conjugate, interspinous distance, sacrum
length, and sacrum depth) were analyzed as anatomically affecting factors to
postoperative specimen quality. Sex, body mass index (BMI), type of surgery,
tumor size, and tumor distance from the anal verge were analyzed as clinically
affecting factors. The gross judgment of resected specimen, circumferential
resection margin and the number of harvested lymph nodes were used to access
postoperative specimen quality. RESULTS: The univariate and multivariate analysis
showed that narrow obstetric conjugate and shorter interspinous distance were
related to the inadequate quality of the mesorectum in the specimen (P = 0.022, P
= 0.030). Interspinous distance was a predicting factor of a positive
circumferential resection margin (P = 0.007). There were no clinical factors
affecting the inadequate quality of the mesorectum or positive circumferential
resection margin. Moreover, there were no clinico-anatomical factors affecting
the number of harvested lymph nodes after TME. CONCLUSION: Narrow obstetric
conjugate and shorter interspinous distance were factors leading to poor
postoperative specimen quality. Rectal cancer patients with narrow obstetric
conjugate or shorter interspinous distance should be considered as high-risk
patients with regard to specimen quality, which is in turn related to oncological
outcome.
PMID- 18058184
TI - Detection and description of small breast masses by residents trained using a
standardized clinical breast exam curriculum.
AB - OBJECTIVES: We evaluated the effect of standardized clinical breast examination
(CBE) training on residents' ability to detect a 3-mm breast mass in a silicone
breast model. METHODS: In this nonrandomized controlled trial, 75 first year
residents (R1s) at 8 family medicine, internal medicine, and obstetrics and
gynecology training programs received the intervention and second year residents
(R2s) did not. Trained residency faculty taught R1s vertical strip, three
pressure method (VS3PM) CBE using a standardized curriculum, including a 1- to 2
hour online self-study with video and 2.5-hour practicum using silicone models
and a trained patient surrogate. RESULTS: Solitary mass detection: 84% by R1s,
46% by R2s (RR = 1.82, 95%CI = 1.36, 2.43, P < 0.0001). Of those finding a mass,
62% of R1s and 10% of R2s used at least 5 of 8 standardized descriptors (RR =
6.19, 95%CI = 2.06, 18.59, P = 0.001). R1s false positive findings were not
statistically different from R2s (P = 0.54). Both the use of VS3PM and total time
spent on CBE were independently highly predictive of finding the mass in either
group. CONCLUSIONS: Most untrained primary care residents are not proficient in
CBE. Standardized VS3PM CBE training improves the ability to detect and describe
a small mass in a silicone breast model. Better CBE training for residents may
improve the early detection of breast cancer.
PMID- 18058185
TI - Defining the role of surgery for primary gastrointestinal tract melanoma.
AB - OBJECTIVE: The objective of the study was to determine the outcomes for primary
gastrointestinal melanomas (PGIM). MATERIAL AND METHODS: The Surveillance,
Epidemiology, and End Results database (1973-2004) was queried. RESULTS: Overall,
659 cases of PGIM were identified. The annual incidence of PGIM was approximately
0.47 cases per million in 2000. Overall median survival time was 17 months.
Tumors were identified in the oral-nasopharynx (32.8%), anal canal (31.4%),
rectum (22.2%), esophagus (5.9%), stomach (2.7%), small bowel (2.3%), gallbladder
(1.4%), and large bowel (0.9%). Univariate analysis demonstrated age, tumor
location, stage, surgery, and lymph node status were significant predictors of
improved survival. MST has not been reached for tumors located in the large
bowel, while tumors located in the stomach demonstrated the shortest median
survival (5 months). Improvement in MST was observed for those patients
undergoing surgical resection. The presence of lymph node involvement conferred a
poorer prognosis. Multivariate analysis of the cohort identified that location,
advanced tumor stage, failure to undertake surgical resection, positive lymph
node status, and age were all independent predictors of poorer outcome.
CONCLUSION: PGIM occurs most often in the oral-nasopharynx and anal canal.
Surgical extirpation is the only identifiable treatment modality that
significantly improves survival.
PMID- 18058186
TI - Risk factors of postoperative anastomotic stricture after excision of choledochal
cysts with hepaticojejunostomy.
AB - The aim of this study was to investigate the risk factors of postoperative
anastomotic stricture after excision of choledochal cysts and
hepaticojejunostomy. Among 65 patients who underwent surgery for choledochal cyst
between March 1995 and June 2005, we selected 34 adult patients who were
diagnosed as having choledochal cyst. We divided patients into two groups,
depending on postoperative anastomotic stricture developed or not. Medical
records and radiological findings of each patient were reviewed retrospectively.
H&E stain and Masson-Trichrome stain of each specimen of the resected cyst were
performed, and thickness of cyst wall, the grade of fibrosis, loss of smooth
muscle layer, loss of mucosa, and infiltration of inflammatory cells were
measured. Of the 34 patients, excision of choledochal cyst and
hepaticojejunostomy were done in 33 patients, and 1 patient with chronic
pancreatitis underwent pylorus-preserving pancreaticoduodenectomy. Anastomotic
stricture and intrahepatic duct stones postoperatively developed in eight
patients; one patient of 19 type I cyst and seven patients of 15 type IVa,
developing significantly more in the type IVa choledochal cyst (P<0.05). The size
of choledochal cyst in the stricture group was 7.0 cm, and that of the non
stricture group, 4.2 cm, showing significant difference between the two groups
(P<0.05). The stricture group presented shorter duration of symptoms (27.63+/
61.72 days; ranged, 1 approximately 180 days) than the non-stricture group
(483.33+/-916.41 days; ranged, 1 approximately 3,560 days), and it was
statistically significant (P<0.05). Pathologically, significant difference was
found between anastomotic stricture and infiltration of inflammatory cells
(P<0.05). The results indicate that anastomotic stricture is influenced by the
type IVa choledochal cyst, size of cyst, duration of symptoms, and the grade of
infiltration of inflammatory cells. Therefore, closed careful follow-up is
important in patients who underwent cyst excision with hepaticojejunostomy for
type IVa choledochal cyst. If the anastomotic stricture develops, nonoperative
management should be recommended, rather than operation, as much as possible.
PMID- 18058187
TI - Micro-hardness evaluation of a micro-hybrid composite resin light cured with
halogen light, light-emitting diode and argon ion laser.
AB - This in vitro study aimed to determine whether the micro-hardness of a composite
resin is modified by the light units or by the thickness of the increment.
Composite resin disks were divided into 15 groups (n = 5), according to the
factors under study: composite resin thickness (0 mm, 1 mm, 2 mm , 3 mm and 4 mm)
and light units. The light activation was performed with halogen light (HL) (40
s, 500 mW/cm(2)), argon ion laser (AL) (30 s, 600 mW/cm(2)) or light-emitting
diode (LED) (30 s, 400 mW/cm(2)). Vickers micro-hardness tests were performed
after 1 week and were carried out on the top surface (0 mm-control) and at
different depths of the samples. Analysis of variance (ANOVA) and Tukey tests (P
< or = 0.05) revealed no statistically significant difference among the light
units for the groups of 0 mm and 1 mm thickness. At 2 mm depth, the AL was not
statistically different from the HL, but the latter showed higher micro-hardness
values than the LED. In groups with 3 mm and 4 mm thickness, the HL also showed
higher micro-hardness values than the groups activated by the AL and the LED.
Only the HL presented satisfactory polymerization with 3 mm of thickness. With a
4 mm increment no light unit was able to promote satisfactory polymerization.
PMID- 18058189
TI - Significance of exine shedding in Cupressaceae-type pollen.
AB - In conifers, which have non-saccate Cupressaceae-type pollen, the pollen must
land on a pollination drop or be picked up by the pollination drop from the
surface of the cone near the ovule before it can be taken into the ovule. After
contact with the drop, the pollen intine absorbs moisture from the drop, expands
and the exine is shed. In this study the significance of the shedding of the
exine is interpreted from experiments in which simulated pollination drops and
micropyles were used to determine the movement of pollen and other particles in
suspension. The non-expanded pollen, which can be observed upon contact with the
pollination drop, sheds the exine, which then functions as a non-elastic
particle, while the pollen from which the exine was shed swells and functions as
an elastic particle because it is enclosed by the flexible intine. Non-elastic
particles are not easily transferred through narrow passages (the micropyle and
micropylar canal) and tend to plug these passages. However, elastic particles,
such as the swollen pollen, are easily transferred along narrow passages even
when non-elastic particles are present. The simulated experiments demonstrate
that exine shedding is an important feature in getting pollen through the narrow
micropyle and micropylar canal to the nucellus of the ovule.
PMID- 18058188
TI - Analysis of c-myc, PAI-1 and uPAR in patients with incisional hernias.
AB - BACKGROUND: Disturbed wound healing leading to alterations in collagen
composition has been thought to play a key role in the pathogenesis of incisional
hernia formation. The aim of the present study was to further characterise the
scarring process in such patients. METHODS: Mature skin scars from patients with
either primary or recurrent incisional hernias were compared to mature abdominal
skin scars from patients without hernias. The distribution of collagen types I
and III was analysed using crosspolarisation microscopy. Expression of c-myc--a
parameter for cell differentiation and proliferation--and of PAI-1 and uPAR-
parameters of the proteolytic cascade in wound healing--were determined by
immunohistochemistry. RESULTS: In agreement with previous studies, decreased
collagen I/III ratios were found in patients with incisional hernias. In these
patients, c-myc levels were significantly elevated whereas plasminogen activator
inhibitor-1 (PAI-1) and urokinase-plasminogen activator receptor (uPAR) levels
were only slightly increased. In contrast to controls, a significant correlation
between c-myc, PAI-1 and uPAR expression and collagen I/III ratios was found in
patients with incisional hernias. CONCLUSION: The differential correlation of
collagen types and expression of c-myc, PAI-1 and uPAR within the scar tissue
might represent a causal factor in incisional hernia formation.
PMID- 18058190
TI - Thermogenesis and flowering biology of Colocasia gigantea, Araceae.
AB - The thermogenesis and flowering biology of Colocasia gigantea (Blume) Hook. f.
were studied from December 2005 to February 2006 on Espiritu Santo, Vanuatu
(South Pacific). Endogenous thermogenesis was measured in two ways: (1)
continuously over 5-day periods, and (2) over 3 h during maximum heating. The
study showed that heat was generated by the male part of the spadix and probably
the lower zone of the sterile region. The temperatures of the male part peaked
twice: (1) between 0625 and 0640 (during the female phase) and (2) 24 h later
(during the male phase). The average maximum temperature was 42.25 +/- 0.14
degrees C during the female phase (16.63 degrees C above the ambient temperature)
and 35.14 +/- 0.22 degrees C during the male phase (10.61 degrees C above the
ambient temperature). In the lower zone of the sterile region, thermogenesis was
documented only during the female phase. The average maximum temperature was
35.44 +/- 0.41 degrees C (9.82 degrees C above the ambient temperature).
Thermogenic heating appeared to be closely associated with the activities of
pollinating insects.
PMID- 18058191
TI - Airborne sperm of Conocephalum conicum (Conocephalaceae).
AB - We have obtained the first momentary photographs of sperms just as they are
discharged from the antheridium of a liverwort, Conocephalum conicum, and have
succeeded in monitoring the airborne sperms of bryophytes under field conditions.
Airborne sperm of liverworts seems to be an effective strategy for raising the
efficiency of fertilization between male and female plants separated in a drought
environment.
PMID- 18058192
TI - The 4G/5G polymorphism in the plasminogen activator inhibitor-1 gene is not
associated with HELLP syndrome.
AB - Plasminogen activator inhibitor-1 (PAI-1) is a major inhibitor of fibrinolysis,
and a single nucleotide insertion/deletion (4G/5G) polymorphism in the promoter
region of the PAI-1 gene has been identified. Subjects homozygous for the 4G
allele have the highest PAI-levels due to increased PAI-1 gene transcription. Pre
eclampsia, and one of its most severe forms, the HELLP (hemolysis, elevated liver
enzymes, low platelets) syndrome, are characterized by increased placental
thrombosis based on a procoagulatory state in the mother. Several studies have
investigated the role of the PAI-1 4G/5G polymorphism in pre-eclampsia, but no
study has focused especially on HELLP syndrome. Therefore we aimed to assess the
association between HELLP syndrome and the 4G/5G polymorphism in the PAI-1 gene.
Genotyping of the PAI-1 4G/5G promoter polymorphism was performed in 102
Caucasian women with HELLP syndrome and 102 Caucasian women with uncomplicated
pregnancies. The 4G/4G genotype was more frequent in women with HELLP syndrome
than in controls (35.3% vs. 22.5%, respectively) but this difference was not
significantly different (P = 0.129). The frequency of the 4G allele was 0.588 in
patients and 0.515 in controls. These data suggest that women carrying a 4G/4G
genotype of the PAI-1 gene are not at increased risk for developing HELLP
syndrome and are thus in line with the majority of previous studies on the
association between the PAI-1 4G/5G polymorphism and pre-eclampsia.
PMID- 18058193
TI - Al-toxicity studies in yeast using gallium as an aluminum analogue.
AB - Aluminum (Al) is normally present in soils as the insoluble, harmless Al2O3. The
highly toxic Al3+ and AlOH2+ monomeric cations are formed in acid soils but there
is little consensus on the physiological basis of Al toxicity in plants. A major
factor that has retarded progress in understanding aluminum toxicity in vascular
plants is the lack of a convenient radioisotope for Al. Yeast and vascular plants
share similar membrane transport mechanisms and so yeast (Saccharomyces
cerevisiae) provides a convenient model system for studies of Al-toxicity. Al and
gallium (Ga) have closely similar toxic effects on the yeast cells (Ki
approximately 100 mmol m-3) and Ga3+ and Al3+, respond similarly to pH and are
both reversible by a chelation agent (citric acid). We tested the feasibility of
using 67Ga radioisotope as a tracer for Al transport with the view of using it to
investigate the mechanism of Al uptake and toxicity in plants. The clinically
available 67Ga citrate is unsuitable to use as an aluminum analogue because the
chelated form is not toxic. Arrangements need to be made for it to be supplied as
67GaCl3. Large amounts of 67Ga rapidly bind to the cell wall of yeasts with a t
1/2 of approximately 1 s. There is a very slow net uptake of 67Ga into a second
phase, presumably the cytoplasm. Uptake into the slow phase has a Vmax of only
approximately 16 +/- 4 pmol m(-2) s(-1) (n = 16). The Km of 67Ga uptake could not
be precisely determined but is below 100 mmol m(-3) (45 +/- 42 mmol m(-3), n =
16).
PMID- 18058194
TI - Identification, isolation, and analysis of a gene cluster involved in iron
acquisition by Pseudomonas mendocina ymp.
AB - Microbial acquisition of iron from natural sources in aerobic environments is a
little-studied process that may lead to mineral instability and trace metal
mobilization. Pseudomonas mendocina ymp was isolated from the Yucca Mountain Site
for long-term nuclear waste storage. Its ability to solubilize a variety of Fe
containing minerals under aerobic conditions has been previously investigated but
its molecular and genetic potential remained uncharacterized. Here, we have shown
that the organism produces a hydroxamate and not a catecholate-based siderophore
that is synthesized via non-ribosomal peptide synthetases. Gene clustering
patterns observed in other Pseudomonads suggested that hybridizing multiple
probes to the same library could allow for the identification of one or more
clusters of syntenic siderophore-associated genes. Using this approach, two
independent clusters were identified. An unfinished draft genome sequence of P.
mendocina ymp indicated that these mapped to two independent contigs. The
sequenced clusters were investigated informatically and shown to contain
respectively a potentially complete set of genes responsible for siderophore
biosynthesis, uptake, and regulation, and an incomplete set of genes with low
individual homology to siderophore-associated genes. A mutation in the cluster's
pvdA homolog (pmhA) resulted in a siderophore-null phenotype, which could be
reversed by complementation. The organism likely produces one siderophore with
possibly different isoforms and a peptide backbone structure containing seven
residues (predicted sequence: Acyl-Asp-Dab-Ser-fOHOrn-Ser-fOHorn). A similar
approach could be applied for discovery of Fe- and siderophore-associated genes
in unsequenced or poorly annotated organisms.
PMID- 18058195
TI - The tumor microenvironment: regulation by MMP-independent effects of tissue
inhibitor of metalloproteinases-2.
AB - Proteolytic remodeling of the extracellular matrix is an important component of
disease progression in many chronic disease states and is the initiating event in
the formation of the tumor microenvironment in cancer. It is the balance of
extracellular matrix degrading enzymes, the matrix metalloproteinases (MMPs) and
their endogenous inhibitors that determine the extent of tissue remodeling.
Unchecked MMP activity can result in significant tissue damage, facilitate
disease progression and is associated with host responses to pathologic injury
such as angiogenesis and inflammation. The tissue inhibitors of
metalloproteinases (TIMPs) have been shown to regulate MMP activity. However,
recent findings demonstrate that the tissue inhibitor of metalloproteinases-2
(TIMP-2) inhibits the mitogenic response of human microvascular endothelial cells
to growth factors, such as VEGF-A and FGF-2 in vitro and angiogenesis in vivo.
The mechanism of this effect is independent of metalloproteinase inhibition. Our
lab is the first to demonstrate a cell-surface signaling receptor for a member of
the TIMP family and suggest that TIMP-2 functions to regulate cellular responses
to growth factors. These new findings are discussed in terms of a model of TIMP-2
regulation of cellular functions in the tumor microenvironment.
PMID- 18058196
TI - In vitro behavior of osteoblast-like cells on PLLA films with a biomimetic
apatite or apatite/collagen composite coating.
AB - To investigate the methods to improve the cell-material interaction of devices or
tissue engineering scaffolds made of poly(L-lactic acid) (PLLA) polymer, apatite
and apatite/collagen composite coatings were formed on PLLA films within 24 h
through accelerated biomimetic processes. In vitro investigation using Saos-2
osteoblast-like cells through cell culture was conducted to assess the biological
performance of these biomimetic coatings. The cell morphology on three types of
surfaces, viz., PLLA film, PLLA film with the apatite coating, and PLLA film with
the apatite/collagen composite coating, was studied using scanning electron
microscopy (SEM). Cell viability was estimated using the MTT assay. The
differentiated cell function was assessed by measuring the alkaline phosphatase
(ALP) activity. The results obtained indicated that the biomimetic apatite and
apatite/collagen composite coatings could significantly enhance the proliferation
and differentiation of osteoblast-like cells. The apatite/collagen composite
coating appears to be promising for the surface modification of PLLA-based
devices with much improved interactions with osteoblastic cells.
PMID- 18058197
TI - PLD bioactive ceramic films: the influence of CaO-P2O5 glass additions to
hydroxyapatite on the proliferation and morphology of osteblastic like-cells.
AB - This work consists on the evaluation of the in vitro performance of Ti6Al4V
samples PLD (pulsed laser deposition) coated with hydroxyapatite, both pure and
mixed with a CaO-P2O5 glass. Previous studies on immersion of PLD coatings in
SBF, showed that the immersion apatite films did not present the usual
cauliflower morphology but replicated the original columnar structure and
exhibited good bioactivity. However, the influence of glass associated to
hydroxyapatite concerning adhesion, proliferation and morphology of MG63 cells on
the films surface was unclear. In this study, the performance of these PLD coated
samples was evaluated, not only following the physical-chemical transformations
resulting from the SBF immersion, but also evaluating the cytocompatibility in
contact with osteoblast-like MG63 cells. SEM and AFM confirmed that the bioactive
ceramic PLD films reproduce the substrate's surface topography and that the films
presented good adherence and uniform surface roughness. Physical-chemical
phenomena occurring during immersion in SBF did not modify the original columnar
structure. In contact with MG63 cells, coated samples exhibited very good
acceptance and cytocompatibility when compared to control. The glass mixed with
hydroxyapatite induced higher cellular proliferation. Cells grown on these
samples presented many filipodia and granular structures, typical features of
osteoblasts.
PMID- 18058198
TI - Apatite-forming ability of polyglutamic acid hydrogels in a body-simulating
environment.
AB - Artificial joints can replace damaged joints provided the surrounding bone is
sufficiently dense. However, elderly patients generally have reduced osteoporosis
associated bone density. Therefore, restitution of bone density is essential to
ensure implantation. Injectable and resorbable bioactive fillers with bone
bonding ability (osteoconductivity) are promising, as osteoporosis can be
reversed with minimal invasion. Osteoconduction occurs through the surface
formation of biologically active hydroxyapatite via reactions with body fluids.
Heterogeneous nucleation of the hydroxyapatite is catalysed by specific surface
functional groups. In addition, release of Ca2+ ions into the surrounding fluids
enhances apatite nucleation by increasing its degree of supersaturation. We
tested injectable bioactive filler made from cross-linked polyglutamic acid
(PGA). This has many carboxyl groups that facilitate apatite nucleation. An
insoluble hydrogel can be formed by cross-linkage. We exposed PGA gels to a
simulated body fluid for 7 days. Trace amounts of calcium phosphate were formed,
but were not identified as bone-like apatite by X-ray diffraction. However,
formation of a bone-like apatite layer was detected using pre-treatment with
CaCl2 solutions (>0.01 mol dm(-3)) dose dependently. Thus, this chemically cross
linked PGA gel could induce the heterogeneous nucleation of hydroxyapatite in a
body environment, and this was enhanced by pre-treatment with CaCl2.
PMID- 18058199
TI - Hydrogel-elastomer composite biomaterials: 4. Experimental optimization of
hydrogel-elastomer composite fibers for use as a wound dressing.
AB - We report a novel 3-D cavity wound dressing based on a hydrogel-elastomer
Interpenetrating Polymer Network (IPN) fabricated into an open-mesh architecture.
IPN fibers used to form the dressing were produced by a wet spinning method and
optimized in two steps. A factorial experiment was first conducted to identify
key parameters that controlled fiber properties. We observed that gelatin wt%
played a major role in determining fiber yield, swelling, strength and stability.
Other contributing factors included coagulation solution composition, gelatin
type, and pre- and post-UV irradiation time. The key factors were then further
evaluated individually to achieve a condition that provided a combination of good
swelling, mechanical properties and stability. The concentration of the
gelatin/HydroThane extrusion solution significantly affected fiber formation and
properties, presumably due to the changes in solution viscosity. The effects of
pre-UV irradiation were also ascribed to its impact on the solution viscosity and
became negligible at higher concentrations when viscosity is mainly controlled by
concentration. The composition of the coagulation bath influenced the fiber
swelling and wet stress. These results, taken together with our previous studies,
suggest that our biomaterial would provide a combination of mechanical and
swelling properties suitable for wound dressing applications.
PMID- 18058200
TI - Preparation and characterization of amidated pectin based hydrogels for drug
delivery system.
AB - In the current studies attempts were made to prepare hydrogels by chemical
modification of pectin with ethanolamine (EA) in different proportions.
Chemically modified pectin products were crosslinked with glutaraldehyde reagent
for preparing hydrogels. The hydrogels were characterized by Fourier transform
infrared spectroscopy (FTIR), organic elemental analysis, X-ray diffraction
studies (XRD), swelling studies, biocompatibility and hemocompatibility studies.
Mechanical properties of the prepared hydrogels were evaluated by tensile test.
The hydrogels were loaded with salicylic acid (used as a model drug) and drug
release studies were done in a modified Franz's diffusion cell. FTIR spectroscopy
indicated the presence of primary and secondary amide absorption bands. XRD
studies indicated increase in crystallinity in the hydrogels as compared to
unmodified pectin. The degree of amidation (DA) and molar and mass reaction
yields (YM and YN) was calculated based on the results of organic elemental
analysis. The hydrogels showed good water holding properties and were found to be
compatible with B-16 melanoma cells & human blood.
PMID- 18058201
TI - EDC/NHS-crosslinked type II collagen-chondroitin sulfate scaffold:
characterization and in vitro evaluation.
AB - Three-dimensional biodegradable porous type II collagen scaffolds are interesting
materials for cartilage tissue engineering. This study reports the preparation of
porous type II collagen-chondroitin sulfate (CS) scaffold using variable
concentrations of 1-ethyl-3(3-dimethyl aminopropyl) carbodiimide (EDC) and N
hydroxysuccinimide (NHS). The physico-chemical properties and ultrastructural
morphology of the collagen scaffolds were determined. Then, isolated chondrocytes
were cultured in porous type II collagen scaffolds either in the presence and/or
absence of covalently attached CS up to 14 days. Cell proliferation, the total
amount of proteoglycans and type II collagen retained in the scaffold and
chondrocytes morphology were evaluated. The results suggest that EDC-crosslinking
improves the mechanical stability of collagen-CS scaffolds with increasing EDC
concentration. Cell proliferation and the total amount of proteoglycans and type
II collagen retained in the scaffolds were higher in type II collagen-CS
scaffolds. Histological analysis showed the formation of a denser cartilaginous
layer at the scaffold periphery. Scanning electron microscopy (SEM) revealed
chondrocytes distributed the porous surface of both scaffolds maintained their
spherical morphology. The results of the present study also indicate that type II
collagen-CS scaffolds have potential for use in tissue engineering.
PMID- 18058203
TI - Exposure-response modeling using latent variables for the efficacy of a JAK3
inhibitor administered to rheumatoid arthritis patients.
AB - Currently, no general methods have been developed to relate pharmacologically
based models, such as indirect response models, to discrete or ordered
categorical data. We propose the use of an unobservable latent variable (LV),
through which indirect response models can be linked with drug exposure. The
resulting indirect latent variable response model (ILVRM) is demonstrated using a
case study of a JAK3 inhibitor, which was administered to patients in a
rheumatoid arthritis (RA) study. The clinical endpoint for signs and symptoms in
RA is the American College of Rheumatology response criterion of 20%--a binary
response variable. In this case study, four exposure-response models, which have
different pharmacological interpretations, were constructed and fitted using the
ILVRM method. Specifically, two indirect response models, an effect compartment
model, and a model which assumes instantaneous (direct) drug action were assessed
and compared for their ability to predict the response data. In general,
different model interpretations can influence drug inference, such as time to
drug effect onset, as well as affect extrapolations of responses to untested
experimental conditions, and the underlying pharmacology that operates to
generate key response features does not change because the response was measured
discretely. Consideration of these model interpretations can impact future study
designs and ultimately provide greater insight into drug development strategies.
PMID- 18058202
TI - On the rate and extent of drug delivery to the brain.
AB - To define and differentiate relevant aspects of blood-brain barrier transport and
distribution in order to aid research methodology in brain drug delivery.
Pharmacokinetic parameters relative to the rate and extent of brain drug delivery
are described and illustrated with relevant data, with special emphasis on the
unbound, pharmacologically active drug molecule. Drug delivery to the brain can
be comprehensively described using three parameters: Kp,uu (concentration ratio
of unbound drug in brain to blood), CLin (permeability clearance into the brain),
and Vu,brain (intra-brain distribution). The permeability of the blood-brain
barrier is less relevant to drug action within the CNS than the extent of drug
delivery, as most drugs are administered on a continuous (repeated) basis. Kp,uu
can differ between CNS-active drugs by a factor of up to 150-fold. This range is
much smaller than that for log BB ratios (Kp), which can differ by up to at least
2,000-fold, or for BBB permeabilities, which span an even larger range (up to at
least 20,000-fold difference). Methods that measure the three parameters Kp,uu,
CLin, and Vu,brain can give clinically valuable estimates of brain drug delivery
in early drug discovery programmes.
PMID- 18058204
TI - 5,9-Diaminodibenzo[a,j]phenoxazinium chloride: a rediscovered efficient long
wavelength fluorescent dye.
AB - We have evaluated the chemical, photophysical and photostability properties of
5,9-diaminodibenzo[a,j]phenoxazinium chloride, 3, and its bis-5,9-ethylamino
analogue, 4, with the goal of determining if they have characteristics that are
compatible with the requirements of a useful fluorescent probe. In order to gauge
the potential utility of these fluorophores in biological and non-biological
applications, these data were compared to those obtained for Oxazine 118, 1, and
Cresyl Violet, 2, two well known fluorescent dyes that differ in molecular
structure from the title dye 3 by having two or one fewer benzo moieties fused to
a generic oxazine ring structure, respectively. The findings of this
investigation show that 3, as well as bis-ethylamino analogue, 4, have
fluorescent lifetimes, quantum yields and photostabilities that compare favorably
with the lower order benchmark fluorophores 1 and 2. Moreover, both dibenzo dyes
have the highly desirable properties of absorbing and emitting further in the red
and far red /near infrared spectral region, respectively, than do their less
conjugated analogues. Taken together, these results suggest that 3 constitutes an
archetype upon which a new class of long wavelength fluorescent reporters might
be based.
PMID- 18058205
TI - Binding of puerarin to human serum albumin: a spectroscopic analysis and
molecular docking.
AB - Puerarin is a widely used compound in Chinese traditional medicine and exhibits
many pharmacological activities. Binding of puerarin to human serum albumin (HSA)
was investigated by ultraviolet absorbance, fluorescence, circular dichroism and
molecular docking. Puerarin caused a static quenching of intrinsic fluorescence
of HSA, the quenching data was analyzed by Stern-Volmer equation. There was one
primary puerarin binding site on HSA with a binding constant of 4.12 x 10(4) M(
1) at 298 K. Thermodynamic analysis by Van Hoff equation found enthalpy change
(DeltaH(0)) and entropy change (DeltaS(0)) were -28.01 kJ/mol and -5.63 J/mol K
respectively, which indicated the hydrogen bond and Van der Waas interaction were
the predominant forces in the binding process. Competitive experiments showed a
displacement of warfarin by puerarin, which revealed that the binding site was
located at the drug site I. Puerarin was about 2.22 nm far from the tryptophan
according to the observed fluorescence resonance energy transfer between HSA and
puerarin. Molecular docking suggested the hydrophobic residues such as tyrosine
(Tyr) 150, Tyr 148, Tyr 149 and polar residues such as lysine (Lys) 199, Lys 195,
arginine 257 and histidine 242 played an important role in the binding reaction.
PMID- 18058207
TI - Affirming the existential within medicine: medical humanities, governance, and
imaginative understanding.
AB - This paper first distinguishes governance (collective, autonomous self-regulatory
processes) from government (externally-imposed mandatory regulation); it proposes
that the second of these is essentially incompatible with a conception of the
medical humanities that involves imagination and vision on the part of medical
practitioners. It next develops that conception of the medical humanities, as
having three distinguishable aspects (all of them distinct from the separate
phenomena popularly known as "arts-in-health"): first, an intellectual enquiry
into the nature of clinical medicine; second, an important dimension of medical
education; third, a resource for moral and aesthetic influences upon clinical
practice, supporting "humane health care" as the moral inspirations behind
organised medicine. Medical humanities sustains these three aspects through
paying proper attention to the existential and subjective aspects of medicine. By
encouraging authentic imagination among health care practitioners, medical
humanities aligns well with both humane health care and governance in the sense
of self-regulation. However, it can neither be achieved mechanistically nor well
measured through proxies such as patient satisfaction. Above all, it should not
be allowed to supply, through inappropriate qualitative "targets," new forms of
management tyranny.
PMID- 18058206
TI - Spectrofluorimetric determination of oxamniquine in dosage forms and spiked human
plasma through derivatization with 1-dimethylaminonaphthalene-5-sulphonyl
chloride.
AB - A sensitive, simple and selective spectrofluorimetric method was developed for
the determination of oxamniquine (OXM) in pharmaceutical formulations and
biological fluids. The method is based on the reaction between the drug and 1
dimethylaminonaphthalene-5-sulphonyl chloride (dansyl chloride) in presence of
0.5 M sodium carbonate (pH 10) to yield a highly fluorescent derivative that is
measured at 445 nm after excitation at 335 nm. The different experimental
parameters affecting the development and stability of the reaction product were
carefully studied and optimized. The fluorescence concentration plot was
rectilinear over the range of 0.02-0.2 microg ml(-1) with a lower detection limit
(LOD) of 0.007 microg ml(-1) and limit of quantitation (LOQ) of 0.02 microg ml(
1). The proposed method was successfully applied to the analysis of commercial
capsules. The results obtained were in good agreement with those obtained using
the official spectrophotometric method. Furthermore, the method was applied for
the determination of oxamniquine in spiked human plasma, the mean % recovery (n =
4) is 97.77 +/- 1.19. A proposal of the reaction pathway was presented.
PMID- 18058208
TI - Striving to do good things: teaching humanities in Canadian medical schools.
AB - We provide the results of a systematic key-informant review of medical humanities
curricula at fourteen of Canada's seventeen medical schools. This survey was the
first of its kind. We found a wide diversity of views among medical educators as
to what constitutes the medical humanities, and a lack of consensus on how best
to train medical students in the field. In fact, it is not clear that consensus
has been attempted - or is even desirable - given that Canadian medical
humanities programs are largely shaped by individual educators' interests,
experience and passions. This anarchic approach to teaching the medical
humanities contrasts sharply with teaching in the clinical sciences where
national accreditation processes attempt to ensure that doctors graduating from
different schools have roughly the same knowledge (or at least have passed the
same exams). We argue that medical humanities are marginalized in Canadian
curricula because they are considered to be at odds philosophically with the
current dominant culture of evidence-based medicine (EBM). In such a culture
where adhering to a consensual standard is a measure of worth, the medical
humanities - which defy easy metrical appraisal - are vulnerable. We close with a
plea for medical education to become more comfortable in the borderlands between
EBM and humanities approaches.
PMID- 18058209
TI - Words and flesh in the NHS.
AB - Working as a clinician within the National Health Service (NHS) in England is
described along with the difficulty of identifying a role for the humanities
within the organisational framework. The author argues that the humanities are
both marginalised and required and that the humanities can provide a means to
redefine values within medical practice.
PMID- 18058210
TI - Training community health workers to be advocates for health promotion: efforts
taken by a community-based organization to reduce health disparities in
cardiovascular disease.
AB - Compared to white adults, blacks are less likely to be aware of their
cardiovascular risk factors and are less likely to respond appropriately to signs
and symptoms of a myocardial infarction or stroke. This fact highlights the need
for better dissemination of health information about cardiovascular disease among
communities of color. Community health workers (CHWs) are important resources for
disseminating health information. Recognizing this important role of CHWs, the
Greater Southern Brooklyn Health Coalition and its community and academic
partners developed a workshop designed to educate CHWs about the risk factors,
signs and symptoms of cardiovascular disease. The purpose of this workshop was to
educate CHWs so that they themselves could be better informed and thus, be in a
better position to educate their respective clients. The resulting workshop,
Taking Action Against Cardiovascular Disease in Our Communities: A Training for
Service Providers, was a half-day workshop attended by 70 CHWs from various
community service organizations. Approximately 97% of attendees said that the
workshop met their expectations. More than half said they learned the signs and
symptoms of cardiovascular disease and about 90% said that they received clear
and concrete information that they could use with their clients. These
evaluations also provided critiques regarding aspects of the workshop that could
be improved upon and other information which will be used as a formative tool in
developing future educational initiatives. In conclusion, this workshop
demonstrated that it was feasible to develop effective community programs
targeted at educating CHWs about cardiovascular disease.
PMID- 18058211
TI - Genomics and the mechanism of P-glycoprotein (ABCB1).
AB - The development of effective clinical interventions against multidrug resistance
(MDR) in cancer remains a significant challenge. Single nucleotide polymorphisms
(SNPs) contribute to wide variations in how individuals respond to medications
and there are several SNPs in human P-glycoprotein (P-gp) that may influence the
interactions of drug-substrates with the transporter. Interestingly, even some of
the synonymous SNPs have functional consequences for P-gp. It is also becoming
increasingly evident that an understanding of the transport pathway of P-gp may
be necessary to design effective modulators. In this review we discuss: (1) The
potential importance of SNPs (both synonymous and non-synonymous) in MDR and (2)
How new concepts that have emerged from structural studies with isolated
nucleotide binding domains of bacterial ABC transporters have prompted
biochemical studies on P-gp, leading to a better understanding of the mechanism
of P-gp mediated transport. Our results suggest that the power-stroke is provided
only after formation of the pre-hydrolysis transition-like (E.S) state during ATP
hydrolysis.
PMID- 18058212
TI - Friendship in high-functioning children with autism spectrum disorder: mixed and
non-mixed dyads.
AB - Friendships containing a child with autism and a friend with typical development
("mixed" friendships, n = 26) and those of children with autism and a friend with
a disability ("non-mixed," n = 16) were contrasted with friendships of typically
developing subjects and their friends (n = 31). Measures included dyadic
interaction samples, and interview and questionnaire data from subjects, friends,
and parents. Mixed friendship interactions resembled typical friendships.
Participants in mixed friendships were more responsive to one another, had
stronger receptive language skills, exhibited greater positive social orientation
and cohesion, and demonstrated more complex coordinated play than in the non
mixed dyads. Exposure to typical peers appears to have significant effects on
friendship behaviors.
PMID- 18058213
TI - Performance of children and adolescents with Asperger syndrome or high
functioning autism on advanced theory of mind tasks.
AB - Although a number of advanced theory of mind tasks have been developed, there is
a dearth of information on whether performances on different tasks are
associated. The present study examined the performance of 21 children and
adolescents with diagnoses of Asperger syndrome (AS) and 20 typically developing
controls on three advanced theory of mind tasks: The Eyes Task, the Strange
Stories, and the Stories from Everyday Life. The participants in the clinical
group demonstrated lower performance than the controls on all the three tasks.
The pattern of findings, however, indicates that these tasks may share different
information-processing requirements in addition to tapping different mentalizing
abilities.
PMID- 18058214
TI - Children with autism: quality of life and parental concerns.
AB - Past research has shown that children with autism and their families have
compromised quality of life (QOL) in several domains. This study examined QOL and
parental concerns in children with autism during early childhood, childhood, and
adolescence compared to children with Attention Deficit Disorder/Attention
Deficit Hyperactivity Disorder (ADD/ADHD) and to typical controls from a US
national sample. Families with children diagnosed with autism reported more
profound QOL effects than families of children with ADD/ADHD or unaffected
controls. Children with autism were significantly less likely to attend religious
services, more likely to miss school, and less likely to participate in organized
activities. Parental concerns over learning difficulty, being bullied, stress
coping, and achievement were overwhelming in the autism group relative to the
comparison groups.
PMID- 18058215
TI - Stability of the autism diagnostic interview-revised from pre-school to
elementary school age in children with autism spectrum disorders.
AB - This study examined the stability of scores on the ADI-R from pre-school to
elementary school age in children with autism spectrum disorders (ASD).
Participants were 35 children who, at T1, all had a clinical diagnosis of ASD. On
initial assessment (mean age 3.5 years; SD 0.6 years), all met ADI-R algorithm
criteria for autism. ADI-R assessments were repeated at follow up (FU; mean age
10.5 years; SD 0.8 years). Changes in ADI-R total, domain and ADI-R algorithm
item scores were assessed. Twenty-eight children continued to score above the ADI
R cut-off for autism at FU, although significant decreases in ADI-R domain and
item scores were also found. In conclusion while classification of children
according to ADI-R criteria generally remained stable between pre-school and
elementary school age, many children demonstrated significant improvements in
symptom severity.
PMID- 18058216
TI - Reliability of the ADI-R: multiple examiners evaluate a single case.
AB - The authors assessed the reliability of the Autism Diagnostic Interview (ADI-R).
Seven Clinical Examiners evaluated a three and one half year old female toddler
suspected of being on the Autism Spectrum. Examiners showed agreement levels of
94-96% across all items, with weighted kappa (K(w)) between .80 and .88. They
were in 100% agreement on 74% of the items; in excellent agreement on 6% of the
items (93-96%, with K(w) between .78 and .85); in good agreement on 7% (89-90%,
with K(w) between .62 and 0.68); and in fair agreement on 3% (82 - 84%, with K(w)
between .40 and .47). For the remaining 10% of ADI-R items, examiners showed poor
agreement (50-81% with K(w )between -.67 and .37).
PMID- 18058217
TI - Castration differentially affects spatial working and reference memory in male
rats.
AB - A male advantage for spatial learning and memory tasks is well documented among
humans and rodents. A possible physiological cause for this male advantage is
activational effects of androgens among males. The spatial memory of eight
castrated and eight sham-castrated adult male rats was compared using a working
reference memory version of the eight-arm radial arm maze followed by a reference
memory version of the Morris water maze. After maze testing, blood was collected
from each rat, and testosterone levels were determined using radioimmunoassay. In
the radial arm maze, castrates committed significantly more working memory errors
and significantly fewer reference memory errors than did shams. In the water
maze, no statistically significant differences were found for acquisition or
retention. There was a trend for shams with higher testosterone levels to have
better retention in the water maze, but this seemed to be due to higher levels of
perseverance rather than better reference memory. Castration may have affected
performance in the radial arm maze and not in the water maze because the radial
arm maze was a more difficult task or because the water maze was aversively
motivated while the radial arm maze was appetitively motivated. Our results
indicate that androgens improve working memory and may impair reference memory,
but the effects of androgens on reference memory seem to be task dependent.
PMID- 18058218
TI - Spatial and frequency differences of neuromagnetic activities in processing
concrete and abstract words.
AB - This study investigated the neuromagnetic spatial and frequency differences
between recognizing concrete and abstract words using a 275 channel whole head
magnetoencephalography (MEG) system. The stimuli consisted of 100 concrete words
and 100 abstract words which were presented visually and auditorily
simultaneously. The data of 12 right-handed healthy subjects in six different
frequency bands were analyzed with synthetic aperture magnetometry (SAM) which
can identify the frequency-dependent volumetric distribution of the evoked
magnetic field. Concrete and abstract words evoked a very similar neuromagnetic
activation pattern in the primary visual and auditory cortices. However, concrete
words evoked stronger synchronization in the right hemisphere and abstract words
evoked stronger synchronization in the left hemisphere in 1-8 Hz. In addition,
concrete words evoked more desynchronization in the left posterior temporal and
parietal cortex; while abstract words evoked a clear synchronization in the left
posterior temporal cortex and desynchronization in the left inferior frontal
cortex in 70-120 Hz. Furthermore, concrete words evoked clear desynchronization
in the left inferior frontal cortex while abstract words evoked strong
synchronization in the left posterior temporal cortex in 200-300 Hz. These
findings suggested that concrete words and abstract words are processed
differently in the brain not only in anatomical substrates, but also in the
frequency band of neural activation.
PMID- 18058219
TI - Factors influencing consumer Outcome Monitoring in implementation of evidence
based practices: results from the National EBP Implementation Project.
AB - OBJECTIVE: This study reports participating agencies use of a single fidelity
dimension, Outcome Monitoring, during the 2-year National EBP Implementation
Project. METHOD: The study involved an emerging theme qualitative approach for
analyzing the implementation of fidelity achieved for five EBPs in 49 sites
across eight states. RESULTS: Twenty-seven percent of the sites reached a high
level of implementation fidelity. CONCLUSION: Results suggest that four
interrelated factors contributed to whether agencies successfully implemented
Outcome Monitoring-agency data collection methods, agency culture, practitioner
skill, and how well "consumer outcomes" are defined.
PMID- 18058220
TI - Managing treatment resistant violent adolescents: a step forward by substituting
seclusion for mechanical restraint?
AB - Despite a growing consensus that seclusion or restraint should never be used with
children or adolescents, there are a few patients who are resistant to treatment,
and are persistently violent. The purpose of this study was to measure the
efficacy of installing a padded seclusion room to decrease the use of mechanical
restraints, a potentially more emotionally traumatic and dangerous intervention
than seclusion. After padded room installation, the number of monthly mechanical
restraint events per 1000 patient days decreased by 93.7%, from 21.2 to 1.3. A
padded seclusion room may offer a safer, albeit a less than desirable alternative
to mechanical restraint.
PMID- 18058221
TI - Experimental study of low dose ultrashortwave promoting nerve regeneration after
acellular nerve allografts repairing the sciatic nerve gap of rats.
AB - OBJECTIVES: To observe the effect of ultrashortwave (USW) therapy on nerve
regeneration after acellular nerve allografts(ANA) repairing the sciatic nerve
gap of rats and discuss its acting mechanisms. METHODS: Sixteen Wistar rats
weighing 180-220 g were randomly divided into four groups with four rats in each
group: normal control group; acellular group (ANA, treated by hypotonic-chemical
detergent, was applied for bridging a 10 mm-long sciatic nerve defect); USW group
(After 24 h of ANA repairing the sciatic nerve gap, low dose USW was
administrated for 7 min, once a day, 20 times a course of treatment, three
courses of treatment in all); and autografts group. 12 weeks after operation, a
series of examinations was performed, including electrophysiological methods, the
restoring rate of tibialis anterior muscle wet weight, histopathological
observation (myelinated nerve number, myelin sheath thickness, and axon
diameter), vascular endothelial growth factor (VEGF) mRNA expression of spinal
cord, and muscle at injury site, and analyzed statistically. RESULTS: Compared to
acellular nerve allografts alone, USW therapy can increase nerve conductive
velocity, the restoring rate of tibialis anterior muscle wet weight, myelinated
nerve number, axon diameter, VEGF mRNA expression of spinal cord, and muscle at
injury site, the difference is significant. There were no differences between USW
group and autografts group except myelin sheath thickness. CONCLUSIONS: USW
therapy can promote nerve axon regeneration and Schwann cells proliferation after
ANA repairing the sciatic nerve gap of rats, the upregulation of VEGF mRNA
expression of spinal cord and muscle may play an important role.
PMID- 18058222
TI - Heart rate and treatment effect in children with disruptive behavior disorders.
AB - OBJECTIVE: To examine whether children with disruptive behavior disorders (DBDs;
hyperkinetic conduct disorder, conduct disorder, hyperkinetic disorder)
characterized by low heart rate profit less from an intensive cognitive
behavioral intervention aimed at reducing impulsive, oppositional and aggressive
behavior problems. METHOD: Basal heart rate was studied in twenty-three children
(aged 7-12 years) with DBD at the beginning of intervention comprising an
intensive day-care treatment and parent training. The disruptive behavior of the
child was assessed before treatment and after termination (12 weeks later).
Therapy responders and non-responders were compared in regard to heart rate and
other risk factors (cognitive functioning and socio-economic status). RESULTS:
Statistical analyses yielded evidence for a significant reduction of disruptive
problem behaviors (aggression, delinquency) that is more prominent in DBD
children with high heart rate scores compared to patients with low heart rate
scores. Heart rate was significantly lower in children who did not profit from
therapy. A logistic regression analysis revealed that heart rate is a significant
predictor for therapy success whereas other risk factors had no impact on therapy
success. CONCLUSION: Further studies investigating biological and psychosocial
predictors of treatment effectiveness are necessary. In addition, it might be
helpful to consider different subtypes of aggressive behavior for selecting the
best possible treatment options.
PMID- 18058223
TI - Analysis of CHEK2 FHA domain in Czech patients with sporadic breast cancer
revealed distinct rare genetic alterations.
AB - The CHEK2 gene mutations I157T (c.470T>C) and IVS2+1G>A affecting the forkhead
associated domain (FHA) have been shown to increase the risk of breast cancer
development in several populations. We analyzed the CHEK2 gene segment coding for
FHA domain in 673 unselected breast cancer patients and 683 controls from the
Czech Republic using the denaturant high-performance liquid chromatography. The
found frequency of predominant FHA alteration I157T did not differ between breast
cancer patients (19/673; 2.82%) and controls (17/683; 2.49%; P=0.71). Besides
this mutation we characterized another nine alterations-six located within FHA
coding sequence and three occurring in introns 1 or 2). Eight variants occurred
once each in patients with breast cancer and two were present in controls. Three
alterations found in breast cancer patients were novel missense variants (Y159H,
T172A, and L174F) affecting highly conservative residues in FHA domain. Despite
the lack of association of I157T mutation with breast cancer development in our
population we deduced that the FHA domain is the subject of rare population
specific alterations that might modify risk of various cancers.
PMID- 18058224
TI - Microarray analysis of altered sphingolipid metabolism reveals prognostic
significance of sphingosine kinase 1 in breast cancer.
AB - Beside their structural role for the cell membrane the family of sphingolipids
act as effector molecules in signal transduction with links to various aspects of
cancer initiation, progression and treatment response. The "sphingolipid
rheostat" balances between apoptosis inducing ceramid and growth promoting
sphingosine-1-phosphate. We analyzed gene expression of 43 proteins from this
pathway in different subtypes of breast cancer using microarray data of 1,269
tumor samples (test set n=171; validation sets n=1098) and observed significant
differences for several genes. Sphingosine kinase 1 (SPHK1), ceramide
galactosyltransferase (UGT8), and Ganglioside GD3-Synthase (ST8SIA1) displayed
higher expression among ER negative tumors. In contrast, glucosylceramidsynthase
(GCS), dihydroceramidsynthases (LASS4, LASS 6) and acid ceramidase (ASAH1) were
higher expressed in ER positive samples. Survival analysis revealed a worse
outcome of patients with high SPHK1 expression. To avoid a confounding effect of
the ER status we also restricted the analysis to 750 patients with ER positive
tumors. Again a worse outcome was observed for tumors displaying high SPHK1
expression. While 75.8+/-1.9% of the patients with tumors low in SPHK1 expression
were free of metastasis at 5 years, this was the case for only 64.9+/-3.6% of
patients with tumors displaying high SPHK1 expression (P=0.008).
Immunohistochemistry identified the carcinoma cells as the major source of SPHK1
expression in the tumor. The correlation of SPHK1 with a poor prognosis as well
as its high expression among ER negative tumors are in line with the
antiapoptotic and proliferative properties of its product sphingosine-1
phosphate. Targeting of the sphingolipid rheostat may thus open new treatment
options.
PMID- 18058225
TI - Molecular epidemiologic features of inflammatory breast cancer: a comparison
between Egyptian and US patients.
AB - BACKGROUND: Inflammatory breast cancer (IBC) is a lethal form of breast cancer
with unknown etiology. A higher frequency of IBC and a more aggressive IBC
phenotype was reported in Egypt than in the United States. This difference in
disease frequency and presentation might be related to molecular epidemiologic
factors. METHODS: We used tumor blocks and demographic, epidemiologic, and
clinical data of 48 IBC patients from Egypt and 12 patients from the United
States. We counted tumor emboli in tumors before and after immunohistochemical
staining with lymphatic vessel endothelial receptor-1 (LYVE-1), and measured the
expression of RhoC GTPase protein in the two groups. RESULTS: Erythema, edema,
and peau d'orange were found in 77% of the Egyptian patients as compared with 29%
found in the US patients (P=0.02). The number of tumor emboli was significantly
higher in tumors from Egypt (mean+/-SD, 14.1+/-14.0) than in the tumors from the
United States (5.0+/-4.0, P=0.01). The number of tumor emboli in LYVE-1 positive
vessels was higher in tumors from Egypt (3.5+/-2.8) than tumors from the United
States (1.6+/-0.5, P=0.15). We detected a high level of RhoC in 87% of the tumors
from Egypt and 14% of the tumors from the United States (P=0.0003). CONCLUSION:
Patients from Egypt have a more aggressive form of IBC than those in the United
States. Our analysis of IBC patients shows that distinct molecular phenotypes can
be found when these two study populations are compared. Future studies should
explore the epidemiologic and environmental exposures and the genetic factors
that might lead to the different clinical and molecular features of IBC in
patients from these two countries.
PMID- 18058226
TI - The RAD51D E233G variant and breast cancer risk: population-based and clinic
based family studies of Australian women.
AB - RAD51D is a homolog of the RAD51 protein, which is known to be an important
component of the DNA repair pathway. A rare missense variant in the RAD51D gene,
E233G (c.A>G), has been reported to be more prevalent in breast cancer cases from
specific multiple-case breast cancer families, with an odds ratio of 2.6 (95%
confidence interval (CI): 1.12-6.03). We assessed whether this variant was
associated with breast cancer risk using two studies: a population-based case
control-family study based on 1,110 cases and 629 controls, and a clinic-based
study based on 390 cases from multiple-case breast cancer families. We conducted
case-control analyses and modified segregation analyses of carrier families. The
carrier frequencies (95% CI) of the RAD51D variant were 4.1% (2.4-6.6) for clinic
based cases, 3.9% (2.8-5.2) for population-based cases, and 3.7% (2.3-5.4) for
population-based controls, and were not significantly higher in case groups than
controls (P=0.7 and P=0.8, respectively). After genotyping the relatives of cases
who carried the variant, modified segregation analyses of these families were
conducted, and the estimated hazard ratio for breast cancer corresponding to the
E233G variant was 1.30 (95% CI: 0.66-2.58; P=0.4) for familial breast cancer
families and 1.28 (95% CI: 0.47-3.43; P=0.6) for families unselected for family
history. Therefore, despite being well powered to detect moderate risks, no
evidence for an association between the E233G variant and breast cancer risk was
observed in any setting. Larger studies would be required to determine if this
variant is associated with a smaller risk of breast cancer.
PMID- 18058227
TI - Breast cancer recurrence risk in relation to antidepressant use after diagnosis.
AB - BACKGROUND: While laboratory data suggest that antidepressants may promote
mammary tumor growth, there has been little research investigating whether
antidepressant use after breast cancer diagnosis is associated with the risk of
breast cancer recurrence. METHODS: We conducted a retrospective cohort study
within Group Health, an integrated healthcare delivery system in Washington
state. Women diagnosed with a first primary invasive, stage I, IIA, or IIB,
unilateral breast carcinoma between 1990-1994 (aged>or=65 years) and 1996-1999
(aged>or=18 years) were eligible for the study (N=1306). Recurrence within 5-year
of diagnosis was ascertained by medical chart review. We used the pharmacy
database to identify antidepressant dispensings from Group Health pharmacies. We
used multiple Cox regression to estimate the hazard ratio for recurrence and
breast cancer mortality, comparing users and non-users of antidepressant
medications. Results for recurrence were examined separately in users and non
users of tamoxifen. RESULTS: We did not observe an association between
antidepressant use after breast cancer diagnosis and the risk of recurrence
either in general (hazard ratio for any antidepressant use: 0.8; 95% confidence
interval: 0.5-1.4) or for specific types of antidepressant medication. Risk of
death from breast cancer did not differ between non-users and users of
antidepressants. CONCLUSIONS: The results of this study suggest that women who
use antidepressants after breast cancer diagnosis do not have an increased risk
of recurrence or mortality.
PMID- 18058228
TI - Prognostic factors in long-term breast cancer survivors with brain metastases.
PMID- 18058229
TI - TGFbeta1 (Leu10Pro), p53 (Arg72Pro) can predict for increased risk for breast
cancer in south Indian women and TGFbeta1 Pro (Leu10Pro) allele predicts response
to neo-adjuvant chemo-radiotherapy.
AB - The breast cancer incidence has been increasing in the south Indian women. A case
(n=250)-control (n=500) study was undertaken to investigate the role of Single
Nucleotide Polymorphisms (SNP's) in GSTM1 (Present/Null); GSTP1 (Ile105Val), p53
(Arg72Pro), TGFbeta1 (Leu10Pro), c-erbB2 (Ile655Val), and GSTT1 (Null/Present) in
breast cancer. In addition, the value of the SNP's in predicting primary tumor's
pathologic response following neo-adjuvant chemo-radiotherapy was assessed.
Genotyping was done using PCR (GSTM1, GSTT1), Taqman Allelic discrimination assay
(GSTP1, c-erbB2) and PCR-CTPP (p53 and TGFbeta1). None of the gene SNP's studied
were associated with a statistically significant increased risk for the breast
cancer. However, combined analysis of the SNP's showed that p53 (Arg/Arg and
Arg/Pro) with TGFbeta1 (Pro/Pro and Leu/Pro) were associated with greater than 2
fold increased risk for breast cancer in Univariate (P=0.01) and Multivariate
(P=0.003) analysis. There was no statistically significant association for the
GST family members with the breast cancer risk. TGFbeta1 (Pro/Pro) allele was
found to predict complete pathologic response in the primary tumour following neo
adjuvant chemo-radiotherapy (OR=6.53 and 10.53 in Univariate and Multivariate
analysis respectively) (P=0.004) and was independent of stage. This study
suggests that SNP's can help predict breast cancer risk in south Indian women and
that TGFbeta1 (Pro/Pro) allele is associated with a better pCR in the primary
tumour.
PMID- 18058230
TI - Beta-amyloid 25-35 peptide reduces the expression of glutamine transporter SAT1
in cultured cortical neurons.
AB - Beta-amyloid (Abeta) peptides may cause malfunction and death of neurons in
Alzheimer's disease. We investigated the effect of Abeta on key transporters of
amino acid neurotransmission in cells cultured from rat cerebral cortex. The
cultures were treated with Abeta(25-35) at 3 and 10 microM for 12 and 24 h
followed by quantitative analysis of immunofluorescence intensity. In mixed
neuronal-glial cell cultures (from P1 rats), Abeta reduced the concentration of
system A glutamine transporter 1 (SAT1), by up to 50% expressed relative to the
neuronal marker microtubule-associated protein 2 (MAP2) in the same cell. No
significant effects were detected on vesicular glutamate transporters VGLUT1 or
VGLUT2 in neurons, or on glial system N glutamine transporter 1 (SN1). In
neuronal cell cultures (from E18 rats), Abeta(25-35) did not reduce SAT1
immunoreactivity, suggesting that the observed effect depends on the presence of
astroglia. The results indicate that Abeta may impair neuronal function and
transmitter synthesis, and perhaps reduce excitotoxicity, through a reduction in
neuronal glutamine uptake.
PMID- 18058231
TI - Estimation and interpretation of models of absolute risk from epidemiologic data,
including family-based studies.
AB - Absolute risk is the chance that a person with given risk factors and free of the
disease of interest at age a will be diagnosed with that disease in the interval
(a, a + tau]. Absolute risk is sometimes called cumulative incidence. Absolute
risk is a "crude" risk because it is reduced by the chance that the person will
die of competing causes of death before developing the disease of interest.
Cohort studies admit flexibility in modeling absolute risk, either by allowing
covariates to affect the cause-specific relative hazards or to affect the
absolute risk itself. An advantage of cause-specific relative risk models is that
various data sources can be used to fit the required components. For example,
case-control data can be used to estimate relative risk and attributable risk,
and these can be combined with registry data on age-specific composite hazard
rates for the disease of interest and with national data on competing hazards of
mortality to estimate absolute risk. Family-based designs, such as the kin-cohort
design and collections of pedigrees with multiple affected individuals can be
used to estimate the genotype-specific hazard of disease. Such analyses must be
adjusted for ascertainment, and failure to take into account residual familial
risk, such as might be induced by unmeasured genetic variants or by unmeasured
behavioral or environmental exposures that are correlated within families, can
lead to overestimates of mutation-specific absolute risk in the general
population.
PMID- 18058232
TI - Wedged hepatic venous pressure does not reflect portal pressure in patients with
cirrhosis and hepatic veno-venous communications.
AB - Some cirrhotic patients have hepatic veno-venous communications (HVVC) and large
porto-systemic collaterals. However, the relationship between wedged hepatic vein
pressure (WHVP) and portal vein pressure (PVP) in such patients is not clear. The
aim of this study was to determine the relationships between simultaneously
measured WHVP and PVP, and occluded hepatic and splenic portal venography in 100
cirrhotic patients (40 alcoholic and 60 hepatitis C virus (HCV)-related
cirrhosis). PVP and WHVP were closely related in both groups (alcoholic
cirrhosis: 27.8 +/- 4.7 and 27.5 +/- 4.8 mmHg, HCV-cirrhosis: 27.3 +/- 3.7 and
26.2 +/- 4.4 mmHg, respectively). Occluded hepatic venography revealed that 13 of
the 100 patients had HVVC (alcoholic-cirrhosis: 4, HCV-cirrhosis: 9). In patients
with HVVC, PVP (27.9 +/- 3.0 mmHg) was significantly higher than WHVP (21.9 +/-
3.3 mmHg, P < 0.001). Large porto-systemic collaterals did not affect the
relationship. We conclude that HVVC affects the relationship between PVP and
WHVP. When WHVP is measured, occluded hepatic venography should be examined to
detect HVVC.
PMID- 18058233
TI - Suppression of ulcerative colitis in mice by orally available inhibitors of
sphingosine kinase.
AB - A critical step in the mechanism of action of inflammatory cytokines is the
stimulation of sphingolipid metabolism, including activation of sphingosine
kinase (SK), which produces the mitogenic and proinflammatory lipid sphingosine 1
phosphate (S1P). We have developed orally bioavailable compounds that effectively
inhibit SK activity in vitro in intact cells and in cancer models in vivo. In
this study, we assessed the effects of these SK inhibitors on cellular responses
to tumor necrosis factor alpha (TNFalpha) and evaluated their efficacy in the
dextran sulfate sodium (DSS) model of ulcerative colitis in mice. Using several
cell systems, it was shown that the SK inhibitors block the ability of TNFalpha
to activate nuclear factor kappa B (NFkappaB), induce expression of adhesion
proteins, and promote production of prostaglandin E(2) (PGE(2)). In an acute
model of DSS-induced ulcerative colitis, SK inhibitors were equivalent to or more
effective than Dipentum in reducing disease progression, colon shortening, and
neutrophil infiltration into the colon. The effects of SK inhibitors were
associated with decreased colonic levels of inflammatory cytokines TNFalpha,
interleukin (IL)-1beta, interferon gamma (IFN)-gamma, IL-6, and reduction of S1P
levels. A similar reduction in disease progression was provided by SK inhibitors
in a chronic model of ulcerative colitis in which the mice received 3-week-long
cycles of DSS interspaced with week-long recovery periods. In the chronic model,
immunohistochemistry for SK showed increased expression in DSS-treated mice
(compared with water-treated controls) that was reduced by drug treatment. S1P
levels were also elevated in the DSS group and significantly reduced by drug
treatment. Together, these data indicate that SK is a critical component in
inflammation and that inhibitors of this enzyme may be useful in treating
inflammatory bowel diseases.
PMID- 18058234
TI - Community and team member factors that influence the early phase functioning of
community prevention teams: the PROSPER project.
AB - This research examines the early development of community teams in a specific
university-community partnership project called PROSPER (Spoth et al., Prev Sci
5:31-39, 2004). PROSPER supports local community teams in rural areas and small
towns to implement evidence-based programs intended to support positive youth
development and reduce early substance use. The study evaluated 14 community
teams and included longitudinal data from 108 team members. Specifically, it
examined how community demographics and team member characteristics, perceptions,
and attitudes at initial team formation were related to local team functioning 6
months later, when teams were planning for prevention program implementation.
Findings indicate that community demographics (poverty), perceived community
readiness, characteristics of local team members (previous collaborative
experience) and attitudes toward prevention played a substantial role in
predicting the quality of community team functioning 6 months later. EDITORS'
STRATEGIC IMPLICATIONS: The authors identify barriers to successful long-term
implementation of prevention programs and add to a small, but important,
longitudinal research knowledge base related to community coalitions.
PMID- 18058235
TI - The efficacy of a multicultural prevention intervention among urban American
Indian youth in the southwest U.S.
AB - This study explored how a non-targeted group of ethnic minority youth might or
might not benefit from a prevention intervention focused on other cultural
groups. The study specifically evaluated the effects of an evidence-based drug
prevention curriculum with a sample of urban American Indian youth in the
southwest U.S., most of whom self-reported multi-ethnic heritages. Using growth
curve modeling, this research examined the developmental trajectory of drug use
for these youth, and compared it with the trajectory of youth from other
racial/ethnic groups at pre-intervention, post-intervention, and two follow up
time periods. Results indicate that alcohol and marijuana use increased from pre
intervention across subsequent time periods for all youth. The drug use of the
American Indian youth in the treatment group increased on some measures.
Specifically, they reported a steeper trajectory in the amount and frequency of
alcohol and marijuana use compared to the youths in the treatment groups with
other racial/ethnic identifications. The implications of these findings for the
development of culturally grounded prevention programs for multi-ethnic, urban
American Indian youth are discussed. EDITORS' STRATEGIC IMPLICATIONS: This
research provides a specific example, but also makes a strong global argument,
for the need to develop and evaluate prevention programs that are culturally
grounded in the worldview of the target group.
PMID- 18058236
TI - A molecular mechanism for mimosine-induced apoptosis involving oxidative stress
and mitochondrial activation.
AB - Mimosine, a non-protein amino acid, is mainly known for its action as a
reversible inhibitor of DNA replication and, therefore, has been widely used as a
cell cycle synchronizing agent. Recently, it has been shown that mimosine also
induces apoptosis, as mainly reflected in its ability to elicit characteristic
nuclear changes. The present study elucidates the mechanism underlying mimosine's
apoptotic effects, using the U-937 leukemia cell line. We now demonstrate that in
isolated rat liver mitochondria, mimosine induces mitochondrial swelling that can
be inhibited by cyclosporine A, indicative of permeability transition (PT) mega
channel opening. Mimosine-induced apoptosis was accompanied by formation of
hydrogen peroxide and a decrease in reduced glutathione levels. The apoptotic
process was partially inhibited by cyclosporine A and substantially blocked by
the antioxidant N-acetylcysteine, suggesting an essential role for reactive
oxygen species formation during the apoptotic processes. The apoptosis induced by
mimosine was also accompanied by a decrease in mitochondrial membrane potential,
cytochrome c release and caspase 3 and 9 activation. Our results thus imply that
mimosine activates apoptosis through mitochondrial activation and formation of
H2O2, both of which play functional roles in the induction of cell death.
PMID- 18058237
TI - Expression of p16(INK4A) gene in human pituitary tumours.
AB - Pituitary adenomas comprise 10-15% of primary intracranial tumours but the
mechanisms leading to tumour development are yet to be clearly established. The
retinoblastoma pathway, which regulates the progression through the cell cycle,
is often deregulated in different types of tumours. We studied the cyclin
dependent kinase inhibitor p16(INK4A) gene expression at mRNA level in human
pituitary adenomas. Forty-six tumour specimens of different subtypes, 21
clinically non-functioning, 12 growth hormone-secreting, 6 prolactin-secreting, 6
adrenocorticotropin-secreting, and 1 thyrotropin-secreting tumours were studied.
All clinically non-functioning and most of the hormone-secreting tumours were
macroadenomas (38/46). The RT-PCR assay and electrophoresis of the PCR-products
showed that p16(INK4A) mRNA was undetectable in: 62% of non-functioning, 8% of
growth hormone-secreting, 17% of prolactin-secreting and 17% of
adrenocorticotropin-secreting adenomas. Forty percent of all macroadenomas and
25% of microadenomas had negative p16(INK4A) mRNA, the latter results suggest
that the absence of p16(INK4A) product might be an early event in tumours with no
expression of this suppressor gene. Within the non-functioning adenomas 63% were
"null cell" and 37% were positive for some hormone, both subgroups showed similar
percentage of cases with absence of p16(INK4A) mRNA. Our results show that
clinically non-functioning macroadenomas have impaired p16(INK4A) expression in a
clearly higher proportion than any other pituitary tumour subtype investigated.
Other regulatory pathways may be implicated in the development of tumours with
positive p16(INK4A) expression.
PMID- 18058238
TI - Triple jeopardy in the pituitary.
AB - Aggressive pituitary tumors are rare the pathogenesis is not well established.
The development of pituitary tumor after apoplexy has also been rarely reported.
We describe the sequential development of Cushing's disease, apoplexy and
aggressive pituitary tumor in the same patient. A 31-year old male presented with
eutopic ACTH dependent Cushing's syndrome which failed initial pituitary surgery.
He underwent subsequent bilateral adrenalectomy for control of hypercortisolism.
An episode of pituitary apoplexy then occurred which was followed by the
development of a null-cell pituitary tumor. This second tumor exhibited an
aggressive behavior with invasion into the surrounding structures and systemic
spread clinically. This case provides important evidence for the hypotheses of
the pathogenesis of aggressive pituitary tumors which could have arisen from
surviving adenoma cells following apoplexy or as a de novo development of
pituitary carcinoma from cells which were not part of the original adenoma. This
is the first report of a transformation of Cushing's disease to an aggressive and
invasive null cell tumor after pituitary irradiation, apoplexy and surgery.
PMID- 18058240
TI - A knowledge-based approach to generating diverse but energetically representative
ensembles of ligand conformers.
AB - This paper describes a new and efficient stochastic conformational sampling
method for generating a range of low-energy molecule conformations. Sampling can
be tailored to a specific structural domain (e.g., peptides) by extracting
torsional profiles from specific datasets and subsequently applying them to
target molecules outside the reference set. The programs that handle creation of
the knowledge-based torsional profiles and conformer generation per se are
separate and so can be used independently or sequentially, depending on the task
at hand. The conformational ensembles produced are contrasted with those
generated using local minimization approaches. They are also quantitatively
compared with a broader range of techniques in terms of speed and the ability to
reproduce bound ligand conformations found in complexes with proteins.
PMID- 18058239
TI - Functional magnetic resonance imaging of language in epilepsy.
AB - Functional magnetic resonance imaging (fMRI) has revolutionized our understanding
of functional networks and cerebral organization in both normal and pathological
brains. In the present review, we describe the use of fMRI for mapping language
in epilepsy patients prior to surgical intervention including a discussion of
methodological issues and task design, comparisons between fMRI and the
intracarotid sodium amobarbital test, fMRI studies of language reorganization,
and the use of fMRI laterality indexes to predict outcome after anterior temporal
lobectomy.
PMID- 18058242
TI - The effect of numerical error on the reproducibility of molecular geometry
optimizations.
AB - Geometry optimization is one of the most often applied techniques in
computational drug discovery. Although geometry optimization routines are
generally deterministic, the minimization trajectories can be extremely sensitive
to initial conditions, especially in case of larger systems such as proteins.
Simple manipulations such as coordinate transformations (translations and
rotations), file saving and retrieving, and hydrogen addition can introduce small
variations ( approximately 0.001 A) in the starting coordinates which can
drastically affect the minimization trajectory. With large systems, optimized
geometry differences of up to 1 A RMSD and final energy differences of several
kcal/mol can be observed when using many commercially available software
packages. Differences in computer platforms can also lead to differences in
minimization trajectories. Here we demonstrate how routine structure
manipulations can introduce small variations in atomic coordinates, which upon
geometry optimization, can give rise to unexpectedly large differences in
optimized geometries and final energies. We also show how the same minimizations
run on different computer platforms can also lead to different results. The
implications of these findings on routine computational chemistry procedures are
discussed.
PMID- 18058241
TI - Molecular dynamics studies of alpha-helix stability in fibril-forming peptides.
AB - Diseases associated with protein fibril-formation, such as the prion diseases and
Alzheimer's disease, are gaining increased attention due to their medical
importance and complex origins. Using molecular dynamics (MD) simulations in an
aqueous environment, we have studied the stability of the alpha-helix covering
positions 15-25 of the amyloid beta-peptide (A beta) involved in Alzheimer's
disease. The effects of residue replacements, including the effects of A beta
disease related mutations, were also investigated. The MD simulations show a very
early (2 ns) loss of alpha-helical structure for the Flemish (A beta(A21G)),
Italian (A beta(E22K)), and Iowa (A beta(D23N)) forms associated with hereditary
Alzheimer's disease. Similarly, an early (5 ns) loss of alpha-helical structure
was observed for the Dutch (A beta(E22Q)) variant. MD here provides a possible
explanation for the structural changes. Two variants of A beta, A
beta(K16A,L17A,F20A) and A beta(V18A,F19A,F20A), that do not produce fibrils in
vitro were also investigated. The A beta(V18A,F19A,F20A) initially loses its
helical conformation but refolds into helix several times and spends most of the
simulation time in helical conformation. However, the A beta(K16A,L17A,F20A)
loses the alpha-helical structure after 5 ns and does not refold. For the
wildtype A beta(1-40) and A beta(1-42), the helical conformation is lost after 5
ns or after 40 ns, respectively, while for the "familial" (A beta(A42T)) variant,
the MD simulations suggest that a C-terminal beta-strand is stabilised, which
could explain the fibrillation. The simulations for the Arctic (A beta(E22G))
variant indicate that the alpha-helix is kept for 2 ns, but reappears 2 ns later,
whereafter it disappears after 10 ns. The MD results are in several cases
compatible with known experimental data, but the correlation is not perfect,
indicating that multimerisation tendency and other factors might also be
important for fibril formation.
PMID- 18058244
TI - Differential staining and microchromosomal variation in karyotypes of four
Brazilian species of Tupinambinae lizards (Squamata: Teiidae).
AB - Kayotypes of four neotropical teiid lizard species (Tupinambinae) were herein
studied after conventional as well as silver staining and CBG-banding:
Crocodilurus amazonicus (2n = 34), Tupinambis teguixin (2n = 36), Tupinambis
merianae and Tupinambis quadrilineatus (2n = 38). The karyological data for T.
quadrilineatus as well as those obtained using differential staining for all
species were unknown until now. The karyotypes of all species presented 12
macrochromosomes identical in morphology, but differed in the number of
microchromosomes: 22 in C. amazonicus, 24 in T. teguixin and 26 in T.
quadrilineatus and T. merianae. The Ag-NOR located at the secondary constriction
at the distal end of pair 2 is shared by all species, contrasting with the
variability observed for this character in species of the related Teiinae. CBG
banding revealed a species-specific pattern in T. quadrilineatus with conspicuous
interstitial C-blocks at the proximal region of the long arm of pair 4 and the
whole heterochromatic short arm of pair 6. The karyological data reported here
corroborates the relationship hypothesis obtained for Tupinambis based on
molecular characters. T. teguixin presents the putative ancestral karyotype for
the genus with 2n = 36 whereas T. merianae and T. quadrilineatus exhibit 2n = 38,
due to an additional pair of microchromosomes.
PMID- 18058243
TI - Expression profiling of salinity-alkali stress responses by large-scale expressed
sequence tag analysis in Tamarix hispid.
AB - Tamarix hispida, a woody halophyte, thrives in saline and saline-alkali soil. To
better understand the gene expression profiles that manifest in response to
saline-alkali stress, three cDNA libraries were constructed from leaf tissue of
T. hispida plants that were well watered and exposed to NaHCO3 for 24 and 52 h. A
total of 9,447 high quality expressed sequence tags (ESTs) were obtained from the
three libraries. These ESTs represent 3,945 unigenes, including 986 contigs and
2,959 singlets. The numbers of unigenes obtained from the three libraries were
1,752, 1,558 and 1,675, respectively. The EST analysis was performed to compare
gene expression in the three cDNA libraries; the transcripts responsive to NaHCO3
were identified. The differentially expressed transcripts were identified. The up
regulation genes were involved in a variety function areas, such as stress
related proteins, hormone signaling transduction, antioxidative response,
transcriptional regulators, protein synthesis and destination, ion homeostasis,
photosynthesis and metabolism. The results indicated that the response to NaHCO3
in T. hispida is a complex one, involving multiple physiological and metabolic
pathways. Nine gene expression patterns were compared in response to NaHCO3 and
NaCl using real time reverse transcription-polymerase chain reaction (RT-PCR).
Gene expression trends were similar after a 24-h exposure to either NaCl or
NaHCO3, however, great variability was found after a 52-h exposure, indicating
that short-term responses to either salt may not be obviously different.
PMID- 18058245
TI - Histone deacetylases: target enzymes for cancer therapy.
AB - Epigenic regulation of gene transcription has recently been the subject of a fast
growing interest particularly in the field of cancer. Enzymatic acetylation and
deacetylation of the epsilon-amino groups of lysine residues from nucleosomal
histones, represents major molecular epigenic mechanisms controlling gene
expression. Histone deacetylases (HDACs) and histone acetyl transferases (HAT)
represent the two families of enzymes in charge of the control of the level of
acetylation of the histone tails. By removing the acetyl groups that abrogate the
positive charge of the lysine residues that maintain the histone tails attached
to DNA, HDACs repress transcription. In mammals, these latter enzymes form three
groups of related enzymes based on their sequence homology and are classified as
HDACs I, II and III. Global inhibition of the HDACs I and II groups results in
cell growth arrest and apoptosis of cancer cells and alters tumor growth in in
vivo experimental models. Their surprisingly low general toxicity and their
impressive efficiency in preclinical cancer models has led to consider HDAC
inhibitors as very promising new anticancer pharmacological agents. In this
review, we attempt to give a comprehensive overview of the role and the
involvement of HDAC in carcinogenesis as well as the current progress on the
development of HDAC general and specific inhibitors as new cancer therapies.
PMID- 18058247
TI - Alcohol, marijuana, and tobacco use patterns among youth in Canada.
AB - The authors characterized changes in the prevalence of alcohol, tobacco, and
marijuana use over time, and examined age of onset, co-morbid use and
sociodemographic factors associated with ever using alcohol, tobacco, or
marijuana in a nationally representative sample of Canadian youth. Data were
collected from students in grades 7-9 as part of the Canadian Youth Smoking
Survey (n = 19,018 in 2002; n = 29,243 in 2004). Descriptive analyses examined
age of onset, co-morbid substance use and changes over time. Logistic regression
models were used to examine factors associated with ever trying alcohol, tobacco,
or marijuana with the 2004 data. Alcohol was the most prevalent substance used by
youth and it was also the only substance which exhibited increased rates of use
between 2002 and 2004. Co-morbid substance use was common, and it was rare to
find youth who had used marijuana or tobacco without also having tried alcohol.
As expected, youth who had poorer school performance were more likely to drink
and smoke marijuana or tobacco, as were youth with more disposable income. Such
timely and relevant data are important for guiding future policy, programming,
and surveillance activities.
PMID- 18058246
TI - ASNM position statement: intraoperative monitoring of auditory evoked potentials.
PMID- 18058248
TI - Analysis of lung cancer incidence in the Nurses' Health and the Health
Professionals' Follow-Up Studies using a multistage carcinogenesis model.
AB - We analyzed lung cancer incidence among non-smokers, continuing smokers, and ex
smokers in the Nurses Health Study (NHS) and the Health Professionals Follow-Up
Study (HPFS) using the two-stage clonal expansion (TSCE) model. Age-specific lung
cancer incidence rates among non-smokers are identical in the two cohorts. Within
the framework of the model, the main effect of cigarette smoke is on the
promotion of partially altered cells on the pathway to cancer. Smoking-related
promotion is somewhat higher among women, whereas smoking-related malignant
conversion is somewhat lower. In both cohorts the relative risk for a given daily
level of smoking is strongly modified by duration. Among smokers, the incidence
in NHS relative to that in HPFS depends both on smoking intensity and duration.
The age-adjusted risk is somewhat larger in NHS, but not significantly so. After
smokers quit, the risk decreases over a period of many years and the temporal
pattern of the decline is similar to that reported in other recent studies. Among
ex-smokers, the incidence in NHS relative to that in HPFS depends both on
previous levels of smoking and on time since quitting. The age-adjusted risk
among ex-smokers is somewhat higher in NHS, possibly due to differences in the
age-distribution between the two cohorts.
PMID- 18058249
TI - Chromosomal evolution in tenrecs (Microgale and Oryzorictes, Tenrecidae) from the
Central Highlands of Madagascar.
AB - Tenrecs (Tenrecidae) are a widely diversified assemblage of small eutherian
mammals that occur in Madagascar and Western and Central Africa. With the
exception of a few early karyotypic descriptions based on conventional staining,
nothing is known about the chromosomal evolution of this family. We present a
detailed analysis of G-banded and molecularly defined chromosomes based on
fluorescence in situ hybridization (FISH) that allows a comprehensive comparison
between the karyotypes of 11 species of two closely related Malagasy genera,
Microgale (10 species) and Oryzorictes (one species), of the subfamily
Oryzorictinae. The karyotypes of Microgale taiva and M. parvula (2n = 32) were
found to be identical to that of O. hova (2n = 32) most likely reflecting the
ancestral karyotypes of both genera, as well as that of the Oryzorictinae.
Parsimony analysis of chromosomal rearrangements that could have arisen following
Whole Arm Reciprocal Translocations (WARTs) showed, however, that these are more
likely to be the result of Robertsonian translocations. A single most
parsimonious tree was obtained that provides strong support for three species
associations within Microgale, all of which are consistent with previous
molecular and morphological investigations. By expanding on a recently published
molecular clock for the Tenrecidae we were able to place our findings in a
temporal framework that shows strong chromosomal rate heterogeneity within the
Oryzorictinae. We use these data to critically examine the possible role of
chromosomal rearrangements in speciation within Microgale.
PMID- 18058250
TI - Monitoring compared with paleolimnology: implications for the definition of
reference condition in limed lakes in Sweden.
AB - Surface water acidification was identified as a major environmental problem in
the 1960s. Consequently, a liming program was launched in Sweden in the 1970s.
The primary purpose of liming is to restore conditions that existed prior to
acidification. To reach this goal, as well as achieve 'good status' (i.e. low
levels of distortion resulting from human activity) in European freshwaters until
2016 under the European Union Water Framework Directive, lake data are required
to define reference conditions. Here, we compare data from chemical/biological
monitoring of 12 limed lakes with results of paleolimnological investigations, to
address questions of reference conditions, acidification, and restoration by
liming. Using diatom-based lake-water pH inferences, we found clear evidence of
acidification in only five of the 12 lakes, which had all originally been
classified as acidified according to monitoring data. After liming, measured and
diatom-inferred pH agree well in seven lakes. The sediment record of three of the
five remaining lakes gave ambiguous results, presumably due to sediment mixing or
low sediment accumulation rates. It is difficult to determine whether liming
restored the lakes to a good status, especially as some of the lakes were not
acidified during the twentieth century. In addition to acid deposition, other
factors, such as natural lake and catchment ontogeny or human impact through
agricultural activity, influence lake acidity. This study shows that monitoring
series are usually too short to define reference conditions for lakes, and that
paleolimnological studies are useful to set appropriate goals for restoration and
for evaluation of counter measures.
PMID- 18058251
TI - Sources and seasonal variation of PAHs in the sediments of drinking water
reservoirs in Hong Kong and the Dongjiang River (China).
AB - The main objective of this study was to investigate occurrence of polycyclic
aromatic hydrocarbons (PAHs) in the sources of the drinking water supply of Hong
Kong. The main emphasis was on the Dongjiang River in mainland China which is the
major source, supplying 80% of the total consumption in Hong Kong (the remaining
20% is obtained from rain water). Sediments were collected from four sites along
the Dongjiang River and four reservoirs in Hong Kong during both the dry and wet
weather seasons. The concentrations of total PAHs in the sediments ranged between
36 and 539 microg/kg dry wt. The lower levels were detected at the upstream site
on the Dongjiang River and at the reservoirs in Hong Kong (44-85 microg/kg dry
wt), while the mid- and downstream sites on the Dongjiang River were more
polluted (588-658 microg/kg dry wt). Examination of the PAH profiles revealed
that the mid- and downstream sections of the Dongjiang River contained high
percentages of 4,5,6-ring PAHs, similar to the amounts of atmospheric particulate
matter and road dust collected during the dry weather season from the Pearl River
Delta region as reported in the literature. Seasonal changes were revealed in the
reservoirs of Hong Kong, with higher PAH levels in the wet weather season than in
the dry weather season. For those reservoirs in Hong Kong that store water from
the Dongjiang River, a distinct seasonal pattern was also observed, namely, that
under dry weather season conditions the PAHs found in the sediments were
primarily from petrogenic source, while under wet weather season conditions they
were from pyrolytic sources. No such pattern was detected in the reservoirs which
stored only rain water.
PMID- 18058252
TI - Lead forms in urban turfgrass and forest soils as related to organic matter
content and pH.
AB - Soil pH may influence speciation and extractability of Pb, depending on type of
vegetation in urban soil environments. We investigated the relationship between
soil pH and Pb extractability at forest and turf grass sites in Baltimore,
Maryland. Our two hypotheses were: (1) due to lower pH values in forest soils,
more Pb will be in exchangeable forms in forested than in turfgrass soils and (2)
due to the greater lability of exchangeable Pb in equilibrium with soil solution
in forest soils, concentrations of this form will increase with depth more so
than in the turfgrass soils, as related to organic matter content and pH. Soil
samples were collected from three forested and three turfgrass sites to depths of
20 cm. Lead forms were determined using a sequential extraction technique. Soils
under turfgrass and forest vegetation differed in the extractability of soil Pb
(P < 0.01) for the Mn(III, IV)- and Fe(III)(hydr) oxide fraction. A greater Pb
concentration was bound to this fraction under turfgrass (211 mg kg(-1), 69% of
total Pb) than forested soils (67 mg kg(-1), 61% of total Pb), perhaps due to
soil pH differences of 5.9 and 5.0, respectively. In the forested soils, as depth
increased, the ratio of exchangeable-to-total Pb increased and the ratio of
organically bound Pb-to-total Pb decreased. The results suggest changes in pH and
organic matter content with depth affect the extractability of Pb, and these soil
properties are affected differentially by grass versus tree vegetation in the
urban soils investigated.
PMID- 18058254
TI - Production of biosurfactants and antibiotics by fluorescent pseudomonads isolated
from a closed hydroponic system equipped with a slow filter.
AB - The presence of antibiotic- and biosurfactant-producing strains of fluorescent
pseudomonads in a closed hydroponic system equipped with a slow filter was
investigated. A total of 271 strains of pseudomonads were isolated before the
filter, from the filter skin and from the effluent. Production of biosurfactants
was determined using the drop-collapse method. The ability of the strains to
inhibit the growth of the plant pathogens Pythium ultimum, Phytophthora cryptogea
and Fusarium oxysporum was determined using dual culture plating. The influence
of carbon sources on production was determined for selected strains, which also
were identified to species level. Production of antibiotics or biosurfactants was
observed to be a common trait among the fluorescent pseudomonads within the
closed hydroponic system and it was affected by the filter. Pythium ultimum was
the pathogen that was most sensitive to antibiotics produced by the fluorescent
pseudomonads. The results indicated a strong influence of nutritional resources
on antibiotic and biosurfactant production.
PMID- 18058253
TI - Environmental contaminants in male river otters from Oregon and Washington, USA,
1994-1999.
AB - This study reports hepatic concentrations and distribution patterns of select
metals, organochlorine pesticides (OCs), polychlorinated biphenyls (PCBs),
polychlorinated dibenzo-p-dioxins (PCDDs) and polychlorinated dibenzofurans
(PCDFs) in 180 male river otters (Lontra canadensis) collected from Oregon and
Washington, 1994-1999. Seven regional locations of western Oregon and Washington
were delineated based on associations with major population centers, industry or
agriculture. Cadmium (Cd) was not found above 0.5 microg g(-1), dry weight (dw)
in juveniles, but increased with age in adults though concentrations were
generally low (nd-1.18 microg g(-1), dw). Regional geometric means for total
mercury (THg) ranged from 3.63 to 8.05 microg g(-1), dw in juveniles and 3.46
12.6 microg g(-1) (dw) in adults. The highest THg concentration was 148 microg g(
1), dw from an apparently healthy adult male from the Olympic Peninsula of
Washington. Although THg increased with age in adult otters, the occurrence of
the more toxic form methylmercury (MeHg) was not evaluated. Mean OC and PCB
concentrations reported in this study declined dramatically from those reported
in 1978-1979 from the lower Columbia River. Organochlorine pesticide and
metabolite means for both juvenile and adult river otter males were all below 100
microg kg(-1), wet weight (ww), with only DDE, DDD and HCB having individual
concentrations exceeding 500 microg kg(-1), ww. Mean SigmaPCB concentrations in
both juvenile and adult male otters were below 1 microg g(-1) for all regional
locations. Mean juvenile and adult concentrations of non-ortho substituted PCBs,
PCDDs and PCDFs were in the low ng kg(-1) for all locations studied.
PMID- 18058255
TI - Inhibition on Hepatitis B virus in vitro of recombinant MAP30 from bitter melon.
AB - The gene encoding MAP30 protein was cloned from bitter melon and recombinant
MAP30 was expressed and purified. The human hepatoma G2.2.15 cells were exposed
to different concentrations of MAP30. MTT assay was used to evaluate the
cytotoxicity of the drugs and real-time PCR and Southern hybridization were
applied to quantify extracellular HBV DNA and replicative intermediates
intracellular and cccDNA in nucleus. HBsAg and HBeAg were assessed by enzyme
linked immunosorbent assay (ELISA). The results showed that exposure of
HepG2.2.15 cells to MAP30 resulted in inhibition of HBV DNA replication and HBsAg
secretion. After exposed to three different concentrations of MAP30 for 2, 4, 6,
and 8 days respectively, the inhibition rates of extracellular HBV DNA, HBsAg,
and HBeAg of each concentration decreased significantly (P < 0.05). After 9 days
of treatment, the inhibition rates of extracellular HBV DNA of the different
concentrations differed greatly (P < 0.001). The MAP30 could inhibit the
production of HBV (P < 0.01) dose-dependently. The expression of HBsAg was
significantly decreased by MAP30 dose-dependently (P < 0.001) and time
dependently (P < 0.001). Lower dose of MAP30 (8.0 microg/ml) could inhibit the
expression of HBsAg and HBeAg.
PMID- 18058256
TI - Pupillary reactivity upon hospital admission predicts long-term outcome in poor
grade aneurysmal subarachnoid hemorrhage patients.
AB - BACKGROUND: Historically, the prognosis for poor grade subarachnoid hemorrhage
patients has been considered dismal. As a result, many hospitals have chosen
conservative management over aggressive therapy. This guarded approach, however,
is based on studies that do not take into account newer, more effective,
management protocols and more recent long-term evidence that significant
neurological recovery occurs in the months to years following discharge. More
accurate and predictive methods are needed to decide when aggressive therapy is
warranted. METHODS: Two hundred and twenty-six grade aneurysmal subarachnoid
hemorrhage (aSAH) patients of grades IV and V were admitted to Columbia
University Medical Center and enrolled in our study. Demographics, clinical
information (e.g. pupillary reactivity on admission), and treatment course
(operative versus non-operative) were recorded. Rankin scores at 14 days, 3
months, and 1 year were also recorded. A favorable Rankin score was defined as 0
3. Unfavorable was defined as 4-6. RESULTS: Among all poor grade patients who
received operative therapy, pupillary reactivity at admission was not predictive
of a favorable Rankin score at day 14 (odds ratio = 3.3, P = 0.129). Pupillary
reactivity, however, was predictive of Rankin score at 3 months (odds ratio =
4.57, P = 0.05) and 12 months (odds ratio = 6.44, P = 0.008). After constructing
a Kaplan-Meiers survival curve, pupillary reactivity was a better predictor of
survival at 12 months than H&H grade [Hazard ratio 3.342 (1.596-7.000) P = 0.001
versus 1.964 (1.016-3.798) P = 0.045]. CONCLUSIONS: This study demonstrates that
significant recovery occurs in the weeks to months after poor grade aSAH.
Pupillary reactivity on admission can be used as a predictor of survival and
recovery at intermediate and long-term time points, more so than Hunt and Hess
grade.
PMID- 18058258
TI - Autoimmunity to type VII collagen: epidermolysis bullosa acquisita.
AB - Epidermolysis bullosa acquisita (EBA) is an acquired, autoimmune, mechanobullous
disease with clinical features reminiscent of genetic dystrophic epidermolysis
bullosa (DEB). EBA patients have skin fragility, blisters, scars, and milia
formation. DEB is due to a genetic defect in the gene-encoding type VII collagen,
which makes anchoring fibrils, structures that attach the epidermis and its
underlying basement membrane zone onto the papillary dermis. DEB patients have a
decrease in normally functioning anchoring fibrils. EBA patients have the same
problem, but their decrease in normally functioning anchoring fibrils is because
of an abnormality in their immune system in which they produce anti-type VII
collagen antibodies that attack their anchoring fibrils. These IgG anti-type VII
collagen antibodies are "pathogenic" because when injected into a mouse, the
mouse develops an EBA-like blistering disease. EBA has several distinct clinical
presentations. It can present with features similar to DEB. It can also present
with features reminiscent of bullous pemphigoid, cicatricial pemphigoid,
Brunsting-Perry pemphigoid, or IgA bullous dermatosis. Treatment for EBA is
unsatisfactory. Some therapeutic success has been reported with colchichine,
dapsone, photopheresis, infliximab, and IVIG.
PMID- 18058259
TI - Retinol binding protein 4, low birth weight-related insulin resistance and
hormonal contraception.
AB - It has been recently reported that increased serum levels of retinol binding
protein 4 (RBP4), a molecule secreted by adipocytes and liver, could be an early
marker of insulin resistance (IR). We determined whether serum RBP4 was increased
in low birth weight (LBW)-young women as a model of early-onset IR, through a
historical prospective study. The study-population included 35 LBW and 35 born at
term appropriate for gestational age (term AGA) young women. Metabolic
evaluations included the composite-insulin sensitivity index (composite ISI).
Serum RBP4 was measured with a competitive enzyme-linked immunosorbent assay
(ELISA). RBP4 levels were similar in LBW and term AGA women, while composite ISI
was significantly lower in the former group. With multivariate logistic
regression analysis hormonal contraception (HC) use but not birth weight,
diabetes in either parents and body mass index was significantly associated with
higher RBP4 levels: odds ratio = 10.6; 95% confidence interval (CI) = 2.4-76.6.
In spite of higher RBP4 levels in women under HC, composite ISI was similar in
women with or without HC. Women under HC also exhibited significantly higher
levels of sex hormone binding globulin (SHBG), triglycerides, cholesterol, and C
reactive protein (CRP), and all of them, but not composite ISI, were
significantly correlated with RBP4 levels. In conclusion, RBP4 serum level was
not a marker of IR but, for the first time, it is documented a sustained increase
of serum RBP4 under HC. Pathophysiological and clinical significance of this
novel finding requires further investigations.
PMID- 18058257
TI - Preclinical models of intracerebral hemorrhage: a translational perspective.
AB - Intracerebral hemorrhage (ICH) is a devastating and relatively common disease
affecting as many as 50,000 people annually in the United States alone. ICH
remains associated with poor outcome, and approximately 40-50% of afflicted
patients will die within 30 days. In reports from the NIH and AHA, the importance
of developing clinically relevant models of ICH that will extend our
understanding of the pathophysiology of the disease and target new therapeutic
approaches was emphasized. Traditionally, preclinical ICH research has most
commonly utilized two paradigms: clostridial collagenase-induced hemorrhage and
autologous blood injection. In this article, the use of various species is
examined in the context of the different model types for ICH, and a mechanistic
approach is considered in evaluating the numerous breakthroughs in our current
fund of knowledge. Each of the model types has its inherent strengths and
weaknesses and has the potential to further our understanding of the
pathophysiology and treatment of ICH. In particular, transgenic rodent models may
be helpful in addressing genetic influences on recovery from ICH.
PMID- 18058260
TI - Lack of association between interleukin-4 gene polymorphisms and autoimmune
thyroid diseases amongst Taiwanese Chinese.
AB - Graves' disease (GD) and Hashimoto's thyroiditis (HT) are both common autoimmune
diseases of the thyroid gland (AITD). The IL-4 is involved in both humoral and
cellular immunity. The aim of this study was to test whether the IL-4 gene could
be used as a genetic marker to predict the development of AITD amongst the
Chinese population of Taiwan. For this study, a normal control group of 105
healthy subjects and two experimental groups featuring individuals afflicted with
either GD (104 patients) or HT (109 patients) were examined. Polymerase chain
reaction (PCR) was used to analyze the variable number of tandem repeats (VNTRs)
polymorphism for the IL-4 gene intron 3 and PCR-based restriction analysis using
endonuclease BsmFI was undertaken for the same gene at the promoter -590
position. We found no significant difference in the frequencies of presence of
genotype and allelic variants for the IL-4 gene at both the intron 3 and the
promoter regions between the normal control group and each of the two patient
groups. These findings suggest that the IL-4 gene polymorphisms that arise at
either intron 3 or promoter -590 positions are not suitable genetic markers for
AITD among Taiwanese Chinese.
PMID- 18058261
TI - The genome browser at UCSC for locating genes, and much more!
AB - For beginners in the field, this review highlights the key features of the genome
browser at UCSC for data display, and provides nearly step-by-step procedures for
creating publication quality maps. The browser offers an engine (Blat) for
searching a known genomic DNA for correspondence with protein and DNA sequences
specified by the user. The results provide links to graphical displays, known as
maps. Users can create "designer maps" by adding Tracks to view various types of
data and specific landmarks. The browser offers an extensive list of options.
They include the position of annotated genes, the position of reference cDNA
sequences (RefSeq from GenBank), the position of alternatively spliced mRNA
species, and predictions derived from computational models to identify potential
transcription start sites and potential protein binding elements in genomic DNA.
Several tracks can be tailored for comparative genomics. The browser also offers
tracks for displaying large-scale experimental data including gene expression
profiles, exon chips, and single-nucleotide-polymorphisms.
PMID- 18058262
TI - Gl-NETs-uniform but also diverse.
AB - In the 100 years since the term Karzinoid was first coined by Siegfried
Oberndorfer to describe gastrointestinal tumors that resembled carcinomas but
pursued a relatively indolent course, these tumors have captured the attention,
not only of internists, surgeons, endocrinologists, and pathologists but of
biochemists, physiologists, geneticists, and molecular biologists as well.
Initially thought to be limited to the gut, these tumors were soon found to arise
in a variety of other organs as well. With the gradual evolution of the concept
of a dispersed neuroendocrine cell system and the recognition that it was made up
of a galaxy of at least 20 or so functionally distinct cell types (each of which
could potentially give rise to a specific type of tumor, each of which could in
turn be endocrinologically functional or silent), came the realization that
carcinoids should perhaps be considered as a family of neoplasms that, despite
sharing certain commonalities, can however, show significant heterogeneity among
themselves in some of their other features. While it may seem that our knowledge
of this fascinating group of tumors has improved significantly, a closer look
reveals that we may have just begun to scratch the surface.
PMID- 18058263
TI - Prognostic factors in gastrointestinal endocrine tumors.
AB - The gastrointestinal endocrine tumors are neoplastic lesions with often elusive
malignant clinical behavior. The current WHO classification attempted to define a
more effective approach by introducing the concepts of cell differentiation and
site-specific malignancy, as well as specific criteria for carcinoma definition.
WHO clinicopathological correlations embed the prognostic features: degree of
cell differentiation, angioinvasion, proliferation fraction as assessed by
mitotic index and Ki67, size, and functional activity. Other prognostic variables
have been recognized, most of which related to specific biological features of
neuroendocrine cancer cells. Nonetheless, the presence of liver or distant
metastases are the prognostic variables ultimately determining the patients' fate
in terms of survival and/or therapy response. A recent proposal of tumor grading
and tumor, nodes, and metastases (TNM) staging aims at a simple and practical
system for patients stratification. Application of such proposal should be
implemented in routine clinical practice.
PMID- 18058264
TI - Endocrine precursor lesions of gastroenteropancreatic neuroendocrine tumors.
AB - This review focuses on precursor lesions of gastrointestinal and pancreatic
neuroendocrine tumors (GEP-NETs). There are three conditions that are associated
with hyperplastic changes in endocrine cells preceding GEP-NETs: autoimmune
chronic atrophic gastritis or multiple endocrine neoplasia type 1 (MEN1) with
gastric enterochromaffin-like (ECL) cell hyperplasia; MEN1 with gastrin and
somatostatin cell hyperplasia in the duodenum and glucagon cell hyperplasia in
the islets of the pancreas; and inflammatory bowel disease with endocrine cell
hyperplasia in the colon. In gastric ECL cell hyperplasia, it is assumed that
hypergastrinemia promotes the growth of the ECL cells of the corpus mucosa and
leads to hyperplasia and neoplasia. In the duodenum and the pancreas, the MEN1
associated germline mutation of the menin gene obviously causes hyperplasia of
the gastrin and somatostatin cells (duodenum) and the glucagon cells (pancreas),
resulting in multifocal development of tumors. These tumors show allelic deletion
of the MEN1 gene, whereas the precursor lesions retain their heterozygosity. The
endocrine cell hyperplasia in the colon described in inflammatory bowel disease
has neither a genetic nor a definite hormonal background.
PMID- 18058265
TI - Differential expression of miRNAs in papillary thyroid carcinoma compared to
multinodular goiter using formalin fixed paraffin embedded tissues.
AB - microRNAs (miRNAs) are approximately 22 nt RNAs that negatively regulate target
gene expression. Their dysregulation has been implicated in the pathogenesis of a
number of human cancers, including papillary thyroid carcinoma (PTC). Whereas
previous studies using microarray technologies have largely relied on the ability
to procure fresh tissue at the time of surgery to characterize miRNA signatures
in PTC, we exploited the ability to procure sufficient miRNA from formalin-fixed
paraffin-embedded (FFPE) tissue to describe a series of miRNAs whose expression
is dysregulated in PTC compared to benign proliferative multinodular goiter
(MNG). We identified 13 miRNAs upregulated and 26 miRNAs downregulated in PTC
versus MNG. These include miRNA-21, miRNA-31, miRNA-221, and miRNA-222. Their
dysregulation was further validated by real time RT-PCR analysis in an
independent set of FFPE tissues. Many of these have previously been described in
fresh tissue studies as altered in PTC, confirming the utility of this approach.
These results further highlight the applicability of miRNA expression patterns as
potential markers of human cancer, and our results suggest that FFPE tissues are
suitable resources for such miRNA expression analyses. The ability to utilize
FFPE tissue in the molecular characterization of human malignancy will unlock a
rich resource for future cancer studies.
PMID- 18058266
TI - Somatotropes maintain their immature cells through Insulin-like growth factor I
(IGF-I).
AB - A pituitary tumor is considered to be composed of a heterogeneous population of
hormone-producing endocrine cells, folliculo-stellate (FS) cells, and potential
hormone-inactive progenitor cells to maintain a microenvironment such as that in
angiogenesis for tumor development cooperatively. However, the system that
maintains such a heterogeneous cell population has not been clarified yet. In the
present study, we examined the mechanism for maintaining a heterogeneous cell
population using two rat cell lines, MtT/S and MtT/E cells, which are known
growth hormone (GH)-producing cells, and their progenitor cells, respectively. We
found that conditioned medium of MtT/S cells could stimulate the growth of MtT/E
cells. In addition, GH and insulin-like growth factor I (IGF-I) stimulated the
growth of MtT/E cells. The messenger RNAs (mRNAs) of receptors for IGF-I and GH
were expressed in the MtT/E cells. Moreover, IGF-I receptor inhibitor AG1024
could abolish the growth stimulatory activity in the conditioned medium of MtT/S
cells. Therefore, we concluded that somatotropes (MtT/S) maintain their
progenitor cells (MtT/E) through the GH-IGF-I signaling and IGF-I directly, which
might be involved in the maintenance of progenitors of GH-producing cells and
might contribute to pituitary tumor development.
PMID- 18058267
TI - Follicular variant papillary thyroid carcinoma arising in struma ovarii.
AB - Struma ovarii is a rare monodermal ovarian teratoma in which thyroid tissue is
the predominant or exclusive element. Malignant transformation is known to occur,
usually as classical papillary thyroid carcinoma (PTC). However, the recognition
of follicular variant PTC raises the possibility of similar malignancy arising in
struma ovarii. We examined 13 cases of struma ovarii to determine if these
lesions can exhibit histological, immunohistochemical, and/or molecular features
of follicular variant PTC. Ten of these had atypical histology, cytologic
features of PTC, and all ten showed diffuse positivity for CK19; eight of these
were also positive for HMBE-1. Mutational analysis for BRAF identified no V600E
mutations. However, seven of the ten cases with features of follicular variant
PTC exhibited a rearranged in transformation (RET)/PTC rearrangement by reverse
transcriptase polymerase chain reaction (RT-PCR). The three cases considered to
be benign based on histologic and cytologic criteria were negative for CK19 and
HBME-1 by immunohistochemistry, and had no evidence of BRAF mutation or ret/PTC-1
and ret/PTC-3 rearrangements. These results indicate that follicular variant PTC
can occur in struma ovarii and that such lesions exhibit the same morphologic and
immunohistochemical profile as follicular variant PTC in thyroid. The application
of molecular testing to verify the diagnosis can be valuable, as these lesions
may harbor ret/PTC gene rearrangements.
PMID- 18058268
TI - Functional oncocytic adrenocortical carcinoma.
AB - We present a case of oncocytic adrenocortical carcinoma in a 25-year-old man who
presented with persistent hypertension, hypokalemia, and a large right adrenal
mass. Clinical workup revealed increased serum aldosterone level, suppressed
serum ACTH level and high 24-h urine cortisol. Histologically the tumor showed
several features of malignancy and electron microscopy confirmed oncocytic
differentiation. This case is reported as the first case of an aldosterone and
cortisol-producing malignancy with an oncocytic phenotype.
PMID- 18058273
TI - Alcohol septal ablation for hypertrophic obstructive cardiomyopathy: focus on
safety.
PMID- 18058274
TI - Is alcohol ablation of the septum associated with recurrent tachyarrhythmias?
AB - QUESTIONS UNDER STUDY: Alcohol ablation (AA) of the septum has been introduced as
new therapy in hypertrophic cardiomyopathy (HCM). It was feared that iatrogenic
myocardial infarction due to AA may induce re-entry tachyarrhythmias and increase
sudden cardiac death. METHODS AND RESULTS: Twenty-four patients (mean age 52
years) underwent successful AA. Clinical follow-up (FU) ranged from 0.3 to 0.7
years (mean 2.8). One patient died (suicide) 4 years after AA. Left ventricular
(LV) outflow gradient (peak-to-peak) decreased (median) after AA from 43 (IQR 25
to 4) mmHg to 1 (IQR 0 to 12) mmHg (rest) (p <0.001) and from 130 (IQR 75 to 165)
mmHg to 13 (IQR 0 to 31) mmHg (postextrasystolic) (p <0.001). Transient AV block
occurred in 22% (5/24) necessitating temporary pacing. A permanent pacemaker was
implanted in 4% (1/24). NYHA-class was 2.5 (IQR 2.0 to 3.0) before and 1.5 (IQR
1.3 to 2.0) (p <0.001) after AA. During FU, 2 pacemakers were implanted due to
bradycardia (no AV block). A right bundle branch block was found in 13% (2/24)
before and 46 % (11/24) after AA (p = 0.003). Non-sustained ventricular
tachycardia (NSVT) was observed in 13% (2/16) before and 22% (5/23) (p = 0.46)
after AA. Two patients required ICD implantation. CONCLUSIONS: Long-term FU is
excellent in HCM after AA. The pressure gradient drops below 25 mm Hg in 95%
(23/24) of all patients. Transient AV block occurs in 22% (5/24), but permanent
pacemaker implantation is rarely needed (13%, 2/24). Severe NSVT occurs in 13%
(2/16) before and 22% (5/23) after AA but ICD implantation is only occasionally
required.
PMID- 18058275
TI - Efficacy and safety of universal valganciclovir prophylaxis combined with a
tacrolimus/mycophenolate-based regimen in kidney transplantation.
AB - BACKGROUND: Immunosuppressive and antiviral prophylactic drugs are needed to
prevent acute rejection and infection after transplantation. We assessed the
efficacy and safety of the introduction of universal valganciclovir prophylaxis
in combination with a tacrolimus/mycophenolate-based regimen in kidney
transplantation at our centre. METHODS: We reviewed all consecutive patients who
underwent kidney transplantation over a 5.5-year period. Patients transplanted
from January 2000 to March 2003 (period 1) were compared to patients from April
2003 to July 2005 (period 2). In period 1 patients were treated with basiliximab,
cyclosporine, steroids and mycophenolate (or azathioprine). Prophylaxis with
valacyclovir was prescribed in cytomegalovirus (CMV) D+/R- patients, while any R+
patients were managed with a preemptive approach. In period 2, immunosuppression
consisted of basiliximab or thymoglobulin induction, tacrolimus, steroids and
mycophenolate. Three-month CMV prophylaxis with valganciclovir was used in all at
risk patients. RESULTS: Data analysis included 73 patients (period 1) and 70
(period 2). Acute rejection was more frequent in period 1 than in period 2 (42%
vs 7%, p <0.001). Overall, 30% of patients in period 1 were diagnosed with CMV
infection/disease requiring antiviral treatment, compared with 11.4% in period 2
(p = 0.003). Late-onset CMV disease remained a problem in D+/R- patients in both
periods. There was no difference in incidence of BK virus nephropathy, fungal
infections, PTLD, graft loss or mortality. However, 4 cases (5.7%) of delayed
transient asymptomatic agranulocytosis were observed in period 2. CONCLUSIONS:
The present analysis indicates that the combined regimen introduced in period 2
improved clinical results with a significant decrease in acute rejection and in
CMV infection/disease incidence. However, a unique syndrome of delayed transient
agranulocytosis probably due to drug myelotoxicity was observed in a subset of
patients.
PMID- 18058276
TI - Missed appointments in an adolescent outpatient clinic: descriptive analyses of
consultations over 8 years.
AB - QUESTION UNDER STUDY: Missed appointments represent an important medical and
economical issue. Few studies on the subject are reported in the literature,
particularly regarding adolescents. Our aim was to characterize missed and
cancelled appointments in a multidisciplinary outpatient clinic for adolescents,
to assess the effectiveness of a policy aimed at reducing missed appointments by
introducing payment for those missed appointments not cancelled in advance, and
to compare the rates between staff and resident physicians. METHODS: A total of
32,816 consultations (representing 35 patients aged 12-20 years, 82.4% females)
between 1999 and 200 were analysed. RESULTS: The missed appointment rate was
11.8% whilst another 10.9% were cancellations. Females cancelled more than males
(11.3% vs. 8.4%, AOR 1.31, 99% CI 1.08-1.59), but there was no difference for
missed appointments (11.6% vs. 12.3%, AOR 0.88, 99% CI 0.61-1.08). April and June
to October (vacation months) were associated with more missed appointments.
Globally mornings had higher rates of missed appointments than afternoons (13.6%
vs. 11.2%, AOR 1.25, 99% CI 1.11-1.40). There was a slight difference in missed
appointment rates between staff physicians and residents (10.4%; 11.8%, AOR 1.20,
99% CI 1.08-1.33). Missed appointment rates before and after the new policy on
missed appointments were similar (1999-2003: 11.9%; 2004-2006: 11.6%, AOR 0.96,
99% CI 0.83-1.10). Conversely, cancellation rates increased from 8.4% (1999-2003)
to 14.5% (2004-2006) (AOR 1.83, 99% CI 1.63-2.05). CONCLUSION: Attendance rates
among adolescents show variations depending on vacation and school hours. Being
attentive to these factors could help prevent missed appointments. Although
having to pay for missed appointments does not increase attendance, it increases
cancellations with the advantage that the appointment can be rescheduled.
PMID- 18058277
TI - Radiosurgery for trigeminal neuralgia using a linear accelerator with BrainLab
system: report on initial experience in Lausanne, Switzerland.
AB - BACKGROUND/AIMS: Radiosurgery is an effective treatment for trigeminal neuralgia
(TN) with minimal complications. Most experience is based on gamma knife
radiosurgery (GKRS) and to a lesser extent on linear accelerators. METHODS: We
report our initial experience in 17 patients with TN treated by an adapted linear
accelerator using the BrainLab system. The trigeminal root entry zone immediately
adjacent to the pons (target volume: 0.01-0.09 cm3, mean: 0.02 cm3) was targeted
by use of a multileaf collimator to deliver 40-45 Gy to the 80% isodose (dose max
50-56 Gy). Median follow-up was 12 months (range: 1-60). RESULTS: All patients
reported some initial improvement in level of pain after treatment (mean time: 1
month). Initial pain responses were as follows: 6 patients (35%) had complete
pain relief and required no medication, 6 (35%) had occasional pain but were off
medication, and 5 (30%) experienced partial relief of pain but still required
medication, usually in lower doses. Five patients (29%) who experienced initial
pain relief had recurrences ranging from 4-13 months after procedure. There were
no major or minor complications of radiosurgery except one case of mild facial
itching. CONCLUSION: Stereotactic radiosurgery using a linear accelerator appears
to be effective and can be a favourable alternative to other procedures,
including GKRS. The procedure is very safe and side effects are rare and minor.
However, a randomised trial with a longer follow-up comparing radiosurgery to
other surgical procedures is needed to assess the long term effectiveness of this
treatment.
PMID- 18058278
TI - Editorial: sharpening the focus in mood disorders: from disease models to
individualized measurement-based care.
PMID- 18058279
TI - Interpreting antidepressant clinical trials.
AB - BACKGROUND: Psychiatrists and other clinicians make decisions about
antidepressant medications with little understanding on how to interpret the
research literature. METHODS: Pertinent clinical literature is reviewed. RESULTS:
The author reviews levels of evidence, study design, statistical significance, p
values, defining outcomes, drop outs, and basic analytic strategies such as last
observation carried forward and mixed-effects model repeated measures. Several
recent clinical trials are dissected to illustrate these concepts. CONCLUSIONS:
Clinicians need to develop greater sophistication at interpreting research
findings. No single study is definitive, and comparative antidepressant trials
suffer from low statistical power.
PMID- 18058280
TI - Managing depression in geriatric populations.
AB - BACKGROUND: Late life depression is an increasingly acute public health concern
due to the quickly expanding population of elderly in the US. The last few years
has witnessed an explosion in the research literature changing our understanding
of this disease. METHODS: Published studies over the past decade focusing on the
epidemiology, phenomenology, comorbidity, and treatment of depression in the
elderly were reviewed. RESULTS: The prevalence and phenomenology of depression in
late-life varies with age. It remains highly prevalent in the elderly population,
and certain vulnerable populations of older adults are at special risk. Further,
the morbidity of late-life depression on physical health, social support systems,
and overall functioning is considerable, making depression a leading cause of
disability in elderly adults and a risk factor for mortality and suicide as well.
Findings suggest a heterogeneity in etiology and in treatment response among
older adults with depression, and differences from younger adults as well.
CONCLUSIONS: This paper reviews our current understanding of late life depression
and the implications for treatment and prevention. In addition, we review current
research questions and future considerations in this field.
PMID- 18058281
TI - Seasonal affective disorder: a clinical update.
AB - BACKGROUND: Seasonal affective disorder (SAD) consists of recurrent major
depressive episodes in the fall/winter with remissions in spring/summer. METHOD:
A Medline search was conducted to identify studies relating to clinical
management of SAD using the Medical Subject Heading, seasonal affective disorder,
and key words, depress* and season*, focusing on studies published in the past 10
years. The Cochrane library of systematic reviews was also searched for relevant
studies. RESULTS: A careful history is important to make the diagnosis and
differentiate SAD from other similar conditions such as subsyndromal SAD and
atypical depression. Seasonal patterns with winter worsening are also recognized
in "nonseasonal" depression as well as many other psychiatric conditions, and
comorbidity with SAD is common. The pathophysiology of SAD seems to be
heterogeneous as research on circadian, neurotransmitter function and genetic
hypotheses have shown discrepant results. A dual vulnerability model with
differential loading on separate seasonal and depression factors has been
proposed to explain these findings. Recent systematic reviews have shown that
light therapy is an efficacious and well-tolerated treatment for SAD. There is
also evidence for efficacy of pharmacotherapy to treat and prevent SAD. Clinical
studies show equal effectiveness with light and antidepressants, so patient
preference should be considered in the selection of initial treatment. Dawn
stimulation, negative air ions, exercise and cognitve behaviour therapy are under
investigation and may also be helpful treatments for SAD. CONCLUSIONS: SAD is a
common condition with significant psychosocial impairment. Clinicians should be
vigilant in recognizing seasonal patterns of depressive episodes because there
are effective, evidence-based treatments for SAD.
PMID- 18058282
TI - Gender issues in depression.
AB - BACKGROUND: Gender differences in depression have been documented for many years
and thought to be insignificant to treatment selection until recently. METHODS:
This article reviews gender differences in the prevalence, presentation,
etiology, and antidepressant treatment of depressive disorders. RESULTS: The high
female to male sex ratio in the prevalence of depression, especially during the
reproductive years, is one of the most replicated findings in epidemiology. Women
more often have a seasonal component, anxious and atypical depression.
Explanations for the differences include psychological, neurochemical, anatomic,
hormonal, genetic, and personality factors. Gender differences in antidepressant
treatment response have not been found consistently. Hormonal status may be an
important variable in addition to the effects of the menstrual cycle, pregnancy,
perimenopause and menopause. CONCLUSIONS: Women have higher rates of depression
and can often present differently than do men. Further research can ascertain
which combination of factors increase women's risk. The effect of pregnancy and
the impact of the menstrual cycle on the course of all depressive disorders need
increased attention. Large prospective randomized controlled trials with gender
differences in treatment response as the primary endpoint are necessary in order
to answer the now controversial question of gender differences in antidepressant
treatment response.
PMID- 18058283
TI - Should Depressive Syndromes Be Reclassified as "Metabolic Syndrome Type II"?
AB - BACKGROUND: A nascent explanatory theory regarding the pathophysiology of major
depressive disorder posits that alterations in metabolic networks (e.g., insulin
and glucocorticoid signaling) mediate allostasis. METHOD: We conducted a PubMed
search of all English-language articles published between January 1966 and
September 2006. The search terms were: neurobiology, cognition, neuroprotection,
inflammation, oxidative stress, glucocorticoids, metabolic syndrome, diabetes
mellitus, insulin, and antidiabetic agents, cross-referenced with the individual
names of DSM-III-R/IV/-TR-defined mood disorders. The search was augmented with a
manual review of article reference lists; articles selected for review were
determined by author consensus. RESULTS: Disturbances in metabolic networks:
e.g., insulin-glucose homeostasis, immuno-inflammatory processes, adipokine
synthesis and secretion, intra-cellular signaling cascades, and mitochondrial
respiration are implicated in the pathophysiology, brain volumetric changes,
symptomatic expression (e.g., neurocognitive decline), and medical comorbidity in
depressive disorders. The central nervous system, like the pancreas, is a
critical modulator of the metabolic milieu and is endangered by chronic
abnormalities in metabolic processes. We propose the notion of "metabolic
syndrome type II" as a neuropsychiatric syndrome in which alterations in
metabolic networks are a defining pathophysiological component. CONCLUSION: A
comprehensive management approach for depressive disorders should routinely
include opportunistic screening and primary prevention strategies targeting
metabolically mediated comorbidity (e.g., cardiovascular disease). Innovative
treatments for mood disorders, which primarily target aberrant metabolic
networks, may constitute potentially novel, and disease-modifying, treatment
avenues.
PMID- 18058284
TI - Neuroimaging approaches in mood disorders: technique and clinical implications.
AB - BACKGROUND: Clinical research in mood disorders increasingly involves advanced
neuroimaging techniques. The encompassing aim of this review is to provide the
mental health care practitioner with a pragmatic understanding of neuroimaging
approaches and their possible clinical application. METHODS: We conducted a
literature search of English-language articles using the search terms, major
depressive disorder and bipolar disorder, cross-referenced with available
neuroimaging technologies and analytical approaches, The search was supplemented
with a manual review of relevant references. We organize the review by reviewing
frequently asked questions on the topic of neuroimaging by mental health-care
providers. RESULTS: Magnetic resonance (MR) approaches provide information on
white and gray matter pathology (segmentation), cellular metabolism (MRS), oxygen
consumption (BOLD), and neurocircuitry (DTI). Radionuclide-based neuroimaging
methodologies provide quantitative estimates of brain glucose metabolism,
regional blood flow, and ligand-receptor/transporter binding. Clinical
implications of neuroimaging methodologies are reviewed. CONCLUSIONS: Advances in
neuroimaging technology have refined models of disease pathophysiology in mood
disorders and the mechanistic basis of antidepressant action. Multivariate
analysis of functional and structural neuroimaging data, longitudinal analysis in
the depressed and remitted states, and inclusion of representative patients with
medical and psychiatric comorbidities will enhance the clinical translation of
future research findings.
PMID- 18058285
TI - Treatment resistant depression--advances in somatic therapies.
AB - BACKGROUND: The failure to achieve remission for patients with Major Depressive
Disorder (MDD) represents a major public health concern. Inadequately treated
depression is associated with higher rates of relapse, poorer quality of life,
deleterious personal and societal economic ramifications, as well as increased
mortality rates. Unfortunately, only a minority of patients achieves this goal
with initial antidepressant treatment and by convention, failure to achieve
response after two adequate trials of antidepressant therapy defines "Treatment
Resistant Depression" (TRD). Furthermore, results from the Sequenced Treatment
Alternatives to Relieve Depression (STAR*D) group of studies suggest that
approximately 50% of "real world" patients who meet criteria for MDD fail to
achieve remission, even after four carefully monitored sequenced treatments.
METHODS: Given these limitations of existing antidepressant medications alone and
in combination, together with improved understanding of the neural circuitry of
depression, it is not surprising that there is a renewed interest in
neuromodulation strategies for TRD. RESULTS: The purpose of this article is to
review the evidence for the inclusion of various non-pharmacological,
neuromodulatory strategies for TRD. Specifically, information regarding the
mechanism, tolerability and efficacy of electroconvulsive therapy (ECT), magnetic
seizure therapy (MST), repetitive transcranial magnetic stimulation (rTMS), vagal
nerve stimulation (VNS), and deep brain stimulation (DBS) in ameliorating TRD
will be presented. CONCLUSIONS: Although these treatments are at various stages
of clinical development, they represent a new frontier in expanding the treatment
options available for individuals with TRD, as well as contributing to a better
understanding the neurobiology of depressive disorders.
PMID- 18058286
TI - Medical co-morbidity in depressive disorders.
AB - BACKGROUND: Depression is much more prevalent among those with chronic medical
conditions compared to the general population of the United States. Depression is
recognized as a cause of increased morbidity and mortality and has been
associated with higher health care costs, adverse health behaviors, significant
functional impairment, lost work productivity, occupational disability and
increased health care utilization. METHOD: Searches of Medline, OVIDMedline,
PubMed and PsycINFO of all English-language articles published between 1966 and
2007 were conducted using the keywords mood disorders, medical comorbidity,
depression, antidepressant therapy. Supplemental references were manually
extracted from relevant articles and chapters. Reviews of mechanistic studies and
open label and randomized controlled trials of depression in patients with
medical co morbidities were reviewed. RESULTS: Depressive disorders are prevalent
among the medically ill and the relationship between depression and medical
illness may be bidirectional. Antidepressant medications are effective in the
treatment of depression in the medically ill. CONCLUSIONS: Depressive disorders
can adversely impact the course of medical illnesses. Available antidepressant
treatments are effective for the treatment of depression in the medically ill.
Early identification and treatment of depression in medical illness can
positively influence medical outcomes and quality of life.
PMID- 18058287
TI - Differentiating bipolar disorders from major depressive disorders: treatment
implications.
AB - BACKGROUND: Bipolar disorder is a highly prevalent mood disorder, frequently
misdiagnosed as unipolar major depressive disorder. METHODS: In order to
summarize the historical and clinical features that may distinguish bipolar
disorder and major depressive disorder, a MedLine search was conducted of all
English-language articles published between 1996 and 2006 using the key search
terms bipolar disorder and manic-depression cross-referenced with major
depressive disorder. RESULTS: Better methods for arriving at the correct
diagnosis of bipolar disorder include a clinical history that evaluates symptoms
beyond narrow DSM-IV criteria and the use of self-reported screening tools.
Twenty-six separate features were identified that are believed to aid in the
differentiation of bipolar disorder from unipolar major depressive disorder.
CONCLUSIONS: It is estimated that as many as 1 in 5 depressed outpatients may
have undeclared bipolar disorder. Recognition of bipolar disorder can be improved
by increasing the clinical acumen of diagnosticians and through the use of
screening tools.
PMID- 18058288
TI - Comparing features of bipolar disorder to major depressive disorder in a tertiary
mood disorders clinic.
AB - BACKGROUND: We sought to describe features that distinguish individuals with
bipolar disorder from major depressive disorder. METHODS: A retrospective chart
review of adult outpatients (N = 1000) seeking evaluation and treatment was
conducted at the Mood Disorders Psychopharmacology Unit (MDPU), University Health
Network, University of Toronto between October 2002 and November 2005 was
conducted. Sociodemographic parameters, illness-characteristics and therapeutic
interventions were evaluated and compared. RESULTS: The MDPU referring diagnosis
were major depressive disorder (52%), bipolar disorder (29%), and unspecified
(19%). Of all individuals with a non-bipolar entry diagnosis (n = 699), 23% (n =
159) were subsequently diagnosed with bipolar disorder (p < 0.001); the majority
of whom (n = 117, 74%) received a non-bipolar I disorder diagnosis [e.g. bipolar
II disorder (n = 71); bipolar NOS disorder (n = 46) (p < 0.001)]. Higher rates of
unemployment/disability, previous depressive episodes, psychiatric
hospitalization, comorbid hypertension, and lifetime substance use disorders, as
well as an earlier age of illness-onset were more frequently endorsed by
individuals with a diagnosis of bipolar disorder. Fifteen percent of individuals
who were newly-diagnosed with bipolar disorder reported a history of
antidepressant-associated mania. CONCLUSIONS: The majority of individuals with a
newly-diagnosed bipolar disorder at this tertiary center have a non-bipolar I
disorder (i.e., bipolar spectrum). Several indices of illness severity
differentiate individuals with bipolar disorder from major depressive disorder.
PMID- 18058300
TI - Barnacle reattachment: a tool for studying barnacle adhesion.
AB - Standard approaches for measuring adhesion strength of fouling organisms use
barnacles, tubeworms or oysters settled and grown in the field or laboratory, to
a measurable size. These approaches suffer from the vagaries of larval supply,
settlement behavior, predation, disturbance and environmental stress. Procedures
for reattaching barnacles to experimental surfaces are reported. When procedures
are followed, adhesion strength measurements on silicone substrata after 2 weeks
are comparable to those obtained using standard methods. Hydrophilic surfaces
require reattachment for 2-4 weeks. The adhesion strength of barnacles in
reattachment assays was positively correlated to results obtained from field
testing a series of experimental polysiloxane fouling-release coatings (r =
0.89). The reattachment method allows for precise barnacle orientation, enabling
the use of small surfaces and the potential for automation. The method enables
down-selection of coatings from combinatorial approaches to manageable levels for
definitive field testing. Reattachment can be used with coatings that combine
antifouling and fouling-release technologies.
PMID- 18058301
TI - Methylphenidate poisoning: an evidence-based consensus guideline for out-of
hospital management.
AB - A review of US poison center data for 2004 showed over 8,000 ingestions of
methylphenidate. A guideline that determines the conditions for emergency
department referral and prehospital care could potentially optimize patient
outcome, avoid unnecessary emergency department visits, reduce health care costs,
and reduce life disruption for patients and caregivers. An evidence-based expert
consensus process was used to create the guideline. Relevant articles were
abstracted by a trained physician researcher. The first draft of the guideline
was created by the lead author. The entire panel discussed and refined the
guideline before distribution to secondary reviewers for comment. The panel then
made changes based on the secondary review comments. The objective of this
guideline is to assist poison center personnel in the appropriate out-of-hospital
triage and initial out-of-hospital management of patients with suspected
ingestions of methylphenidate by 1) describing the process by which a specialist
in poison information should evaluate an exposure to methylphenidate, 2)
identifying the key decision elements in managing cases of methylphenidate
ingestion, 3) providing clear and practical recommendations that reflect the
current state of knowledge, and 4) identifying needs for research. This review
focuses on the ingestion of more than a single therapeutic dose of
methylphenidate and the effects of an overdose and is based on an assessment of
current scientific and clinical information. The expert consensus panel
recognizes that specific patient care decisions may be at variance with this
guideline and are the prerogative of the patient and the health professionals
providing care, considering all of the circumstances involved. This guideline
does not substitute for clinical judgment. Recommendations are in chronological
order of likely clinical use. The grade of recommendation is in parentheses. 1)
All patients with suicidal intent, intentional abuse, or in cases in which a
malicious intent is suspected (e.g., child abuse or neglect) should be referred
to an emergency department (Grade D). 2) In patients without evidence of self
harm, abuse, or malicious intent, poison center personnel should elicit
additional information including the time of the ingestion, the precise dose
ingested, and the presence of coingestants (Grade D). 3) Patients who are
chronically taking a monoamine oxidase inhibitor and who have ingested any amount
of methylphenidate require referral to an emergency department (Grade D). 4)
Patients experiencing any changes in behavior other than mild stimulation or
agitation should be referred to an emergency department. Examples of moderate to
severe symptoms that warrant referral include moderate-to-severe agitation,
hallucinations, abnormal muscle movements, headache, chest pain, loss of
consciousness, or convulsions (Grade D). 5) For patients referred to an emergency
department, transportation via ambulance should be considered based on several
factors including the condition of the patient and the length of time it will
take for the patient to arrive at the emergency department (Grade D). 6) If the
patient has no symptoms, and more than 3 hours have elapsed between the time of
ingestion and the call to the poison center, referral to an emergency department
is not recommended (Grade D). 7) Patients with acute or acute-on-chronic
ingestions of less than a toxic dose (see recommendations 8, 9, and 10) or
chronic exposures to methylphenidate with no or mild symptoms can be observed at
home with instructions to call the poison center back if symptoms develop or
worsen. For acute-on-chronic ingestions, the caller should be instructed not to
administer methylphenidate to the patient for the next 24 hours. The poison
center should consider making a follow-up call at approximately 3 hours after
ingestion (Grade D). 8) Patients who ingest more than 2 mg/kg or 60 mg, whichever
is less, of an immediate-release formulation (or the equivalent amount of a
modified-release formulation that has been chewed) should be referred to an
emergency department (Grade C). 9) If a patch has been swallowed, consider the
entire contents of the patch (not just the labeled dose of the patch) to have
been ingested. Patients who ingest more than 2 mg/kg or 60 mg, whichever is less
should be referred to an emergency department. If it is known that the patch has
been chewed only briefly, and the patch remains intact, significant toxicity is
unlikely and emergency department referral is not necessary (Grade D). 10)
Patients who ingest more than 4 mg/kg or 120 mg, whichever is less, of an intact
modified-release formulation should be referred to an emergency department (Grade
D). 11) For oral exposures, do not induce emesis (Grade D). 12) Pre-hospital
activated charcoal administration, if available, should only be carried out by
health professionals and only if no contraindications are present. Do not delay
transportation in order to administer activate charcoal (Grade D). 13)
Benzodiazepines can be administered by EMS personnel if agitation, dystonia, or
convulsions are present and if authorized by EMS medical direction expressed by
written treatment protocol or policy or direct medical oversight (Grade C). 14)
Standard advanced cardiac life support (ACLS) measures should be administered by
EMS personnel if respiratory arrest, cardiac dysrhythmias, or cardiac arrest are
present and if authorized by EMS medical direction expressed by written treatment
protocol or policy or direct medical oversight (Grade C).
PMID- 18058302
TI - Does irritation potency contribute to the skin sensitization potency of contact
allergens?
AB - Chemicals that possess the capacity to cause skin sensitization have long been
recognized to be reactive (electrophilic) or at least the precursor of an
electrophile. The chemical species (hapten) covalently bound to skin protein then
forms the antigen to which the immune system responds, with sufficient exposure
ultimately leading to skin sensitization. However, for this process to occur,
many have also considered that in addition to haptenation of skin protein,
secondary stimuli (danger signals) are also necessary. Such signals might
reasonably be expected to derive from keratinocytes and/or Langerhans cells
perturbed by the chemical sensitizer. Whether this disturbance comes from the
haptenation process itself or from other properties of the chemical is unknown.
We hypothesized that chemicals that were stronger sensitizers might appear so, in
part, as a consequence not only of greater (pro)electrophilic reactivity, but
also if they were more able to produce inflammatory (danger) signals. To assess
this, the sensitizing potency of 55 chemicals in the local lymph node assay was
compared with their ability to produce pro-inflammatory signal release, measured
as a function of their relative skin irritancy in guinea pigs. A limited trend
was demonstrated, consistent with the hypothesis, but indicating that either skin
irritation is a poor measure of danger signals, or that such signals are perhaps
no more than a necessary requirement for the acquisition of skin sensitization
rather than a key determinant of the relative potency of a skin sensitizing
chemical. In addition, it is possible that irritancy alone does not represent a
complete surrogate marker for the ability of a chemical to produce danger signals
relevant to the induction of skin sensitization.
PMID- 18058303
TI - Comedogenicity in rabbit: some cosmetic ingredients/vehicles.
AB - The rabbit external ear canal was used to define which chemicals caused comedone
formation on topical application. Some of the tested ingredients are currently
used in topically applied formulations. Certain raw materials have been shown to
produce follicular hyperkeratosis in the rabbit ear assay. This study quantifies
comedogenic potential of cosmetic materials, including: isopropyl palmitate,
isopropyl myristate, butyl stearate, isopropyl isostearate, decyl oleate,
isostearyl neopentanoate, isocetyl stearate, myristle myristate, cocoa butter,
cetyl alcohol, paraffin, stearyl alcohol sodium lauryl sulfate (SLS), and
petrolatum. The first nine were deemed positive. Factors aiding clinical
relevance are listed.
PMID- 18058304
TI - The local lymph node assay: current position in the regulatory classification of
skin sensitizing chemicals.
AB - The local lymph node assay (LLNA) is being used increasingly in the
identification of skin sensitizing chemicals for regulatory purposes. In the
context of new chemicals legislation (REACH) in Europe, it is the preferred
assay. The rationale for this is that the LLNA quantitative and objective
approach to skin sensitization testing allied with the important animal welfare
benefits that the method offers. However, as with certain guinea pig
sensitization tests before it, this increasing use also brings experience with an
increasingly wide range of industrial and other chemicals where the outcome of
the assay does not always necessarily meet with the expectations of those
conducting it. Sometimes, the result appears to be a false negative, but rather
more commonly, the complaint is that the chemical represents a false positive.
Against this background we have here reviewed a number of instances where false
positive and false negative results have been described and have sought to
reconcile science with expectation. Based on these analyses, it is our conclusion
that false positives and false negatives do occur in the LLNA, as they do with
any other skin sensitization assay (and indeed with all tests used for hazard
identification), and that this occurs for a number of reasons. We further
conclude, however, that false positive results in the LLNA, as with the guinea
pig maximization test, arise most commonly via failure to distinguish what is
scientifically correct from that which is unpalatable. The consequences of this
confusion are discussed in the article, particularly in relation to the need to
integrate both potency measurement and risk assessments into classification and
labelling schemes that aim to manage potential risks to human health.
PMID- 18058305
TI - Comparative study of Unna's Boot and betamethasone cream in the treatment of
sulfur mustard-related pruritus.
AB - Pruritus, as a chronic lesion caused by sulfur mustard, is a common problem among
chemical weapons veterans. Numerous treatments like antihistamines, local
anesthetics, and corticosteroids have been prescribed in order to control
pruritus in these patients, while long- term and widespread use of each one of
them has its own restrictions. Nowadays different mixtures, including Unna's
Boot, are being used. They are effective and have limited adverse effects. So in
this study we compared this product with corticosteroids. In this double-blind
randomized clinical trial in Baqyiatallah hospital, 90 veterans were included and
randomly divided into three groups. Subjects of each group received one of the
Betamethasone %1 cream, Unna's Boot cream, or placebo cream for three weeks. They
used their medication on an itching area of body, one finger tip unit every
night. To evaluate the drugs' efficacy we used pruritus score index and Visual
Analysis Score index. From 90 patients, 75 patients completely used the
medication and the other 15 subjects were excluded from the study. All three
drugs caused significant decrease in both pruritus score and VAS (p < .001).
Betamethasone and Unna's Boot were significantly more effective than placebo and
despite more efficacy of betamethasone rather than Unna's Boot, they did not have
any significant differences (p > .05). Pruritus is a chronic lesion in veterans
that needs long-term conservation treatment. Regarding definite side effects of
local long-term therapy with corticosteroids and nearly equal efficacy of Unna's
Boot and betamethasone, Unna's Boot seems to be a better choice in controlling
sulfur mustard-related pruritus compared with betamethason.
PMID- 18058306
TI - Corneal epithelial testing strategies for safety evaluation of ophthalmic
formulations.
AB - The toxicity of an ophthalmic formulation was tested both in vivo and in vitro.
Initial tests on transformed human corneal epithelial (HCE-T) cells in monolayer
cultures resulted in adverse effects on cell morphology. The adverse effects were
unexpected since the formulation caused no damage to the cornea in vivo. These
results suggested HCE-T monolayers do not adequately model the intact corneal
epithelium. Therefore, further in vitro studies were conducted to investigate
reversibility of morphologic changes, proliferation, cell viability, and effects
on corneal epithelial barrier function. These tests showed that the formulation
had no adverse effects on cell viability and proliferation. Multilayered cultures
of HCE-T cells at an air interface provide a morphologic and physiologic model
more relevant to the in vivo cornea. This study demonstrates the importance of
selecting appropriate models when conducting in vitro toxicity studies so that
potentially effective ophthalmic formulations are not rejected based on false
positive in vitro endpoints.
PMID- 18058307
TI - Hormesis [biological effects of low-level exposure (B.E.L.L.E.)] and dermatology.
AB - Hormesis is characterized by nonmonotonic dose response that is biphasic,
displaying opposite effects at low and high doses. Its occurrence has been
documented across a broad range of biological models and diverse types of
exposure. The effects of hormesis at various points can be beneficial or
detrimental, depending on the context in which they occur. Because hormesis
appears to be a relatively common phenomenon in many areas, the objective of this
review is to explore its occurrence related to dermatology and its public health
and risk assessment implication. Hormesis appears to be a common phenomenon in
dermatology. Better understanding of this phenomenon will likely lead to
different strategies for risk assessment process employed in the fields of
dermatologic toxicology and pharmacology. More focus should be redirect from
looking only at adverse effects at high levels of exposure to characterizing the
complex biological effects, both adverse and beneficial, at low levels of
exposure. Low-dose toxicology and pharmacology will not only provide a
significant research challenge but also should contribute to better methods for
low-dose risk assessment for complex mixtures of chemical compounds. This
refocusing from high- to low-dose effects will shift the focus in the field of
toxicology from emphasizing on adverse effects into studying the biological
effects of chemical compounds on living organisms, taking into account the
realization that the ultimate biological effect of a chemical may vary with its
dose, the endpoint, the target organ considered, the interaction with other cell
types/systems, and/or the combined exposure with other chemicals. The skin, with
its ready accessibility, and its own areas of non-invasive technology, should
provide fertile options to not only understand skin, but further explore
practical implications in human and animal. We believe that hormesis is a common
phenomenon and should be given detailed consideration to its concept and its risk
assessment implications, and how these may be incorporated into the experimental
and regulatory processes in dermatology. The skin, with its unique
characteristics, its accessibility, and the availability of non-invasive
bioengineering and DNA microarray technology, will be a good candidate to extend
the biology of hormesis.
PMID- 18058308
TI - Structural characteristics of the aging skin: a review.
AB - As life expectancy in industrialized countries increases, appropriate care of
elderly skin looms as a dermatologic priority. Skin aging is a complex,
multifactorial process whose baseline rate is genetically determined but that may
be accelerated by environmental, mechanical, or socioeconomic factors. The
intrinsic structural changes that occur with the aging of the skin increase skin
fragility, decrease the ability of the skin to heal, increase risk for
toxicological injuries, promote the development of various cutaneous disorders,
and produce aesthetically undesirable effects like wrinkling and uneven
pigmentation. As aged patients represent a larger segment of the population,
increased attention to the problems of the aged skin, both cosmetic and beyond,
will be necessary and should build on currently successful interventions to
improve their quality of life.
PMID- 18058309
TI - In vitro approaches to the identification and characterization of skin
sensitizers.
AB - Allergic contact dermatitis (ACD) is to a considerable extent a preventable
disease. Limitation of ACD can be achieved by correct detection of skin
sensitizers, characterization of potency, understanding of human skin exposure,
and the application of adequate risk assessment and management strategies. A
range of methods now exist that have been proven to be very accurate in terms of
the predictive identification of chemicals that possess skin sensitizing
properties. In addition, certain methods, notably the local lymph node assay
(LLNA), also deliver valuable information of the relative potency of identified
sensitizers. Great use can be made of this potency information in the application
of quantitative risk assessments (although of course such assessments depend also
on the availability of accurate data on human skin exposure). However, the
challenge now to be faced is how to obtain the same quality of information on the
potency of skin sensitizing chemicals using solely in vitro and in silico
methods. With the forthcoming elimination of in vivo tests, the opportunities
being exploited for in vitro test development focus on key elements of the
sensitization process, such as peptide binding and dendritic cell activation.
What has to then be addressed is how information from such in vitro assays is
integrated, together with data on epidermal bioavailability, to deliver an
assessment of the allergen potency.
PMID- 18058310
TI - Guinea pig maximization test assessment of hydrocortisone and tixocortol
pivalate.
AB - The anti-inflammatory properties of topical corticosteroids are well documented;
additionally, their sensitization potential is also known. We aimed to assess the
relative sensitization potential of hydrocortisone and tixocortol pivalate in an
animal assay as it relates to potential for sensitization in humans. Using the
guinea pig maximization test (GPMT), animals were sensitized intradermally on d0,
and again topically on d7. On d21 the animals were challenged topically with a
closed patch for 24 h and readings were taken 24 h and 48 h post-challenge. A
sham control group received the same induction and challenge applications
excluding the test agent. Animals were subsequently rechallenged with open
application; the tixocortol pivalate group was further retested at different test
agent concentrations to determine threshold concentration that elicited response.
Tixocortol pivalate resulted in sensitization rates of 42% (24 h) and 80% (48 h);
hydrocortisone exhibited 0% (24 h) and 5% (48 h). Scores ranged from 0% (sham
group) to 2.4 (48 h tixocortol pivalate). Open rechallenge also resulted in
greater tixocortol pivalate sensitization rates compared to hydrocortisone, 82%
verse 16% at 48 h, respectively. All tested concentrations of tixocortol pivalate
induced sensitization, albeit at differing rates dependant on concentration and
timepoint. We conclude that the GPMT remains largely for hazard identification,
as it was originally designed, and requires further data sets regarding
quantitative induction and elicitation for risk assessment of various compounds
in clinical implications.
PMID- 18058311
TI - Cell lines and transgenic mice expressing a matrix metalloproteinase-9 promoter
driven reporter gene: potential for assay of ultraviolet light effects and light
inhibiting compounds.
AB - Acute and chronic exposure to ultraviolet (UV) wavelengths in sunlight can cause
adverse reactions in exposed areas of the skin and corneas. UV exposure up
regulates the synthesis of Matrix Metalloproteinses (MMPs) and evidence suggests
these enzymes mediate tissue damage. Therefore MMP gene activity can serve as a
surrogate marker for bioassays. In this study, we tested the possible utility for
this purpose of two stably transfected cell lines (from mouse keratinocytes and
rabbit epithelial-like corneal cells) and a transgenic mouse line (line 3445),
each harboring a DNA construct containing a bacterial beta-galactosidase (LacZ)
reporter gene driven by the rabbit MMP-9 transcriptional promoter. We observed
only a weak 2-fold maximal induction of LacZ reporter gene expression in the
mouse epidermal cell line after exposure to UV-B irradiation (5, 10, 40 mJ/cm2)
and no significant expression of the reporter gene in the rabbit epithelial-like
cell line. Similarly negative results were obtained when primary corneal
epithelial cells from human and rabbit were exposed to different doses of UV-B
irradiation and endogenous MMP-9 gene expression was assayed by zymography and
immunoprecipitation analysis. In contrast, when skin from 3-day-old transgenic
mouse line 3445 was exposed to UV-B and UV-A, a clear dose-dependent induction of
the LacZ reporter gene occurred and the location of gene expression was dependent
on the wave-length of irradiation. These results suggest that line 3445
transgenic mice may serve as a useful tool to quantitatively and qualitatively
assess the biological effects of UV light and the efficacy of therapeutic agents.
PMID- 18058312
TI - Conjugates of unsaturated fatty acids with propylene glycol as potentially less
irritant skin penetration enhancers.
AB - Fatty acids (FA) are well known as efficient enhancers for transdermal delivery
of drugs; however, their frequent dermal toxicity limits their regular use. In
order to utilize the fatty acid as a safe enhancer devoid of its irritant effect,
we have synthesized and evaluated a series of fatty acids conjugated to propylene
glycol (FA-PG). Each one of the conjugates was prepared as a mono- or di- acyl
ester derivative. The effects of the synthetic enhancers on the porcine skin
permeability were evaluated in a diffusion cell system using lidocaine as the
model drug. In addition, in vivo examinations in rabbits were preformed for skin
toxicological evaluation. The results indicate that among the FA-PG conjugates,
oleic acid (C18:1(n-9))-PG, linoleic acid (C18:2(n-6))-PG and alpha-linolenic
acid (C18:3(n-3))-PG, mono- or di-esters, enhance the penetration of lidocaine
relatively to the vehicle (without enhancer). The conjugates of oleic acid
(C18:1(n-9)) and linoleic acid (C18:2(n-6)) with PG have demonstrated a similar
enhancing effect as the corresponding free fatty acids. Interestingly, although
the mono- or the di- conjugates of alpha-linolenic acid (C18:3(n-3)) with PG
enhanced the lidocaine flux as the other two fatty acid conjugates, they resulted
in a reduced permeability as compared to the action of their free acid. In
addition, the mono-conjugates of alpha-linolenic acid (C18:3(n-3)) with PG
exhibited elevated skin irritation in rabbits (relative to the fatty acid alone)
compared to the significantly reduced irritation of oleate-PG and linoeate-PG
mono-conjugates. In conclusion, except saturated FA-PG and alpha-linolenic acid
(C18:3(n-3)) - PG mono-conjugates, unsaturated fatty acids (e.g., oleic and
linoleic acids) after conjugation to PG may be safe and effective enhancers for
delivering topical drugs.
PMID- 18058313
TI - Formulation compatibility of myristyl nicotinate with drugs used to treat
dermatological conditions involving an impaired skin barrier.
AB - A number of dermatology conditions including skin photodamage, atopic dermatitis,
and rosacea involve skin barrier impairment and first line therapies for these
conditions including retinoids and steroids further impair skin barrier function.
We have evaluated the compatibility of myristyl nicotinate, an agent that
enhances skin barrier function, with drugs used to treat conditions where skin
barrier impairment is present including photodamage (retinoic acid), atopic
dermatitis (hydrocortisone, triamcinolone acetonide), rosacea (metronidazole),
and seborrheic dermatitis (ketoconazole). Myristyl nicotinate was found to be
compatible with each of the drugs examined when formulated together and also was
shown to be photocompatible with retinoic acid. Our results suggest that the
combination of myristyl nicotinate with these drugs is a feasible therapeutic
development strategy.
PMID- 18058314
TI - Formulation design of an HPMC-based sustained release tablet for pyridostigmine
bromide as a highly hygroscopic model drug and its in vivo/in vitro dissolution
properties.
AB - Pyridostigmine bromide (PB), a highly hygroscopic drug was selected as the model
drug. A sustained-release (SR) tablet prepared by direct compression of wet
extruded and spheronized core pellets with HPMC excipients and exhibited a zero
order sustained release (SR) profile. The 2(3) full factorial design was utilized
to search an optimal SR tablet formulation. This optimal formulation was followed
zero-order mechanism and had specific release rate at different time intervals
(released % of 1, 6, and 12 hr were 15.84, 58.56, and 93.10%). The results of
moisture absorption by Karl Fischer meter showed the optimum SR tablet could
improve the hygroscopic defect of the pure drug (PB). In the in vivo study, the
results of the bioavailability data showed the T(max) was prolonged (from 0.65 +/
0.082 hr to 4.83 +/- 1.60 hr) and AUC(0-t) (from 734.88 +/- 230.68 ng/ml.hr to
1153.34 +/- 488.08 ng/ml.hr) and was increased respectively for optimum PB-SR
tablets when compared with commercial immediate release (IR) tablets.
Furthermore, the percentages of in vitro dissolution and in vivo absorption in
the rabbits have good correlation. We believe that PB-SR tablets designed in our
study would improve defects of PB, decrease the frequency of administration and
enhance the retention period of drug efficacy in vivo for personnel exposed to
contamination situations in war or terrorist attacks in the future.
PMID- 18058315
TI - A long acting ophthalmic gel formulations of atenolol.
AB - The main aim of pharmacotherapeutics, is the attainment of an effective drug
concentration at the intended site of action for a sufficient period of time to
elicit the response. In this study a trial was made to formulate atenolol, which
is a beta-adrenergic blocker in a topical ophthalmic gel. Two polymers were used
in this study, carboxymethylcellulose and sodium alginate in different
concentrations. Atenolol was used in concentrations 0.5, 1, and 1.5% w/v. The in
vitro release study was carried out. The results showed that the release rate of
atenolol from gel preparations decreased as an inverse function of polymer
concentration, while the release rate of the drug increased as the initial
concentration increased. The data of drug release from the two polymers in
different concentrations was plotted against the square root of time, and the
diffusion coefficients (D), were calculated from the slope of the equation. Intra
ocular pressure (IOP) measurements of the rabbit's eye treated with 1% w/v
atenolol solution, and 1% w/v atenolol in two gel formulations with different
concentrations of the polymer were determined. The two gel formulations showed
that these polymers extended the duration of pressure reducing effect to 8 hr,
when compared with atenolol solution. Area above the curve (AAC), maximum
response, maximum time of response (t(max)), and the duration of action were
calculated. The overall results of this study indicated that the gel formulations
of atenolol could be used for the development of a long-acting ophthalmic
formulation.
PMID- 18058316
TI - Chiral separation of alkylamine antihistamines in pharmaceuticals by capillary
isotachophoresis with charged cyclodextrin.
AB - Cyclodextrin-mediated capillary isotachophoresis (ITP) in cationic regime of the
separation was developed for the separation and quantitation of alkylamine
antihistamine dimethindene (DIM) and pheniramine (PHM) enantiomers in various
pharmaceutical preparations (capsules, oral drops, gel, granulated powder).
Several electrolyte systems of different compositions and pH were examined. The
optimized chiral ITP electrolyte system was consisted of 10 mmol/L potassium
acetate adjusted to pH 4.8 with acetic acid, containing 4 mmol/L negatively
charged CE-beta-CD (chiral selector) as the leading electrolyte with
electroosmotic flow (EOF) suppressing additive, 0.2% (w/v)
methylhydroxyethylcellulose (m-HEC), and 5 mmol/L beta-alanine as the terminating
electrolyte. The proposed electrophoretic method was successfully validated. It
was convenient for the sensitive, simple, rapid, and highly reproducible assay of
these antihistamine enantiomers. The calibration graphs relating the ITP zone
length to the concentration of DIM and PHM enantiomers were rectilinear (r =
0.999) in the range 40.0-200.0 mg/L of each enantiomer. The relative standard
deviations (RSD) were 0.75% for DIM(1), 0.63% for DIM(2), 1.05% for PHM(1), and
0.83% for PHM(2) (n = 6) when determining 100 mg/L DIM and PHM, respectively,
standard solutions. According to the validation procedure based on the standard
addition technique the recoveries were 97.66-98.34%. Good quantitation was
obtained in short analysis time (a single analysis took about 12 min). The
minimal sample pretreatment and low running costs make the proposed ITP method a
good alternative to commonly used analytical methods (CZE, HPLC). The obtained
results suggest that the proposed method is suitable for routine assay of
dimethindene and pheniramine enantiomers in various pharmaceuticals.
PMID- 18058317
TI - Fexofenadine/cyclodextrin inclusion complexation: phase solubility,
thermodynamic, physicochemical, and computational analysis.
AB - Interactions of fexofenadine (Fexo) with cyclodextrins (CDs: alpha- beta-, gamma
, and HP-beta-CD) were investigated by several techniques including phase
solubility, differential scanning calorimetry (DSC), X-ray powder diffractometry
(XRPD), (1)H-nuclear magnetic resonance ((1)H-NMR) and molecular mechanical
modeling (MM(+)). The effects of CD type, pH, ionic strength, and temperature on
complex stability were also explored. Fexo/CD complex formation follows the
decreasing order: beta-CD > HP-beta-CD > gamma-CD > alpha-CD (i.e., at pH 7.0 and
30 degrees C, K(11) = 1139, 406, 130, and 104 M(-1), respectively). The linear
correlation of the free energy of Fexo/beta-CD complex formation (DeltaG(11))
with the free energy of inherent Fexo solubility (DeltaG(So)), obtained from the
variation of K(11) with inherent Fexo solubility (S(o)) at different pHs and
ionic strengths, was used to measure the contribution of the hydrophobic
character of Fexo to escape from water by including into the hydrophobic CD
cavity. The hydrophobic effect (desolvation) contributes about 76% of the total
driving force towards inclusion complex formation, while specific interactions
contribute -7.7 kJ/mol. Moreover, Zwitterionic Fexo/beta-CD complex formation
appears to be driven both by favorable enthalpy (DeltaH degrees = -23.2 kJ/mol)
and entropy (DeltaS degrees = 15.2 J/molxK) changes at pH 7.0. (1)H-NMR and MM(+)
studies indicate multimodal inclusion of the piperidine, carboxypropylphenyl, and
phenyl moieties into the beta-CD cavity. MM(+) computations indicate that the
dominant driving force for complexation is Van der Waals force with very little
electrostatic contribution. (1)H-NMR, DSC, and XRPD studies indicate the
formation of inclusion complex in aqueous solution and the solid state.
PMID- 18058318
TI - Improved compaction and packing properties of naproxen agglomerated crystals
obtained by spherical crystallization technique.
AB - Naproxen was crystallized from acetone-water in the presence of different
concentrations of hydroxypropylcellulose (HPC). Naproxen particles recrystallized
in the presence of HPC exhibited an obvious improvement in their packing, flow,
and mechanical properties compared to naproxen recrystallized in the absence of
the polymer (control particles). The results showed that the particle size
distributions of the treated samples were broader than those obtained when HPC
was absent. The agglomerates produced in the presence of 0.25% HPC displayed
superior flow characteristics (displaying both a low angle of repose and Carr
index) in comparison to samples produced in the presence of other concentrations
of HPC. This was attributed to the spherical shape and smooth surface, since the
area of contact in the powder bed for spherical agglomerates was smaller than
that for other crystal shapes. However it was found that the tensile strength of
tablets with the particles isolated in the presence of 1% HPC was increased to a
greater extent than tablets produced using the spherical particles. Generally,
the tensile strengths of the tablets increased with increasing concentrations of
HPC present in the crystallization medium. Differential scanning calorimetry
(DSC) and X-ray powder diffraction studies showed that naproxen particles,
crystallized in the presence of HPC did not undergo structural modifications.
PMID- 18058319
TI - Formulation and evaluation of rapidly disintegrating fenoverine tablets: effect
of superdisintegrants.
AB - The objective of this study was to formulate directly compressible rapidly
disintegrating tablets of fenoverine with sufficient mechanical integrity,
content uniformity, and acceptable palatability to assist patients of any age
group for easy administration. Effect of varying concentrations of different
superdisintegrants such as crospovidone, croscarmellose sodium, and sodium starch
glycolate on disintegration time was studied. Tablets were evaluated for weight
variation, thickness, hardness, friability, taste, drug content, in vitro and in
vivo disintegration time, and in vitro drug release. Other parameters such as
wetting time, water absorption ratio ('R'), and drug-excipient compatibility were
also evaluated. The disintegration time of the best rapidly disintegrating tablet
formulation among those tested was observed to be 15.9 sec in vitro and 37.16 sec
in vivo. Good correlation was observed between disintegration time and 'R' for
each of the three superdisintegrants at the concentrations studied. Considering
the 'R' values and disintegration time, crospovidone was significantly superior
(p < 0.05) compared to the other superdisintegrants tested. Release of drug was
faster from formulations containing 6% crospovidone (CP 6) compared to the
marketed fenoverine (Spasmopriv(R)) capsules. Similarity factor 'f(2)' (51.5)
between dissolution profiles of the rapidly disintegrating tablet formulation CP
6 and the marketed formulation indicated that the two dissolution profiles were
similar. Differential scanning calorimetric studies did not indicate any
excipient incompatibility, either during mixing or after compression. In
conclusion, directly compressible rapidly disintegrating tablets of fenoverine
with lower friability, acceptable taste, and shorter disintegration times were
obtained using crospovidone and other excipients at optimum concentrations.
PMID- 18058320
TI - An effective desiccant system to regulate the humidity inside the chambers of the
solid dosage forms.
AB - The most common way to protect moisture-sensitive pharmaceutical powders is to
utilize protective packaging. However, the most convenient package materials are
all permeable to water molecules to some extent and limited protection is
normally achieved with this arrangement even though desiccants are employed. In
the present study we introduce a novel system that can regulate the internal
humidity of the containers used with solid dosage forms for a desired time at a
requested level. Instead of the widely used solid adsorbents the system utilizes
saturated salt solutions loaded in desiccant bags made of various polymer
materials with appropriate permeation properties. By utilizing salt solutions the
size of the desiccant bag can be further reduced. A wide variety of commonly used
powder chambers and desiccant bags are tested, proving the effectiveness of the
introduced system.
PMID- 18058321
TI - Determination of the surface energy distributions of different processed lactose.
AB - Particulate interactions between drug and lactose carrier in dry powder inhaler
formulations are affected by the heterogenous energy distribution on the surface
of the individual compounds. A new method based on Inverse Gas Chromatography at
finite concentration is applied to study the energy heterogeneity of untreated,
milled, and recrystallized lactose of similar particle size distribution. Energy
distributions for the dispersive surface energy and the specific free energy of
ethanol are obtained. Milling causes an increase in surface energy due to
formation of amorphous regions. Untreated and recrystallized materials have
similar surface energies at low surface coverages but show clear differences in
energy distribution.
PMID- 18058322
TI - Chitosan nanoparticles for prolonged delivery of timolol maleate.
AB - Timolol maleate-loaded chitosan (CS) nanoparticles were prepared by desolvation
method. Experimental variables such as molecular weight of CS and amount of
crosslinking agent were varied to study their effect on drug entrapment
efficiency, size and release rates of nanoparticles. Chemical stability of
timolol maleate (TM) and crosslinking of CS were confirmed by Fourier transform
infrared spectroscopy. Differential scanning calorimetric studies were performed
on drug-loaded nanoparticles to investigate crystalline nature of the drug after
entrapment. Results indicated amorphous dispersion of drug in the polymer matrix.
Scanning electron microscopy revealed irregularly shaped particles. Mean particle
size of nanoparticles ranged between 118 and 203 nm, while zeta potential ranged
between +17 and +22 mV. Entrapment efficiency of nanoparticles ranged between
47.6 and 63.0%. In-vitro release studies were performed in phosphate buffer
saline of pH 7.4. A slow release of TM up to 24 h was observed. A 3(2) full
factorial design was employed and second-order regression models were used to
study the response (% drug release at 4 h). Release data as analyzed by an
empirical relationship suggested that drug release deviated from the Fickian
trend.
PMID- 18058323
TI - Solubility of core materials in aqueous polymeric solution effect on
microencapsulation of curcumin.
AB - Curcumin, the main active constituent of turmeric herb (Curcuma longa L.) have
been reported to possess many medicinal values. The application of curcumin in
dermatological preparations is limited by their intense yellow color property,
which stains the fabric and skin. The objectives of this study were to reduce the
color staining effect and enhance the stability of curcumin via
microencapsulation using gelatin simple coacervation method. As for curcumin,
ethanol and acetone were used as coacervating solvents. Curcumin was dispersed in
ethanol while dissolved in acetone. Irrespective of the types of coacervating
solvents used, microencapsulation resolved the color-staining problem and
enhanced the flow properties and photo-stability of curcumin. Nevertheless, it
was found that more spherical curcumin microcapsules with higher yield, higher
curcumin loading, and higher entrapment efficiency were obtained with acetone
than ethanol. The in vitro release of curcumin after microencapsulation was
slightly prolonged. Further evaluation of the effects of solubility of core
materials in coacervating solvent or polymeric aqueous solution using six
different drug compounds, namely, ketoconazole, ketoprofen, magnesium stearate,
pseudoephedrine HCl, diclofenac sodium, and paracetamol, suggested that the
solubility of core materials in aqueous polymeric solution determined the
successful formation of microcapsules. Microcapsules could only be formed if the
core materials were not dissolved in the aqueous polymeric solution while the
core materials could either be dissolved or dispersed in the coacervating
solvent. In summary, microencapsulation not only circumvents the color-staining
problem but also improved the stability and flowability of curcumin. The
solubility of core material in aqueous polymeric solution plays a pivotal role in
determining the successful formation of microcapsules.
PMID- 18058324
TI - A cross-performance relationship between Carr's index and dissolution rate
constant: the study of acetaminophen batches.
AB - The aim of this paper is to promote a simple and scalable approach to accelerate
the formulation development of wet granules using acetaminophen batches as a
model system. Only two thorough experiments with five processing steps of:
crystallization --> dry blending --> wet granulation --> drying --> dissolution,
were required to establish a specific linear relationship between the overall
effect of the particle size distribution and the dissolution performance for a
given formulation of any batch of acetaminophen. With this specific linear
relationship at hand, dissolution rates of the granules prepared from batches of
acetaminophen with various particle size distribution could be predicted without
the need of doing any wet granulation, drying and dissolution for the same
formulation. It was found that the Carr's Index, C, an overall manifestation of
particle size distribution, of only a few grams of the dry blended acetaminophen
was good enough to be linearly related to the dissolution rate constant, k, of
the formulated granules by ln k = alpha ln C + ln A (or exponentially by a power
law of k = AC(alpha)) where A was the exponential factor and alpha was the power
index. A and alpha were dependent on the mass transfer of acetaminophen powders
and the rheological properties of the formulated dry blended powders,
respectively. The three linear relationships for 75, 62, and 30 wt % formulations
were ln k = 2.9 ln C -12.3, ln k = 2.8 ln C -12.5, and ln k = 4.2 ln C -18.0,
respectively. The power laws for 75, 62, and 30 wt % formulations were k = 4.7 x
10(-6) C(2.9), k = 3.9 x 10(-6) C(2.8), and k = 1.5 x 10(-8) C(4.2),
respectively. The formulation used in our study contained acetaminophen,
microcrystalline cellulose, and polyvinylpyrrolidone. The validation of the
linearity between k and C was verified (1) by acetaminophen batches from
different processes and sources, (2) by the various formulation compositions of
acetaminophen of 75, 62, and 30 wt%, and (3) by the growth mechanisms of wet
granulation and the resultant granular structures determined by dry sieve
analysis, optical microscopy (OM), mercury intrusion porosimetry (MIP), the
Brunauer-Emmett-Teller (BET) method, scanning electron microscopy (SEM), and
Fourier transformed infrared (FT-IR) microscopic mapping. In general, granules
grown from the small-size ranged acetaminophen powders of a given formulation had
a higher C. Since the growth mechanism was dominated by agglomeration, the
granules were more porous, higher in surface area, more homogenous, and higher in
dissolution rate constant, k, as opposed to granules grown from the large-size
ranged acetaminophen powders of a given formulation having a lower, C, whose
growth was dominated via consolidation and layer-by-layer mechanism and resulted
in a lower dissolution rate constant, k.
PMID- 18058328
TI - Interaction between valproic acid and carbapenem antibiotics.
AB - The serum concentration of valproic acid (VPA) in epilepsy patients decreased by
the administration of carbapenem antibiotics, such as meropenem, panipenem or
imipenem, to a sub-therapeutic level. This review summarized several case reports
of this interaction between VPA (1-4 g dose) and carbapenem antibiotics to
elucidate the possible mechanisms decreasing VPA concentration by carbapenem
antibiotics. Studies to explain the decrease were carried out using rats by the
following sites: absorption of VPA in the intestine, glucuronidation in the
liver, disposition in blood and renal excretion. In the intestinal absorption
site, there are two possible mechanisms: inhibition of the intestinal transporter
for VPA absorption by carbapenem antibiotics, and the decrease of beta
glucuronidase supplied from enteric bacteria, which were killed by antibiotics.
This is consistent with a view that the decrease of VPA originated from VPA-Glu,
relating to entero-hepatic circulation. The second key site is in the liver,
because of no decreased in VPA level by carbapenem antibiotics in hepatectomized
rats. There are three possible mechanisms in the liver to explain the decreased
phenomenon: first, decrease of the UDPGA level by carbapenem antibiotics. UDPGA
is a co-factor for UDP-glucuronosyltransferase (UGT)-mediated glucuronidation of
VPA. Second, the direct activation of UGT by carbapenem antibiotics. This
activation was observed after pre-incubation of human liver microsomes with
carbapenem antibiotics. Third, the inhibition of beta-glucuronidase in liver by
carbapenem antibiotics and the decreased VPA amount liberated from VPA-Glu. The
third site is the distribution of VPA in blood (erythrocytes and plasma). Plasma
VPA distributed to erythrocytes by the inhibition of transporters (Mrp4), which
efflux VPA from erythrocytes to plasma, by carbapenem antibiotics. The increase
of renal excretion of VPA as VPA-Glu depends on the increase of VPA-Glu level by
UGT. One or a combination of some factors in these mechanisms might relate to the
carbapenem-mediated decrease of the plasma VPA level.
PMID- 18058329
TI - Drug-metabolizing enzymes in the skin of man, rat, and pig.
AB - The mammalian skin has long been considered to be poor in drug metabolism.
However, many reports clearly show that most drug metabolizing enzymes also occur
in the mammalian skin albeit at relatively low specific activities. This review
summarizes the current state of knowledge on drug metabolizing enzymes in the
skin of human, rat, and pig, the latter, because it is often taken as a model for
human skin on grounds of anatomical similarities. However only little is known
about drug metabolizing enzymes in pig skin. Interestingly, some cytochromes P450
(CYP) have been observed in the rat skin which are not expressed in the rat
liver, such as CYP 2B12 and CYP2D4. As far as investigated most drug metabolizing
enzymes occur in the suprabasal (i.e. differentiating) layers of the epidermis,
but the rat CYP1A1 rather in the basal layer and human UDP
glucuronosyltransferase rather in the stratum corneum. The pattern of drug
metabolizing enzymes and their localization will impact not only the beneficial
as well as detrimental properties of drugs for the skin but also dictate whether
a drug reaches the blood flow unchanged or as activated or inactivated
metabolite(s).
PMID- 18058330
TI - Drugs as CYP3A probes, inducers, and inhibitors.
AB - Human cytochrome P450 (CYP) 3A subfamily members (mainly CYP3A4 and CYP3A5)
mediate the metabolism of approximately half all marketed drugs and thus play a
critical role in the drug metabolism. A huge number of studies on CYP3A-mediated
drug metabolism in humans have demonstrated that CYP3A activity exhibits marked
ethnic and individual variability, in part because of altered levels of CYP3A4
expression by various environmental factors and functionally important
polymorphisms present in CYP3A5 gene. Accumulating evidence has revealed that
CYP3A4 and CYP3A5 have a significant overlapping in their substrate specificity,
inducers and inhibitors. Therefore, it is difficult to define their respective
contribution to drug metabolism and drug-drug interactions. Furthermore, P
glycoprotein and CYP3A are frequently co-expressed in the same cells and share a
large number of substrates and modulators. The disposition of such drugs is thus
affected by both metabolism and transport. In this review, we systematically
summarized the frequently used CYP3A probe drugs, inducers and inhibitors, and
evaluated their current status in drug development and research.
PMID- 18058331
TI - The emerging importance of transporter proteins in the psychopharmacological
treatment of the pregnant patient.
AB - P-glycoprotein, breast cancer resistance protein, and multidrug resistance
proteins have physiological functions in placental tissue. Several
antidepressants, antipsychotics, and anti-epileptic drugs have been found to be
substrates of P-glycoprotein and other transporters. The extent that drugs pass
through the placental barrier is likely influenced by drug transporters. The
rational choice of psychoactive drugs to treat mental illness in women of child
bearing age should incorporate knowledge of both drug disposition as well as
expected pharmacologic effects. This review summarizes the current data on drug
transporters in the placental passage of medications, with a focus on medications
used in clinical psychopharmacology.
PMID- 18058332
TI - Effect of 7, 14 and 21 Hz modulated 450 MHz microwave radiation on human
electroencephalographic rhythms.
AB - PURPOSE: The aim of this study was to evaluate the effect of microwaves modulated
at different frequencies on human electroencephalographic (EEG) rhythms.
MATERIALS AND METHODS: Thirteen healthy volunteers were exposed to microwaves
(450 MHz) pulse-modulated at frequencies of 7, 14 and 21 Hz. The field power
density at the scalp was 0.16 mW/cm2. Our experimental protocol consisted of two
five-cycle (1 min on and 1 min off) series of exposures at fixed modulation
frequencies. A relative change in the EEG power with and without exposure was
used as a quantitative measure. EEG frequencies recorded in the theta (4-6.8 Hz),
alpha (8-13 Hz), betal (15-20 Hz), and beta2 (22-38 Hz) bands were analyzed.
RESULTS: Modulated microwaves caused an increase in the average EEG alpha (17%)
and beta (7%) power but the theta rhythm remained unaffected. Increases in the
EEG alpha and beta power were statistically significant during the first half
period of the exposure interval (30 s) at the modulation frequencies of 14 and 21
Hz. Differences were found in individual sensitivity to exposure. Increases in
the EEG beta power appeared statistically significant in the case of four
subjects. CONCLUSIONS: Our findings suggest that the effect of the 450 MHz
microwave radiation modulated at 7, 14 and 21 Hz varies depending on the
modulation frequency. The microwave exposure modulated at 14 and 21 Hz enhanced
the EEG power in the alpha and beta frequency bands, whereas no enhancement
occurred during exposure to the modulation frequency of 7 Hz.
PMID- 18058334
TI - Speaking your mind: measuring the subjective quality of life of children with
mental illnesses.
PMID- 18058335
TI - Health diaries for self-monitoring and self-regulation: applications to
individuals with serious mental illness.
AB - Various health promotion interventions have been developed for individuals with
serious mental illness that address such problems as medication nonadherence and
physical inactivity. The beneficial outcomes of these interventions may be
enhanced or extended if patients used a tool to monitor their own health habits.
A systematic review of studies on health diaries was conducted to inform the
development of the Monthly Medication Journal. A self-regulation model is
discussed in using health diaries as self-monitoring and self-regulation tools
for individuals with various mental health disorders.
PMID- 18058336
TI - Dual diagnosis: an exploratory qualitative study of staff perceptions of
substance misuse among the mentally ill in Northern India.
AB - Dual diagnosis is well recognized as a significant clinical problem. It is
associated with poor outcomes, staff difficulties, and management problems. This
exploratory study investigated the extent and clinical correlates of dual
diagnosis and traditional substance use among the mentally ill by interviewing
staff from several substance misuse and mental health services in Northern India,
using a semi-structured interview schedule and focus groups. Dual diagnosis was
seen as a common problem according to staff interviewed from substance misuse and
mental health services, although types of substance use reported were different
than in western studies. Traditional substance use (the use of substances in
distinct cultural, religious, and social settings that is not prohibited, such as
khat or betel nut) also was reported as common among those with dual diagnosis.
Substance misuse among the mentally ill is common in Northern India.
Opportunities exist for informing mental health nursing practice about
"traditional" substance use.
PMID- 18058337
TI - The experience of Black consumers in the mental health system--identifying
barriers to and facilitators of mental health treatment using the consumers'
perspective.
AB - Research has shown that relative to Whites, Blacks are less likely to seek
outpatient mental health treatment and more likely to seek emergency services.
Furthermore, Blacks often terminate treatment prematurely. The goal of the
present study was to identify barriers to and facilitators of mental heath
treatment among Blacks who have a documented need for mental health services.
Thirty-four Black mental health consumers were interviewed for this purpose.
Comments were categorized into four main categories: (a) barriers to treatment,
(b) treatment facilitators, (c) recommendations for improvement of services, and
(d) advice to potential consumers. The most common barriers were the importance
of family privacy, lack of knowledge regarding available treatments, denial of
mental health problems, and concerns about stigma, medications, and treatment.
Participants also reported system barriers, such as not receiving appropriate
information about services or receiving inadequate, dehumanizing services.
Acknowledging the need for mental health services, having a supportive
environment, and positive past treatment experiences were identified as treatment
facilitators. Community outreach, adequate follow-up, and coordination of
services also were important messages delivered by consumers. The results of this
study indicate the importance of educating the general public, not just mental
health consumers, about the nature of mental illness and available services.
PMID- 18058338
TI - Can cultural competence be achieved without attending to racism?
PMID- 18058342
TI - What's new in nicotine & tobacco research?
PMID- 18058344
TI - Predictors of smoking onset over two years.
AB - The objective of this analysis was to identify variables that predict the
initiation of smoking among adolescents, and the development of susceptibility to
smoking, over a 2-year period. We assessed variables that might predict later
smoking among nonsmoking students in grades 7 and 9 and assessed their smoking
status 2 years later, when they were in grades 9 and 11, thus receiving data from
4,130 students at two time points. Initiation of weekly smoking over the 2 years
was associated with having a parent, sibling, or close friend who smokes; low
school grades; higher levels of deviant behavior; susceptibility to smoking; use
of smokeless tobacco; and for 7th graders, perception of higher levels of
normative smoking. Susceptibility, defined as not being able to rule out the idea
of smoking a year after the survey, was identified as a strong predictor of
smoking and a valuable intermediary measure. We also assessed factors associated
with the prediction of susceptibility 2 years post-test. Susceptibility to
smoking was associated with deviant behavior, low grades, lower parental
monitoring, relaxed parental attitude toward youth smoking, ease of access to
tobacco, and lower exposure to anti-tobacco messages. This study provides support
for the idea that susceptibility to smoking could be a useful outcome variable
for tobacco research, as an intermediary to the initiation of smoking. In
addition, evidence indicates that theoretically manipulable variables, including
access to tobacco and exposure to anti-tobacco information, have the potential to
influence susceptibility to smoking over a time.
PMID- 18058343
TI - Bupropion efficacy for smoking cessation is influenced by the DRD2 Taq1A
polymorphism: analysis of pooled data from two clinical trials.
AB - We analyzed pooled data from two comparable randomized placebo-controlled
clinical trials of bupropion pharmacotherapy for smoking cessation for which data
on DRD2 Taq1A genotype were available. A total of 722 smokers across the two
trials were randomized to 10 weeks of sustained-release bupropion hydrochloride
or placebo. General estimating equation analysis demonstrated a significant gene
x drug interaction (B = 0.87, SE = 0.34, p = .009). Smokers with the A2/A2
genotype using bupropion were more than three times as likely, relative to
placebo, to be abstinent at end of treatment (35.2% vs. 15.1%; OR = 3.25, 95% CI
2.00-5.28) and at 6 months of follow-up (26.7% vs. 12.2%; OR = 2.81, 95% CI 1.66
4.77), which was attenuated by 12 months (16.3% vs. 10.7%; OR = 1.70, 95% CI 0.95
3.05). We found no significant benefit of bupropion relative to placebo on
smoking cessation outcomes at any time point in participants with A1/A1 or A1/A2
genotypes. These data suggest that bupropion may be effective for smoking
cessation only in a subgroup of smokers with the DRD2 Taq1 A2/A2 genotype.
PMID- 18058345
TI - The demand for health insurance coverage for tobacco dependence treatments:
support for a benefit mandate and willingness to pay.
AB - One solution for reducing tobacco use is to expand health insurance coverage for
tobacco dependence treatments (TDTs), but the public demand for a coverage
mandate is unknown. This study finds that demand for coverage of TDTs among a
random sample of adult Californians with employer-sponsored health insurance is
strong, with 62% indicating that health insurers should be required to offer
coverage as part of their standard plans and a majority (56%) indicating a
willingness to pay $3 more for their annual health insurance premium to finance
cessation coverage. Compared to never smokers, current and former smokers are no
more likely to support a benefit mandate to require coverage of cessation
treatments, but the adjusted odds are approximately three times greater that
current and former smokers are willing to pay $3 more toward their annual premium
to finance cessation coverage. Liberals had higher adjusted odds of supporting a
benefit mandate and of being willing to pay a higher premium compared to
conservatives. Non-whites had higher adjusted odds of supporting a mandate
compared to whites, with no differences by race/ethnicity in willingness to pay a
higher premium. There were no differences in preferences for a benefit mandate or
willingness to pay a higher premium as a function of age, gender or income. These
findings have important policy implications for a state health insurance mandate
to cover tobacco dependence treatments.
PMID- 18058346
TI - The first puff: forces in smoking initiation among Californians of Korean
descent.
AB - This study presents the correlates of initial exposure to cigarettes, variables
associated with smoking prevalence and with continued smoking (or not) among
adult Californians of Korean descent who have any initial exposure to cigarettes.
Among those who have taken a puff, social contingencies contrast those who become
current smokers from those who do not. Data were drawn from telephone interviews
with adults (N = 2,830) developed from a random sampling of listed persons in
California with Korean surnames during 2000-2001. Of the attempted interviews,
86% were completed; and 85% of the interviews were conducted in Korean. Nearly
half of all respondents (49.0%) had been exposed to cigarettes, and 41.9% of
these reported current smoking, according to Centers for Disease Control and
Prevention criteria (currently smoke and have smoked 100 cigarettes during
lifetime). Multivariate analysis suggests that social contingencies may influence
both initial exposure to tobacco and continued smoking among Californians of
Korean descent. Influences of acculturation on taking the first puff and on
current smoking status diverged by gender. Social support increased the
likelihood of the first puff among both genders, but the association was stronger
among females than among males. Social reinforcers that lead to taking the first
puff also discriminated between those who became current smokers and those who
did not. Interventions should be directed at these variables among young Korean
nonsmokers and new smokers.
PMID- 18058347
TI - Examining trends in quantity smoked.
AB - Although the quantity smoked is known to affect smokers' health risks and
likelihood of cessation, little is known about trends in quantity smoked and the
role of policies in affecting those trends. We examined trends in quantity smoked
using a new measure derived from U.S. Department of Agriculture per-capita
cigarette consumption (PCC) and smoking prevalence data. We compared this measure
to a survey measure of mean cigarettes smoked per day from the National Health
Interview Survey (NHIS). Using our measure, we examined trends in quantity smoked
at the national level from 1974 to 2004 and for two states, California and
Massachusetts. We used regression analysis to distinguish price and other policy
related trends from long-term trends. The derived measure of quantity tracked
well with the NHIS measure. Through 1990, changes in quantity were well explained
by price changes, with no residual trend in quantity smoked. Since 1990, price
showed similar effects, but a downward trend was observed. In California and
Massachusetts the initiation of a comprehensive tobacco program as well as price
and tax changes were found to explain trends in quantity smoked. Continued
progress in reducing the quantity smoked is likely to require the consistent
implementation of current policies and the introduction of new policies. Although
sustained reductions in quantity smoked may take a long time to affect smokers'
health outcomes, sustained reductions appear to be related to cessation. The
measure developed in the present study provides a useful tool for tracking trends
in quantity smoked.
PMID- 18058348
TI - Association of post-treatment smoking change with future smoking and cessation
efforts among adolescents with psychiatric comorbidity.
AB - Little is known about how initial change following a smoking intervention relates
to longer-term smoking outcomes among adolescent smokers with psychiatric
comorbidity. The present study investigated this relationship among
psychiatrically hospitalized adolescents (N = 183) who participated in a
controlled trial comparing motivational interviewing to brief advice. Quit
attempters (n = 37), reducers (n = 45), and maintainers (n = 101) were assembled
based on, respectively, having made a quit attempt, having reduced smoking by at
least 50%, and having reduced smoking by less than 50% in the first week after
hospital discharge. Hierarchical linear models and generalized estimating
equations were conducted to test group differences in average number of
cigarettes per smoking day and odds of making a quit attempt during subsequent
weeks of a 12-month continuous follow-up, and in cotinine-verified abstinence
rates at 1, 6, and 12 months posthospitalization. Baseline smoking levels and
presence of a substance use disorder or anxiety disorder were predictive of
outcomes. After controlling for covariates, we found that quit attempters smoked
less during follow-up than did the other change groups and that reducers smoked
less than maintainers. Quit attempters evidenced a higher percentage of quit
attempts during follow-up than did the other change groups. Reducers had a
greater average percentage of quit attempts during follow-up than did
maintainers. However, groups did not differ on cotinine-verified abstinence rates
across the follow-up period. Findings have implications for initial post
treatment change as it relates to subsequent smoking and cessation outcomes among
adolescent smokers at especially high risk for smoking persistence.
PMID- 18058349
TI - Pilot study on lower nitrosamine smokeless tobacco products compared with
medicinal nicotine.
AB - Smokeless tobacco (ST) products have the potential to be used as a harm reduction
method for cigarette smokers. These products can deliver significantly less
toxicants than cigarettes, although they are not toxicant free nor harmless. It
is important to examine potential health risks and benefits of these products.
These two small pilot studies examined the effects of two different ST products
(Exalt and Ariva) compared with medicinal nicotine, another potential harm
reduction product. Dependent, healthy adult cigarette smokers, who were motivated
to quit smoking, underwent 1 week of baseline smoking measurement. They were then
asked to quit smoking and were randomly assigned to use either an ST product or a
medicinal nicotine lozenge (MNL, Commit) for 2 weeks, then crossed over to use
the other product for 2 weeks. In the last week, following the sampling phase,
subjects could choose the product they wished to use. Assessments were made
repeatedly during baseline cigarette use and throughout the 5 weeks of treatment.
Outcome measures included biomarkers for tobacco exposure and subjective,
physiological, and behavioral responses. Tobacco-specific carcinogen uptake was
greater from Exalt than from the MNL, and was comparable between the MNL and
Ariva. Physiological effects and subjective effects on withdrawal and craving
were comparable among Exalt, Ariva, and the MNL. Ariva was preferred over the
MNL, which was preferred over Exalt. With the exception of medicinal nicotine
products, low-nitrosamine ST products have the greatest potential to result in
reduced toxicant exposure compared with other combustible reduced exposure
products and have promise for reducing individual risk for disease. However, the
population effect of marketing of such products as reduced exposure/reduced risk
is unknown. The need for further research in this area and regulation of tobacco
products is evident.
PMID- 18058350
TI - DRD2/ANKK1 TaqI polymorphism and smoking behavior of Egyptian male cigarette
smokers.
AB - Little is known about the genetic contribution to cigarette smoking and nicotine
addiction in Egypt. The dopamine D2 receptor gene contains a TaqI repeat fragment
length polymorphism creating two alleles with functional significance, DRD2*A1
and DRD2*A2. We investigated the relationship between these alleles and tobacco
use in a study of 389 Egyptian male current smokers (mean age = 40 years; SD =
12). Participants were interviewed in 2004 on their smoking behaviors and quit
attempts, and were given the Fagerstrom Test for Nicotine Dependence (FTND).
Blood samples were obtained and genotyped for DRD2 A1and A2 alleles. The
frequencies of A1/A2, A1/A2, and A2/A2 genotypes were 6%, 29%, and 65%,
respectively. We found no statistically significant association between genotype
and age at onset of smoking, years of smoking, FTND score, or average number of
cigarettes smoked per day. DRD2 genotype was associated with the number of
cigarettes smoked in the past 48 hr (42.2 in A1 carriers vs. 37.6 in A2, p =
.03), the previous quit duration (28% in A1 vs. 40% in A2 quit for more than 1
month, p = .05), and the depth of inhalation (82% in A1 vs. 72% in A2 inhaled the
smoke deeply, p = .03). Logistic regression analysis including DRD2 genotype,
FTND score, age at smoking initiation, marital status, and education as
predictors showed that maximum duration of quit time was associated with FTND
score (p = .003), DRD2 genotype (p = .01), marital status (p = .03), and age at
smoking initiation (p = .04). These findings suggest a modest association between
DRD2 genotype and quitting behavior in male cigarette smokers in Egypt.
PMID- 18058351
TI - Use of smokeless tobacco is a risk factor for cigarette smoking.
AB - This study assessed the risk of smoking uptake over 2 years in adolescent boys
(in grades 7 and 9) who had used smokeless tobacco (ST). We used logistic
regression to determine whether the odds of adolescent boys taking up regular
smoking over a period of 2 years were greater among initial nonsmokers who used
ST, compared with nonusers of ST, after accounting for six well-established
predictors of smoking. Initiation of weekly smoking 2 years after baseline was
associated with ST use at baseline, even after including dichotomous measures of
parent, sibling, or close friend smoking; low academic grades; 30-day alcohol
use; and a scale measure of deviant behavior. With these other predictors
included in the model, the odds ratio for the association of ST use with weekly
smoking after 2 years was strong and significant (OR = 2.55, 95% CI 1.45-4.47,
p<.001). The use of ST in the 7th and 9th grades is a significant risk factor for
subsequent smoking even when controlling for other factors.
PMID- 18058352
TI - Characteristics of U.S. waterpipe users: a preliminary report.
AB - Waterpipe smoking, a traditional method of tobacco use, has experienced a
resurgence in the Middle East and Indian subcontinent in recent years. Despite
growing evidence of its dependence potential and health-damaging effects,
waterpipe use has spread beyond these regions to many other countries, including
the United States. Because little is known about waterpipe use in the United
States, we surveyed convenience samples of users from two U.S. cities, Richmond,
Virginia (n = 109), and Memphis, Tennessee (n = 34). Respondents in both cities
were primarily young adults, a majority (75%) were men, and most were college
students or had a college degree. Initial and current use usually occurred in a
social context, with a group of friends in a cafe or restaurant or at home. Most
respondents had smoked waterpipe for 2 or fewer years, and 67% currently smoked
at least once a month (22% smoked at least once per week and 10% smoked daily).
Most believed waterpipe use to be less addictive and harmful than cigarette
smoking, believed they could quit use at any time, but had no plans or desire to
quit. A majority of respondents used other tobacco products such as cigarettes,
and 35% of those who did not smoke cigarettes said they would "probably" or
"definitely" smoke one in the next year. Multivariate correlates of greater
frequency of use included younger age at first use, ownership of a waterpipe, use
occurring primarily with groups of friends, and the perception of being "hooked."
Waterpipe users in these two convenience samples from the United States were
young and educated, tended to experiment with multiple forms of tobacco, were
unaware of the potentially harmful and addictive properties of waterpipe use, and
planned to continue use in the future. Educational efforts are needed to increase
awareness of the potential hazards of this increasingly popular form of tobacco
use.
PMID- 18058353
TI - Saccadic eye movement velocity measured with the infrared reflection and search
coil eye-tracking systems in patients with thyroid-associated ophthalmopathy.
AB - BACKGROUND: Assessment of changes in saccade velocity may be useful in the early
detection of thyroid-associated ophthalmopathy (TAO). Two eye-tracking systems
were used to measure the velocity of saccadic eye movements in patients with TAO.
METHOD: Fourteen patients with active TAO and 14 healthy controls were enrolled
for recordings with two eye-tracking systems: the magnetic scleral search coil
(MSC; Skalar Medical) and the infrared reflection systems (IR; Orbit XY-1000).
The MSC is generally considered the "gold standard" method for tracking of rapid
eye movements. The IR system uses novel computer technology and is based on
sampling of reflected infrared light from the surface of the eyes. Main sequence
plots constructed from the recorded saccadic peak velocity and amplitude were
analyzed for differences between patients and healthy controls. RESULTS: There
were no significant differences between patients with TAO and healthy controls in
the constructed main sequence plots of maximum velocity (V(MAX)) and the slope
constant (C). CONCLUSIONS: Main sequence analysis of saccadic eye movements was
not useful for detecting TAO in this experimental setting with either of the two
eye-tracking methods. This is hypothesized to be due to compensation for the
early orbital changes in TAO by neural adaptation of the saccades in the brain
stem. The contradictory results between this and previous studies cannot be
easily explained. We assume that there is a large heterogeneity in the saccadic
eye movement performance in both the normal population and the TAO-population.
Also, differences in the study design may cause dissimilar outcomes and hence
incoherent conclusions. A non-invasive recording system that is able to generate
a minimum amount of intra- and inter-individual variability and a study design
where normal variability can be reduced to a minimum may be useful for future
identification of early eye muscle changes in TAO.
PMID- 18058354
TI - Comparison of the temporal hemifield and nasal hemifield sensitivity in patients
with early-onset convergent strabismus.
AB - OBJECTIVE: To analyze the hypothesis that a reduction in sensitivity of the nasal
visual hemifield would lead to an increase in the nasotemporal asymmetry of the
visual field in patients under two years of age with early-onset convergent
strabismus in comparison with normal patients. METHODS: Both eyes of 66 patients
33 esotropes and 33 normals - were studied. Patients' age ranged from 7 to 30
years. The examination consisted of a static perimetry using the Humphrey field
analyzer 700 Series' Sita Standard program. RESULTS: An accentuation of the
nasotemporal asymmetry was seen in the 33 esotropes and was related to an evident
decrease of sensitivity in the most peripheral of the points studied along the
horizontal meridian of the visual field. The quantitative sum of values of
sensitivity of these points showed an average reduction of -15.71% in relation to
the normal patients. CONCLUSION: There was a clear accentuation of the
nasotemporal asymmetry of sensitivity in esotropes when compared to normal
patients. There was no difference in sensitivity between the two eyes in either
normals or esotropes.
PMID- 18058355
TI - The angle kappa in strabismic individuals.
AB - PURPOSE: To determine angle kappa values in strabismic individuals by means of a
synoptophore. METHODS: One hundred-and-eight strabismic subjects and 102 healthy
subjects who served as a control group were enrolled in the study. A complete
ophthalmologic examination, including determination of refractive status, best
corrected visual acuity measurement, slit-lamp biomicroscopic anterior segment
evaluation, intraocular pressure measurements with a Goldmann applanation
tonometer, and dilated fundus examination, was done on all study participants.
Orthoptic examination included Krimsky prism reflex test, prism cover test, and
duction tests. Strabismic patients were grouped into two categories according to
their deviation types: exotropic and esotropic. A synoptophore (Clement Clarke,
London, England) with a specially designed slide (Maddox test slide series A
White Binding No: 16; Clement Clarke, London, England) was used to measure angle
kappa. RESULTS: Of the 108 strabismic patients, 62 were males and 46 were females
with a mean age of 23.38 +/- 3.68 years (range: 8 to 82 years). There were 54
males and 48 females with a mean age of 32.74 +/- 1.63 years (range: 7 to 68
years) in the control group. The exotropic group had significantly higher angle
kappa values than either the controls or the esotropic group (independent sample
t-test, p < 0.001). None of the study participants had negative angle kappa
values. Higher average kappa values were obtained in left eyes than in right eyes
in all three groups (student t-test, p < 0.01 for all groups). CONCLUSION: This
study showed that exotropic patients have higher angle kappa values when compared
to esotropic patients. Ophthalmologists must take the kappa angle into account
when performing a Hirschberg or Krimsky test in young and uncooperative patients
in order to improve surgical results.
PMID- 18058356
TI - Orthoptists' management of amblyopia - a case-based survey.
AB - PURPOSE: The aim of this study was to investigate the amblyopia treatment trends
of Australian orthoptists and to identify variations or consistencies amongst
treatment patterns. METHODS: A questionnaire was distributed to all orthoptists
who attended an annual national scientific conference. This questionnaire
consisted of four case vignettes of amblyopic patients. Each was presented with a
series of multiple-choice questions that orthoptists were required to answer.
RESULTS: The questionnaire was completed by 46 orthoptists. Large diversity
between orthoptists in their treatment application was found. This related mostly
to the intensity of occlusion treatment. CONCLUSION: Treatment variability
continues to exist between orthoptists. Further investigations evaluating the
clinical decision making processes of clinicians in prescribing amblyopia
treatment may help us to better understand the determinants that are considered
when prescribing treatment. This would be useful in establishing best-practice
guidelines.
PMID- 18058357
TI - Duane retraction syndrome on the Arabian Peninsula.
AB - PURPOSE: To describe the clinical features of patients from the Arabian Peninsula
with Duane retraction syndrome (DRS). METHODS: Retrospective chart review of
patients referred to the King Khaled Eye Specialist Hospital in Riyadh, Saudi
Arabia from 1982 to 2003 with a diagnosis of DRS. Patients having had prior
strabismus surgery were excluded. RESULTS: Of 404 DRS patients, 347 (86%) were
unilateral, 57 (14%) were bilateral, and 111 (27%) had amblyopia. There were 221
(55%) females and 182 (45%) males. The Huber classification was as follows: 315
(78%) Type I, 16 (4%) Type II, and 77 (19%) Type III. Of the 57 bilateral cases,
25 (44%) were female and 32 (56%) were male. DISCUSSION: Overall, the clinical
features of DRS patients referred to a Riyadh eye hospital are similar to those
reported in series throughout the world. However, our referred bilateral DRS
patients are more commonly male. The clinical features of bilateral DRS deserve
further worldwide study.
PMID- 18058358
TI - Factors influencing severity of and recovery from anisometropic amblyopia.
AB - PURPOSE: To evaluate the correlation between the degree of anisometropia with
depth of amblyopia and presence of stereopsis. METHODS: A retrospective chart
review of 119 patients treated during 1995-2004 was carried out. All patients had
undergone a full ophthalmological examination. Inclusion criteria were:
anisometropia >1 diopter (spherical and/or cylindrical), age at first examination
between 2 and 8 years, no previous optical correction, absence of ocular and
neurological disorders, absence of ocular motility disorders, and minimum follow
up of 2 years (mean 7.9 +/- 4.3). Optical correction was prescribed at first
visit and, at a second visit, the need for patching or penalization was
evaluated. RESULTS: The results show a correlation between the degree of
anisometropia and visual acuity at first visit (p < 0.001). There were, however,
several subjects with good levels of visual acuity despite considerable
anisometropia, and also subjects where mild or moderate anisometropia was
sufficient to induce a severe amblyopia. Compared to other types of
anisometropia, anisomyopic patients appeared to have a higher degree of binocular
vision recovery when corrected optically. First evaluation with the presence of
good stereoacuity seems to be a prognostic indicator for amblyopic recovery.
CONCLUSIONS: This study demonstrates the difficulty of developing a guideline for
screening and treatment of anisometropia. Even though there seems to be a
correlation between type and degree of anisometropia in a majority of patients,
there is also a significant number of cases that do not follow this pattern.
Another important observation is the presence of binocular vision at the first
evaluation as a good prognostic indicator for visual recovery with optical
correction alone, even without penalization therapy.
PMID- 18058359
TI - Orbital cellulitis after faden operation on the medial rectus.
AB - BACKGROUND: Orbital cellulitis after strabismus surgery is uncommon, may cause
blindness and may lead to death. Very few cases have been described in detail due
to the low incidence of this complication. METHODS: We report the first case of
orbital cellulitis following Faden operation on the medial rectus muscle. We
believe that the infection was due to asymptomatic ethmoid sinusitis. Our case is
compared with other cases previously reported. RESULTS: A two-year-old boy was
surgically treated for residual esotropia after two botulinum toxin A injections.
Two days after surgery, signs of orbital cellulitis developed in his right orbit.
CT-scan disclosed right ethmoid sinusitis that spread to the orbit after surgery.
After intravenous antibiotic treatment, the infection resolved with full
restoration of visual acuity and ocular motility. CONCLUSION: Despite adequate
measures to prevent infection, orbital cellulitis may complicate strabismus
surgery. Patients must be instructed to recognize early symptoms of this severe
infection and call the surgeon immediately. Diagnosis may be confirmed by CT
scanning of the orbits. Prompt treatment with intravenous antibiotics usually
leads to full recovery.
PMID- 18058360
TI - Questions on the movement of the human eye (part II).
PMID- 18058361
TI - Rapid expansion of human adipose-derived stromal cells preserving multipotency.
AB - BACKGROUND: Adipose-derived stromal (stem) cells (ASC) have been shown to be of
great therapeutic use in pre-clinical studies in diverse fields, but a standard
expansion method has not been established. We investigated the effects of an
endothelial growth medium (EGM-2) on ASC, focusing on proliferation and
differentiation potentials. METHODS: ASC were cultured in EGM-2 and DMEM.
Doubling time and total cell number were compared between the two media. The
proliferative effect of each growth factor supplemented in EGM-2 was also
examined. Cultured cells in each medium were examined for surface marker
expression using flow cytometry. Differentiation into the adipogenic,
chondrogenic and osteogenic lineages was analyzed after culture in each medium.
RESULTS: ASC cultured with EGM-2 proliferated much more rapidly (10(5) times in 2
weeks) and reached the stationary phase earlier than those cultured with DMEM.
Among the supplements contained in EGM-2, only fibroblast growth factor-2 (FGF-2)
significantly promoted proliferation of ASC, although the proliferative effect of
FGF-2 was much less than that of EGM-2, suggesting a synergism among other
supplement factors. Flow cytometry and differentiation assays suggested that ASC
cultured in EGM-2 preserved immunophenotype and differentiation capacity for at
least three mesenchymal lineages (adipogenic, chondrogenic and osteogenic),
similar to those cultured with DMEM. DISCUSSION: The present expansion method
markedly accelerates proliferation of ASC, preserving their multipotent
differentiation capacities, and lays the groundwork for establishing a practical
route to mega-expansion of ASC for clinical applications.
PMID- 18058363
TI - Radiation therapy and the microenvironment.
PMID- 18058364
TI - beta1 integrin as a molecular therapeutic target.
AB - PURPOSE: Radiation therapy (RT) is a commonly used modality to treat human
cancer. However, dose-limiting toxicity and resistance are major problems to
improving treatment efficacy. Increasing evidence has pointed to the critical
role of the tumor microenvironment and cell-extracellular matrix (ECM),
specifically via beta1 integrins, in modifying response to therapy, including
radiation. beta1 integrins have been implicated in several key processes in
malignant progression and metastasis, and more recently in mediating resistance
to cytotoxic chemotherapy and radiation. Evidence is mounting that beta1
integrins mediate essential survival signals post-IR, through both canonical and
non-canonical integrin signaling pathways that make it a highly promising
therapeutic target for several solid malignancies. CONCLUSIONS: In this mini
review article, we briefly summarize the most recent emerging findings concerning
beta1 integrin and radiation survival that indicate the promise of beta1 integrin
inhibitory agents as radiosensitizers.
PMID- 18058365
TI - alpha6 integrin cleavage: sensitizing human prostate cancer to ionizing
radiation.
AB - PURPOSE: The goal was to determine if prostate tumor cells containing a mutant
alpha6 integrin would be defective in tumor re-population following clinically
relevant fractionated ionizing radiation (IR) treatments. MATERIAL AND METHODS:
Human prostate cancer cells derived from PC3N cells were used which conditionally
expressed a cleavable, wild type form of alpha6 integrin (PC3N-alpha6-WT) or a
mutated non-cleavable form of alpha6 integrin (PC3N-alpha6-RR). The resulting
tumor growth before, during and after fractionated doses of IR (3 Gyx10 days) was
analyzed using the endpoints of tumor growth inhibition (T/C), tumor growth delay
(T-C), tumor doubling time (Td) and tumor cell kill (Log(10) cell kill). RESULTS:
The T/C values were 36.1% and 39.5%, the T-C values were 20.5 days and 28.5 days
and the Td values were 5.5 and 10.5 days for the irradiated PC3N-alpha6-WT and
PC3N-alpha6-RR cells, respectively. The Log(10) was 1.1 for the PC3N-alpha6-WT
cells and 0.8 for the PC3N-alpha6-RR cells. The tumor response to IR was altered
in tumors expressing the mutant alpha6 integrin as indicated by a significant
increase in tumor growth inhibition, an increase in tumor growth delay, an
increase in tumor doubling time and an increase in tumor cell kill. CONCLUSIONS:
Blocking integrin cleavage in vivo may be efficacious for increasing the IR
responsiveness of slow growing, pro-metastatic human prostate cancer.
PMID- 18058366
TI - Radiation-induced EGFR-signaling and control of DNA-damage repair.
AB - PURPOSE: Over the last decade evidence has accumulated indicating that cell
membrane-bound growth factor receptor of the erbB family and especially the
epidermal growth factor receptor EGFR (erbB1) mediates resistance of tumor cells
to both chemo- and radiotherapy when mutated or overexpressed. More recently a
novel link between EGFR signaling pathways and DNA repair mechanisms, especially
non-homologous end joining (NHEJ) repair could be demonstrated. The following
review summarizes the current knowledge on the role of EGFR and its downstream
signaling pathways in the regulation of cellular radiation response and DNA
repair. CONCLUSION: The novel findings on radiation-induced EGFR-signaling and
its involvement in regulating DNA-double strand break repair need further
investigations of the detailed mechanisms involved. The results to be obtained
may not only improve our knowledge on basic mechanisms of radiation
sensitivity/resistance but also will promote translational approaches to test new
strategies for clinically applicable molecular targeting.
PMID- 18058367
TI - Pharmacological inhibition of EGFR tyrosine kinase affects ILK-mediated cellular
radiosensitization in vitro.
AB - PURPOSE: Integrin-linked kinase (ILK) mediates signals from beta integrins and
links integrins to epidermal growth factor receptor (EGFR). Previous studies have
identified an antisurvival effect of ILK in irradiated cells. The aim of this
study was to evaluate the role of EGFR tyrosine kinase (tk) activity for ILK
mediated radiosensitization. MATERIALS AND METHODS: Human FaDu squamous cell
carcinoma (SCC) cells stably transfected with hyperactive ILK (ILK-hk) and
ILK(fl/fl) and ILK(-/-) mouse fibroblasts were treated with the pharmacological
EGFR-tk inhibitor BIBX1382BS without or in combination with single doses of X
rays. Clonogenic radiation survival, protein expression and phosphorylation
(EGFR, v-akt murine thymoma viral oncogene homolog 1 (Akt), p42/44 mitogen
activated protein kinase), DNA-double strand break (DSB) repair measured by
gammaH2AX foci, cell morphology and cell cycle distribution were examined.
RESULTS: Expression of ILK-hk or ILK(fl/fl) status resulted in significant
radiosensitization relative to vector controls or ILK(-/-). Following BIBX1382BS,
clonogenic survival of normal fibroblasts and vector controls remained unaffected
while ILK-hk-related radiosensitization was significantly diminished. In contrast
to BIBX1382BS, which did not affect DNA-DSB repair, ILK-hk-mediated
radiosensitization was associated with reduced DNA-DSB repair. At 10 days after
BIBX1382BS treatment, FaDu transfectants, in contrast to fibroblasts, showed
reduced cell size, accumulation of G1 phase cells and reduced Akt-serine(S)473
phosphorylation. CONCLUSIONS: Our findings confirm ILK as a cell type-independent
antisurvival factor in irradiated cells, which actions in terms of
radiosensitization critically depend on proper EGFR-tk activity.
PMID- 18058368
TI - New rationales for using TGFbeta inhibitors in radiotherapy.
AB - PURPOSE: The first reports that ionizing radiation (IR) induces rapid and
persistent activation of transforming growth factor beta1 (TGFbeta) were nearly
two decades ago. Subsequent studies have shown that TGFbeta is a major mediator
of cellular and tissue responses to IR and have revealed novel facets of its
complex biology. RESULTS: We and others have recently shown that inhibition of
production or signaling of TGFbeta in epithelial cells modulates radiosensitivity
and impedes activation of the DNA damage response program. The primary transducer
of cellular response to DNA damage caused by ionizing radiation is the nuclear
protein kinase ataxia telangiectasia mutated, whose activity is severely
compromised when TGFbeta is inhibited. Thus, in conjunction, with its well
recognized contribution to normal tissue fibrosis, the role of TGFbeta in the
genotoxic stress program provides a previously unsuspected avenue to modulate
radiotherapy. CONCLUSIONS: We hypothesize that identification of the
circumstances and tumors in which TGFbeta manipulation enhances tumor cell
radiosensitivity, while protecting normal tissues, could significantly increase
therapeutic index.
PMID- 18058369
TI - Microenvironmental and genetic factors in haemopoietic radiation responses.
AB - PURPOSE: To review studies of radiation responses in the haemopoietic system in
the context of radiation-induced chromosomal instability, bystander effects, the
influence of the microenvironment and genetic factors. CONCLUSIONS: Blood cells
are continuously produced by the proliferation and differentiation of lineage
specific precursor cells that, in turn, are all derived from a small population
of multipotential stem cells. The homeostatic regulation of this hemopoietic
hierarchy involves multiple regulatory factors and interactions with the tissue
microenvironment and responses of the hemopoietic system are major determinants
of outcome after exposure to ionizing radiation. A sub-optimal or aberrant
response to radiation-induced damage may divert the system away from effective
restoration of tissue homeostasis into responses that ultimately result in
pathological changes. DNA damage in irradiated cells that has not been correctly
restored by metabolic repair processes is conventionally regarded as the reason
for the adverse consequences of radiation exposures. However, reports of
radiation-induced genomic instability and radiation-induced bystander effects
challenge this conventional paradigm. In the context of the haemopoietic system,
these, so called, non-targeted effects can be inter-related and an instability
phenotype need not necessarily be a reflection of genomically unstable cells but
a reflection of responses to ongoing production of damaging bystander signals in
the tissue microenvironment. Both the production of and the response to such
signals are influenced by genetic factors and the cell interactions have
properties in common with inflammatory mechanisms.
PMID- 18058370
TI - Experimental anti-tumor therapy in 3-D: spheroids--old hat or new challenge?
AB - PURPOSE: To give a state-of-the-art overview on the promise of three-dimensional
(3-D) culture systems for anticancer drug development, with particular emphasis
on multicellular tumor spheroids (MCTS). RESULTS AND CONCLUSIONS: Cell-based
assays have become an integral component in many stages of routine anti-tumor
drug testing. However, they are almost always based on homogenous monolayer or
suspension cultures and thus represent a rather artificial cellular environment.
3-D cultures--such as the well established spheroid culture system--better
reflect the in vivo behavior of cells in tumor tissues and are increasingly
recognized as valuable advanced tools for evaluating the efficacy of therapeutic
intervention. The present article summarizes past and current applications and
particularly discusses technological challenges, required improvements and recent
progress with the use of the spheroid model in experimental therapeutics, as a
basis for sophisticated drug/therapy screening. A brief overview is given
focusing on the nomenclature of spherical 3-D cultures, their potential to mimic
many aspects of the pathophysiological situation in tumors, and currently
available protocols for culturing and analysis. A list of spheroid-forming
epithelial cancer cell lines of different origin is provided and the recent trend
to use spheroids for testing combination treatment strategies is highlighted.
Finally, various spheroid co-culture approaches are presented that have been
established to study heterologous cell interactions in solid tumors and thereby
are able to reflect the cellular tumor environment with increasing accuracy. The
intriguing observation that in order to retain certain tumor initiating cell
properties, some primary tumor cell populations must be maintained exclusively in
3-D culture is mentioned, adding a new but fascinating challenge for future
therapeutic campaigns.
PMID- 18058371
TI - Low dose radiation and intercellular induction of apoptosis: potential
implications for the control of oncogenesis.
AB - PURPOSE: This review is focused on the potential impact of low dose radiation
effects on intercellular induction of apoptosis and the underlying reactive
oxygen species (ROS)-mediated signaling pathways. RESULTS: Transformed cells are
subject to ROS-mediated apoptosis induction by non-transformed cells
('intercellular induction of apoptosis') and by ROS-mediated autocrine self
destruction. Sensitivity to intercellular induction of apoptosis and autocrine
self-destruction are strictly correlated to the expression of the transformed
state. Extracellular superoxide anions generated by transformed target cells
drive the selectivity and sensitivity of this signaling system which is based on
four different signaling pathways. Low dose irradiation of non-transformed cells
enhances intercellular induction of apoptosis in transformed cells. This process
is controlled by TGF-beta and seems to depend on the induction of peroxidase
release. In addition, low dose radiation enhances superoxide anion generation of
transformed target cells. CONCLUSIONS: Low dose radiation-triggered enhancement
of intercellular induction of apoptosis and autocrine self-destruction might
represent a potential control system during carcinogenesis. It might be the
underlying mechanism for the well-known inhibitory effect of low dose radiation
on detectable transformation events. However, modifications of the complex
intercellular ROS-based signaling system may also lead to configurations in which
low dose radiation attenuates ROS-mediated apoptosis induction.
PMID- 18058373
TI - Statement of retraction.
PMID- 18058372
TI - The impact of conventional and heavy ion irradiation on tumor cell migration in
vitro.
AB - PURPOSE: The influence of X-ray and (12)C heavy ion irradiation on tumor cell
migration and of beta(3) and beta(1) integrin expression was investigated.
MATERIAL AND METHODS: Two different tumor cell lines (U87 glioma and HCT116 colon
carcinoma cells) were irradiated with 1, 3, or 10 Gy X-rays or (12)C heavy ions.
24 h after irradiation a standardized Boyden Chamber assay for migration analysis
was performed and cells were lysed for Western blotting. RESULTS: Radiation
induced influences were cell line- and radiation type-dependent. X-rays decreased
HCT116 migration at higher doses and appear to increase U87 migration after 3 Gy.
Heavy ions decreased migration of both cell lines dose-dependently. A trend of
increased beta(3) and beta(1) integrin expression in U87 cells after both
radiation types was observed. beta(1) integrin expression in HCT116 cells was
increased after X-rays but decreased after heavy ion irradiation. CONCLUSIONS:
Results suggest that irradiation of tumor cells can modulate their migratory
behavior. An increased migration, as shown with U87, leaves a higher probability
of metastatic induction after irradiation of solid tumors in vivo, whereas an
invariably reduced tumor cell migration, as shown after heavy ion treatment,
could diminish the hazard of radiation-induced metastasis. As integrin expression
and migration were only partially correlated, other migration-related surface
molecules may be more relevant for radiation effects on tumor cell motility.
PMID- 18058374
TI - Two new norbisabolane sesquiterpenoid glycosides from Glochidion coccineum.
AB - Two new norbisabolane sesquiterpenoid glycosides, glochicoccinosides A (1) and B
(2), together with two known compounds, have been isolated from the rhizomes of
Glochidion coccineum. Their structures were elucidated by the combination of 1D
NMR, 2D NMR, and MS spectral analysis, as well as chemical evidence. Cytotoxic
activities and the antioxidant effect of these compounds were evaluated, but none
of them showed activity.
PMID- 18058375
TI - Saponins from the seeds of Achras sapota.
AB - A new pentacyclic triterpenoid saponin (2) along with one known was isolated from
the cotyledons of Achras sapota. Their structures were determined with the help
of (1)H NMR, (13)C NMR and MS spectral data. The isolated compounds were named as
3-O-beta-D-glucopyranosyl-(1-->6)-beta-D-glucopyranosyl-28-O-alpha-L
rhamnopyranosyl-(1-->3)-beta-D-xylopyranosyl-(1-->4)-alpha-L-rhamnopyranosyl-(1-
>2)-alpha-L-arabinopyranosyl protobassic acid (1) and 3-O-beta-D-glucopyranosyl
(1-->3)-beta-D-glucopyranosyl-28-O-alpha-L-rhamnopyranosyl-(1-->3)-beta-D
xylopyranosyl-(1-->4)-alpha-L-rhamnopyranosyl-(1-->2)-alpha-L-arabinopyranosyl
16alpha-hydroxy protobassic acid (2). Compound 2 showed antibacterial activity
against Gram positive and negative bacteria.
PMID- 18058376
TI - Flavonoids and ent-labdane diterpenoids from Andrographis paniculata and their
antiplatelet aggregatory and vasorelaxing effects.
AB - Two new flavones, designated as andropaniculosin A (1) and andropaniculoside A
(2), and 30 known compounds were isolated as a result of detailed chemical
examination on the whole plants of Andrographis paniculata. Their structures have
been elucidated mainly by 1D and 2D NMR, and MS spectroscopic methods. Among
them, four flavonoids showed potent inhibition of collagen, arachidonic acid,
thrombin, and platelet activation factor induced platelet aggregation.
Furthermore, a diterpenoid demonstrated moderate vasorelaxing effect in isolated
rat thoracic aorta.
PMID- 18058377
TI - Norsesquiterpenoid glucosides and a rhamnoside of pyrrolizidine alkaloid from
Tephroseris kirilowii.
AB - Four new glycosylated compounds have been isolated from the whole plant of
Tephroseris kirilowii, including (-)-(1R,5R,6S,7R,8S)-8-O-beta-D
glucopyranosyloxy-7-hydroxy-6-(2-hydroxypropan-2-yl)-9-methylenebicyclo[4.3.0]non
3-one (tephroside A, 1), (-)-(1R,5R,6R,8R)-6-(2-O-beta-D-glucopyranosyloxypropan
2-yl)-8-hydroxy-9-methylenebicyclo[4.3.0]non-3-one (tephroside B, 2), thesinine
4'-O-alpha-L-rhamnoside (3), and p-coumaric acid 4-O-alpha-L-rhamnoside (4),
together with the known roseoside. The structures of the new compounds were
established by means of spectroscopic analysis.
PMID- 18058378
TI - Dammarane triterpenes from Ligustrum lucidum.
AB - Two new dammarane triterpenes 3beta-acetyl-20S,24R-dammarane-25-ene-24
hydroperoxy-20-ol (1), 20S,24R-dammarane-25-ene-24-hydroperoxy-3beta,20-diol (2),
as well as three known dammarane triterpenes, 3beta-acetyl-20S,25-epoxydammarane
24alpha-ol (3), 20S,25-epoxydammarane-3beta,24alpha-diol (4), 20S-dammarane-23
ene-3beta,20,25-triol (5) were isolated from the fruits of Ligustrum lucidum.
Compounds 3-5 were isolated from the fruits of L. lucidum for the first time.
Their structures were elucidated by spectroscopic methods.
PMID- 18058379
TI - A triterpene saponin from Tribulus terrestris attenuates apoptosis in cardiocyte
via activating PKC signalling transduction pathway.
AB - The present study was conducted to examine the role of hecogenin-3-O-beta-D
glucopyranosyl(1-->4)-beta-D-galactopyranoside (1), which is a triterpene saponin
of Tribulus terrestris in cardiocytes during chemical hypoxia-ischaemia in vitro.
Neonatal rat ventricular myocytes were isolated by collagenase digestion and
treated with NaCN for 12 h. Cell apoptosis was defined by terminal
deoxynucleotidyl transferase-mediated dUTP nick end labelling (TUNEL) and flow
cytometry. [Ca(2+)] was measured by confocal microscopy. There was a marked
increase in the expression of the anti-apoptotic protein, Bcl-2, by NaCN. This
change was increased by the saponin 1. PKCepsilon protein contents were increased
in the cardiocyte membrane fraction in response to NaCN. PKCepsilon activation
was augmented by the saponin 1. Inhibition of PKCepsilon with inhibitory peptide
prevented Bcl-2 expression. Moreover, the saponin attenuated the apoptosis in
cardiocyte in response to NaCN. It is therefore suggested that the saponin 1 may
play a role in cardiocyte survival via PKCepsilon and Bcl-2.
PMID- 18058380
TI - Irritant and cytotoxic coumarins from Angelica glauca Edgew roots.
AB - Irritant and cytotoxic potentiality of six coumarins, isolated for the first time
from the roots of Angelica glauca identified as 5,6,7-trimethoxycoumarin, 6
methoxy-7,8-methylenedioxycoumarin, bergapten, decursinol angelate, decursin, and
nodakenetin, were investigated. The irritant potential was explored by open mouse
ear assay, evaluating their ID(50) after acute and by IU (Irritant units) after
chronic effects, while the cytotoxic capability was explored by their LC(50),
using brine shrimp (Artemia salina) larvae (nauplii). All the coumarins exhibited
well-defined irritancy on mouse's ears, compared with the positive controlled
euphorbium reaction and cytotoxic response against brine shrimp larvae, compared
with the positive control colchicine. Decursinol angelate and decursin were the
most potent and persistent irritant compounds with least ID(50), whose reactions
lasted for 48 h. 6-Methoxy-7,8-methylenedioxycoumarin and bergaten revealed an
intermediate irritant reactions, while 5,6,7-trimethoxycoumarin and nodakenetin
displayed the least irritant and least persistent reactions on mouse ears. Both
decursin and decursinol angelate also appeared to be the stronger cytotoxic
agents than other coumarins. 5,6,7-trimethoxycoumarin displayed an intermediate
cytotoxic behaviour, while other three coumarins, i.e., 6-methoxy-7,8
methylenedioxycoumarin, bergapten, and nodakenetin, exhibited the least cytotoxic
capacity against brine shrimp larvae.
PMID- 18058381
TI - Metabolites of scutellarein in rat plasma.
AB - The metabolism of scutellarein was investigated in rats. Four metabolites (M1-M4)
together with scutellarein were detected and identified as scutellarein
glucuronides in rat plasma by HPLC-DAD, HPLC-MS, and HPLC-MS/MS.
PMID- 18058382
TI - Two new isoflavone triglycosides from the small branches of Sophora japonica.
AB - Two new isoflavone triglycosides, genistein 4'-O-(6''-O-alpha-L-rhamnopyranosyl)
beta-sophoroside (1), and genistein 4'-O-(6'''-O-alpha-L-rhamnopyranosyl)-beta
sophoroside (2), together with five known compounds, namely, sophorabioside,
genistin, rutin, quercetin 3-O-beta-D-glucopyranoside, and kaempferol 3-O-beta-D
glucopyranoside, were isolated from the small branches of Sophora japonica L.
Their structures were elucidated on the basis of spectroscopic analyses and
chemical evidence.
PMID- 18058383
TI - Two new triterpenoid saponins from the root of Ilex pubescens.
AB - Two new triterpenoid saponins, named ilexsaponins B(4) (1) and C (2), have been
isolated from the roots of Ilex pubescens. Their structures have been established
as ilexgenin B 3-O-alpha-L-arabinopyranosyl-(2-->1)-beta-D-glucopyranosyl-(2-->1)
beta-D-xylopyranoside (1) and 3-O-beta-D-xylopyranosyl spathodic acid 28-beta-D
glucopyranosyl ester (2) by means of spectral and chemical methods.
PMID- 18058384
TI - Oridonin induces human epidermoid carcinoma A431 cell apoptosis through tyrosine
kinase and mitochondrial pathway.
AB - Oridonin, a diterpenoid isolated from the plant Rabdosia rubescens, induces human
epidermoid carcinoma A431 cell death through apoptosis and tyrosine kinase
pathway. To examine the pathway of oridonin-induced A431 cell death, morphologic
observation, lactate dehydrogenase activity-based assay, DNA agarose gel
electrophoresis and Western blot analysis were carried out. When A431 cells,
which overexpress epidermal growth factor receptor (EGFR), were treated with
oridonin, caspase-3 was activated followed by the degradation of caspase-3
substrates, inhibitor of caspase-activated DNase (ICAD) and poly(ADP-ribose)
polymerase (PARP) in a time-dependent manner. Oridonin promoted the release of
cytochrome c and the down-regulation of mitochondrial transmembrane potential
(DeltaPsim). Oridonin up-regulated the expression ratio of mitochondrial
proteins, Bax/Bcl-2. In addition, the total tyrosine kinase activity of A431
cellular proteins and the expression of EGFR were markedly reduced after oridonin
treatment. Taken together, oridonin induced apoptosis in A431 cells via
mitochondrial pathway, activation of caspase-3 and inhibition of tyrosine kinase
activities.
PMID- 18058385
TI - Chemical constituents from Belamcanda chinensis.
AB - One new flavone and one new isoflavone glycoside were isolated along with 15
known compounds from the rhizome of Belamcanda chinensis (Iridaceae), and their
structures were characterised as 5,4'-dihydroxy-6,7-methylenedioxy-3'
methoxyflavone (1) and 3',5'-dimethoxy irisolone-4'-O-beta-D-glucoside (2) on the
basis of spectroscopic methods.
PMID- 18058386
TI - A novel oligosaccharide ester from Syringa pubescens.
AB - Pubescenside A (1), a novel oligosaccharide ester, has been isolated from the
flowers of Syringa pubescens, together with five known compounds D-mannitol (2),
meso-inositol (3), hydrostytosol (4), glucose (5), and sucrose (6). The structure
of 1 was elucidated as 1-O-[beta-D-glucopyranosyl-(1-6)-beta-D-galactopyranosyl
(1-1)-beta-D-galactopyranosyl-6]-4,4-dimethylpelargonicate by chemical and
spectroscopic means. The water extract of the flowers and leaves of S. pubescens
showed cytotoxicity against L2215 cell line (IC(50)=78 microg/ml).
PMID- 18058387
TI - Cognitive-behaviour therapy and skilled motor performance in adults with chronic
tic disorder.
AB - The first aim of the present study was to compare performance of people with tic
disorders (TD) and controls on executive function and a range of skilled motor
tests requiring complex performance, guided movements, hand co-ordination, and
fine control of steadiness. The second aim was to investigate the effect of
cognitive behaviour therapy (CBT) on motor performance. A total of 55 patients
with TD were recruited at baseline from participants in a behavioural management
programme. A comparison group of 55 patients suffering from a variety of habit
disorders (HD) involving complex manual movements, were matched on age and level
of education to 34 non-psychiatric controls. Participants were evaluated pre- and
post-treatment and post-waitlist with a neuropsychological evaluation focusing on
executive function (Wisconsin Card Sorting Test, WCST) and skilled motor
performance (Purdue Pegboard, Hole Steadiness Test, and the Groove Test). Results
revealed WCST scores in the normal range, while motor performance differed
significantly on the Purdue Pegboard Tests in both TD and HD as compared to the
control group. Cognitive-behavioural treatment selectively improved motor
performance in both clinical groups compared to waitlist control, and this
improvement related to clinical outcome measures.
PMID- 18058388
TI - Memory systems involved in professional skills: a case of dense amnesia due to
herpes simplex viral encephalitis.
AB - JL, a 25-year-old physiotherapist, became densely amnesic following herpes
simplex viral encephalitis (HSVE), causing bilateral damage to medial and ventral
areas of her frontal and temporal lobes and their associated circuitry. Three
years post-onset, her WAIS-R full scale IQ (Verbal 74, Performance 102) showed an
estimated loss of +/- 50 points. She displayed severe global amnesia and markedly
impaired social cognition. However, her immediate memory, perceptual priming, and
cognitive problem-solving abilities were relatively spared. Her retention of
professional skills was assessed using simulated physiotherapy scenarios. JL was
able to demonstrate some procedural skills spontaneously, but was unable to apply
them precisely and flexibly to individual patient needs. She showed no memory of
theoretical or propositional physiotherapy knowledge, and could neither plan
treatment nor reason clinically. Her performance was well below that of four
other physiotherapists who had also not practised for 4 years. Thus, despite the
relative sparing of her implicit memory, JL's performance lacked the co-ordinated
operation of declarative and implicit long-term memory and the links to working
memory that are necessary for the flexible performance of complex professional
procedures.
PMID- 18058389
TI - Neurobehavioural treatment for obsessive-compulsive disorder in an adult with
traumatic brain injury.
AB - Although obsessive-compulsive disorder has been reported as one of many anxiety
related sequelae of brain injury, few empirical data of its responsiveness to
psychological intervention are available. In this study, a single participant
changing criterion experimental design was used to evaluate a neurobehavioural
intervention for compulsive behaviour of an adult with severe traumatic brain
injury. The participant, a man aged 24 years, had sustained frontal-temporal lobe
brain trauma 12 months earlier, and presented with compulsive counting and
voiding of bladder. The neurobehavioural intervention consisted of regular in
home consultations, self-regulation procedures including self-recording of
compulsive behaviour, stress-coping strategies, errorless remediation, social
reinforcement, and gradual fading of intervention. Baseline showed counting
occurred on average 80% of daily hourly intervals, and voiding 12 times per day.
Intervention produced elimination of compulsive counting, acceptable voiding at 8
times per day, and reports of the participant's satisfaction with intervention
methods and outcomes. At 6 months follow-up, counting remained at zero levels,
and voiding had decreased further to 7 times per day.
PMID- 18058390
TI - Care arrangements, grief and psychological problems among children orphaned by
AIDS in China.
AB - The China Ministry of Health has estimated that there are at least 100,000 AIDS
orphans in China. The UNICEF China Office estimates that between 150,000 and
250,000 additional children will be orphaned by AIDS over the next five years.
However, limited data are available regarding the sociodemographic
characteristics, care arrangements, barriers to appropriate grief resolution and
psychological problems among AIDS orphans in China. In this article, we review
secondary data and reports from scientific literature, government, non
governmental organisations and public media regarding children orphaned by AIDS
in China to address their living situation, bereavement process and psychological
problems. Our review suggests that AIDS orphans in China are living in a
stressful environment, with many orphans struggling with psychological problems
and unmet basic needs such as food, shelter, education and medical care. Based on
our review, we suggest that future studies should address the psychosocial needs
of AIDS orphans in China and develop health promotion programmes to mitigate the
negative impact of parental death on the physical and psychosocial well-being of
these orphans.
PMID- 18058391
TI - Childhood abuse and initial presentation for HIV care: an opportunity for early
intervention.
AB - An alarmingly high prevalence of childhood sexual and physical abuse has been
observed in HIV-infected men and women, with rates several times higher than
observed in the general population. Childhood abuse history has been associated
with worse antiretroviral adherence and negative health behaviours in HIV
infected patients. This study evaluates the relationship between childhood abuse
and the timing of presentation for HIV care. Participants in a multi-center
prospective cohort study, who established initial HIV care after January 1996 and
had a CD4 count available within six months of initial presentation, were
included in this analysis. Bivariate contingency tables and multivariate logistic
regression were used to evaluate the association of childhood abuse with early
presentation for HIV care (initial CD4 count > or =200/mm3). Among the 186
subjects included in this analysis, 33% had childhood abuse histories and 58% had
an initial CD4 count > or =200/mm3. Participants with a history of childhood
abuse were more likely to present early for HIV care (AOR=2.12; p=0.03), perhaps
because survivors of abuse tend to have higher utilization of health services.
Because HIV-infected patients with childhood abuse histories have worse
antiretroviral medication adherence and are more likely to engage in high-risk
sexual and injection drug use behaviours, early presentation affords clinicians
the opportunity for timely institution of interventions that may improve patient
outcomes and prevent secondary HIV infections.
PMID- 18058392
TI - The influence of disclosure of HIV diagnosis on time to disease progression in a
cohort of Romanian children and teens.
AB - The Joint United Nations Programme on HIV/AIDS (UNAIDS) estimates that in 2004,
there were 39.4 million people living with HIV/AIDS worldwide (UNAIDS/WHO Report
on the global HIV/AIDS epidemic, 2004). Children less than 15 years of age
comprise 2.2 million of these individuals. As more children globally gain access
to highly active antiretroviral therapy (HAART), more children are growing to the
age when disclosure of their HIV status is inevitable. This information may
affect a child's disease trajectory, and in the context of HAART, may have wide
ranging impact in the management of paediatric HIV infection. This study is an
investigation of the effect of disclosure of a child's own HIV infection status
on death and CD4 decline in a cohort of 325 HIV-infected Romanian children
receiving highly active antiretroviral therapy (HAART). A retrospective database
analysis was conducted. Data from a nearly three-year period were examined.
Children who were aware of their HIV diagnosis were compared with those who were
not aware. We found significant associations between not knowing the HIV
diagnosis and death, and not knowing the HIV diagnosis and disease progression
defined as either death or CD4 decline. Our results imply that in the context of
HAART, knowledge of one's own HIV infection status is associated with delayed HIV
disease progression.
PMID- 18058393
TI - Feelings related to motherhood among women living with HIV in Brazil: a
qualitative study.
AB - A qualitative study was carried out with 12 HIV-positive women to describe their
feelings about motherhood, to learn whether they make plans for the care of their
children, and to identify mechanisms of defence they use to face their
seropositivity. Motherhood was seen as an essential attribute of women and a
reason of living. Breastfeeding was considered a fundamental component of the
maternal role. Some women made provisions with their family for the care of their
children. Thinking about the possibility of their children becoming orphans made
women feel impotent and guilty. Such painful feelings were minimized through
mechanisms of defence like compensation, denial, rationalization and projection.
Health care professionals should consider that clinical assistance and free
distribution of medication are not sufficient to HIV-positive women. These women
need continuous support and guidance with respect to their physical, mental and
emotional health as well as that of their children.
PMID- 18058394
TI - Infant feeding practices: realities and mind sets of mothers in Southern Africa.
AB - Exclusively breastfed infants in developing countries are at lower risk of HIV
transmission than mixed-fed infants. Ethno-graphic research was conducted in
eleven low-resource settings across South Africa, Namibia and Swaziland to
understand how the perceptions and experiences of counselling health workers,
pregnant women and recent mothers could be used to improve infant feeding
counselling. Despite prevention of mother-to-child transmission (PMTCT)
programmes, very early mixed-feeding remains the norm; traditional
conceptualisations of 'water as life' and 'milk as a fluid' are holding up
against current PMTCT education, with milk considered liquid 'drink' rather than
'real food'. This aggravates an 'insufficient milk syndrome' where disempowered
mothers perceive their breastmilk, and themselves, as deficient - 'not good
enough'. Infant feeding is embedded within traditional relationships of intimacy;
both relatives and breadwinner have influence and even authority over options and
modes of infant feeding. In patriarchal and violent societies, traditional power
differentials prohibit easy or complete HIV disclosure or condom negotiation; HIV
status remains hidden from most partners and relatives. This context of secrecy
means that the traditional advice and authority, which the mothers feel they dare
not disregard, is often blind to the mother and her infant's HIV status and
survival needs.
PMID- 18058395
TI - What should South African HIV vaccine trials do about social harms?
AB - Phase I and II HIV vaccine trials are currently underway in South Africa. Sites
are being prepared for Phase III vaccine trials. Participants in these trials
risk exposure to 'social harms' that may impact on participant enrolment and
retention and threaten their welfare. Potential social harms should be prevented,
minimised and/or addressed. This paper examines the literature on potential
social harms in HIV vaccine trials. It outlines the type and severity and
frequency of potential social harms and ways these have been monitored in
settings in the developed world and Thailand. We argue that many of these social
harms are likely to manifest in South African trials, however, it is also likely
social harms may manifest differently in our setting, such as domestic violence.
Therefore careful formative research is required to identify what constitutes a
social harm in our setting. Measures should be carefully tailored to record such
events and methods established to prevent or address these.
PMID- 18058396
TI - A model of integrated primary care for HIV-positive patients with underlying
substance use and mental illness.
AB - There is a high burden of underlying substance use and mental illness in HIV
infected populations. HIV-care settings provide an important opportunity to
assess substance and mental health needs among HIV-positive patients and to
provide or make referrals for appropriate treatment services. In 2003, with
funding from the Center for Substance Abuse Treatment (CSAT), we developed a
model of integrated substance-use counselling and referral for treatment within a
primary care HIV-care setting at The Miriam Hospital in Providence, Rhode Island.
The project uses a multidisciplinary approach to provide linkage to treatment
services for substance use and mental illness as well as to help participants
with social service needs, such as housing and medical coverage, to ensure
continuity of care and optimal HIV treatment adherence. Twelve percent of the 965
HIV-infected patients in care at our center have been enrolled in the project. Of
these, all have a current substance-use disorder and 79.3% have been diagnosed
with a mental illness. In addition, most participants are hepatitis C-positive
(HCV) (65.5%). The majority of participants are on antiretroviral therapy
(76.7%). Participants have been referred for the following treatment modalities:
intensive outpatient services, methadone, buprenorphine, outpatient services and
residential as well as individual and group counselling. Our model has been
successful in assessing the substance-use and mental health needs of HIV-infected
individuals with numerous co-morbidities and referring them for ancillary medical
and social services.
PMID- 18058397
TI - The impact of illicit drug use and substance abuse treatment on adherence to
HAART.
AB - High levels of adherence to highly active antiretroviral therapy (HAART) are
essential for virologic suppression and longer survival in patients with HIV. We
examined the effects of substance abuse treatment, current versus former
substance use, and hazardous/binge drinking on adherence to HAART. During 2003,
659 HIV patients on HAART in primary care were interviewed. Adherence was defined
as > or =95% adherence to all antiretroviral medications. Current substance users
used illicit drugs and/or hazardous/binge drinking within the past six months,
while former users had not used substances for at least six months. Logistic
regression analyses of adherence to HAART included demographic, clinical and
substance abuse variables. Sixty-seven percent of the sample reported 95%
adherence or greater. However, current users (60%) were significantly less likely
to be adherent than former (68%) or never users (77%). In multivariate analysis,
former users in substance abuse treatment were as adherent to HAART as never
users (Adjusted Odds Ratio (AOR)=0.82; p>0.5). In contrast, former users who had
not received recent substance abuse treatment were significantly less adherent
than never users (AOR=0.61; p=0.05). Current substance users were significantly
less adherent than never users, regardless of substance abuse treatment (p<0.01).
Substance abuse treatment interacts with current versus former drug use status to
affect adherence to HAART. Substance abuse treatment may improve HAART adherence
for former substance users.
PMID- 18058398
TI - Development and psychometric validation of the HIV Treatment Knowledge Scale.
AB - Accurate treatment knowledge is required for patients to successfully manage
complex medical conditions. Existing HIV knowledge scales focus on disease
transmission and risk factors. This is the first study to develop and validate a
scale to measure HIV treatment knowledge about complex treatment issues such as
adherence, side-effects and drug resistance. A total of 346 participants were
recruited into this cross-sectional study. Participants included HIV-positive
patients (n=130), HIV-hepatitis C co-infected patients (n=22), hepatitis C
patients, (n=78), community healthcare providers (n=35) and college students
(n=81). Participants completed the proposed HIV Treatment Knowledge Scale and a
validated measure of general knowledge about HIV transmission and risk factors.
Two-week test-retest data were collected. Results demonstrated that the HIV
Treatment Knowledge Scale was significantly correlated with general HIV knowledge
across all samples. Among HIV-positive patients, the HIV Treatment Knowledge
Scale was positively associated with time since HIV diagnosis. HAART-experienced
patients had significantly higher treatment knowledge than HAART-naive patients.
HIV-positive patients scored significantly higher than hepatitis C patients and
college students on HIV treatment knowledge. Test-retest reliability (r=0.83) and
internal consistency (reliability coefficient=0.90) were both satisfactory. The
HIV Treatment Knowledge Scale is a novel, easy-to-administer measure
demonstrating high levels of validity and reliability. It has important
applications as a clinical teaching tool with patients and healthcare workers and
it could be used as an outcome indicator in HIV educational intervention studies.
PMID- 18058399
TI - Subjective memory complaints of Chinese HIV-infected patients in Hong Kong:
relationships with social support, depressive mood and medical symptoms.
AB - The present study aimed at investigating the contribution of social support,
depressive mood, medical symptoms and objective memory performance to the
subjective memory complaints of Chinese HIV-infected persons in Hong Kong. Ninety
HIV-infected persons were administered the Hong Kong List Learning Test (HKLLT)
as an objective measure of memory. They also reported their subjective memory
complaints, HIV-related medical symptoms, depressive mood and perceived social
support by self-administered questionnaires. Path analyses were conducted to
evaluate models that depicted the relationships among the variables. The final
model that showed the best fit to the data suggested that objective memory
performance had no significant role to play in patients' subjective memory
complaints. Depressive mood was found to be a significant factor that directly
affected patient's subjective memory complaints and social support played an
indirect role with depressive mood as a mediating variable. These findings
suggest that memory complaints might be an indicator of mood disturbance and
social support might be useful in ameliorating depressive mood and these
complaints. The need of assessment of other cognitive functions in future
research is also discussed.
PMID- 18058400
TI - A community participation intervention to reduce HIV/AIDS stigma, Nakhon
Ratchasima province, northeast Thailand.
AB - This paper explores HIV/AIDS stigma in the socio-cultural context of Nakhon
Ratchasima Province in the northeastern region of Thailand. Action research was
used to develop a community participation intervention. The intervention was
implemented in one village over a period of eight months. We describe the
intervention and then test its effect on HIV/AIDS knowledge and HIV/AIDS stigma
using a non-equivalent pre-test-post-test control group design. Analysis of co
variance confirms that, controlling for initial levels of HIV/AIDS knowledge and
stigma, the intervention had a significant effect on HIV/AIDS knowledge score
(p<0.01) and HIV/AIDS stigma score (p<0.01). Participatory observations by the
researchers on villagers' perceptions and behaviour were consistent with the
quantitative results. These results suggest that community interventions which
empower the community, combined with a financial contribution to reduce resource
constraints, are a useful and effective means of increasing interaction between
people living with HIV/AIDS (PLWHA) and other community members, increasing
tolerance and reducing HIV/AIDS stigma.
PMID- 18058401
TI - Clinic appointment attendance for sexually transmitted infection screening among
Filipina sex workers: a multilevel analysis.
AB - This study evaluates putative individual- and contextual-level social risk
factors that may influence the likelihood that Filipina female sex workers (FSWs)
attend and utilize health services for STI screening. Face-to-face interviews
were conducted with 1004 FSWs and their 86 employers. Research staff also
collected clinic appointment attendance data. Hierarchical linear modelling was
used to estimate the simultaneous effects of individual- and workplace-level
factors. Results showed that both individual- and contextual-level
characteristics were associated with STI screening appointment attendance.
Individual characteristics found to have significant effects on clinic attendance
included occupation, income, length of work and commercial sex involvement. City
of establishment was a workplace characteristic significantly associated with
appointment attendance. In addition to cross-level interactions, the impact of
individual-level occupation depended upon characteristics of the workplace. These
findings suggest that individual health service utilization is contingent upon
contextual-level risk factors in the workplace. Intervention implications aimed
at increasing clinic attendance are discussed.
PMID- 18058402
TI - HIV infection dynamics in rural Andhra Pradesh south India: a sexual-network
analysis exploratory study.
AB - The southern state of Andhra Pradesh (AP) has one of the highest rates of HIV-1
infection in India. Estimates of HIV infection in rural areas have begun to
approximate the urban. Methods of HIV transmission in rural India are poorly
understood. We examined risk factors for HIV transmission in a group of rural
villages in AP through the use of a sexual-network analysis survey - the Indian
Health and Family Life Survey (IHFLS). The study sample included 20 HIV-positive
and 40 HIV-negative matched controls randomly selected from a population-based,
voluntary counselling and testing program in rural AP. HIV-1 status was confirmed
by Western Blot. The 405-item IHFLS is based upon the National Health and Life
Survey which has been validated in the US and China. The sample mean age was 37
years and 22% were of a tribal caste. Among female respondents, none were
commercial sex workers (CSWs) and there were no significant social or behavioral
associations with HIV infection. Among male respondents, ever having bought sex
and having more than one lifetime partner were found to be significantly
associated with HIV infection (p=0.002 and p=0.017). Amongst sub-populations, all
men who had sex with men (MSM) were married. Tribals were more likely to report a
concurrent sexual relationship (p=0.04). All high-risk men, including MSM, men
who buy sex and men with multiple lifetime female partners did not use condoms.
Public health interventions aimed at reducing HIV transmission in rural AP should
consider targeting sub-populations of men who engage in covert MSM or CSW, high
risk tribal caste members and at-risk wives.
PMID- 18058403
TI - Gender differences in condom use prediction with Theory of Reasoned Action and
Planned Behaviour: the role of self-efficacy and control.
AB - There is much evidence that demonstrates that programs and interventions based on
the theoretical models of the Theory of Reasoned Action (TRA) and the Theory of
Planned Behaviour (TPB) have been effective in the prevention of the sexual
transmission of HIV. The objective of this work is to compare the effectiveness
of both models in the prediction of condom use, distinguishing two components
inside the variable Perceived Behavioural Control of the TPB model: self-efficacy
and control. The perspective of gender differences is also added. The study was
carried out in a sample of 601 Portuguese and Spanish university students. The
results show that the females have a higher average in all the TPB variables than
males, except in the frequency of condom use: females request the use of condoms
less frequently than males. On the other hand, for both females and males the TPB
model predicts better condom-use intention than the TRA. However there are no
differences between the two models in relation to the prediction of condom-use
behaviour. For prediction of intention, the most outstanding variable among
females is attitude, while among males they are subjective norm and self
efficacy. Finally, we analyze the implications of these data from a theoretical
and practical point of view.
PMID- 18058404
TI - Internet use among low-income persons recently diagnosed with HIV infection.
AB - Patients are increasingly using the Internet to obtain health-related
information, communicate with providers and access research. Use of the Internet
to obtain health-related information by low-income patients recently diagnosed
with HIV infection has not been examined. In 2005, we surveyed 126 low-income
patients diagnosed with HIV infection within the last three years. Eighty-five
percent of the patients were<50 years old, 63% were male, 68% were minority race,
27% were Hispanic and 61% acquired HIV through heterosexual intercourse. Twenty
eight percent never completed high school and 74% earned<$15,000 in 2004. While
89% indicated they would like to use the Internet to access information about
HIV, 52% had never used the Internet, 28% had never used it to obtain health
related information and only 18% had done so at least monthly for the last six
months. Two-thirds of the population studied would need instruction on how to use
the Internet. In multivariable regression, 2004 income > or =$15,000 predicted
monthly Internet use to obtain health-related information. Older age,
heterosexual intercourse as HIV risk factor and inadequate health literacy were
independent predictors of needing instruction. The low-income population with HIV
infection lags behind the general population in Internet access and may not
benefit from Internet-dependent advances in health communication, including HIV
related interventions.
PMID- 18058406
TI - Childhood sexual and physical abuse histories, PTSD, depression, and HIV risk
outcomes in women injection drug users: a potential mediating pathway.
AB - We explored links between childhood sexual abuse (CSA), childhood physical abuse
(CPA), posttraumatic stress disorder (PTSD)/depression, and women injection drug
users' (IDUs') risk in 113 women recruited from two syringe exchange sites. More
than half (56%) reported CSA, 68% CPA, 23% likely were depressed-only, and 53%
likely had PTSD/depression. CSA was associated with sexual (p = 0.003) and drug
risk (p = 0.05); CPA was not. CSA was associated with PTSD/depression (p = 0.03);
PTSD/depression was associated with sexual (p < 0.01) and drug (p < 0.03) risk.
After PTSD/depression adjustment, CSA was no longer associated with sexual or
drug risk. These results suggest that women IDUs' CSA-to-risk path is mediated by
PTSD/depression.
PMID- 18058407
TI - Differences in early onset alcohol use and heavy drinking among persons with
childhood and adulthood trauma.
AB - We examined predictors for age at onset of first alcohol use and onset of
heaviest alcohol use among men (n = 43) and women (n = 46) with alcohol
dependence and PTSD, PTSD only, alcohol dependence only, and controls, with a
particular focus on individuals with child versus adult trauma. Using analysis of
variance procedures, results showed differences in onset of first alcohol use and
heaviest drinking between childhood and adulthood trauma victims. These
preliminary results indicate that behavioral mechanisms associated with alcohol
use patterns between individuals with childhood and adulthood trauma are
dissimilar, suggesting greater psychopathological consequences for individuals
with childhood trauma.
PMID- 18058408
TI - The impact of personality disorders on alcohol-use outcomes in a pharmacotherapy
trial for alcohol dependence and comorbid Axis I disorders.
AB - Although antisocial and borderline personality disorders frequently co-occur with
alcohol dependence and other Axis I disorders, their effect on alcohol use
outcomes in context of pharmacotherapy remains unclear. Patients with Major Axis
I disorders, including alcohol dependence, and diagnosis of antisocial (ASPD) or
borderline personality disorder (BPD) were enrolled in a 12-week medication trial
for treatment of their alcohol dependence. Everyone was randomized to one of four
cells: naltrexone alone, placebo alone, open label disulfiram and naltrexone, or
open label disulfiram and placebo. Outcome measures included scales for alcohol
use and craving. Data were analyzed comparing patients with ASPD vs. those
without, and patients with BPD vs. those without. Diagnosis of personality
disorder did not adversely affect alcohol outcomes, and patients with ASPD or BPD
did not have a poorer response to medication than patients without diagnosis of
ASPD or BPD. The findings suggest that naltrexone and disulfiram can be safely
and effectively used with patients who have comorbid diagnoses of Axis I and Axis
II disorders.
PMID- 18058409
TI - Pathways to nicotine dependence in African American and Puerto Rican young
adults.
AB - This investigation examined the pathways to nicotine dependence among a sample of
inner city African-American and Puerto Rican young adults (mean age = 26.1 years,
SD = 1.4 years). Four hundred and seventy-five young adults were interviewed. The
findings based on structural equation models showed that family conflicts,
parental tobacco use, and weak ethnic identity were associated with vulnerable
personality attributes and drug use, which in turn were related to nicotine
dependence. Prevention strategies in young adults aimed at family conflicts,
parental tobacco use, vulnerable personality attributes, drug use, weak ethnic
identity, and socioeconomic status should be effective in reducing risks for
nicotine dependence.
PMID- 18058411
TI - Withdrawal symptoms do not predict relapse among subjects treated for cannabis
dependence.
AB - This is the first follow-up study on the association between cannabis withdrawal
symptoms and risk of relapse to cannabis use. Withdrawal symptoms were assessed
in 36 subjects seeking treatment for cannabis dependence. All were free of other
substance use or alcohol abuse in the month before abstinence from cannabis.
Follow-up was performed 26+/-4 months later, and at this point, the withdrawal
symptoms were re-assessed. The following symptoms were significantly elevated
after abstinence compared with follow-up: irritability, anger, depression,
restlessness, craving, sleep problems, strange dreams, increased appetite,
violent outbursts, sweating, hot flashes, chills, and shakiness. This offers
further validation of a cannabis withdrawal syndrome. Average withdrawal scores
at baseline did not differ with gender, age, treatment type, extent of cannabis
use, or a lifetime history of anxiety or affective disorders. Withdrawal scores
at baseline did not predict relapse during follow-up.
PMID- 18058410
TI - Clinic variation in the cost-effectiveness of contingency management.
AB - This study determined whether, and by how much, the cost-effectiveness of
contingency management (CM) varied across the eight clinics in the National
Institute on Drug Abuse Clinical Trials Network MIEDAR trial. Incremental costs,
incremental outcomes, and incremental cost-effectiveness ratios (ICERs) of CM
compared to usual care were calculated, compared and contrasted for each of the
clinics. Results showed that the incremental cost of using CM compared to usual
care varied by a factor of 1.9 across the clinics, ranging from an additional
$306 to an additional $582 per patient. The effect of CM on the longest duration
of continuous stimulant abstinence (LDA) varied by a factor of 8.0 across the
clinics, ranging from an additional 0.5 to an additional 4.0 weeks. The ICERs for
the LDA varied by a factor of 4.6 across the clinics, ranging from $145 to $666.
These results show that the cost-effectiveness of CM varied widely among the
clinics in the MIEDAR trial. Future research should focus on identifying the
sources of this variation, perhaps by identifying clinic-level best practices
and/or identifying those subgroups of patients that respond the most cost
effectively, with the ultimate goal of improving the cost-effectiveness of CM
overall.
PMID- 18058412
TI - Combining cognitive behavioral therapy with contingency management for smoking
cessation in adolescent smokers: a preliminary comparison of two different CBT
formats.
AB - This pilot study evaluated the optimal format of cognitive behavioral therapy
(CBT) to combine with contingency management (CM) in a four-week, high school
based smoking cessation program. Thirty-four adolescent smokers received a
standard weekly version of CBT or a frequent brief behavioral intervention.
Results indicate a trend toward a higher seven-day point prevalence end-of
treatment abstinence rate and percent days abstinent during treatment in the CBT
condition. In addition, significantly more participants in the CBT group
completed treatment. These preliminary results suggest that when combined with
CM, the standard weekly format of CBT is more acceptable to adolescent smokers.
PMID- 18058413
TI - The CAGE as a measure of hazardous drinking in the homeless.
AB - The aim of this study was to test the validity of the CAGE questions as a measure
of severe drinking in subjects at drop-in centers for the homeless, using
biological markers of acute liver reaction to alcohol as the "gold standard." A
sample of homeless men and women in Copenhagen were invited to participate in a
study of health problems. Subjects were interviewed and blood samples were taken
and screened for indicators of liver dysfunction (gamma-glutamyltransferase
[gammaGT], mean corpuscular volume [MCV], alanine aminotransferase [ALAT], and
alkaline phosphatase [Alpase]), and hepatitis C [HCV]. Scores on CAGE correlated
strongly with years of heavy drinking (rho = 0.43, p < 0.001), and while years of
drinking did not correlate with biomarkers after controlling for multiple
hypothesis testing, CAGE correlated with gammaGT, Alpase and ALAT, but not MCV.
The correlations held even among those without HCV, but subjects with HCV + and
CAGE > 1 had quite extreme values on liver markers. Findings suggested that the
CAGE was able to identify homeless drinkers whose drinking was significantly
associated with increases in biomarkers associated with heavy drinking.
PMID- 18058414
TI - Searching the Internet for drug-related web sites: analysis of online available
information on ecstasy (MDMA).
AB - Although the Internet is a growing source of information on MDMA/ecstasy, no
studies so far have investigated the level and quality of ecstasy information
available to the typical Web user. In the present study, 280 Web sites were
identified and analyzed; 50.4% had an anti-drug approach, 16.2% a harm reduction
approach, and 24.8% a pro-drug approach. MDMA pro-drug Web sites appeared
significantly earlier in the search engines' results list than both anti-drug and
harm reduction Web sites (F (3; 159) = 3.288; p = .022). This study represents
the first systematic analysis of information available online on ecstasy.
Implications for further research are discussed.
PMID- 18058415
TI - Assessment of club drug use in a treatment-seeking sample of individuals with
marijuana dependence.
AB - Club drug use is becoming increasingly popular in the United States and has been
associated with chronic psychiatric symptoms and neuropsychological
abnormalities. Patterns of club drug use and characteristics of club drug users
are not homogeneous. Thus, treatment-seeking marijuana-dependent individuals may
have a differential pattern of club drug use. Baseline assessments collected from
55 individuals participating in a pharmacological treatment study for marijuana
dependence were examined. Individuals completed a 16-item self-report
questionnaire assessing club drugs used, frequency and patterns of use, problems
associated with use, and reasons for use. Subjects were primarily male (87.3%)
and Caucasian (81.8%), with a mean age of 32.1 (+/-9.1 years). As expected, a
large number of individuals had used ecstasy (75%). However, LSD and
methamphetamine use was also reported by many users (82.5% and 47.5%
respectively), with many individuals reporting the use of more than one club
drug. Notably, 31.6% of individuals reported tolerance to club drugs. These
results emphasize the significant co-occurrence of club drug use in marijuana
dependent individuals. This appears to be the first study to report on club drug
use in treatment-seeking marijuana-dependent individuals. Clinical implications
and directions for future research are discussed.
PMID- 18058416
TI - Factors associated with altered pharmacokinetics in substance users and non
substance users receiving lopinavir and atazanavir.
AB - Substance use is highly prevalent in HIV-infected individuals in the United
States, and clinical management is complicated by the need for antiretroviral
treatment, addiction therapy, variable medication adherence, and co-morbidities.
The interrelation between HIV and substance use prompted our investigation to
examine substance use and self-reported medication adherence in patients
receiving the HIV-1 protease inhibitors, atazanavir (ATV) or lopinavir (LPV). ATV
and LPV pharmacokinetics were determined by measuring plasma concentrations in
subjects with active substance use (SU group) or with no active substance use
(NSU group). No difference in adherence was observed between groups (p > 0.05).
The mean SU ATV trough was 0.550+/-0.45 microg/mL; the mean NSU ATV trough was
0.780+/-0.590 microg/mL (p > 0.05). The mean SU LPV trough was 4.02+/-2.39
microg/mL; the mean NSU LPV trough was 6.67+/-0.910 microg/mL (p = 0.01). Co
factors found to be associated with variation in ATV and LPV concentrations
included concurrent methadone use, cigarette smoking, and substance use status.
These data indicate that chronic HIV treatment may be assisted with plasma
concentration monitoring to identify those patients who may require dosage
modification and/or regimen adjustment in order to optimize antiretroviral
effects.
PMID- 18058417
TI - Telephone enhancement of long-term engagement (TELE) in continuing care for
substance abuse treatment: a NIDA clinical trials network (CTN) study.
AB - The TELE study examined the feasibility and potential efficacy of phone calls to
patients after discharge from short- term inpatient and residential substance
abuse treatment programs to encourage compliance with continuing care plans.
After review of their continuing care plans, 339 patients from four programs were
randomized either to receive calls or to have no planned contact. Ninety-two
percent of patients randomized to receive calls received at least one call. No
difference was found between groups in self-reported attendance at one or more
outpatient counseling sessions after discharge (p = .89). When program records of
all participants were examined, those receiving calls had a greater likelihood of
documented attendance (48%) than those not called (37%). Results were not
statistically significant (p < .003) because of the Hochberg correction for
multiple tests. While the phone calls were feasible, the lack of clear evidence
of efficacy of the calls suggests the need for further investigation of the role
of telephone intervention to encourage compliance and improve outcomes.
PMID- 18058418
TI - Assessing negative consequences in patients with substance use and bipolar
disorders: psychometric properties of the short inventory of problems (SIP).
AB - The Short Inventory of Problems (SIP), a questionnaire that measures negative
consequences of alcohol use, has not been validated among substance users with co
occurring psychiatric illness. This study examined the psychometric properties of
the SIP in 57 outpatients diagnosed with substance use disorder and bipolar
disorder. We modified the items to assess drugs in addition to alcohol and,
further, we added corresponding items to assess consequences of bipolar disorder.
This modified version of the SIP was psychometrically sound, and may be useful in
patients with both disorders. A greater understanding of perceived negative
consequences may enhance outcome assessment in dually diagnosed populations.
PMID- 18058419
TI - Impact of substance abuse treatment on arrests among opiate users in Washington
State.
AB - Administrative data from Washington State's Division of Alcohol and Substance
Abuse drive this three-year prospective study of the impact of substance abuse
treatment on arrests among 12,962 opiate users receiving publicly funded
substance abuse services. Using survival analysis, the risk of arrest among
opiate users who receive substance abuse treatment is compared to those who do
not receive treatment. Propensity scores control for client characteristics
associated with admission to substance abuse treatment. Overall, a reduction in
the risk of arrest was found among subjects in treatment (Hazard Ratio = 0.59
0.78, p < .05) and subjects successfully completing treatment (Hazard Ratio =
0.75, p < .05). Risk of arrest was elevated among those with a negative outcome
to treatment (Hazard Ratio = 1.23, p < .05).
PMID- 18058420
TI - Perception of smoking-related health consequences among pregnant and non-pregnant
women.
AB - The objective was to examine the perception of smoking-related health
consequences and its relationship to pregnancy and intent to quit. Over a two
week period, pregnant and non-pregnant women, intending and not intending to quit
smoking, rated the probability for smoking-related health consequences to occur,
given continuing to smoke and quitting smoking. Pregnant women who did not intend
to quit smoking exhibited the lowest estimated probability for the smoking
related health consequences to occur if they continued smoking. For all women,
there was a statistically significant estimated effect of quitting smoking.
Renewed attention needs to be given to perceptions of health risks of smoking,
especially among pregnant women.
PMID- 18058421
TI - A case of rectal abuse of Preparation H.
PMID- 18058422
TI - Neuropsychiatric insights in clinical practice: from relapse prevention toward
relapse management.
PMID- 18058423
TI - Do current government policies sufficiently regulate the interaction between
viewing smoking in movies and adolescent smoking behavior?
PMID- 18058424
TI - Intravenous zolpidem abuse: a case for serotonin depletion.
PMID- 18058429
TI - Story stem narratives with young children: moving to clinical research and
practice.
AB - Story stem narrative methods have demonstrated reliability and validity as
assessments of the young child's representations of parent - child and peer
relationships. Most, but not all, prior research has been conducted with samples
of typically developing children. Growing interest in the method from clinical
researchers and child psychiatry clinics brings forward a number of critical
issues in its use with children referred for severe behavioural disruption and
mood disorder. This special issue of Attachment & Human Development provides a
collection of papers that demonstrates some of the unique theoretical
contributions of the method for clinical research. Practical aspects of using
story stem methods with the referred child are also considered.
PMID- 18058430
TI - Disorganized attachment representation and atypical parenting in young school age
children with externalizing disorder.
AB - We investigated the relationship of child attachment representation,
psychopathology, and maternal atypical parenting in a high risk sample. Sixty-one
consecutive clinical referrals with externalizing disorder aged 4 - 9 years were
assessed for attachment representations measured with Manchester Child Attachment
Story Task (MCAST), atypical parental expressed emotion (EE), maternal mood, and
parent and teacher ratings of child behaviour. Disorganized attachment
representations were found in 58% of cases, independent of ADHD symptoms.
Pervasive disorganization was associated with very high maternal EE. Attachment
status, maternal depression, and ADHD diagnosis were independently associated
with parent-rated child behaviour problems; teacher ratings were associated with
child's age and ADHD status. Disorganized attachment shows a high prevalence and
independent associations with attention deficit symptomatology and maternal EE.
PMID- 18058431
TI - Aggression and intentionality in narrative responses to conflict and distress
story stems: an investigation of boys with disruptive behaviour problems.
AB - In this study we examined whether antisocial boys show evidence of a reduced
interpersonal interpretation of events (intentionality) specifically in story
stem responses to social challenges that provoke fear and distress responses. Two
conflict and two distress stems were administered to 5 - 8 year old boys, 41
referred for disruptive behaviour problems and 25 non-referred boys. Raters blind
to group membership scored dysregulated aggression and intentionality from
transcripts of story responses. Referred boys had elevated aggression and lower
intentionality scores across all stems compared to non-referred. However, there
was a story type by group interaction; referred boys had substantially lowered
intentionality in response to the distress stems, but not the conflict stems.
Avoidant strategies that reduce threat related anxiety or fear also contribute to
disruptive behaviour problems.
PMID- 18058432
TI - Depressed and healthy preschoolers' internal representations of their mothers'
caregiving: associations with observed caregiving behaviors one year later.
AB - This study examined diagnostic group differences in children's internal
representations of their mothers and mothers' parenting strategies 1 year later.
Mother - preschool child dyads (N = 279) were examined. The sample included 151
healthy, 75 depressed, and 53 disruptive disordered preschoolers. The MacArthur
Story Stem Battery (MSSB) was administered at baseline. One year later, mothers'
caregiving strategies were measured. Results indicated that higher depression
severity was associated with preschoolers' greater use of negative and
disciplinarian maternal representations. More positive maternal representations
had supportive mothers who often expressed positive affect 1 year later.
Preschoolers' negative and disciplinarian representations were associated with
mothers' later nonsupportive behaviors and negative affect. Results suggest that
the MSSB may be a useful child-informant method for interpreting depressed
children's internalization of caregiving relationships.
PMID- 18058433
TI - Story stem responses of preschoolers with mood disturbances.
AB - Observing the young child's affect regulation and thought processes during a
clinic assessment visit is of critical importance although challenging for
children referred for mood disturbance. In this study, parents reported symptoms
using standardized clinical interviews and story stems narratives were
administered to 20 referred and 12 typically developing preschool age children.
Comparison of the referred and typically developing children in our sample showed
that specific story contexts varied in eliciting responses reflecting
disorganization and thought disturbance from the referred children. The
experience of using story stem narratives in the clinical assessment process
suggests it provides a valuable complement to parent report for children referred
for mood disturbance and mania symptoms but additional development and study of
the method is necessary.
PMID- 18058434
TI - Story stem narratives of clinical and normal kindergarten children: are content
and performance associated with children's social competence?
AB - This study examined whether content and performance in story stem narratives were
associated with children's social competence, and whether children's symptom
levels moderated these associations. Five-year-old children from a clinically
enriched Swiss sample completed eight stories (N = 187). Teachers rated
children's social competence. Parents and teachers rated behavioral/emotional
symptoms that were used to categorize children into clinical (n = 80), borderline
(n = 31), and normal (n = 74). Controlling for gender and verbal competence, no
differences were found in story responses between normal and clinical children.
However, pro-social/moral and disciplinary themes, and coherence and quality of
narration were significantly associated with children's social competence. The
associations between narratives and social competence were prominent in the
clinical children, suggesting that narrative assessments may help to identify
resources on which psychotherapeutic approaches can build.
PMID- 18058435
TI - Future directions for doll play narrative research: a commentary.
AB - Past research into doll play narratives has been productive in elucidating
children's inner experiences, their determinants, and their role in child
behaviour problems. The current volume takes this work forward in several
directions: first, it indicates the value of designing story stems and coding
schemes to address more specific questions about the developmental process of
specific syndromes. Second, contributions demonstrate the "added value" provided
by children's narratives, over and above information derived from other sources.
Third, this recent research enhances our understanding of the role of parental
representations and states of mind in influencing children's narratives; how
these may come to influence child functioning via co-constructed parent - child
dialogues is an important area for future research. Finally, possibilities of
extending the clinical utility of doll play narratives are explored.
PMID- 18058436
TI - Engaging imagination and the future: frontiers for clinical work.
AB - Narrative theory indicates that assessment using narratives is expectant,
collaborative, and has the potential to begin a process of change. A shift from
thinking exclusively about meaning (subjectivity in the child) to thinking also
about shared meaning (intersubjectivity between child and clinician-examiner)
seems appropriate in the clinical context. Recent knowledge from the cognitive
neurosciences makes a shift of this sort compelling and has further implications.
More story stem narrative research at the level of assessing individual children
needs to be done so there can be explicit links to treatment.
PMID- 18058437
TI - Women's mental health.
PMID- 18058438
TI - Women and depression: a 30 year learning curve.
AB - This paper reviews 30 years of longitudinal research, initially undertaken to
examine gender differences in rates of major depression and psychosocial risk
factors for depression. The research focus has broadened to include the impact of
anxiety on depression onset, coping styles for stress and depression, genetic and
environmental influences on depression onset, and more recently, a shift towards
examining positive mental health. The original cohort consisted of a socially
homogenous group of postgraduate teacher trainees and does not attempt to
represent the normal variability in an adult population. However, the issues
raised by this research provide many insights about real and artefactual factors
that contribute to the rate and experience of depression. The study findings are
supplemented by data from other studies undertaken by this research team that are
pertinent to the topic and add weight to some of the observations from the
Teachers' Study.
PMID- 18058439
TI - Women, catastrophe and mental health.
AB - This paper examines the concept of catastrophic experience, its relationship to
the range of acute and prolonged stressors to which women may be exposed and the
broad impacts on their mental health and well-being. It identifies catastrophe in
terms of multiple accumulated stresses including death, loss, victimization,
demoralization, shame, stigmatization, helplessness and identity. Catastrophic
experiences include personal violence in domestic circumstances of intimate
partner abuse, sexual assault and child physical and sexual abuse. Women's
experiences of loss through the violent deaths of children and loved ones may
also have such enduring impacts. Terrorism victimizes men and women in this way,
with the enduring impacts for women in terms of threat of ongoing attacks as well
as acute effects and their aftermath. The catastrophes of war, conflict,
genocide, sexual exploitation and refugee status differentially affect large
numbers of women, directly and through their concerns for the care of their
children and loved ones. Ultimate catastrophes such as Hiroshima and the
Holocaust are discussed but with recognition of the very large numbers of women
currently experiencing catastrophe in ongoing ways that may be silent and
unrecognized. This is significant for clinical care and population impacts, and
in the losses for women across such contexts.
PMID- 18058440
TI - How does being female assist help-seeking for mental health problems?
AB - OBJECTIVE: In Australia the prevalence of mental health problems does not vary by
gender, but help-seeking and service utilization do. The aim of the present study
was to examine a number of attitudinal factors that may influence help-seeking
for mental health problems. METHOD: A cross-sectional community survey was
conducted with a sample of 579 rural residents (57.9% female), who completed self
report measures assessing stoicism, alexithymia, perceived and personal stigma
and the various facets of the personality trait of openness to experience.
RESULTS: Men scored higher on measures of stoicism and personal stigma associated
with mental health problems than women, and compared to women had lower scores on
the facets of openness to experience. CONCLUSIONS: Higher rates of help-seeking
for mental health problems by women may be due to lower levels of stoicism and
personal stigma related to mental health problems in women compared to men.
PMID- 18058441
TI - Abortion and the struggle to be good in the 1970s.
AB - OBJECTIVE: This study used social science methodology to illuminate an important
clinical question that had been inaccessible to researchers until the 1970s. The
question was what effect did an abortion have on normally rule abiding women.
Abortion had been presumed to be illegal until a judicial decision in 1969. In
1972 Australia's first abortion clinic was established, and the participants in
this study were the women who attended it in 1974. This study was the first of
its kind in Australia. METHOD: Interviews were conducted with 32 women who had a
lawful termination of pregnancy and who agreed to be interviewed one year later.
84% of women agreed to follow-up contact, and 34 were contacted. Social science
theory about deviance, social stigma and norm violation was used to inform the
study. RESULTS: Single, nulliparous women, were troubled by the potential
exposure of their rule breaking sexual activity. The abortion was in part an
action taken to preserve their persona as competent, moral beings capable of
taking care of themselves. The abortion was the sensible next step in managing a
missed menstrual period, the back up when contraception or plans for love and
marriage failed. These women took mothering seriously and had an abortion to
avoid becoming inadequate mothers. Abortion was a challenge to the married
women's sense of themselves as good mothers, and their motives related to good
mothering. The working class women had histories of managing tough and
challenging life events, and they used the strengths, skills and networks they
had established and applied those to the abortion decision. CONCLUSION: The most
important and frequent effect of abortion was to make women feel more competent
in managing their lives. The skills required to locate and access an abortion
clinic against a backdrop of general social disapproval, expanded their sense of
themselves as actors in their own lives. Their stories, as well as networks of
support, enabled them to integrate abortion into their sense of themselves as
good women.
PMID- 18058442
TI - Preliminary findings from the National Register of Antipsychotic Medication in
Pregnancy.
AB - OBJECTIVE: Following the presentation of a case study and an overview of current
data highlighting the need for further research into the use of antipsychotic
medication during pregnancy, the aim of the present paper was to outline the
establishment of, and present preliminary data from, the National Register of
Antipsychotic Medication in Pregnancy (NRAMP). METHOD: Australian women with a
history of psychosis, including schizophrenia, bipolar affective disorder with
psychosis, schizoaffective disorder and first-episode psychosis, who are
pregnant, are currently being invited to participate. The confluence of
speculated national pregnancy rates and epidemiological data regarding child
bearing-age women with psychosis suggested an enrollment target of 100 women over
a 24 month period. Details of antipsychotic medication are recorded throughout
the pregnancy and for 1 year postnatally. Interviews with the mother are
conducted 6 weekly antenatally, and then at 6 and 12 weeks, and 6 and 12 months
postnatally, to assess symptoms of psychosis and depression, and attitudes
towards parenting. In addition, consultations are conducted with the women's
health-care providers to collate information regarding pharmacology and related
side-effects, obstetric outcomes, psychiatric diagnoses and symptoms during
pregnancy and for 1 year after delivery, and the provision of details on the
baby's health and well-being. RESULTS: NRAMP was launched in 2005. Ethics
approvals have been gained at 14 sites nationally. Thirty women have consented,
and 11 have completed. Data including demographics, health-care provision and
medication for the first 30 participants are presented. CONCLUSIONS: The
establishment of NRAMP is an important strategy in improving the management of
serious mental illness such as schizophrenia and related disorders, in women who
are pregnant. This project involves extensive collaboration between many
different clinical groups and industry, and shall culminate in an important
resource to improve the quality of life for both patients and future generations.
PMID- 18058443
TI - Antenatal psychosocial risk factors and depression among women living in
socioeconomically disadvantaged suburbs in Adelaide, South Australia.
AB - OBJECTIVE: This study reports the prevalence of psychosocial risk factors and the
incidence of depression in women presenting for their first antenatal visit at a
general hospital in a socioeconomically deprived area. We also investigated the
association between specific psychosocial risk factors and antenatal depression.
METHOD: Four hundred and twenty one women completed the Antenatal Psychosocial
Questionnaire (APQ) and the Edinburgh Postnatal Depression Scale (EPDS). Logistic
regression analysis was used to identify psychosocial risk factors predictive of
EPDS caseness. RESULTS: 88% of women endorsed at least one psychosocial risk
factor. 35.6% had been abused as children, 34.9% had suffered recent major life
stresses, 24.5% had thoughts of self harm, 8% admitted to recently hitting or
hurting someone in anger and 5.6% had been victims of violence since becoming
pregnant. The incidence of depression, measured using an EPDS cut-off score of 10
or more, was 29.7%. The questionnaires were generally acceptable, apart from
questions about drug and alcohol abuse which were not completed by one in five
subjects. Factors associated with an increased risk of antenatal depression were
being hit by someone since becoming pregnant, having recently hit someone else in
anger, obsessional traits, previous feelings of depression and anxiety, and
requiring both past and current treatment for emotional problems. Protective
factors were having someone to talk to and feeling confident. CONCLUSION: Our
findings suggest that women birthing at the LMHS are a very vulnerable group.
Violence (either by or towards the pregnant women) was the strongest predictor of
antenatal depression and may be under recognized. Antenatal screening for
psychosocial risk factors and depression was useful and was well accepted by the
women and the clinic staff. It is important that appropriate services are
available once women at risk are identified.
PMID- 18058444
TI - Does antenatal screening for psychosocial risk factors predict postnatal
depression? A follow-up study of 154 women in Adelaide, South Australia.
AB - OBJECTIVE: This study investigated the effectiveness of antenatal screening for
psychosocial risk factors in predicting postnatal depression, in women living in
a socioeconomically deprived area. METHOD: 154 women completed the Antenatal
Psychosocial Questionnaire (APQ) and the Edinburgh Postnatal Depression Scale
(EPDS). Logistic regression analysis was used to identify antenatal psychosocial
risk factors predictive of EPDS caseness, with an EPDS score of 10 or more being
taken to indicate depression. RESULTS: Antenatal depression was more common than
postnatal depression. 44 women (30%) met criteria for antenatal depression and 33
women (22.6%) met criteria for postnatal depression. 21 women (14.4%) were
depressed both before and after delivery of their baby, and women who had
antenatal depression were significantly more likely to be depressed postnatally.
Whilst there were high rates of endorsement of antenatal psychosocial risk
factors, the only item from the APQ that predicted postnatal depression was
emotional abuse as a child. CONCLUSION: Antenatal screening for psychosocial risk
factors was useful in identifying problems during the antenatal period, but was
not useful as a predictor of postnatal depression.
PMID- 18058445
TI - Single motherhood versus poor partner relationship: outcomes for antenatal mental
health.
AB - OBJECTIVE: In the transition to parenthood, lack of social support significantly
impacts on maternal mood. This paper compares the influence of single-mother
status and level of partner support in a partnered relationship, on antenatal
emotional health. METHODS: Antenatal demographic, psychosocial and mental health
data, as determined by Edinburgh Postnatal Depression Scale (EPDS) score, were
collected from 1578 women. The association between these variables, and marital
status, was investigated using logistic regression. RESULTS: Sixty-two women
(3.9%) were identified as single/unpartnered. Elevated EPDS scores (>12) were
found in 15.2% (240/1578) of the total cohort and 25.8% (16/62) of the
single/unpartnered women. EPDS scores were significantly lower for
single/unpartnered women than for women with unsupportive partners (8.9+/-5.3 vs
11.9+/-6.5, p<0.001). Compared to the partnered cohort, single/unpartnered women
were more likely to have experienced >or=2 weeks of depression before the current
pregnancy (p<0.05), a previous psychopathology (p<0.001), emotional problems
during the current pregnancy (p<0.01) and major life events in the last year
(p<0.01). Binary logistic regression modelling to predict antenatal EPDS scores
suggests that this is mediated by previous psychiatric history (p<0.001) and
emotional problems during pregnancy (p=0.02). CONCLUSION: Women in a partnered
relationship with poor partner-derived support were at an increased risk of
elevated antenatal EPDS scores compared to single/unpartnered women. A previous
history of depression and current emotional problems, rather than single mother
status, were significant risk factors for elevated EPDS scores. The present study
reiterates the contribution of psychosocial risk factors as important mediators
of antenatal emotional health.
PMID- 18058446
TI - Postnatal mental health of women giving birth in Australia 2002-2004: findings
from the beyondblue National Postnatal Depression Program.
AB - OBJECTIVES: To describe the postnatal mental health status of women giving birth
in Australia 2002-2004 at 6-8 weeks postpartum. METHOD: Women were recruited from
43 health services across Australia. Women completed a demographic questionnaire
and an Edinburgh Postnatal Depression Scale (EPDS) in pregnancy; the latter was
repeated at 6-8 weeks following childbirth. RESULTS: A total of 12 361 postnatal
women (53.8% of all postnatal women surveyed) completed questionnaires as part of
a depression screening programme; 15.5% of women screened had a postnatal EPDS>9
and 7.5% of women had an EPDS>12 at 6-8 weeks following childbirth. There was
significant variation between States in the percentage of women scoring as being
potentially depressed. The highest percentage of women scoring EPDS>12 were in
Queensland and South Australia (both 10.2%) while Western Australia had the
lowest point prevalence (5.6%). Women recruited from private health services in
Western Australia had a significantly lower prevalence of elevated EPDS scores
than those women recruited from the public health service (EPDS >12: 3.6% vs
6.4%, p=0.026); differences in the prevalence of elevated EPDS scores were not
significant between public and private in Australian Capital Territory (EPDS>12:
7.6% vs 5.8%, p=0.48), where income and education was significantly higher than
other States for both groups. CONCLUSIONS: Postnatal depressive symptoms affect a
significant number of women giving birth in Australia, and the point prevalence
on the EPDS may be higher for women in the public sector, associated with lower
incomes and educational levels. Maternity services--particularly those serving
women with these risk factors--need to consider how they identify and manage the
emotional health needs of women in their care. Specific State-related issues,
such as availability of specialist perinatal mental health services and liaison
between treating health professionals, also need to be considered.
PMID- 18058447
TI - Do women express and experience psychosis differently from men? Epidemiological
evidence from the Australian National Study of Low Prevalence (Psychotic)
Disorders.
AB - OBJECTIVE: To examine how women differ from men in their expression and
experience of psychosis. METHOD: Using an epidemiological sampling frame, 1090
cases of psychosis (schizophrenia, schizoaffective disorder, affective psychoses,
and other psychoses) were randomly selected from a catchment of 1.1 million
people as part of the Australian Study of Low Prevalence (Psychotic) Disorders.
Women and men were compared with respect to their premorbid functioning, onset
and course of illness, symptomatology, levels of disability and service
utilization. RESULTS: Results within diagnostic groupings confirm differences in
how men and women experience and express their illness. Within each diagnostic
group, women reported better premorbid functioning, a more benign illness course,
lower levels of disability and better integration into the community than men.
They were also less likely to have a chronic course of illness. There were no
significant differences in age at onset. Differences between women across the
diagnostic groups were more pronounced than differences between women and men
within a diagnostic group. In particular, women with schizophrenia were severely
disabled compared to other women. CONCLUSIONS: These comparisons across
diagnostic groupings are among the most systematic and comprehensive in the
literature. It is likely that several mechanisms are needed to explain the
differences. Greater social integration and functioning in women across
diagnostic groups may well reflect culturally and socially determined gender
differences. In contrast, variability and attenuated findings with respect to
symptom profiles beg the question of biological mechanisms with some degree of
specificity.
PMID- 18058448
TI - Hormone modulation: a novel therapeutic approach for women with severe mental
illness.
AB - OBJECTIVES: Accumulating evidence describes the effects of oestrogen and other
gonadal hormones on the central nervous system and, in particular, on the mental
state of women. Evidence supporting the psychotherapeutic effects of exogenous
oestrogen has started to emerge only over the past two decades. The purpose of
the present paper was to provide an overview of different applications of
adjunctive hormones, as treatments for symptoms of severe mental illness in
women. METHODS: Three case reports are presented: in each case the woman selected
had participated in large, double-blind, randomized controlled trials exploring
hormone modulation. Case study 1 presents a premenopausal woman with
schizophrenia, who received an 8 week trial of daily adjunctive 200 microg
transdermal oestradiol. Case study 2 presents a postmenopausal woman with
schizophrenia on a 12 week trial of adjunctive raloxifene hydrochloride 120 mg
per day. Case study 3 presents a woman with schizoaffective disorder, in the
manic phase, who received tamoxifen 40 mg per day for 28 days. RESULTS:
Adjunctive oestradiol was associated with an improvement in symptoms of psychosis
in a premenopausal woman with schizophrenia; adjunctive raloxifene was associated
with an improvement in cognitive functioning in a postmenopausal woman with
schizophrenia; and adjunctive tamoxifen was associated with an improvement in
symptoms of mania in a woman with schizoaffective disorder. CONCLUSIONS: These
findings are consistent with preliminary research trials suggesting that
adjunctive hormone modulation is a promising area of gender-specific treatment
for serious mental illness.
PMID- 18058449
TI - Simpler explanation for catatonia.
PMID- 18058451
TI - Effects of commercial enzymes on the adhesion of a marine biofilm-forming
bacterium.
AB - The antifouling potential of commercial hydrolases, four proteases, seven
glycosidases and one lipase was evaluated on the adhesion of marine
Pseudoalteromonas sp. D41. The experimental method, adapted to screen antifouling
agents, was based on bacterial adhesion in natural sterile sea water in a
microtiter plate and on total biomass quantification by the fluorescent dye DAPI
(4[prime]6-diamidino-2-phenylindole). Savinase (subtilisin) was the most
effective hydrolase in both the prevention of bacterial adhesion and the removal
of adhered bacteria. However, some enzymatic preparations tested such as Amano
protease were not only ineffective but also increased the number of adhered
bacterial cells. Enumeration using epifluorescence microscopy of CTC (5-cyano-2,3
ditolyl tetrazolium chloride) and DAPI stained adhered D41 cells confirmed these
observations. Overall, these results demonstrated that hydrolases could either
prevent adhesion and remove adhered bacterial cells effectively, or conversely
increase bacterial adhesion, depending on enzymatic concentrations and the type
of enzymes tested.
PMID- 18058452
TI - Sodium dodecyl sulfate allows the persistence and recovery of biofilms of
Pseudomonas fluorescens formed under different hydrodynamic conditions.
AB - The effect of the anionic surfactant sodium dodecyl sulfate (SDS) on Pseudomonas
fluorescens biofilms was investigated using flow cell reactors with stainless
steel substrata, under turbulent (Re = 5200) and laminar (Re = 2000) flow. Steady
state biofilms were exposed to SDS in single doses (0.5, 1, 3 and 7 mM) and
biofilm respiratory activity and mass measured at 0, 3, 7 and 12 h after the SDS
application. The effect of SDS on biofilm mechanical stability was assessed using
a rotating bioreactor. Whilst high concentrations (7 mM) of SDS promoted
significant biofilm inactivation, it did not significantly reduce biofouling.
Turbulent and laminar flow-generated biofilms had comparable susceptibility to
SDS application. Following SDS exposure, biofilms rapidly recovered over the
following 12 h, achieving higher respiratory activity values than before
treatment. This phenomenon of post-treatment recovery was more pronounced for
turbulent flow-generated biofilms, with an increase in SDS concentration. The
mechanical stability of the biofilms increased with surfactant application,
except for SDS concentrations near the critical micellar concentration, as
measured by biofilm removal due to an increase in external shear stress forces.
The data suggest that although SDS exerts antimicrobial action against P.
fluorescens biofilms, even if only partial and reversible, it had only limited
antifouling efficacy, increasing biofilm mechanical stability at low
concentrations and allowing significant and rapid recovery of turbulent flow
generated biofilms.
PMID- 18058453
TI - Ambulatory blood pressure adds to explaining benefits of AT-1 receptor blockade
in the treatment of left ventricular hypertrophy.
PMID- 18058454
TI - Undiagnosed obesity in hypertension: clinical and therapeutic implications.
AB - OBJECTIVES: The aim of this study was to determine the prevalence of obesity in
patients with hypertension and to evaluate the relationship between obesity,
metabolic syndrome (MetS) and blood pressure (BP) control. MATERIALS AND METHODS:
We conducted an epidemiological survey in a sample of 19,039 patients with
hypertension who consecutively attended a primary healthcare center. Patients
were considered to have hypertension if the BP was > or =140/90 mmHg or > or
=130/80 mmHg in diabetic patients or if they were undergoing pharmacological
treatment for hypertension. The obesity was based on body mass index (BMI).
Overweight was if the BMI was > or =25 kg/m2, obese > or =30 kg/m2 and severe
obesity (SO) if BMI was > or =40 kg/m2. Abdominal obesity (AO) was considered
when the waist circumference was greater than 102 cm in men and 88 cm in women.
RESULTS: The prevalence of obesity in our hypertensive patients was 51.6% and
among these 3.8% had SO. Furthermore, 38.7% were overweight. AO was observed in
66.1% of the whole. Both obesity and AO were significantly more prevalent in
women. When evaluating the patients according to categories of BMI, we observed
greater levels of BP (from 145.5/84.5 to 149.5/89 mmHg, p<0.0001), worse control
over BP (from 29.6% to 15.4%, p<0.0001) and a greater prevalence of MetS (from
20.8% to 66.9%, p<0.0001) as weight increased. Likewise, an increase in both BMI
and AO was associated with worse control of BP (obesity, OR = 1.343 (95%
confidence interval, CI, 1.251-1.442); AO, OR = 1.292 (95% CI 1.201-1.389).
CONCLUSIONS: There is a high prevalence in Spain of obesity and AO in patients
with hypertension. These conditions are associated with metabolic alterations and
worse BP control.
PMID- 18058455
TI - Arterial stiffness is related to augmented seasonal variation of blood pressure
in hypertensive patients.
AB - BACKGROUND: Seasonal variation in blood pressure (BP), a usual tendency of both
systolic (SBP) and diastolic BP (DBP) to rise during winter in hypertensive
patients, may be related to the higher cardiovascular mortality in winter.
However, it is not yet clear what factors are relevant to the seasonal BP
changes. We hypothesized that arterial stiffness is related to the BP changes
between summer and winter. METHODS AND RESULTS: Eighty-five elderly (>55 years)
patients with essential hypertension (33 males, 64+/-6.0 years) were enrolled.
Seasonal BP profiles over at least 2 years were studied along with arterial
stiffness and clinical variables (age, gender, smoking, duration of hypertension,
anti-hypertensive medications and body mass index). Both SBP and DBP were
significantly higher during winter compared with three other seasons (spring
128+/-10.0/79+/-7.3 mmHg, summer 127+/-9.8/78+/-7.1 mmHg, autumn 127+/-10.3/78+/
8.0 mmHg, winter 136+/-12.5/81+/-7.6 mmHg; SBP changes; p<0.001, DBP changes;
p<0.001). There were no significant seasonal differences among spring, summer and
autumn. Pulse wave velocity (PWV), a widely used clinical indicator of arterial
stiffness was correlated with winter-summer differences in SBP (r = 0.272, p =
0.012), but not in DBP (r = 0.188, p = 0.085). Age, which was correlated with PWV
strongly (p<0.001), was not significantly related to the seasonal changes in BP
(SBP changes; p = 0.114, DBP changes; p = 0.298). No other clinical variables had
significant correlation with seasonal BP changes. Multivariate regression
analysis revealed that PWV is the only significant predictor for winter-summer
SBP changes. CONCLUSIONS: Our results established a feasible link between
arterial stiffness and seasonal BP variation. These findings may partly explain
higher cardiovascular risk in patients with increased arterial stiffness.
PMID- 18058456
TI - Safety and efficacy of the oral direct renin inhibitor aliskiren in elderly
patients with hypertension.
AB - OBJECTIVES: To evaluate the efficacy, safety and tolerability of aliskiren in
elderly patients (> or =65 years old) with essential hypertension. METHODS: In
this double-blind, multicenter study, 355 elderly patients with hypertension
[office mean sitting systolic blood pressure (msSBP) > or =145-<180 mmHg and mean
24-h ambulatory systolic BP (ASBP) > or =135 mmHg] were randomized to once-daily
treatment for 8 weeks with aliskiren 75 mg (n = 91), 150 mg (n = 84), 300 mg (n =
94) or the comparator lisinopril 10 mg (n = 86). The primary efficacy variable
was change in mean 24-h ASBP. RESULTS: At endpoint, aliskiren 75 mg, 150 mg, 300
mg and lisinopril 10 mg lowered mean 24-h ASBP (least-squares mean+/-SEM) by
8.4+/-0.8, 7.1+/-0.8, 8.7+/-0.8 and 10.2+/-0.9 mmHg, and mean 24-h ambulatory
diastolic BP by 4.5+/-0.5, 3.6+/-0.5, 3.9+/-0.5 and 6.3+/-0.5 mmHg, respectively,
with no significant difference between aliskiren doses. The trough-to-peak ratio
for ASBP reduction with aliskiren 75 mg, 150 mg, 300 mg and lisinopril 10 mg was
0.77, 0.64, 0.79 and 0.87, respectively. All treatments lowered office msSBP and
mean sitting diastolic BP (msDBP) compared with baseline. A significantly greater
proportion of patients receiving aliskiren 300 mg achieved BP control
(msSBP/msDBP <140/90 mmHg) compared with those receiving aliskiren 75 mg (36.2%
vs 24.2%, p = 0.033). There was no evidence of dose-related increases in the rate
of adverse events with aliskiren treatment. CONCLUSIONS: Aliskiren, a novel
direct renin inhibitor, provides effective 24-h BP lowering with no evidence of
dose-related increases in the incidence of adverse events in elderly patients
with hypertension.
PMID- 18058457
TI - Losartan versus atenolol on 24-hour ambulatory blood pressure. A LIFE substudy.
AB - OBJECTIVE: The Losartan Intervention For Endpoint reduction in hypertension
(LIFE) study showed that losartan-based treatment reduced risk of the composite
endpoint of cardiovascular death, stroke and myocardial infarction compared with
atenolol-based treatment in patients with hypertension and left ventricular
hypertrophy with similar office blood pressure (BP) reduction. Our aim was to
investigate the effect of losartan- and atenolol-based treatment on 24-h
ambulatory BP and heart rate (HR) in LIFE. METHODS: In 110 patients, 24-h
ambulatory BP and heart rate were recorded at baseline and 1 year after
randomization. RESULTS: Ambulatory BP was comparably reduced throughout the 24-h
period after 1 year of losartan- vs atenolol-based antihypertensive treatment.
Office and ambulatory BP were comparably reduced in the follow-up period. Early
morning surge in BP was similar between groups. Non-dipping status was more
frequent in the losartan group (p = 0.01). From baseline to Year 1 the 24-h HR
profile for the losartan group was unchanged, but, as expected, there was a
significant decrease in daytime HR in the atenolol group, which was not as large
during early night-time. CONCLUSION: There were no differences in 24-h BP burden
and HR that could explain the difference in outcome in favor of losartan vs
atenolol in the LIFE study.
PMID- 18058459
TI - Epigenetic regulation as a new target for breast cancer therapy.
PMID- 18058460
TI - "Of models and men (or women)"--a brief story of a mathematical model and its
influence on the management of ovarian cancer.
PMID- 18058461
TI - Telomere length in hepatocellular carcinoma and paired adjacent non-tumor tissues
by quantitative PCR.
AB - Telomere shortening limits the proliferative capacity of human cells, restrains
the regenerative capacity of organ systems during chronic diseases and aging and
also induces chromosomal instability as well as initiation of cancer. Previous
studies demonstrated that telomeres are often significantly shorter in tumor
tissue, including hepatocellular carcinoma (HCC), compared to the surrounding
tissue, but telomere length in HCC tissues was not correlated with several
clinical parameters, such as age, sex, HBV or HCV infections and tumor size. In
the present study, the telomere length ratio of 36 paired HCC, and their adjacent
non-tumor tissues was measured by quantitative PCR (Q-PCR). The mean telomere
lengths (SD) for HCC and adjacent non-tumor tissues were 0.26 (0.10) and 0.47
(0.20) respectively (t = 6.22, P < 0.0001). There was a large difference in the
distribution of subjects based on telomere length in tumor and adjacent non-tumor
tissues. The number of tumors with telomere length shorter than 0.50 was much
higher than that of adjacent non-tumor tissues; more than 90% of the tissues with
telomere length > or = 0.50 were adjacent non-tumor tissues. The correlations
between telomere length and aflatoxin B1- and polycyclic aromatic hydrocarbon-DNA
adducts level, p53 mutations and p16 hypermethylation status were also tested,
but no significant associations were found. The relationship between telomere
length shortening, chemical carcinogen exposure, and genetic and epigenetic
changes in hepatocarcinogenesis needs further investigation.
PMID- 18058462
TI - Downregulation of survivin is associated with reductions in TNF receptors' mRNA
and protein and alterations in nuclear factor kappa B signaling in urothelial
cancer cells.
AB - Because survivin is selectively expressed in and associated with an unfavorable
prognosis in transitional cell carcinoma of the bladder (TCC), we treated T-24
cells with survivin siRNA. Survivin siRNA treatment caused a profound decrease of
survivin protein that was associated with decreased cell growth, a specific G2/M
arrest and increased cytochrome c release. Microarray analysis of apoptosis genes
showed that levels of 14/114 gene products were decreased after 72 hours
treatment with survivin siRNA, including survivin, three TNF receptors, Akt, c
Abl, caspases and their related genes and Bcl-2 and NF-kappaB signaling related
genes. TNFR1, pro-caspase-2 and Akt protein levels were decreased after survivin
siRNA treatment for 48 and 72 hours. Downregulation of survivin causes changes in
mitosis and apoptosis, which may be related to changes in TNF receptors and NF
kappaB signaling.
PMID- 18058463
TI - Promoter hypermethylation of the RUNX3 gene in esophageal squamous cell
carcinoma.
AB - Alteration in transforming growth factor-beta (TGF-beta) signaling pathway is one
of the main causes of esophageal squamous cell carcinoma (ESCC). The human runt
related transcription factor 3 (RUNX3), an important component of TGF-beta
pathway which is located at 1p36, is commonly deleted in a variety of human
cancers, including ESCC. Hypermethylation of RUNX3 promoter was frequently found
in gastrointestinal cancers, including those of stomach, liver, colon and
pancreas. However, RUNX3 promoter methylation status in ESCC has not been
studied. The aim of this study was to determine whether promoter methylation of
the RUNX3 gene correlates with ESCC tumor progression.Accordingly, we first
determined RUNX3 mRNA expression and methylation status of its promoter region in
42 primary tumors with ESCC and Eca-109, an ESCC cell line. Loss of RUNX3 mRNA
expression was detected by RT-PCR in 23 out of 42 (54.8%) ESCC specimens and Eca
109 cells. The Promoter hypermethylation was detected by Methylation Specific
Polymerase Chain Reaction (MS-PCR) in 27 out of 42 (64.3%) ESCC specimen and Eca
109 cells. Importantly, we found positive correlations, not only between the
promoter hypermethylation and tumor clinical pathologic stages (P = 0.003), but
also between the loss of RUNX3 mRNA expression and the tumor progression (P =
0.016). Finally, we observed that the loss of RUNX3 mRNA expression is
statistically correlated with the promoter hypermethylation in these tumors (P <
0.001). Our results suggest that epigenetic silencing of RUNX3 gene expression by
promoter hypermethylation may play an important role in ESCC development.
PMID- 18058464
TI - Inhibition of telomerase activity in cancer cells using short hairpin RNA
expression vectors.
AB - Telomerase activity is mainly regulated by the human telomerase reverse
transcriptase (hTERT) gene. Our objective was to investigate the effect of short
hairpin RNA (shRNA) directed against hTERT mRNA on telomerase activity in
laryngeal cancer cells (Hep-2), nasopharyngeal carcinoma cells (NEC), and human
bone marrow mesenchyme stem cells (hMSCs). Short hairpin RNA expression vectors
targeting the messenger RNA of hTERT were constructed. Cells were treated with
shRNA expression vectors directed against hTERT mRNA and control vectors that
included mismatched shRNA. We found that treatment of special shRNA expression
vectors induced significantly decrease in hTERT expression, telomerase activity,
and cell viability in Hep-2 and NEC cells. In contrast, the shRNA control showed
none of these effects. And none of these effects appeared in hMSCs cells. Our
results suggest that shRNA against hTERT mRNA inhibits telomerase activity and
cell viability through suppression of the hTERT expression in cancer cells. And
this treatment has no side effect on healthy cells lack of telomerase activity.
RNA interfering technology may be a promising strategy for the treatment of
cancers.
PMID- 18058465
TI - DARPP-32 mediates multidrug resistance of gastric cancer through regulation of P
gp and ZNRD1.
AB - Here, we firstly investigated the roles of DARPP-32 in multidrug resistance of
gastric cancer cells. Inhibition of DARPP-32 by small interfering RNA led to
decreased sensitivity of cells to chemotherapeutic drugs, accompanied by
increased capacity of cells to efflux adriamycin. Inhibition of DARPP-32
expression could significantly up-regulate the expression of permeability
glycoprotein (P-gp) and zinc ribbon domain-containing 1 (ZNRD1), but not alter
the expression of multidrug resistance-associated protein or glutathione
transferase. The DARPP-32 siRNA-mediated MDR could be reversed by inhibitor of P
gp or siRNA of ZNRD1, indicating DARPP-32 might mediate MDR of gastric cancer
through regulation of P-gp and ZNRD1.
PMID- 18058466
TI - Diffusion tensor imaging and chemical shift imaging assessment of heterogeneity
in low grade glioma under temozolomide chemotherapy.
AB - Diffusion tensor imaging and multiple voxel magnetic resonance spectroscopy were
performed in the MRI follow-up of a patient with a glioma treated with
temozolomide chemotherapy. Tumor shrinkage was paralleled by reductions in
choline level and by increases in apparent diffusion coefficient indicating
decreased cellularity. Within the tumor, choline level and apparent diffusion
coefficient showed a significant inverse correlation (P < 0.01). Fractional
anisotropy distribution in the tumor correlated positively with N-acetyl
aspartate level (P < 0.001), indicating that these parameters reflect (remaining)
axonal structure. Tumor lactate level, also found to decrease under therapy, did
not correlate with any other parameter.
PMID- 18058467
TI - Adjuvant therapy with raltitrexed in patients with colorectal cancer intolerant
of 5-fluorouracil: British Columbia Cancer Agency experience.
AB - BACKGROUND: Severe 5-FU toxicity in adjuvant therapy of colorectal cancer may
require change of therapy. We retrospectively explored the safety and efficacy of
adjuvant raltitrexed in patients intolerant of 5-FU. METHODS: Over a 5 year
period, patients who received 5-FU and subsequent raltitrexed therapy were
identified. RESULTS: There were 44 patients, (39 stage III). Median number of
prior 5-FU cycles was 2. Three year relapse free and overall survival proportions
for stage III patients were 70.8% and 83.6%, respectively. CONCLUSIONS:
Raltitrexed adjuvant therapy can be given safely and effectively in patients
where further 5-FU is contraindicated.
PMID- 18058468
TI - Macrophage migration inhibitory factor in cancer.
AB - The objective of this study was to investigate the expression of macrophage
migration inhibitory factor (MIF) in patients with colorectal cancer (GIS) and
malignant melanoma (MM). The study group consists of pathologically verified
colorectal cancer (n = 63) and malignant melanoma (n = 65) patients and healthy
controls (n = 25). Serum MIF concentrations were determined by enzyme-linked
immunosorbent assay. Serum values of the patients were significantly higher than
the controls (p < 0.001 for GIS, p = 0.032 for MM). Diagnostic sensitivity and
specificity were calculated for MIF for colorectal and malignant melanoma. The
results demonstrate that colorectal cancer express and secrete large amounts of
MIF.
PMID- 18058469
TI - Receptor for advanced glycation end products (RAGE)--soluble form (sRAGE) and
gene polymorphisms in patients with breast cancer.
AB - Receptor for advanced glycation end products (RAGE) may be involved in the
pathogenesis of the cancer progression and metastasis. Pathological effects
mediated via RAGE are physiologically inhibited by soluble RAGE (sRAGE), so the
higher sRAGE levels may confer the patients with cancer with better outcome. The
aim was to study sRAGE and RAGE gene polymorphisms in patients with breast
cancer. The authors studied sRAGE and RAGE polymorphisms in 120 patients with
breast cancer (subdivided based on the clinical stage, histologic grading,
expression of hormonal and Her2/neu receptors) and in 92 healthy controls.
Despite higher serum concentrations of AGEs, serum concentrations of sRAGE were
lower in patients with breast cancer compared to healthy controls (1581 +/- 777
versus 1803 +/- 632 ng/mL, p < 0.05). Serum levels of sRAGE were higher in
patients with advanced breast cancer (stage III), lower grade and positive
estrogen receptors, and intermediate positivity of Her2/neu receptors and were
also influenced genetically (Gly82Ser and 2184 AG polymorphisms of the RAGE
gene). Decreased sRAGE levels in patients with breast cancer may contribute to
the progression of the disease. Patients with better outcome (low grade and
positive estrogen receptors) have higher sRAGE levels. Progression of the
disease, may, however, increase sRAGE levels, possibly as a compensatory
mechanism to counteract further progression.
PMID- 18058470
TI - Transforming growth factor-beta 1 gene polymorphisms and expression in the blood
of prostate cancer patients.
AB - The transforming growth factor beta 1 (TGF-beta1) is a multifunctional cytokine
with several regulatory activities in tumor cells affecting growth,
differentiation, and function. Alterations in gene expression, secretion, and
regulation of TGF-beta1 may lead to a favorable environment for tumor development
by angiogenesis stimulation and immune system suppression. We evaluated the
influence of the TGFB1 polymorphisms by ARMS-PCR, Leu10Pro, and Arg25Pro, on
prostate cancer (PCa) and benign prostatic hyperplasia (BPH). We assessed TGFB1
polymorphisms and their relation to mRNA levels (semi-quantitative RT-PCR) in
blood samples as well as the implications in disease occurrence and progression.
Peripheral blood samples from 175 patients were analyzed as to 92 BPH and 83 PCa.
Samples obtained from 132 healthy males were used as negative controls. PCa
patients with a Gleason score greater than 7 presented a higher frequency of the
C allele (Leu10Pro). This allele was associated with a higher risk of developing
PCa and BPH compared to the population (2.6 and 3.6 times higher, respectively).
Patients with TGFB1 transcript levels equal to or more than 70% higher than
control levels presented a 5.34 and 2.14-fold higher risk of having PCa and BPH,
respectively, relative to the population. No association was detected between
polymorphisms and mRNA levels. The C allele of the Leu10Pro polymorphism may
predispose men to a more rapid cancer progression. Additionally, higher mRNA
levels in the peripheral blood of PCa patients suggest that tumor cells may be
disseminated in the circulation and could be used as a biomarker for extra
capsular invasion.
PMID- 18058471
TI - CYR61: a new measure of lung cancer outcome.
AB - Cysteine-rich protein 61 (Cyr61) is a member of a family of growth factor
inducible, immediate-early genes. In this report, the authors measured the
expression of Cyr61 mRNA in 94 human lung tumors and their normal matched lung
samples. The Cyr61 mRNA levels were quantified by real time reverse transcriptase
polymerase chain reaction and calculated as a tumor/normal Cyr61 mRNA ratio in
each case. Compared with normal matched lung tissues, expression of Cyr61 was
decreased in 74 of 94 (79 percent) lung tumors. Differences in distribution of
patient characteristics, such as gender, age, tumor size, and pathological
diagnosis, between high or low Cyr61 expressing groups were not statistically
significant. However, differences in distribution of clinical stage between high
or low Cyr61 expressing groups was statistically significant; that is, the Cyr61
low expressor group was clinically more advanced than the Cyr61 high expressor
group (p = 0.046). Furthermore, Cyr61 levels of the patients with N0 and N1
diseases were significantly higher than the expression in the N2 patients (p =
0.047). The 3-year survival between the Cyr61 very low tumor expressor group
compared to matched normal lung (39 patients) and the higher Cyr61 expressor
group (52 patients) was statistically significant (59 versus 91 percent; p =
0.05). Taken together, Cyr61 appears to guard against metastatic disease because
low expression is associated with more advanced disease; and therefore,
expression levels of Cyr61 correlate with the prognosis of lung cancer.
PMID- 18058472
TI - The occurrence and the type of germline mutations in the RET gene in patients
with medullary thyroid carcinoma and their unaffected kindred's from Central
Poland.
AB - We aimed to investigate the occurrence and types of pathogenic mutations in the
RET gene in patients with MTC of the Central Poland population and in their
relatives. DNA was extracted from the peripheral blood lymphocytes of a total of
330 persons, including 235 MTC patients and 95 of their unaffected kindred's.
Exons 10, 11, 13, 14, 15 and 16 of the RET gene were amplified by PCR and
sequenced. Sixty-seven people were found to carry pathogenic, germline mutations
in the RET gene. In exon 10, C609F, C609R and C609Y (3 families), C618G, C618F (2
families), and C620G (4 families) mutations were identified. In exon 11, C634R (8
families) and C649L mutations (1 patient) were found. Five families carried Y791F
mutation in exon 13. One patient with PTC revealed the presence of a Y791F
mutation. In 3 families, exon 14 of the RET gene harbored the following
mutations: V804L (1 patient), E819K (1 patient) and R844Q (1 patient). In 1
family, the S891A mutation was identified in exon 15, 3 families were found to
carry mutations in exon16, R912P in 1 family and M918T in 2 families. In summary,
of the 235 patients affected by MTC, 46 (19.6%) carried pathogenic RET gene
mutations, 1 patient with RET mutation had kidney carcinoma, and 1 had PTC. The
results show the occurrence of a variety of mutations prevalent in patients with
MTC in the population of Central Poland. These results may contribute to a better
diagnosis of medullary thyroid carcinoma.
PMID- 18058473
TI - Interaction of cigarette smoking with cyclooxygenase-2 on ulcerative colitis
associated neoplasia in mice.
AB - The interactions of cigarette smoking with COX-2 on colitis and colitis
associated adenoma formation were studied. Mice were induced with colitis and
exposed to cigarette smoke (CS) and/or SC236 (a COX-2 inhibitor). Results
indicated that CS did not alter acute colonic inflammation. Addition of SC236
abolished the induction of proliferation and oxidative damage by colitis. Chronic
SC236 treatment abolished the promoting effect of CS on colonic adenoma
formation, via suppression of COX-2- and VEGF-mediated proliferation and
angiogenesis, and reversed bcl-2-mediated inhibition of apoptosis by CS. To
conclude, COX-2 inhibitor could be an implication on cancer prevention in smokers
with chronic colitis.
PMID- 18058474
TI - The role of bortezomib in the treatment of lymphoma.
AB - Bortezomib is the first of the proteasome inhibitors to be used clinically. Among
the various cancers susceptible to proteasome inhibition are the non-Hodgkin's
lymphomas. Mantle cell lymphoma appears to be particularly sensitive, leading to
the FDA approval of bortezomib in patients who have received at least one prior
therapy. This demonstration of clinical efficacy has led to an explosion of
research attempting to further understand the anti-tumor effect of proteasome
inhibition and clinical investigations exploring bortezomib in combination with
other agents. In this review, we will detail the clinical results and ongoing
trials utilizing bortezomib in Hodgkin's and non-Hodgkin's lymphoma.
PMID- 18058475
TI - Endothelin receptor antagonists in cancer therapy.
AB - Endothelins are a family of peptide compounds which exert regulatory control over
cellular processes important for growth, survival, invasion, and angiogenesis. In
particular, endothelin-1, acting primarily through the endothelin-A receptor, is
implicated in the neoplastic growth of multiple tumor types. In preclinical
models, endothelin antagonism inhibits tumor cell proliferation, invasiveness,
and new vessel formation, as well as attenuates osteoblastic and pain-related
responses to tumor. Clinical testing of an orally bioavailable endothelin
antagonist has demonstrated benefit in PSA progression, markers of bone turnover,
and pain in men with prostate cancer, but has not demonstrated significant
improvement in survival or time to cancer progression. Although this class of
drugs is promising for targeted anti-cancer therapy, their role in treatment
remains to be defined by completion of future clinical trials.
PMID- 18058476
TI - Metabolic side effects and cardiovascular events of diuretics: should a diuretic
remain the first choice therapy in hypertension treatment? The case of yes.
AB - Essential hypertension is a major cause of cardiovascular morbidity and mortality
in the Western world. Numerous clinical trials have demonstrated that the
treatment of hypertension results in a substantial reduction of hypertension
related morbidity and mortality. The efficacy and safety of diuretics has been
shown in many clinical trials. Like most other antihypertensive agents, the side
effects of diuretics are mostly benign and mild. The metabolic side effects of
diuretics, however, have been a bone of contention for a long time. In this
paper, we describe the most important and frequent metabolic side effects of
diuretics, and emphasize particularly the non-life-threatening effect of
diuretics on ventricular arrhythmias due to their hypokalemic effect, the
detection of the new onset diabetes (perhaps caused by the administration of
diuretics itself), and their significant beneficial effect on cardiovascular and
cerebrovascular morbidity and mortality. At the end of the article, we
highlighted the differences regarding the prescription of diuretics between the
recently published American and European Guidelines of hypertension.
PMID- 18058477
TI - Increase in nitric oxide and reductions in blood pressure, protein kinase C beta
II and oxidative stress by L-carnitine: a study in the fructose-fed hypertensive
rat.
AB - Recently we showed that the administration of intraperitoneal L-carnitine (CA)
has insulin-sensitizing effects in the high fructose-fed Wistar rat, a widely
used model of metabolic syndrome. The present study was conducted to examine the
regulatory effects of CA on blood pressure (BP) and related pressor mechanisms.
Fructose-fed rats (FFR) showed elevated BP, cardiac hypertrophy, glucose
intolerance, and increases in plasma glucose, insulin, free fatty acids (FFA),
and angiotensin-converting enzyme (ACE) activity. They also showed increased
protein kinase C betaII (PKC betaII) expression and oxidative stress in cardiac
tissue. In plasma, decreased kallikrein enzyme activity and nitric oxide
metabolites were observed, compared to control. Simultaneous treatment with CA
(300 mg/Kg) mitigated these alterations. PKC betaII expression was similar to
that of control; the rats displayed normal BP and ACE activity, enhanced
antioxidant protection, and close to normal values of metabolic parameters. The
BP-lowering effect of CA was abolished when CA-treated rats were administered L
nitroarginyl methyl ester (L-NAME 6g/Kg). These observations suggest that the BP
lowering action of CA in this model could be attributed to multiple and
interrelated mechanisms, such as an increase in NO and kinin availability,
reduction in PKC action, and antioxidant protection.
PMID- 18058478
TI - Observational study of hypertension in Matelica, Italy (Matelica hypertension
study).
AB - This study summarizes the results of an epidemiological investigation carried out
on the occasion of the Second World Hypertension Day (May 13, 2006) in the city
of Matelica in the Region of the Marches, Central Italy. In all, 518 subjects
(298 males, average age 52.3 years; 220 females, average age 55 years) with
either diagnosed hypertension or who were thought to be normotensive had arterial
blood pressure measured. Other cardiovascular risk factors and the costs of
pharmacological treatment for hypertension were assessed as well. In 72.46% of
examined subjects, arterial blood pressure levels averaged > or =140-90 mmHg if
non-diabetic and > or =130-80 mmHg if diabetics. A total of 48.14% of individuals
assumed in anamnesis to be normotensive had arterial blood pressure levels higher
than the above values and were therefore found to have hypertensive values. The
cost of anti-hypertensive treatment in the area of Matelica averages Euro
543.7/patient/year. The present data, which are in line with those of other
epidemiological studies performed in Italy, confirm the view that arterial
hypertension control in Italy is still largely unsatisfactory. This observation
should stimulate both health and specific medical measures to counter the risk of
complications of arterial hypertension in aged populations, such as those present
in the territory examined.
PMID- 18058479
TI - Single-dose, randomized, crossover bioequivalence study of amlodipine maleate
versus amlodipine besylate in healthy volunteers.
AB - Amlodipine, marketed primarily as a besylate salt, is a calcium channel blocker
used for treating essential hypertension. Amlodipine maleate is another salt that
is considered, in terms of pharmacokinetics and pharmacodynamics, similar to
amlodipine besylate. This open, randomized, two-period crossover trial has
investigated in 24 healthy volunteers over a 144 h period the bioequivalence of
amlodipine maleate tablets 10 mg versus amlodipine besylate tablets (Norvasc 10
mg). Plasma amlodipine concentrations were assessed by ultra performance liquid
chromatography interfaced with a double quadrupole mass spectrometer. The area
under the curve total (AUC(t)) and the area under the curve to infinity
(AUC(inf)) values, peak plasma concentration (C(max)), and time to attain peak
(t(max)) were not statistically different between the two drugs. AUC(t) and
AUC(inf) values were higher (p < 0.05) in females than in males. The tolerability
profile was comparable for the two salts of amlodipine. These findings indicate
that amlodipine maleate and besylate are bioequivalent and were well tolerated,
which suggests that the plasma kinetics of amlodipine depend on the properties of
the molecule itself. Hence, the two salts investigated could be used
interchangeably in clinical practice.
PMID- 18058480
TI - Persistence on treatment and blood pressure control with different first-line
antihypertensive treatments: a prospective evaluation.
AB - We enrolled 347 hypertensive patients, randomly allocated them to different first
line treatments, and followed-up for 24 months. Persistence on treatment was
significantly higher in patients treated with ARBs (68.5%) and ACE inhibitors
(64.5%) vs. CCBs (51.6%), beta-blockers (44.8%), and diuretics (34.4%). No ARB,
ACE inhibitor, beta-blocker, or diuretic was associated with a greater
persistence in therapy as compared with the other molecules used in each
therapeutic class. The rate of persistence was significantly higher in patients
treated with lercanidipine vs. other CCBs (59.3% vs. 46.6%). Systolic and
diastolic BP decreased more in patients treated with ARBs (-11.2/-5.8 mmHg), ACE
inhibitors (-10.5/-5.1 mmHg), and CCBs (-8.5/-4.6 mmHg) when compared to beta
blockers (-4.0/-2.3 mmHg) and diuretics (-2.3/-2.1 mmHg).
PMID- 18058481
TI - Blood pressure and serum potassium levels in hypertensive patients receiving or
not receiving antihypertensive treatment.
AB - OBJECTIVE: Serum potassium has a fundamental role in blood pressure (BP)
regulation, and there is evidence highlighting the importance of potassium
homeostasis in hypertension. The aim of this study was to determine the
relationship between serum potassium levels and office BP in untreated essential
hypertensives and the effect of antihypertensive medication on serum potassium
levels. SETTING AND PARTICIPANTS: In a retrospective analysis, we collected data
for consecutive patients first visiting our Hypertension Clinic from 1999-2004.
From this population, we first selected patients who were not taking any
antihypertensive medication. Patients who had conditions that could affect
potassium metabolism, such as history of arrhythmias treated with digitalis,
diabetes mellitus under insulin treatment, and hypo- and hyperthyroidism, were
excluded from the study. From the remaining patients, those who had impaired
renal function (serum creatinine > or = 1.6 mg/dL for men and > or = 1.4 mg/dl
for women) and patients with secondary forms of hypertension were also excluded.
The final population consisted of 817 subjects. Multivariate linear regression
analysis was applied, and models were created associating serum potassium with
systolic BP, diastolic BP, mean BP, or pulse pressure. The population for the
second part of the study consisted of patients first visiting our Hypertension
Clinic who were on one antihypertensive agent. This second group included 757
patients, 218 of whom were on beta-blockers, 42 on diuretics, 187 on angiotensin
converting enzyme (ACE) inhibitors, 287 on calcium channel blockers (CCBs), and
28 on angiotensin receptor blockers (ARBs). RESULTS: After adjusting for age,
gender, and body mass index, significant negative correlations were found between
serum potassium levels and systolic BP (R = -0.093, p = 0.007), diastolic BP (R =
-0.078, p = 0.03), mean BP (R = -0.122, p = 0.002), and pulse pressure (R =
0.071, p = 0.044). The levels of potassium were found to be significantly lower
among patients receiving diuretics than those receiving one of the other four
drug categories of antihypertensive (p < 0.05 for beta-blockers, ACE inhibitors,
and CCBs; p < 0.001 for ARBs). In addition, hypokalemia was found to be
significantly more prevalent in the group using diuretics than the other groups.
CONCLUSIONS: The observed reverse relation between serum potassium and BP
supports a close pathophysiological connection between serum potassium and
essential hypertension. Moreover, diuretic therapy is a significant cause of
hypokalemia and requires systematic monitoring.
PMID- 18058482
TI - Comparative crossover, randomized, open-label bioequivalence study on the
bioequivalence of two formulations of thioctic acid in healthy volunteers.
AB - An open-label, randomized, crossover single-dose study, using two periods and two
sequences with a washout period of seven days was conducted to assess the
comparative bioavailability of thioctic (alpha-lipoic) acid (ALA) 600 mg
formulation and that of a reference formulation. Blood samples were collected up
to +6 h post dosing, the plasma was separated, and thioctic acid concentrations
were determined by high-performance liquid chromatographic method with single
mass spectrometry detection (HPLC-MS) and a lower limit of quantification of
190.1 ng/ml. Mean values of the individual C(max) were 1338.6 +/- 751.8 ng/ml and
1215.8 +/- 560.5 ng/ml for the test and reference preparations, respectively.
Mean +/- standard deviation (SD) total area under the curve up to the last
measurable concentration (AUC(t)) was 3510.9 +/- 1088.6 ng x h/ml for the test
formulation and 3563.5 +/- 1374.1 ng x h/ml for the reference formulation. Mean
+/- SD total area under the curve (AUC(inf)) was 6925.6 +/- 4045.8 ng x h/ml for
the test formulation and 7797.1 +/- 5963.1 ng x h/ml for the reference
preparation. Terminal elimination half-life was 5.68 +/- 5.05 h for the test and
6.11 +/- 6.15 h for the reference formulations. Time of maximum concentration
(t(max)) was 1.24 +/- 1.23 h for the test and 2.05 +/- 1.21 h for the reference
formulations. Ninety percent confidence intervals were comprised within the
bioequivalence acceptance criteria (80-125%) for all of the parameters analyzed
except t(max). The comparison between males and females showed no significant
difference for the two drug treatment.
PMID- 18058483
TI - Heart-rate variability as a quantitative measure of hypnotic depth.
AB - The authors investigated whether heart-rate variability can serve as a device for
real-time quantitative measurement of hypnotic depth. This study compared the
continuous self-rated hypnotic depth (SRHD) of 10 volunteers with heart rate,
amplitude, and frequency changes from a time-frequency analysis of heart-rate
variability (HRV). The authors found significant linear relationships between
SRHD and the high-frequency (HF) component of HRV. Specifically, SRHD was
correlated negatively with the frequency of the HF component and positively with
the amplitude of the HF component. Unexpectedly, the average temporal trend in
SRHD fit well (R(2) = .99) to the step response of a first-order system with a 4
minute time constant. The findings suggest that the reactivity of the
parasympathetic branch of the autonomic nervous system reflected in HRV could
become part of a real-time, quantitative measure of hypnotic depth.
PMID- 18058484
TI - Healthy narcissism and ego state therapy.
AB - The term narcissism is often pejorative and associated with the diagnosis of
narcissistic personality disorder. However, some degree of narcissism can be
viewed as essential when considering the ingredients of a healthy personality.
The ego state literature contains references to the maturation of ego states, the
creation of helpful ego states, transformation of the function of ego states, and
the strengthening of healthy ego states as components of the development of a
"harmonious family of self." For an individual to develop healthy narcissism and
eventually self-esteem, it's assumed that these ego state interventions are
involved and produce changes in internal psychic structure. This article explores
theories and therapy regarding the development of healthy narcissism, self
esteem, and a well-functioning sense of entitlement. Case material is summarized
to illustrate how ego state therapy can be an important part of this process.
PMID- 18058485
TI - Hypnosis and thought suppression - more data: a brief communication.
AB - This study hypothesized that hypnosis would enhance thought suppression by
minimizing the effect of cognitive load. Twenty-eight high and 29 low
hypnotizable hypnotized participants received the cognitive load of learning a 6
digit number. Participants then received either a suppression instruction or no
instruction for a personal memory of a failure experience. Thought-suppression
effectiveness was indexed by measures of self-report monitoring, competition of
scrambled sentences, and facial electromyography. Low hypnotizable participants
who received the suppression instruction displayed postsuppression rebound on the
sentence-unscrambling task. In contrast, high hypnotizable participants did not
display any rebound effects. These findings support the proposition that hypnosis
facilitates thought suppression.
PMID- 18058486
TI - Examining hypnosis legislation: a survey of the practice in Israel.
AB - Hypnosis as a therapeutic technique bears potential risks when carried out
inexpertly. Because of this, Israel was the first to legislate hypnosis. This
study examines the current state of clinical hypnosis practice in Israel. A
questionnaire was sent to 470 licensed hypnotists and 1250 unlicensed
professionals; 478 (25.7%) of the 1720 potential respondents returned the
questionnaires. Of these, 249 (51.8%) were licensed hypnotists, and 232 (48.2%)
were unlicensed. Of the unlicensed professionals, 45% reported practicing
hypnosis; 50% of them practice hypnosis with adolescents and 41.2% with children.
Many of them practice hypnosis in public clinics (71.6%). Of the licensed
professionals, 94.4% reported practicing hypnosis in the course of their clinical
work. The authors conclude that great number of unlicensed hypnotists carry on
clinical practice of hypnosis and suggest steps to increase the efficiency of the
law as part of a regulatory system.
PMID- 18058487
TI - Hypnosis as an adjunct therapy in the management of diabetes.
AB - Although diabetes is one of the most serious global health problems, there is no
real cure yet for it. The conventional insulin treatment programs aimed at life
quality improvement do not take into account the psychological aspects of the
disease. Because diabetes has important psychological components, it seems
reasonable to consider hypnosis as an adjunct therapy for diabetes. This paper
examines the empirical literature on the effectiveness of hypnosis in the
management of diabetes, including regulation of blood sugar, increased
compliance, and improvement of peripheral blood circulation. Despite some
methodological limitations, the literature shows promising results that merit
further exploration. Multimodal treatments seem especially promising, with
hypnosis as an adjunct to insulin treatments in the management of both Type 1 and
Type 2 diabetes for stabilization of blood glucose and decreased peripheral
vascular complications.
PMID- 18058488
TI - Language, mysticism, and hypnotizability: a brief communication.
AB - People attempting to communicate religious and mystical experiences tend to use
the same language strategies employed in inducing hypnotic trance. Both
incorporate vague language that provides receptive listeners the opportunity to
insert their own content. This study examines whether people who have had
mystical or religious experiences are also more likely to respond to the language
of hypnosis. Eighty-one participants completed the Harvard Group Scale of
Hypnotic Susceptibility, Form A and the Hood Mysticism Scale. Participants were
divided into 3 equal groups based on "high," "ambiguous," and "low" mysticism
scale scores. The high group scored significantly higher on hypnotizability
compared to the low group. The relationship between openness to mystical and
religious experience and susceptibility to hypnotic suggestion warrants further
investigation.
PMID- 18058489
TI - Responding and failing to respond to both hypnosis and a kinesthetic illusion,
Chevreul's Pendulum.
AB - In this study, participants who failed to exhibit pendulum movement in response
to Chevreul's Pendulum (CP) instructions had lower Stanford Hypnotic
Susceptibility Scale, Form A (SHSS:A) scores and reported experiencing less
subjective response to hypnosis than did their counterparts who exhibited CP
movement. However, intensity scores on Shor's Personal Experiences Questionnaire
(PEQ) did not differ between pass- and fail-CP groups. Additionally, pass-CP
participants showed positive correlations between PEQ intensity scores and
hypnotizability scores, while fail-CP participants showed negative correlations
among these measures. These findings are consistent with the notion that CP
failure may reflect a situation-specific unwillingness to become imaginatively
involved rather than a general inability to do so. Additional analyses revealed
that 5 of 10 participants who had failed the CP task scored 0 or 1 on the SHSS:A,
while only 3 of 65 pass-CP participants scored 0 or 1.
PMID- 18058490
TI - Genetics and neuroimaging of attention and hypnotizability may elucidate placebo.
AB - Attention binds psychology to the techniques of neuroscience and exemplifies the
links between brain and behavior. Associated with attentional networks, at least
3 brain modules govern control processes by drawing on disparate functional
neuroanatomy, neuromodulators, and psychological substrates. Guided by data
driven brain theories, researchers have related specific genetic polymorphisms to
well-defined phenotypes, including those associated with different attentional
efficiencies and hypnosis. Because attention can modulate both cognitive and
affective processes, genetic assays together with neuroimaging data have begun to
elucidate individual differences. Findings from genetic assays of both attention
and hypnotizability pave the way to answering questions such as how high
hypnotizable individuals may differ from less-hypnotizable persons. These
exploratory findings may extend to the identification of placebo responders.
PMID- 18058492
TI - A role for denial in poor adherence to psoriasis treatment.
PMID- 18058493
TI - The enigma of rosacea.
AB - This short paper reviews the nature of rosacea emphasizing the possibility of a
solar cause. The sites of involvement and the physical signs of rosacea including
the flushing, the erythema and the telangiectasia as well as the intermittent
episodes of inflammation with swelling and papules may all be explained by UVR
induced damage to dermal connective tissue. The dermal damage permits vaso
dilation and vascular pooling.
PMID- 18058494
TI - Impact of adalimumab treatment on patient-reported outcomes: results from a Phase
III clinical trial in patients with moderate to severe plaque psoriasis.
AB - OBJECTIVE: The effect of adalimumab on patient-reported outcomes (PROs) was
evaluated in patients with moderate to severe psoriasis during the initial 16
week, double-blind period of a 52-week, Phase III, multicenter trial. METHODS:
Patients were randomized to placebo or adalimumab 80 mg at Week 0 and 40 mg every
other week from Week 1 to Week 15. PROs were evaluated throughout the study and
included the Dermatology Life Quality Index (DLQI), the Short Form 36 Health
Survey (SF-36), the Work Productivity and Activity Impairment Questionnaire
Specific Health Problem (WPAI-SHP), and several patient-rated symptom scales.
RESULTS: The adalimumab-treated group reported significantly greater improvements
in DLQI total score (p<0.001), SF-36 Physical Component Summary score (p<0.001),
and Mental Component Summary score (p<0.001) compared with the placebo-treated
group over 16 weeks. Significant differences, favoring adalimumab, were also seen
for the DLQI subscale scores (p < 0.001); SF-36 scale scores (p<0.001); WPAI-SHP
work impairment (p<0.001), activity limitation (p<0.001), and overall work
impairment scores (p<0.001); patient's global assessment of disease severity
(p<0.001), psoriasis pain (p<0.001), and psoriasis-related pruritus (p = 0.002).
CONCLUSION: Adalimumab was efficacious in improving dermatology-specific and
general health-related quality of life, work and activity limitations, and
psoriasis-related symptoms in patients with moderate to severe psoriasis over a
16-week period.
PMID- 18058495
TI - Management of complications following leprosy: an evolving scenario.
AB - Reaction in leprosy, nerve damage/deformities, drug resistance/relapses, may come
as yet another challenge. Their management too is intriguing and has been dealt
with carefully. In addition, special situations such as pregnancy and concomitant
HIV/tuberculosis also need care for their effective management. To facilitate a
comprehensive appraisal, the subject has been bifurcated into management of
leprosy per se were multidrug therapy (MDT) is widely the accepted and acclaimed
treatment option. The former has been dealt with in depth in an adjoining
article, while the latter forms the contents of the current paper. The salient
contents of the text are illustrated by exemplary literature, which should
provide an adequate and comprehensive source of information for the academic work
force, under- and post-graduate students of dermatology, health workers and
treating physicians involved in the care of leprosy patients, and facilitate
decision making or options for treatment in a given case.
PMID- 18058496
TI - Basic fibroblast growth factor treatment for various types of recalcitrant skin
ulcers: reports of nine cases.
AB - The treatment of chronic intractable ulcers constitutes crucial topics in
dermatological practice. We describe here nine cases of different types of
therapy-resistant ulcers that were successfully treated with the employment of
spraying with basic fibroblast growth factor once daily. When it was initiated,
we could easily find in all the cases that the healing process of the ulcers was
greatly accelerated by this therapy with the resultant achievement of complete
epithelialization in a relatively short time. This therapeutic modality is useful
not only for chronic ulcers but also for acute extensive ulcers.
PMID- 18058498
TI - Synthesis of fluorinated indoles as RNA analogues.
AB - Nucleoside analogues are chemical means to investigate hydrogen bonds, base
stacking, and solvation as the three predominant forces that are responsible for
the stability of secondary structure of nucleic acids. To obtain deeper insight
into the contributions of these interactions to RNA stability apart from the ones
exerted by the predominant nucleosides we decided to synthesize some novel
nucleic acid analogues where the nucleobases are replaced by fluoroindoles.
Fluorinated indoles can be compared to fluorinated benzimidazoles to determine
the role of nitrogen in five membered ring system. The synthesis of fluoroindole
ribonucleosides is described here.
PMID- 18058499
TI - Different strategies for the synthesis of 2'-O-aminoethyl adenosine building
blocks.
AB - The chemical modification of the 2'-O-position of nucleosides proved to be of
great importance for the RNA stability. Greater stability of RNA duplexes allows
a longer half life in the cell and, therefore, a better effect of RNA
Interference. Here we investigated the synthesis of 2'-O-aminoethyl adenosine as
a cationic modified building block.
PMID- 18058500
TI - A phenanthrene modified RNA hairpin.
AB - The influence of hairpin loop replacement with the phenanthrene moiety in RNA was
investigated. The stability of this novel structure was compared to a hairpin
with a U(4) loop, an extra stable tetra-loop (UUCG), and an analogous
phenanthrene modified DNA hairpin. Thermal denaturation experiments and CD
spectra were used to study the structure and stability of the modified hairpin.
PMID- 18058501
TI - Aldehydic oligonucleotide: a key intermediate for the preparation of
oligonucleotide conjugates through oxime bond formation.
AB - Oligonucleotides functionalized with an aldehyde group are the key intermediates
used for the preparation of peptide-oligonucleotide conjugates through the
formation of an oxime linkage. Herein, we describe a brief overview of various
synthetic protocols developed in our laboratory for the preparation of aldehyde
containing oligonucleotides and their subsequent conjugation with peptides.
PMID- 18058502
TI - Microwave-assisted ribosylation of modified heterocyclic bases by Vorbruggen
method.
AB - During the last decades the nucleoside synthesis has proven to be important. The
modified silyl-Hilbert-Johnson nucleoside synthesis modified by Vorbruggen is one
of the most often used methods. We have studied N-glycosilation of modifieded
heterocyclic bases by Vorbruggen method with microwave irradiation and we were
able to shorten the reaction time and obtain higher yields. The method was
demonstrated by fluoroquinolone and purine.
PMID- 18058503
TI - Acid-mediated cleavage of oligonucleotide P3' --> N5' phosphoramidates triggered
by sequence-specific triplex formation.
AB - The P-N bond in oligonucleotide P3' --> N5' phosphoramidates (5'-amino-DNA) is
known to be chemoselectively cleaved under mild acidic conditions. We prepared
homopyrimidine oligonucleotides containing 5'-amino-5'-deoxythymidine (5'-amino
DNA thymine monomer) or its conformationally locked congener, 5'-amino-2',4'-BNA
thymine monomer, at midpoint of the sequence. The effect of triplex formation
with homopurineohomopyrimidine dsDNA targets on acid-mediated hydrolysis of the
P3' --> N5' phosphoramidate linkage was evaluated. Very interestingly, it was
found that the triplex formation significantly accelerates the P-N bond cleavage.
PMID- 18058504
TI - 5'-carbamoylphosphonyl-[6-3H]-AZT as a tool for studying metabolic
transformations of the nonradioactive counterpart, an inhibitor of HIV
replication.
AB - An effective synthesis of 5'-carbamoylphosphonyl-[6-3H]-AZT was developed from [6
3H]-AZT. For the synthesized compound, chemical and enzymatic stability were
determined and its penetration across HL-60 cell membranes was studied.
PMID- 18058505
TI - DNA containing non-nucleosidic phenanthrene building blocks with asymmetrical
linkers.
AB - The synthesis and hybridization properties of oligonucleotides containing
phenanthrene building blocks with non-nucleosidic linkers of different length are
described. It was found that the length of the linkers, as well as the
combination of unequal linkers can have a substantial influence on the thermal
stability of the modified DNA.
PMID- 18058506
TI - An enzymatic transglycosylation of purine bases.
AB - An enzymatic transglycosylation of purine heterocyclic bases employing readily
available natural nucleosides or sugar-modified nucleosides as donors of the
pentofuranose fragment and recombinant nucleoside phosphorylases as biocatalysts
has been investigated. An efficient enzymatic method is suggested for the
synthesis of purine nucleosides containing diverse substituents at the C6 and C2
carbon atoms. The glycosylation of N(6)-benzoyladenine and N(2)-acetylguanine and
its O(6)-derivatives is not accompanied by deacylation of bases.
PMID- 18058507
TI - Design, enantiopure synthesis, and biological evaluation of novel iso-D-2',3'
dideoxy-3'-fluorothianucleoside derivatives as a bioisostere of lamivudine.
AB - Novel iso D-2',3'-dideoxythianucleoside derivatives 1-3 were designed and
asymmetrically synthesized to search for new anti-HIV agents. Final compounds 1-3
were evaluated against a variety of viruses including HIV-1 and 2. Only cytosine
analog 3 showed a potent anti-VSV activity (EC(50) = 9.43 microg/mL). This result
implies that iso 2',3'-dideoxy sugar templates might play a role of a sugar
surrogate of nucleosides for the development of anti-RNA virus agent.
PMID- 18058508
TI - New analogs of acyclovir substituted at the side chain.
AB - A series of novel analogs of acyclovir, substituted with an alkyl (methyl, ethyl,
n-butyl) or phenyl group at the positions 1', 4', and/or 5', has been obtained in
a direct one-pot coupling reaction of guanosine and the respective 1,3
dioxolanes. The new acyclonucleosides were essentially inactive in antiviral
(HSV, VV, VSV, HBV) evaluation in vitro.
PMID- 18058509
TI - Synthesis of anthraquinone oligonucleotides for triplex stabilization.
AB - The synthesis of two anthraquinone phosphoramidites is described. In both cases
the anthraquinone moiety is attached via a linker to the 5-position of a uracil
base, allowing incorporation at any thymidine position in an oligonucleotide
sequence. Anthraquinone-modified oligonucleotides have potential applications as
triplex stabilizers and fluorescence quenchers.
PMID- 18058510
TI - Clip-phen conjugates for the specific cleavage of nucleic acids.
AB - For the first time Clip-Phen (1) was conjugated to oligonucleotides to provide
very efficient tools for the cleavage of nucleic acids at specific positions. The
synthesis of the conjugates as well as the cleavage experiments are reported.
PMID- 18058511
TI - OligoPrep PVA support for oligonucleotide synthesis in columns on a scale up to
10 micromol.
AB - OligoPrep is a macroporous polyvinylacetate (PVA) biodegradable support that has
been designed for cost-effective automated synthesis of oligonucleotides using
standard phosphoramidite chemistry. Originally developed for large-scale
oligonucleotide synthesis in beds and reactors, we present here its utility for
medium-scale work of 1-10 micromol in column syntheses on standard DNA
synthesizers. We show how an increase in scale, and, therefore, yield, can be
achieved without significant increase in reagent quantity. Additional deblock and
oxidation cycles can provide high coupling yields, and the use of concentrated
ammonia in aqueous methylamine (AMA) for oligonucleotide cleavage and
deprotection results in excellent recovery.
PMID- 18058512
TI - A new and short convergent synthetic strategy to carbocyclic nucleosides.
AB - An efficient synthesis for racemic cyclopent-3-en-1-yl nucleoside analogues has
been developed starting from cyclopentadiene. The key step is the regioselective
hydroboration of a mixture of intermediate alkylatede cyclopentadienes to give
one cyclopentenol.
PMID- 18058513
TI - Oligonucleotide charge reversal: 2'-O-lysylaminohexyl modified oligonucleotides.
AB - A novel cationic building nucleoside building block designed for antisense and
siRNA oligonucleotides is presented. Protected L-lysine was coupled to 2'-O
aminohexyluridine and the resulting nucleoside was phosphitylated for automated
oligonucleotide synthesis. An increasing number of these 2'-O-lysylaminohexyl
nucleosides lowered the melting temperature of desoxy-thymidine homododecamers,
but the decrease was lower than that for DNA/RNA hybrids. Incubation with an
exonuclease showed the exceptionally high resistance against enzymatic
degradation. CD spectrometry revealed a gradual transition towards an A-type
oligonucleotide structure. Based on these data, the cationic building block is
particularly suited for gapmer antisense as well as siRNA oligonucleotides.
PMID- 18058514
TI - Asymmetric synthesis of apio fluoroneplanocin A analogs as potential AdoHcy
hydrolase inhibitor.
AB - Apio fluoroneplanocin A (apio F-NPA, 3) and its uracil analogue 4 have been
designed and asymmetrically synthesized starting from D-ribose. Introduction of
fluoro group into vinylic position of 5 was accomplished successfully over 5
steps employing key reactions such as iodination according to an addition
elimination reaction mechanism, stereo- and regioselective reduction of
alpha,beta-unsaturated ketone, and electrophilic fluorination. This methodology
can be adapted to the synthesis of fluoro compounds extensively.
PMID- 18058515
TI - Hairpin mimics with phenanthroline- and bipyridine-derived linkers.
AB - The synthesis and structural stabilities of modified oligonucleotide hairpins
containing phenanthroline- and bipyridine-modified loops is reported.
Phenanthroline (phen) and bipyridine (bipy) building blocks were synthesized,
incorporated into DNA-oligonucleotides, and analyzed by thermal denaturation
experiments. The so modified oligomers were found to form stable hairpin
structures. Tm values were not affected by divalent transition metals.
PMID- 18058517
TI - A general route to D- and L-six-membered nucleoside analogues.
AB - A simple synthetic route for novel L-(as well as D-) six-membered nucleosides is
described. Particularly, we have provided a general approach to the synthesis of
azasugar-based nucleosides, which preparation has been easily achieved starting
from the coupling of our three carbon homologating agent 1 with the well known
Garner aldehyde 4. Further suitable and stereocontrolled functionalizations of
the intermediate 9 will provide, after the base insertion, a wide class of six
membered modified azanucleosides to be tested as NRTIs.
PMID- 18058516
TI - Inhibition of HIV-1 replication in macrophages by red blood cell-mediated
delivery of a heterodinucleotide of lamivudine and tenofovir.
AB - Homo- and heterodimers of nucleoside/nucleotide analogues as reverse
transcriptase inhibitors are effective on HIV-1-infected human monocyte-derived
macrophages (M/M) compared to the single drugs or their combination. Since the
combined treatment of lamivudine (3TC) and tenofovir ((R)PMPA) has an
antiretroviral efficacy and a synergic effect respect to separate drugs, the
heterodinucleotide 3TCpPMPA was synthesized. A single administration of the dimer
as free drug or 3TCpPMPA-loaded RBC selectively targeted to M/M was able to
almost completely protect macrophages from "de novo" infection.
PMID- 18058518
TI - New developments in the synthesis of oligonucleotide-peptide conjugates.
AB - The stability of oligodeoxynucleotides to trifluoroacetic acid is studied.
Pyrimidine oligonucleotides were stable in the conditions used for the removal of
t-butyl groups. Oligonucleotide-3'-peptide conjugates carrying pyrimidine
oligonucleotides are prepared stepwise using peptide-supports and Fmoc, t-butyl
strategy. Using this strategy we have prepared an oligonucleotide-peptide
conjugate containing as peptide the leucine-rich fragment of FOS, a transcription
factor involved in many important cellular processes. This conjugate has a long
peptide sequence with a large number of trifunctional amino acids.
PMID- 18058519
TI - Kinetics of binding of multisubstrate analogue inhibitor (2-amino-9-[2
(phosphonomethoxy)ethyl]-6-sulfanylpurine) with trimeric purine nucleoside
phosphorylase.
AB - Complex formation of multisubstrate analogue inhibitor--2-amino-9-[2
(phosphonomethoxy)ethyl]-6-sulfanylpurine (PME-6-thio-Gua) with trimeric purine
nucleoside phosphorylase from Cellulomonas sp. was investigated using a stopped
flow spectrofluorimetric approach. Results obtained indicate that, in contrast to
binding of guanine, i.e., the transition-state conformation trapping ligand, for
which binding at each active site is followed by the enzyme conformational
change, association of the ground-state analogue PME-6-thio-Gua is a one-step
process.
PMID- 18058520
TI - Synthesis of enantiopure pseudo-L-vinylcyclopropyl nucleosides bearing quaternary
carbon as potential anti-herpesvirus agent.
AB - Pseudo-L-vinylcyclopropyl adenine and guanine nucleosides 11 and 12 were designed
and enantiopurely synthesized starting from (S)-epichlorohydrin using tandem
alkylation, regioselective oxirane-ring opening, and chemoselective reduction as
key steps.
PMID- 18058521
TI - Triplex formation using oligonucleotide clamps carrying 8-aminopurines.
AB - The synthesis and properties of triplex-forming DNA clamps carrying 8
aminopurines are described. The stability of triple helices is enhanced by
replacing purine bases with 8-aminopurine residues. These enhanced binding
properties are used for the specific capture of polypyrimidine RNA/DNA sequences
of interest.
PMID- 18058522
TI - Synthesis of 2',3'-dideoxyinosine via radical deoxygenation.
AB - A synthetic method for 2',3'-dideoxyinosine (ddI) from inosine was established
via radical deoxygenation of N1,5'-O-diprotected-2',3'-bis-S-methyl
dithiocarbonate of inosine derivatives. The radical deoxygenation proceeded
smoothly to give the desired dideoxy compounds in good yields using 1
ethylpiperidinium hypophosphite and triethylborane. Benzyl or p-methoxybenzyl
protection of inosine at the N1, 5'-O-positions were effective for the ddI
synthesis.
PMID- 18058523
TI - Inhibitory properties of nucleotides with difluoromethylenephosphonic acid as a
phosphate mimic versus calf spleen purine nucleoside phosphorylase and effect of
these analogues on the viability of human blood lymphocytes.
AB - Several cyclic and acyclic 6-keto purine nucleotides with
difluoromethylenephosphonic acid as phosphate mimic are proved to be potent
inhibitors of mammalian purine nucleoside phosphorylase (PNP). Antiproliferative
activity of these analogues on the growth of human blood lymphocytes was tested
by MTT assay. Compared to inhibitory effects on the growth of human blood T
lymphocytes isolated from healthy donors, all analogues significantly slow down
proliferation of T-lymphocytes isolated from patients with autoimmune thyroid
disease--Hashimoto's thyroiditis.
PMID- 18058524
TI - Nucleolipids as potential organogelators.
AB - Four different series of nucleolipids or bola-nucleolipids were synthesized or re
synthesized. Most of the compounds were studied with respect to their gelation
properties toward either water or aromatic, hetero-aromatic, and aliphatic
hydrocarbons. Bola-nucleolipids 6 and 7 do not gelate any solvent tested, neither
as sole additive nor by adding up to 10 wt% of a 1:1 mixture. The nucleolipid 22
carrying the antiviral acyclovir as a head group proved to be a potent
organogelator for aromatic hydrocarbons such as toluene, but not for hetarenes,
aliphatic hydrocarbons or water. The mono-tailed nucleolipid 24 exhibits
excellent organogelator properties for both aromatic and aliphatic hydrocarbons.
These were studied as a function of concentration and temperature.
PMID- 18058525
TI - Asymmetric synthesis of novel pseudo-D-vinylcyclopropyl nucleosides bearing
quaternary carbon as potential anti-herpesvirus agent.
AB - Pseudo-D-vinylcyclopropyl nucleosides 10-12 bearing a quaternary carbon were
designed and synthesized starting from (R)-epichlorohydrin using a tandem
reaction of double alkylation and lactonization via oxirane-ring opening
reaction, a Wittig reaction, and chemoselective reduction as potential anti
herpesvirus agent.
PMID- 18058526
TI - Photoinduced crosslinking of double-helical DNA by psoralen covalently linked to
a triple helix-forming oligonucleotide under near-physiological conditions.
AB - Stable triplexes have been generated under near-physiological conditions by the
introduction of the C and T base analogues 3-methyl-2-aminopyridine-2'
deoxyriboside and 5-(3-aminoprop-2-ynyl)-'-deoxyuridine into psoralen-conjugated
triplex-forming oligonucleotides. After irradiation with UV light at 365 nm,
photo-induced cross-linking of the TFO to double-helical DNA was observed by UV
melting analysis and fluorescence measurements.
PMID- 18058527
TI - Stereoselective synthesis of 1'-functionalized-4'-thionucleosides.
AB - Stereoselective functionalization of the 1'-position of 4'-thionucleosides was
achieved using a stereoselective S(N)2 reaction controlled by 5-membered ring
coordination.
PMID- 18058528
TI - O2,1'-anhydro-(beta-D-psicofuranosyl)thymine and 1-(1',4'-O-anhydro-beta-D
psicofuranosyl)thymine: the crystal structures versus the 1H NMR and ab initio
data.
AB - The crystal structures of the title compounds 1 and 2 have been determined.
Relation between the stereochemistry of both nucleosides in the crystal state and
the (1)H NMR data in solution as well as the ab initio calculations is discussed.
PMID- 18058529
TI - Asymmetric synthesis of cyclopropyl-fused 2'-C-methylcarbanucleosides as
potential anti-HCV agents.
AB - Novel 2'-C-methyl-cyclopropyl-fused carbocyclic nucleosides as potential anti-HCV
agents were stereoselectively synthesized, utilizing regioselective cleavage of
the isopropylidene group and cyclic sulfate chemistry as key steps.
PMID- 18058530
TI - Syntheses of pyrimidine acyclic nucleoside phosphonates as potent inhibitors of
thymidine phosphorylase (PD-ECGF) from SD-lymphoma.
AB - In the present study, we synthesized a series of pyrimidine acyclic nucleoside
phosphonates bearing a number of substituents in C-5 position of uracil moiety
and in the N-1-side chain. In addition, we have investigated in particular the
novel syntheses of fluorinated derivatives substituted in the N-1-side chain and
uracil C-5 position because fluorine-containing substituents are often powerful
modifiers of chemical and biological properties. The obtained compounds exhibit a
considerable inhibitory potency of thymidine phosphorylase from SD-lymphoma. In
contrast, the synthesized phosphonates are not efficient inhibitors of E. coli
and human thymidine phosphorylase.
PMID- 18058531
TI - Diastereoselective synthesis of 2',3'-dideoxy-beta-C-glucopyranosides as
intermediates for the synthesis of 2',3'-dideoxy-beta-D-glucopyranosyl-C
nucleosides.
AB - An extension of the Vorbruggen method of nucleotide synthesis for the synthesis
of C-glucopyranosides, as intermediates for C-nucleosides, is described. It could
be shown that the diastereoselectivity of the reaction can be tuned by a simple
change of protecting groups.
PMID- 18058532
TI - Studies on thermal stability of human cytidine deaminase.
AB - The thermal stability of human cytidine deaminase (CDA), an enzyme involved in
pyrimidine metabolism was investigated. With this in view, the residues R68 and
Y60, supposed to be involved in the intersubunit interactions and in the
catalytic site of CDA, were mutated to glutamine and glycine, respectively.
Thermal stability experiments were performed on the purified mutants by means of
circular dichroism and enzymatic assays. The results obtained should be useful
for designing more efficient cytidine based drugs for chemotherapy.
PMID- 18058533
TI - The effects of nucleoside analogues on promoter methylation of selected tumor
suppressor genes in MCF-7 and MDA-MB-231 breast cancer cell lines.
AB - The effects of 2-chloro-2'-deoxyadenosine, 9-beta-D-arabinofuranosyl-2
fluoroadenine, and 5-aza-2'-deoxycytidine on promoter methylation of the selected
tumor suppressor genes (i.e., ERalpha, BRCA1, RARbeta2, E-cadherin, PTEN, and
APC) were estimated using methylation-sensitive restriction analysis. The studies
were carried out in hormone-responsive, low-invasive cell line MCF-7 and hormone
insensitive, highly invasive cell line MDA-MB-231. The results demonstrate an
implication of the tested adenosine analogues and 5-aza-dCyd in regulation of DNA
methylation process. Moreover, the effects of nucleoside analogues on PTEN
promoter methylation suggest distinct mechanism of regulation of the epigenetic
DNA modification in low-invasive compared to highly invasive breast cancer cells.
PMID- 18058534
TI - ODN-based drugs for targeting of extracellular proteins.
AB - In this work a novel approach to identify new therapeutic targets consisting of
serum proteins which contain an oligonucleotide binding domain is presented.
PMID- 18058535
TI - Novel S-ribosylhomocysteine analogues as potential inhibitors of LuxS enzyme.
AB - Selective cross-coupling of the protected 6-fluoro-6-iodo-alpha-D-ribo-hex-5
enofuranose with 2 equivalents of 4-ethoxy-4-oxobutylzinc bromide in the presence
of Pd[P(Ph)(3)](4) followed by deprotections gave methyl 5,6,7,8,9-pentadeoxy-6
fluoro-alpha/beta-D-ribo-dec-5(Z)-enofuranuronate; a S-ribosylhomocysteine
analogue with the sulfur and carbon-5 atoms replaced by the fluoro(vinyl) unit.
PMID- 18058536
TI - A new family of inhibitors of Mycobacterium tuberculosis thymidine monophosphate
kinase.
PMID- 18058537
TI - Investigation of novel lipid-functionalized PNA monomers as potential HIV-1 non
nucleoside reverse transcriptase and/or integrase inhibitors.
AB - A range of novel N-terminal lipid-functionalized peptide nucleic acid (PNA)
monomers have been prepared and their abilities to inhibit HIV-1 reverse
transcriptase and integrase have been examined.
PMID- 18058538
TI - Telomere shortening in human HL60 cells by treatment with 3'-azido-2',3'
dideoxynucleosides and telomerase inhibition by their 5'-triphosphates.
AB - Telomerase is thought to play an important role in the mechanism of tumor cell
immortalization by maintenance of telomere length. To obtain information on the
susceptibility of telomerase to nucleoside analogues, the effects of base
modified 3'-azido-2',3'-dideoxynucleoside triphosphates on the enzyme were
investigated. It is suggested that the 2-amino group of the nucleotide purine
nucleus is important for the inhibitory activity. Telomere shortening caused by
long-term treatment with these nucleosides is also described.
PMID- 18058539
TI - Troxacitabine prodrugs for pancreatic cancer.
AB - Troxacitabine is a cytotoxic deoxycytidine analogue with an unnatural L
configuration, which is activated by deoxycytidine kinase (dCK). The
configuration is responsible for differences in the uptake and metabolism of
troxacitabine compared to other deoxynucleoside analogues. The main drawback in
the use of most nucleoside anticancer agents originates from their hydrophilic
nature, which property requires a high and frequent dosage for an intravenous
administration. To overcome this problem several troxacitabine prodrugs modified
in the aminogroup with a linear aliphatic chain with a higher lipophilicity were
developed. To determine whether these prodrugs have an advantage over
Troxacitabine pancreatic cancer cell lines were exposed to Troxacitabine and the
lipophilic prodrugs. The addition of linear aliphatic chains to troxacitabine
increased sensitivity of pancreatic cancer cell lines to the drug > 100-fold,
possibly due to a better uptake and retention of the drug.
PMID- 18058540
TI - Synthesis of novel 2'-deoxy type trans-3',4'-bridged nucleic acid.
AB - We newly designed and synthesized a 2'-deoxy type trans-3',4'-bridged nucleic
acid (trans-3',4'-BNA) analogues bearing a 4,7-dioxabicyclo[4.3.0]nonane
structure. The synthesis of the trans-3',4'-BNA was carried out successfully from
thymidine over 21 steps. The structure of trans-3',4'-BNA was confirmed by x-ray
crystallographic analysis, indicating that the furanose ring has a typical S-type
conformation with C(3')-exo puckering.
PMID- 18058541
TI - Furano- and pyrrolo [2,3-d] pyrimidine nucleosides and their 5'-O-triphospates:
synthesis and enzymatic activity.
AB - A series of bicyclic [2,3-d]furano- and pyrrolopyrimidine ribonucleosides were
synthesized and converted chemically into corresponding 5'-O-triphosphates.
Substrate properties of the triphosphates toward some RNA and DNA polymerases are
reported.
PMID- 18058542
TI - Approach to the synthesis of natural and modified oligonucleotides by the
phosphotriester method using O-nucleophilic intramolecular catalysis.
AB - An approach to the solid phase synthesis of natural and modified oligonucleotides
using phosphotriester technique has been developed. Particularly, this method
allows the synthesis of ribo- and deoxyribo-oligonucleotides containing various
2'-modified mononucleotides as well as stereodefined nucleotide phosphorothioate
analogues.
PMID- 18058543
TI - Glycosylation reaction via a mild and efficient one-pot reaction using doped
natural phosphate with iodine as catalyst.
AB - Several alpha/beta-D-ribonucleosides were synthesized in good yields under mild
conditions by N-glycosylations of acetyl 2,3,5-tri-O-benzoyl-beta-D-ribofuranose
with silylated nucleobases in acetonitrile using NP doped with iodine as
catalyst.
PMID- 18058544
TI - The synthesis and properties of tricyclic analogues of S6-methylthioguanine and
O6-methylguanine.
AB - The syntheses of novel tricyclic pyrrolo[2,3-d]pyrimidine analogues of O(6)
methylguanine and S(6)-methylthioguanine are described. The crystal structures
and pK(a) values of these analogues are reported. In a standard substrate assay
with the human repair protein O(6)-methylguanine-DNA methyltransferase (MGMT)
only the oxygen-containing analogue displayed activity.
PMID- 18058545
TI - A glutaric acid ester as carrier system for sustained delivery of lamuvidine
(3TC) dimers.
AB - We report the synthesis of homo and heterodimers of 3TC conjugates. All new
dimers were screened for their ability to inhibit HIV-1 in MT4 cell line and were
compared to AZT alone and showed marked antiviral activity.
PMID- 18058546
TI - Synthesis and anti-HSV activity of new N1-acyclic C4 and C6-disubstituted
pyrazolo[3,4-d]pyrimidine nucleosides.
AB - Several N(1)-(2-hydroxyethoxy)methyl, (4-hydroxybutyl) and (2,3-dihydroxy-1
propoxy)methyl-C(4),C(6)-disubstituted-1H-pyrozolo[3,4-d]pyrimidines were
synthesized. Some of them were evaluated against herpes simplex virus 1 and 2
replications in E(6)SM cells.
PMID- 18058547
TI - Stereospecific synthesis of (-)-neplanocin F.
AB - The stereospecific synthesis of (-)-neplanocin F was achieved in 15 steps from
2,3-O-isopropylidene-D-1,4-ribonolactone. The synthetic methodology can give an
access through appropriate modifications to new series of carbanucleosides.
PMID- 18058548
TI - Effect of cationic comb-type copolymer on quadruplex folding of human telomeric
DNA.
AB - Cationic comb-type copolymer (CCC) consisting of a polycationic backbone and
abundant graft water-soluble chains exhibited considerable stabilization effect
on DNA hybrids, such as double- and triple-stranded DNAs. Here, we describe the
effect of CCC on antiparallel G-quadruplex folding of human telomeric DNA,
d(GGGTTA)(n) in the presence of sodium ions. CCC did not significantly alter the
circular dichroism (CD) spectra of d((GGGTTA)(3)GGG) and d((GGGTTA)(7)GGG)
indicating that the CCC did not influence the antiparallel folding of the
telomeric repeats. Hence, the ionic interaction of CCC with the DNA sequence did
not interfere with specific interaction of the DNA with sodium ions to form G
quartets. Interestingly, CCC did not change the melting temperature of the
d((GGGTTA)(3)GGG) suggesting negligible stabilizing effect of CCC on the
antiparallel quadruplex structure.
PMID- 18058549
TI - Molecular modelling studies on the binding of some protides to the putative human
phosphoramidase Hint1.
AB - The aim of the present work is to investigate through molecular modelling the
possible role of the human enzyme Hint1 in the final P-N bond cleavage of
phosphoramidate ProTides, which would lead to the intracellular delivery of
unmasked nucleoside analogue monophosphates. Herein, we report our preliminary
analysis based on docking studies of (E)-5-(2-bromovinyl)-2'-deoxyuridine (BVdU)
related aminoacyl phosphates with Hint1 and the effect of the amino acid moiety
on the enzyme-substrate binding affinity.
PMID- 18058550
TI - Revisited 3'-deoxy-3'-C-methyl-beta-D-ribonucleoside series.
AB - The synthesis of some 3'-deoxy-3'-C-methylnucleoside analogues bearing naturally
occuring nucleic acid bases was achieved from the preparation of a suitable
peracylated 3-deoxy-3-C-methyl sugar using a stereoselective pathway. In
addition, examples of chemical modifications at the 2' position are presented.
PMID- 18058551
TI - Effect of rubidium and cesium ions on the dimeric quaduplex formed by the
Oxytricha nova telomeric repeat oligonucleotide d(GGGGTTTTGGGG).
AB - The DNA sequence d(GGGGTTTTGGGG) consists of 1.5 units of the repeat in telomeres
of Oxytricha nova. It has been shown by NMR and x-ray crystallographic analysis
that it is capable to form a dimeric quadruplex structure and that a variety of
cations, namely K(+), Na(+), and NH(4)(+), are able to interact with this complex
with different affinity, leading to complexes characterized by different local
conformations. Thus, in order to improve the knowledge of this kind of molecule,
and in particular to provide further insight into the role of monovalent cations
in the G-quadruplex folding and conformation, we have investigated by (1)H-NMR
the effect of the addition of Rb(+) and Cs(+) to the quadruplex formed by the
oligonucleotide d(GGGGTTTTGGGG).
PMID- 18058552
TI - Structural insight into the hTERT intron 6 sequence d(GGGGTGAAAGGGG) from 1H-NMR
study.
AB - The interest in DNA quadruplex structures has been fueled by the recognition that
telomeres, the 3' single stranded guanine-rich overhangs found at the termini of
chromosomes, are likely to form G-tetrads type structures important in cell
senescence and cancer. In addition to their presence in telomeres, where they may
play a role in maintaining the stability and integrity of chromosomes, guanine
rich regions are found in other region of the genome, amongst these is intron 6
of hTERT a gene codifying for the enzyme telomerase. Interestingly, the formation
of G-quadruplexes in this region is involved in the down-regulation of telomerase
activity caused by an alteration of the hTERT splicing pattern. Therefore, we
have analyzed several sequences of that intron by (1)H-NMR and CD spectroscopy,
and we have found that the sequence d(GGGGTGAAAGGGG) is able to fold in a single
well-defined antiparallel quadruplex structure consisting of four G-tetrads,
possessing a twofold symmetry, and containing four Gs in a syn glycosidic
conformation.
PMID- 18058553
TI - Molecular modelling studies of four stranded quadruplexes containing a 3'-3' or
5'-5' inversion of polarity site.
AB - Recently we reported a preliminary study on the structure of two novel quadruplex
structures, Q33 and Q55, formed by the oligodeoxynucleotides (5)'TGG(3)'
(3)'GGT(5)' and (3)'TGG(5)'-(5)'GGT(3)', respectively. Here we report their
solution structures at the atomic level. The obtained structures reveal that Q55
and Q33 possess a different stacking among G-quartets and different twist angle
(and therefore different helical winding) at the inversion of polarity level.
PMID- 18058554
TI - A mini-library of TBA analogues containing 3'-3' and 5'-5' inversion of polarity
sites.
AB - Several researches have been devoted to structure-activity relationship and to
post-SELEX modifications of the thrombin binding aptamer (TBA), one of the first
aptamers discovered by the SELEX methodology. However, no studies on TBA dealing
with the effects of introduction of inversion of polarity sites have been
reported yet. In this frame, we have undertaken the synthesis and the study of a
mini-library composed of several TBA analogues containing a 3'-3' or a 5'-5'
inversion of polarity site at different positions into the sequence.
Particularly, in this article, we present preliminary results about their
structural and biological properties.
PMID- 18058555
TI - Effect of the introduction of an A-residue into a quadruplex forming
oligonucleotide containing a 5'-5' polarity of inversion site.
AB - Preliminary NMR studies on structure formed by sequence 3'-TGA-5'-5'-GGT-3' are
described. We proposed the formation of a tetramolecular quadruplex in which
strands are equivalent to each other and three G-tetrads are present. The
possibility of the occurrence of an A-tetrad also is discussed.
PMID- 18058556
TI - A topological classification of G-quadruplex structures.
AB - A topological classification of most quadruplex structures is proposed, based on
two main characteristics: 1) the relative orientation of the strands and 2) the
nature of the loops connecting the strands.
PMID- 18058557
TI - Synthesis and primary evaluation of novel HIV-1 inhibitors.
AB - The overcoming of antiviral drug resistance is an important challenge in the
treatment of HIV-1 infection. According to the theory of viral error catastrophe,
slightly increasing the mutation rate could exceed the error threshold for
viability of a viral population and kill it. Investigation of this mechanism
could lead to the discovery of new antiviral agents capable of bypassing viral
resistance. To this aim, we designed several modified nucleosides. We describe
here the synthesis and partial evaluation of 8-amido-2'-deoxyadenosine. The
supplementary amide group on the base should allow base-pairing with several
natural nucleosides, thus creating supplementary mutations that would kill the
virus.
PMID- 18058558
TI - The support-on-support concept for in-situ oligonucleotide synthesis on
nanoparticles.
AB - Oligonucleotide-loaded nanoparticles, which are of interest for biomedical
application, up to now, could not be prepared by in-situ synthesis, due to
difficulty of handling in automated synthesizers. To overcome this problem, we
have introduced the "support-on-support" concept. It is based on the reversible
anchoring of nanoparticles to the surface of microparticles. These composite
beads easily can be used for automated synthesis, being released after completion
of chain elongations. As examples, dextran-coated magnetite nanoparticles were
attached to polystyrene microparticles through (1) a gelatine or (2) a silica
layer. Release involved dissolution of the bonding layer by (1) proteases or (2)
alkali.
PMID- 18058559
TI - Thermodynamic studies on PNA and PNA/DNA dendrimer formation.
AB - In this work we report a kinetic and thermodynamic study relative to the
formation of gel systems based on PNA and PNA/DNA dendrimers, useful for drug
delivery or diagnostic applications. We realized two kinds of systems: a PNA
based monomolecular system formed by an autoassembling PNA tridendron (A) and a
PNA/DNA bimolecular system based on a PNA tridendron with a mixed sequence and a
DNA crosslinker (B). Both systems have the ability to form a three-dimensional
network by means of specific W-C base pairing.
PMID- 18058560
TI - Photochemically induced RNA and DNA abasic sites.
AB - Two phosphoramidite building blocks were synthesized that can easily be
deprotected by UV light to reveal natural abasic sites in oligoribonucleotides as
well as in oligodeoxyribonucleotides. Another building block which releases a 2'
O-methylated abasic site upon UV radiation is also described.
PMID- 18058561
TI - Cyclopentane-nucleobase coupling in the synthesis of carbocyclic L-nucleosides:
is a SN2-reaction an alternative to the Mitsunobu-reaction?
AB - Several carbocyclic L-nucleosides have been synthesized by coupling a
cyclopentane-system with heterocycles according to a modified Mitsunobu-protocol.
This reaction gave two regioisomers, the N1-alkylated product and an unwanted
O(2)-product. A simple S(N)2-reaction has been investigated as an alternative for
such couplings.
PMID- 18058562
TI - Synthesis and properties of oligonucleotides containing a 7-membered (oxepane)
sugar ring.
AB - Herein we describe the synthesis of novel 7-membered ring (oxepane) thymine and
adenine nucleosides (oT and oA) and their corresponding 5'-O-phosphoramidite
derivatives. Two homopolymeric sequences (oT(15) and oA(15)) were prepared via
conventional solid-phase synthesis. The mutually complementary strands had the
ability to form a duplex (oT(15):oA(15)) exhibiting a transition temperature of
12 degrees C. The oxepane oligonucleotides were also found to associate with
their respective complementary RNA strands thus forming oT(15):rA(15) (13 degrees
C) and oA(15):rU(15) (12 degrees C) hybrids. The corresponding native duplexes,
namely dT(15):dA(15), dT(15):rA(15) and dA(15):rU(15) had melting temperatures of
37 degrees C, 32 degrees C and 16 degrees C, respectively. The CD spectrum of
oT(15):rA(15) closely resembled that of the native dT(15):rA(15) hybrid and, in
fact, both were found to be substrates for E. Coli RNase H. Thus the oxepane
nucleic acids reported here are one of only a handful of DNA mimics capable of
activating RNase H when bound to RNA.
PMID- 18058563
TI - DNA polymerase recognition of 2'-deoxy-2'-fluoroarabinonucleoside 5'
triphosphates (2'F-araNTPs).
AB - We examined the ability of 2'-deoxy-2'-fluroarabinonucleoside 5'-triphosphates
(2'F-araNTPs) to serve as substrates of various DNA polymerases. In addition, we
also examined the ability of these polymerases to accept DNA-FANA (2'-deoxy-2'
fluoroarabinonucleic acids) chimeras as template strands while synthesizing a DNA
or FANA-DNA complementary strand. We provide preliminary data demonstrating that
2'F-araNTPs are indeed substrates of several DNA polymerases, and that FANA-DNA
chimeric templates are generally well recognized by these polymerase enzymes.
PMID- 18058564
TI - Introduction of chirality into PNA by replacement of the achiral methylene
carbonyl linkage to the nucleobase.
AB - A novel approach to the introduction of chirality into peptide nucleic acid (PNA)
by replacement of the methylene carbonyl linker by an alpha-amino acid derived
moiety is described. A monomer compatible with Fmoc-based oligomerization
chemistry possessing an L-serine derived linker has been synthesized and
incorporated into PNA oligomers. A single, central substitution in a hexathymine
PNA strongly destabilized triple helix formation whereas a central substitution
in a mixed sequence is much better tolerated. We have investigated the influence
of this substitution on the selectivity for strand composition (DNA versus RNA
complement) and strand orientation (antiparallel versus parallel) in the context
of duplex formation. A PNA 11-mer with a single substitution demonstrates a
preference for an antiparallel RNA complement, as judged by thermal denaturation
analysis of the complexes.
PMID- 18058565
TI - Synthesis of a fluorescent PNA monomer containing 5-((9H-fluoren-2
yl)ethynyl)uracil.
AB - Pyrimidine nucleobases bearing 5-phenylethynyl substitution represent compact and
intrinsically fluorescent nucleobases. Such nucleobases are capable of selective
recognition of a complementary base and may fluorimetrically report on
hybridization events. Our past work has demonstrated that the fluorescence of 5
phenylethynyluracils is sensitive to substitution on the phenyl ring, however
these are relatively weak fluorophores. We currently are pursuing the
functionalization of the phenyl group of these modified nucleobases in order to
further improve their fluorescence response, increase their aqueous solubility
and stabilize hybrids formed with complementary nucleic acids. As an example of
this work, we have synthesized the 5-((9H-fluoren-2-yl)ethynyl)uracil PNA monomer
that will be incorporated into oligomers using Fmoc-based chemistry. Initial
evaluation of the fluorescence of the 5-((9H-fluoren-2-yl)ethynyl)uracil
derivative shows that the fluorescence intensity is approximately 50 times
greater than a similar 5-phenylethynyluracil derivative when under identical
conditions.
PMID- 18058566
TI - Synthesis of modified nucleosides for incorporation of formyletheno and
carboxyetheno adducts of adenine nucleosides into oligonucleotides.
AB - Three protected derivatives of 1,N(6)-ethenoadenine nucleosides, viz. 3-[5-O
(4,4'-dimethoxytrityl) of 7-formyl-(1) and 7-(1,2-diacetyloxypropyl)-2'
deoxyadenosine (2), and 3-[5-O-(4,4'-dimethoxytrityl)-2-O-(tert
butyldimethylsilyl)-7-(ethoxycarbonyl)adenosine (3), expected to allow
introduction of formyletheno and carboxyethenoadenine adducts into
oligonucleotides by the conventional phosphoramidite chemistry, have been
synthesized.
PMID- 18058567
TI - In vitro incorporation of LNA nucleotides.
AB - An LNA modified nucleoside triphosphate 1 was synthesized in order to investigate
its potential to act as substrate for DNA strand synthesis by polymerases. Primer
extension assays for the incorporation experiments revealed that Phusion High
Fidelity DNA polymerase is an efficient enzyme for incorporation of the LNA
nucleotide and for extending strand to full length. It was also observed that pfu
DNA polymerase could incorporate the LNA nucleotide but it failed to extend the
strand to a full length product.
PMID- 18058568
TI - Detecting DNA: getting and begetting cancer.
PMID- 18058569
TI - Biological therapy of breast cancer: recent clinical applications.
AB - Advances have been made in breast cancer therapy, in both the adjuvant and
metastatic settings. For example, in the adjuvant setting, genomic studies of
breast cancer tissues have identified women with estrogen receptor-positive
tumors who might not require chemotherapy, leading to the development of a
diagnostic tool. There have also been significant developments with anticancer
agents that target tumor cell surface receptors, such as HER2/neu, and those
involved in angiogenesis and kinase-dependent pathways. New areas of research
focus on the concept of breast cancer stem cells as well as the prognostic
importance of bone marrow micrometastases in early-stage breast cancer. This
review summarizes these advances in breast cancer clinical research.
PMID- 18058570
TI - Monoclonal antibodies in clinical hematology and oncology.
AB - The application of highly specific mAbs with minimal side effects in cancer
therapy was, for a long time, a major goal for many clinical oncologists. Since
their arrival in the clinical setting, mAbs have come to represent a standard
therapy for some tumors, and have proven to be an important extension to the
treatment of a broad spectrum of malignancies. The future development of mAbs for
targeting alone (ie, for labeling of tumors) is insufficient for obtaining a
suitable clinical response, and adequate clinical success can only be achieved by
arming mAbs and targeting them to functionally significant tumor antigens such as
tumor growth-related receptors. This review provides an overview of the
functional characteristics of mAbs, together with some important examples of
their clinical application. While mAbs may not be the 'magic bullets' that many
researchers had hoped for, they are nevertheless becoming an indispensable pillar
of tumor therapy.
PMID- 18058571
TI - Targeting CD4+CD25+FoxP3+ regulatory T-cells for the augmentation of cancer
immunotherapy.
AB - CD4+CD25+FoxP3+ T-regulatory (Treg) cells are vital to the maintenance of
peripheral self tolerance and are implicated in tolerance to foreign antigens.
Increasing evidence shows that Treg cells may also play an important role in
immune evasion mechanisms employed by cancer. Treg cells are actively recruited
and induced by tumors to block innate and adaptive immune priming, effector
function and memory response, which can inhibit the efficacy of therapeutic
cancer vaccines. As such, modulation of Treg cell function in cancer has been
studied using various approaches, with encouraging preclinical and clinical
findings. However, controlled and effective modulation of Treg cell function for
cancer therapeutics will be contingent on a better understanding of the molecular
basis of Treg cell interaction with tumor cells and ensuing immunosuppressive
mechanisms.
PMID- 18058572
TI - Small-molecule and antibody approaches to molecular chemotherapy of primary brain
tumors.
AB - High-grade primary brain tumors remain refractory to conventional treatment
approaches, including radiotherapy and cytotoxic chemotherapy. Molecular neuro
oncology has now begun to clarify the transformed phenotype of these malignant
tumors and identify oncogenic pathways that might be amenable to small-molecule
and antibody 'targeted' therapy. Growth factor signaling pathways are often
upregulated in these tumors and contribute to oncogenesis through autocrine and
paracrine mechanisms. Excessive growth factor receptor stimulation can also lead
to overactivity of the downstream Ras signaling pathway. Other internal signal
transduction pathways that may become dysregulated during transformation include
Raf, MEK, PI3K, Akt (protein kinase B), and mTOR (mammalian target of rapamycin).
In addition, overactivity of VEGF and other effectors leads to neoplastic
angiogenesis. 'Targeted' therapy against the growth factor signaling and Ras
pathways include tyrosine kinase inhibitors (eg, imatinib and erlotinib) and
farnesyltransferase inhibitors (eg, tipifarnib). Molecular therapeutic small
molecules specific to Raf, PI3K, and mTOR include sorafenib, LY-294002, and
temsirolimus, respectively. 'Targeted' anti-angiogenesis approaches include mAbs
to VEGF (eg, bevacizumab) and VEGF receptor tyrosine kinase inhibitors (eg,
vatalanib and sunitinib). Further development of 'targeted' therapies designed to
modulate the activity of these pathways, and evaluation of these new agents in
clinical trials, will be needed to improve survival and quality-of-life for
patients with malignant brain tumors.
PMID- 18058573
TI - Experimental therapeutics: targeting the redox Achilles heel of cancer.
AB - Reactive oxygen species (ROS) have recently emerged as promising targets for
anticancer drug discovery. Constitutively elevated levels of cellular oxidative
stress and dependence on mitogenic and anti-apoptotic ROS signaling represent a
specific vulnerability of malignant cells that can be selectively targeted by
novel pro- and antioxidant redox chemotherapeutics. This review discusses small
molecule anticancer redox drugs currently in various phases of preclinical and
clinical development that are characterized by their unique mechanism of action,
including small-molecule superoxide dismutase and catalase mimetics,
bioreductively activated pro-oxidant redox catalysts, metal-based pro-oxidants,
hypoxia-selective free radical precursors, and specific antagonists of the cancer
cell antioxidant glutathione or thioredoxin redox systems. Based on ongoing redox
biomarker discovery and validation, future redox phenotyping and genotyping may
guide the selection of novel redox chemotherapeutics that efficiently target the
redox Achilles heel of the individual tumor.
PMID- 18058575
TI - Clinical poly(ADP-ribose) polymerase inhibitors for the treatment of cancer.
AB - Poly(ADP-ribose) polymerase (PARP) is believed to play a critical role in the
detection of DNA damage and initiation of DNA repair. Although inhibition of PARP
has received increasing attention for therapeutic application in a wide variety
of acute and chronic diseases, most of the current clinical data surrounding PARP
inhibition is in the field of oncology. At least eight different PARP inhibitors
have been, or are expected to be evaluated in the clinical oncology setting in
2007 and 2008. This review summarizes the most recently presented or published
data on these therapeutic molecules, and discusses how these drugs may continue
to be developed in the future.
PMID- 18058574
TI - Preventive and therapeutic HPV vaccines.
AB - Cervical cancer is the second leading cause of cancer deaths in women worldwide
and HPV infection is responsible for the development of this cancer. Effective
vaccination against HPV represents an opportunity for the control of cervical
cancer. The newly licensed preventive HPV vaccine in the US, Gardasil, has both a
good safety profile and clinical efficacy against the HPV genotypes from which it
was derived. However, this vaccine can only protect against up to 70 to 80% of
cervical cancer and also lacks therapeutic efficacy against established HPV
infection and HPV-associated lesions. Thus, the future of HPV vaccination needs
to focus on the development of a new generation of preventive and therapeutic
vaccines that are capable of protecting against most cervical cancers.
PMID- 18058576
TI - Eribulin, a simplified ketone analog of the tubulin inhibitor halichondrin B, for
the potential treatment of cancer.
AB - Eisai Co Ltd is developing eribulin, a simplified synthetic macrocyclic ketone
analog of the tubulin inhibitor halichondrin B, for the potential treatment of
cancer. Phase III trials are underway in the US and Europe for patients with
breast cancer. Eribulin is currently in phase II trials for NSCLC and soft tissue
sarcoma, and pancreatic, prostate, ovarian, fallopian tube, peritoneal and head
and neck cancer, and phase I/II trials for urothelial cancers.
PMID- 18058577
TI - MORAb-003, a fully humanized monoclonal antibody against the folate receptor
alpha, for the potential treatment of epithelial ovarian cancer.
AB - Morphotek Inc is developing MORAb-003, a humanized monoclonal antibody directed
against folate receptor alpha, for the potential treatment of ovarian cancer.
Phase II clinical trialsof MORAb-003, either alone or in combination with
platinum/taxane chemotherapy, are underway in ovarian cancer patients
experiencing their first disease recurrence.
PMID- 18058578
TI - Comparison of primary balloon angioplasty with bailout stenting strategy to
primary coronary stenting strategy in the treatment of patients with ST-segment
elevation myocardial infarction (STEMI).
AB - BACKGROUND: In recent years significant progress has been made in invasive
treatment of patients with acute myocardial infarction (AMI). Primary coronary
stenting is currently a routine strategy which replaced primary balloon
angioplasty with bailout stenting preferred in the past. Studies comparing these
two strategies of stenting in AMI are scarce. AIM: To compare the immediate and
long-term outcomes after primary angioplasty strategy and bailout stenting versus
primary stent placement strategy in patients with AMI. METHODS: We analysed data
from a single-centre registry of consecutive patients with ST segment elevation
myocardial infarction admitted between January 1998 and October 2003. In our
centre in years 1998-2000 stenting was used only after failed or suboptimal
balloon angioplasty. Starting from year 2001 we used routine primary stenting
strategy. We compared these two angioplasty strategies applied in different time
intervals with regard to in-hospital outcome and long-term mortality. Patients
with cardiogenic shock at admission were excluded. RESULTS: Out of a total of
1602 patients treated invasively for AMI (cardiogenic shock excluded) 479
underwent primary balloon angioplasty strategy with bailout stenting - group 1
(years 1998-2000) and 1123 were treated with primary stenting strategy - group 2
(years 2001-2003). In group 1 bailout stenting occurred in 34.4% of patients
whereas in group 2 stents were implanted in 83% of patients. Patients in the
balloon angioplasty group were younger, had shorter time from the onset of
symptom to hospital arrival and more frequently underwent rescue coronary
intervention after failed thrombolysis. In-hospital mortality was 2.9 vs. 2.4% in
groups 1 and 2, respectively (p=NS). Twenty-four month mortality rate was 9.8% in
group 1 and 10.06% in group 2 (p=NS). CONCLUSIONS: 1. Effectiveness of coronary
angioplasty is high and comparable in both groups. 2. In-hospital and long-term
mortality and procedure-related complication rate are all low and comparable with
both stenting strategies. 3. Independent factors increasing long-term mortality
include: culprit vessel reocclusion, multivessel coronary disease, older age and
hypertension. 4. Patients with complete patency of culprit vessel restored and
with higher left ventricular ejection fraction presented lower 2-year mortality
rate. 5. Bailout stenting did not increase 2-year mortality.
PMID- 18058579
TI - Mortality in patients with heart failure treated with cardiac resynchronisation
therapy. A long-term multi-centre follow-up study.
AB - BACKGROUND: Benefits of cardiac resynchronisation therapy (CRT) for survival in
selected congestive heart failure (CHF) patients have been acknowledged by the
2005 ESC guidelines. AIM: To analyse mortality in CRT pacing only (CRT-P)
patients during at least one-year follow-up. METHODS: This was a prospective,
multi-site, at least one-year observational study on mortality and mode of death
in patients who received CRT-P due to commonly accepted indications. One-year
follow-up data (or earlier death) were available for 105 patients (19 females, 86
males) aged 60.6+/-9.8 years (35-78). Baseline NYHA class was 3.2+/-0.4 (3-4).
Coronary artery disease (CAD) was the underlying aetiology of CHF in 57 (54%)
patients and 48 (46%) patients had CHF due to non-coronary factors. RESULTS: Mean
follow-up duration was 730 days (360-1780), median 625. There were 21 (20%)
deaths: 5 (24%) sudden cardiac deaths (SCD), 13 (62%) deaths due to heart failure
(HFD) and 3 (14%) other deaths. Thirteen (62%) patients died within the first
year of observation. All SCD occurred in this period. Mean time to death was
303+/-277 days (19-960) to HFD - 339+/-313 days (19-960) and to SCD - 208+/-127
days (31-343). There were no significant differences between survivors and non
survivors with respect to left ventricular ejection fraction (LVEF) (25+/-10 vs.
20+/-8%), 6-minute walk test (6 min WT) (276+/-166 vs. 285+/-163 m) and LV
diastolic diameter (LVEDD) (71+/-9 vs. 78+/-10 mm) (all NS). The SCD and HFD
patients had similar age (62.0+/-5.4 vs. 56.6+/-13.2 years), gender (80 vs. 83%
males), NYHA class (3.1+/-0.2 vs. 3.5+/-0.3), LVEF (22+/-9 vs. 17+/-5%), LVEDD
(86+/-10 vs. 79+/-9 mm), 6 min WT (270+/-142 vs. 292+/-188 m) (NS). In 4 patients
from the SCD group CHF was of non-coronary aetiology and only in 1 patient from
the HFD group (p=0.003). The values of LVEF, LVEDD and NYHA class in HFD patients
who died during the first year after implantation, compared with those who died
later, were similar. CONCLUSIONS: Sudden cardiac death probability in the studied
CRT-P population was the highest during the first year after implantation.
Afterwards, the risk of HFD started to increase. Thus, in all patients eligible
for CRT prophylactic defibrillation function should be considered.
PMID- 18058580
TI - Impact of the time to reperfusion on early outcomes in patients with acute
myocardial infarction undergoing primary angioplasty.
AB - BACKGROUND: The ACC/AHA guidelines for management of patients with ST-elevation
myocardial infarction (STEMI) have recommended primary PCI (pPCI) as the
preferred reperfusion therapy, when it can be performed in a timely fashion,
within 90-110 min from the first contact with medical personnel. The impact of
treatment delays on outcomes in patients undergoing pPCI has been controversial.
AIM: To evaluate the impact of time delays on in-hospital mortality and on the
frequency of cardiac events during 30 days after STEMI. METHODS: 1723 patients
were stratified on the basis of their time delays: from symptom onset until
balloon inflation. The patients were divided into 4 groups: group 1 (311
patients) - time from symptom onset <90 min; group 2 (731 patients) - time delays
of 90-180 min; group 3 (535 patients) - time delays of 180-360 min, and group 4
(146 patients) - time from symptom onset >360 min. RESULTS: The median time delay
was 268.5+/-206 min, the median door to balloon time was 36.12+/-11.2 min. The
patients with longer time delays (group 4) were older, more often were women, and
had a higher frequency of diabetes, anterior MI and Killip class 4. During
hospitalisation, 70 (4.1%) patients died. In-hospital mortality was significantly
higher in group 4 (13.6%) than in other groups. Complications of STEMI such as
cardiogenic shock considerably influenced mortality (45.6%). During a 30-day
follow- -up, the patients with cardiogenic shock and the elderly had an increased
risk of cardiac events. Also, time delays >360 min and failed pPTCA were
independent adverse risk factors in multivariate regression analysis. CONCLUSION:
Delays in time to pPCI have an impact on outcomes, especially in those treated >6
hours from the onset of symptoms.
PMID- 18058581
TI - Factors affecting the progression of atherosclerosis in the coronary arteries.
AB - BACKGROUND: The induction and progression of atherosclerotic changes is complex
and influenced by many factors. The most important are enhanced concentration of
LDL cholesterol, enlarged production of free radicals, the inflammatory reaction,
endothelial damage, decreased concentration of HDL and increased prothrombotic
activity. AIM: To define the factors influencing the atherosclerotic process in
the coronary arteries of patients with coronary disease in serial coronary
examinations. METHODS: In the 2nd Department of Cardiology in the Medical
University of Lodz, 8989 coronary angiography studies were performed between
January 1999 and May 2004. The second intervention in the earlier studied
patients was made because of clinical indications. The investigation included 177
consecutive patients (128 men and 49 women) in whom the coronary angiography was
executed at least twice. RESULTS: A significantly larger degree of
atherosclerotic process occurred in the group of patients younger than the
average age of the studied group (p=0.004), in those with a family history of
circulatory diseases (p=0.02), as well as in patients with numerous risk factors
of coronary disease (p=0.01). In the well-fitted model of the prediction of
progression of vascular changes, according to the Gensini Score in individual
time (p <0.02), two independent parameters were identified - gender (p=0.04) and
statin therapy (p=0.03). The odds ratio with 95% confidence interval in males was
2.1 (1.1-5.2), and for the use of statin - 0.48 (0.21-0.91). CONCLUSIONS:
Significant progression of atherosclerotic changes in the coronary vessels was
confirmed in the studied population. In the group of men faster progression of
atherosclerotic changes, in particular of lesions in the proximal parts of the
coronary arteries, was confirmed, whereas therapy with statins significantly
slowed down this process in all studied sections of the coronary arteries,
especially in their distal parts.
PMID- 18058582
TI - Different reactivity of the proximal and distal segments of the radial artery to
vasoconstrictors in patients undergoing coronary artery bypass grafting.
AB - BACKGROUND: Complete arterial revascularisation using the radial artery (RA) is
an attractive alternative to venous graft implantation for the coronary artery
bypass grafting (CABG). In spite of the favourable long-term results of this
approach, the sensitivity of RA to vasoconstriction and spasm is still limiting
its use. It has been suggested that vasospastic properties of the artery may
differ depending on the location (proximal or distal). AIM: To compare the
vasoreactive properties of proximal and distal sections of RA grafts. METHODS:
Proximal and distal segments of RA were obtained from 27 patients undergoing CABG
and isometric recordings of changes in smooth muscle force were performed mounted
in the organ bath. Responses to cumulatively increasing concentrations of
phenylephrine (PE), angiotensin II (AT-II), prostaglandin F2 (PGF2) and
endothelin-3 (ET-3) were evaluated. RESULTS: Both proximal and distal segments of
RA constricted in response to KCl, PE, AT-II, PGF2 and ET-3. Proximal segments
demonstrate significantly greater spastic response to KCl, as well as to receptor
mediated agonists PE and more importantly vasoactive peptide AT-II. These
differences remained statistically significant after correcting for vessel size
and weight. In contrast, reactivity of both segments of RA to increasing
cumulative doses of PGF2 and ET-3 was similar. CONCLUSION: Proximal segments of
the radial artery are more susceptible to vasoconstriction induced by PE and AT
II, which should be taken into consideration in the clinical setting of CABG
surgery. Increased muscle content in this segment does not fully explain this
difference, which may result from varying receptor density and properties.
PMID- 18058583
TI - Usefulness of ambulatory ECG in the diagnosis of sleep-related breathing
disorders.
AB - BACKGROUND: Sleep-related breathing disorders (SRBD) are the additional factor
related to poor prognosis in patients with cardiovascular disorders. The
apnoea/hypopnoea index (AHI), describing the number of apnoea and hypopnoea
episodes per one hour of sleep, has been used as a marker of severity of the
disorder. The disease is present in 4% of men and 2% of women above 40 years of
age. However, SRBD are diagnosed in less than 3% of patients with this syndrome
due to lack of awareness of the disease among health care practitioners and
patients. Polysomnography (PSG) has been used as a golden standard for detecting
SRBD, however this test is available only in selected centres. Therefore, a
simple, fast and inexpensive test for screening for SRBD is necessary.
Respiratory activity influences the amplitude of ECG signal whereas heart rate
variability (HRV) depicts the activity of the autonomic nervous system. These
associations have been used to develop a new method for detection of SRBD
involving analysis of HRV and morphology of ECG signal in ECG monitoring. AIM:
Assessment of accuracy of SRBD detection using estimated AHI (Est.AHI),
calculated from Holter ECG recordings. METHODS: In a study group consisting of 74
patients tested for SRBD, simultaneous PSG and 24-hour ECG monitoring were
performed. Following PSG, AHI for each patient was calculated. According to the
AHI values patients were classified as SRBD patients (AHI >15), non-SRBD patients
(AHI <5), whereas 12 individuals had borderline SRBD (5< or = AHI < or =15). Age,
prevalence of concomitant disorders and treatment were similar in all groups. In
all individuals the Est.AHI value was calculated based on ECG recording.
Considering the AHI value as a reference parameter discriminating SDB and non
SRBD patients, the number of false positive and false negative results for
detecting SDB with the Est.AHI was calculated. Moreover, the SRBD detection
accuracy using the Est.AHI calculation was evaluated by the receiver-operator
characteristic (ROC) curves which were used to calculate area under curve (AUC),
sensitivity, specificity, as well as positive (PPV) and negative (NPV) predictive
values for optimal cut-off value. RESULTS: According to Est.AHI, 50 (68%)
patients were correctly diagnosed. The ROC analysis showed high accuracy of SRBD
detection using Est.AHI: AUC - 0.91 with sensitivity - 91.2%, specificity -
87.5%, PPV - 88.6%, and NPV - 88.9%. The cut-off value of Est.AHI set at 17 was
optimal for the differentiation between patients with or without SRBD.
CONCLUSIONS: The Est.AHI calculated with the Lifescreen Apnea software from
Holter ECG is an accurate, specific and sensitive method for the detection and
classification of obstructive and mixed SRBD.
PMID- 18058584
TI - [Cardiac resynchronisation therapy as a cause of the electrical storm].
AB - We report a case of young patient with dilated cardiomyopathy and implanted
cardioverter-defibrillator in which resynchronisation therapy (CRT-D) induced an
electrical storm. One month after implantation of a cardiac resynchronisation
pacemaker (CRT-P) the patient suffered from ventricular tachycardia with poor
haemodynamic status and was treated by implantation of a CRT-D with a Y adaptor.
After replacement of the CRT-D due to Y adaptor damage (new device without a Y
adaptor) we observed an electrical storm during ventricular pacing
(biventricular, right and left ventricular pacing respectively). Changing pacing
mode from DDDR to AAIR resolved ventricular tachycardias in that patient.
PMID- 18058585
TI - [Emergency hybrid surgery for ruptured aortic arch aneurysm--a case report].
AB - We describe a case of 67-year-old man suffering from chronic aortic arch aneurysm
complicated by rupture and hemorrhage to the left pleural cavity. The patient
underwent emergency hybrid operation: extraanatomical transposition of aortic
arch branches to ascending aorta and implantation of stentgraft into the arch
without the extracorporeal circulation.
PMID- 18058586
TI - [Inoperable sinus venosus atrial septal defect in a young woman with severe
pulmonary hypertension--a case report].
AB - Atrioventricular septal defect accounts for approximately 3% of all congenital
cardiovascular malformations. We describe a case of a 34-year-old woman with
inoperable sinus venosus atrial septal defect with severe pulmonary hypertension
and symptoms of the Eisenmenger syndrome. Electrocardiography, chest radiography,
transthoracic and transoesophageal echocardiography with and without contrast
were performed and provided valuable information about haemodynamic consequences
of this malformation.
PMID- 18058587
TI - [Myocardial infarction in a 26-year-old patient with diabetes type 1].
AB - A case of a 26-year-old patient with acute myocardial infarction and
hypertension, hyperlipidaemia as well as type 1 diabetes from 18 years
complicated by background retinopathy and nephropathy in the state of proteinuria
is described. State of metabolic compensation of the diabetes was poor. The
patient did not perform regular self-monitoring of glycaemia, smoked, and used
oral contraception. Early diagnosis of vascular lesions in young persons with
long-lasting of type 1 diabetes as well as the introduction of proper preventive
and treatment methods may improve prognosis in these high-risk patients.
PMID- 18058588
TI - [New therapeutic goals--new drugs in heart failure treatment].
PMID- 18058589
TI - [Are genetic factors involved in the aetiology of aortic stenosis?].
PMID- 18058590
TI - [Atrial infarction or ischaemia as the cause of atrial pacing failure in a
patient with acute myocardial infarction].
PMID- 18058591
TI - [Acute coronary syndrome or aortic stenosis?].
PMID- 18058592
TI - [Dissection of coronary artery during primary PCI in a patient with rheumatoid
arthritis].
PMID- 18058593
TI - ["Benign" atrial arrhythmias exacerbated by physical activity as a cause of
cardiomyopathy].
AB - We describe a 39-year-old man with premature atrial contractions at rest, in whom
tachycardia was exacerbated by exercise into long-lasting atrial tachycardia of
150 beats/min with changeable grade of AV conduction. The feeling of irregular
heart beating was the only symptom of arrhythmia and was well tolerated for many
years. However, the signs of tachycardia-mediated cardiomyopathy with heart
failure developed over several years. Successful RF ablation of focal atrial
tachycardia using the CARTO system was performed. After 5 months of follow-up the
patient is free from arrhythmia with a significant improvement of the HF.
PMID- 18058594
TI - [Closure of patent foramen ovale in patients with cryptogenic stroke].
PMID- 18058595
TI - Effect of hydrotherapy on recovery from fatigue.
AB - The present study investigated the effects of three hydrotherapy interventions on
next day performance recovery following strenuous training. Twelve cyclists
completed four experimental trials differing only in 14-min recovery
intervention: cold water immersion (CWI), hot water immersion (HWI), contrast
water therapy (CWT), or passive recovery (PAS). Each trial comprised five
consecutive exercise days of 105-min duration, including 66 maximal effort
sprints. Additionally, subjects performed a total of 9-min sustained effort (time
trial - TT). After completing each exercise session, athletes performed one of
four recovery interventions (randomly assigned to each trial). Performance
(average power), core temperature, heart rate (HR), and rating of perceived
exertion (RPE) were recorded throughout each session. Sprint (0.1 - 2.2 %) and TT
(0.0 - 1.7 %) performance were enhanced across the five-day trial following CWI
and CWT, when compared to HWI and PAS. Additionally, differences in rectal
temperature were observed between interventions immediately and 15-min post
recovery; however, no significant differences were observed in HR or RPE
regardless of day of trial/intervention. Overall, CWI and CWT appear to improve
recovery from high-intensity cycling when compared to HWI and PAS, with athletes
better able to maintain performance across a five-day period.
PMID- 18058596
TI - Efficacy and treatment satisfaction of once-daily insulin glargine plus one or
two oral antidiabetic agents versus continuing premixed human insulin in patients
with type 2 diabetes previously on long-term conventional insulin therapy: the
Switch pilot study.
AB - BACKGROUND: Addition of the long-acting basal human insulin analogue insulin
glargine (LANTUS) to the treatment regimen of patients with inadequate glycaemic
control on oral antidiabetic drugs (OADs) alone has previously been evaluated as
effective, safe and convenient. This pilot study aimed to establish whether
insulin glargine plus OADs is effective in Type 2 diabetes patients previously
poorly controlled on premixed insulin therapy. METHODS: In an open, controlled,
randomized, parallel-group, single-centre, 16-week pilot study, 52 patients (age
65.6+/-9.2 years; diabetes duration 15.3+/-7.6 years; insulin therapy duration
4.2+/-1.7 years, body mass index 31.4+/-2.9 kg/m2) with Type 2 diabetes
(HbA1c>or=8.0%) on premixed human insulin (75/25 or 70/30) were randomized to
once-daily morning insulin glargine plus glimepiride (Group A; n=17), insulin
glargine plus glimepiride and metformin (Group B; n=18) or premixed insulin
(Group C; n=17). Glycaemic control and incidence of hypoglycaemia were evaluated.
RESULTS: HbA1c decreased significantly from baseline in Groups A and B, but not
in Group C; (Group A: 7.87+/-0.66%, -0.35%, p=0.013; Group B: 7.44+/-0.92%,
0.69%, p=0.0057; Group C: 7.83+/-1.13%, -0.25%, p=0.32). There were no between
treatment differences at endpoint in HbA1c, fasting blood glucose, mean daily
blood glucose or symptomatic hypoglycaemia (mean events/patient: Group A, 2.2;
Group B, 2.3; Group C, 2.0). At endpoint, 88% of patients in Group A, 81% in
Group B and 94% in Group C opted to continue with their assigned regimen.
CONCLUSIONS: This pilot study is the first prospective study to show that
switching from premixed insulin to insulin glargine plus OAD treatment resulted
in similar glycaemic control and treatment satisfaction. The results support the
need for prospective examination in a larger-scale clinical study in patients
with long-standing Type 2 diabetes and sub-optimal glycaemic control previously
using a conventional premixed insulin regimen.
PMID- 18058597
TI - Familiality of diabetes mellitus.
AB - The aims of this study were to estimate relative risk for type 1 and type 2
diabetes in relatives of diabetic patients, and to test for excess relatedness
among diabetic patients. Additionally, the difference in parental transmission of
diabetes was investigated. This study used a unique Utah genealogical resource,
linked to electronic medical records of the largest health provider in Utah. We
identified 19,640 patients with a diagnosis of type 1 or type 2 diabetes.
Relative Risks (RRs) for type 1 and type 2 diabetes were assessed for first-,
second- and third-degree relatives of diabetic patients. The observed average
relatedness of diabetic patients was compared to the expected relatedness using
the Genealogical Index of Familiality (GIF). We observed significantly elevated
RRs for type 1 diabetes in first-degree (RR=8.68; P<0.0001), second-degree
(RR=1.93; P<0.0001) and third-degree relatives (RR=1.74; P<0.0001) of type 1
diabetic patients. RRs for type 2 diabetes were significantly increased in first
degree (RR=2.24; P<0.0001), second-degree (RR=1.36; P<0.0001) and third-degree
relatives (RR=1.14; P<0.0001) of type 2 diabetic patients. Significantly
increased RRs for type 1 diabetes were observed in the relatives of type 2
diabetic patients, and vice versa. The GIF analysis showed significant excess
relatedness for type 1 diabetes cases, and independently for type 2 diabetes
cases. Offspring of diabetic fathers were at significantly higher risk for type 1
diabetes than offspring of diabetic mothers (RR=9.73; P<0.0001 compared to
RR=4.99; P<0.0001). No significant difference in parental transmission was
observed for type 2 diabetes. Our results strongly support the existence of a
genetic contribution to both type 1 and type 2 diabetes, and additionally suggest
a relationship between both types of diabetes. Furthermore, our results suggest a
significant difference in parental transmission of type 1 diabetes.
PMID- 18058598
TI - Long-term effects of Terminalia chebula Retz. on hyperglycemia and associated
hyperlipidemia, tissue glycogen content and in vitro release of insulin in
streptozotocin induced diabetic rats.
AB - The aqueous extract of the fruits of Terminalia chebula Retz. has been evaluated
for its antidiabetic activity in streptozotocin (STZ) induced mild diabetic rats
and compared with a known drug, tolbutamide. The oral effective dose (ED) of the
extract was observed to be 200 mg/kg body weight, which produced a fall of 55.6%
(p<0.01) in the oral glucose tolerance test. Oral administration of ED of aqueous
extract of T.chebula (AETC) daily once for two months reduced the elevated blood
glucose by 43.2% (p<0.01) and significantly reduced the increase in glycosylated
hemoglobin (HbA1c) (p<0.01). The same dose also showed a marked improvement in
controlling the elevated blood lipids as well as decreased serum insulin levels
in contrast to the untreated diabetic animals. Hepatic and skeletal muscle
glycogen content decreased by 75% and 62.9% respectively in diabetic controls,
these alterations were partly prevented (34.9% and 21.17%) in AETC treated group
when compared to the healthy controls. The in vitro studies with pancreatic
islets showed that the insulin release was nearly two times more than that in
untreated diabetic animals. The treatment did not have any unfavorable effect on
other blood parameters of liver and kidney function tests. LD 50 was found to be
above 3 g/kg bw i.e. 15 times of ED, because there were no deaths of animals even
at this dose indicating high margin of safety. These findings suggest further
investigations for the possible use of the aqueous extract of fruits of T.chebula
for the treatment of diabetes.
PMID- 18058599
TI - Impaired glucose tolerance in pancreas grafted diabetic patients is due to
insulin secretory defects.
AB - INTRODUCTION: Pancreas transplantation in diabetic patients can sustain insulin
independence for years. The aim of the study was to measure the incidence of an
impaired or diabetic glucose tolerance in patients after successful
transplantation and analyse insulin resistance and insulin secretion. METHODS:
174 Type 1 diabetic recipients of simultaneous pancreas/kidney (SPK) transplants
were investigated early (three months) and 95 patients late (five years) after
transplantation using an oral glucose tolerance test combined with an iv arginine
load. RESULTS: Although mean fasting blood glucose and HbA1c levels were within
the normal range, only 65% of the patients displayed a normal glucose tolerance
(NGT), whereas 25% had an impaired (IGT) and 10% showed a diabetic glucose
tolerance (DGT). Fasting blood glucose and HbA1c values were significantly lower
in patients with NGT compared to graft recipients with IGT or DGT, either three
months or five years after SPK. Indicators of insulin resistance (fasting
insulin, HOMA-IR, Matsuda/de Fronzo Index) were elevated in all graft recipients,
but no differences were found between groups. In contrast insulin secretion was
significantly reduced in patients with IGT and DGT early and late after
transplantation. SUMMARY: Insulin resistance is a common feature after pancreas
transplantation. However, either three months or five years after SPK abnormal
glucose tolerance was mainly due to a reduced glucose- and arginine-induced
secretory response of insulin.
PMID- 18058600
TI - Sustained expression of hepatocyte nuclear factor-6 leads to loss of pancreatic
beta-cells by apoptosis.
AB - Hepatocyte nuclear factor-6 (HNF-6) is the ONECUT-homeodomain transcription
factor that is enriched in liver and also present in pancreas and central nervous
system. It is expressed in the pancreatic bud at E10.5. In adult pancreas, its
expression is restricted to the exocrine pancreas and duct cells. Since duct
cells are thought to be precursors of endocrine cells and HNF-6 is involved in
the regulation of the expression of HNF-4alpha and -1beta, genes that cause
maturity onset diabetes of the young (MODY), we hypothesized that the sustained
expression of HNF-6 would affect beta-cell function. We generated transgenic mice
over-expressing human HNF-6 using the mouse insulin I promoter (MIP). We obtained
one female founder in which the transgene had been incorporated into two sites;
the chromosome (Ch) 14 and the X chromosome. The integration site of the latter
was within centromeric heterochromatin and the transgene was inactivated. Studies
on mice in which the transgene was integrated into Ch14 showed beta-cell specific
defects functionally and pathologically. The insulin secretory response to
glucose and arginine in the in situ-perfused pancreas was also significantly
impaired in these mice. Immunohistochemical analysis revealed that the islets
were smaller and had an abnormal architecture with an inverted ratio of alpha-
and beta-cells resulting from beta-cell loss to 30% by 6-wk of age. The decreased
number of beta-cells was quantified first time by fluorescent activated cell
sorting using entire pancreata from the transgenic mice crossed with MIP-green
fluorescent protein (GFP) mice. This severe loss of beta-cells involved
programmed cell death.
PMID- 18058601
TI - The rate of improvement in metabolic control in children with diabetes mellitus
type 1 on insulin glargine depends on age.
AB - BACKGROUND: To evaluate the changes in the glycemic profile and metabolic control
after introducing glargine in children with DMT1 in a one-year follow up.
METHODS: 70 children (36 boys) at the average age of 12.03+/-2.50 with the mean
diabetes duration of 3.35+/-2.19 years were observed. Glargine was substituted
for NPH in children treated with multiple daily injections. RESULTS: The analysis
showed the differences in the dynamics of changes in mean glycemia based on home
blood glucose monitoring and HbA1c between prepubertal children (Group 1) and
teenagers (Group 2). A significant reduction in mean glycemia from baseline to 12
months was observed at all chosen points in Group 2: fasting glycemia (125+/-27
mg/dl vs 117+/-17 mg/dl,p<0.05), bedtime glycemia (128+/-24 mg/dl vs. 117+/-20
mg/dl,p=0.001) and 3 a.m. glycemia (143+/-47 mg/dl vs. 90+/-25 mg/dl,p<0.001). A
significant decrease in mean glycemia in Group 1 was observed from the beginning
of treatment only at bedtime (0-12 months:129+/-27 mg/dl vs. 112+/-25
mg/dl,p=0.001) and at 3 am with the delay (6-12 months:122+/-36 mg/dl vs. 90+/-22
mg/dl,p<0.05). A significant improvement in HbA1c between baseline and 12 months
was observed in both groups but with different dynamics of changes: 6.91+/-0.77%
vs. 6.59+/-0.65% (p<0.05) and 7.44+/-1.26% vs. 7.18+/-1.58% (p=0.001)
respectively in the groups. A trend towards decreasing the number of hypoglycemic
episodes and no changes in BMI and insulin requirement were noted. CONCLUSIONS:
Introduction of glargine provides diabetic children with a better stabilization
of the daily glycemic profile even in the cases of baseline good metabolic
control. The rate of reaching the target in a long-term observation depends on
age. A slower reduction of glycemia observed in smaller subjects suggests a great
individuality in the regimen of diabetic children.
PMID- 18058602
TI - Effects of ghrelin, corticotrophin-releasing hormone, and melanotan-II on food
intake in rats with paraventricular nucleus lesions.
AB - Bilateral lesions of the hypothalamic paraventricular nuclei (PVN) induce
hyperphagia and obesity, and ghrelin stimulates appetite in rodents and humans.
Conversely, corticotrophin-releasing hormone (CRH) and melanotan-II (MT-II, a
synthetic structural homologue of alpha-melanocyte-stimulating hormone, alphaMSH)
inhibit feeding behavior. The purpose of the present study was to determine
whether these peptides are involved in the hyperphagia and obesity induced by PVN
lesions. After bilateral electrolytic lesions of the PVN, rats were given ghrelin
intraperitoneally (i. p.), or intracerebroventricular (i. c. v.) infusion of CRH
or MT-II. We measured the cumulative food intake (FI) for 4 h after ghrelin
injection in rats fed AD LIB, and the changes in FI at 15 min, 30 min, 1 h, and 2
h after infusion of CRH and MT-II in rats fasted for 24 h. Ghrelin significantly
increased cumulative FI, with maximal response 3 h and 4 h after injection, and
at these times, the FI of PVN-lesioned rats was greater than that of sham
operated rats. CRH significantly decreased FI in all experimental animals, but at
1 h, there was a more powerful inhibitory effect on FI in the PVN-lesioned group
than in the sham-operated group. MT-II decreased FI in sham-operated, but not in
PVN-lesioned rats. Thus, ghrelin and CRH showed more potent orexigenic and
anorectic effects in PVN-lesioned rats, respectively, but MT-II lost its
inhibitory action on feeding behavior. These results suggest that the hyperphagia
and obesity induced by PVN lesions may be related to an increased orexigenic
action of ghrelin due to the destruction of endogenous CRH and alphaMSH
receptors.
PMID- 18058603
TI - Reduced TGF-beta1 expression and its target genes in human insulinomas.
AB - Aiming to identify signalling pathways relevant for ss-cell growth we performed
an explorative micro-array analysis comparing the gene expression profiles of
three human insulinomas and one normal pancreatic islet preparation. This
revealed an insulinoma-associated down-regulation of the transforming growth
factor beta 1 (TGF-beta1) and its target genes. Comparative quantitative real
time PCR (qRT-PCR) including an expanded sample number of both insulinomas (n=9)
and pancreatic islet preparations (n=4) confirmed the decreased TGF-beta1
expression and its target molecules (TGFBI, NNMT, RPN2) in insulinomas.
Similarly, TGF-beta1 immunofluorescence analysis revealed reduced expression in
insulinomas when compared to pancreatic islets. In contrast, TGFBR2 (transforming
growth factor beta receptor II) was found up-regulated. However, the consistent
down-regulation of the TGF-beta1 targets TGFBI (transforming growth factor, beta
induced), NNMT (nicotinamide N-methyltransferase), RPN2 (ribophorin II) indicates
that the parallel up-regulation of TGFBR2 does not compensate for the only
marginal TGF-beta1 expression levels in insulinomas. TGFBR2 expression was
confirmed at the protein level in insulinomas. SMAD2/3 protein expression was
found at higher levels in human pancreatic islets when compared with insulinomas
by dual colour confocal microscopy. TGF-beta1 signalling is known to be involved
in cell replication and is abrogated in ductal pancreatic tumours. The down
regulation of TGF-beta1 expression and its target molecules in insulinomas is a
new aspect of this cytokine. Our data underline parallels in endocrine and
exocrine pancreatic tumour development, which may implicate common progenitor
cells.
PMID- 18058604
TI - Cholecystokinin (CCK) receptor and CCK gene expression in human pituitary
adenomas and in vitro effects of CCK peptides on GH and gonadotrophin secretion.
AB - There is growing evidence that cholecystokinin (CCK) affects growth and
differentiation of anterior pituitary cells, via the CCK-B receptor. The
possibility of an autocrine / paracrine role for CCK to modulate hormone
secretion in human pituitary tumour cells is demonstrated here by RT-PCR and
direct sequencing. In support of this conclusion, a neutralising antibody against
the CCK peptide exhibited a dose dependent inhibition of hormone secretion by
functionless pituitary adenomas. Total RNA was extracted from human pituitary
adenomas, reverse transcribed into cDNA and subjected to PCR using primers
specific for the gene for CCK, CCK-A and CCK-B receptors. PCR bands of the
predicted length were observed in all tumours using human CCK gene and CCK-B
receptor primers. Restriction digestion and direct sequence analysis provided
further evidence that they represented both the human CCK peptide along with the
CCK-A and/B receptor mRNA. CCK-33 and CCK octapeptide sulphate (CCK-8s) both
powerfully stimulated phosphatidylinositol hydrolysis, providing evidence for
functional activity of the CCK-A and/B receptors. A direct stimulatory effect of
CCK peptides on both LH and FSH secretion is reported for the first time, whereas
stimulatory effects on GH were blocked by antagonists to CCK. These results may
indicate an autocrine role for CCK in the functioning and perhaps development of
human pituitary tumours.
PMID- 18058605
TI - 124-iodine positron emission tomography/computed tomography dosimetry in
pediatric patients with differentiated thyroid cancer.
AB - AIM: Publications on 124-iodine (124I-)-positron emission tomography/computed
tomography (PET/CT) dosimetry contain few if any data on pediatric patients with
differentiated thyroid carcinoma (DTC). Aim of our study is to determine safety
and informativeness of 124I-PET/CT dosimetry in DTC patients2Gy blood dose portending serious myelotoxicity.
RESULTS: Besides hypothyroid fatigue, no symptoms were noted. In 4 dosimetry
procedures before the first radioiodine therapy, RDpAs were generally high
(median 288 Gy/GBq, range 59-648 Gy/GBq). LDpAs (4 lymph node metastases) were
much lower (median 6.5 Gy/GBq, range 1-9 Gy/GBq). CBAs were high (median 26 GBq,
range 19-42, n=5). Disease management was modified or disease extent clarified in
2/4 patients. CONCLUSIONS: A standard adult 124I-PET/CT dosimetry protocol
appears to be safe and informative in pediatric DTC patients.
PMID- 18058606
TI - Unusual adrenal metastasis and abdominal carcinomatosis secondary to Hurthle cell
carcinoma of the thyroid.
AB - Hurthle cell carcinoma (HCC) of the thyroid is an uncommon and relatively rare
differentiated thyroid neoplasm. To our knowledge, no reported case of adrenal
metastases with abdominal carcinomatosis secondary to HCC of the thyroid has been
demonstrated by F-18 FDG PET/CT imaging. One report of adrenal uptake on I-131
whole-body scan with HCC exists. In this case report, we describe a patient with
HCC who had a left adrenal metastasis with abdominal carcinomatosis that was
discovered using F-18 FDG PET/CT imaging.
PMID- 18058608
TI - Antibacterial lignans and triterpenoids from Rostellularia procumbens.
AB - One new lignan, rostellulin A ( 1), four known lignans, justin B ( 2), justicidin
C ( 3), cilinaphthalide A ( 4), and justicidin A ( 5), and four known
triterpenoids, ursolic acid ( 6), euscaphic acid ( 7), 2 alpha-hydroxyursolic
acid ( 8), and tormentic acid ( 9), have been isolated from the whole plants of
Rostellularia procumbens. Their structures were established on the basis of
spectral data, including extensive NMR experiments. To our knowledge, compounds 6
- 9 are known compounds but not previously isolated from R. procumbens, 4 was
previously reported from other Rostellularia species. Antibacterial activities of
1 - 9 were evaluated against eight bacterial strains with the agar dilution
method, and they were found to possess antimicrobial activity with MIC values in
the range of 1.56 - 100 microg/mL. None of the lignans exhibited cytotoxic
activity against HCT-8 and Bel-7402 cells at concentrations up to 5 microg/mL.
PMID- 18058609
TI - Effect of triterpenoids isolated from the floral spikes of Betula platyphylla
var. japonica on P-glycoprotein function.
AB - One of the major causes of multidrug resistance (MDR) in cancer cells is over
expression of P-glycoprotein (P-gp). We studied the effects of 20 triterpenes
isolated from the floral spikes of Betula platyphylla var. japonica ( B.
platyphylla) on P-gp function based on our previous finding that some of them
showed MDR reversing effects. We evaluated accumulations and effluxes of
rhodamine 123 as a P-gp substrate with P-gp over-expressing KB-C2 cells. Among
the 20 triterpenes, compounds 3, 4, 8, 9, 13, 15, and 20 increased rhodamine 123
accumulations in KB-C2 cells, and three ( 8, 13, and 20) of them also inhibited
efflux of rhodamine 123 out of cells. In addition, compounds 13 and 20 showed a
weak inhibitory activity of P-gp ATPase. These results suggested that MDR
reversing effects of compounds 13 and 20 are partly involved in inhibition of P
gp ATPase.
PMID- 18058610
TI - Evaluation of the topical anti-inflammatory activity of ginger dry extracts from
solutions and plasters.
AB - In this study the skin permeation and the topical anti-inflammatory properties of
ginger extracts were investigated. A commercial ginger dry extract (DE) and a
gingerols-enriched dry extract (EDE) were evaluated for their in vivo topical
anti-inflammatory activity by inhibition of Croton oil-induced ear oedema in
mice. Furthermore, the feasibility of an anti-inflammatory plaster containing DE
or EDE was evaluated. Since the in vivo activity was evaluated in mice, the ex
vivo skin permeation study was performed by using mouse skin or human epidermis.
The DE from the acetonic solution exerted a dose-dependent topical anti
inflammatory activity (ID (50) = 142 microg/cm (2)), not far from that of the
potent reference substance indomethacin (ID (50) = 93 microg/cm (2)). Similarly,
the EDE induced a dose-dependent oedema reduction though its potency (ID (50) =
181 microg/cm (2)) was slightly lower than that of DE. Increase of the 6-gingerol
concentration in the extract did not improve the anti-inflammatory activity. The
medicated plasters, containing 1 mg/cm (2) of the commercial DE or EDE, had good
technological characteristics and exerted a significant antiphlogistic effect,
too. By using the plaster containing EDE, the 6-gingerol amount that permeated
through human epidermis was 6.9 microg/cm (2) while the amount that passed
through mouse skin was 22.1 microg/cm (2). Nevertheless, the amounts of 6
gingerol permeated through human epidermis and mouse skin in the early period
(8h) were comparable (p > 0.3). This preliminary result suggests that the anti
inflammatory effect observed in mice could also be exerted in humans.
PMID- 18058611
TI - Investigation of phenolic constituents in Echinacea purpurea grown in China.
AB - Echinacea is a North American native medicinal herb. In 1990 s, it was introduced
in China. Nowadays, Echinacea is growing successfully in a number of places in
China, and has been used as a crude drug. However, the phytochemical variation in
the plant grown in China has not been studied. In this study, the contents of
total phenolics and caffeic acid derivatives in aerial parts and roots of
Echinacea purpurea grown in China were investigated by high-performance liquid
chromatography (HPLC) and colorimetric analysis. The effects of different drying
methods on the components were also studied. The results show that the content of
caffeic acid derivatives in E. purpurea reached its highest in the middle stage
of full blossoming. The content of caffeic acid derivatives in fresh raw material
was generally higher than that in dried raw material. There was no significant
difference in the content of caffeic acid derivatives among three geographical
populations of E. purpurea. Furthermore, the developmental pattern of total
phenolics in E. purpurea was the same as that of caffeic acid derivatives. The
stage of mid-bloom is an optimal harvesting period for both caffeic acid
derivatives and total phenolics. In addition, the results show that the fresh raw
material is the optimal material for pharmaceutical purposes, and that the
optimal pharmaceutical parts are the roots, leaves and flowers.
PMID- 18058612
TI - Mycological and electron microscopic study of Solanum chrysotrichum saponin SC-2
antifungal activity on Candida species of medical significance.
AB - Solanum chrysotrichum is utilized in traditional Mexican medicine for the
treatment of mycotic skin infections. Several microbiological studies have
provided evidence of its antifungal activity against dermatophytes and yeasts. S.
chrysotrichum saponins have been identified as a group of compounds with
antifungal activity and saponin SC-2 has demonstrated to be the most active.
Previous clinical studies have shown the therapeutic effectiveness of S.
chrysotrichum-derived saponin-standardized herbal products in the treatment of
Tinea pedis and Pityriasis capitis. There is no previous evidence of the activity
of these saponins against Candida non-albicans species, or fluconazole- and
ketoconazole-resistant Candida strains. The present study reports the biological
activity of the SC-2 saponin (inhibitory concentration [IC (50)] and minimum
fungicide concentration [MFC]), against 12 Candida strains of clinical
significance ( C. albicans, five strains; C. glabrata and C. parapsilosis, two;
C. krusei, C. lusitaniae and C. tropicalis, one), including some fluconazole
(Fluco)- and ketoconazole (Keto)-resistant clinical isolates. In addition, SC-2
associated microstructural alterations were reported in four of the above
mentioned Candida species. Seven strains had IC (50) of 200 microg/mL for SC-2,
400 microg/mL was found in four strains, and 800 microg/mL for a sole C. glabrata
strain. Susceptibility to SC-2 saponin was as follows: C. albicans = C.
lusitaniae > C. krusei > C. glabrata. The MFC was 800 microg/mL for the majority
of strains (nine), 400 microg/mL for C. albicans (two strains) and C. lusitaniae.
The ultrastructural Candida changes originated by SC-2 included the following: 1)
damage on cytoplasmic membrane and organelles; 2) changes in cell wall morphology
and density, with separation of cytoplasmatic membrane from cell wall and
disintegration of the latter; and 3) total degradation of cellular components and
death. Changes were manifested from 6 h of incubation, reaching their maximum
effect at 48 h. In conclusion, the saponin SC-2 possesses fungicide and
fungistatic activity on different Candida albicans and non- albicans species
(including some azole-resistant strains) with IC (50) values of 200 microg/mL (in
Fluco-susceptible strains) and of 400 - 800 mug/mL (in Fluco-resistant strains).
Additionally, we observed by transmission electron microscopy (TEM) that saponin
SC-2 causes severe changes in all fungal cell membranes, and to a lesser degree
on the cell wall.
PMID- 18058613
TI - Novel single-balloon enteroscopy for diagnosis and treatment of the small
intestine: preliminary experiences.
AB - BACKGROUND AND AIM: As a tool for examining the small intestine, double-balloon
enteroscopy (DBE) has been used routinely. However, there remain a few issues
relating to the handling of DBE, such as attaching a balloon to the tip of the
scope, and inflating/deflating the two balloon systems. Recently, we developed a
novel single-balloon enteroscopy (SBE) system for the examination of the small
intestine. The aim of the present study was to evaluate the insertion technique,
the safety, and the clinical impact of the SBE system. PATIENTS AND METHODS:
Between January 2006 and June 2007, all patients undergoing enteroscopy with the
Olympus SBE system (length 200 cm, outer diameter 9.2 mm) were studied. Instead
of a balloon attached to the distal scope end, the distal scope end was hook
shaped, and manipulating the up-angle or down-angle of the scope end enabled
exploration of the small intestine. RESULTS: A total of 78 procedures were
performed in 41 patients (24 men, 17 women; mean age 48.9 years, range 23 - 85
years). The indications for the examination were suspected mid-gastrointestinal
bleeding (n = 12), Crohn's disease (n = 17), abdominal pain (n = 8), and
abdominal tumor (n = 4). The mean procedure time was 62.8 +/- 20.2 minutes and
70.4 +/- 19.3 minutes for the oral and anal routes, respectively. Among 24
patients in whom total enteroscopy was attempted, the entire small intestine was
explored in 6. CONCLUSION: SBE is not only easy to perform, due to the single
balloon, but it can also safely examine the deep small intestine. Therefore, SBE
may be a useful diagnostic and therapeutic tool in addition to DBE for
investigating suspected small bowel disease.
PMID- 18058614
TI - Miniprobe EUS in the pretherapeutic assessment of early esophageal neoplasia.
AB - BACKGROUND AND STUDY AIMS: The high-frequency endosonography miniprobe has been
advocated prior to endoscopic treatment of superficial esophageal neoplasm. We
conducted a retrospective study, which summarized our clinical experience with
various miniprobe techniques in the assessment of early squamous cell carcinoma
(SCC) and superficial adenocarcinoma on Barrett's mucosa (SAB). PATIENTS AND
METHODS: A total of 106 superficial and naive esophageal lesions were included in
this series (52 SAB, 54 SCC). The results of the miniprobe staging were compared
with pathology in order to determine the accuracy of this technique in
differentiating mucosal from submucosal infiltration. Secondary aims were a
comparison between the frequencies (20- vs. 30-MHz) and coupling methods (water
filled lumen vs. balloon-sheathed catheter) used. RESULTS: Overall accuracy,
sensitivity, and specificity to differentiate T1sm from T1 m tumors were 73.5 %,
62 %, and 76.5 %, respectively. Overdiagnosis occurred in 18.6 % of the lesions.
No statistically significant difference was found in the accuracy of the
miniprobe staging between the two lesion types (SCC and SAB) ( P = 0.65), and
results were significantly poorer in the distal part of the esophagus ( P =
0.00039). No significant difference was found between the two frequencies ( P =
0.51). Water-filled lumen proved to be superior to the balloon-sheathed catheter
( P = 0.015). CONCLUSION: High-frequency miniprobe examination has a limited
accuracy in the detection of submucosal invasion in early esophageal cancer.
Further improvements in acoustic coupling and ultrasound technique are required
to improve the miniprobe accuracy before its implementation into clinical
routine.
PMID- 18058615
TI - The safety of fine-needle aspiration guided by endoscopic ultrasound: a
prospective study.
AB - BACKGROUND AND STUDY AIMS: Fine-needle aspiration (FNA) is commonly performed in
conjunction with endoscopic ultrasound (EUS) procedures. The complication rate
associated with FNA is considered to be low but requires further evaluation with
prospective studies. PATIENTS AND METHODS: A total of 483 consecutive patients
who underwent EUS-guided FNA over a 12-month period were prospectively enrolled
in the study. The patients were screened for postprocedural complications,
including abdominal pain, nausea, vomiting, and gastrointestinal bleeding.
Complications were assessed immediately after EUS-FNA and 30 days later with a
telephone call, when inquiries were made about emergency room and physician's
office visits or hospitalizations during this 30-day period. RESULTS: Complete
information was obtained from 414 patients (86 %). Complications occurred in
seven patients during the first day. Five of these patients had unplanned
admissions to hospital: two patients were observed because they had abdominal
pain after FNA of pancreatic cysts; one patient was observed because they
developed chest pain after mediastinal lymph node FNA; and two patients were
monitored after celiac node FNA, one with a transient fever and one with self
limited melena. All five of these patients were discharged within 24 hours of
admission, none required blood transfusion, and none showed any evidence of
pancreatitis or infection. Two other patients visited the emergency department
but were discharged on oral analgesics after appropriate evaluation. By day 30
six patients had died as a result of their primary disease process. There was no
unexpected morbidity or mortality attributable to the EUS-FNA. CONCLUSIONS: FNA
is a safe intervention in patients undergoing EUS in a high-volume academic
center, with a low postprocedural complication rate.
PMID- 18058616
TI - Ulcers and gastritis.
PMID- 18058617
TI - Upper gastrointestinal tumors.
PMID- 18058618
TI - Biliary ERCP.
PMID- 18058619
TI - Innovations.
PMID- 18058620
TI - Gastroesophageal reflux disease and Barrett's esophagus.
PMID- 18058621
TI - Splenic injury after colonoscopy.
AB - Splenic injury is a rare and serious complication of colonoscopy. The most likely
mechanism is tension on the splenocolic ligament and adhesions. Eight cases were
identified among claims for compensation submitted to the Danish Patient
Insurance Association during the period 1992-2006, seven of which were reported
after 2000. The total number of colonoscopies in Denmark in 2004 was 39 067.
Seven of the eight patients were aged 65 years or over. Loops causing
difficulties during the colonoscopy had been reported in four patients. All the
patients had a symptom-free interval after the colonoscopy, ranging from 4 hours
to 7 days, before presenting with signs of splenic injury. In all cases the
spleen was torn, and the amount of blood in the peritoneal cavity ranged from
1500 mL to 5000 mL. Two patients died postoperatively. The number of cases
reported after 2000 indicates that this potentially lethal complication might be
more common than was previously assumed, and it is possibly under-reported.
Preventive measures include good colonoscopic technique to avoid loop formation
and the use of excessive force; and it is possible that emerging endoscopic
technologies will lead to a reduced risk of splenic injury. The information given
to patients both before and after the procedure should include information on the
signs of this complication, and patients should be also informed that these signs
can develop after a symptom-free interval.
PMID- 18058622
TI - High-dose vitamin D supplementation in children with cerebral palsy or
neuromuscular disorder.
AB - Adequate vitamin D levels are essential for normal skeletal development and
mineralization. This is particularly important in children with cerebral palsy or
other neuromuscular disorders who are at an increased risk of osteoporosis. The
aim of this study was to evaluate the effect of high-dose vitamin D3
supplementation on vitamin D status in 44 disabled children. Vitamin D was
administered during school days (1000 IU vitamin D3 per orally five days per week
for 10 weeks) to half of the children (N=21) while the others (N=23) continued
without supplementation. At baseline the median serum 25-hydroxyvitamin D was 44
nmol/L (range 26-82 nmol/L). The concentration increased significantly during the
10 weeks intervention in the supplemented group (median 56 nmol/L, range 39-88
nmol/L; p=0.012 for the difference from baseline) and decreased in the control
group (median 37 nmol/L, range 24-74 nmol/L; p=0.038). No significant changes in
any of the other measured parameters were observed. Hypovitaminosis D is
prevalent in disabled children. Supplementation with 1000 IU vitamin D3 perorally
five days per week results in a significant increase in vitamin D level and is
not associated with hypercalcemia or other adverse effects.
PMID- 18058623
TI - Clinical utility of electrophysiological evaluation in Crigler-Najjar syndrome.
AB - We evaluated the neurological and neurophysiological features in ten patients
with genetically characterized Crigler-Najjar (CN) syndrome: four with typical
type I CN had undergone orthotopic liver transplantation (OLT); six had type II
CN, and three of them developed severe hyperbilirubinemia with a limited response
to phenobarbital leading to an intermediate phenotype I/II. Clinical neurological
and multimodal electrophysiological evaluations [electroencephalogram (EEG),
visual (VEPs), motor (MEPs) and brainstem auditory (BAEPs) evoked potentials]
were performed. Neurological examinations showed mild hand tremor in four
patients (one pre-OLT and one post-OLT type I, two type I/II). EEG revealed high
voltage paroxysmal discharges in four patients (three type I/II, and one type I
with a marked improvement after OLT). VEPs showed P100 wave increased latency in
five patients (three type I, and two type I/II considered for OLT evaluation).
MEPs showed prolonged central motor conduction time in five patients (two type I;
one type I/II; two type II). Only EEG and VEPs findings showed a correlation with
high bilirubin levels. BAEPs were normal. In conclusion, VEPs and EEG contribute
to identify and monitor bilirubin neurotoxic effects, and may play a decisional
role in some cases of severe hyperbilirubinemia without overt neurologic damage.
PMID- 18058624
TI - Folate receptor autoantibodies and spinal fluid 5-methyltetrahydrofolate
deficiency in Rett syndrome.
AB - Rett syndrome was associated with low cerebrospinal fluid (CSF) 5
methyltetrahydrofolate (5MTHF) in 42-50% of European patients whereas
approximately 93% of the patients from North-America had a normal CSF 5MTHF
status. We determined the CSF folate status in Rett patients living in North- and
South-Western Europe and measured serum folate receptor (FR) autoantibodies of
the blocking type to explain the reduced folate transport across the choroid
plexus. Irrespective of their MECP2 genotype and despite normal plasma folate
values, 14 of 33 Rett patients (42%) had low CSF folate levels. Blocking FR
autoantibodies were found in 8 of the Rett patients (24%), 6 of whom had low CSF
folate levels. FR autoimmunity was primarily found within the group of Rett
patients with low CSF folate status with a higher incidence in North-Western
Europe. In Rett patients from North-America 74 of 76 girls had higher folate
values in both serum and CSF than European patients. The food folate
fortification in North-America may account for the higher folate levels and may
prevent CFD in these Rett patients. FR autoimmunity occurred predominantly in
Rett patients from North-Western Europe and may contribute to cerebral folate
deficiency (CFD).
PMID- 18058625
TI - Mitochondrial complex I encephalomyopathy and cerebral 5-methyltetrahydrofolate
deficiency.
AB - Folate transport to the brain depends on ATP-driven folate receptor-mediated
transport across choroid plexus epithelial cells. Failure of ATP production in
Kearns-Sayre syndrome syndrome provides one explanation for the finding of low
spinal fluid (CSF) 5-methyltetrahydrofolate (5MTHF) levels in this condition.
Therefore, we suspect the presence of reduced folate transport across the blood
spinal fluid barrier in other mitochondrial encephalopathies. In the present
patient with mitochondrial complex I encephalomyopathy a low 5
methyltetrahydrofolate level was found in the CSF. Serum folate receptor
autoantibodies were negative and could not explain the low spinal fluid folate
levels. The epileptic seizures did not respond to primidone monotherapy, but
addition of ubiquinone-10 and radical scavengers reduced seizure frequency. Add
on treatment with folinic acid led to partial clinical improvement including full
control of epilepsy, followed by marked recovery from demyelination of the
brainstem, thalamus, basal ganglia and white matter. Cerebral folate deficiency
is not only present in Kearns-Sayre syndrome but may also be secondary to the
failure of mitochondrial ATP production in other mitochondrial encephalopathies.
Treatment with folinic acid in addition to supplementation with radical
scavengers and cofactors of deficient respiratory enzymes can result in partial
clinical improvement and reversal of abnormal myelination patterns on neuro
imaging.
PMID- 18058626
TI - Aicardi syndrome: follow-up investigation of Swedish children born in 1975-2002.
AB - Aicardi syndrome has been defined by the triad of agenesis of the corpus
callosum, early seizure onset and lacunar chorioretinopathy. In a nation-wide
survey a total of 18 Swedish cases were found. Fourteen girls were re-examined by
one of the authors at the ages of 1-27 years. One was seizure free following
epilepsy surgery operation, 13 were drug resistant. Two were on ketogenic diet.
Most of the girls had multifocal EEG discharges. All except one were severely
disabled with severe mental retardation and total dependency on helpers for
activities of daily life. Communication, nutrition, and motor function were
severely affected areas. Visual function was difficult to evaluate because of
mental retardation and lack of co-operation and varied from severely impaired to
normal. In one case the appearance of the ocular fundus was documented to have
changed with time. One girl was exceptional and seizure free with mild mental
retardation. An update by March 2006 showed that 12/18 patients were still alive,
at a median age of 13.5 years (range: 3-31 years). Six had died between the ages
of 3-10 years. They had all suffered from intractable epilepsy and belonged to
the most disabled group. Surprisingly two had died from malignant brain tumours.
PMID- 18058627
TI - Predictive power of first morning glucose and the ketogenic diet.
AB - The purpose of this study was to determine if hypoglycemia or hyperglycemia
predicts the response to a ketogenic diet (KD) in a cohort of children with
intractable epilepsy. We evaluated whether morning blood glucose during the
initial 21 days after initiation of the KD in children with IE was related to
seizure reduction after 3 months of treatment. The relation between change in
weight status and blood glucose was also explored. Fasting morning whole blood
glucose was measured each day for the first 21 days after initiation of KD.
Weight and height were obtained at baseline, day of discharge, and at 0.5 and 1
month of full KD therapy. Associations among clinical response to the KD
(responder status defined as >50% reduction of seizure frequency at 3 months),
hypoglycemia, hyperglycemia, style of KD initiation protocol (fasting or gradual)
and weight status were evaluated. Forty-five subjects age 1-12 years were
enrolled. KD responder status was not associated with low or elevated blood
glucose or type of initiation style protocol. Variability in day-to-day blood
glucose also did not predict response to KD. Children who had declining weight
status during KD initiation were more likely to be hypoglycemic during full KD
therapy. Low blood glucose during KD therapy was not necessary for clinically
significant seizure reduction. Hypoglycemia was related to declining weight
status irrespective of initiation style protocol. An effective KD can be provided
in a manner to minimize side-effects and maximize efficacy.
PMID- 18058628
TI - Seizures, psychosis and coma: severe course of hashimoto encephalopathy in a six
year-old girl.
AB - Hashimoto encephalopathy (HE) is a rare steroid-responsive encephalopathy
associated with elevated antithyroid antibodies and is a well recognised
complication of autoimmune thyroid disease. The clinical picture is pleomorphic,
presenting with variable symptoms like coma, seizures, neuropsychiatric changes
(impairment of cognitive functions, behavioural and mood disturbances,
hallucinations) or focal neurological deficits. HE is mainly diagnosed in adults,
but also a rare differential diagnosis of encephalopathy or epilepsy in children.
The diagnosis is often overlooked at presentation but is crucial as it is a
treatable disease. We report on the youngest patient described up to now
presenting with progressive epilepsy resistant to anticonvulsive treatment and
unclear encephalopathy related to Hashimoto thyroiditis.
PMID- 18058629
TI - Megalencephaly and perisylvian polymicrogyria with postaxial polydactyly and
hydrocephalus (MPPH): report of a new case.
AB - Megalencephaly (MEG), or enlargement of the brain, can either represent a
familial variant with normal cerebral structure, or a rare brain malformation
associated with developmental delay and neurological problems. MEG has been split
into two subtypes: anatomical and metabolic. The latter features a build-up
inside the cells owing to metabolic causes. Anatomical MEG has been detected in
many different conditions, including many overgrowth syndromes. In 2004 Mirzaa et
al. reported five non-consanguineous patients with a new MCA/MR syndrome
characterized by severe congenital MEG with polymicrogyria (PMG), postaxial
polydactyly (POLY) and hydrocephalus (HYD). The authors argued that these
findings identified a new and distinct malformation syndrome, which they named
MPPH. We report on a new case of MPPH, the first to be described after the
original series (Mirzaa et al., 2004).
PMID- 18058630
TI - Atypical presentation of Prader-Willi syndrome with cerebral venous thrombosis:
association or fortuity?
AB - A newborn female born at term was admitted at 28 hours for seizures and
generalized hypotonia. Cerebral ultrasound showed a right temporal echogenic
lesion confirmed on MRI and thought to be secondary to thrombosis of the vein of
Labbe. The EEG showed epileptic discharges over the right temporal region.
Extensive thrombotic studies revealed a transiently decreased PTT consistent with
a prothrombotic state. The hypotonia did not resolve after the acute phase as
expected, raising the possibility of another underlying cause. Because of a
peculiar phenotype with almond-shaped eyes and bitemporal depression, Prader
Willi syndrome (PWS) was suspected. Methylation analysis confirmed PWS, FISH
analysis excluded a deletion in 15q11-q13, maternal uniparental disomy (UPD) was
confirmed. To our knowledge, this is the first report of the association of a
neonatal venous thrombosis and a PW Syndrome.
PMID- 18058631
TI - Investigation of recessive ataxia loci in patients with young age of onset.
AB - Autosomal recessive cerebellar ataxias are a phenotypically and genetically
heterogeneous group of diseases. Major forms can be distinguished on the basis of
clinical signs, age of onset, biochemical parameters or genotypes. To develop
rational diagnostic strategies, phenotypic information, e.g., age of onset
combined with population-specific disease frequencies could be highly favourable.
We tested this hypothesis for single candidate loci and mutations in North
European ataxia patients with juvenile and early adult onset. While we could
prove that Friedreich ataxia (FRDA) is frequent in Germany, only few patients
with ataxia-oculomotor apraxia type 1 (AOA1) and type 2 (AOA2) were diagnosed.
The frequency of the mitochondrial recessive ataxia syndrome (MIRAS) and the
infantile onset spinocerebellar ataxia (IOSCA) in this population remains unknown
since no case with the common mutation of the corresponding gene was detected.
PMID- 18058632
TI - Brainstem disconnection: case report and review of the literature.
AB - We report a neonate with brainstem disconnection. Only five similar cases have
previously been described, the longest survival was 7 weeks. The newborn
exhibited muscular hypertonia, absent suction, insufficient breathing, and
seizures. Magnetic resonance imaging showed a disconnection between the upper
pons and the medulla oblongata and cerebellar hypoplasia. The basilar artery was
not visible. These neuroimaging findings are clearly different from other
midbrain or hindbrain malformations with cerebellar hypoplasia. This pattern and
a previously reported autopsy point to a malformation, not a disruption.
PMID- 18058633
TI - Tyrosine hydroxylase deficiency presenting with a biphasic clinical course.
AB - Tyrosine hydroxylase deficiency, a cause of the autosomal recessive form of L
DOPA responsive dystonia, has been associated with a broad spectrum of movement
disorders and clinical courses. We describe a new patient presenting with an
early onset spastic paraplegia who later developed a progressive generalized
dystonic-dyskinetic syndrome. He markedly improved with a very low dosage of L
DOPA/carbidopa, while higher dosages were not tolerated. Two novel mutations
(p.G414R/p.L510Q) were detected in the TH gene.
PMID- 18058634
TI - [High-resolution magnetic resonance imaging of carotid atherosclerotic plaque].
AB - Stroke is the third most common cause of mortality in the United States with an
incidence rate of approximately 700 000 deaths per year. As a means to prevent
cerebrovascular events, current concepts advocate endarterectomy or carotid
stenting in patients with advanced carotid disease. Arterial stenosis alone has
been shown to be a poor predictor of cardiovascular events and therefore both
arterial stenosis and patient symptom status are taken as indications for
interventional therapy. Several studies have shown that symptomatic subjects
benefit more from a carotid endarterectomy than asymptomatic subjects: 3-6
carotid endarterectomies are needed to prevent one stroke per year in symptomatic
subjects with > 70 % stenosis compared to 14 - 17 carotid endarterectomies in
asymptomatic patients with > 50 % stenosis. It is commonly accepted today that
factors other than the degree of luminal stenosis can determine a patient's
symptom status, such as the composition or the superficial structure of
atherosclerotic plaque. High-resolution magnetic resonance imaging has overcome
the limitations of current angiographic techniques and has emerged as a leading
non-invasive imaging modality for atherosclerotic disease, especially within
carotid arteries and other large vessels. In this review, the state of the art in
MRI of atherosclerosis is presented in terms of hardware and image acquisition
protocols. Also, the results of validation studies for measuring lesion size,
composition and inflammation will be summarized. Finally, the status of several
clinical trials involving MRI of atherosclerosis will be reviewed.
PMID- 18058635
TI - [Flat-panel CT as a new perinterventional imaging modality in aortic stentgraft
procedures--work in progress].
AB - PURPOSE: To evaluate the value of flat-panel CT (FP-CT) as a new
perinterventional imaging modality in aortic stentgraft procedures. MATERIALS AND
METHODS: FP-CT was performed in 21 patients (19 males, mean age 77, range 54 to
90) from June 2005 to February 2007 immediately after endovascular treatment of
thoracic and abdominal aortic aneurysms on the angiographic table. Nine thoracic
aortic aneurysms were treated with Zenith-endoprosthesis. Nine of twelve
abdominal aortic aneurysms were treated with Zenith-endoprosthesis and three with
an Excluder-endoprosthesis. Images were acquired with a rotating C-arm and the
following parameters: during an acquisition time of 20 seconds and at a rotation
of 217 degrees, 538 projections were acquired. Contrast agent was administered in
14 patients. Images were displayed in MIP, MPR and VRT mode. RESULTS: In all
patients the stentgraft was shown exactly and the alignment of the prosthesis
along the landing zones was well displayed. The aneurismal sack was well shown in
all patients. 1 x an endoleak II was detected, 1 x an angiographically verified
endoleak I was not detected. In one patient distal extension was considered due
to suspected short stentgraft at the distal neck. Flat-panel CT showed sufficient
neck coverage and no extension was inserted. Due to artifacts of the prosthesis,
the platinum markers and the guide wire as well as due to pulsation of the aorta,
the resolution of detail decreased and reduced the visualization of the
alignment. CONCLUSION: FP-CT is a promising tool and may provide additional
information, but further studies are necessary to define the value of flat-panel
CT in thoracic and abdominal aortic stentgraft procedures.
PMID- 18058636
TI - [Cost-effectiveness of percutaneous core needle breast biopsy (CNBB) versus open
surgical biopsy (OSB) of nonpalpable breast lesions: metaanalysis and cost
evaluation for German-speaking countries].
AB - PURPOSE: To analyze the cost-effectiveness of percutaneous image-guided CNBB
(stereotactic-/ultrasound-guided; large/vacuum-assisted) of non-palpable breast
lesions vs. OSB and to compare and discuss the results reported in the literature
with results for German-speaking countries. MATERIALS AND METHODS: A key word
search in three databases, limited to the period from 1/1994 to 12/2006 was
performed. Only original papers were selected. No published articles for German
speaking countries were identified; therefore a comprehensive data collection was
made. RESULTS: On the basis of 377 abstracts, nine studies were evaluated for
final assessment. The data of German-speaking countries were compared with
results reported in the literature. This study demonstrates that CNBB compared to
OSB leads to reduction in cost ranging from 51-96 %. The cost reduction depends
on biopsy modality and lesion type and is subject to national fluctuations. CNBB
can replace a surgical procedure in 71-85 % of cases. CONCLUSION: Use of CNBB as
an alternative to OSB has the potential to substantially reduce healthcare costs.
The data are based almost exclusively on the North American literature. A
potential cost reduction in the Netherlands and Switzerland confirms these
findings. Future work must include cost evaluation studies for German-speaking
countries since this is an issue with important national economic ramifications.
PMID- 18058637
TI - [Formation of a pseudoaneurysm in the liver after TIPSS implantation in Budd
Chiari syndrome].
PMID- 18058638
TI - [Paraganglioma of the orbit--a rare disease].
PMID- 18058639
TI - [Stent-graft therapy in a mobile thrombus in the thoracic aorta].
PMID- 18058640
TI - Concept and treatment of hydrocephalus in the Greco-Roman and early Arabic
medicine.
AB - In the ancient medical literature hydrocephalus was not often described although
its existence and symptomatology were well known. Most detailed descriptions of
hydrocephalus including the surgical treatment are extant in the encyclopaedic
works on medicine of the physicians Oreibasios and Aetios from Amida from the 4th
and 6th centuries AD, respectively. Because of their broad scientific interests,
this type of physicians, typical for the late Roman empire, were known as
philosophy-physicians (iota alpha tau rho o sigma o phi iota sigma tau alpha
iota). They defined hydrocephalus in contrast to our present understanding as a
fluid collection excluding abscesses visible as a bulging tumour localised either
outside or inside the skull of an infant. They classified the hydrocephalus
similar as stated first by Galen in the 2nd century AD in four types
corresponding to the assumed anatomic localisation of the fluid collection: 1st
Type between the skin and the pericranium corresponding to the subgaleal
haematoma or caput succedaneum of the newborn in our terminology, 2nd Type
between the pericranium and the skull corresponding to the cephal haematoma after
delivery, 3rd Type between skull and the meninges with increased head
circumference, bone sutures being increasingly driven apart corresponding most
likely to the hydrocephalus in our understanding, and 4th Type between the
menings and the brain characterised by severe neurological deficit with lethal
prognosis corresponding probably to all pathologies which were accompanied by an
excessive increase of the intracranial pressure with a bulging fontanel. Due to
the lack of autopsies in ancient times, the hydrocephalus was never linked to the
pathology of the ventricles. All forms of hydrocephalus were believed to be
caused by improper handling of the head by the midwife during delivery. Only the
extracranial fluid collections, but not hydrocephalus in our sense, were
considered to be suitable for surgical treatment. The surgery consisted in one or
more incisions and evacuation of the fluid. The wound was not closed but let open
for three days. Thereafter plasters or sutures closed the incisions. The surgical
technique goes back probably to Antyllos a surgeon from the 3rd century AD whose
considerations were cited in the work of Oreibasios. The early Arabic physicians
took over the surgical indications, the operative technique and modified the
Greek concept of hydrocephalus. Avicenna separated the traumatic haematomas
outside the skull from the term hydrocephalus. However Avicenna, as all previous
authors, had not linked hydrocephalus with the ventricular system. The autopsy of
a child with an exorbitant hydrocephalus performed by the anatomist Vesalius in
the 16th century revealed as a single pathology an extremely dilative ventricular
system filled with water-like fluid which made it necessary to change completely
the ancient concept of hydrocephalus.
PMID- 18058641
TI - Long-term outcome of endoscopic third ventriculostomy in obstructive
hydrocephalus.
AB - This multicentric study reports on 140 patients who underwent endoscopic third
ventriculostomy for obstructive hydrocephalus in four Italian neurosurgical
centers between 1994 and 1999. Its aim is to define the long-term outcome of
these patients many years (6-12) after the initial procedure. The study includes
both children and adults; the etiology of the hydrocephalus was malformative
aqueductal stenosis in 88 cases (62.8%), compression by tumors of the
mesencephalic and pineal regions and posterior fossa in 45 (32.2%) and post
infection aqueductal stenosis in 7 (5%). The ETV was performed by using the
standard technique. The overall rate of good results (shunt-independent patients
with clinical remission or improvement) was 87.1%. Eighteen patients (12.9%)
required a shunt because of ETV failure. The long-term outcome of ETV in this
study was not influenced by the patient's age and the etiology of the
hydrocephalus (although cases secondary to cisternal hemorrhage and infections
are not included). Other series including cases with long follow-up are analyzed.
In conclusion, ETV results in a high rate of good long-term outcome in patients
with obstructive hydrocephalus. Because postoperative failures occur early,
clinical and radiological control studies must be performed particularly in the
first years after the neuroendoscopic procedure.
PMID- 18058642
TI - Occlusive hydrocephalus associated with cerebellar infarction treated with
endoscopic third ventriculostomy: report of 5 cases.
PMID- 18058643
TI - Surgical target selection in cerebral glioma surgery: linking methionine (MET)
PET image fusion and neuronavigation.
AB - OBJECTIVE: The objective of this study was to investigate the histological
correlate of (11)C-methionine (MET) PET uptake of brain gliomas by image fusion
for navigated surgery. METHODS: Twenty-seven patients (18 male, 9 female; mean
age 42 years; range 11-77 years; 8 low-grade and 11 high-grade astrocytomas or
mixed gliomas, 8 oligodendrogliomas) underwent MET PET studies preoperatively.
RESULTS: MET PET tumor uptake was detected in 26 of 27 patients (96.3%). The
quantitative MET tumor standardized uptake value (SUV) ratio was significantly
higher in malignant gliomas and oligodendrogliomas than in low-grade gliomas
(2.76/2.62 vs. 1.67, p=0.03). Generally, qualitative visual grading of MET uptake
revealed 2 main patterns: focal MET uptake in 12 and uniform global MET uptake in
11 patients. Focal uptake corresponded to malignant glioma histology in 66.7%,
and uniform global uptake to oligodendroglial histology in 72.7%. In
oligodendrogliomas, global MET uptake constituted 81.5% (range 53.8-135%) of the
MRI T(1) tumor volume on average and was limited to the MRI FLAIR tumor volume in
86% (7/8) of patients. Tissue samples of focal MET uptake areas correlated with
histological anaplasia in 66.6% (8/12 glioma patients), although 62.5% (5/8
patients) lacked MRI contrast enhancement. CONCLUSION: MET PET image fusion may
facilitate the targeting of anaplastic foci in homogeneous MRI non-enhancing
gliomas for biopsy, may identify oligodendroglial histology preoperatively as
well as characterize biologically active tumor volumes within MRI T(1)/FLAIR
tumor areas of candidate patients for resection.
PMID- 18058644
TI - Neuronavigation and resection of lesions located in eloquent brain areas under
local anesthesia and neuropsychological-neurophysiological monitoring.
AB - BACKGROUND: The aim of this study was to determine the safety and maximal
extension of tumor resection achievable with a combination of awake craniotomy
under local anesthesia, neuronavigation, and continuous neuropsychological and
neurophysiological monitoring in patients with lesions within the eloquent brain.
METHODS: We have performed 55 resections of different pathologies with
neuronavigation on 52 patients from January 1998 to December 2002. Mean age was
49 years, the male to female ratio was 37 to 15. All patients underwent a
continuous examination by a neuropsychologist and repetitive cortical
stimulations during the resection, and a 3-month postoperative neurological
examination to determine functional outcome. Neurological outcome and results of
resection of patients with gliomas were compared to a control group of 27
patients with lesions in the central region who were operated under general
anesthesia during the same time period. RESULTS: Tumor resection was stopped when
a macroscopic total cytoreduction was achieved, or at the onset of neurological
dysfunction. There was a higher rate of complete tumor resection (77% vs. 33%)
and a lower rate of neurological deterioration (33% vs. 12%) in the study group
compared to the control group. Overall, a complete resection in the study group
was achieved in 40 patients (72%), a partial resection in 28%. Five patients
developed a new deficit during surgery which resolved completely after a change
of surgical strategy, 14 patients had a new deficit after surgery which improved
within 3 months in 6 patients. There was no operative mortality. CONCLUSION: The
combination of neuronavigation with cortical stimulation and repetitive
neurological and language examinations allows a more radical resection of tumors
in eloquent brain areas, otherwise considered as inoperable.
PMID- 18058645
TI - Endoscopic treatment of hydrocephalus due to aneurysm of the vein of Galen: case
report and literature review.
AB - Aneurysms of the vein of Galen are uncommon vascular malformations. They are most
frequently seen in infants and children, leading to heart failure and
hydrocephalus. Exceptionally, they are detected in adults. Several theories have
been proposed to explain hydrocephalus in these patients: obstruction of the
cerebral aqueduct, impaired absorption of CSF after subarachnoid hemorrhage,
passive ex-vacuo mechanism, or thrombosis of an aneurysm. Hydrocephalus has been
treated mainly with cerebrospinal shunt procedures, but also direct surgery,
radiosurgery and embolisation of the malformation have proved to be effective. We
report the case of a partially thrombosed ectasia of the vein of Galen in a 44
year-old male, with huge hydrocephalus successfully treated with an endoscopic
third ventriculostomy.
PMID- 18058646
TI - Cauda equina syndrome caused by primary lumbosacral and pelvic hydatid cyst: a
case report.
AB - INTRODUCTION: Hydatid disease occurs in humans as a result of faeco-oral
contamination and spinal echinococcosis is rare even in areas where
echinococcosis is endemic. Hydatid cyst primarily occurs in the liver and lungs.
Bone involvement constitutes only 0.5-2% of all hydatidoses. About half of the
bone involvement occurs in vertebrae. The thoracic spine is the most common site
of the spinal hydatidosis. Primary hydatid cysts of the lumbar and sacral spinal
canal are very rare. CASE REPORT: We present a 31-year-old man with cauda equina
syndrome caused by a primary hydatid cyst of the lumbosacral and pelvic areas. He
had been admitted to hospital with left foot and low back pain three years ago.
Magnetic resonance imaging revealed an intraspinal hydatid cyst extending from L2
to S2. The cyst had been totally removed. He was symptom-free for three years.
After three years, he presented with acute cauda equina syndrome. His
neurological examination revealed total plegia of dorsal flexion of the left foot
and perianal hypoaesthesia. MRI showed lumbosacral and pelvic hydatidosis again.
After total removal of the cyst, his neurological status revealed immediately
relief. DISCUSSION: Hydatid cyst is an important health problem in some countries
including Turkey. Bone involvement is seen in only 0.5-2% of cases. Furthermore
sacral and lumber vertebral involvement is extremely rare. We presented a case
with a spinal hydatid cyst which classified as a combination of intraspinal
extradural, vertebral and paravertebral forms according to the Braitwate and Lees
classification. Surgical excision and additional medical treatment is still the
most effective treatment. Cysts located intraspinally have a tendency to rupture
spontaneously. For this reason the high recurrence rate (30- 40%) is still a
major problem in management.
PMID- 18058647
TI - A case of intracranial hypoglossal neurinoma without hypoglossal nerve palsy:
operative view of the preserved rostral trunk.
AB - Hypoglossal neurinomas usually manifest with hemiatrophy and weakness of the
tongue. A rare case of intracranial hypoglossal neurinoma without preoperative
hypoglossal nerve dysfunction and its operative view are presented. A 36-year-old
female who presented with headaches and vertigo was admitted to our hospital. The
neurological examination revealed bilateral papilledema and mild truncal ataxia,
although weakness and atrophy of the tongue were not observed. Magnetic resonance
and computed tomography images demonstrated a large foramen magnum tumor without
enlargement of the hypoglossal canal. Total removal of the tumor was performed
via a lateral suboccipital craniotomy and C1 partial laminectomy. During the
operation, two trunks were observed for the hypoglossal nerve at the entrance of
the hypoglossal canal. The tumor arose from the caudal trunk, while the intact
rostral trunk entered the hypoglossal canal normally. The tumor only developed
intracranially, and since the rostral trunk of the hypoglossal nerve was intact,
the patient did not present with hypoglossal nerve palsy preoperatively.
PMID- 18058648
TI - Agenesis of the left internal carotid artery associated with right anterior
cerebral artery A1 segment bifurcation aneurysm: a case report.
AB - Agenesis of the internal carotid artery occurs in less than 0.01% of the
population. Intracranial aneurysms accompany agenesis in 25-43% of the patients.
We present a unique patient with a saccular aneurysm of the anterior
communicating artery associated with agenesis of contralateral internal carotid
artery.
PMID- 18058649
TI - Transmuscular trocar technique - minimal access spine surgery for far lateral
lumbar disc herniations.
AB - OBJECTIVE: Minimal access spine surgery (MASS) is gaining increasing importance
in microsurgery of the lumbar spine. From a current prospective series we present
data on MASS for far lateral lumbar disc herniations (LLDH) via a transmuscular
trocar technique (T(2)). The surgical procedure and operative results are
demonstrated in detail. In contrast to conventional percutaneous endoscopic
techniques, T(2) allows one to operate in the typical microsurgical fashion
combined with the advantages of a minimal endoscopic approach with three
dimensional visualization of the surgical target using the operating microscope.
METHODS: Microsurgery was performed through a 1.6-cm skin incision with an 11.5
mm diameter trocar that is obliquely inserted into the paraspinal muscles
pointing at the lateral isthmus of the upper vertebral body. Fifteen patients
were evaluated after a median follow-up period of 24 months. Overall outcome
according to the modified MacNab criteria, effect of surgery on radicular pain
and sensory or motor deficits, duration of surgery, complication rate, and
duration of hospital stay were evaluated. RESULTS: Good to excellent clinical
outcomes were achieved in 14/15 patients. Radicular pain and motor deficits
improved in all patients postoperatively, while sensory deficits recovered in
13/15 patients. The cosmetic results were excellent in all patients. No
aggravation of symptoms after surgery was observed in any of the patients.
CONCLUSIONS: The T(2) technique represents an auspicious alternative to standard
open microsurgery for LLDH, which allows achievement of excellent clinical and
cosmetic results, preservation of segmental spine stability, and avoidance of
excessive soft tissue trauma.
PMID- 18058650
TI - A simple technique for bridging small cranial dural defects without the use of
grafts: technical note.
AB - A watertight closure of the dura is important in preventing post-operative
complications. Various techniques are described to close small dura defects. We
present a simple technique that uses on site homologues dura tissue to bridge
small dura defects by separating the periosteum from the inner meningeal layer.
This provides a low-cost, tension-free repair without the use of synthetic
materials or need to harvest autologous materials.
PMID- 18058651
TI - No difference between supine and prone position for ERCP in conscious sedated
patients: a prospective randomized study.
AB - BACKGROUND AND AIMS: Endoscopic retrograde cholangiopancreatography (ERCP) is
usually performed with the patient prone or in the left lateral position. The
supine position could be more comfortable and may facilitate airway management.
On the other hand, technical difficulties and a greater risk of adverse
cardiorespiratory events have been shown when ERCP is performed in a supine
patient. Our aim was to assess, in a tertiary referral center, the differences
between performing ERCP with the patient supine or prone, in terms of technical
features and complications both during and after the procedure. PATIENTS AND
METHODS: Between December 2005 and May 2006, 120 patients (66 female, mean age 62
years) who had an intact papilla and were candidates for therapeutic ERCP were
prospectively randomized to undergo ERCP under conscious sedation with midazolam,
in the prone (n = 60) or supine (n = 60) position, by an expert endoscopist
(tutor) or a trainee. The following parameters were recorded: difficulty of
cannulation and difficulty of ECRP procedure, time needed to visualize the
papilla, time needed to achieve opacification and cannulation, exam duration,
episodes of tachy/bradycardia and desaturation, episodes of duodenoscope
displacement into the stomach, and complications. RESULTS: Ninety-eight patients
underwent ERCP for benign disease and 22 for malignant biliary strictures. The
ERCP success rate was 98.3 % in the tutor group and 43.3 % in the trainee group.
No significant differences were found between the two groups of operators (tutors
and trainees) in the recorded parameters and complication rates encountered in
prone versus supine patients. CONCLUSION: Our results show that ERCP success
rates and complications (intraoperative and postoperative) are similar whether
ERCP is performed with the patient prone or supine, even when operators are of
differing skill levels. Training, technique, and a proper learning phase are
recommended in order to perform ERCP with no differences whether the patient is
prone or supine.
PMID- 18058652
TI - Gastrointestinal telangiectasia: a study by EGD, colonoscopy, and capsule
endoscopy in 75 patients.
AB - BACKGROUND: The distribution of lesions in the gastrointestinal tract in patients
with sporadic telangiectasia is at present unknown. PATIENTS AND METHODS: 75
patients with sporadic telangiectasia underwent esophagogastroduodenoscopy (EGD),
capsule endoscopy, and colonoscopy. Endoscopic diagnosis of telangiectasia and
gastrointestinal bleeding were required for enrollment in the study. Hemorrhagic
diathesis, co-morbidity, number of blood transfusions, and subsequent management
were also noted. RESULTS: 35 of the patients presented with gastroduodenal
vascular lesions, 51 with small-bowel lesions, and 28 with colonic lesions. 67 %
of patients in whom EGD found telangiectasia also presented small-bowel vascular
lesions at capsule endoscopy and 43 % colonic lesions at colonoscopy. 54 %
percent of patients with positive colonoscopy also presented gastroduodenal
lesions and 48 % small-bowel lesions. Patients with known duodenal lesions were
more likely to have small-bowel lesions at capsule endoscopy (odds ratio [OR]
10.19, 95 % CI 2.1 - 49.33, P = 0.003). Patients with associated diseases, such
as liver cirrhosis, chronic renal failure, or heart valvulopathy, presented more
severe disease requiring blood transfusions (OR 6.37, 95 % CI 1.39 - 29.2, P =
0.015). The number of blood transfusions correlated with the number of sites
affected ( R = 0.35, P = 0.002). The detection of new lesions at capsule
endoscopy allowed new treatment in 46 % of patients. Mean follow-up was 18
months. CONCLUSIONS: Sporadic telangiectasia is a multifocal disease potentially
involving the whole digestive tract. Patients with duodenal telangiectasia show a
higher risk of jejunal or ileal lesions. Capsule endoscopy is a useful diagnostic
tool for the detection of such small-bowel vascular lesions, indicating a more
specific prognosis and treatment strategy.
PMID- 18058653
TI - Deep sedation for endoscopic retrograde cholangiopancreatography: intravenous
propofol alone versus intravenous propofol with oral midazolam premedication.
AB - BACKGROUND AND STUDY AIMS: The primary objective of the present study was to
compare the dosage of intravenous propofol required in patients being sedated
with propofol alone (group P) with the dosage of propofol required in patients
who also received an oral dose of midazolam (group M + P) for endoscopic
retrograde cholangiopancreatography (ERCP) procedures. The secondary objectives
were to compare the two groups with regard to patient anxiety levels before the
procedure, patient satisfaction, the recovery time, and the adverse events
related to sedation. PATIENTS AND METHODS: A total of 91 consecutive patients
undergoing ERCP were randomly assigned to one of the two medication regimens.
Patients in group P (n = 45) received only intravenous propofol, titrated to
achieve deep sedation. Patients in group M + P (n = 46) received 7.5 mg of
midazolam orally 30 minutes before being given intravenous propofol, which was
similarly titrated to achieve deep sedation. RESULTS: Patients receiving propofol
alone required higher doses of propofol compared with those receiving synergistic
sedation (512 +/- 238 mg vs. 330 +/- 223 mg respectively, P < 0.001). The
patients' anxiety levels before the procedure were lower in group M + P patients
than in group P patients (P < 0.001). The mean percentage decline in oxygen
saturation during the procedure was significantly greater in group P patients
than it was in group M + P patients. A significant drop in the oxygen saturation
(to < 90 %) occurred in 11 group P patients and in three group M + P patients (P
= 0.02). CONCLUSIONS: Our data suggest that synergistic sedation with an oral
dose of midazolam combined with intravenous propofol can provide a significant
benefit, with a reduction in the dosage of propofol required and in patient
anxiety levels before ERCP.
PMID- 18058654
TI - Diagnostic yield of capsule endoscopy in ulcerative colitis and inflammatory
bowel disease of unclassified type (IBDU).
AB - BACKGROUND AND STUDY AIMS: Capsule endoscopy is increasingly reported as an
important diagnostic procedure in patients with known or suspected Crohn's
disease, but its clinical utility in patients with ulcerative colitis or
unclassified type inflammatory bowel disease (IBDU) is unclear. The aim of our
study was to determine the diagnostic yield of capsule endoscopy for small-bowel
disease in patients with ulcerative colitis and IBDU. PATIENTS AND METHODS: All
data from patients with a history of ulcerative colitis or IBDU who underwent
capsule endoscopy between October 2001 and August 2005 were analyzed for
procedure indications and findings. Images were reviewed by an experienced
capsule endoscopist. The finding of multiple ulcerations (three or more) on
capsule endoscopy was classified as diagnostic of small-bowel Crohn's disease.
RESULTS: 120 patients had undergone 122 capsule endoscopy procedures. Overall, 19
of 120 patients (15.8 %) had capsule endoscopy findings consistent with the
diagnosis of Crohn's disease. The proportion of patients with small-bowel disease
was significantly higher among patients with a history of colectomy (7 of 21
patients, 33 %) compared with those without colectomy (12/99, 12 %) ( P = 0.04).
Among patients with positive findings on capsule endoscopy, 18 had also
previously undergone a small-bowel follow-through study and only one showed
findings consistent with Crohn's disease. CONCLUSIONS: Many patients with a
diagnosis of ulcerative colitis and atypical features or IBDU may have small
bowel findings on capsule endoscopy that are consistent with Crohn's disease.
Capsule endoscopy should be considered in ulcerative colitis patients with
atypical clinical features particularly after colectomy.
PMID- 18058655
TI - Patchy villous atrophy in adult patients with suspected gluten-sensitive
enteropathy: is a multiple duodenal biopsy strategy appropriate?
AB - BACKGROUND AND STUDY AIMS: The current internationally accepted gold standard for
diagnosing celiac disease is a small-bowel biopsy demonstrating villous atrophy.
However, it has been suggested that the diagnosis might not be considered as
confirmed if the villous atrophy is patchy. Our aim was to assess whether there
is an optimal duodenal biopsy strategy for detecting villous atrophy in adult
patients with suspected gluten-sensitive enteropathy. PATIENTS AND METHODS:
Patients who had positive endomysial or tissue transglutaminase antibodies were
prospectively recruited. Nine biopsies were taken from the duodenum: one from the
duodenal bulb, four from the proximal duodenum, and four from the distal
duodenum. Each biopsy was graded according to the Marsh criteria. All possible
biopsy regimes were evaluated for their ability to detect the presence and
severity of villous atrophy. RESULTS: A total of 56 patients were recruited (23
men [41 %], 33 women [59 %]; mean age 47, range 16 - 85): 53/56 patients had
villous atrophy present in at least one biopsy; 10/53 patients had biopsy
specimens that showed "patchy" villous atrophy. In all 53 patients with villous
atrophy this was detected by taking a minimum of three biopsies (sensitivity 100
%, 95 % confidence interval [CI] 93.2 % - 100 %). However, this strategy always
incorporated a duodenal bulb biopsy. The most severe degree of villous atrophy in
all 56 patients was only detected by using a five-biopsy regime (sensitivity 100
%, 95 % CI 93.6 % - 100 %). CONCLUSIONS: In this study we observed that villous
atrophy in adult patients with suspected gluten-sensitive enteropathy (antibody
positive) is patchy. For this reason we would suggest a minimum of three
biopsies, incorporating a duodenal bulb biopsy, to ensure that villous atrophy is
detected. However, a five-biopsy regime is required for recognition of the most
severe lesion.
PMID- 18058656
TI - Esophageal capsule endoscopy in patients with suspected esophageal disease:
double blinded comparison with esophagogastroduodenoscopy and assessment of
interobserver variability.
AB - BACKGROUND AND STUDY AIMS: The study aim was to compare the diagnostic yield of
capsule endoscopy and esophagogastroduodenoscopy (EGD) in patients with suspected
esophageal disease. Secondary aims were to assess interobserver variability of
capsule endoscopy readings and safety. PATIENTS AND METHODS: In total, 98
patients (53 men, 53 +/- 13 years) with an indication for EGD were included. The
patient population was artificially enriched to include two thirds of patients
with abnormal esophageal findings at EGD, which was followed by capsule
endoscopy. Capsule recordings were blindly read by three endoscopists, one from
the center that recruited the patient and two from the other center. Study
outcomes were the findings described on EGD and capsule endoscopy, agreement
between EGD and capsule endoscopy for findings, quality of the capsule recording,
and interobserver agreement for capsule endoscopy quality and findings. RESULTS:
EGD was normal in 34 patients and showed esophageal findings in 62 (esophagitis
28, hiatus hernia 21, varices 21, Barrett's esophagus 11, others 7). Average
esophageal transit time of the capsule was 361 +/- 393 seconds. Capsule endoscopy
was normal in 36 patients but detected esophagitis in 23, hiatus hernia in 0,
varices in 23, Barrett's esophagus in 18, and others in 4. The positive
predictive value of capsule endoscopy was 80.0 % and the negative predictive
value was 61.1 %. Overall agreement per patient was moderate between EGD and
capsule endoscopy for the per-patient (kappa = 0.42) and per-findings (kappa =
0.40) analyses. Interobserver agreement between capsule endoscopy readings was
moderate for findings (kappa = 0.39) and quality assessment (kappa = 0.24). No
adverse event was observed after either EGD or capsule endoscopy. CONCLUSION: In
this study, despite artificial prevalence enrichment, capsule endoscopy showed a
moderate sensitivity and specificity in the detection of esophageal diseases.
PMID- 18058657
TI - Colonoscopy, tumors, and inflammatory bowel disease.
PMID- 18058658
TI - [Infection with Helicobacter pylori--outcome of a cross-sectional investigation].
AB - BACKGROUND AND OBJECTIVE: Different information exists about the prevalence of
Helicobacter pylori (Hp) in Germany, ranging from 35 to 40%. Several
investigations have identified factors influencing the colonization of Hp in the
stomach, but their significance is still being discussed. This study aimed at
determining the prevalence of Hp in the metropolitan area of Hannover and
defining the impact of education and lifestyle on Hp colonization. SUBJECTS AND
METHODS: The study was designed as a cross-sectional survey. Advertisements were
placed in newspapers and on the radio. Of 829 who responded and were informed of
the study 35 were excluded according to predefined criteria, the remainder sent
questionnaires and containers for stool samples. 579 persons returned
questionnaires and stool samples, but 16 were excluded because of borderline test
results. The detection of Hp was done with a test for Hp-antigens in stool (Femto
Lab H. pylori-Cnx-Test, R-Biopharm). RESULTS: Among the final 563 tested persons
(322 women, 241 men; mean age 46.6 12.2 years) 21% were Hp-positive and 79%
negative. Participants with Hp were older than those without. Neither gender nor
the consumption of caffeine, alcohol, nicotine and particular eating behaviour
were related to Hp-status. However, persons who had incomplete or lower-level
schooling were infected more often than those who had graduated from with high
school. CONCLUSION: These results indicate that Hp-prevalence among German adults
may be lower than previously published. Known associations between Hp infection
and age and education were confirmed.
PMID- 18058659
TI - [Cystic leak after laparoscopic cholecystectomy].
PMID- 18058660
TI - [Adverse cutaneous drug reactions].
PMID- 18058661
TI - [A rare cause of exertional dyspnea in a 63-year-old woman].
AB - HISTORY AND CLINICAL FINDINGS: A 63-year-old woman was referred because of
excertional dyspnea, pulmonary artery hypertension and right heart dilatation
without evidence of pulmonary embolism. INVESTIGATIONS: Echocardiography,
magnetic resonance tomography and right heart catheterisation revealed a left to
right shunt through an sinus venosus defect and partial anomalous pulmonary
venous return. DIAGNOSIS, TREATMENT AND COURSE: After surgical repair the
hemodynamic changes normalized. The patient is doing well 12 weeks after surgery.
CONCLUSION: Congenital heart disease should be considered as a rare cause of
exertional dyspnea in the elderly. Before percutaneous interventional closure of
atrial septal defects, concomitant anomalous partial pulmonary venous return
should be ruled out.
PMID- 18058662
TI - [Systems biology].
PMID- 18058663
TI - [Nephrogenic systemic fibrosis--a new interdisciplinary challenge].
AB - Nephrogenic systemic fibrosis (NSF) - previously termed nephrogenic fibrosis
dermopathy - is a newly recognized disorder occurring only in patients with renal
failure. Exposure to gadolinium-containing contrast agents used for magnetic
resonance imaging has been associated with subsequent development of NSF. This
disease is characterised by swelling and tightening of the skin, mostly at the
limbs. In addition, internal organs may be involved, which may ultimately cause
death in rare cases. Skin biopsy showing fibrous tissue and spindle cells
positive for CD34, and factor XIIIa as well as CD68-positive macrophages confirms
the diagnosis. The main therapeutic goal is restoration of renal function by
renal transplantation or recovery from acute renal failure, whenever possible.
There are few data regarding other measures. The best available evidence for some
therapeutic effect relates to physiotherapy and extracorporal photopheresis.
Gadodiamide and gadopentetate-dimeglumin must not be used in stage 4 and 5 renal
failure. Other gadolinium-containing contrast media must be used with extreme
caution in patients with advanced renal failure.
PMID- 18058664
TI - [Intervening in the neural basis of one's personality: a practice-oriented
ethical analysis of neuropharmacology and deep-brain stimulation].
AB - Through the rapid progress in neuropharmacology it seems to become possible to
effectively improve our cognitive capacities and emotional states by easily
applicable means. Moreover, deep-brain stimulation may allow an effective
therapeutic option for those neurological and psychiatric diseases which still
can not be sufficiently treated by pharmacological measures. So far, however,
both the benefit and the harm of these techniques are only insufficiently
understood by neuroscience and detailed ethical analyses are still missing. In
this article ethical criteria and most recent empirical evidence are
systematically brought together for the first time. This analysis shows that it
is irrelevant for an ethical evaluation whether a drug or a brain-machine
interface is categorized as "enhancement" or "treatment" or whether it changes
"human nature". The only decisive criteria are whether the intervention (1.)
benefits the patient, (2.) does not harm the patient and (3.) is desired by the
patient. However, current empirical data in both fields, neuropharmacology and
deep-brain stimulation are still too sparse to adequately evaluate these
criteria. Moreover, the focus in both fields has been strongly misled by
neglecting the distinction between "benefit" and "efficacy": In past years
research and clinical practice have only focused on physiological effects, but
not on the actual benefit to the patient.
PMID- 18058666
TI - [Peri-interventional antibiotic therapy for PEG-sites?].
PMID- 18058667
TI - [Reconstruction of phalanx and metacarpal defects by autologous iliac crest
transplants after tumour resection with joint involvement].
AB - BACKGROUND: The reconstruction of large phalanx or metacarpal defects after
tumour resection is still a challenge. In contrast to orthopaedic oncology there
are no modular prostheses available in hand surgery. If the tumour affects the
joint, often the only therapeutic option is an arthrodesis of the joint with a
bone graft. In this study, a technique of joint reconstruction with an autologous
bone graft from the iliac crest is presented. METHODS: Curative tumour resection
is the main goal of the operation, including hemiresection of a finger joint if
necessary. The bony defect is reconstructed with a bone graft from the iliac
crest which is prepared to match the opposite joint surface as nearly as
possible. An additional arthroplasty with the palmar plate might be performed.
Postoperative care includes either 6 weeks transfixation of the affected joint
with a K-wire or, if possible, early joint motion with a dynamic external
fixateur. The operation technique and postoperative treatment are described;
further the clinical and radiological follow-ups of three patients are shown and
a review of the literature is given. RESULTS: The replacement of larger parts of
the phalanx or metacarpal bone revealed good results with regard to joint
movement of the partly replaced metacarpophangeal joint (MCP). Besides a good
functional outcome patients were also nearly pain-free. Regarding the proximal
interphalangeal (PIP) joint after resection of the middle phalanx, limited
movement was found on the basis of a rapidly developing osteoarthritis, however,
being accompanied by tolerable pain. CONCLUSION: The usage of autologous iliac
crest transplants for reconstruction of metacarpal or phalanx defects which
include one partner of the MCP joint can be advised after tumour resection,
especially because of rare functional alternatives. Bone defects including one
part of the PIP joint might also be replaced by iliac crest transplants. However,
the functional outcome seems to be less attractive than in MCP reconstruction. In
cases of development of osteoarthritis after iliac crest transplantation,
arthroplasty with silicone spacers might be a salvage procedure to maintain joint
movement.
PMID- 18058668
TI - [Outcome assessment after reconstruction of complex defects of the forearm and
hand with osteocutaneous free flaps].
AB - PURPOSE: Complex defects of the forearm and hand often require microvascular
reconstruction with composite osteocutaneous free flaps for limb salvage. Here, a
postoperative outcome analysis of 15 patients is presented, who were treated by
free composite tissue transplantation between 1992 and 2004 in a single
institution. PATIENTS AND METHODS: The assessment focused on the range of motion,
the pain and grip strength as well as on the patient's individual physical
functioning and self perception, measured with the DASH questionnaire.
Additionally complications, return to work and the donor site morbidity were
documented. RESULTS: Reasons for the combined defects were trauma, infections or
malignant tumors. The patients' average age was 38 years. The average osseous
defect was 11.7 cm (range, 6 to 21 cm), all patients suffered from additional
soft tissue defects. Microvascular osteoseptocutaneous fibula transplantations (n
= 8), osteocutaneous scapular or parascapular flaps (n = 6) and osteocutaneous
lateral arm flaps were performed for reconstruction. The mean hospitalisation
time was 39.3 days (range, 18 - 73 d). All defects could be reconstructed in a
one-step reconstructive procedure after sequential debridements or after radical
tumour resection. Two patients developed a pseudarthrosis, one had to undergo an
ablation procedure due to persistent infection; however, the flap was well
perfused. Every patient had a markedly reduced hand function compared with the
unaffected extremity, however the functional results were satisfactory with a
mean DASH score of 25.3 (range, 0 to 42). This reflects only a moderate
disability in activities of daily living. From the patients' point of view the
functional results were more than acceptable when the potential alternatives were
taken into consideration. This was also reflected by a high individual
satisfaction. CONCLUSION: This retrospective analysis demonstrates that limb
salvage with osteocutaneous free flaps is an important tool in the armamentarium
for the treatment of composite defects in the forearm and hand. Functional
results are good and the patient's high individual satisfaction justifies the use
of these difficult reconstructive procedures.
PMID- 18058669
TI - [Management of finger-level avulsion injuries].
AB - BACKGROUND: In avulsion-type injuries of the fingers recovery of blood
circulation is one of the major obstacles. The indication for finger
reconstruction is discussed controversely, being influenced by the patient's
needs, the degree of damage to the soft tissue and the prospects of success of
the healing process. In this study we present our results after reconstruction of
avulsion-type injuries of the fingers. Indications for finger reconstruction will
be assessed in consideration of the expected outcome. PATIENTS AND METHODS: From
1999 to 2006 we treated 18 patients with finger level avulsion injuries. 15
casualties were caused by rings and three by ropes looped around a digit. The
median age at injury was 23 (12 - 66) years. All patients were examined by an
independent observer, who did not participate in the operation. Criteria were
functional outcome and patient's complaints and satisfaction. Sensibility was
evaluated by 2-point discrimination applying the Greulich star. Finger mobility
was assessed with the Buck-Gramcko goniometer. RESULTS: According to the
classification of Urbaniak as modified by Kay, 2 patients ranked in class II, 3
in class III and 13 suffered from complete avulsion-amputations (class IV). Of
the latter, 8 allowed primary reconstruction of the blood circulation. Two
fingers required early or late secondary amputation. After finger reconstruction,
patients spent a median time of 18 (12 - 32) days in hospital while primary
amputation resulted in a shorter stay of 4 (2 - 5) days. Active motion after
replantation in the proximal interphalangeal joint was reduced on average to 64
(25 - 100) degrees. The distal interphalangeal joint nearly ankylosed in all
patients following replantation except for one case with an active motion of 40
degrees . Good sensibility could be achieved in one case, protective sensibility
in three and none in two patients. All patients with preserved fingers would
again decide in favour of finger replantation. CONCLUSION: In specialised centres
replantation of complete avulsion-type finger amputations can be achieved. The
decision for or against replantation should only be made after microsurgical
assessment of the severed soft tissue and in consideration of the patient's
specific demands. With the right indication for reconstruction, the patient's
satisfaction often outweighs even poor functional outcomes.
PMID- 18058670
TI - [Functional results after the Kapandji-Sauve operation for salvage of the distal
radioulnar joint].
AB - INTRODUCTION: The Kapandji-Sauve procedure is a salvage operation for the
treatment of painful arthrosis of the distal radioulnar joint (DRUJ). It should
be performed if an anatomical reconstruction of the DRUJ is not longer possible.
The present study was designed to evaluate mid-term results by means of objective
parameters (strength, range of motion, Krimmer and Mayo wrist scores) and
subjective self-assessment of patient disabilities (DASH, pain) after the
Kapandji-Sauve procedure. PATIENTS AND METHODS: Fifteen patients (8 female, 7
male, average age 49 years) underwent Kapandji-Sauve procedure and were
retrospectively reviewed 55 months after the operation (range, 6 months to 8
years). As a reason for DRUJ arthrosis we found fractures of the distal radius in
7 patients, severe contusion and distorsion trauma (5), luxation of the DRUJ (2)
and a Madelung deformity (1). For evaluation of grip strength the Jamar
dynamometer was used. Pain assessment was performed with a visual analogue scale
(VAS 0 - 100) pre- and postoperatively, before and after physical load was used.
The DASH questionnaire was used in all patients for subjective outcome
assessment. RESULTS: Forearm rotation improved by 59,7 % (89,3 to 142,7 degrees)
as well as grip strength by 63,6 % (13 to 20,7 kg) compared preoperative to
postoperative. Pain reduction was significant (p = 0,003) before physical load by
48,6 % and after physical load by 63,1 % compared with the preoperative values.
The mean DASH score was 41,6 points, Krimmer and Mayo-Wrist scores were 61 and 63
respectively. Radioulnar impingement occurred in 14 patients after an X-ray under
load. Because of the improvement of forearm rotation and grip strength 12
patients would undergo the procedure again, three patients were not satisfied
with their results. CONCLUSION: Our clinical findings show good improvement of
forearm rotation, grip strength and reduction of pain after Kapandji-Sauve
procedure. However midrange DASH, Mayo and Krimmer-Wrist scores suggest that the
Kapandji-Sauve procedure is not able to provide a solution for every complex, non
reconstructable DRUJ disorder.
PMID- 18058671
TI - [Soft-tissue defects on the dorsum of the hand by extravasation of the cytostatic
agents: surgical options of treatment].
AB - Systemic treatment with chemotherapeutic agents is often applied by infusions
over peripheral vein cannulae located on the hands and lower arms. Dislocation of
the cannulae or vein perforation causes an extravasation of the cytostatic agent.
This complication occurs in approximately 0.1 - 6 % of intravenous treatments and
is rarely noticed before administration of greater volumes. Depending on the
tissue toxicity of the administered substances, the extravasation results in
tissue damage of different extents. In a few cases, only conservative therapy is
required. The majority of patients, however, needs immediate surgical therapy.
The reason for this is the severe tissue damage caused by the chemotherapeutic
agent that accumulates in the subcutaneous fat where it destroys the connective
and fat tissue, nerves, vessels, tendons and muscles, impairing the functionality
of the entire hand and arm. In very severe cases, partial or complete amputation
of the extremity is the only treatment. The application of antidotes has been
discussed controversially and is not a standard procedure. In the past 11 years,
18 patients with extravasations of chemotherapeutics on the dorsum of the hand
were admitted to our department. After radical debridement no primary closure of
the resulting defect was advisable in the first operation. In all cases we could
later perform a stable wound closure. In our opinion, this two-step procedure
with primary radical debridement, temporary wound coverage and later wound
closure should be performed regularly.
PMID- 18058672
TI - Macrodystrophia lipomatosa: macrodactyly related to affected nerves, and a review
of the literature.
AB - Macrodystrophia lipomatosa (ML) is a rare disease causing congenital local
gigantism of part of an extremity mostly through proliferation of fibro-adipose
tissue. This study is one of few that specifically describe 8 ML patients with
histological confirmation of the diagnosis combined with the 79 histologically
confirmed cases already described in the literature. The goals of this study are
to describe this malformation by using only histologically confirmed cases, to
offer some insight on current opinions on ML by reviewing the histologically
confirmed cases described in the literature, and to make the postulation of
autonomic nerve dysfunction as the aetiology of ML more plausible.
PMID- 18058673
TI - [Arrosion of flexor tendons after palmar plate osteosynthesis of the distal
radius: three case reports].
AB - Indication of palmar plate osteosynthesis of the distal radius has steadily
broadened over recent years. The reason for this has been the introduction of
angle stable implants. In addition, advantages were attributed to the palmar
plate over the dorsal plate position on the distal radius through the covering of
the M. pronator quadratus as a means of preventing the occurrence of arrosion of
the tendon. Over a period of 12 months we treated 3 patients with varying degrees
of flexor tendon rupture after palmar plate osteosynthesis, indicating that the
incidence of flexor tendon arrosion occurring through palmar plate osteosynthesis
is possibly greater than previously assumed.
PMID- 18058674
TI - [Treatment of chronic recurrent synovial fistula following radiosynoviorthesis:
two case reports].
AB - Chronic recurrent synovial fistulae of the knee are uncommon. They can occur
after traumatic injuries, as complication after surgical treatment or in patients
with rheumatoid arthritis as spontaneous complication after trauma. No consensus
exists about the adequate treatment and literature on this subject is scarce. Two
cases are presented in which synovial fistula had occurred after
radiosynoviorthesis. Previous conservative and conventional surgery failed to
solve the problem as the fistulae remained chronic and recurrent. However both,
radical debridement and plastic reconstruction by either a fasciocutaneous or a
myocutaneous gastrocnemius flap were successful.
PMID- 18058675
TI - [Neuropathy of the median nerve caused by intraneural haematoma after carpal
tunnel release in an anticoagulated patient].
AB - We report about the sudden onset of a median nerve neuropathy in an
anticoagulated patient eight weeks after uneventful carpal tunnel release.
Several differential diagnosis have to be considered: compression syndrome as
well as iatrogenic damage of the median nerve due to the preliminary procedure or
even concomitant disease can generate symptoms of peripheral neuropathy. We
diagnosed an intraneural haematoma through surgical exploration. This rare
complication of oral anticoagulation therapy occurred spontaneously and was
treated successfully by interfascicular neurolysis.
PMID- 18058676
TI - Chondroid syringoma of the hand: a rare localization.
AB - Chondroid syringoma is a rare benign neoplasm of the sweat glands that usually
occurs in the head and neck region. We describe a 18-year-old male patient with a
benign chondroid syringoma that occurred at an unusual site, leading to
compression symptoms of the ulnar nerve causing symptoms at the hypothenar region
of the right hand. Although it is a rare tumor at an unusual site, it should be
included in the differential diagnosis of the hand tumors.
PMID- 18058677
TI - [New diagnostic concepts and tools for the dementias--so what?].
PMID- 18058678
TI - [Neurosyphilis--diagnosis and treatment approaches in clinical psychiatry].
PMID- 18058679
TI - [Are professional dental health care workers (dentists, dental technicians,
assistants) in danger of noise induced hearing loss?].
AB - BACKGROUND: Rotating instruments in dentistry are radiating an unpleasant high
frequency noise, which was supposed to produce hearing loss by its loudness.
Therefore frequently notifications and recognizing procedures were initiated
because of the suspicion of occupational noise-induced hearing loss (German
listing for occupational diseases as given by decree: Number 2301). In recent
years dentists, dental technicians and dental assistants repeatedly had to be
tested because of a suspicion for noise-induced inner ear damage. METHODS: Noise
measurements were performed in 3 working places of dentists and 7 dental
laboratories. RESULTS: In regard to dentists and dental assistants it was found
that the aspirator, not the rotating instruments, was the most intense source for
noise in the office. The averaged daily noise level was measured between 70 and
77 db(A) and was therefore obviously below the inner ear damaging limit of 85
dB(A). For dental technicians the on-site related averaged daily noise level was
about 68 dB(A) and the person related averaged daily noise level was about 76
dB(A). In some particular cases 80 dB(A) were exceeded, but relevant levels for
an occupational noise trauma were not reached. It has to be taken into
consideration that the rotating instruments got a considerable noise reduction in
the last decade. Additionally it has to be taken into account for the calculation
that the averaged running time of rotating instruments is 30 minutes per day in
the office. Therefore, a notification or a recognizing procedure can regularly
stand aside. CONCLUSION: An endangering by noise as given by the German
occupational disease decree (more than 85 db(A)) cannot usually be expected for
the dentist, the dental assistant and the dental technician.
PMID- 18058680
TI - Cytotoxicity and p-glycoprotein modulating effects of quinolones and
indoloquinazolines from the Chinese herb Evodia rutaecarpa.
AB - The antimycobacterial quinolones 1-methyl-2-undecyl-4-quinolone,
dihydroevocarpine and evocarpine as well as the indoloquinazoline alkaloids
rutaecarpine and evodiamine - all from the Chinese medicinal herb Evodia
rutaecarpa - were tested in two in vitro assays, for cytotoxicity and interaction
with p-glycoprotein (p-gp). Cytotoxicity was measured in a cell proliferation
assay against CCRF-CEM leukemia cells and their p-gp over-expressing subline
CEM/ADR5000. An assay monitoring the p-gp-dependent accumulation of the dye
calcein in porcine brain capillary endothelial cells (PBCECs) was used to study
interactions of the test substances with this efflux pump. Rutaecarpine and
evodiamine showed quite high toxicity with IC (50) values from 2.64 to 4.53
microM and were weak modulators of p-gp activity. The degrees of resistance in
CEM/ADR5000 towards the saturated quinolones 1-methyl-2-undecyl-4-quinolone and
dihydroevocarpine were between 3 and 4. In the calcein assay, these two
quinolones were shown to be moderate modulators of p-gp activity. Evocarpine, on
the other side, is not transported by p-gp, and showed only slight toxicity at
the highest test concentration of 30 microM.
PMID- 18058681
TI - Towards unity for health in the Barceloneta: an innovative experience in
community-based primary health care.
AB - CONTEXT: This paper describes a unique experience in community-based primary care
in the Barceloneta, an economically deprived neighbourhood in Barcelona, Spain.
The paper analyzes the reasons for the successes and failures of the project in
light of TUFH principles. METHODS: The Primary Care Team (PCT) that staffed and
ran the Health Centre in the Barceloneta facilitated the active participation of
entities and individuals from the neighbourhood in deciding questions of care
provision and resource allocation. They also collaborated with other service
providers in the neighbourhood including pharmacists, with whom the PCT developed
a program for monitoring diabetic and hypertensive patients in the local
pharmacies. RESULTS: The health centre registered some of the best outcomes in
Barcelona, including: time spent with each patient; capacity for the physicians
to resolve patient visits without a referral; and patient satisfaction. Outcomes
for patients followed by their local pharmacists were equivalent to those seen in
the clinic, with lower costs. Despite these impressive results, conflicts among
and between various stakeholders led to the project's termination. CONCLUSIONS:
Innovations in any system can lead to conflicts of interest between stakeholders,
derailing even demonstrably effective programs. A stable partnership with other
stakeholders, particularly the community and health care administrators, in this
case, is key. However, the community is not monolithic, and efforts must be made
to ensure that other stakeholders do not widen intercommunity disputes. Effective
dissemination of information on the impact of the project on the population is
important to maintain relationships with the various stakeholders.
PMID- 18058682
TI - [Neighbourhood rural medicine: an experience of rural doctors in Mali].
AB - The main constraint to improving access to health services of quality in rural
areas is to attract qualified health personnel in these areas. A fifteen years
experience in rural health in Mali has shown that it is possible to develop
community medicine practices in an African context that do integrate individual
care and public health activities. The policy of decentralization of health
services encouraged local communities and municipalities to recruit rural doctors
themselves. An initiative of rural doctors materialized with this event as they
founded a national association and adhere to the principles of a Charter to
provide quality health care at an affordable cost. A mechanism of quality
improvement was established with the participation of several partners: a
professional association, a funding non-governmental organization, and groups of
academic staff and health managers. This paper describes the evolution of the
rural doctors' experience, its philosophy, conditions that made it successful,
constraints it had to overcome and the attitude of partners. It highlights the
potential of health care personnel in Africa to provide primary health care well
beyond traditional programs on prevalent diseases and to respond to both urgent
individual needs and pressing public health requirements.
PMID- 18058683
TI - Towards unity for health: lessons for health development in Canada.
AB - INTRODUCTION: The Sherbrooke-Estrie integrated cardiovascular health program
(SEICHP) was developed in the Canadian province of Quebec. It was among the 12
field projects selected in 2001 around the world by the World Health Organization
(WHO) to implement the TUFH (Towards Unity for Health) strategy as a way to
improve health development responding to people's needs through integration of
health services and partnership among key stakeholders. SEICHP tailored and
applied the TUFH approach. It developed comprehensive and integrated services for
people suffering or being at risk of cardiovascular problems in its region of
influence. It emphasized complementarity, efficiency of resource use,
interprofessional collaboration and partnership. In this, SEICHP complied with
TUFH criteria. Information on how it adapted and applied these with relative
success is reported. LESSONS FOR HEALTH DEVELOPMENT: Even though difficulties in
evaluation represent a limitation, major lessons learned linked to TUFH criteria
include: the necessity to involve the public health and individual health people
at all phases of program development and implementation, including the
identification of information to be collected; an emphasis on integration brings
health professionals to realize the importance of interdisciplinary work and
academic institutions to modify their educational programs; restraining and
supporting factors to partnership must be considered purposefully to optimize the
partnership process; and optimal assessment of impact is difficult to attain.
CONCLUSION: TUFH gave SEICHP a comprehensive conceptual framework for health
development to work with. It had a highly significant impact on its development
and provides direction for its future actions.
PMID- 18058684
TI - Population health and public health training for Australian rural general
practice registrars: a six year program 2000-2006.
AB - BACKGROUND: In Australia, population health and public health are core aspects of
postgraduate general practice training. AIMS: This paper describes an academic
general practice training post in population health and public health for rural
GP registrars in North Western New South Wales. Furthermore, this paper describes
how this training post incorporates the principles of "Towards Unity for Health".
METHODS: In 2000, a collaborative reference group of local and national
organisations advised on curriculum development. During training, GP registrars
conduct a research project applying population health and public health
principles in a rural community. Content and thematic analyses of research
documents and GP registrar evaluations were used to provide examples of how this
training post incorporates principles of "Towards Unity for Health". RESULTS: The
posts have been evaluated and were viewed favourably by registrars, local and
national organisations. Six GP registrars have been recruited to undertake this
training post since 2001. Their research projects include: smoking cessation,
childhood obesity and hepatitis C. After completing this form of training, two
registrars have become involved in medical education and three have remained to
work in the region. The educational model developed in this project has
similarities with "Toward Unity for Health" with partnerships developed between
academic institutions and health managers. DISCUSSION: This paper presents a
feasible model to train GP registrars in population health and public health
skills in a rural region. Further research is required to assess the
applicability of this model to other regions of Australia and internationally.
PMID- 18058685
TI - Lessons from a local government unit - health academic partnership.
AB - CONTEXT: The devolution of health services from the Department of Health to the
Local Government Unit in the Philippines in 1992 led to the deterioration of the
management of local health services. The UP College of Medicine (UPCM) has forged
a partnership with a Local Government Unit of a rural municipality to implement a
community based health program geared towards the development of local health
systems. OBJECTIVE: Program objectives were: (1) to provide learning
opportunities for UPCM faculty, medical residents and students in community
medicine; and (2) to assist communities develop their health systems.
INTERVENTIONS: In July, 2004, the UPCM jointly drafted a municipal health plan
with its partner municipality. Before the actual planning session, the rural
health midwives were assisted by the UPCM students in determining the health
needs of their communities and in drafting community health plans. The plans were
then presented by the midwives in a 2-day planning workshop, and became the basis
of the municipal health plan. MAIN OUTCOMES: The outcome of the workshop was the
first municipal health plan that this health office had drafted. Main outcomes
from the implementation of the plan included the organization of the Local Health
Board, improved health service provision by the municipal health office, active
health committees in selected villages and better learning opportunities for
medical residents and interns. CONCLUSION: Colleges of Medicine in the
Philippines can and should play a role in the development of local health systems
within the overall framework of Alma Ata Primary Health Care. National health
planners should seriously consider this role of the health academe.
PMID- 18058686
TI - An innovative partnership to address breast cancer screening among vulnerable
populations.
AB - CONTEXT: Breast cancer is the most common non-skin malignancy among U.S. women.
Vulnerable populations such as low-income women, racial/ethnic minorities, and
the uninsured have lower rates of screening mammography use and bear a
disproportionate burden of disease. OBJECTIVES: The Breast Cancer Education
Project (BCEP) was created to address the needs of medically underserved women in
Cook County through high-quality breast cancer screening, education and support.
The BCEP also provides a service-learning opportunity in which medical students
can provide a valuable health service while obtaining important skills that
enable them to work more effectively within medically underserved communities.
CONCLUSION: The BCEP is an innovative collaboration between academic medical
centers, safety-net health systems, community-based organizations and public
health organizations. It represents a model for addressing issues of disparate
access to breast cancer screening within vulnerable communities that contribute
to higher breast cancer mortality.
PMID- 18058687
TI - Creating and testing the concept of an academic NGO for enhancing health equity:
a new mode of knowledge production?
AB - CONTEXT: Collaborative action is required to address persistent and systematic
health inequities which exist for most diseases in most countries of the world.
OBJECTIVES: The Academic NGO initiative (ACANGO) described in this paper was set
up as a focused network giving priority to twinned partnerships between Academic
research centres and community-based NGOs. ACANGO aims to capture the strengths
of both in order to build consensus among stakeholders, engage the community,
focus on leadership training, shared management and resource development and
deployment. METHODS: A conceptual model was developed through a series of
community consultations. This model was tested with four academic-community
challenge projects based in Kenya, Canada, Thailand and Rwanda and an online
forum and coordinating hub based at the University of Ottawa. FINDINGS: Between
February 2005 and February 2007, each of the four challenge projects was able to
show specific outputs, outcomes and impacts related to enhancing health equity
through the relevant production and application of knowledge. CONCLUSIONS: The
ACANGO initiative model and network has demonstrated success in enhancing the
production and use of knowledge in program design and implementation for
vulnerable populations.
PMID- 18058688
TI - Promoting unity of purpose in district health service delivery in Uganda through
partnerships, trust building and evidence-based decision-making.
AB - CONTEXT: The Uganda Program for Human and Holistic Development (UPHOLD), a USAID
funded project which supports health services in 34 Ugandan districts, was
conceived at a time when promising interventions could not be expanded due to
fragmented systems. This paper focuses on how the program addressed fragmentation
to improve service delivery in the health sector. APPROACH: UPHOLD achieved
results by utilizing grants and technical support to strengthen capacity in a
decentralized setting to foster institutional behavior change, promote
strengthened partnerships among stakeholders in health, and produce increased
transparency and accountability. In addition, the Lot Quality Assurance Sampling
(LQAS) survey methodology was institutionalized to promote a culture of evidence
based decision-making at the district level. RESULTS: Evidence-based decision
making and partnership-oriented implementation led to programmatic results and
institutional behavior change in districts through synergetic relationships
between local governments and Civil Society Organizations. The use of Insecticide
Treated Nets increased from 11.2% in 2004 to 17.2% in 2005, clients utilizing
HIV/AIDS counselling and testing services increased from 6,205 in 2004 to 85 947
in 2005 and using Lot Quality Assurance Sampling methodology has begun to
positively influence district and national staff mind sets leading to more
evidence-based planning and decision-making. CONCLUSION: The pillars of 'evidence
based decision-making' and 'partnerships', together with approaches which
strengthen existing synergies, produced more results, faster. Programs designed
to work with fragmented settings should consider using the same pillars and
blocks to ultimately make a difference in the lives of program beneficiaries.
PMID- 18058689
TI - Towards unity for health: time to think systems.
PMID- 18058690
TI - Project to policy: TUFH principles in action in Australia.
AB - CONTEXT: In 1999, Towards Unity For Health developed principles for patient-based
health systems which included partnerships, raising the level of partnerships,
integration of individual, population and public health, information management
and measurement of outcomes. OBJECTIVE: To address the health workforce crisis in
an area of remote north western New South Wales (NSW) in Australia. METHOD: The
NSW Rural Doctors Network applied the TUFH principles in overcoming much of the
crisis and developing an ongoing local health system which has answered many of
the local needs. CONCLUSION: The project provided experience for policy change
and development at both State and National levels.
PMID- 18058691
TI - Controlling diseases, securing access to health care, strengthening health
systems...squaring the circle?
PMID- 18058692
TI - Towards unity for health utilising community-oriented primary care in education
and practice.
AB - CONTEXT: Although the evidence is overwhelming that healthcare is delivered more
effectively if one involves the targeted communities in decisions concerning
their health, top-down programs still rule the world. OBJECTIVES: In order to
highlight the benefits of a community-oriented approach, we report the
experiences from Ghent, Belgium on COPC styled healthcare initiatives and COPC
modelled multidisciplinary education. Community-oriented Primary Care and
Education: COPC is a five-step model combining primary health care, public health
and community data and resources. The involvement of community (members) is a
crucial element in any effort to effectively enhance health (care) in a given
community. Small scale examples from two health centers are given. In order to
train future healthcare workers to be able to function with the communities, they
participate in a one-week interdisciplinary course based on the COPC cycle at the
University of Ghent. The COPC program in relation to Its practical organisation,
goals and limitations are presented and discussed. CONCLUSION: In order to reach
health objectives set out by disease-specific or health promotion programs, a
community-sensitive approach is needed, especially for the most deprived
communities. The COPC model offers inspiration and can be a practical tool to
work with communities. It is also feasible to create a short COPC exercise to
prepare future healthcare workers for complex community work. This model is one
of the ways to concretise some of the main objectives of TUFH.
PMID- 18058693
TI - The challenge of improving health and medical care through undergraduate medical
education "PRO-SAUDE".
PMID- 18058694
TI - [The society "Sante en Francais": a successful Canadian model for partnership].
AB - Francophone Canadians living in a context where French is a minority language
have poor access to health services in their native language. To remedy this
situation, the Canadian Government has adopted a networking model inspired from
the strategy "Towards Unity For Health" (TUFH) elaborated by the World Health
Organization (WHO). This model, used since 2001, has given rise to a large number
of partnership networks covering the entire regions where minority Francophones
live. In this model five key stakeholders in health are being involved: health
professionals, communities, managers of health care institutions, educational
institutions and governments. The Canadian Federal Government, in close
collaboration with communities, directed the project through two non-for-profit
agencies: the Societe Sante en Francais (SSF) and the Consortium National de
Formation en Sante (CNFS), sharing a common vision and aiming at improving access
to health services and hence health status of minority Francophones. The
networking following the TUFH model created a lot of opportunities as well as
many challenges to overcome.
PMID- 18058695
TI - Towards unity for health: integrating purpose and action - the story of Estelita.
PMID- 18058696
TI - Community-action councils: a pathway to embrace towards unity for health (TUFH).
PMID- 18058697
TI - The search for HR in the new WHO director general inaugural message.
PMID- 18058698
TI - Towards unity for health: the quest for evidence.
PMID- 18058700
TI - [Towards Unity for Health" criteria applied to medical centers in Belgium].
AB - OBJECTIVE: To describe the Belgian medical centers' experience against TUFH
criteria. To identify convergences, strengths and weaknesses, and suggest
explanations. To present current dynamics in medical centers. METHOD: Literature
review on legislation, history, mode of operations and evaluations. OUTCOMES: The
convergence of the Belgian medical centers' experience with TUFH criteria is
striking, particularly regarding the use of a reference population and territory
and the use of health information. Capitation fee encourages health personnel to
change attitudes. The Belgian federation of medical centers should play a greater
role in the education of health personnel. Partnership is widely practised.
Impact measurements are favourable but should be generalized. CONCLUSION: The
convergence between the TUFH approach and the one used by the Belgian medical
centers, particularly in their integrated health centers has been demonstrated by
use of TUFH criteria. While still a small fraction in the spectrum of health
services in Belgium, though in steady progress, it is believed that integrated
health centers should remain places for experiment on health care to help the
entire system to reform.
PMID- 18058701
TI - Genome-wide partial correlation analysis of Escherichia coli microarray data.
AB - Transcriptional control is an essential regulatory mechanism employed by
bacteria. Much about transcriptional regulation remains to be discovered, even
for the most widely studied bacterium, Escherichia coli. In the present study, we
made a genome-wide low-order partial correlation analysis of E. coli microarray
data with the purpose of recovering regulatory interactions from transcriptome
data. As a result, we produced whole genome transcription factor regulation and
co-regulation graphs using the predicted interactions, and we demonstrated how
they can be used to investigate regulation and biological function. We concluded
that partial correlation analysis can be employed as a method to predict putative
regulatory interactions from expression data, as a complementary approach to
transcription factor binding site tools and other tools designed to detect co
regulated genes.
PMID- 18058702
TI - Optimal clone identifier for genomic shotgun libraries: "OC Identifier tool".
AB - In DNA microarray experiments, the gene fragments that are spotted on the slides
are usually obtained by the synthesis of specific oligonucleotides that are able
to amplify genes through PCR. Shotgun library sequences are an alternative to
synthesis of primers for the study of each gene in the genome. The possibility of
putting thousands of gene sequences into a single slide allows the use of shotgun
clones in order to proceed with microarray analysis without a completely
sequenced genome. We developed an OC Identifier tool (optimal clone identifier
for genomic shotgun libraries) for the identification of unique genes in shotgun
libraries based on a partially sequenced genome; this allows simultaneous use of
clones in projects such as transcriptome and phylogeny studies, using comparative
genomic hybridization and genome assembly. The OC Identifier tool allows
comparative genome analysis, biological databases, query language in relational
databases, and provides bioinformatics tools to identify clones that contain
unique genes as alternatives to primer synthesis. The OC Identifier allows
analysis of clones during the sequencing phase, making it possible to select
genes of interest for construction of a DNA microarray.
PMID- 18058703
TI - Effects of sample re-sequencing and trimming on the quality and size of assembled
consensus sequences.
AB - The production of nucleic acid sequences by automatic DNA sequencer machines is
always associated with some base-calling errors. In order to produce a high
quality DNA sequence from a molecule of interest, researchers normally sequence
the same sample many times. Considering base-calling errors as rare events, re
sequencing the same molecule and assembling the reads produced are frequently
thought to be a good way to generate reliable sequences. However, a relevant
question on this issue is: how many times the sample needs to be re-sequenced to
minimize costs and achieve a high-fidelity sequence? We examined how both the
number of re-sequenced reads and PHRED trimming parameters affect the accuracy
and size of final consensus sequences. Hundreds of single-pool reaction pUC18
reads were generated and assembled into consensus sequences with CAP3 software.
Using local alignment against the published pUC18 cloning vector sequence, the
position and number of errors in the consensus were identified and stored in
MySQL databases. Stringent PHRED trimming parameters proved to be efficient for
the reduction of errors; however, this procedure also decreased consensus size.
Moreover, re-sequencing did not have a clear effect on the removal of consensus
errors, although it was able to slightly increase consensus.
PMID- 18058704
TI - Intraflagellar transport complex in Leishmania spp. In silico genome-wide
screening and annotation of gene function.
AB - Flagella are constructed and maintained through the highly conserved process of
intraflagellar transport (IFT), which is a rapid movement of particles along the
axonemal microtubules of cilia/flagella. Particles that are transported by IFT
are composed of several protein subunits comprising two complexes (A and B),
which are conserved among green algae, nematodes, and vertebrates. To determine
whether or not homologues to members of the IFT complex proteins are conserved in
Leishmania spp, we scanned genomes, transcriptomes and proteomes of Leishmania
species in a search for putative IFT factors, which were then identified in
silico, compared, cataloged, and characterized. Since a large proportion of newly
identified genes in L. major remain unclassified, with many of these being
potentially Leishmania- (or kinetoplastid-) specific, there is a need for
detailed analyses of homologs/orthologs that could help us understand the
functional assignment of these gene products. We used a combination of integrated
bioinformatics tools in a pathogenomics approach to contribute to the annotation
of Leishmania genomes, particularly regarding flagellar genes and their roles in
pathogenesis. This resulted in the formal in silico identification of eight of
these homologs in Leishmania (IFT subunits, 20, 27, 46, 52, 57, 88, 140, and
172), along with others (IFTs 71, 74/72, and 81), as well as sequence comparisons
and structural predictions. IFT, an important flagellar pathway in Leishmania,
begins to be revealed through screening of trypanosomatid genomes; this
information could also be used to better understand fundamental processes in
Leishmania, such as motility and pathogenesis.
PMID- 18058705
TI - On the characterization of energy networks of proteins.
AB - The construction of a realistic theoretical model of proteins is determinant for
improving the computational simulations of their structural and functional
aspects. Modeling proteins as a network of non-covalent connections between the
atoms of amino acid residues has shown valuable insights into these
macromolecules. The energy-related properties of protein structures are known to
be very important in molecular dynamics. However, these same properties have been
neglected when the protein structures are modeled as networks of atoms and amino
acid residues. A new approach for the construction of protein models based on a
network of atoms is presented. This method, based on interatomic interaction,
takes into account the energy and geometric aspects of the protein structures
that were not employed before, such as atomic occlusion inside the protein, the
use of solvation, protein modeling and analysis, and the use of energy potentials
to estimate the energies of interatomic non-covalent contacts. As a result, we
achieved a more realistic network model of proteins. This model has the virtue of
being more robust in face of different unknown variables that usually are
arbitrarily estimated. We were able to determine the most connected residues of
all the proteins studied, so that we are now in a better condition to study their
structural role.
PMID- 18058706
TI - A framework for modeling of juxtacrine signaling systems.
AB - Juxtacrine signaling is intercellular communication, in which the receptor of the
signal (typically a protein) as well as the ligand (also typically a protein,
responsible for the activation of the receptor) are anchored in the plasma
membranes, so that in this type of signaling the activation of the receptor
depends on direct contact between the membranes of the cells involved. Juxtacrine
signaling is present in many important cellular events of several organisms,
especially in the development process. We propose a generic formal model (a
modeling framework) for juxtacrine signaling systems that is a class of discrete
dynamic systems. It possesses desirable characteristics in a good modeling
framework, such as: a) structural similarity with biological models, b) capacity
of operating in different scales of time, and c) capacity of explicitly treating
both the events and molecular elements that occur in the membrane, and those that
occur in the intracellular environment and that are involved in the juxtacrine
signaling process. We have implemented this framework and used it to develop a
new three-level discrete model for the neurogenic network and its participation
in neuroblast segregation. This paper presents the details of this framework and
its current status.
PMID- 18058707
TI - Proteinase inhibition using small Bowman-Birk-type structures.
AB - Bowman-Birk inhibitors (BBIs) are cysteine-rich and highly cross-linked small
proteins that function as specific pseudosubstrates for digestive proteinases.
They typically display a "double-headed" structure containing an independent
proteinase-binding loop that can bind and inhibit trypsin, chymotrypsin and
elastase. In the present study, we used computational biology to study the
structural characteristics and dynamics of the inhibition mechanism of the small
BBI loop expressing a 35-amino acid polypeptide (ChyTB2 inhibitor) which has
coding region for the mutated chymotrypsin-inhibitory site of the soybean BBI. We
found that in the BBI-trypsin inhibition complex, the most important interactions
are salt bridges and hydrogen bonds, whereas in the BBI-chymotrypsin inhibition
complex, the most important interactions are hydrophobic. At the same time,
ChyTB2 mutant structure maintained the individual functional domain structure and
excellent binding/inhibiting capacities for trypsin and chymotrypsin at the same
time. These results were confirmed by enzyme-linked immunosorbend assay
experiments. The results showed that modeling combined with molecular dynamics is
an efficient method to describe, predict and then obtain new proteinase
inhibitors. For such study, however, it is necessary to start from the sequence
and structure of the mutant interacting relatively strongly with both trypsin and
chymotrypsin for designing the small BBI-type inhibitor against proteinases.
PMID- 18058708
TI - miRNApath: a database of miRNAs, target genes and metabolic pathways.
AB - MicroRNAs (miRNAs) are small non-coding RNAs that regulate target gene expression
and hence play important roles in metabolic pathways. Recent studies have
evidenced the interrelation of miRNAs with cell proliferation, differentiation,
development, and diseases. Since they are involved in gene regulation, they are
intrinsically related to metabolic pathways. This leads to questions that are
particularly interesting for investigating medical and laboratorial applications.
We developed an miRNApath online database that uses miRNA target genes to link
miRNAs to metabolic pathways. Currently, databases about miRNA target genes
(DIANA miRGen), genomic maps (miRNAMap) and sequences (miRBase) do not provide
such correlations. Additionally, miRNApath offers five search services and a
download area. For each search, there is a specific type of input, which can be a
list of target genes, miRNAs, or metabolic pathways, which results in different
views, depending upon the input data, concerning relationships between the target
genes, miRNAs and metabolic pathways. There are also internal links that lead to
a deeper analysis and cross-links to other databases with more detailed
information. miRNApath is being continually updated and is available at
http://lgmb.fmrp.usp.br/mirnapath.
PMID- 18058709
TI - Abundance and diversity of resistance genes in the sugarcane transcriptome
revealed by in silico analysis.
AB - Resistance genes (R-genes) are responsible for the first interaction of the plant
with pathogens being responsible for the activation (or not) of the defense
response. Despite their importance and abundance, no tools for their automatic
annotation are available yet. The present study analyzed R-genes in the sugarcane
expressed sequence tags database which includes 26 libraries of different tissues
and development stages comprising 237,954 expressed sequence tags. A new
annotation routine was used in order to avoid redundancies and overestimation of
R-gene number, common mistakes in previous evaluations. After in silico
screening, 280 R-genes were identified, with 196 bearing the complete domains
expected. Regarding the alignments, most of the sugarcane's clusters yielded best
matches with proteins from Oryza sativa, probably due to the prevalence of
sequences of this monocot in data banks. All R-gene classes were found except the
subclass LRR-NBS-TIR (leucine-rich repeats, nucleotide-binding site, including
Toll interleukin-1 receptors), with prevalence of the kinase (Pto-like) class. R
genes were expressed in all libraries, but flowers, transition root to shoot, and
roots were the most representative, suggesting that in sugarcane the expression
of R-genes in non-induced conditions prevails in these tissues. In leaves, only
low level of expression was found for some gene classes, while others were
completely absent. A high allelic diversity was found in all classes of R-genes,
sometimes showing best alignments with dicotyledons, despite the great number of
genes from rice, maize and other grasses deposited in data banks. The results and
future possibilities regarding R-genes in sugarcane research and breeding are
further discussed.
PMID- 18058710
TI - Application of MUTIC to the exploration of gene expression data in prostate
cancer.
AB - We show here an example of the application of a novel method, MUTIC (model
utilization-based clustering), used for identifying complex interactions between
genes or gene categories based on gene expression data. The method deals with
binary categorical data which consist of a set of gene expression profiles
divided into two biologically meaningful categories. It does not require data
from multiple time points. Gene expression profiles are represented by feature
vectors whose component features are either gene expression values, or averaged
expression values corresponding to gene ontology or protein information resource
categories. A supervised learning algorithm (genetic programming) is used to
learn an ensemble of classification models distinguishing the two categories
based on the feature vectors corresponding to their members. Each feature is
associated with a "model utilization vector", which has an entry for each high
quality classification model found, indicating whether or not the feature was
used in that model. These utilization vectors are then clustered using a variant
of hierarchical clustering called Omniclust. The result is a set of model
utilization-based clusters, in which features are gathered together if they are
often considered together by classification models - which may be because they
are co-expressed, or may be for subtler reasons involving multi-gene
interactions. The MUTIC method is illustrated here by applying it to a dataset
regarding gene expression in prostate cancer and control samples. Compared to
traditional expression-based clustering, MUTIC yields clusters that have higher
mathematical quality (in the sense of homogeneity and separation) and that also
yield novel insights into the underlying biological processes.
PMID- 18058711
TI - Ab initio 3-D structure prediction of an artificially designed three-alpha-helix
bundle via all-atom molecular dynamics simulations.
AB - The rate at which knowledge about genomic sequences and their protein products is
produced is increasing much faster than the rate of 3-dimensional protein
structure determination by experimental methods, such as X-ray diffraction and
nuclear magnetic resonance. One of the major challenges in structural
bioinformatics is the conversion of genomic sequences into useful information,
such as characterization of protein structure and function. Using molecular
dynamics (MD) simulations, we predicted the 3-dimensional structure of an
artificially designed three- alpha -helix bundle, called A3, from a fully
extended initial conformation, based on its amino acid sequence. The MD protocol
enabled us to obtain the secondary, in 1.0 ns, as well as the supersecondary and
tertiary structures, in 4.0-10.0 ns, of A3, much faster than previously described
for a similar protein system. The structure obtained at the end of the 10.0-ns MD
simulation was topologically a three-alpha-helix bundle.
PMID- 18058712
TI - Sting_RDB: a relational database of structural parameters for protein analysis
with support for data warehousing and data mining.
AB - An effective strategy for managing protein databases is to provide mechanisms to
transform raw data into consistent, accurate and reliable information. Such
mechanisms will greatly reduce operational inefficiencies and improve one's
ability to better handle scientific objectives and interpret the research
results. To achieve this challenging goal for the STING project, we introduce
Sting_RDB, a relational database of structural parameters for protein analysis
with support for data warehousing and data mining. In this article, we highlight
the main features of Sting_RDB and show how a user can explore it for efficient
and biologically relevant queries. Considering its importance for molecular
biologists, effort has been made to advance Sting_RDB toward data quality
assessment. To the best of our knowledge, Sting_RDB is one of the most
comprehensive data repositories for protein analysis, now also capable of
providing its users with a data quality indicator. This paper differs from our
previous study in many aspects. First, we introduce Sting_RDB, a relational
database with mechanisms for efficient and relevant queries using SQL. Sting_rdb
evolved from the earlier, text (flat file)-based database, in which data
consistency and integrity was not guaranteed. Second, we provide support for data
warehousing and mining. Third, the data quality indicator was introduced. Finally
and probably most importantly, complex queries that could not be posed on a text
based database, are now easily implemented. Further details are accessible at the
Sting_RDB demo web page: http://www.cbi.cnptia.embrapa.br/StingRDB.
PMID- 18058713
TI - Electrostatic potential calculation for biomolecules--creating a database of pre
calculated values reported on a per residue basis for all PDB protein structures.
AB - STING and Java Protein Dossier provide a collection of physical-chemical
parameters, describing protein structure, stability, function, and interaction,
considered one of the most comprehensive among the available protein databases of
similar type. Particular attention in STING is paid to the electrostatic
potential. It makes use of DelPhi, a well-known tool that calculates this
physical-chemical quantity for biomolecules by solving the Poisson Boltzmann
equation. In this paper, we describe a modification to the DelPhi program aimed
at integrating it within the STING environment. We also outline how the "amino
acid electrostatic potential" and the "surface amino acid electrostatic
potential" are calculated (over all Protein Data Bank (PDB) content) and how the
corresponding values are made searchable in STING_DB. In addition, we show that
the STING and Java Protein Dossier are also capable of providing these particular
parameter values for the analysis of protein structures modeled in computers or
being experimentally solved, but not yet deposited in the PDB. Furthermore, we
compare the calculated electrostatic potential values obtained by using the
earlier version of DelPhi and those by STING, for the biologically relevant case
of lysozyme-antibody interaction. Finally, we describe the STING capacity to make
queries (at both residue and atomic levels) across the whole PDB, by looking at a
specific case where the electrostatic potential parameter plays a crucial role in
terms of a particular protein function, such as ligand binding. BlueStar STING is
available at http://www.cbi.cnptia.embrapa.br.
PMID- 18058714
TI - BNDb--Biomolecules Nucleus Database: an integrated proteomics and transcriptomics
database.
AB - Proteomics correspond to the identification and quantitative analysis of proteins
expressed in different conditions or life stages of a cell or organism. Methods
used in proteomics analysis include mainly chromatography, two-dimensional
electrophoresis and mass spectrometry. Data generated in proteomics analysis vary
significantly, and to identify a protein it is often necessary to perform a
series of experiments, comparing its results to those found in proteomics
databases. Existing proteomics databases are usually related to only one type of
experiment or represent processed results, not raw data. Therefore, proteomics
researchers frequently have to resort to several data repositories in order to be
able to perform the identification. In this paper, we propose an integrated
proteomics and transcriptomics database that stores raw and processed data, which
are indexed allowing them to be retrieved together or individually. The proposed
database, dubbed BNDb for Biomolecules Nucleus Database, is implemented using an
MySQL server and is being used to store data from the parasite Schistosoma
mansoni, the scorpion Tittyus serrulatus and the spider Phoneutria nigriventer.
The database construction uses a relational approach and data indexes. The data
model proposed uses groups of tables for each data subtype, which store details
regarding the experimental procedure as well as raw data, analysis results and
associated publications. BNDb also stores transcriptomics data publicly available
which are associated with identifications performed on new samples. By using
BNDb, we expect not only to contribute to proteomics research but also to provide
a useful service for the scientific community.
PMID- 18058716
TI - A simple genetic algorithm for multiple sequence alignment.
AB - Multiple sequence alignment plays an important role in molecular sequence
analysis. An alignment is the arrangement of two (pairwise alignment) or more
(multiple alignment) sequences of 'residues' (nucleotides or amino acids) that
maximizes the similarities between them. Algorithmically, the problem consists of
opening and extending gaps in the sequences to maximize an objective function
(measurement of similarity). A simple genetic algorithm was developed and
implemented in the software MSA-GA. Genetic algorithms, a class of evolutionary
algorithms, are well suited for problems of this nature since residues and gaps
are discrete units. An evolutionary algorithm cannot compete in terms of speed
with progressive alignment methods but it has the advantage of being able to
correct for initially misaligned sequences; which is not possible with the
progressive method. This was shown using the BaliBase benchmark, where Clustal-W
alignments were used to seed the initial population in MSA-GA, improving outcome.
Alignment scoring functions still constitute an open field of research, and it is
important to develop methods that simplify the testing of new functions. A
general evolutionary framework for testing and implementing different scoring
functions was developed. The results show that a simple genetic algorithm is
capable of optimizing an alignment without the need of the excessively complex
operators used in prior study. The clear distinction between objective function
and genetic algorithms used in MSA-GA makes extending and/or replacing objective
functions a trivial task.
PMID- 18058715
TI - Finding protein-protein interaction patterns by contact map matching.
AB - We propose a novel method for defining patterns of contacts present in protein
protein complexes. A new use of the traditional contact maps (more frequently
used for representation of the intra-chain contacts) is presented for analysis of
inter-chain contacts. Using an algorithm based on image processing techniques, we
can compare protein-protein interaction maps and also obtain a dissimilarity
score between them. The same algorithm used to compare the maps can align the
contacts of all the complexes and be helpful in the determination of a pattern of
conserved interactions at the interfaces. We present an example for the
application of this method by analyzing the pattern of interaction of bovine
pancreatic trypsin inhibitors and trypsins, chymotrypsins, a thrombin, a
matriptase, and a kallikrein - all classified as serine proteases. We found 20
contacts conserved in trypsins and chymotrypsins and 3 specific ones are present
in all the serine protease complexes studied. The method was able to identify
important contacts for the protein family studied and the results are in
agreement with the literature.
PMID- 18058717
TI - Application of latent semantic indexing to evaluate the similarity of sets of
sequences without multiple alignments character-by-character.
AB - Most molecular analyses, including phylogenetic inference, are based on sequence
alignments. We present an algorithm that estimates relatedness between
biomolecules without the requirement of sequence alignment by using a protein
frequency matrix that is reduced by singular value decomposition (SVD), in a
latent semantic index information retrieval system. Two databases were used: one
with 832 proteins from 13 mitochondrial gene families and another composed of
1000 sequences from nine types of proteins retrieved from GenBank. Firstly, 208
sequences from the first database and 200 from the second were randomly selected
and compared using edit distance between each pair of sequences and respective
cosines and Euclidean distances from SVD. Correlation between cosine and edit
distance was -0.32 (P < 0.01) and between Euclidean distance and edit distance
was +0.70 (P < 0.01). In order to check the ability of SVD in classifying
sequences according to their categories, we used a sample of 202 sequences from
the 13 gene families as queries (test set), and the other proteins (630) were
used to generate the frequency matrix (training set). The classification
algorithm applies a voting scheme based on the five most similar sequences with
each query. With a 3-peptide frequency matrix, all 202 queries were correctly
classified (accuracy = 100%). This algorithm is very attractive, because sequence
alignments are neither generated nor required. In order to achieve results
similar to those obtained with edit distance analysis, we recommend that
Euclidean distance be used as a similarity measure for protein sequences in
latent semantic indexing methods.
PMID- 18058718
TI - Prediction and biochemical characterization of intrinsic disorder in the
structure of proteolysis-inducing factor/dermcidin.
AB - Proteolysis-inducing factor/dermcidin (PIF/DCD) is a novel human gene, located on
chromosome 12, locus 12q13.1, that encodes a secreted 110-amino acid protein. Two
transcripts for the protein have been identified in normal skin, breast, placenta
and brain, and in various primary and metastatic tumor cells. The putative native
state structure of PIF/DCD has not been resolved. Here, we describe some
biochemical features of the soluble recombinant 11-kDa protein produced in
Escherichia coli. The native 11-kDa polypeptide displayed an anomalous mobility
on 1% SDS-PAGE under reduced conditions and appeared as a single approximately 16
kDa band. Under nonreduced conditions, we detected by mass spectrometry, the
presence of multiple peaks corresponding to m/z values of 21 kDa, which we
confirmed as a dimeric form with a disulfide bridge between cysteine 34 of each
11-kDa monomer. The native protein exhibited an unusually high susceptibility to
proteolytic attack by trypsin, and up to 13 peptides derived from its C-terminus
were produced after 5 min of incubation. The secondary structure analysis of
PIF/DCD native protein in aqueous solution, by circular dichroism spectroscopy,
revealed regions with non-well-defined secondary structure but that acquired
alpha-helix and beta-sheet secondary structures in the presence of TFE/water
mixtures and micellar and non-micellar SDS molecules. By using PONDR, DisEMBL,
DisProt, and GlobPlot computational predictors, we identified a long disorder
region at the N-terminus of PIF/DCD amino acid sequence. This segment (from 19-50
residues) is critical for some of its biological activities, including neuron
survival. This result is coherent with successive failure of crystallization of
the protein. Taken together, these data suggest that the disorder and order
transition may be relevant for some biological functions of PIF/DCD.
PMID- 18058719
TI - Assessment of prolactin secretion in children: a profile of circadian prolactin
secretion and the principles for interpreting it.
AB - INTRODUCTION: Prolactin (Prl) is secreted in a circadian pattern, although no
method of interpreting it has yet been established. The aim of the study was to
assess Prl secretion in children on the basis of the Prl circadian profile and to
establish principles for the interpretation of the results obtained by this
method. MATERIAL AND METHODS: The analysis comprised 41 healthy short children
(25 boys); aged 5.2-16.3 years, in whom hormonal disorders and chronic diseases
had been excluded. The children were divided into prepubertal or pubertal
subgroups. Serum Prl concentrations were measured every 3 hours for 24 hours. To
assess the rhythm the parameters of macroscopic analysis were calculated and
receiver operating characteristic (ROC) analysis was performed. The group for
comparison consisted of 30 children aged 8.9-17.2 years with hyperprolactinaemia.
RESULTS: In each subgroup significantly higher Prl concentrations were observed
at night than by day. No statistical differences were noticed between the groups
regarding Prl concentrations at particular time points or parameter values during
circadian Prl rhythm evaluation. In the group analysed weak correlations were
found between age and Prl peak and trough levels. On the basis of ROC analysis
criteria for the existence of normal circadian Prl rhythm in children were
established. CONCLUSIONS: 1. The presence of normal circadian Prl rhythm is
observed if at least one of the following three criteria is fulfilled: amplitude
>1.8779; X(n)/X(d) ratio >1.685; regression index <-0.4107. 2. No interpretation
in relation to sex, age and stage of puberty is necessary for the circadian
prolactin secretion rhythm in children.
PMID- 18058720
TI - [Causes of precocious puberty in children referred for evaluation in hospital
conditions].
AB - INTRODUCTION: Symptoms of precocious puberty (PP) in children always arouse
anxiety in their parents. Many children with PP are being hospitalized for the
detailed diagnostic work-up. The aim of our study was to analyze the frequency of
the variants of PP in children referred to our department. MATERIAL:
Retrospective analysis of 119 children (103 girls and 16 boys) referred for
hospitalization in the years 2003-2005 due to signs of precocious puberty was
performed. RESULTS: Premature thelarche, benign variant of puberty, was diagnosed
in 62 (53%) girls, in the mean age of 3.39 (+/- 2.33) years. Their mean height
was within 0.7 +/- 1.1 SD. Premature pubarche was diagnosed 30 (25%) children--22
girls and 8 boys in the mean age was 7.24 (+/- 0.81) years. Their mean height was
1.3 +/- 1.0 SD and was significantly higher than normal (p < 0.0001). Premature
menarche was diagnosed in 8 (7%) girls in the mean age 4.81 +/-2.26 years. Mean
height in this group was normal for age (0.9+/-0.8 SD). PP was diagnosed in 19
(16%) children (11 girls and 8 boys) in the mean age 5.91 +/- 1.63 years. Mean
height in this group was 1.6 +/- 0.7 SD, and was significantly higher than the
mean for age (p<0.0005). GnRH-dependent type was present in 15 children,
diagnosed as idiopathic in 9 girls and 1 boy. In 5 children (4 boys and 1 girl)
pathology of central nervous system was found. In 4 children GnRH-independent
precocious puberty was diagnosed--in 3 caused by congenital adrenal hyperplasia
and in 1 boy by tumour of testis (leydigioma). CONCLUSIONS: Girls with precocious
thelarche without growth acceleration present the benign variant of puberty and
need clinical follow up only. Boys with clinical signs of precocious puberty
should be carefully evaluated to rule out the organic cause.
PMID- 18058721
TI - Assessment of intraorbital structure volume using a numerical segmentation image
technique (NSI): the fatty tissue and the eyeball.
AB - INTRODUCTION: Measurement of the degree of exophthalmos is one of the main
methods used in the assessment of pathological processes that occur in the
orbital space and is widely used. However, this only provides initial information
about the volume relations between the intraorbital structures. The aims of our
work were as follows: to draw up a new computer application, namely the numerical
segmentation image (NSI) technique, for the automatic calculation of the volume
of the intraorbital structures on the basis of magnetic resonance imaging (MRI)
images, to determine its usefulness in the segmentation of fatty tissue and the
eyeball and to estimate their volume in relation to the degree of exophthalmos.
MATERIAL AND METHODS: A total of 45 patients (90 orbits) were included in the
study. All the patients underwent MRI examination of the orbits by a 1.5 T
scanner using a head coil. The degree of exophthalmos was determined clinically
and radiologically in relation to the interzygomatic line. Quantitative
assessment of the eyeball and fatty tissue was made using an NSI application.
RESULTS: The influence of fatty tissue volume on the degree of exophthalmos was
determined as being statistically significant (r = 0.367, p = 0.000374) but was
smaller in comparison with the relationship between total eye muscle volume and
degree of exophthalmos; eyeball volume was found to have the least influence (r =
0.344, p = 0.000374). Two eyeballs of significantly smaller volume were found in
the group of 90 orbits analysed. CONCLUSIONS: The NSI technique is a clinically
useful application, providing objective data calculated individually for each
orbit. A credible protocol for estimating the degree of exophthalmos on the basis
of the NSI technique should include the eye muscle volume, fatty tissue volume
and, in cases where eyeball pathologies coexist, the eyeball volume as well.
PMID- 18058723
TI - A comparison of the effectiveness, tolerability and safety of high and low
carbohydrate diets in women with gestational diabetes.
AB - INTRODUCTION: Nutrition therapy is an integral part of the management of
gestational diabetes mellitus (GDM). Most women with GDM are treated by
nutritional management alone. The goal of our study was to compare low and high
carbohydrate diets in their effectiveness, safety and tolerability in women with
GDM. MATERIAL AND METHODS: The study group consisted of 30 Caucasian women newly
diagnosed with GDM, with a mean age of 28.7 +/- 3.7 years and pregnancy duration
of 29.2 +/- 5.4 weeks. The patients were randomised into two groups: those on a
low and those on a high carbohydrate diet (45% vs. 65% respectively of energy
supply coming from carbohydrates). The presence of urine ketones was controlled
every day. After two weeks daily glucose profiles and compliance with the
recommended diets were analysed. RESULTS: Glucose concentration before
implementation of the diet regimen did not differ between groups. No changes in
fasting blood glucose were noticed in the group that had followed a low
carbohydrate diet, although a significant decrease in glucose concentration was
observed after breakfast (102 +/- 16 vs. 94 +/- 11 mg/dl), lunch (105 +/- 12 vs.
99 +/- 9 mg/dl) and dinner (112 +/- 16 vs. 103 +/- 13 mg/dl) (p < 0.05). In the
high carbohydrate diet group fasting and after-breakfast glucose concentration
did not change. A significant decrease in glycaemia was noticed after lunch (106
+/- 15 vs. 96 +/- 7 mg/dl) and dinner (107 +/- 12 vs. 97 +/- 7 mg/dl) (p < 0.05).
Ketonuria was not observed in either group. Obstetrical outcomes did not differ
between groups. CONCLUSIONS: Both high and low carbohydrate diets are effective
and safe. A diet with carbohydrate limitation should be recommended to women who
experience the highest glycaemia levels after breakfast.
PMID- 18058722
TI - [Analysis of Fas, FasL and Caspase-8 expression in thyroid gland in young
patients with immune and non-immune thyroid diseases].
AB - INTRODUCTION: Apoptosis, programmed cell death is a regulating mechanism enabling
the removal of superabundantly produced and unnecessary at the certain moment
cells. Disturbances of the apoptosis regulation contribute to the pathogenesis of
many diseases, including autoimmune thyroid disorders. The aim of this study was
to estimate expression of proapoptotic Fas/FasL and caspase-8 in thyroid tissues
in patients with Graves' disease (GD), non-toxic nodular goiter (NTNG) and
Hashimoto's thyroiditis (HT). MATERIAL AND METHODS: Inclusion criteria of Graves'
patients were: large goiter, ophthalmopathy, TRAb > 5 U/L, positive titre of anti
TPO and anti-TG antibodies and concentration of TSH < 0.45 microIU/mL for more
the 2-3 months from an onset of the disease. Isolated thyrocytes were identified
by indirect method: in the first stage mouse monoclonal antibodies (mAbs) anti
TPO were bound to rabbit anti-mouse antibodies IgG (Fab')2 labeled FITC. To
obtained cellular suspension mAbs directed against apoptotic Fas/FasL molecules
labeled with PE (Phycoerythrin) was added. All investigations were performed on
Coulter EPICS XL flow cytometer. Detection of apoptotic proteins was confirmed by
Western Blot and immunohistochemistry methods using mAbs in DAB chromogene
visuality and marked by Mayer's haematoxylin. Evaluation of caspase-8 expression
in thyroid follicular cells was performed by Western Blot test. RESULTS: The
analysis of Fas and FasL expression on surface of thyroid follicular cells was
higher in patients with Hashimoto's thyroiditis (38%, 26%) in comparison with
patients with Graves' disease (18%, 14%). In case of patients with Hashimoto's
thyroiditis significantly lower percentage of thyroid tissue infiltrating immune
Fas+ (13%) and FasL+ (22%) T cells in comparison with Graves' patients (33%, 43%
respectively) was observed . Identification of proapoptotic Fas and FasL
molecules in the thyroid follicular cells revealed higher expression of both
proteins in patients with GD (++,++) and HT (+++; +++, respectively) in
comparison with NTNG patients (+/0; +/0). Caspase-8 expression was detected in
band 55 kDa using Western Blot test in patients with thyroid autoimmune diseases.
CONCLUSIONS: We conclude that alteration in the expression of proapoptotic
proteins in thyroid follicular cells may play a role in pathogenesis of thyroid
autoimmune disorders. In addition, suppression of apoptosis in Graves' disease
led to increased proliferation of thyroid follicular cells which is responsible
for goiter formation.
PMID- 18058724
TI - Discovery and uses of pegvisomant: a growth hormone antagonist.
AB - Growth hormone (GH) is a well established participant in several complex
physiological processes including growth, differentiation, and metabolism.
Recombinant human GH is a drug that has been approved for use for several
clinical conditions where the action of GH is diminished or completely lacking.
Thus there is considerable interest in developing novel drugs that modify the
function of GH. Only in the last several decades have the detailed structural
features of GH along with its interaction with its receptor been elucidated. In
this review we summarise the basic structural and functional properties of GH,
its receptor and their interaction. In addition, we discuss the discovery and
development of an effective GH receptor antagonist, pegvisomant, and summarise
potential therapeutic uses of this drug.
PMID- 18058725
TI - [Adipose tissue. Pathophysiology, distribution, sex differences and the role in
inflammation and cancerogenesis].
AB - The role of adipose tissue is energy storage, but there is increasing evidence
that adipocytes and adipokines are involved in metabolic and inflammatory
processes. This paper reviews the pathophysiology of different adipose tissue
depots. Interrelationships between sex hormones, adipose tissue and risk factors
are also discussed. Present study focuses on the effects of adipokines on immune
system and on the mechanisms relating adiposity to cancer risk.
PMID- 18058726
TI - [Atypical clinical presentation of pseudohypoparathyroidism coexisting with
autoimmune disorders].
AB - Pseudohypoparathyroidism includes a genotypically diverse group of syndromes that
resembles primary hypoparathyroidism but is caused by primary resistance to
parathormone. Several variants of pseudohypoparathyroidism have been identified,
and pseudohypoparathyroidism type 1a is the best understood form of the disease.
In many but not all patients, the disease results from heterozygous inactivating
mutations of Gs a, the alpha-subunit of the heterotrimeric stimulatory G-protein.
In this article, we describe the case of a 51-year-old male with clinical picture
of atypical pseudohypoparathyroidism. The coexistence of this disorder with
chronic thyroiditis and pernicious anemia suggested that autoimmune processes
were involved in the mechanism of resistance to parathormone in this patient.
PMID- 18058727
TI - [Consensus of the Polish Society of Endocrinology. Presurgical somatostatin
analogs therapy in acromegaly].
AB - Consensus statement of the Polish Society of Endocrinology, regarding presurgical
somatostatin analogs in acromegaly has been presented. It is suggested to
administer depot somatostatin analog (Octreotide LAR at the dose 20 mg and then
30 mg or equivalent doses of Lanreotide Autogel 90/120 mg every 4 weeks) in order
to normalize or suppress to a maximal extent GH and IGF-1 concentrations. The
period of therapy in case of microadenoma would be at least 3 months (targets:
biochemical improvement, reduced risk of disease's complications, perioperative
risk reduction, inhibition of tumor growth). The period of therapy in case of
macroadenoma would be at least 6 months, until maximal possible reduction of GH
and IGF-1 concentrations (targets: tumor shrinkage, biochemical improvement,
reduced risk of disease's complications, perioperative risk reduction). Using an
uniform approach in a group, as numerous as possible, of treated patients would
allow objective evaluation of long-term efficacy of the treatment.
PMID- 18058729
TI - The importance of presurgical somatostatin analogue therapy in acromegaly.
AB - Different types of treatment, including surgery, medical therapy and
radiotherapy, are possible in achieving control of acromegaly. Of the medical
therapies available, somatostatin analogues are effective in the majority of
patients and can induce pituitary tumour shrinkage. The rationale and outcome of
somatostatin analogue treatment before surgery in patients with acromegaly is
briefly presented. In summary, the benefits of somatostatin analogues given
preoperatively should be considered carefully as optimisation of cardiovascular,
respiratory and metabolic functions is clinically relevant for perioperative
morbidity. Somatostatin analogues also induce significant shrinkage of GH
secreting pituitary tumours, although this does not seem to be helpful in terms
of improved surgical outcome.
PMID- 18058730
TI - The medical treatment of acromegaly.
AB - Acromegaly can be treated with several medical modalities. The growth hormone
(GH) receptor antagonist pegvisomant, in particular, is able to reduce serum
insulin-like growth factor I (IGF-I) concentrations to almost any desired level.
Along with this important achievement come other practical issues. The most
important is that IGF-I also has metabolic actions, especially the control of
serum glucose concentrations. As somatostatin analogues and pegvisomant have
their own intrinsic differential effects on serum GH levels and actions as well
as on serum IGF-I levels and actions, it should not automatically be assumed that
absolute concentrations of these parameters of disease activity reflect the same
levels of action. In the ideal situation we should be able to develop treatment
of specific target levels for both GH and IGF-I that might even be patient
specific as well. To date we have not moved as far as this, but awareness of
treatment-specific differential effects might help us to understand some of the
signs and symptoms that we encounter in acromegalic patients.
PMID- 18058731
TI - [Natriuretic peptides: their role in diagnosis and therapy].
AB - Cardiac natriuretic peptide hormones, atrial natriuretic peptide (ANP) and B-type
natriuretic peptide (BNP), are synthesized and secreted by the heart, producing
several biological effects, such as natriuresis, vasorelaxation and hypotension.
During the last decade these peptides, especially BNP, have received increasing
attention as potential markers of cardiovascular disease. Their measurements can
be used to diagnose heart failure, including diastolic dysfunction, and using
them has been shown to save money. BNP levels can enable the differentiation
between dyspnoic patients secondary to ventricular dysfunction and subjects with
primary respiratory disorders. Moreover, there is good evidence that natriuretic
peptides may have a diagnostic role in arterial hypertension, acute coronary
syndromes, pulmonary hypertension, some valvular heart disease and some disorders
affecting other systems (diabetes or thyroid disorders). In this paper we discuss
the clinical utility of assessment of natriuretic peptide hormones in the
diagnosis of various clinical conditions and their use as pharmacological agents.
PMID- 18058733
TI - [The importance of bisoprolol in prevention of heart left ventricular hypertrophy
in patients with long term L-thyroxin suppressive therapy, after the operation of
differentiated thyroid carcinoma].
AB - INTRODUCTION: Patients with differentiated thyroid carcinoma have to undergo
radical surgical treatment, which includes total thyreoidectomy, radioiodine
therapy and a life-time suppressive therapy with L-thyroxine. The aim of this
study was a prospective evaluation of left ventricular hypertrophy during L
suppressive-thyroxine treatment in patients treated for differentiated thyroid
carcinoma. MATERIAL AND METHODS: The examined group comprised 50 patients with
differentiated thyroid carcinoma, treated by total thyroidectomy and 131I
therapy. Echocardiographic measurements were needed for estimation of left
ventricular mass and its index, according to recommendations of American
Echocardiography Society. RESULTS: During two-years long suppressive therapy we
observed a significant rise in left ventricular mass. In woman group left
ventricular mass was increased from 168+/-39 g to 204+/-45 g (p<0.001) and in men
from 205+/-60 to 320+/-21 g. Likewise, left ventricular mass index was increased
in women group from 96+/-18 g/m(2) to 116+/-25 g/m(2) (p<0.001) and in men group
from 107+/-37 g/m(2) to 158+/-28 g/m(2). Simultaneous treatment with bisoprolol
caused a regression of left myocardial hypertrophy. Already after 6 months of
simultaneous treatment with L-thyroxin and bisoprolol, for left ventricular mass
was reduced to normal: in woman 165+/-35 g, and in men to 178+/-38 g. Analogous
results were obtained left ventricular mass index. After 6 months it was reduced
to 94+/-12 g/m(2) in woman and in men to 132+/-32 g/m(2). CONCLUSIONS: 1. In
differentiated thyroid cancer patients, treated postoperatively with L-thyroxine
suppressive therapy, left ventricular hypertrophy is observed already during the
first year of suppressive therapy and progresses during the next year of
treatment. 2 Addition of a beta-adrenergic antagonist to suppressive doses of L
thyroxine causes a regression of left ventricular hypertrophy, thus, beta
adrenergic antagonists should be administered in this group of patients.
PMID- 18058734
TI - [The thyroid gland function assessment in women after mastectomy and chemotherapy
during breast cancer therapy].
AB - INTRODUCTION: For many years much attention has been focused on an interaction
between the breast disease and the thyroid gland function in the literature. In
those studies the question whether disease changes in the thyroid gland can
induces the breast disease was addressed. On the other hand there are a few works
concerning the inverted question whether the breast cancer therapy, in particular
after mastectomy and chemotherapy, can disturb the thyroid gland function. The
aim of the study is to investigate the influence of the mastectomy and
chemotherapy on the thyroid gland function in women after breast cancer therapy.
MATERIAL AND METHODS: 173 patients aged 30-80 (average 56) were included in this
study. The studied group comprised 97 women after breast cancer therapy (average
age 60). The control group consisted of 76 patients (average age 55). 75 patients
after mastectomy of the studied group were additionally treated with
chemotherapy, but in 22 women chemotherapy was not applied. The following methods
were used to carry out the research: the USG method was applied to evaluate
thyroid morphological condition in women after mastectomy and chemotherapy; the
color Doppler technique was used for dynamic presentation and fine- needle
aspiration biopsy: examination of the thyroid functional state by measuring the
TSH, fT(3), fT(4) hormone concentration and the level of antithyroid antibodies.
RESULTS: An average concentration of antithyroid antibodies: anti-TPO and anti-Tg
was found significantly higher in the studied group of women after chemotherapy,
comparing with the control group. The level of fT(3) hormone concentration was
comparable in all investigated groups. Nevertheless, the average concentration of
TSH was found higher in women after mastectomy and chemotherapy and as a
consequence leading to hypothyroidism. CONCLUSION: Taking into consideration the
high level of the concentration of antithyroid antibodies: (anti-TPO and anti-
Tg), which lead to destruction of the thyroid gland tissue, the thyroid gland
function of the women after mastectomy and chemotherapy should be monitored
morphologically as well as functionally.
PMID- 18058735
TI - [The estimation of the goiter by means of ultrasonography and scintigraphy
(SPECT) with using 131I].
AB - INTRODUCTION: Ultrasonography (US) and scintigraphy (SPECT) are used to evaluate
the volume of thyroid glands. An accurate estimation of the thyroid volume, among
other factors, is necessary to calculate the suitable radioactive iodine dose,
which determines the success of the therapy. The aim of the study was to estimate
the volume of the goiter by means of US and (131)I-SPECT. MATERIAL AND METHODS: A
group of 80 patients with a thyroid disorder in their past medical history was
examined. Patients were divided into two groups. The group A contained 50
patients (39 females, 11 males) with a goiter, where the volume of the thyroid
was evaluated with the use of US and (131)I-SPECT. The group B contained 30
patients (21 females, 9 males) with a normal volume of the thyroid gland shown by
US and (131)I-SPECT. US of the thyroid gland was made by means of an ALOKA SSD
500 device, with the linear head 7.5 MHz frequency. (131)I-SPECT was made by
means of a one head gammacamera Diacam with a high energy collimator. RESULTS: In
the group A, the average volume of the goiter was 44.55 ml in US and 49.67 ml in
SPECT (p<0.001). In control group B the average volumes of the thyroid gland were
respectively 13.83 ml vs. 16.19 ml (p<0.001). CONCLUSION: The results of the
thyroid volume measurement was dependent on the method used in both the
investigated and control groups.
PMID- 18058736
TI - [Pegvisomant--growth hormone receptor antagonist in the treatment of acromegaly].
AB - INTRODUCTION: Pegvisomant, growth hormone (GH) antagonist is a new perspective in
the treatment of acromegaly. Pegvisomant interferes with GH action by competitive
binding to receptor and blocking signal transduction. We present first
experiences with treatment acromegaly with pegvisomant in Poland. Aim of the
study was to assess pegvisomant efficacy in treatment patients with persistent
acromegaly after transspheno-ideal surgery and unsatisfactory disease control
with somatostatin analogue octreotide (OCTR). MATERIAL AND METHODS: Material
consisted of 10 patients (6 M, 4 F) aged 24-48 with active acromegaly, after
neurosurgery, in which OCTR was ineffective in disease control. Patients with
glucose metabolism disturbances were assigned to group receiving PEG. Controls
were matched for age, sex, disease history, GH and IGF-1 levels. Patients
received pegvisomant throughout 12 weeks, then combined therapy with PEG and OCTR
LAR was started for 8 weeks and then OCTR-LAR alone was given for next 8 weeks.
Controls were medicated with OCTR-LAR 30 mg each 4 weeks during study. Clinical
symptoms and IGF-1 level, fasting glucose and HbA(1c) was measured to assess
treatment efficacy. RESULTS: Pegvisomant reduced IGF-1 after first week of
therapy from 1270+/-229 to 759+/-223 (40%, p<0.04). Prolonged therapy led to
further IGF-1 decrease. After 12 weeks of treatment IGF-1 was significantly lower
in comparison to initial as well as to controls (604 mg/l vs. 1270 and 1330,
respectively, p<0.02). Combined therapy with PEG and OCTR-LAR was not superior to
PEG alone. During treatment with pegvisomant improvement of glucose metabolism
was seen, as well as decrease in insulin doses required. No adverse events was
recorded. CONCLUSIONS: Pegvisomant--GH receptor antagonist--effectively lowers
IGF-1 concentration and improves disease control in patients with acromegaly
after unsuccessful surgery and with octreotide unresponsiveness. Significantly
improves glucose metabolism. Pegvisomant is indicated in patients with active
acromegaly after standard treatment failure, especially in cases of coexistent
diabetes mellitus.
PMID- 18058737
TI - [Diagnostic difficulties in adrenal incidentaloma--analysis of 125 cases].
AB - INTRODUCTION: Therapeutic approach to incidentaloma, in spite of existing
algorithms, is not always obvious due to diagnostic difficulties. The aim of the
study was to assess the validity of the initial diagnoses of incidentaloma which
determined the qualification for the operation. MATERIAL AND METHODS: 125
patients hospitalised in the Endocrinology Dept. of the Medical University of
Bialystok in the years 2003-2005 and in the Endocrinology dept. of Voivodeship
Hospital of Bialystok. The patients were clinically and hormonally examined
(metanephrines in daily urine collection, daily cortisol rhythm, short
dexamethasone test, aldosterone, and renin plasma activity, Na, K levels in the
serum) as well as computer tomography of the adrenal glands were performed.
RESULTS: 42 patients were qualified for adrenalectomy. Adenoma was confirmed in
25 patients (in 7 subclinical Cushing syndrome was diagnosed, in 2 Conn disease,
in 16 inactive changes), phaeochromocytoma in 6 patients, cysts in 3, lipoma in
2, carcinoma in one, in 4 patients metastases (in 2 of kidney carcinoma, in 1 of
malignant melanoma and in 1 of planocellular carcinoma) and in one oncocytoma.
Metanephrines urine measurements showed 33% of false positive results.
CONCLUSIONS: Qualification for adrenalectomy requires an assessment of tumor's
enlargement, its tissue density, morphology and growth dynamics. To reduce the
percentage of false positive results of metanephrine measurement there is to
eliminate an influence of some drugs, victuals, beverages and nicotine and
eventually to carry out additional tests. The decision as to proceeding with
adrenal incidentaloma should be individualized based on clinical symptoms,
hormonal tests and tumor morphology.
PMID- 18058738
TI - Plasma orexin and ghrelin response to the oral glucose tolerance test in obese
women.
AB - INTRODUCTION: The aim of the present study was to examine the response of plasma
orexin and ghrelin to the oral glucose tolerance test (OGTT) in obese women
without additional disease. MATERIAL AND METHODS: The study group comprised 15
obese women aged 30.4+/-9.7 years of mean BMI 34.7+/-3.8 kg/m(2). The
measurements were performed after an overnight fast and 30, 60 and 120 minutes
after the oral administration of 75 grams of glucose. Serum concentrations of
ghrelin and orexin A were measured by an enzyme-linked immunosorbent assay
(ELISA) kit. Serum concentrations of insulin were measured by radioimmunoassay
(RIA). Plasma glucose was determined by an enzymatic procedure. Body composition
was determined by impedance analysis using Bodystat. RESULTS: We observed no
significant differences between serum concentrations of ghrelin and orexin during
OGTT. No correlations were found between serum ghrelin and orexin concentrations
and serum insulin and glucose concentrations in any of the measurements.
CONCLUSION: Oral glucose administration did not change serum concentrations of
ghrelin and orexin A in obese women without additional disease.
PMID- 18058739
TI - Oestradiol and tamoxifen inhibit murine Colon 38 cancer growth and increase the
cytotoxic effect of fluorouracil.
AB - The poor efficacy of reference chemotherapy (fluorouracil -FU) in colon cancer
has resulted in a constant search for agents which could augment the action of
FU. Epidemiological data, such as the decreased risk of colorectal cancer among
menopausal women receiving hormonal replacement therapy, indicate the role of
oestrogen in the pathogenesis of this disease. The differences between normal and
neoplastic colon cells in the expression of oestrogen receptor beta (ERbeta)
could confirm this association. However, the direct influence of oestrogen or
tamoxifen (SERM, selective oestrogen receptor modulator) on colon cancer growth
has rarely been studied. The aim of the present study was to examine the direct
effects of various concentrations of oestradiol and tamoxifen (10(-4) to 10(-12)
M), applied alone or together with FU, on the growth of murine Colon 38 cancer in
vitro as assessed by three colorimetric methods: Mosmann's method, incorporation
of BrdU into cell nuclei and the TUNEL method. At high concentrations oestradiol
and tamoxifen decreased the cancer growth in a dose- and time-dependent manner
(the Mosmann and BrdU methods) and at some concentrations augmented the cytotoxic
action of FU (Mosmann's method). Tamoxifen exerted a very early and potent
inhibitory effect, inducing even total cancer growth inhibition at the
concentration of 10(-4) M (the Mosmann and BrdU methods). All the substances
studied at different concentrations and at different incubation time points
increased the apoptosis of tumour cells (the TUNEL method). The results indicate
that oestradiol and tamoxifen inhibit Colon 38 cancer growth and increase the
cytotoxic effect of FU, which confirms the role of sex steroids in colon
carcinogenesis and even suggests new therapeutic schemes.
PMID- 18058741
TI - [Some problems with testosterone determination].
AB - Testosterone is the main male sex hormone which determination is useful for
assessment of androgen status. It seems that serum levels of testosterone, when
assayed by commonly used methods, do not correlate with clinical parameters. One
of the causes may be that these assays are suitable for determination of total
testosterone, but not for measurement of biologically active forms of this
hormone. The aim of this review is to present usefulness of testosterone
measurement and its bioactive forms determination as well as factors influencing
on their levels.
PMID- 18058740
TI - [Corticosteroids effect on angiogenesis in heart muscle].
AB - The process of angiogenesis in a heart muscle is a way of providing the ischaemic
myocardium with oxygen and nutritive ingredients. This natural process called
therapeutic angiogenesis has been tried in the treatment of patients with
coronary artery disease mainly. It has been seen as a chance of an effective
beneficial therapy particularly in these patients for whom pharmacological
treatment is not sufficient and who are disqualified for operative methods such
as the percutaneous coronary angioplasty, or coronary bypass transplantation. The
goal of therapeutic angiogenesis is to stimulate the growth of new capillaries in
a heart and, as a result, to improve the perfusion and function of a heart
muscle. The positive impact of the angiogenesis in a heart muscle is impeded in
patients using corticosteroids in treatment for other illnesses. Corticosteroids
inhibit the angiogenesis process on a cellular and tissue level. They decrease
gene expression for VEGF, iNOS, inhibit the activity of transcriptive factors for
AP-1 and NF(k)B. Corticosteroids cause the degradation of a pericellular matrix,
inhibit the migration of macrophages and inhibit the synthesis of NO and
interleukin. These activities of corticosteroids decrease the number of new
vessels in a ischaemic myocardium and, consequently, worsen vascularization and
progressive hypoxia.
PMID- 18058742
TI - [A case of hypophyseal and pineal germinoma].
AB - A case of 19-year-old male with idiopathic diabetes insipidus diagnosed 9 years
ago. 1.5 years from the onset of the disease vision disturbances, neurologic
deficiencies and symptoms of hypopituitarism showed up. MRI examination revealed
an advanced hypophyseal and pineal gland tumor--germinoma. Total regression was
achieved with radio- and chemotherapy. For 7 years from the end of treatment
patient has not declared any complains except for vision disturbances and
hypopituitarism has been substituted successfully. The case puts on the necessity
of a strict endocrinologic and radiologic follow-up in patients with idiopathic
diabetes insipidus due to the possibility of existing potentially curable disease
ie. intracranial tumor.
PMID- 18058743
TI - [Thyrotropin reference range--should it be changed?].
AB - Current thyrotropin (TSH) reference range established by sensitive assays is from
0.2-0.4 mj.m./l to 4.0-4.5 mj.m./l. Serum TSH reference range was performed using
specimens from healthy volunteers without history of thyroid disease but the
values distribution is not concordant with Gaussian curve and is skewed toward
upper values. It is claimed that upper reference limit for TSH should be declined
because of possible incorporation of individuals with unrecognized chronic
lymphocytic thyroiditis into initial study. American National Academy of Clinical
Biochemistry recommends to examine only euthyroid healthy volunteers without
personal or family history of thyroid dysfunction, visible or palpable goiter,
with no detectable thyroid antibodies measured by sensitive immunoassays and
without any medication except estrogen. Many authors observed that even in such
rigorously selected population the upper limit of TSH does not decrease
significantly. The other possible factors which may influence TSH values are
ethnic features, age, iodine intake, time of phlebotomy or assay sensitivity and
specificity. Furthermore there are no epidemiological data showing adverse
consequences of serum TSH between 3.0 mj.m./l and 5.0 mj.m./l. And because of it
current upper limit for TSH should remain unchanged. However one must realize
that many people with TSH values between 3.0 mj.m./l and 5.0 mj.m./l have
unrecognized chronic lymphocytic thyroiditis and should be followed because of
possible future hypothyroidism. The special care is needed for pregnant women or
those planning to be pregnant.
PMID- 18058746
TI - Endopiriform nucleus connectivities: the implications for epileptogenesis and
epilepsy.
AB - Several anterograde and retrograde tracing studies have provided detailed
information on the afferent and efferent projections as well as the intrinsic
connectivities of the endopiriform nucleus (EN). Here, we summarise EN
connectional data and the principles of their organisation and discuss the role
they may play in the development and spread of epileptic seizures.
PMID- 18058747
TI - Popliteal artery aneurysms: a review.
AB - Popliteal artery aneurysms (PAAs) are the most common form of peripheral arterial
aneurysms. The popliteal artery is the continuation of the femoral artery and
represents the major source of blood to the leg. Thrombus formation as a result
of PAA may reduce blood flow, leading to limb-threatening ischemia and potential
limb amputation. Popliteal artery aneurysms are predominantly seen in males (95
99% of cases), presumably owing to their predisposition for arteriosclerosis,
which is also a major factor for PAA predisposition. Additionally, it is not
uncommon to see an abdominal aortic aneurysm associated with a PAA (30-50% of
cases) or bilateral presentation of PAA (approximately 50% of cases). A
consequence of a PAA and thrombus located in the popliteal fossa is an
inflammatory reaction, potentially involving adjacent structures in the fossa.
This may present clinically as pain in the leg and/or edema. Treatment of PAA
involves either a conservative management protocol or a more aggressive
intervention such as surgery. Proponents of conservative management will regulate
the diameter of the aneurysm by ultrasound, while those in favor of surgical
intervention will repair the aneurysm through a number of open surgical methods
or by endovascular stent grafting. This review summarizes the historical points
related to PAA and analyzes the pertinent anatomical implications, clinical
findings and treatment methods for PAA.
PMID- 18058749
TI - The initial zones of the atrioventricular node: really neglected anatomical
features of potential clinical significance?
AB - The constant evolution of medical knowledge and accompanying development of
diagnostic and treatment possibilities for arrhythmias and conduction
disturbances has reawakened interest in the structure and function of the
conduction system of the human heart, especially in the region of the
atrioventricular (AV) junction and within the junction itself. Of the large
number of studies dealing with the AV junction few focus on the initial zones of
the AV node. These were described for the first time by Tawara in 1906.
Similarly, Anderson et al. distinguished two origins of the AV node, the left one
running towards the basis of the mitral valve and the right one leading towards
the tricuspid valve. The differences in length and scale could be the result of
the adoption of different reference points. The study was carried out on the
material of 50 human hearts, of both sexes and ranging in age from 22 to 93,
which were fixed in 10% formalin and 98% ethanol solution. The tissue obtained
was fixed in the 10% formalin solution and, after being sunk in the paraffin, was
cut into layers of about 10 mum thick. According to the age of the hearts, every
10(th) or 6(th) section was stained by the Masson-Goldner method. The
preparations were examined under a LEICA 2000 and BIOLAR 2 microscope at
magnifications of 2x to 400x. Each of the 50 examined hearts contained the
atrioventricular node and its initial parts. We observed that the initial zone of
the AV node is created by an assembly of cells typical for a conduction system
that can create three groups that are initially independent of each other and are
always arranged around the AV nodal artery. In all the hearts examined we found
at least two initial parts of the node: the superior and inferior. These two
groups were present in 45 hearts (90%). In the last 5 cases (10%) there was also
a middle group. No cases were found either with a single initial group or without
any initial groups. In the sections examined the superior group appeared to be
first in 27 hearts (54%), while in 23 cases (46%) the inferior group was first.
The length of each group was measured from its first appearance to its first
direct contact with the second part. The length of the superior part varied from
0.15 to 2.91 mm (mean 0.90 +/- 0.6 mm), the inferior from 0.11 to 2.41 mm (mean
0.88 +/- 0.6 mm) and the middle from 0.67 to 2.21 mm (mean 1.04 +/- 0.7 mm). As
mentioned above, in all 50 hearts there was a direct connection between the
atrial muscle and the upper origin of AV node. Furthermore, in all sections
(100%) the same part of the interatrial septal muscle was connected to the
compact part of the node. Additionally, in 3 cases (6%) we were able to observe
direct connections between the muscle fibres running from the fasciculus limbicus
inferior to the initial zone of the AV node: in 2 cases (4%) with the superior
group and in 1 case (2%) with the inferior group. In 8% of the material the
atrial muscle of the supra-orificial zone made direct contact with the superior
initial group and the compact zone of the node and in 10% there was contact
between the suborificial muscle and the inferior group and the compact part of
the node. This configuration was not observed in relation to the middle and
inferior groups.
PMID- 18058748
TI - Intestinal malrotations: a review and report of thirty cases.
AB - Intestinal malrotation is a developmental anomaly affecting the position and
peritoneal attachments of the small and large bowels during organogenesis in
foetal life. It has been defined as absent or incomplete rotation and fixation of
the embryonic gut around the superior mesenteric artery. In the present paper, we
review the definition, history, embryology/aetiology, epidemiology, symptoms and
signs, diagnosis and treatment of intestinal malformations. Moreover, we report
the records of 30 cases of malrotation admitted to our department over a period
of five years. The final intraoperative diagnosis of the cases presented was
53.3% pure malrotation, 33.3% malrotation with mid-gut volvulus, 6.7% malrotation
with duodenal atresia, 3.3% malrotation with Meckel's diverticulum and duodenal
atresia, and 3.3% malrotation and biliary atresia. Preoperative imaging studies
were performed for 27 cases and surgical management was successfully conducted
without any mortality among the cases studied. This article provides an overview
of basic and clinical aspects of intestinal malrotation. In addition, the signs
and symptoms, imaging findings, and final intraoperative diagnoses presented by
the subjects reported on are of potential use and clinical interest.
PMID- 18058750
TI - The femoral artery and its branches in the baboon Papio anubis.
AB - The aim of the research was to examine the anatomy of the arterial system in the
inguinal region, hip and thigh of Papio anubis. No description of this was found
in the available scientific literature, although, at the same time, the baboon is
considered to be a good animal model in biomedical research. Macroscopic
anatomical research was carried out on 20 hind limbs (10 cadavers: 9 male and 1
female) of adult Papio anubis and the results were then compared with the anatomy
of the arterial hind limb systems of other apes as described in the literature.
The circulatory system of the whole body was filled with coloured latex via the
common carotid artery and internal jugular vein, and traditional methods were
then used to prepare the vessels. The arterial system in the hind extremity of
Papio anubis was recorded. The anatomical names of human arteries were used as
well as the names of those of apes as applied in the literature. The femoral
artery was the only artery supplying the hind limb of Papio anubis. It started
under the inguinal ligament as a continuation of the external iliac artery. It
went down and divided into the popliteal artery, which coursed in the popliteal
fossa, and the saphenous artery, which passed on the medial side of the thigh and
leg. The number of smaller branches and the way in which they issued from the
larger arteries were documented. The external diameter and length of the hind
limb arteries were measured. It was observed that the cutaneous branches of the
femoral artery supplied the inguinal and genital regions and the abdominal wall,
while the deep artery of the thigh was the main vessel of the hip and thigh.
PMID- 18058751
TI - Distribution of neuronal nitric oxide synthase (nNOS)-immunoreactive elements in
the rabbit piriform cortex.
AB - The piriform cortex (PC), the primary olfactory cortex, is involved in the
processes of learning and stress response and possibly plays an important role in
epileptogenic activity. The results of several recent studies suggest that those
PC neurons that contain neuronal nitric oxide synthase (nNOS) may play a key role
during spatial learning and in the modulation of initiation, propagation and
generalisation of seizures in various experimental models and may influence
neuronal vulnerability after epileptic insults. The aim of this study was to
characterise the pattern of distribution and morphology of nNOS-immunoreactive
elements in PC of the adult rabbit. The co-localisation of nNOS and calretinin
(CR) was also studied. The pattern of nNOS-ir within the rabbit PC is similar to
that described previously in other mammals. The morphology of nNOS-ir elements,
namely varicose fibres and Cajal-Retzius cells, suggest that NO has an important
influence on PC function. Surprisingly, in the rabbit PC nNOS-ir elements show a
very low level of co-localisation with CR-ir.
PMID- 18058752
TI - The valve of the superior vena cava--the supernumerary structure of the precaval
segment of the crista terminalis.
AB - The primitive right sinuatrial valve persists in humans as the crista terminalis,
the valve of the inferior vena cava and the valve of the coronary sinus, while
according to the known data the primitive left sinuatrial valve is supposed to
have no derivatives. Ten human right atria were opened with intercaval incisions
and the precaval segment of each crista terminalis was studied macroscopically.
Three specimens did not present any peculiarities at this level, but the other 7
had sagittal muscle bundles and supernumerary valves in individual arrangements.
Supernumerary valves were present in 2 specimens, one complete and the second
fenestrated; these valves were located immediately below the superior vena cava
orifice and covered the medial end of the crista terminalis. The supernumerary
valves at the superior vena cava orifice may be termed, mirroring that of the
inferior vena cava, "valves of the superior vena cava". Their exact frequency of
occurrence and their embryonic precursors must be further established. The
presence of such valves in the right atrium may interfere with the flow to the
right side of the heart, may represent conditions for thrombotic changes and may
disturb a central venous catheter placement. If present, the valve of the
superior vena cava will also interfere with the catheter ablation procedures used
for supraventricular tachycardia.
PMID- 18058753
TI - Anatomical localisation of the marginal mandibular branch of the facial nerve.
AB - The marginal mandibular nerve was studied post mortem in 50 human subjects. The
nerve was found to be presented by one branch (32%), two branches (40%) and three
branches (28%). The relationship of the nerve to the lower border of the mandible
was variable: it was either totally above the lower border of the mandible (28%),
below the mandible (44%) or in 28% of cases lying above and below it. The branch
which lay below the lower border of the mandible crossed it opposite the masseter
muscle or opposite the facial artery or else anterior to the facial artery. The
branches which lay above the lower border of the mandible were always deep into
the superficial layer of the parotid fascia, while below the mandible all the
branches ran intrafascially. The termination of the nerve was always deep to the
muscles of the lower lip. The results of the present study were discussed and
correlated with the results of other authors from the anatomical and surgical
points of view. It is concluded that the lower border of the mandible can serve
as an important landmark to help avoid injury to the nerve; above it a
subplatysmal flap is satisfactory but below it a subfascial flap is much safer.
PMID- 18058754
TI - The morphology and distribution of the tendinous chords and their relation to the
papillary muscles in the tricuspid valve of the human heart.
AB - The tendinous chords of the tricuspid valve are the predominant type of
connection between the papillary muscles and the tricuspid valve. Studies
describing the evolutionary line of these connections are well known. The
flexibility of particular leaflets of the tricuspid valve varies, as does the
tension of the blood stream in particular cusps. The present study was performed
on a group of 96 formalin-fixed adult human hearts, which ranged in age from 18
to 90 years and gave no evidence of congenital malformations or pathological
changes. The valves were divided into five types according to earlier studies and
analysis was made in terms of these types. The tendinous chords and their
ramifications were counted. The surfaces of particular leaflets were measured.
The ratio of marginal to ventricular leaflets was counted for each type of
leaflet in particular types of valves. The parts of the main leaflets supported
by specific papillary muscles were counted for types 1, 2 and 3. The number of
tendinous chords decreased in leaflets in particular types of tricuspid valve,
but the ratio of chords attached to the margins and ventricular surfaces was
similar. The number of chords for the surface of leaflets (measured in mm2) was
similar in particular types of valve for all cusps. The most differentiated were
commissural chords in all types of valve. The ratio of chords attached to the
margins and ventricular surfaces does not depend on the surface area of the
leaflets.
PMID- 18058755
TI - The morphometry of the accessory leaflets of the tricuspid valve in a four
cuspidal model.
AB - The tricuspid valve is of great importance because of the progress made in
operative techniques and invasive cardiology accidents. This structure is more
differentiated during evolution than the mitral valve. Accessory leaflets, their
frequency and role are still controversial, despite the fact that they have been
known from the beginning of the 20th century. The number of leaflets in the
tricuspid valve grows in an evolutionary line, but the rules governing their
appearance are still not known. The samples were taken from a group of 107 human
adult hearts. The four-cuspidal form of the tricuspid valve was used as the
simplest model to show the appearance of accessory leaflets for anatomical and
statistical examination. On the basis of the results of this study we conclude
that the separation of accessory leaflets is a complex process.
PMID- 18058756
TI - Skeletal units of the human embryonic mandible.
AB - The development of the mandible was traced on serial sections of 20 human embryos
aged 5-8 weeks (developmental stages 13-23). Special consideration was given to
the differentiation of skeletal units proposed by Sperber. The first skeletal
units, namely the mandibular body, the alveolar unit and the condylar unit, may
be distinguished in the 7(th) week. The primordia of all units are identified by
the end of the embryonic period (8 weeks).
PMID- 18058757
TI - Morphological apsects of the coronary artery in the neonates.
AB - Knowledge of the morphometric quantities of the coronary arteries in neonates is
an increasingly vital component in the management of congenital and acquired
heart disease. Because of the considerable heterogeneity of coronary vasculature,
what is considered atypical and aberrant or insignificant anatomy is often
unclear. The purpose of our present study is to define the normal anatomy of
neonates. This was done by focusing on segment analysis of the coronary arteries,
which was used to obtain accurate definitions of the length and diameter of the
coronary network. The lengths, widths and numbers of collateral branches of the
coronary arteries of neonates were measured. The coronary vessels of 50 neonate
hearts were visualised post mortem by injection of the coronary arteries with
opaque X-ray dye for the imaging study. Black ink cast and silver impregnation
specimens were also studied. The longest segment of the circumflex branches of
the left coronary arteries was the first, the lengths measuring 7188.5 +/- 839.6
microm and the diameters 850 +/- 90.8 mum. The lengths of segments II, III and IV
were 5780 +/- 1182.7 microm, 5397.5 +/- 2070.2 microm and 6932.8 +/- 2236.5
microm and the diameters were 680 +/- 90.8 microm, 510 +/- 90.8 microm and 408 +/
77.58 microm respectively. The longest segment of the anterior interventricular
branches of the left coronary arteries was the first, with lengths of 10151.4 +/-
1298.6 microm and diameters of 1141.9 +/- 82.1 microm. The lengths of segments
II, III and IV were 8208.5 +/- 1222.3 microm, 3278.5 +/- 602.4 microm and 5370 +/
1657.6 mum and the diameters were 971 +/- 82.1 microm, 801.42 +/- 82.1 microm
and 631.4 +/- 82.1 microm respectively. The lateral branches were increased in
number in the fourth segment. Its diameters ranged from 157.8 +/- 31.7 microm to
655.7 +/- 99.7 microm. The main branch of the right coronary artery was short at
the base of the heart. In the newborn the lateral branches of the right coronary
artery were short, scattered and curved. Analysis of the data suggests a new
anatomical system for classifying the vasculature of the coronary arteries in
neonates.
PMID- 18058758
TI - A novel formula for the classification of blood vessels according to symmetry,
asymmetry and hypoplasia.
AB - A new mathematical formula for calculating the symmetry, asymmetry and hypoplasia
of blood vessel segments is presented for discussion. The study was conducted
using the computed tomography (CT) files from 80 patients (34 men and 46 women)
from the Silesian University Hospital, Silesia, Poland, who were between the ages
of 12 to 76 and had undergone CT angiography of the circle of Willis. With the
use of Gradual Angiographic Image Data Analyser software and double shuttled
glasses, CT files were reconstructed. In addition, 80 renal arteries (RAs) from
spontaneously aborted foetuses ranging in age from 14 to 30 weeks (24 male and 16
female) were injected with latex and also included in the study. Digital images
of the RAs were taken using a Camedia 4040 camera and analysed using original
analysis software. A novel formula entitled the Vascular Asymmetry Coefficient
(VAC) was derived for this purpose and displays the differences between the mean
diameters of blood vessel segments expressed as a percentage of the wider vessel
with respect to the major diameter. The asymmetrical classification for a
vascular segment of a vessel is given when the difference between the mean
diameters of the vascular segment, as represented by the wider vessel, is greater
than VAC > 10%. The hypoplastic classification is reserved for blood vessels
where the difference between the diameter of the two segments is expressed as a
percentage of the wider vessels and is greater than VAC > 40%. While there have
been inconsistent and arbitrary classifications for the qualitative criteria of
blood vessels, this newly presented algorithm can be used as a standardised tool
and has a considerable range of uses, particularly when comparing blood vessel
symmetry, asymmetry and hypoplasia prior to bifurcation, and unification.
PMID- 18058759
TI - Factors affecting mandibular residual ridge resorption in edentulous patients: a
preliminary report.
AB - The aim of the study was to assess the rate of mandibular residual ridge
resorption in edentulous patients and to analyse the factors which determine this
process. A group of 35 edentulous patients aged between 51 and 89 years, with a
mean age of 74 (65-78) years, were included in the study. Individual factors
(age, gender and duration of edentulousness), local biochemical parameters (oral
hygiene and history of periodontal diseases) and systemic biochemical parameters
(calcium and phosphate metabolism, diet, smoking, alcohol intake and systemic
diseases), as well as physical factors involved in the process (the use of
prosthetic dentures), were analysed. The study was performed on the basis of the
results of dental examinations, assessment of panoramic radiograms, research
surveys and total calcium and phosphate serum levels, which were determined using
a calorimetric method. Bone resorption in the mandible affected all the study
patients to varying extents. More than half the edentulous patients showed a high
degree of mandibular residual ridge resorption. The duration of mandibular
edentulism was found to be closely associated with values of the radiological
IC/IM index, which is generally considered to reflect the degree of mandibular
resorption. Total calcium serum levels were shown to correlate positively with
the value of IC/IM index in these patients.
PMID- 18058760
TI - A case of multiple abnormalities of the azygos venous system: a praeaortic
interazygos vein.
AB - The posterior thoracic wall, an area drained by the azygos venous system, is a
common site for surgical intervention. Since the venous part of the
cardiovascular system is subject to most common variation, abnormalities in the
azygos venous system are often reported. Some of the anatomical variants have
significant clinical implications for computed tomography image assessment and
mediastinal surgery. During dissection of the posterior mediastinum in a 76 year
old Caucasian male cadaver we found a rare variation in the azygos venous system.
The hemiazygos vein drained the left 9th to 11th left posterior intercostal
veins. While passing ventrally to the aorta at the level of the body of the
eighth thoracic vertebra it was joined by two separate vessels found to be the
continuations of the 7th and 8th left posterior intercostal veins. The resultant
dilated vessel, termed the "interazygos vein", then opened into the azygos vein
on the right side of the vertebral column. Variation in the azygos venous system
has often been reported, but the abnormality observed by us appears to be
extremely rare. The interazygos vein passing ventrally to the aorta may mimic
enlarged lymph nodes and cause misinterpretation of a computed tomography image
or, if accidentally damaged during mediastinal surgery, may lead to
intraoperative haemorrhage. To the best of our knowledge this report provides new
data of potential clinical significance.
PMID- 18058761
TI - An unusual union of the intercostobrachial nerve and the medial pectoral nerve.
AB - Variations in the branching pattern of the intercostobrachial nerve have been
known to complicate dissection during mastectomy and other procedures involving
the axilla. We present the case of an 87-year-old Caucasian female, in whom the
intercostobrachial nerve joined with a separate branch from the medial pectoral
nerve. The clinical consequences of such a variation may include pectoral muscle
motor loss, in addition to the commonly reported sensory loss resulting from the
accidental injury or intentional sacrifice of the intercostobrachial nerve during
axillary dissection.
PMID- 18058762
TI - In memory of Professor Jozef Markowski, an anatomist from Lvov.
PMID- 18058763
TI - Recent advances in CE and CEC of pollutants.
AB - Recent advances in CE and CEC separation, detection and sample
preparation/preconcentration methodologies, for the determination of a variety of
compounds having current or potential environmental relevance, have been
overviewed. The reviewed literature has illustrated the wide range of CE
applications available, indicating a continuing interest in CE and CEC in the
environmental field. New developments in chip-based CE systems are also
discussed.
PMID- 18058764
TI - Capillary electrophoresis of proteins 2005-2007.
AB - This review article with 239 references describes recent developments in
capillary electrophoresis of proteins, and covers the two years since the
previous review (V. Dolnik, Electrophoresis 2006, 27, 126-141) through spring
2007. It includes topics related to CE of proteins, such as sample pretreatment,
wall coatings, improving separation, various forms of detection, and special
electrophoretic techniques including ACE, CIEF, capillary ITP, and CEC. The paper
describes applications of CE to analysis of proteins in real-world samples
including human body fluids, food and agricultural samples, protein
pharmaceuticals and recombinant protein preparations.
PMID- 18058765
TI - Recent advances in the applications of CE to forensic sciences (2005-2007).
AB - The present article reviews the applications of CE in forensic science covering
the period from 2005 until the first part of 2007. The overview includes the most
relevant examples of analytical applications of capillary electrophoretic and
electrokinetic techniques in the following fields: (i) forensic drugs, toxicants
and dyes, (ii) small ions of forensic interest (iii) explosives, (iv) forensic
DNA, and (v) other biopolymers of forensic interest.
PMID- 18058766
TI - Advances in CE for kinetic studies.
AB - CE is a promising technique for the investigation of molecular interactions
because it affords evaluation of multiple interaction modes, does not require
immobilization of molecules, and has no dead time. In order to perform these
investigations, numerous methods have been developed for determining binding
constants and other thermodynamic parameters. These methods have been reviewed
extensively in recent years. However, methods for determining the rates of
reaction are less prolific. Nonetheless, numerous theoretical and experimental
advances have been made in recent years to address this discrepancy. Some of
these methods employ computer simulations to determine first-order or second
order rate constants numerically, whereas other methods calculate rate constants
directly as solutions to analytical equations. It is the object of this review to
provide descriptions of these methods in terms of their underlying assumptions,
experimental methodology, calculation of rate constants, and inherent
limitations.
PMID- 18058767
TI - Design and applications of coupled SPE-CE.
AB - CE suffers from an inherent low concentration sensitivity. Analyte detection
limits can be improved by combining CE with SPE. This paper presents an overview
of coupled SPE-CE systems that have been reported in literature. Attention is
paid to fundamental aspects of coupling SPE and CE, as well as to important SPE
requirements. Interfaces for inline and online coupling with CE are critically
discussed, and their mode of operation is outlined. Advantages and limitations of
the interfaces are discussed and typical examples are selected. Finally, some
future developments are discussed.
PMID- 18058768
TI - Joule heating in electrokinetic flow.
AB - Electrokinetic flow is an efficient means to manipulate liquids and samples in
lab-on-a-chip devices. It has a number of significant advantages over
conventional pressure-driven flow. However, there exists inevitable Joule heating
in electrokinetic flow, which is known to cause temperature variations in liquids
and draw disturbances to electric, flow and concentration fields via temperature
dependent material properties. Therefore, both the throughput and the resolution
of analytic studies performed in microfluidic devices are affected. This article
reviews the recent progress on the topic of Joule heating and its effect in
electrokinetic flow, particularly the theoretical and experimental
accomplishments from the aspects of fluid mechanics and heat/mass transfer. The
primary focus is placed on the temperature-induced flow variations and the
accompanying phenomena at the whole channel or chip level.
PMID- 18058769
TI - Recent innovations in protein separation on microchips by electrophoretic
methods.
AB - Microchips for analytical purposes have attracted great attention over the last
20 years. In the present review, we focus on the most recent development of
microchips for electrophoretic separation of proteins. This review starts with a
short recalling about the microchips covering the basic microchip layout for CE
and the commercial chips and microchip platforms. A short paragraph is dedicated
to the surface treatment of microchips, which is of paramount importance in
protein analysis. One section is dedicated to on-line sample pretreatment in
microchips and summarizes different strategies to pre-concentrate or to purify
proteins from complex matrixes. Most of the common modes used for CE of proteins
have already been adapted to the chip format, while multidimensional approaches
are still in progress. The different routes to achieve detection in microchip are
also presented with a special attention to derivatization or labeling of
proteins. Finally, several recent applications are mentioned. They highlight the
great potential of electrophoretic separations of proteins in numerous fields
such as biological, pharmaceutical or agricultural and food analysis. A
bibliography with 151 references is provided covering papers published from 2000
to the early 2007.
PMID- 18058770
TI - Electrokinetic-based injection modes for separative microsystems.
AB - Miniaturization of analytical instruments has attracted a wide interest in
Analytical Chemistry over the past decade because of the advantages such as
reduced reagent consumption and shorter analysis time. For chips involving
separation, injection is a key step to achieve efficient and sensitive analysis.
Electrokinetic injection mode is mostly used in chips because it is easier to
generate flow motion in microsystems via electric potential control at channel
extremities than pressure-driven flow. The injection step usually involves
several intersecting channels. For each injection design, different injection
modes can be done, depending on electric field sequences and distributions. This
paper is an up-to-date review of these different modes on a chip.
PMID- 18058771
TI - Trends in analysis of explosives by microchip electrophoresis and conventional
CE.
AB - The continuous upsurge in terrorist activity has generated tremendous demand for
innovative tools capable of detecting nitrated organic, inorganic, and peroxide
based explosives. This article directly extends the previous exhaustive review
(Electrophoresis 2006, 27, 244-256) and overviews the research activity in the
field of microchip and conventional capillary electrophoresis for analysis of a
variety of explosive compounds and mixtures in the past two years (middle 2005
middle 2007), with the focus on world-to-chip interfaces and detection
techniques.
PMID- 18058772
TI - Recent advances in amino acid analysis by CE.
AB - This paper describes a number of articles that have been published on amino acid
(AA) analysis using CE during the period from June 2005 to May 2007. This review
article follows the format of the previous articles of Smith (Electrophoresis
1999, 20, 3078-3083), Prata et al.. (Electrophoresis 2001, 22, 4129-4138), and
Poinsot et al.. (Electrophoresis 2003, 24, 4047-4062 and Electrophoresis 2006,
27, 176-194). Several new developments in AA analysis with CE are reported
describing the use of laser-emitting diodes for LIF, MS, and chips. In addition,
we describe articles concerning clinical studies and neuroclinical applications.
PMID- 18058773
TI - Catalytic promiscuity in the alpha/beta-hydrolase superfamily: hydroxamic acid
formation, C--C bond formation, ester and thioester hydrolysis in the C--C
hydrolase family.
AB - The haloperoxidase family of alpha/beta-hydrolases contains enzymes of several
different catalytic activities, including esterases, C--C hydrolases and cofactor
independent haloperoxidases (perhydrolases), but the molecular basis of this
catalytic promiscuity is not fully understood. The C--C hydrolase enzyme MhpC
from E. coli is shown to possess esterase and thioesterase activity, and the
ability to activate hydroxylamine as a nucleophile to form hydroxamic acid
products. The ratio of these activities was examined for nine site-directed
mutant enzymes that contained mutations at nonessential residues in the enzyme
active site. Higher levels of esterase and thioesterase activity were found in
mutants Phe173Gly and Trp264Gly; this might be due to increased amounts of space
in the active site. Higher levels of hydroxamic acid formation activity were
found in mutant Asn109His-a mutation found in many haloperoxidase enzymes. Wild
type and mutant MhpC enzymes were also capable of C--C bond formation in organic
solvents, and the highest activity was observed in nonpolar solvents. The results
provide experimental support for the catalytic promiscuity shown in this family
of enzymes, and indicate that differences in catalytic function can be introduced
by point mutations.
PMID- 18058774
TI - Head-to-tail cyclized cystine-knot peptides by a combined recombinant and
chemical route of synthesis.
PMID- 18058775
TI - A very stable cyclic DNA miniduplex with just two base pairs.
PMID- 18058776
TI - A tunable, chemoselective, and moldable biodegradable polyester for cell
scaffolds.
PMID- 18058777
TI - Efficient singlet-state deactivation of cyano-substituted indolines in protic
solvents via CN--HO hydrogen bonds.
AB - The photophysical properties of indoline (I) and three of its derivatives,
namely, N-methylindoline (MI), 5-cyanoindoline (CI), and 5-cyano-N-methylindoline
(CMI), are studied in H-donating solvents of varying polarity. Based on
measurements of fluorescence yield and lifetime, and of triplet yield and
hydrated-electron formation, two distinct mechanisms of solvent-induced
fluorescence quenching are evidenced. The first mechanism involves the cyano
substituent and leads to an increase in the rate constant of internal conversion
of one order of magnitude in ethanolic solution and of more than two orders of
magnitude in water, as compared to solutions in n-hexane or acetonitrile. A
similar trend had previously been observed in the case of 4-N,N
dimethylaminobenzonitrile (DMABN). The second mechanism reduces the fluorescence
lifetimes of the non-cyanated derivatives in aqueous solution by one order of
magnitude and is related to the formation of hydrated electrons. Neither of these
mechanisms is influenced by methylation at the ring nitrogen. Quantum chemical
calculations are performed on the ground and excited states of the hydrogen
bonded complexes between protic solvents and MI as well as CMI. Stable hydrogen
bonded configurations involving the CN substituent and a solvent OH group are
found; these configurations are stable both in the ground and the first excited
singlet states, whereas the corresponding complex at the ring amino nitrogen is
stable in the ground state only. The CN--HO configuration is therefore a prime
candidate for a mechanistic explanation of the observed quenching by the first
mechanism. These findings may have useful applications for the design of
fluorescence probes for water in biological systems.
PMID- 18058778
TI - Polyoxomolybdate-stabilized Ru(0) nanoparticles deposited on mesoporous silica as
catalysts for aromatic hydrogenation.
AB - We use polyoxometalates as precursors for the preparation of heterogeneous
catalysts. In the starting molecular precursor
[{Ru(C6Me6)}(2)Mo5O18{Ru(C6Me6)(H2O)}], three ruthenium arene fragments are
supported on a formally lacunary Lindqvist-type polyoxomolybdate. This species
was introduced by incipient wetness impregnation into the porosity of a SBA-15
type mesoporous silica. The evolution of the system under reducing atmosphere is
followed by several methods, such as temperature-programmed reduction (TPR),
Raman, and X-ray absorption spectroscopy (XAS). The results indicate that the
polyoxometalate structure is retained after grafting on silica and allows the
stabilization of Ru(0) nanoparticles after reduction. The resulting system
exhibits interesting catalytic activity in benzene hydrogenation.
PMID- 18058779
TI - Extending the light-harvesting properties of transition-metal dendrimers.
AB - We report a study of the electronic energy-transfer dynamics within the
transition-metal polypyridine complex OsRu3pyr6 (Os[(dpp)Ru(bpy{pyrene})2]3(8+),
where dpp=2,3-bis(2'-pyridyl)pyrazine and bpy=2,2'-bipyridine) after excitation
with UV light. By using a broadband visible femtosecond probe, we are able to
simultaneously detect both the energy transfer from the peripheral aromatic
ligands to the Os center and the sub-picosecond energy transfer from the
initially excited Ru-bpy ligand-centered state to the Os triplet metal-to-ligand
charge-transfer (MLCT) state. Pyrene energy transfer occurs from both the
nonrelaxed and the relaxed S(1) states on timescales of 6 and 45 ps,
respectively. In both cases, the energy transfer is described by means of Forster
energy transfer theory. Sub-picosecond energy transfer within the OsRu3 metal
ligand core most likely includes a direct energy transfer between the higher
lying ligand-centered states on Ru and Os, in addition to the transfer between
the MLCT states. The absorption cross-sections in both the UV and the visible
spectral regions are enhanced by attaching the aromatic pyrene ligands.
Furthermore, energy transfer is directed only towards the Os core, which
ultimately leads to an exclusive population of the Os-based triplet MLCT state,
thus making the OsRu3pyr6 transition metal-polypyridine dendrimer an obvious
candidate for artificial light-harvesting systems.
PMID- 18058780
TI - Asymmetric synthesis and receptor pharmacology of the group II mGlu receptor
ligand (1S,2R,3R,5R,6S)-2-amino-3-hydroxy-bicyclo[3.1.0]hexane-2,6-dicarboxylic
acid-HYDIA.
AB - The asymmetric synthesis and receptor pharmacology of (1S,2R,3R,5R,6S)-2-amino-3
Hydroxy-bicyclo[3.1.0]hexane-2,6-dicarboxylic Acid (+)-9 (HYDIA) and a few of its
O-alkylated derivatives are described. The key step of the synthesis utilizes
Sharpless' asymmetric dihydroxylation (AD-beta) for the kinetic resolution of a
bicyclic racemic precursor olefin. In contrast to the bicyclic glutamate analogue
LY354740, which is a potent and selective agonist for the group II metabotropic
glutamate receptors (mGluRs), these new conformationally restricted and also
hydroxylated or alkoxylated glutamate analogues are potent and selective
antagonists for the group II mGluRs.
PMID- 18058781
TI - ESI-MS studies on prolyl hydroxylase domain 2 reveal a new metal binding site.
PMID- 18058782
TI - D-SAP: a new, noncytotoxic, and fully protease resistant cell-penetrating
peptide.
AB - Protease resistant cell-penetrating peptides (CPPs) are promising carriers for
drugs unable to cross the cell membrane. As these CPPs are stable in vivo for
much longer periods of time compared to other classes of therapeutic peptides,
noncytotoxicity is a property sine qua non for their pharmacological development.
Described herein is a fully protease resistant CPP that is noncytotoxic at
concentrations up to 1 mM. Proteolytic stability was obtained by chiral inversion
of the residues of a known self-assembling CPP-from all L-amino acids to all D
amino acids-and then assessed against trypsin and human serum. Circular dichroism
studies confirmed the enantiomeric structure of the analogue, and transmission
electron microscopy (TEM) studies indicated that the new inverso analogue retains
the ability of the original peptide to self-assemble. The results of uptake
experiments indicate that the protease-stable (that is, D-amino acid) analogue of
the peptide is internalised by cells to the same extent as the protease
susceptible (that is, L-amino acid) parent peptide. Also reported herein are the
results of studies on the cellular internalisation mechanism of the all-D
analogue, which reveal the steps followed by the peptide upon its entry into the
cell.
PMID- 18058783
TI - Thermally responsive supramolecular nanomeshes for on/off switching of the rotary
motion of F1-ATPase at the single-molecule level.
AB - The artificial regulation of protein functions is essential for the realization
of protein-based soft devices, because of their unique functions conducted within
a nano-sized molecular space. We report that self-assembled nanomeshes comprising
heat-responsive supramolecular hydrogel fibers can control the rotary motion of
an enzyme-based biomotor (F(1)-ATPase) in an on/off manner at the single-molecule
level. Direct observation of the interaction of the supramolecular fibers with a
microbead unit tethered to the F(1)-ATPase and the clear threshold in the size of
the bead required to stop ATPase rotation indicates that the bead was physically
blocked so as to stop the rotary motion of ATPase. The temperature-induced
formation and collapse of the supramolecular nanomesh can produce or destroy,
respectively, the physical obstacle for ATPase so as to control the ATPase motion
in an off/on manner. Furthermore, this switching of the F(1)-ATPase motion could
be spatially restricted by using a microheating device. The integration of
biomolecules and hard materials, interfaced with intelligent soft materials such
as supramolecular hydrogels, is promising for the development of novel semi
synthetic nano-biodevices.
PMID- 18058784
TI - Thiourea-enhanced flavin photooxidation of benzyl alcohol.
AB - Upon irradiation, flavin oxidises 4-methoxybenzyl alcohol to the corresponding
aldehyde using aerial O(2) as the terminal oxidant. We have observed that this
reaction is significantly accelerated by the presence of thiourea. A series of
thiourea-functionalised flavins has been prepared from flavin isothiocyanates and
their photocatalytic efficiencies have been monitored by NMR. The alcohol
photooxidation proceeds rapidly and cleanly with high turnover numbers of up to
580, exceeding previously reported performances. A likely mechanistic rationale
for the more than 30-fold acceleration of the photo-redox reaction by thiourea
has been derived from spectroscopic, electrochemical, and kinetic studies. Thus,
thiourea acts as an electron-transfer mediator for the initial photooxidation of
4-methoxybenzyl alcohol by the excited flavins. This mechanism has similarities
to electron-relay mechanisms in flavoenzymes, for which cysteine sulfenic acid
intermediates are proposed. The observation that thiourea mediates flavin photo
redox processes is valuable for the design of more sophisticated photocatalysts
based on Nature's best redox chromophore.
PMID- 18058785
TI - Simulations of p-tert-butylcalix[4]arene with multiple occupancies of small guest
molecules.
AB - Classical molecular dynamics simulations were used to study low-density beta(0)
phase p-tert-butylcalix[4]arene inclusion compounds with multiple calix
occupancies of xenon, carbon dioxide, methane, and hydrogen guest molecules with
guest-host ratios ranging from 1:4 to 4:1. Custom parameterized force fields were
used for the guests and the AMBER force field for the calixarene units was
validated in our previous work (Chem. Eur. J. 2006, 12, 5231). The inclusion
energy and unit cell volume of the calixarene inclusion compound were determined
for various guest occupancies and for occupancies greater than 1:1, strong guest
guest interaction effects are observed. The structure and energetics of the 2:1
CO(2)/beta(0)-phase inclusion compound were compared to those of the low
temperature 2:1 CO(2)/calixarene in which the guest molecules occupy both cage
and interstitial sites.
PMID- 18058786
TI - "Click" dendrimers: synthesis, redox sensing of Pd(OAc)2, and remarkable
catalytic hydrogenation activity of precise Pd nanoparticles stabilized by 1,2,3
triazole-containing dendrimers.
AB - "Click" dendrimers containing 1,2,3-triazolyl ligands that coordinate to
PdII(OAc)2 have been synthesized in view of catalytic applications. Five of these
dendrimers contain ferrocenyl termini directly attached to the triazole ligand in
order to monitor the number of PdII that are introduced into the dendrimers by
cyclic voltammetry. Reduction of the PdII-triazole dendrimers by using NaBH4 or
methanol yields Pd nanoparticles (PdNPs) that are stabilized either by several
dendrimers (G0, DSN) or by encapsulation inside a dendrimer (G1 and G2: DEN), as
confirmed by TEM. Relative to PAMAM-DENs (PAMAM=poly(amidoamine)), the "click"
DSNs and DENs show a remarkable efficiency and stability for olefin hydrogenation
under ambient conditions of various substrates. The influence of the reductant of
PdII bound to the dendrimers is dramatic, reduction with methanol leading to much
higher catalytic activity than reduction with NaBH4. The most active NPs are
shown to be those derived from dendrimer G1, and variation of its termini groups
(ferrocenyl, alkyl, phenyl) allowed us to clearly delineate, optimize, and
rationalize the role of the dendrimer frameworks on the catalytic efficiencies.
Finally, hydrogenation of various substrates catalyzed by these PdNPs shows
remarkable selectivity features.
PMID- 18058787
TI - Encapsulation of fullerenes in a helical PMMA cavity leading to a robust
processable complex with a macromolecular helicity memory.
PMID- 18058788
TI - Are environmentally coupled enzymatic hydrogen tunneling reactions influenced by
changes in solution viscosity?
PMID- 18058790
TI - Effect of Cu(II) on the complex between kanamycin A and the bacterial ribosomal A
site.
AB - The solution structure of kanamycin A interacting with a ribosomal A-site
fragment was solved by transferred-NOE techniques and found to agree with the
structure of the complex observed in the crystal. Despite the fast exchange
conditions found for the interaction, the bound form was identified by NOESY
spectroscopy. At 600 MHz, NOE effects are only observed for the RNA-associated
antibiotic. Dissociation constants were measured by NMR spectroscopy for two
sites of interaction (K(d1)=150+/-40 microM; K(d2)=360+/-50 microM). Furthermore,
the effects of the Cu(II) ion on the antibiotic, on the RNA fragment that mimics
the bacterial ribosomal A site, and on the complex formed between these two
entities were analyzed. The study led to the proposal of a model that localizes
the copper ion within the kanamycin-RNA complex.
PMID- 18058789
TI - Guanidinoneomycin B recognition of an HIV-1 RNA helix.
AB - Aminoglycoside antibiotics are small-molecule drugs that bind RNA. The affinity
and specificity of aminoglycoside binding to RNA can be increased through
chemical modification, such as guanidinylation. Here, we report the binding of
guanidinoneomycin B (GNB) to an RNA helix from the HIV-1 frameshift site. The
binding of GNB increases the melting temperature (T(m)) of the frameshift-site
RNA by at least 10 degrees C, to a point at which a melting transition is not
even observed in 2 M urea. A structure of the complex was obtained by using
multidimensional heteronuclear NMR spectroscopic methods. We also used a novel
paramagnetic-probe assay to identify the site of GNB binding to the surface of
the RNA. GNB makes major-groove contacts to two sets of Watson-Crick bases and is
in van der Waals contact with a highly structured ACAA tetraloop. Rings I and II
of GNB fit into the major groove and form the binding interface with the RNA,
whereas rings III and IV are exposed to the solvent and disordered. The binding
of GNB causes a broadening of the major groove across the binding site.
PMID- 18058791
TI - Elastic modulus variation in mandibular bone: a microindentation study of Macaca
fascicularis.
AB - We characterized the heterogeneous anisotropic elastic properties of mandibular
bone in an adult female specimen of Macaca fascicularis using the technique of
microindentation. This approach used an indenter of known mass and geometry to
sample bone hardness at a spatial resolution in the order of 100 mum. Hardness
values were converted to elastic modulus using empirically derived regression. We
determined properties in alveolar, midcorpus, and basal regions of coronal and
transverse sections taken from multiple locations along the corpus and ramus.
Within sections, we determined properties from endosteal, midcortical, and
periosteal regions. We found regional variations in bone structure, including
bands of orthotropic circumferential lamellar bone at the endosteal and
periosteal corpus base, angular region, and ramus. Transversely isotropic
osteonal bone characterizes the midcortices of alveolar and basal regions, with
many resorption spaces in alveolar regions restricting sampling opportunities.
Regional variations in elasticity include relatively compliant bone in the
anterior corpus and ramus. Basal cortical bone is stiffer longitudinally than
transversely or superoinferiorly, while the evidence for directional dependence
in alveolar bone is equivocal. Alveolar bone appears to be relatively compliant
with respect to bone found in midcorpus or basal regions. Considerable variation
exists in structure and material properties on a highly localized scale, more so
than is discernible through conventional approaches for determining material
property variation.
PMID- 18058792
TI - Pharmacokinetics of selected chiral flavonoids: hesperetin, naringenin and
eriodictyol in rats and their content in fruit juices.
AB - The majority of pharmacokinetic studies of individual flavonoids or after
ingestion of foodstuffs have overlooked the chirality of some of these
xenobiotics. In order to characterize for the first time the stereoselective
pharmacokinetics of three flavonoids, hesperetin, naringenin and eriodictyol were
intravenously administered (20 mg/kg) to male Sprague-Dawley rats, and their
stereospecific content was assessed in various fruit juices. Concentrations in
serum, urine and fruit juices were characterized via HPLC and verified by LC/MS.
Short half-lives (3-7 h) in serum were observed, while a better estimation of
half-life (12-48 h) and the other pharmacokinetic parameters was observed using
urinary data. The three flavonoids are predominantly excreted via non-renal
routes (fe values of 3-7%), and undergo rapid and extensive phase II metabolism.
The (2S)-epimers of the flavonoid glycosides and the S(-)-enantiomers of the
aglycones were predominant and in some instances the organic fruit juices had
higher concentrations than the conventional fruit juices. This study reports for
the first time the stereospecific pharmacokinetics of three chiral flavonoids and
their stereospecific content in fruit juices. It also reports for the first time
the stereospecific pharmacokinetics of flavonoids employing urine as a more
reliable biological matrix.
PMID- 18058793
TI - Changes in Crohn's disease phenotype over time in the Chinese population:
validation of the Montreal classification system.
AB - BACKGROUND: Phenotypic evolution of Crohn's disease occurs in whites but has
never been described in other populations. The Montreal classification may
describe phenotypes more precisely. The aim of this study was to validate the
Montreal classification through a longitudinal sensitivity analysis in detecting
phenotypic variation compared to the Vienna classification. METHODS: This was a
retrospective longitudinal study of consecutive Chinese Crohn's disease patients.
All cases were classified by the Montreal classification and the Vienna
classification for behavior and location. The evolution of these characteristics
and the need for surgery were evaluated. RESULTS: A total of 109 patients were
recruited (median follow-up: 4 years, range: 6 months-18 years). Crohn's disease
behavior changed 3 years after diagnosis (P = 0.025), with an increase in
stricturing and penetrating phenotypes, as determined by the Montreal
classification, but was only detected by the Vienna classification after 5 years
(P = 0.015). Disease location remained stable on follow-up in both
classifications. Thirty-four patients (31%) underwent major surgery during the
follow-up period with the stricturing [P = 0.002; hazard ratio (HR): 3.3; 95% CI:
1.5-7.0] and penetrating (P = 0.03; HR: 5.8; 95% CI: 1.2-28.2) phenotypes
according to the Montreal classification associated with the need for major
surgery. In contrast, colonic disease was protective against a major operation (P
= 0.02; HR: 0.3; 95% CI: 0.08-0.8). CONCLUSIONS: This is the first study
demonstrating phenotypic evolution of Crohn's disease in a nonwhite population.
The Montreal classification is more sensitive to behavior phenotypic changes than
is the Vienna classification after excluding perianal disease from the
penetrating disease category and was useful in predicting course and the need for
surgery.
PMID- 18058794
TI - Recent methodology in the phytochemical analysis of ginseng.
AB - This review summarises the most recent developments in ginseng analysis, in
particular the novel approaches in sample pre-treatment and the use of high
performance liquid-chromatography-mass spectrometry. The review also presents
novel data on analysing ginseng extracts by nuclear magnetic resonance
spectroscopy and high-resolution mass spectrometry (Fourier transform mass
spectrometry) in the context of metabolomics.
PMID- 18058795
TI - A survey of sesamin and composition of tocopherol variability from seeds of
eleven diverse sesame (Sesamum indicum L.) genotypes using HPLC-PAD-ECD.
AB - The objective of this study was to determine the composition and content of
sesamin and desmethyl tocopherols such as alpha-tocopherol (alphaT), delta
tocopherol (deltaT) and gamma-tocopherol (gammaT) in seeds of sesame (Sesamum
indicum L.) for 11 genotypes conserved in the United States Department of
Agriculture (USDA), Agricultural Research Service (ARS) and Plant Genetic
Resources Conservation Unit (PGRCU) in Griffin, Georgia, USA. Seed accessions
studied were collections from eight countries worldwide, including one landrace
from Thailand and two cultivars from Texas, USA. Novel methodologies and
analytical techniques described herein consisted of reverse-phase high
performance liquid chromatography (HPLC) connected in series with two detection
systems specific for each analyte class. Photodiode array detection was employed
for sesamin analysis and electrochemical array detection was used in the
determination of tocopherols. A preliminary study was conducted to assess sesamin
levels in 2003 and tocopherol levels in 2004 from sesame seed samples conserved
at the USDA, ARS and PGRCU. In 2005, sesame seed samples were grown, harvested
and evaluated for sesamin as well as tocopherol levels. The overall results (n =
3) showed that sesamin, alphaT, deltaT and gammaT levels were 0.67-6.35 mg/g,
0.034-0.175 microg/g, 0.44-3.05 microg/g and 56.9-99.3 microg/g respectively,
indicating that the sesame seed accessions contained higher levels of sesamin and
gammaT compared with alphaT and deltaT. Statistical analysis was conducted and
significant differences were observed among the 11 different sesame genotypes.
This suggests that genetic, environmental and geographical factors influence
sesamin and desmethyl tocopherol content.
PMID- 18058796
TI - Profiling of copy number variations (CNVs) in healthy individuals from three
ethnic groups using a human genome 32 K BAC-clone-based array.
AB - To further explore the extent of structural large-scale variation in the human
genome, we assessed copy number variations (CNVs) in a series of 71 healthy
subjects from three ethnic groups. CNVs were analyzed using comparative genomic
hybridization (CGH) to a BAC array covering the human genome, using DNA extracted
from peripheral blood, thus avoiding any culture-induced rearrangements. By
applying a newly developed computational algorithm based on Hidden Markov
modeling, we identified 1,078 autosomal CNVs, including at least two
neighboring/overlapping BACs, which represent 315 distinct regions. The average
size of the sequence polymorphisms was approximately 350 kb and involved in total
approximately 117 Mb or approximately 3.5% of the genome. Gains were about four
times more common than deletions, and segmental duplications (SDs) were
overrepresented, especially in larger deletion variants. This strengthens the
notion that SDs often define hotspots of chromosomal rearrangements. Over 60% of
the identified autosomal rearrangements match previously reported CNVs,
recognized with various platforms. However, results from chromosome X do not
agree well with the previously annotated CNVs. Furthermore, data from single BACs
deviating in copy number suggest that our above estimate of total variation is
conservative. This report contributes to the establishment of the common baseline
for CNV, which is an important resource in human genetics.
PMID- 18058797
TI - The expression of low molecular weight protein tyrosine phosphatase is up
regulated in 1,2-dimethylhydrazine-induced colon tumours in rats.
AB - Recent studies have assessed the role of low molecular weight protein tyrosine
phosphatase (LMW-PTP) in cell transformation and tumour onset and progression,
observing a significant increase in the expression of LMW-PTP mRNA and protein in
human breast, colon, bladder and kidney tumour samples. Moreover, its enhanced
expression is generally prognostic of a more aggressive cancer. To better
understand the role of this protein during colon carcinogenesis and to study
whether its overexpression is also observed in earlier phases of carcinogenesis,
we studied its expression in colon tumours, induced in rats by treatment with 1,2
dimethylhydrazine (DMH), an animal model that resemble the sequential formation
of histopathological lesions of spontaneous carcinogenesis in humans. The results
show a significant increase in LMW-PTP expression in adenocarcinomas, suggesting
that this phenomenon is associated with the onset of malignancy. Moreover a
significant overexpression of LMW-PTP transcript is associated with tumours
originating in the proximal (right) part of the colon, confirming an observation
already reported for human colon cancer.
PMID- 18058798
TI - Tissue factor expression correlates with disease-specific survival in patients
with node-negative muscle-invasive bladder cancer.
AB - Tissue factor (TF), a transmembrane glycoprotein responsible for initiating the
extrinsic pathway of blood coagulation plays a key role in cancer growth,
metastasis and angiogenesis. Various studies have demonstrated the prognostic
potential of TF expression in several cancers. However, its role in bladder
cancer is unclear. This study evaluated the prognostic potential of TF expression
in muscle-invasive bladder tumors from patients treated with radical
cystectomies. Immunohistochemical staining using a monoclonal antibody (mAb) anti
TF was carried out on sections of tissue microarray blocks containing cores of
muscle-invasive bladder tumors (4 cores/tumor) from 218 patients. The intensity
of the staining was evaluated on a scale from 0 to 3 by two independent observers
who were both unaware of the clinicopathological characteristics of the samples.
TF was expressed in 77.6% of tumors, independently from baseline characteristics
(age, gender, stage and grade) as assessed using the chi(2) and Student t tests.
During follow-up (median: 2.6 years), 45.4% of the patients died from the
progression of their cancer. Kaplan-Meier survival showed that among the 103
patients with node-negative (N0) transitional cell carcinoma (TCC), those with TF
positive tumors had shorter bladder cancer-specific survival (p = 0.0276).
Moreover, multivariate Cox regression analysis showed they had a 3.15-fold
greater risk of dying from bladder cancer (95% CI: 1.1-9.0; p = 0.032). In
conclusion, TF expression was an independent predictor of disease-specific
survival in N0 muscle-invasive TCCs treated by radical cystectomy and therefore,
might help identify patients at higher risk of disease progression. These
patients could potentially benefit from adjuvant chemotherapy.
PMID- 18058799
TI - Multiple mechanisms are involved in 6-gingerol-induced cell growth arrest and
apoptosis in human colorectal cancer cells.
AB - 6-Gingerol, a natural product of ginger, has been known to possess anti
tumorigenic and pro-apoptotic activities. However, the mechanisms by which it
prevents cancer are not well understood in human colorectal cancer. Cyclin D1 is
a proto-oncogene that is overexpressed in many cancers and plays a role in cell
proliferation through activation by beta-catenin signaling. Nonsteroidal anti
inflammatory drug (NSAID)-activated gene-1 (NAG-1) is a cytokine associated with
pro-apoptotic and anti-tumorigenic properties. In the present study, we examined
whether 6-gingerol influences cyclin D1 and NAG-1 expression and determined the
mechanisms by which 6-gingerol affects the growth of human colorectal cancer
cells in vitro. 6-Gingerol treatment suppressed cell proliferation and induced
apoptosis and G(1) cell cycle arrest. Subsequently, 6-gingerol suppressed cyclin
D1 expression and induced NAG-1 expression. Cyclin D1 suppression was related to
inhibition of beta-catenin translocation and cyclin D1 proteolysis. Furthermore,
experiments using inhibitors and siRNA transfection confirm the involvement of
the PKCepsilon and glycogen synthase kinase (GSK)-3beta pathways in 6-gingerol
induced NAG-1 expression. The results suggest that 6-gingerol stimulates
apoptosis through upregulation of NAG-1 and G(1) cell cycle arrest through
downregulation of cyclin D1. Multiple mechanisms appear to be involved in 6
gingerol action, including protein degradation as well as beta-catenin,
PKCepsilon, and GSK-3beta pathways.
PMID- 18058800
TI - Aberrant expression and localization of connexin43 and connexin30 in a rat glioma
cell line.
AB - Gap junctions are cellular structures which permit direct exchanges of small
molecules from cytoplasm to cytoplasm in most of the cells of metazoan organisms.
For four decades, it has been observed that the inhibition of this type of
intercellular communication is often associated with tumorigenesis. The
assumption that loss of homeostasis which characterizes tumor growth could be a
consequence of a lack of gap junctional intercellular communication (GJIC) has
been reinforced by strategies able to reinduce both GJIC and normalization of the
phenotype. So far, no molecular data may explain clearly how gap junctions can
regulate cell proliferation. It has been argued that the gap-junction tumor
suppressive effect may depend specifically on the connexin type which is
expressed. For instance, the transfection of connexin30 (Cx30), a gap junction
protein, has been previously associated with a slower growth of rat glioma cells
(9L cells). Here, we show that these cells do communicate less compared to the
Cx43-expressing parental cells even if the Cx30-transfected cells do express more
Cx43. This result was related to the cytoplasmic distribution of Cx43 and a
nuclear localization of both the Cx30 and a 20-kDa fragment corresponding to a
Cx43 signal. According to these data, it seems that cell growth regulation may
depend more on the behavior of connexins than the simple establishment of GJIC.
PMID- 18058801
TI - The inhibitory effect of connexin 32 gene on metastasis in renal cell carcinoma.
AB - We have previously reported that connexin (Cx) 32 gene, a member of gap
junctions, was specifically downregulated in human renal cell carcinoma (RCC) and
it acts as a tumor suppressor against RCC. Because there is no standard therapy
for advanced RCC, we investigated the anti-metastatic effect of Cx32 to seek a
possibility of new RCC therapy. In this study, we used human metastatic RCC cell
(Caki-1), and established Cx32-expressed cell clone (Caki-1T) or only mock
transfected cell clone (Caki-1W). For experimental production of metastases, the
cells were injected into the lateral tail vein of SCID mice. Seventy days after
inoculation, metastatic colonies were observed in Caki-1W inoculated group,
though none of them were in Caki-1T inoculated group. The plasma VEGF
concentration was significantly lower in Caki-1T group compared to Caki-1W group.
To investigate where Cx32 effects on, we also tried in vitro analysis and found
that the malignant phenotypes involving metastasis steps were significantly
decreased in Caki-1T under hypoxia, a mimic condition of internal tumor
environment. After hypoxia treatment, the protein level of HIF-2alpha, which
plays main role for hypoxia adaptation, was observed to increase in Caki-1W,
whereas no expression was observed in Caki-1T. We investigated the activation of
Src, which is required for stabilization of HIF-2alpha, is suppressed in Caki-1T
compared to Caki-1W. These results suggest that Cx32 inhibits hypoxia adaptation
governed by HIF-2alpha, and this may help to reduce the metastasis of RCC cells.
PMID- 18058802
TI - Identification of polymorphisms in the Caspase-3 gene and their association with
lung cancer risk.
AB - Caspase-3 (CASP-3) is a primary effector CASP that executes programmed cell
death, and it plays an important role in the development and progression of
cancer. Polymorphisms in the CASP-3 gene may influence CASP-3 production and/or
activity, thereby modulating the susceptibility to lung cancer. To test this
hypothesis, we first screened for polymorphisms in the CASP-3 gene by direct
sequencing of genomic DNA samples from 27 healthy Koreans, and then evaluated
their associations with lung cancer in a case-control study that consisted of 582
lung cancer patients and 582 healthy controls. Individuals with at least one
variant allele of the -928A > G, 77G > A, and 17532A > C polymorphisms were at a
significantly decreased risk for lung cancer in comparison to the carriers with
each homozygous wild-type allele [adjusted odds ratio (OR) = 0.79, 95% confidence
interval (CI) = 0.62-1.00, P = 0.05; adjusted OR = 0.78, 95% CI = 0.61-0.99, P =
0.04; and adjusted OR = 0.74, 95% CI = 0.58-0.95, P = 0.02, respectively].
Consistent with the results of genotyping analysis, the GAGC haplotype carrying
the variant allele at all of the -928A > G, 77G > A, and 17532A > C loci was
associated with a significantly decreased risk of lung cancer compared to the
AGGA haplotype carrying no variant alleles at the three loci (adjusted OR = 0.66,
95% CI = 0.51-0.86, P = 0.002 and Bonferroni corrected P = 0.008). These results
suggest that the CASP-3 polymorphisms and their haplotypes contribute to the
genetic susceptibility to lung cancer.
PMID- 18058803
TI - Novel synthetic triterpenoid methyl 25-hydroxy-3-oxoolean-12-en-28-oate induces
apoptosis through JNK and p38 MAPK pathways in human breast adenocarcinoma MCF-7
cells.
AB - Breast cancer is the most common neoplasm in women and is the leading cause of
cancer-related death for women. Therefore, new agents targeting prevention and
treatment of breast cancer are urgently needed. The present study first
investigates that a novel triterpenoid Methyl 25-Hydroxy-3-oxoolean-12-en-28-oate
(AMR-Me) derived from 25-Hydroxy-3-oxoolean-12-en-28-oic acid (AMR) is a potent
inhibitor of cell growth by inducing human breast cancer MCF-7 cells to undergo
apoptosis. AMR-Me induced DNA fragmentation and PARP degradation which were
preceded by changing Bax/Bcl-2 ratios, cytochrome c release, and subsequent
induction of pro-caspase-9 and -7 processing in breast carcinoma MCF-7 cells, but
it did not act on Fas/Fas ligand pathways and the activation of caspase-8,
suggesting AMR-Me triggered the mitochondrial apoptotic pathway. The general
caspase blocking peptide VAD partially blocked AMR-Me induced apoptosis. AMR-Me
stimulated p38 mitogen-activated protein kinase and c-Jun NH2-terminal kinase
(JNK), but not extracellular signal-regulated kinase activation during apoptosis.
SP600125, a specific inhibitor for JNK and SB203580, a p38 MAPK-specific
inhibitor suppressed AMR-Me induced apoptosis indicating that activation of JNK
and p38 MAPKs involved in the mitochondrial activation-mediated cell death
pathway. Our results suggest that AMR-Me can utilize two different MAPK signaling
pathways for amplifying the apoptosis cascade, is critical for both our
understanding of cell death events and development of cancer
preventive/therapeutic agents.
PMID- 18058804
TI - Involvement of JNK/p73/NOXA in vitamin E analog-induced apoptosis of human breast
cancer cells.
AB - Microarray analyses of human MDA-MB-435 breast cancer cells treated with vitamin
E analog 2,5,7,8-tetramethyl-2R-(4R,8R,12-trimethyltridecyl) chroman-6-yloxy
acetic acid (alpha-TEA) showed over 400 genes to be modulated. Thirty-four genes
deemed of interest based on potential involvement in anticancer activities of
alpha-TEA fell into six categories: apoptosis related, signal transduction, cell
cycle related, cell adhesion and motility, transcriptional regulators, and
membrane traffic related. The gene (PMAIP1) for NOXA was studied further. NOXA
mRNA and protein levels were elevated in a time and dose-dependent fashion
following alpha-TEA treatment. Functional knockdowns using small interfering RNA
(siRNA) showed NOXA to contribute to alpha-TEA-induced apoptosis. A correlation
between alpha-TEA's ability to upregulate NOXA and induce apoptosis was seen
among several human breast cancer cell lines. Efforts to identify upstream
regulators of NOXA in alpha-TEA-induced apoptosis identified the necessity of
both c-Jun N-terminal kinase (JNK) activation and p73 expression. Additionally,
protein levels of full length p73 were decreased by JNK siRNA treatment,
suggesting that the signal transduction module of JNK-p73-NOXA is involved in
alpha-TEA induced apoptosis of human breast cancer cells. Taken together, these
findings suggest a role for JNK activation in mediating full length p73
expression and add to our understanding of the mechanisms of anticancer actions
of alpha-TEA, a potential chemotherapeutic agent.
PMID- 18058805
TI - Modified expression of cytoplasmic isocitrate dehydrogenase electrophoretic
isoforms in seminal plasma of men with sertoli-cell-only syndrome and seminoma.
AB - Two isoforms of human cytoplasmic isocitrate dehydrogenase (IDPc) of close
molecular weights and different isoelectric points were identified in human
seminal plasma (SP) by two-dimensional gel electrophoresis (2-DE) followed by
mass spectrometry (MS). These two isoforms were detected in the normospermic men
SP and their expressions were markedly altered in patients with testicular
seminoma, the most frequent testicular germ cell cancer (TGCC): increase of the
more acidic spot and decrease of the more basic one. Since oligospermia has been
considered as a high risk pathological condition for developing a testicular
cancer, the two IDPc isoforms were analyzed in SP of a group of secretory
azoospermic patients. In this group the two spots displayed similar variations of
expression to those observed in testicular seminoma. These results propose IDPc
as a promising SP biomarker of testicular seminoma. Whether IDPc alteration in
secretory azoospermia is predictive of testicular seminoma remains to be
elucidated.
PMID- 18058806
TI - Expression of an activated mammalian target of rapamycin in adenocarcinoma of the
cervix: A potential biomarker and molecular target therapy.
AB - Alterations of the Akt/mTOR pathway have been observed in numerous types of
cancer, thus this pathway represents an exciting new target for molecular
therapeutics. We investigated the expression of activated Akt (p-Akt) and mTOR (p
mTOR) in patients with adenocarcinoma of the cervix and the involvement of the p
Akt/p-mTOR pathway in response to combination of inhibitor agents, rapamycin and
LY294002, with conventional therapy, cisplatin, in vitro. Immunohistochemistry
analysis of p-Akt and p-mTOR was conducted in 26 patients with adenocarcinoma of
the cervix. Western blot analysis was performed to determine the protein
expression involved in response to chemotherapy in cervical cancer cell lines.
The results showed that p-Akt and p-mTOR were identified in 50% and 53.8% of
adenocarcinoma of the cervix. The expression of p-mTOR was a significant
independent marker for prognosis. A significant correlation between p-Akt and p
mTOR was observed. There was no correlation between their expressions with any of
clinicopathological factors. In the in vitro study, cisplatin at CPI(50) targets
both the apoptosis and survival pathway by activating the caspase-cascade;
inhibiting Akt, mTOR, p70S6K, and 4EBP1. Combination of rapamycin with cisplatin
induced synergistic interaction. On the other hand, combination with LY294002
resulted in either synergistic or antagonistic effect depending on the doses
given. Rapamycin pretreatment potentiated cisplatin-induced apoptosis cell death
and enhanced blocking of the survival pathway. Overall, the expression of p-mTOR
is a significant prognostic marker of adenocarcinoma of the cervix and a
potential molecular target for the treatment of cervical cancer. Inhibition of
the mTOR pathway contributes to cisplatin-induced apoptosis in cervical cancer
cell lines.
PMID- 18058807
TI - Interrelationships between dietary restriction, the IGF-I axis, and expression of
vascular endothelial growth factor by prostate adenocarcinoma in rats.
AB - Human studies suggest that excessive energy intake and obesity may influence
prostate cancer progression. Rodent experiments demonstrate that diet restriction
attenuates tumor growth in parallel with reduced vascular density. The present
study examines changes in the insulin-like growth factor I (IGF-I) axis caused by
dietary restriction and their association with the expression of vascular
endothelial growth factor (VEGF) in prostate cancer. Weanling male Copenhagen
rats were randomized into control or 40% dietary restricted groups (n = 5). After
8 wk, rats were implanted with rat AT6.3 prostate adenocarcinoma cells. Two weeks
later, the animals were sacrificed and serum, normal prostate, liver, and
prostate tumor samples were collected for analyses. Dietary restriction reduced
serum concentrations of IGF-I by 35% (P < 0.05) and increased IGF-binding protein
3 (IGFBP3) by sevenfold (P < 0.0001). Lower circulating IGF-I concentrations were
correlated with reduced IGF-I mRNA expression in the liver, the primary source of
circulating IGF-I. Dietary restriction also lowered mRNA expression of IGF-I
(45%, P = 0.0242) and its receptor IGFIR (40%, P = 0.0083) in prostate tumors.
Similarly, reduced VEGF mRNA (30%, P = 0.0176) and secreted VEGF protein (33%, P
= 0.0003) were observed in prostate cancer of restricted rats. An in vitro study
employing AT6.3 prostate cancer cells demonstrated dose- and time-dependent
stimulation of VEGF expression by IGF-I. These results suggest that dietary
restriction reduces endocrine and prostate tumor autocrine/paracrine IGF-I
expression, which contributes to reduced VEGF expression and signaling, to
inhibit tumor angiogenesis associated with prostate tumorigenesis.
PMID- 18058808
TI - 15-Hydroxyprostaglandin dehydrogenase suppresses K-RasV12-dependent tumor
formation in Nu/Nu mice.
AB - Oncogenic Ras mutations are early genetic events in colorectal cancer that induce
cyclooxygenase (COX)-2 expression and prostaglandin E(2) (PGE(2)) biosynthesis.
PGE(2), a downstream product of COX-2, promotes cancer progression by modulating
proliferation, apoptosis and angiogenesis. 15-hydroxyprostaglandin dehydrogenase
(PGDH) degrades PGE(2) and is down-regulated in colorectal cancer, suggesting
that PGDH plays a role in regulating PGE(2) levels and that PGDH over-expression
could attenuate Ras-mediated tumorigenesis. Lentiviral transduction was used to
express GFP (18.GFP), K-Ras(V12) (18.K-Ras(V12)), PGDH (18.PGDH) or both K
Ras(V12) and PGDH (18.K-Ras(V12).PGDH) in nontumorigenic rat intestinal
epithelial (IEC-18) cells. 18.K-Ras(V12) cells exhibited increased
phosphorylation of MAP kinases and CREB, proliferation rates, COX-2 and
microsomal prostaglandin E synthase (mPGES)-1 expression and PGE(2) and PGI(2)
levels. 18.PGDH and 18.K-Ras(V12).PGDH cells had 10(4)-fold increases in PGDH
activity with decreased PGE(2) and PGI(2) levels, COX-2 and mPGES-1 expression
and proliferation rates. 18.GFP, 18.PGDH, and 18.K-Ras(V12).PGDH cells were
unable to grow in soft agar media whereas 18.K-Ras(V12) cells exhibited anchorage
independent cell growth. Xenografts of implanted 18.K-Ras(V12) cells in nu/nu
mice produced rapid (2 wk) tumors with uniform antibody staining for COX-2 and
mPGES-1 throughout the tumor and elevated PGE(2) levels. Xenografts of 18.K
Ras(V12).PGDH cells exhibited delayed (8 wk) tumor formation with negligible COX
2 and mPGES-1 expression and significantly decreased PGE(2) levels. 18.K
Ras(V12).PGDH tumors had decreased staining of the proliferative marker, Ki-67,
and a significant increase in apoptosis in the central region of the tumor. Based
on these data, we conclude that PGDH expression suppresses K-Ras(V12)-mediated
tumorigenesis in intestinal epithelial cells.
PMID- 18058809
TI - Absolute lymphocyte count is a novel prognostic indicator in ALL and AML:
implications for risk stratification and future studies.
AB - BACKGROUND: Leukemia is the leading cause of disease-related death in children,
despite significant improvement in survival and modern risk stratification. The
prognostic significance of absolute lymphocyte counts (ALC) was evaluated in
young patients with acute myeloblastic leukemia (AML) and acute lymphoblastic
leukemia (ALL). METHODS: In all, 171 consecutive de novo cases of AML and ALL,
age 350 cells/microL
carries an excellent prognosis, with a 5-year overall survival (OS) of 85% (HR
0.2, P= .012). Similarly in ALL, an ALC-15 <350 cells/microL predicts poor
survival, with a 6-year RFS of 43% (HR 4.5, P= .002), whereas an ALC-15 >350
cells/microL predicts excellent outcome, with a 6-year OS of 87% (HR 0.2, P=
.018). Importantly, ALC remains a strong predictor in multivariate analysis with
known prognostic factors. CONCLUSIONS: ALC is a simple, statistically powerful
measurement for patients with de novo AML and ALL. The results, when combined
with previous studies, demonstrate that ALC is a powerful new prognostic factor
for a range of malignancies. These findings suggest a need for further
exploration of postchemotherapy immune status and immune-modulating cancer
therapies.
PMID- 18058810
TI - A prospective randomized trial of thalidomide with topotecan compared with
topotecan alone in women with recurrent epithelial ovarian carcinoma.
AB - BACKGROUND: Thalidomide is an antiangiogenic agent with immune modulating
potential. The objective of this study was to determine response rates among
women who were treated for recurrent ovarian cancer using topotecan with or
without thalidomide. METHODS: Women were enrolled in this multicenter,
prospective, randomized phase 2 trial between April 2001 and July 2005. Eligible
patients had recurrent epithelial ovarian carcinoma with measurable disease or
elevated CA 125 values. Patients had received prior platinum-based chemotherapy.
Treatment arms received topotecan at a dose of 1.25 mg/m(2) on Days 1 through 5
of a 21-day cycle with or without thalidomide starting at a dose of 200 mg per
day and then increasing the dose as tolerated. Toxicity was graded according to
the National Cancer Institute Common Toxicity Criteria. The chi-square test was
used to assess differences in response and toxicity, and the log-rank test was
used to compare Kaplan-Meier survival curves. RESULTS: The analysis included 69
women (39 women in the control arm and 30 women in the thalidomide arm). Known
prognostic factors, including platinum sensitivity, were represented equally in
each arm. The median thalidomide dose was 200 mg per day. The overall response
rate in the control arm was 21% (complete response [CR] rate, 18%; partial
response [PR] rate, 3%) compared with 47% in the thalidomide arm (CR rate, 30%;
PR rate, 17%) (P= .03). The median progression-free survival for the control arm
was 4 months compared with 6 months in the thalidomide arm (P= .02). The median
overall survival was 15 months in the control arm and 19 months in the
thalidomide arm (P= .67). Toxicities were similar between groups. CONCLUSIONS:
The addition of thalidomide to topotecan for the treatment of recurrent ovarian
cancer appears to improve response rates, and the authors believe that it
warrants study through larger phase 3 trials.
PMID- 18058811
TI - Messenger RNA expression patterns of histone-associated genes in bovine
preimplantation embryos derived from different origins.
AB - Histone modification genes in bovine embryos: The mRNA expression pattern of
histone-related genes was determined in bovine oocytes and embryos. We compared
immature and in vitro-matured oocytes, either before or after enucleation and
activation, in vitro produced embryos (zygotes, 8-16 cell stages, blastocysts),
embryos cloned with female or male donor cells; parthenogenetic embryos, and in
vivo-derived blastocysts to detect deviations from the normal expression pattern.
A sensitive semi-quantitative endpoint RT-PCR assay was used to reveal
differences in histone deacetylation [histone deacetylase 2 (HDAC2)]; histone
acetylation [histone acetyltransferase 1 (HAT1)]; histone methylation [histone
methyltransferases (SUV39H1, G9A)]; heterochromatin formation [heterochromatin
protein 1 (HP1)]; and chromatin-mediated transcription regulation [zygote arrest
1 (ZAR1)]. With the exception of ZAR1, these mRNAs were present throughout
preimplantation development. The relative abundance of mRNAs for histone
methyltransferases (SUV39H1 and G9A) and for heterochromatin-associated protein
(HP1) differed significantly before and after activation of the bovine embryonic
genome. The similarity of HAT1 gene expression in 8-16 cell embryos and
blastocysts suggests that histone acetylation is primarily affected by in vitro
culture only prior to embryonic genome activation. HDAC2 gene mRNA expression was
not affected by in vitro culture and/or cloning before and after activation of
the embryonic genome. The donor cell line affected mRNA expression patterns of
genes involved in reprogramming cloned embryos suggesting epigenetic
dysregulation. Results show that both in vitro production and somatic cloning
alter the mRNA expression of histone modifying genes in bovine embryos.
PMID- 18058812
TI - Quantitative, spatial resolution of the epigenetic field effect in prostate
cancer.
AB - BACKGROUND: Although a field effect in which transformed cells extend beyond
morphologically evident tumor has been proposed in cancer, little direct evidence
exists as to its magnitude and spatial resolution. We tested this hypothesis
using molecular techniques to detect epigenetic changes in the primary tumor and
surrounding tissues. METHODS: Ex vivo core biopsies, each spaced approximately 1
mm apart, were generated from 37 unique prostatectomy samples. The first core
biopsy was confirmed to be histologically positive for cancer, and the subsequent
biopsies were confirmed to be histologically negative. The methylation ratio of
GSTP1, APC, RARbeta2, and RASSF1A were measured for all of the 159 cores.
RESULTS: No field effect, defined as absence of epigenetically transformed cells,
for GSTP1 was observed whereas APC, RARbeta2, and RASSF1A showed a field effect
up to 3 mm from the malignant core in three prostatectomy samples. Furthermore,
for each case, different patterns of the field effect were observed. The field
effect appeared most pronounced with RARbeta2. In 11 prostatectomy samples in
which a second focus of cancer was identified, cells harboring RARbeta2
methylation extended a large distance away from the primary tumor in one sample.
Bisulfite sequencing of RARbeta2 confirmed the presence of epigenetic
aberrations. CONCLUSIONS: This study quantifies previous observations of
methylation in histologically negative samples and provides important assessment
of field effects based on epigenetic events in cancer. These molecular approaches
set the stage for consideration of such data in prospective trials for assessment
of surgical margins and prediction of recurrence.
PMID- 18058813
TI - Partner-matching for the automated identification of reproducible ICA components
from fMRI datasets: algorithm and validation.
AB - The analysis of functional magnetic resonance imaging (fMRI) data is complicated
by the presence of a mixture of many sources of signal and noise. Independent
component analysis (ICA) can separate these mixtures into independent components,
each of which contains maximal information from a single, independent source of
signal, whether from noise or from a discrete physiological or neural system. ICA
typically generates a large number of components for each subject imaged,
however, and therefore it generates a vast number of components across all of the
subjects imaged in an fMRI dataset. The practical implementation of ICA has been
limited by the difficulty in discerning which of these many components are
spurious and which are reproducible, either within or across individuals of the
dataset. We have developed a novel clustering algorithm, termed "Partner
Matching" (PM), which identifies automatically the independent components that
are reproducible either within or between subjects. It identifies those
components by clustering them according to robust measures of similarity in their
spatial configurations either across different subjects of an fMRI dataset,
within a single subject scanned across multiple scanning sessions, or within an
individual subject scanned across multiple runs within a single scanning session.
We demonstrate the face validity of our algorithm by applying it to the analysis
of three fMRI datasets acquired in 13 healthy adults performing simple auditory,
motor, and visual tasks. From among 50 independent components generated for each
subject, our PM algorithm automatically identified, across all 13 subjects,
components representing activity within auditory, motor, and visual cortices,
respectively, as well as numerous other reproducible components outside of
primary sensory and motor cortices, in functionally connected circuits that
subserve higher-order cognitive functions, even in these simple tasks.
PMID- 18058814
TI - Predictors of prognosis in patients with olfactory disturbance.
AB - OBJECTIVE: Although olfaction is often compromised by such factors as head
trauma, viruses, and toxic agents, the olfactory epithelium and sectors of the
olfactory bulb have the potential for regeneration. This study assessed the
degree to which olfactory function changes over time in patients presenting to a
university-based smell and taste center with complaints of olfactory dysfunction
and the influences of etiology (eg, head trauma, upper respiratory infection),
sex, age, smoking behavior, degree of initial dysfunction, and other factors on
such change. METHODS: Well-validated odor identification tests were administered
to 542 patients on 2 occasions separated from one another by 3 months to 24
years. Multivariable regression and chi2 analyses assessed the influences of the
variables on the longitudinal changes in olfactory test scores. RESULTS: On
average, smell test scores improved modestly over time. Patient age, severity of
initial olfactory loss, and the duration of dysfunction at first testing were
significant predictors of the amount of the change. Etiology, sex, time between
the two test administrations, and initial smoking behavior were not significant
predictors. The percentage of anosmic and microsmic patients exhibiting
statistically significant change in function was 56.72 and 42.86%, respectively.
However, only 11.31% of anosmic and 23.31% of microsmic patients regained normal
age-related function over time. INTERPRETATION: Some recovery can be expected in
a significant number of patients who experience smell loss. The amount of
recovery depends on the degree of initial loss, age, and the duration of loss.
Etiology, per se, is not a significant determinant of prognosis, in contrast with
what is commonly believed.
PMID- 18058815
TI - The histone acetyltransferase hMOF is frequently downregulated in primary breast
carcinoma and medulloblastoma and constitutes a biomarker for clinical outcome in
medulloblastoma.
AB - Loss of H4 lysine 16 (H4K16) acetylation was shown to be a common feature in
human cancer. However, it remained unclear which enzyme is responsible for the
loss of this modification. Having recently identified the histone
acetyltransferase human MOF (hMOF) to be required for bulk H4K16 acetylation,
here we examined the involvement of hMOF expression and H4K16 acetylation in
breast cancer and medulloblastoma. Analysis of a recent mRNA expression profiling
study in breast cancer (n = 100 cases) and an array-CGH screen in
medulloblastomas (n = 102 cases), revealed downregulation in 40% and genomic loss
in 11% of cases, respectively. We investigated hMOF protein expression as well as
H4K16 acetylation in large series of primary breast carcinomas (n = 298) and
primary medulloblastomas (n = 180) by immunohistochemistry. In contrast to
nontransformed control tissues, significant fractions of both primary breast
carcinomas and medulloblastomas showed markedly reduced hMOF mRNA and protein
expression. In addition, hMOF protein expression tightly correlated with
acetylation of H4K16 in all tested samples. For medulloblastoma, downregulation
of hMOF protein expression was associated with lower survival rates identifying
hMOF as an independent prognostic marker for clinical outcome in univariate as
well as multivariate analyses.
PMID- 18058816
TI - Marked regression of liver metastasis by combined therapy of ultrasound-mediated
NF kappaB-decoy transfer and transportal injection of paclitaxel, in mouse.
AB - Nuclear factor-kappaB (NF kappaB) plays a pivotal role in cancer progression. In
this study, we developed a decoy cis-element oligo-deoxyribonucleic acid against
NF kappaB-binding site (NF kappaB-decoy), which effectively inhibits NF kappaB
activity, and tested the effect of combined therapy comprising local transfection
of NF kappaB-decoy into the liver and transportal injection of paclitaxel on
cancer growth and metastasis using an orthotopic murine model of colon cancer
liver metastasis. For NF kappaB-decoy transfection, we employed a novel approach
using ultrasound exposure with an echocardiographic contrast agent, Optison. We
examined the influence of NF kappaB-decoy transfer on susceptibility to
paclitaxel in cancer cells and the mechanism involved using several in vitro
analysis systems. We then studied the in vivo effect of combined NF kappaB-decoy
transfer and paclitaxel in preventing cancer progression using a murine model of
liver metastasis created by splenic injection of a human colon cancer cell line,
HT29. In vitro experiments, including MTT-assay, fluorescence-activated cell
sorter and cDNA array analysis, revealed that NF kappaB-decoy transfer
significantly increased the susceptibility of cancer cells to paclitaxel, and
that decreased expression of anti-apoptotic genes along with increased expression
of genes relevant to the apoptosis-promotor may be involved. In vivo experiments
showed that local transfection of NF kappaB-decoy into the liver followed by
portal injection of paclitaxel effectively induced cancer cell apoptosis in the
liver metastasis, and significantly prolonged animal survival compared to
controls, without notable side effects. In conclusion, a combination of local NF
kappaB-decoy transfer into the liver and transportal injection of paclitaxel may
be a safe and effective new therapy for liver metastasis.
PMID- 18058817
TI - Serous ovarian, fallopian tube and primary peritoneal cancers: a comparative
epidemiological analysis.
AB - Invasive serous cancers are diagnosed in the ovary, fallopian tube and
peritoneum. It is widely believed that these are variants of the same malignancy
but little is known about fallopian tube and primary peritoneal cancers. A
comparison of risk factors for these tumor types may shed light on common or
distinct aetiological pathways involved in these types of cancer. We investigated
risk factors for the three cancers using data from a large Australian population
based case-control study. We included women with incident invasive serous ovarian
(n = 627), primary peritoneal (n = 129) and fallopian tube (n = 45) cancer and
1,508 control women. Participants completed a comprehensive reproductive and
lifestyle questionnaire. Logistic regression was used to calculate odds ratios
(ORs) and 95% confidence intervals (CIs). Hormonal contraceptive use was
inversely related to risk of all three cancers. Parity and breast-feeding were
also inversely related to risk of serous ovarian and fallopian tube cancer. In
contrast, parous women had an increased risk of peritoneal cancer (OR = 1.8,
95%CI 0.8-3.9), and increasing parity did not lower risk. There was also no
association between breast-feeding and peritoneal cancer. However, obesity was
associated with a doubling of risk for peritoneal cancer alone (OR = 2.1, 95%CI =
1.3-3.4). The strikingly similar patterns of risk for serous ovarian and
fallopian tube cancers and the somewhat different results for primary peritoneal
cancer suggest that peritoneal cancers may develop along a different pathway.
These results also call into question the role of the physical effects of
ovulation in the development of serous ovarian cancer.
PMID- 18058818
TI - The effects of a COX-2 inhibitor meloxicam on squamous cell carcinoma of the
esophagus in vivo.
AB - Our previous study showed that aspirin induced apoptosis of esophageal cancer
cells in vitro by inhibiting the pathway of NF-kappaB downstream regulation of
cyclooxygenase-2. The purpose of this study was to determine if similar changes
occurred in vivo in the tumors of patients with SCC of the esophagus who were
given a preferential COX-2 inhibitor, meloxicam. Fifty-three patients who had an
esophagectomy for SCC were allocated randomly to either a Treatment group (n =
25) or a control group (n = 28). Patients in the Treatment group were given 7.5
mg/day of meloxicam, for between 10 and 14 days before surgery. Patients in the
control group did not take any type of NSAID during this time interval. Samples
of the tumor taken from the resected specimens were collected. Proliferation and
apoptosis were measured by flow cytometry. The concentration of 6-keto
prostaglandin F(1)alpha in cancer tissue was determined by radio-immuno-assay.
Expression of COX-2 mRNA was measured with RT-PCR and COX-2 protein levels with
Western blot analysis. Nuclear NF-kappaB and cytoplasmic I kappaB protein levels
were determined by electrophoretic mobility shift assay and Western blot,
respectively. There were significantly more apoptotic cells in the tumors of
patients who were using meloxicam. It also decreased the levels of COX-2 mRNA,
COX-2 protein and nuclear NF-kappaB protein and increased the cytoplasmic I
kappaB protein in the cancer. We conclude that meloxicam induces apoptosis in SCC
of the esophagus in vivo by inhibiting the pathway of NF-kappaB downstream
regulation of COX-2.
PMID- 18058819
TI - Gene expression abnormalities in histologically normal breast epithelium of
breast cancer patients.
AB - Normal-appearing epithelium of cancer patients can harbor occult genetic
abnormalities. Data comprehensively comparing gene expression between
histologically normal breast epithelium of breast cancer patients and cancer-free
controls are limited. The present study compares global gene expression between
these groups. We performed microarrays using RNA from microdissected
histologically normal terminal ductal-lobular units (TDLU) from 2 groups: (i)
cancer normal (CN) (TDLUs adjacent to untreated ER+ breast cancers (n = 14)) and
(ii) reduction mammoplasty (RM) (TDLUs of age-matched women without breast
disease (n = 15)). Cyber-T identified differentially expressed genes.
Quantitative RT-PCR (qRT-PCR), immunohistochemistry (IHC), and comparison to
independent microarray data including 6 carcinomas in situ (CIS), validated the
results. Gene ontology (GO), UniProt and published literature evaluated gene
function. About 127 probesets, corresponding to 105 genes, were differentially
expressed between CN and RM (p < 0.0009, corresponding to FDR <0.10). 104/127
(82%) probesets were also differentially expressed between CIS and RM, nearly
always (102/104 (98%)) in the same direction as in CN vs. RM. Two-thirds of the
105 genes were implicated previously in carcinogenesis. Overrepresented
functional groups included transcription, G-protein coupled and chemokine
receptor activity, the MAPK cascade and immediate early genes. Most genes in
these categories were under-expressed in CN vs. RM. We conclude that global gene
expression abnormalities exist in normal epithelium of breast cancer patients and
are also present in early cancers. Thus, cancer-related pathways may be perturbed
in normal epithelium. These abnormalities could be markers of disease risk,
occult disease, or the tissue's response to an existing tumor.
PMID- 18058820
TI - Variants in the neuronal nitric oxide synthase (nNOS, NOS1) gene are associated
with restless legs syndrome.
AB - Sixty percent of the patients with restless legs syndrome (RLS) report a positive
family history. To date five loci have been mapped on chromosome 12q, 14q, 9p,
2q, and 20p (RLS1-5) but no gene has been identified so far. To identify genes
related to RLS, we performed a three-stage association study (explorative study,
replication study, high-density mapping) in two Caucasian RLS case-control
samples of altogether 918 independent cases and controls. In the explorative
study (367 cases and controls, respectively), we screened 1536 SNPs in 366 genes
in a 21 Mb region encompassing the RLS1 critical region on chromosome 12.
Armitage trend test revealed three genomic regions that were significant (P <
0.05). In the replication study (551 cases and controls, respectively) we
genotyped the most significant SNPs of Stage 1. After correction for multiple
testing, association was observed with SNP rs7977109 (P(nominal) = 0.00175,
P(Westfall-Young) = 0.04895, OR = 0.76228, 95% CI = 0.64310-0.90355), which is in
the neuronal nitric oxide synthase (NOS1) gene. High-density mapping using
altogether 34 tagging and coding SNPs of the NOS1 gene in both case-control
samples further confirmed the significant association results to NOS1. Ten more
SNPs revealed significance with nominal P-values from 0.0001 to 0.0482 (genotypic
test and Armitage test). Altogether, this study provides evidence for an
association of variants in the NOS1 gene and RLS, and suggests the involvement of
the NO/arginine pathway in the pathogenesis of RLS. Potential usage of NO
modulating agents as new treatment options for RLS have become a challenging
aspect for future research of this disorder.
PMID- 18058821
TI - Structural basis for the binding of high affinity phosphopeptides to Stat3.
AB - Signal transducer and activator of transcription 3 (Stat3) is constitutively
active in a number of cancers where it participates in aberrant transcription of
prosurvival, cell cycling, and angiogenesis genes. Since Stat3 initiates its
signaling activity through binding of its SH2 domain to phosphotyrosine residues
on cell surface receptors, inhibitors targeting this region of the protein are
potential chemotherapeutic agents. To date, no NMR or X-ray crystallographic
structures of high-affinity phosphopeptides complexed with the Stat3 SH2 domain
are available to aid in the development of peptidomimetic antagonists.
Examination of the crystal structures of several STAT proteins and the complex of
Stat1 with Ac-pTyr-Asp-Lys-Pro-His-NH(2) led to a hypothesis that the specificity
determinant for Stat3, glutamine at position pY+3 in pTyr-Xxx-Xxx-Gln sequences,
resides in a unique pocket on the protein surface at the juncture of the third
strand of the central beta-sheet and a unique, STAT specific alpha-helix. Docking
of Ac-pTyr-Leu-Pro-Gln-NHBn to the SH2 domain of Stat3 using molecular modeling
showed that the Gln binds tightly in this pocket and participates in a network of
hydrogen bonds. Novel interactions between the peptide main chain and the protein
were also discovered. Phosphopeptide structure-affinity studies using unnatural
amino acids and glutamine derivatives provide evidence for the peptide-protein
interactions revealed by the model and lend support to the binding hypothesis.
PMID- 18058822
TI - Repetitive induction of late-phase LTP produces long-lasting synaptic enhancement
accompanied by synaptogenesis in cultured hippocampal slices.
AB - Long-term plasticity of synaptic transmission is assumed to underlie the
formation of long-term memory. Although the cellular mechanisms underlying short
term plasticity have been analyzed in detail, the mechanisms underlying the
transformation from short-term to long-term plasticity remain largely unrevealed.
We propose the novel long-lasting phenomenon as a model system for the analysis
of long-term plasticity. We previously reported that the repetitive activation of
cAMP-dependent protein kinase (PKA) by forskolin application led to an
enhancement in synaptic strength coupled with synaptogenesis that lasted more
than 3 weeks in cultured rat hippocampal slices. To elucidate whether this long
lasting synaptic enhancement depended on the induction of long-term potentiation
(LTP) or on the pharmacological effect of forskolin, we applied glutamate (Glu)
and correlated its dose with the production of the long-lasting synaptic
enhancement. When the dose of Glu was low (10, 30 muM), only transient excitation
or early-phase LTP (E-LTP) was induced by a single application and no long
lasting synaptic enhancement was produced by three applications. When the dose
was raised to 100 or 300 muM, late-phase LTP (L-LTP) was induced by a single
application and long-lasting synaptic enhancement was produced by three
applications. The Glu-produced enhancement was accompanied by an increase in the
frequency (but not the amplitude) of miniature EPSC and the number of synaptic
structures. The enhancement depended on the interval of repetition and protein
synthesis immediately after the Glu applications. These results indicate that the
repetitive induction of L-LTP, but not E-LTP or transient excitation, triggers
cellular processes leading to the long-lasting synaptic enhancement and the
formation of new synapses.
PMID- 18058824
TI - The MeCP2-null mouse hippocampus displays altered basal inhibitory rhythms and is
prone to hyperexcitability.
AB - Rett syndrome is an autism-spectrum disorder caused by loss of function mutations
within the gene encoding methyl CpG-binding protein 2 (MeCP2). While subtle
decreases in synaptic plasticity have been detected within cortical and
hippocampal neurons of Mecp2-null mice, only minimal information exists regarding
how the loss of MeCP2 affects network activity in the brain. To address this
issue, we compared the intrinsic network activities of Mecp2-null hippocampal
slices derived from symptomatic mice to wild-type slices. Extracellular and whole
cell patch recordings revealed that although spontaneous, IPSP-based rhythmic
activity is present in Mecp2-null slices; its frequency is significantly reduced
from wild-type. This reduction was not associated with alterations in the gross
electrophysiological properties of hippocampal neurons, but was associated with a
decreased level of spontaneous glutamate receptor-mediated synaptic currents in
hippocampal CA3 neurons. Paradoxically, however, repetitive sharp wave-like
discharges were readily induced in the Mecp2-null hippocampal slices by a brief
train of high-frequency stimulation commonly used to establish long-term
potentiation at wild-type slices. Taken together, our data indicate that the
Mecp2-null hippocampal CA3 circuit has diminished basal inhibitory rhythmic
activity, which in turn renders the circuitry prone to hyperexcitability.
PMID- 18058823
TI - Different behavioral effects of neurotoxic dorsal hippocampal lesions placed
under either isoflurane or propofol anesthesia.
AB - Anesthetic protocols for behavioral neuroscience experiments are evolving as new
anesthetics are developed and surgical procedures are refined to improve animal
welfare. We tested whether neurotoxic dorsal hippocampal lesions produced under
two different anesthetic protocols would have different behavioral and/or histo
pathological effects. Rats were anesthetized with either propofol, an intravenous
anesthetic, or isoflurane, a gaseous anesthetic, and multiple injections of an
excitotoxin (N-methyl-D-aspartate) were stereotaxically placed in the dorsal
hippocampus bilaterally. Intraoperative physiological parameters were similar in
the two surgical groups, as were the volumes of the lesions, although the profile
of postoperative impairment in a spatial learning task differed between the
lesion groups depending on the anesthetic regimen used. These results show that
the choice of anesthetic protocol is a critical variable in designing behavioral
neuroscience experiments using neurosurgical procedures. This factor should be
considered carefully in experimental design and in cross-study comparisons of
lesion effects on behavior.
PMID- 18058825
TI - An ultrastructural characterization of the newly generated cells in the adult
monkey dentate gyrus.
AB - Recent studies of adult neurogenesis in the hippocampus have focused on the
maturational sequence and on the identification of the neural stem cell in the
adult brain. Ultrastructural verification of cell type and marker expression has
become increasingly important in this research, yet no standards exist for the
identification of adult generated cells in the hippocampus. In this study, six
adult rhesus monkeys were used, four of which were given an injection of the DNA
synthesis phase marker bromodeoxyuridine (BrdU) and perfused 2 days, 3 weeks, or
6 weeks later. The ultrastructural features of BrdU labeled cells in the dentate
gyrus were determined. The characteristics of the different types of BrdU labeled
cells were then used to find similar, but unlabeled, immature cells in tissue
routinely prepared for electron microscopy. This enabled optimal characterization
of the ultrastructural features of the newly generated cells. The results
demonstrate that immature neurons, immature astrocytes, and oligodendrocyte
progenitor cells can be reliably distinguished by ultrastructural features,
without immunohistochemical processing.
PMID- 18058826
TI - Voltage-induced morphological modifications in oocyte membranes containing
exogenous K+ channels studied by electrochemical scanning force microscopy.
AB - We report on a novel use of electrochemical scanning force microscopy (SFM) for
the investigation of morphological modifications occurring in plasma membranes
containing voltage-gated ion channels, on membrane potential variation. Membrane
patches of Xenopus laevis oocytes microinjected with exogenous KAT1 cRNA,
deposited by a stripping method at the surface of a derivatized gold film in
inside-out configuration, have been imaged by SFM in an electrochemical cell. A
potentiostat was used to maintain a desired potential drop across the membrane.
Performing imaging at potential values corresponding to open (-120 mV) and closed
(+20 mV) states for KAT1, morphological differences in localized sample zones
were observed. Particularly, cross-shaped features involving a significant
membrane portion appear around putative channel locations. The reported approach
constitutes the first demonstration of an SPM-based experimental technique
suitable to investigate the rearrangements occurring to the plasma membrane
containing voltage-gated channels on transmembrane potential variation.
PMID- 18058827
TI - CoagMDB: a database analysis of missense mutations within four conserved domains
in five vitamin K-dependent coagulation serine proteases using a text-mining
tool.
AB - Central repositories of mutations that combine structural, sequence, and
phenotypic information in related proteins will facilitate the diagnosis and
molecular understanding of diseases associated with them. Coagulation involves
the sequential activation of serine proteases and regulators in order to yield
stable blood clots while maintaining hemostasis. Five coagulation serine
proteases-factor VII (F7), factor IX (F9), factor X (F10), protein C (PROC), and
thrombin (F2)-exhibit high sequence similarities and all require vitamin K. All
five of these were incorporated into an interactive database of mutations named
CoagMDB (http://www.coagMDB.org; last accessed: 9 August 2007). The large number
of mutations involved (especially for factor IX) and the increasing problem of
out-of-date databases required the development of new database management tools.
A text mining tool automatically scans full-length references to identify and
extract mutations. High recall rates between 96 and 99% and precision rates of 87
to 93% were achieved. Text mining significantly reduces the time and expertise
required to maintain the databases and offers a solution to the problem of locus
specific database management and upkeep. A total of 875 mutations were extracted
from 1,279 literature sources. Of these, 116 correspond to Gla domains, 86 to the
N-terminal EGF domain, 73 to the C-terminal EGF domain, and 477 to the serine
protease domain. The combination of text mining and consensus domain structures
enables mutations to be correlated with experimentally-measurable phenotypes
based on either low protein levels (Type I) or reduced functional activities
(Type II), respectively. A tendency for the conservation of phenotype with
structural location was identified.
PMID- 18058828
TI - Influence of comorbidity and cognitive status on instrumental activities of daily
living in amnestic mild cognitive impairment: results from the ReGAl project.
AB - OBJECTIVES: To investigate whether amnestic mild cognitive impairment (aMCI) is
characterised by restriction in instrumental activities of daily living (IADL).
Further, to examine the role of comorbidity and cognitive performance on IADL
changes in aMCI subjects. METHODS: The study included 132 subjects with aMCI and
249 subjects with no cognitive impairment (NCI), consecutively enrolled as
outpatients in a multicentric Italian clinical-based study, the ReGAl Project.
All subjects underwent a comprehensive evaluation including clinical examination,
laboratory screening, neuroimaging and cognitive and behavioral assessments.
Functional status was evaluated by the Lawton's Instrumental Activities of Daily
Living (IADL) scale. Comorbidity was evaluated by the Cumulative Illness Rating
Scale (CIRS). Cognitive evaluation included tests assessing episodic memory,
language, attention/executive functioning and praxis, as well as the the Mini
Mental State Examination (MMSE) as a measure of global cognition. RESULTS:
Subjects with aMCI had higher IADL changes than NCI. Among IADL items, aMCI
subjects showed a significant impairment in shopping, taking drugs, and handling
economy; however also NCI had minor IADL changes regarding cooking, washing and
cleaning. IADL restriction in aMCI subjects was significantly associated with
cognitive performance, mainly related to executive functioning, but not with
comorbidity. On the contrary, in NCI sensory impairment accounts for slight IADL
changes. CONCLUSION: In aMCI subjects a mild degree of cognitive deterioration
has a stronger impact on IADL than somatic comorbidity. Current diagnostic
criteria for MCI should include a mild impairment in IADL.
PMID- 18058829
TI - A likelihood-based method for real-time estimation of the serial interval and
reproductive number of an epidemic.
AB - We present a method for the simultaneous estimation of the basic reproductive
number, R(0), and the serial interval for infectious disease epidemics, using
readily available surveillance data. These estimates can be obtained in real time
to inform an appropriate public health response to the outbreak. We show how this
methodology, in its most simple case, is related to a branching process and
describe similarities between the two that allow us to draw parallels which
enable us to understand some of the theoretical properties of our estimators. We
provide simulation results that illustrate the efficacy of the method for
estimating R(0) and the serial interval in real time. Finally, we implement our
proposed method with data from three infectious disease outbreaks.
PMID- 18058830
TI - Medication errors in older people with mental health problems: a review.
AB - OBJECTIVE: To review and summarise published data on medication errors in older
people with mental health problems. METHODS: A systematic review was conducted to
identify studies that investigated medication errors in older people with mental
health problems. MEDLINE, EMBASE, PHARMLINE, COCHRANE COLLABORATION and PsycINFO
were searched electronically. Any studies identified were scrutinized for further
references. The title, abstract or full text was systematically reviewed for
relevance. RESULTS: Data were extracted from eight studies. In total, information
about 728 errors (459 administration, 248 prescribing, 7 dispensing, 12
transcribing, 2 unclassified) was available. The dataset related almost
exclusively to inpatients, frequently involved non-psychotropics, and the
majority of the errors were not serious. CONCLUSIONS: Due to methodology issues
it was impossible to calculate overall error rates. Future research should
concentrate on serious errors within community settings, and clarify potential
risk factors.
PMID- 18058831
TI - APOE genotype predicts depression in women with Alzheimer's disease: a
retrospective study.
AB - OBJECTIVE: The association between the APOE epsilon4 allele and depression was
investigated in a retrospective study of 323 AD patients. METHODS: Patients were
divided into demographically comparable groups based on the presence or absence
of depression. RESULTS: Results showed that the frequency of APOE epsilon4 allele
was significantly higher in the depressed vs non-depressed AD patients (72% and
58%, respectively), and an interaction revealed that women possessing the APOE
epsilon4 allele were almost four times more likely to be depressed than those
without the epsilon4 allele. CONCLUSION: Results are consistent with recent
suggestions that the APOE epsilon4 genotype may be over-represented among
depressed women with AD and highlight the need for additional research
investigating the links between APOE genotype, mood, and gender.
PMID- 18058833
TI - An exploration of spousal separation and adaptation to long-term disability: six
elderly couples engaged in a horticultural programme.
AB - The main objective of the present study was to explore the impact of separation
on couples where one spouse lives in a skilled nursing facility and the other
spouse lives alone in the community. Six couples participated in a 10-week
gardening group. Semi-structured interviews were conducted at the beginning of
the study and observations were made and discussions engaged through the 10-week
horticultural programme. Thematic analysis of interviews and discussions revealed
reduced social participation of community-dwelling spouses in an effort to
maintain their marital role. In one or more cases the non-institutionalized
spouse adapted to separation by developing social roles and relationships within
the skilled nursing facility and continuing as a caregiver to their spouse.
Occupational therapists are encouraged to include spouses in programmes to
nurture healthy spousal roles. Further research is needed to explore how elderly
couples may support each other through purposeful occupation while one spouse is
in a long-term care facility.
PMID- 18058834
TI - Characteristics associated with benzodiazepine usage in elderly outpatients in
Taiwan.
AB - OBJECTIVE: To investigate benzodiazepine usage and the characteristics associated
with usage among elderly outpatients in Taiwan. METHODS: This was an
observational study of subjects who were enrolled in the National Health
Insurance program and aged at least 65 in 2002. They were grouped according to
treatment period and mean dosage. An ordered logit regression model was used to
evaluate associations of characteristics with benzodiazepine usage. RESULTS: Of
the 4,267 elderly people included, 1,826 had received at least one prescription
for benzodiazepines. The 1-year prevalence of benzodiazepine usage by elderly
outpatients was approximately 43%. Characteristics associated with receiving
benzodiazepine therapy included female gender, displaying comorbid insomnia,
anxiety, depression, other mental diseases, cardiovascular disease, cancer,
chronic obstructive pulmonary disease, arthritis, and previous use of
benzodiazepines. Individuals older than 75 years, with comorbid insomnia,
anxiety, depression, other mental diseases, cardiovascular disease, cancer, being
in previous receipt of benzodiazepines, or high prescription-overlap ratio were
more likely to receive longer treatment. Individuals with insomnia, anxiety,
depression, and previous use of higher cumulative dosage of benzodiazepine were
more likely to receive higher-dosage therapy. CONCLUSIONS: Mental disorders and
previous exposure to higher cumulative dosages of benzodiazepines are associated
with an increased likelihood of receiving benzodiazepine therapy, longer
treatment, and a higher mean dosage. Older individuals, less likely to receive
higher dosage benzodiazepine therapy, are more likely to receive more prolonged
therapy. Women are more likely to receive benzodiazepine therapy, but both men
and women have comparable benzodiazepine usage patterns.
PMID- 18058832
TI - A double blind, placebo-controlled pilot study of galantamine augmentation of
antidepressant treatment in older adults with major depression.
AB - OBJECTIVE: Depression in older adults is often associated with cognitive
abnormalities and may predict later development of a primary cognitive disorder.
This double-blind, randomized, placebo-controlled pilot study was designed to
assess the safety and efficacy of galantamine augmentation of antidepressant
treatment for depressive and cognitive symptoms in older adults with major
depression. METHODS: Thirty-eight, non-demented older adults (age >50) with major
depression were randomized to receive galantamine or placebo augmentation of
standard antidepressant pharmacotherapy (venlafaxine XR or citalopram). Mood and
cognitive status were monitored for 24 weeks using the 24-item Hamilton Rating
Scale for Depression and the Repeatable Battery for the Assessment of
Neuropsychological Status. RESULTS: Both groups showed significant improvements
in mood and cognition over 24 weeks, but no significant difference was found in
change over time between groups. An exploratory post-hoc analysis suggested that
patients randomized to galantamine had lower depression scores compared to
patients in the placebo group after 2 weeks of treatment. Dropout was high with
more subjects randomized to antidepressant plus galantamine withdrawing early
from the study. CONCLUSIONS: This pilot study failed to demonstrate a benefit for
galantamine augmentation of antidepressant medication in the treatment of
depression in older adults. Future studies should explore strategies for reducing
dropout in such longitudinal trials and more carefully assess time to response
with cholinesterase inhibitor augmentation.
PMID- 18058835
TI - Incidence of cardiovascular events in breast cancer patients receiving
chemotherapy in clinical practice.
AB - PURPOSE: To assess the incidence of cardiovascular events among breast cancer
patients after chemotherapy. METHODS: Women > or =18 years with a breast tumour
who received chemotherapy in 1992-2003 were selected from the PHARMO RLS.
Chemotherapy with anthracyclines, a combination of anthracyclines and taxanes or
second line treatment with trastuzumab was classified as cardiotoxic.
Cardiovascular events were determined based on drug use and hospital admissions.
Incidence rates of cardiovascular events and hazard ratios (HR) for the
cardiotoxic versus non-cardiotoxic chemotherapy group were assessed during the
first year and total follow-up. RESULTS: Of 648 patients with breast cancer
included in the study cohort, 353 (54%) received cardiotoxic chemotherapy. At
baseline, patients who received cardiotoxic chemotherapy compared with patients
receiving non-cardiotoxic chemotherapy, received less anticoagulants/haemostatics
(5 vs. 11%; p = 0.012) and had been less often hospitalised for cardiovascular
disease (1 vs. 5%; p = 0.007) 2 years before the cohort entry date. After 1-year
follow-up, the incidence rate of cardiovascular events was 69/1000 person years
(py) for patients with cardiotoxic chemotherapy and 98/1000 py for patients with
non-cardiotoxic chemotherapy, which did not differ significantly (HR 0.74 95%
confidence interval (CI): 0.39, 1.41). After total follow-up, this was 81/1000 py
for patients with cardiotoxic and 92/1000 py for patients with non-cardiotoxic
chemotherapy (HR 0.81, 95%CI: 0.54, 1.20). CONCLUSIONS: This study showed similar
cardiovascular incidence rates during follow-up for breast cancer patients
treated with cardiotoxic and non-cardiotoxic chemotherapy. Specialists seemed to
take pre-existing cardiovascular diseases into account when treating the breast
cancer patient.
PMID- 18058838
TI - Improvement in behavioural symptoms in patients with moderate to severe
Alzheimer's disease by memantine: a pooled data analysis.
AB - INTRODUCTION: Behavioural disturbances are a common and distressing aspect of
Alzheimer's disease (AD). This pooled analysis evaluated the specific benefits of
memantine on behavioural disturbances in patients with moderate to severe AD.
METHODS: Data were pooled from six 24/28-week, randomised, placebo-controlled,
double-blind studies. Of the 2,311 patients included in these studies, 1,826
patients with moderate to severe AD (MMSE <20) were included in this analysis,
corresponding to the extended indication for memantine in Europe. In this
subgroup, 959 patients received memantine 20 mg/day and 867 received placebo.
Behavioural symptoms were rated using the Neuropsychiatric Inventory (NPI) total
and single-item scores at weeks 12 and 24/28. RESULTS: At weeks 12 and 24/28, ITT
analysis demonstrated that memantine treatment produced statistically significant
benefits over placebo treatment in NPI total score (p=0.001 and p=0.008), and in
NPI single items: delusions (p=0.007 week 12, p=0.001 week 24/28), hallucinations
(p=0.037 week 12), agitation/aggression (p=0.001 week 12, p=0.001 week 24/28),
and irritability/lability (p=0.005 week 24/28), LOCF population. Analysis of the
patients without symptoms at baseline indicated reduced emergence of
agitation/aggression (p=0.002), delusions (p=0.047), and disinhibition (p=0.011),
at week 12, and of agitation/aggression (p=0.002), irritability/lability
(p=0.004), and night-time behaviour (p=0.050) at week 24/28 in those receiving
memantine. OC analyses yielded similar results. CONCLUSIONS: The data suggest
that memantine is effective in treating and preventing the behavioural symptoms
of moderate to severe AD. Specific persistent benefits were observed on the
symptoms of delusions and agitation/aggression, which are known to be associated
with rapid disease progression, increased caregiver burden, early
institutionalisation, and increased costs of care.
PMID- 18058839
TI - European commission consultation on pharmacovigilance.
PMID- 18058842
TI - Predictive value of angiogenic factors and uterine artery Doppler for early-
versus late-onset pre-eclampsia and intrauterine growth restriction.
AB - OBJECTIVES: To investigate potential differences in the prediction of early- vs.
late-onset pre-eclampsia and/or intrauterine growth restriction (PE/IUGR) by
second-trimester uterine artery Doppler examination, and measurement of maternal
serum placental growth factor (PlGF) and soluble fms-like tyrosine kinase 1
(sFlt1). METHODS: Uterine artery mean pulsatility index (PI) and maternal serum
PlGF and sFlt1 levels were measured at 24 weeks of gestation in 76 healthy
pregnant women and 38 cases of PE/IUGR, of which 19 were defined as early onset
(< 32 weeks). RESULTS: For a specificity of 95%, the sensitivities of uterine
artery mean PI, PlGF and sFlt1 for early-onset PE/IUGR were 47.4%, 84.4% and
36.8%, respectively. When combining uterine artery Doppler with PlGF, the
sensitivity for identifying early-onset PE/IUGR was 89.5% with a specificity of
95%. Conversely, the sensitivity for late-onset PE/IUGR was below 11% for all
parameters analyzed. CONCLUSIONS: Angiogenic factors and uterine artery Doppler
evaluation may be useful second-trimester screening tests for early-onset, but
not late-onset, PE/IUGR.
PMID- 18058843
TI - Prenatal diagnosis of dumbbell neuroblastoma.
AB - A neuroblastoma that develops in the sympathetic nodes can infiltrate the
intervertebral foramina and invade the spinal canal, leading to spinal cord and
nerve root compression and neurological impairment. Dumbbell neuroblastomas are
now considered to be unresectable tumors and preoperative chemotherapy is
recommended. We report the prenatal diagnosis of a dumbbell neuroblastoma
successfully managed through premature delivery followed by immediate
chemotherapy. We suggest that delivering prematurely in such cases is only of
benefit if chemotherapy can be administered under favorable conditions.
Chemotherapy should proceed immediately after delivery in order to reduce the
size of the tumoral mass and its effects on the spine.
PMID- 18058844
TI - Free fatty acids as mediators of adaptive compensatory responses to insulin
resistance in dexamethasone-treated rats.
AB - BACKGROUND: Chronic low-dose dexamethasone (DEX) treatment in rats is associated
to insulin resistance with compensatory hyperinsulinaemia and reduction in food
intake. We tested the hypothesis that the elevation in circulating free fatty
acids (FFAs) induced by DEX is the common mediator of both insulin resistance and
insulin hyperproduction. METHODS: For this purpose, an anti-lipolytic agent was
administered during DEX treatment to lower lipacidaemia for several hours prior
to glucose and insulin tolerance tests. Leptin expression in adipose tissue (by
Northern blot) and plasma leptin levels (by radioimmunoassay) were also
investigated to verify whether a rise in circulating leptin could be responsible
for the anorectic effect of DEX. RESULTS: Our data show that a transient
pharmacological reduction of elevated plasma FFA levels abates the post-loading
hyperinsulinaemia and counteracts the insulin resistance induced by DEX,
supporting the hypothesis that the chronic elevation in FFAs is the common
mediator of DEX-induced changes. Despite enhanced leptin expression in white
adipose tissue, DEX-treated rats show no significant increase in plasma leptin
levels. This suggests that the anorectic effect of DEX should be mediated, at
least partially, by other factors, possibly related to the influence of
concomitantly elevated plasma FFA and insulin levels on the hypothalamic centers
regulating feeding. CONCLUSIONS: Our results sustain the idea that a prolonged
increase in plasma FFA levels plays an important role in the adaptive regulation
of glucose and energy homeostasis, not only by potentiating insulin secretion but
also by providing a signal of 'nutrient abundance' capable of restraining food
intake.
PMID- 18058845
TI - Selection of important variables and determination of functional form for
continuous predictors in multivariable model building.
AB - In developing regression models, data analysts are often faced with many
predictor variables that may influence an outcome variable. After more than half
a century of research, the 'best' way of selecting a multivariable model is still
unresolved. It is generally agreed that subject matter knowledge, when available,
should guide model building. However, such knowledge is often limited, and data
dependent model building is required. We limit the scope of the modelling
exercise to selecting important predictors and choosing interpretable and
transportable functions for continuous predictors. Assuming linear functions,
stepwise selection and all-subset strategies are discussed; the key tuning
parameters are the nominal P-value for testing a variable for inclusion and the
penalty for model complexity, respectively. We argue that stepwise procedures
perform better than a literature-based assessment would suggest. Concerning
selection of functional form for continuous predictors, the principal competitors
are fractional polynomial functions and various types of spline techniques. We
note that a rigorous selection strategy known as multivariable fractional
polynomials (MFP) has been developed. No spline-based procedure for
simultaneously selecting variables and functional forms has found wide
acceptance. Results of FP and spline modelling are compared in two data sets. It
is shown that spline modelling, while extremely flexible, can generate fitted
curves with uninterpretable 'wiggles', particularly when automatic methods for
choosing the smoothness are employed. We give general recommendations to
practitioners for carrying out variable and function selection. While
acknowledging that further research is needed, we argue why MFP is our preferred
approach for multivariable model building with continuous covariates.
PMID- 18058847
TI - Cost-effectiveness analysis in colorectal cancer using a semi-Markov model.
AB - Cost and effectiveness are usually modeled according to one studied event or one
health state with parametric or non-parametric methods. In this paper, we propose
an original method for assessing total costs while incorporating the dynamics of
change in the health status of patients. A semi-Markov model in which the
distributions of sojourn times are explicitly defined is developed. The hazard
function of sojourn times is modeled by Weibull distributions specific to each
transition. A vector of covariates is incorporated into the hazard function of
each transition. From a regression model for costs, a cumulative cost function is
derived. An estimation of the mean cost per patient in each state defined in the
semi-Markov model could thus be made, and this enables us to identify the
determinants of direct costs. The results of incremental net benefit (INB) are
assessed using the bootstrap method. A cost-effectiveness analysis is performed
in order to compare two strategies of follow-up in the colorectal cancer study.
Two hundred and forty patients were enrolled in this study. Three health states
are defined for patients with curative resection of colorectal cancer: alive
without relapse, alive with relapse, and dead. The mean survival is 4.35 and 4.12
years, respectively, in the standard and moderate follow-up groups. We show that
mean cost differs significantly by follow-up strategy and Dukes stage. Finally,
the INB is assessed and this indicates that neither of the strategies compared
was more cost-effective than the other.
PMID- 18058848
TI - Statistical analysis of donation--transfusion data with complex correlation.
AB - Blood-borne transmission of disease is estimated from linked data records from
blood donors and transfusion recipients. However, such data are characterized by
complex correlation due to donors typically contributing many donations and
recipients being transfused with multiple units of blood product. In this paper,
we present a method for analysing such data, by using a modification of a nested
case-control design. For recipients who develop the disease of interest (cases)
and their matched controls, all donors who contributed blood to these individuals
define clusters or 'families' of related individuals. Using a Cox regression
model for the hazard of the individuals within clusters of donors, we estimate
the risk of transmission, and a bootstrap step provides valid standard errors
provided the clusters are independent. As an illustration, we apply the method to
the analysis of a large database of Swedish donor and recipient records linked to
the population cancer register. We investigate whether there is an increased risk
of cancer in recipients transfused with blood from donors who develop cancer
after donating. Our method provides a powerful alternative to the small 'look
back' studies typical of transfusion medicine and can make an important
contribution to haemovigilance efforts.
PMID- 18058849
TI - Factors associated with treatment nonadherence among US bipolar disorder
patients.
AB - OBJECTIVE: Since sustained treatment-adherence is often problematic and may limit
clinical outcomes among bipolar disorder (BPD) patients, we sought risk factors
to guide clinical prediction of nonadherence. METHODS: Data were from a 2005 US
national sample providing questionnaire responses by 131 randomly selected
prescribing psychiatrists and their adult BPD patients. We contrasted demographic
and clinical factors in treatment-adherent versus nonadherent patients (strictly
defined as missing > or =1 dose within 10 days) in univariate analyses followed
by multivariate logistic-regression modeling. RESULTS: Of 429 DSM-IV BPD patients
(79% type-I; 62% women; 17% minorities), 34% reported missing > or = 1 dose of
psychotropic medication within 10 days, 20% missed entire daily doses at least
once, and only 2.5% missed all doses for 10 days. However, their prescribing
psychiatrists considered only 6% as treatment-nonadherent. Factors significantly
associated with nonadherence in multivariate modeling ranked: alcohol-dependence
> youth > greater affective morbidity > various side effects > or = comorbid
obsessive-compulsive disorder > or = recovering from mania-hypomania. Unrelated
were sex, diagnostic subtype, and other comorbidities. Since most patients
received > or = 2 psychotropics, potential relationships between treatment
complexity and adherence were obscured. CONCLUSIONS: Prevalent treatment
nonadherence among American BPD patients, and striking underestimation of the
problem by prescribing clinicians may encourage increasingly complex treatment
regimens of untested value, but added expense, risk of adverse effects, and
uncertain impact on treatment-adherence itself.
PMID- 18058850
TI - Assessing surrogacy from the joint modelling of multivariate longitudinal data
and survival: application to clinical trial data on chronic lymphocytic
leukaemia.
AB - In clinical research, we are often interested in assessing how a biomarker
changes with time, and whether it could be used as a surrogate marker when
evaluating the efficacy of a new drug. However, when the longitudinal marker is
correlated with survival, linear mixed models for longitudinal data may be
inappropriate. By contrast, it may be possible to recover information from the so
called informative censoring by modelling both the longitudinal information and
the survival process. The objective of this work is to jointly model longitudinal
and survival data to assess surrogacy. Two competitive modelling strategies were
used, either a multistate model summarizing the course of longitudinal data and
occurrence of disease progression or death, or a joint longitudinal-survival
model. We present both analyses based on a case study from two randomized
clinical trials that enrolled patients with stage A chronic lymphocytic leukaemia
(CLL) in order to obtain further insights into these different approaches.
PMID- 18058851
TI - Stratification for the propensity score compared with linear regression
techniques to assess the effect of treatment or exposure.
AB - Stratifying and matching by the propensity score are increasingly popular
approaches to deal with confounding in medical studies investigating effects of a
treatment or exposure. A more traditional alternative technique is the direct
adjustment for confounding in regression models. This paper discusses fundamental
differences between the two approaches, with a focus on linear regression and
propensity score stratification, and identifies points to be considered for an
adequate comparison. The treatment estimators are examined for unbiasedness and
efficiency. This is illustrated in an application to real data and supplemented
by an investigation on properties of the estimators for a range of underlying
linear models. We demonstrate that in specific circumstances the propensity score
estimator is identical to the effect estimated from a full linear model, even if
it is built on coarser covariate strata than the linear model. As a consequence
the coarsening property of the propensity score-adjustment for a one-dimensional
confounder instead of a high-dimensional covariate-may be viewed as a way to
implement a pre-specified, richly parametrized linear model. We conclude that the
propensity score estimator inherits the potential for overfitting and that care
should be taken to restrict covariates to those relevant for outcome.
PMID- 18058852
TI - High-dose escitalopram in the treatment of binge-eating disorder with obesity: a
placebo-controlled monotherapy trial.
AB - OBJECTIVE: The purpose of this study was to evaluate the efficacy and safety of
high-dose escitalopram in the treatment of binge-eating disorder (BED) associated
with obesity. METHOD: Forty-four outpatients with BED by DSM-IV criteria and
obesity were randomized to receive either escitalopram (N = 21) or placebo (N =
23) in a 12-week, double-blind, flexible dose (10-30 mg/day) study. RESULTS: In
the primary analysis, escitalopram (mean dose 26.5 mg/day) and placebo had
similar rates of reduction of binge episodes, binge days and obsessive-compulsive
symptoms of BED. However, escitalopram was associated with statistically
significant reductions in weight, body mass index (BMI), and global severity of
illness scores. In a secondary analysis, escitalopram was associated with
statistically significant reductions in frequency of binge episodes and binge
days, weight, BMI and severity of illness, but not in obsessive-compulsive
symptoms of BED. No changes in metabolic variables, including measures of ghrelin
and leptin, were observed. High-dose escitalopram was well tolerated. CONCLUSION:
High-dose escitalopram was not efficacious in reducing obsessive-compulsive
symptoms of BED, but was efficacious in reducing weight and global severity of
illness. No definitive conclusions about its efficacy in reducing binge-eating
frequency could be drawn due to limitations related to statistical power.
PMID- 18058854
TI - Robustness of a parametric model for informatively censored bivariate
longitudinal data under misspecification of its distributional assumptions: A
simulation study.
AB - Repeated measurements of surrogate markers are frequently used to track disease
progression, but these series are often prematurely terminated due to disease
progression or death. Analysing such data through standard likelihood-based
approaches can yield severely biased estimates if the censoring mechanism is non
ignorable. Motivated by this problem, we have proposed the bivariate joint
multivariate random effects (JMRE) model, which has shown that when correctly
specified it performs well in terms of bias reduction and precision. The
bivariate JMRE model is fully parametric and belongs to the class of shared
parameters joint models where a survival model for the dropouts and a mixed model
for the markers' evolution are linked through a multivariate normal distribution
of random effects. As in every parametric model, robustness under violations of
its distributional assumptions is of great importance. In this study we generated
500 simulated data sets assuming that random effects jointly follow a heavy
tailed distribution, two skewed distributions or a mixture of two normal
distributions. Moreover, we generated data where level-1 errors or residuals in
the survival part of the model follow a skewed distribution. Further sensitivity
analysis on the effects of reduced sample size, increased level-1 variances and
altered fixed effects values was also performed. We found that fixed effects
estimates are almost unaffected, but their standard errors (SEs) may be
underestimated especially under heavily skewed distributions. The proposed model
seems robust enough, but its performance on smaller data sets or under more
extreme departures of its assumptions needs further investigation.
PMID- 18058856
TI - Dietary intake and risk evaluation of polybrominated diphenyl ethers in The
Netherlands.
AB - The current study aims at estimating the dietary intake of PBDEs in the
Netherlands and evaluating the resultant risk. Dietary intake was estimated using
results of PBDE analyses in Dutch food products from 2003/2004 and consumption
data of the third Dutch National Food Consumption Survey (1997/1998). Assuming
that non-detects represent levels of half the detection limit, the median long
term intake of the Dutch population of the sum of five major PBDEs (namely PBDEs
47, 99, 100, 153+154) is 0.79 ng/kg body weight bw/day (P97.5: 1.62 ng/kg
bw/day). When non-detects are considered as zeros the values are 0.53 (median)
and 1.34 (P97.5) ng/kg bw/day. Environmental concentrations of PBDEs in Europe
are expected to decline in the near future because of the ban on penta- and
octaBDE technical products. However, it will take at least a decade before this
will result in lower PBDE concentrations in food products. Hence, a regular
monitoring program for PBDEs is recommended. A risk evaluation at the most
sensitive endpoints of BDE 99 carried out in this paper indicates that, although
the long-term exposure to BDE 99 is well below the human exposure threshold level
for neurodevelopmental toxicity, it may be close to that for reproductive
toxicity.
PMID- 18058857
TI - Human papillomavirus, cervical carcinogenesis and chemoprevention with Indole
derivates - a review of pathomechanisms.
AB - Cervical cancer is the second most common female cancer worldwide with high risk
Human Papillomavirus (HPV) infection playing an essential aetiological role.
Oestrogen interacts with HPV at a cellular level causing cell growth and
inhibition of apoptosis. Indole derivatives, formed during digestion of
cruciferous vegetables, have been shown to have chemopreventative properties
inhibiting HPV transcription and influencing oestrogen metabolism. This review
describes the interactions between HPV, oestrogen and indole derivatives. Further
clinical research is required to evaluate the chemopreventative properties of
these agents.
PMID- 18058858
TI - Simultaneous determination of antiepileptic drugs and their two active
metabolites by HPLC.
AB - An HPLC procedure for the determination of lamotrigine (LAM) simultaneously with
other antiepileptic drugs, primidone (PD), phenobarbital (PB), phenytoin (DPH),
carbamazepine (CMZ), and two active metabolites 2-phenyl-2-ethyl-malonamide
(PEMA) and 10,11-dihydro-10,11-epoxycarbamazepine (EPO) was developed and
validated. The method involves an ordinary RP system and a liquid-liquid
extraction. The mobile phase consisting of water/ACN/methanol/triethylamine in
the ratio 72:23:5:0.1 with pH 7.0 was selected as the best one after the assays
testing both pH and triethylamine contents. UV detection was carried out at a
wavelength of 220 nm and the whole analysis took 15 min. The method was linear in
the range of 0.5-25 mg/L for PEMA and LAM; 1.25-25 mg/L for PD and CMZ; 0.625
12.5 mg/L for EPO; 1.5-60 mg/L for PB; and 1.25-50 mg/L for DPH, respectively.
Within-day CV% and between-day CV% were within 10%. The developed HPLC method can
be used for routine therapeutic drug monitoring both in children and adults.
PMID- 18058859
TI - Capillary electrophoresis evidence of the stereoselective ketoreduction of
mebendazole and aminomebendazole in echinococcosis patients.
AB - An assay for the simultaneous determination of the enantiomers of
hydroxymebendazole (OH-MBZ) and hydroxyaminomebendazole (OH-AMBZ) together with
aminomebendazole (AMBZ) in human plasma is described for the first time. It is
based upon liquid-liquid extraction at alkaline pH from 0.5 mL plasma followed by
analysis of the reconstituted extract by CE with reversed polarity in the
presence of a 50 mM, pH 4.2 acetate buffer containing 15 mg/mL sulfated beta-CD
as chiral selector. For all compounds, detection limits are between 0.01 and 0.04
microg/mL, and intraday and interday precisions evaluated from peak area ratios
are <6.9 and <8.5%, respectively. Analysis of 39 samples of echinoccocosis
patients undergoing pharmacotherapy with mebendazole (MBZ) revealed that the
ketoreduction of MBZ and AMBZ is highly stereoselective. One enantiomer of each
metabolite (firstly detected peak in both cases) could only be detected. The CE
data revealed that OH-MBZ (mean: 0.715 microg/mL) is the major metabolite
followed by AMBZ (mean: 0.165 microg/mL) and OH-AMBZ (mean: 0.055 microg/mL)
whereas the MBZ plasma levels (mean: 0.096 microg/mL, levels determined by HPLC)
were between those of AMBZ and OH-AMBZ.
PMID- 18058860
TI - Rapid and sensitive determination of acrylamide in drinking water by planar
chromatography and fluorescence detection after derivatization with dansulfinic
acid.
AB - On the basis of a novel derivatization, a new planar chromatographic method has
been developed for the determination of acrylamide (AA) in drinking water at the
ultra-trace level. After SPE, the water extracts were oversprayed on a high
performance thin-layer chromatography (HPTLC) silica gel plate with the
derivatization agent dansulfinic acid and derivatized in situ. Chromatography was
performed with ethyl acetate and the fluorescent product was quantified at
366/>400 nm. Verification was based on HPTLC-ESI/MS, HPTLC-direct analysis in
real-time (DART)-TOF/MS and NMR. The routine HPTLC-fluorescence detection (FLD)
method was validated for spiked drinking water. The regression analysis was
linear (r >0.9918) in the range of 0.1-0.4 microg/L. LOD was calculated to be
0.025 microg/L and experimentally proved for spiked samples at levels down to
0.05 microg/L (S/N = 6) which was suited for monitoring the EU limit value of 0.1
microg/L in drinking water (0.5 microg/L demanded by World Health Organization
(WHO)/US Environmental Protection Agency (EPA)). Within-run precision and the
mean between-run precision (RSD, n = 3, three concentration levels each) were
evaluated to be 4.8 and 11.0%, respectively. The mean recovery (0.1, 0.2, and 0.3
microg/L) was 96% corrected by the internal standard. The method, in comparison
with HPLC-MS/MS showed comparable results and demonstrated the accuracy of the
method.
PMID- 18058861
TI - Molecular homochirality and the parity-violating energy difference. A critique
with new proposals.
AB - Previous proposals for the origin of molecular homochirality, based on the effect
of the weak neutral current (WNC) on enantiomers, and the amplification of the
resultant parity-violating energy difference (PVED), are possibly flawed. The
additive amplification of PVED in crystals and polymers ("Yamagata hypothesis")
cannot lead to detectable levels of optical activity, the original theory
apparently overestimating PVED by a factor equal to Avogadro's number. An
alternative theory based on the irreversible and spontaneous evolution of a
dynamically fluctuating system is apparently impractical. However, the nonlinear
amplification of PVED via autocatalytic polymerization may be possible as
indicated by a simplified physico-chemical approach. This may also occur during
crystallization and melting, and form the basis of the second order asymmetric
transformation. (Thus, reported differences in the melting points of enantiomers
in several cases may well be real). Also, the preponderance of racemic compounds
over conglomerates may be based on the destabilization of the conglomerate by the
action of the WNC on the crystalline lattice. The WNC may also be involved in the
anomalous scattering of X-rays, which possibly arises from their circular
polarization: the current theory would need to be revised accordingly.
PMID- 18058862
TI - The resolution of five racemic alpha-lactams by HPLC.
AB - The resolution of five racemic alpha-lactams (1a-d,g) using HPLC is reported.
Five different Pirkle-type stationary phases were tested. The enantiomers of
alpha-lactams containing the trityl group (1a-d) were separated (selectivity
factors ranging from 1.08 to 1.20) using a mobile phase of hexane/2-propanol:98/2
and a stationary phase consisting of the 3,5-dinitroaniline derivative of (S)
valine with a urea linkage. Among the dialkyl-substituted alpha-lactams (1e-g),
only 1,3-di-tert-butylaziridinone (1g) could be resolved, but only partially
(selectivity factor = 1.07), with a mobile phase of hexane/1,2
dichloroethane:95/5 and the stationary phase consisting of the 3,5-dinitrobenzoic
acid derivative of (R)-1-naphthylglycine.
PMID- 18058863
TI - Synthesis of chiral vicinal diols and analysis of them by capillary zone
electrophoresis.
AB - This paper describes an improved access to 1,4-bis (9-O-quininyl) phthalazine
[(QN)(2)PHAL], a very useful chiral ligand for catalytic asymmetric
dihydroxylation (AD), by using CaH(2) as acid-binding reagent in a high yield
under mild conditions. The application of (QN)(2)PHAL to the AD reactions of
eight olefins exhibited excellent enantioselectivity and activity with
corresponding chiral vicinal diols. Furthermore, a capillary zone electrophoresis
method was developed to separate the aforementioned chiral vicinal diols by using
of neutral beta-cyclodextrin (beta-CD) as chiral selector and borate as running
buffer. High resolution was achieved under the optimal conditions of beta-CD 2.2%
(w/v), pH 10, 200 mM borate buffer at 15 kV, and 20 degrees C within 15 min. The
relative standard deviations of the corrected peak areas and migration time were
less than 3.9% and 1.3%, respectively. In addition, the developed method was
successfully applied to the determination of the purity and the enantiomeric
excesses value (%ee) of the AD reaction products.
PMID- 18058864
TI - A DFT study of solvation effects on the tautomeric equilibrium and catalytic
ylide generation of thiamin models.
AB - Thiamin diphosphate (ThDP) is the biologically active form of vitamin B1 and an
essential cofactor for a number of enzymes. The effect of solvent polarity on the
tautomeric equilibria of ThDP using three model systems of the 4'-aminopyrimidine
ring is studied by density functional theory calculations (B3LYP/6
311+G(d,p)//B3LYP/6-31G(d)) in the gas phase and selected solvents (cyclohexane,
ether, dichloroethane, and water). Solvation effects are investigated using three
different schemes: implicit solvation by a continuum model, explicit solvation by
inclusion of three water molecules mimicking the first solvation shell of the
enzymatic environment, and by a mixed implicit/explicit solvation model. The 4'
aminopyrimidine tautomer is more stable than the 1',4'-iminopyrimidine tautomer
in all solvation schemes employed; however, the trend for the stabilities of the
1',4'-iminopyrimidine tautomer in the solvents depends on the specific ThDP
model. Formation of the catalytic important ylide for ThDP-dependent enzymes by
deprotonation of ThDP(C2) is also investigated by localization of transition
states for two possible pathways. Only the less stable tautomer, 1',4'
iminopyrimidine ThDP, is able to form the catalytic active ylide. Generation of
the ylide through a direct intramolecular proton transfer from ThDP(C2) to the
ThDP(N4') nitrogen lone pair is favored by 6 kcal/mol in the gas phase, as
compared to a water-mediated ylide generation. However, inclusion of a dielectric
medium reduces this difference dramatically. Furthermore, inclusion of two water
molecules to model the apoenzymatic environment lowers the activation energies of
both direct and water-mediated ylide generation.
PMID- 18058865
TI - The presence of halide salts influences the non-covalent interaction of MRI
contrast agents and human serum albumin.
AB - The rationale and objectives of the study were to evaluate the influence of the
experimental conditions (buffer, salt, etc.) on the data characterizing the non
covalent interaction between MRI contrast agents and human serum albumin and
hence their in vivo relaxivity. The interaction of three gadolinium contrast
agents (Gd-EOB-DTPA, Gd-BOPTA and MP-2269) with human serum albumin was assessed
through the measurement of proton relaxation rate enhancement in various
experimental conditions. The data show the negative effect of halide salts on the
paramagnetic relaxation enhancement of the three contrast agents. The presence of
halide salts can thus have a negative effect on the efficacy of MRI contrast
agents interacting with HSA. In addition, careful attention must be paid to
comparisons of the binding parameters of various contrast agents reported in
different studies since the composition of the medium can greatly influence the
non-covalent interaction.
PMID- 18058866
TI - Reporter gene imaging using radiographic contrast from nonradioactive iodide
sequestered by the sodium-iodide symporter.
AB - The hypothesis that the human sodium-iodide symporter, NIS, can be used to detect
NIS expression using standard radiological techniques was tested using adenoviral
transduced NIS expression in human tumor xenografts grown in mice and in a naive
dog prostate. Nonradioactive iodide was administered systemically to animals that
1-3 days previously had received a local injection of a replication-competent
adenovirus expressing NIS under the control of the CMV promoter. The distribution
of radiopacity was assessed in mouse tumors using micro-CT and a clinical X-ray
machine and in the prostate of an anesthetized dog using a clinical spiral CT.
Iodide sequestration and NIS expression were measured using X-ray spectrochemical
analysis and fluorescence microscopy, respectively. Radiographic contrast due to
NIS gene expression that was observed indicates the technique has potential for
use in preclinical rodent tumor studies but probably lacks sensitivity for human
use.
PMID- 18058867
TI - Alignment by the convective and vortex flow of achiral self-assembled fibers
induces strong circular dichroism effects.
PMID- 18058868
TI - Novel reactivity of side-on (disulfido)dicopper complexes supported by bi- and
tridentate nitrogen donors: impact of axial coordination.
PMID- 18058869
TI - Hole control in microporous polymers.
PMID- 18058870
TI - High-pressure polymorphism as a step towards destabilization of LiBH4.
PMID- 18058871
TI - Induction of optical activity in an oligothiophene synchronized with pH-sensitive
folding of amylose.
AB - Control of the helical sense in alpha-sexithiophene (6T) through pH-responsive
wrapping with left-handed-helical amylose is demonstrated. A change in pH of the
medium caused a significant conformational change in amylose as the host polymer,
which resulted in either supramolecular complexation with 6T as the guest
molecule to induce optical activity or decomplexation leading to loss of optical
activity. Furthermore, we observed that chirality reversal in 6T does not require
hosts of opposite helical chirality, but can be made possible simply by taking
advantage of the pH sensitivity of the amylose folding, which is dependent on the
pH history of the aqueous medium. In helical amylose, 6T assumes a clockwise
twisted conformation when the pH is changed from acidic to neutral, but assumes
an anticlockwise-twisted conformation when the aqueous solution is acidified from
very basic conditions.
PMID- 18058872
TI - A crown ether as template for microporous and nanostructured uranium compounds.
PMID- 18058873
TI - Achiral nucleobase cytosine acts as an origin of homochirality of biomolecules in
conjunction with asymmetric autocatalysis.
PMID- 18058874
TI - Reductive coupling of acetonitrile by uranium and thorium hydride complexes to
give cyanopentadienyl dianion (C6N3H7)2-.
PMID- 18058875
TI - Chlorotonil A, a macrolide with a unique gem-dichloro-1,3-dione functionality
from Sorangium cellulosum, So ce1525.
PMID- 18058876
TI - DNA minicircles with gaps for versatile functionalization.
PMID- 18058877
TI - Iron-catalyzed C-O cross-couplings of phenols with aryl iodides.
PMID- 18058878
TI - Benzimidazole/amine-based compounds capable of ambipolar transport for
application in single-layer blue-emitting OLEDs and as hosts for phosphorescent
emitters.
PMID- 18058879
TI - Efficient photoswitching of the nonlinear optical properties of dipolar
photochromic zinc(II) complexes.
PMID- 18058880
TI - Tetrazarsoles--a new class of binary arsenic-nitrogen heterocycles.
PMID- 18058881
TI - Asymmetric synthesis and biological properties of uncialamycin and 26-epi
uncialamycin.
PMID- 18058882
TI - Amavadin and other vanadium complexes as remarkably efficient catalysts for one
pot conversion of ethane to propionic and acetic acids.
AB - Synthetic amavadin Ca[V{ON[CH(CH(3))COO](2)}(2)] and its models
Ca[V{ON(CH(2)COO)(2)}(2)] and [VO{N(CH(2)CH(2)O)(3)}], in the presence of
K(2)S(2)O(8) in trifluoroacetic acid (TFA), exhibit remarkable catalytic activity
for the one-pot carboxylation of ethane to propionic and acetic acids with the
former as the main product (overall yields up to 93 %, catalyst turnover numbers
(TONs) up to 2.0 x 10(4)). The simpler V complexes [VO(CF(3)SO(3))(2)],
[VO(acac)(2)] and VOSO(4) are less active. The effects of various factors,
namely, C(2)H(6) and CO pressures, time, temperature, and amounts of catalyst,
TFA and K(2)S(2)O(8), have been investigated, and this allowed optimisation of
the process and control of selectivity. (13)C-labelling experiments indicated
that the formation of acetic acid follows two pathways, the dominant one via
oxidation of ethane with preservation of the C--C bond, and the other via rupture
of this bond and carbonylation of the methyl group by CO; the C--C bond is
retained in the formation of propionic acid upon carbonylation of ethane. The
reactions proceed via both C- and O-centred radicals, as shown by experiments
with radical traps. On the basis of detailed DFT calculations, plausible reaction
mechanisms are discussed. The carboxylation of ethane in the presence of CO
follows the sequential formation of C(2)H(5) (*), C(2)H(5)CO(*), C(2)H(5)COO(*)
and C(2)H(5)COOH. The C(2)H(5)COO(*) radical is easily formed on reaction of
C(2)H(5)CO(*) with a peroxo V catalyst via a V{eta(1)-OOC(O)C(2)H(5)}
intermediate. In the absence of CO, carboxylation proceeds by reaction of
C(2)H(5) (*) with TFA. For the oxidation of ethane to acetic acid, either with
preservation or cleavage of the C-C bond, metal-assisted and purely organic
pathways are also proposed and discussed.
PMID- 18058883
TI - Tuning the charge distribution and photoswitchable properties of cobalt-dioxolene
complexes by using molecular techniques.
AB - A series of cobalt complexes [Co(Me(n)tpa)(diox)]PF(6)sol (diox=3,5-di-tert-butyl
1,2-dioxolene; sol=ethanol, toluene; tpa=tris(2-pyridylmethyl)amine) were
prepared by using tripod-like Me(n)tpa (n=0, 1, 2, 3), derived from tpa by
successive introduction of methyl groups into the 6-position of the pyridine
moieties, as an ancillary ligand. The steric hindrance induced by this
substitution modulates the redox properties of the metal acceptor, thus
determining the charge distribution of the metal-dioxolene moiety at room
temperature. All of these complexes were characterised by using diffractometric
studies, electronic spectroscopic analysis, and magnetic susceptibility
measurements. In the solid state, the [Co(Me(n)tpa)(diox)](+) ions (n=0, 1) can
be described as diamagnetic cobalt(III)-catecholato derivatives, whereas a
cobalt(II)-semiquinonato description seems appropriate for the paramagnetic
[Co(Me(3)tpa)(diox)](+) complex. The complex [Co(Me(2)tpa)(diox)]PF(6)C(2)H(5)OH
undergoes entropy-driven valence tautomeric interconversion at room temperature.
Optically induced valence tautomerism was observed by irradiation of
[Co(Me(n)tpa)(diox)]PF(6) complexes (n=0, 1, 2) at cryogenic temperatures. The
different relaxation kinetics of the photoinduced metastable phases are related
to the respective free-energy changes of the interconversion, as estimated by
cyclic voltammetric experiments at room temperature, and to the different lattice
interactions, as supported by structural data. These results show the importance
of molecular techniques for controlling the relaxation properties of photoinduced
metastable species. At the same time, this behaviour strongly suggests that this
paradigm exhibits intrinsic limits because of the less controllable factors that
affect the process.
PMID- 18058884
TI - Precursor approach to lanthanide dioxo monocarbodiimides Ln2O2CN2 (Ln=Y, Ho, Er,
Yb) by insertion of CO2 into organometallic Ln-N compounds.
AB - We present two organometallic precursor approaches leading to the hitherto
unknown dioxo monocarbodiimides (Ln(2)O(2)CN(2)) of the late lanthanides Ho, Er,
and Yb as well as yttrium. One involves insertion of CO(2), and the other one is
a straightforward route using a molecular single-source precursor. To this end
the reactivity of the activated amido lanthanide compound [(Cp(2)ErNH(2))(2)]
towards carbon dioxide absorption under supercritical conditions was studied.
Selective insertion of CO(2) into the amido complex yielded the single-source
precursor [Er(2)(O(2)CN(2)H(4))Cp(4)], which was characterized by vibrational
spectroscopy and thermal and elemental analyses. Ammonolysis of this amorphous
compound at 700 degrees C affords Er(2)O(2)CN(2). To gain deeper insight into the
structural characteristics of the amorphous precursor, a similar molecular
carbamato complex was synthesized and fully characterized. X-ray structure
analysis of the dimeric complex [Cp(4)Ho(2){mu-eta(1):eta(2)-OC(OtBu)NH}] shows
an unusual bonding mode of the tert-butylcarbamate ligand, which acts as both a
bridging and side-on chelating group. Ammonolysis of this compound also yielded
dioxo monocarbodiimides, and therefore the crystalline carbamato complex turned
out to be an alternative precursor for the straightforward synthesis of
Ln(2)O(2)CN(2). Analogously, the dioxo monocarbodiimides of Y, Ho, Er, and Yb
were synthesized by this route. The crystal structures were determined from X-ray
powder diffraction data and refined by the Rietveld method (Ln=Ho, Er). Further
spectroscopic characterization and elemental analysis evidenced the existence of
phase-pure products. The dioxo monocarbodiimides of holmium and erbium
crystallize in the trigonal space group P[over]3m1. According to X-ray powder
diffraction, they adopt the Ln(2)O(2)CN(2) (Ln=Ce-Gd) structure type.
PMID- 18058885
TI - Zn[BPO4(OH)2]: a zinc borophosphate with the rare moganite-type topology.
AB - A novel zinc borophosphate Zn[BPO(4)(OH)(2)] with moganite-type topology (a rare
polymorph of silica) has been prepared from a mixture of ZnO, B(2)O(3), and
P(2)O(5) by hydrothermal treatment at 443 K. The crystal structure was determined
from single-crystal X-ray data (orthorhombic, Pbcn (no. 60), a=915.07(3),
b=897.22(3), c=1059.19(3) pm, V=869.62(5)x10(6) pm(3), Z=8, R1=0.028, wR2=0.075).
The crystal structure comprises unbranched vierer-single borophosphate chains
running along [010] and interconnected via ZnO(2)(OH)(2)-tetrahedra by sharing
common vertices. The resulting topology of the three-dimensional tetrahedral
framework structure is described by the Schlafli symbol
(4(2).6(2).8(2))(4.6(4).8)(2). Although showing Zn in a tetrahedral coordination,
the title compound does not belong to the group of zincoborophosphates but is a
special case of a borophosphate containing vierer single rings of tetrahedra with
the sequence Zn-B-Zn-P.
PMID- 18058886
TI - Observation of three intervalence-transfer bands for a class II-III mixed-valence
complex of ruthenium.
PMID- 18058887
TI - Experimental evidence that a DNA polymerase can incorporate N7-platinated
guanines to give platinated DNA.
PMID- 18058888
TI - Synthesis and characterization of the gold(I) tris(ethylene) complex
[Au(C2H4)3][SbF6].
PMID- 18058889
TI - Tailor-made fructooligosaccharides by a combination of substrate and genetic
engineering.
AB - The combination of sucrose analogues as novel substrates (substrate engineering)
and highly active recombinant beta-fructofuranosidase from A. niger (genetic
engineering) provides a new powerful tool for the efficient preparative synthesis
of tailor-made saccharides of the important 1-kestose and 1-nystose type headed
with different monosaccharides of interest. These novel compounds have been
isolated. They did not display toxic effects or suppress cell growth in initial
studies, making these new compounds potential candidates for prebiotics.
PMID- 18058890
TI - Nanopore analysis of a small 86-residue protein.
PMID- 18058891
TI - Cell specific aptamer-photosensitizer conjugates as a molecular tool in
photodynamic therapy.
PMID- 18058892
TI - Genetically encoded bioluminescent indicator for ERK2 dimer in living cells.
AB - In this study, a genetically encoded bioluminescent indicator for ERK2 dimer was
developed with the split Renilla luciferase complementation method, in which the
formation of ERK2 dimer induces a spontaneous emission of bioluminescence in
living cells. In response to extracellular stimuli, such as epidermal growth
factor (EGF) or 17beta-estradiol (E2), extracellular signal-regulated kinase 2
(ERK2) is phosphorylated by its upstream kinase MEK, and also phosphorylates its
substrates in various regions of the cell, including the nucleus. Phosphorylated
ERK2 is led to form its dimer, thereby transporting itself into the nucleus. We
demonstrated with the indicator that stimulation with EGF or E2 induces the
formation of ERK2 dimer in living MCF-7 cells. The dynamics of this dimer
formation was examined and discussed.
PMID- 18058895
TI - Enantio- and diastereoselective syntheses of cyclic Calpha-tetrasubstituted alpha
amino acids and their use to induce stable conformations in short peptides.
AB - C(alpha)-tetrasubstituted alpha-amino acids are widely used to design and prepare
peptides and peptide mimics with constrained conformations. Subcategories of
these compounds are cyclic C(alpha)-tetrasubstituted alpha-amino acids, in which
both alpha-substituents are covalently connected. This survey presents recent
advances in the synthesis and application of cyclic C(alpha)-tetrasubstituted
alpha-amino acids in a systematic order beginning with cyclopropane amino acids,
continuing with four, five, six membered rings, and ring structures larger than
six-membered. We discuss synthetic routes to the cyclic C(alpha)-tetrasubstituted
alpha-amino acids and their use as conformation determining elements in peptides.
PMID- 18058897
TI - Effects of supportive-expressive group therapy on survival of patients with
metastatic breast cancer: a randomized prospective trial.
PMID- 18058898
TI - Arterial supply to the sciatic nerve in the gluteal region.
AB - The arterial supply to the sciatic nerve was investigated in 20 human lower limbs
(10 right, 10 left) from 20 cadavers (14 females, aged 84 +/- 9.6 years, range 66
95 years: 6 males, aged 80 +/- 8.2 years, range 70-90 years). In all limbs
examined at least 1 sciatic artery could be identified supplying the sciatic
nerve in the gluteal region. In total 28 sciatic arteries were identified, of
which 14 arose from the medial circumflex femoral artery, 11 from the inferior
gluteal artery, 2 from the first perforating artery, and 1 from the internal
pudendal artery. In 5 limbs, 2 sciatic arteries were observed, being independent
branches from the medial circumflex femoral and inferior gluteal arteries in 4
limbs and separate branches of the medial circumflex femoral artery in 1 limb. In
1 limb, 4 sciatic arteries were observed: 1 from the inferior gluteal artery, 2
from the medial circumflex femoral artery, and 1 from the first perforating
artery. In the remaining 14 limbs a single sciatic artery was observed, which in
one case arose from the internal pudendal artery, a previously unreported
observation.
PMID- 18058899
TI - Parapharyngeal abscess: a life-threatening complication of quinsy.
PMID- 18058900
TI - Evaluation of methods to reduce formaldehyde levels of cadavers in the dissection
laboratory.
AB - Dissection of conventionally embalmed cadavers exposes students, staff, and
faculty to formaldehyde, a probable carcinogen. Therefore, prudent practices
should seek to minimize formaldehyde exposure. In this study, we evaluated two
commercially available chemicals, InfuTrace and Perfect Solution, for their
effectiveness in reducing ambient formaldehyde levels. Four cadavers embalmed
conventionally with formaldehyde and/or with the above agents were compared for
their formaldehyde levels under conditions that strictly controlled for air
circulation and for locations and methods of testing, and during activities that
simulated student dissecting. For InfuTrace, one cadaver was reinfused with
InfuTrace after initial standard perfusion with formaldehyde; a second cadaver
had InfuTrace injected into the thoracic and abdominal body cavities after
formaldehyde perfusion. For Perfect Solution, the product was used for embalming
a third cadaver in lieu of formaldehyde. For a control, a fourth cadaver was
embalmed with the standard formaldehyde solution. Testing of personal and ambient
room air samples and of fluid obtained from the cadavers was performed and
analyzed in a blinded fashion. Results indicated that both Perfect Solution,
substituted for standard formaldehyde embalming, and InfuTrace infused through
the vasculature after formaldehyde embalming, resulted in lower concentrations of
formaldehyde than embalming with formaldehyde solution alone or in combination
with body cavity injection of InfuTrace. These differences in formaldehyde
concentrations are consistent across measuring methods, for example, of room air,
of breathing zone air during cadaver handling and dissection, and of liquid
samples obtained from the cadavers. Perfect Solution yielded suboptimum fixation
and a different texture, color, and smell than the formaldehyde treatments.
PMID- 18058901
TI - Physical injuries in the dissecting room.
AB - Dissection of human cadavers remains an important element of learning anatomy in
many medical and dental schools. During this activity, students are introduced to
the safe handling of surgical instruments. The frequency, type, and mechanism of
associated injuries are unknown. We undertook a retrospective cohort analysis of
dissecting-room accidents during a 6-year period (2001-2006). Injury rates were
adjusted to account for exposure risk. A total of 55 injuries, all minor, were
recorded in 53 students, representing an overall injury rate of less than 4
injuries per 1,000 hr of dissection. Twenty-four injuries were documented in
third-year medical students, 13 in second-year medical students, 10 in second
year dental students, and 8 in third-year science students. There was a
statistically significant difference in injury rates between the groups due to a
higher than expected rate among second-year medical students and a lower than
expected rate among third-year medical students (P < 0.01). At least 38 (69%)
injuries were from a scalpel blade. A further three injuries were from bone
spicules. All except two injuries affected the hand. In conclusion, it is unusual
for students to injure themselves in the dissecting room. Injuries tend to be
minor and largely related to scalpel blades. A greater awareness of hazards due
to sharps and safe handling techniques may reduce the risks of minor injury and
better prepare students for future clinical practice.
PMID- 18058902
TI - An unusual supernumerary head of biceps brachii muscle.
PMID- 18058903
TI - Reclaiming specimens for teaching anatomy in the future.
PMID- 18058904
TI - Central venous catheterization--an anatomical review of a clinical skill. Part 2.
Internal jugular vein via the supraclavicular approach.
AB - The safe and successful performance of a central venous catheterization (CVC)
requires a specific knowledge of anatomy in addition to a working knowledge.
Misunderstanding the anatomy may result in failure or complications. This review
aims to aid understanding of the anatomical framework, pitfalls, and
complications of CVC of the internal jugular veins. CVC is common practice
amongst surgeons, anesthesiologists, and emergency room physicians during the
preparations for major surgical procedures such as open-heart surgery, as well as
for intensive care monitoring and rapid restoration of blood volume. Associated
with this technique are certain anatomical pitfalls and complications that can be
successfully avoided if one possesses a thorough knowledge of the
contraindications, regional anatomy, and rationale of the technique.
PMID- 18058905
TI - TransPath: a computational method for locating ion transit pathways through
membrane proteins.
AB - The finely tuned structures of membrane channel proteins allow selective passage
of ions through the available aqueous pores. To understand channel function, it
is crucial to locate the pores and study their physical and chemical properties.
Here, we propose a new pore-searching algorithm (TransPath), which uses the
Configurational Bias Monte Carlo (CBMC) method to generate transmembrane
trajectories driven by both geometric and electrostatic features. The
trajectories are binned into groups determined by a vector distance criterion.
From each group, a representative trajectory is selected based on the Rosenbluth
weight, and the geometrically optimal path is obtained by simulated annealing.
Candidate ion pathways can then be determined by analysis of the radius and
potential profiles. The proposed method and its implementation are illustrated
using the bacterial KcsA potassium channel as an example. The procedure is then
applied to the more complex structures of the bacterial E. coli chloride channel
homolog and a homology model of the ClC-0 channel.
PMID- 18058906
TI - Optimizing pKa computation in proteins with pH adapted conformations.
AB - pK(A) in proteins are determined by electrostatic energy computations using a
small number of optimized protein conformations derived from crystal structures.
In these protein conformations hydrogen positions and geometries of salt bridges
on the protein surface were determined self-consistently with the protonation
pattern at three pHs (low, ambient, and high). Considering salt bridges at
protein surfaces is most relevant, since they open at low and high pH. In the
absence of these conformational changes, computed pK(A)(comp) of acidic (basic)
groups in salt bridges underestimate (overestimate) experimental pK(A)(exp),
dramatically. The pK(A)(comp) for 15 different proteins with 185 known pK(A)(exp)
yield an RMSD of 1.12, comparable with two other methods. One of these methods is
fully empirical with many adjustable parameters. The other is also based on
electrostatic energy computations using many non-optimized side chain conformers
but employs larger dielectric constants at short distances of charge pairs that
diminish their electrostatic interactions. These empirical corrections that
account implicitly for additional conformational flexibility were needed to
describe the energetics of salt bridges appropriately. This is not needed in the
present approach. The RMSD of the present approach improves if one considers only
strongly shifted pK(A)(exp) in contrast to the other methods under these
conditions. Our method allows interpreting pK(A)(comp) in terms of pH dependent
hydrogen bonding pattern and salt bridge geometries. A web service is provided to
perform pK(A) computations.
PMID- 18058907
TI - Crystal structure of the catalytic trimer of Methanococcus jannaschii aspartate
transcarbamoylase.
AB - The catalytic trimer of Methanococcus jannaschii aspartate transcarbamoylase is
extremely heat stable, maintaining 75% of its activity after heat treatment for
60 min at 75 degrees C. We undertook its structural analysis in order to
understand the molecular basis of its thermostability and gain insight on how its
catalytic function adapts to high temperature. Several structural elements
potentially contributing to thermostability were identified. These include: (i)
changes in the amino acid composition such as a decrease in the thermolabile
residues Gln and Asn, an increase in the charged residues Lys and Glu, an
increase in Tyr and a decrease in Ala residues; (ii) a larger number of salt
bridges, in particular, the improvement of ion-pair networks; (iii) shortening of
the N-terminus and shortening of three loops. An interesting feature of the
crystal structure is the association of two crystallographically independent
catalytic subunits into a staggered complex with an intertrimer distance of 33.8
A. The active site appears similar to Escherichia coli. Upon substrate binding,
smaller changes in the global orientation of domains and larger conformational
changes of the active site residues are expected as compared to E. coli.
PMID- 18058908
TI - High quality binding modes in docking ligands to proteins.
AB - Multiple near-optimal conformations of protein-ligand complexes provide a better
chance for accurate representation of biomolecular interactions, compared with a
single structure. We present ISE-dock--a docking program which is based on the
iterative stochastic elimination (ISE) algorithm. ISE eliminates values that
consistently lead to the worst results, thus optimizing the search for docking
poses. It constructs large sets of such poses with no additional computational
cost compared with single poses. ISE-dock is validated using 81 protein-ligand
complexes from the PDB and its performance was compared with those of Glide,
GOLD, and AutoDock. ISE-dock has a better chance than the other three to find
more than 60% top single poses under RMSD = 2.0 A and more than 80% under RMSD =
3.0 A from experimental. ISE alone produced at least one 3.0 A or better
solutions among the top 20 poses in the entire test set. In 98% of the examined
molecules, ISE produced solutions that are closer than 2.0 A from experimental.
Paired t-tests (PTT) were used throughout to assess the significance of
comparisons between the performances of the different programs. ISE-dock provides
more than 100-fold docking solutions in a similar time frame as LGA in AutoDock.
We demonstrate the usefulness of the large near optimal populations of ligand
poses by showing a correlation between the docking results and experiments that
support multiple binding modes in p38 MAP kinase (Pargellis et al., Nat Struct
Biol 2002;9:268-272] and in Human Transthyretin (Hamilton, Benson, Cell Mol Life
Sci 2001;58:1491-1521).
PMID- 18058909
TI - Effect of oxidatively damaged DNA on the active site preorganization during
nucleotide incorporation in a high fidelity polymerase from Bacillus
stearothermophilus.
AB - We study the effect of the oxidative lesion 8-oxoguanine (8oxoG) on the
preorganization of the active site for DNA replication in the closed (active)
state of the Bacillus fragment (BF), a Klenow analog from Bacillus
stearothermophilus. Our molecular dynamics and free energy simulations of
explicitly solvated model ternary complexes of BF bound to correct dCTP/incorrect
dATP opposite guanine (G) and 8oxoG bases in DNA suggest that the lesion
introduces structural and energetic changes at the catalytic site to favor dATP
insertion. Despite the formation of a stable Watson-Crick pairing in the
8oxoG:dCTP system, the catalytic geometry is severely distorted to possibly slow
down catalysis. Indeed, our calculated free energy landscapes associated with
active site preorganization suggest additional barriers to assemble an efficient
catalytic site, which need to be overcome during dCTP incorporation opposite
8oxoG relative to that opposite undamaged G. In contrast, the catalytic geometry
for the Hoogsteen pairing in the 8oxoG:dATP system is highly organized and poised
for efficient nucleotide incorporation via the "two-metal-ion" catalyzed
phosphoryl transfer mechanism. However, the free energy calculations suggest that
the catalytic geometry during dATP incorporation opposite 8oxoG is considerably
less plastic than that during dCTP incorporation opposite G despite a very
similar, well organized catalytic site for both systems. A correlation analysis
of the dynamics trajectories suggests the presence of significant coupling
between motions of the polymerase fingers and the primary distance for
nucleophilic attack (i.e., between the terminal primer O3' and the dNTP P(alpha.)
atoms) during correct dCTP incorporation opposite undamaged G. This coupling is
shown to be disrupted during nucleotide incorporation by the polymerase with
oxidatively damaged DNA/dNTP substrates. We also suggest that the lesion affects
DNA interactions with key polymerase residues, thereby affecting the enzymes
ability to discriminate against non-complementary DNA/dNTP substrates. Taken
together, our results provide a unified structural, energetic, and dynamic
platform to rationalize experimentally observed relative nucleotide incorporation
rates for correct dCTP/incorrect dATP insertion opposite an undamaged/oxidatively
damaged template G by BF.
PMID- 18058910
TI - Ghrelin is a novel target of Pax4 in endocrine progenitors of the pancreas and
duodenum.
AB - Pax4-deficient mice have a severe gastrointestinal endocrine deficiency: they
lack most pancreatic cells that produce insulin or somatostatin and various
duodenal endocrine cell types. Remarkably, Pax4-deficient mice also have an
overabundance of ghrelin-expressing cells in the pancreas and duodenum. Detailed
analysis of the Pax4 nullizygous pancreas determined that the mutant islets are
largely composed of a distinctive endocrine cell type that expresses ghrelin,
glucagon, islet amyloid polypeptide (IAPP), and low levels of Pdx1. Lineage
tracing analysis revealed that most of these unique endocrine cells directly
arose from Pax4-deficient progenitors. Previous in vitro work reported that Pax4
is a transcriptional repressor of islet amyloid polypeptide (IAPP) and glucagon.
In this study, we expanded those results by showing that Pax4 is also a repressor
of gherlin. Together, our data further support the notion that Pax4 activity is
necessary to establish appropriate patterns of gene expression in endocrine
progenitors of the digestive tract.
PMID- 18058911
TI - Beta1 integrin expression on endothelial cells is required for angiogenesis but
not for vasculogenesis.
AB - Integrins are a family of cell adhesion receptors that are involved in cell
matrix and cell-cell communications. They facilitate cell proliferation,
migration, and survival. Using the Cre-Lox system, we deleted beta1 integrin on
Tie2-positive (Tie2-cre beta1 Int (fl/fl)) vascular endothelial cells. Deletion
of beta1 integrin on vascular endothelial cells results in embryonic lethality.
Blood vessel defects are encountered in the Tie2-Cre beta1 Int (fl/fl) embryos at
embryonic age (E9.5), and embryos die before reaching E10.5. The embryos exhibit
growth retardation and both histological evaluation and PECAM-1 staining of E9.5
embryos revealed defects in angiogenic sprouting and vascular branching
morphogenesis. Large and medium-size vessel formation is not affected in these
embryos. Angiogenic defects were observed in several regions of the embryo and
yolk sacs. These results indicate that beta1 integrin expression on vascular
endothelial cells is crucial for embryonic angiogenesis but dispensable for
vasculogenesis.
PMID- 18058912
TI - Functional evolutionary history of the mouse Fgf gene family.
AB - Fibroblast Growth Factors (FGFs) are polypeptides with diverse activities in
development and physiology. The mammalian Fgf family can be divided into the
intracellular Fgf11/12/13/14 subfamily (iFGFs), the hormone-like Fgf15/21/23
subfamily (hFGFs), and the canonical Fgf subfamilies, including Fgf1/2/5,
Fgf3/4/6, Fgf7/10/22, Fgf8/17/18, and Fgf9/16/20. However, all Fgfs are
evolutionarily related. We propose that an Fgf13-like gene is the ancestor of the
iFgf subfamily and the most likely evolutionary ancestor of the entire Fgf
family. Potential ancestors of the canonical and hFgf subfamilies, Fgf4-, Fgf5-,
Fgf8-, Fgf9-, Fgf10-, and Fgf15-like, appear to have derived from an Fgf13-like
ancestral gene. Canonical FGFs function in a paracrine manner, while hFGFs
function in an endocrine manner. We conclude that the ancestral Fgfs for these
subfamilies acquired this functional diversity before the evolution of
vertebrates. During the evolution of early vertebrates, the Fgf subfamilies
further expanded to contain three or four members in each subfamily.
PMID- 18058913
TI - Nuclear localization of the zebrafish tight junction protein nagie oko.
AB - The tight junctions-associated MAGUK protein nagie oko is closely related to
Drosophila Stardust, mouse protein associated with lin-seven 1 (Pals1), and human
MAGUK p55 subfamily member 5 (Mpp5). As a component of the evolutionarily
conserved Crumbs protein complex, nagie oko is essential for the maintenance of
epithelial cell polarity. Here, we show that nagie oko contains a predicted
nuclear export and two conserved nuclear localization signals. We find that loss
of the predicted nuclear export signal results in nuclear protein accumulation.
We show that nagie oko nuclear import is redundantly controlled by the two
nuclear localization signals and the evolutionarily conserved region 1 (ECR1),
which links nagie oko with Par6-aPKC. Finally, deletion forms of nagie oko that
lack nuclear import and export signals complement several nagie oko mutant
defects in cell polarity and epithelial integrity. This finding provides an entry
point to potentially novel and unknown roles of this important cell polarity
regulator.
PMID- 18058914
TI - Biological spacetime and the temporal integration of functional modules: a case
study of dento-gnathic developmental timing.
AB - For the individual, coordination between tooth and jaw development is important
to proper food acquisition and ingestion later in life. Among and within species,
variation in dental and gnathic size, shape, and, in the case of teeth, number,
must be mutually accommodating and functionally compatible. For these reasons,
the development and evolution of these two systems should be closely integrated.
Furthermore, the timing of dental development correlates tightly with life
history events such as weaning. This correlation hints at a central regulation of
the developmental timing of multiple systems that have tandem effects on
physiology and behaviour. Important work on embryonic oral development continues
to tease apart the molecular mechanisms that pattern jaw identity and establish
tooth morphology and position in the alveolar bone. Still very poorly understood
is what underlies rates and periods of gene activity such that pre- and postnatal
tooth and jaw development are coordinated. Recent literature suggests at least
some level of autonomy between permanent tooth and mandibular ontogenetic timing.
However, whether the timing of these various signaling pathways is directly
regulated or is an outcome of the pathways themselves is untested. Here, we
review what is currently known about the embryonic signaling pathways that
regulate tooth and jaw development in the context of time rather than space, as
has been traditional. We hypothesize that the timing of mandibular and dental
development is not directly mediated by a common factor but is an indirect
outcome of strong selection for coordinated molecular pathways and growth
trajectories. The mandible and lower jaw dentition is a powerful model with which
to investigate the mechanisms that facilitate morphological change-in this case,
the development and evolution-of organs that are closely integrated in terms of
function, space and time.
PMID- 18058915
TI - Midkine-b regulates cell specification at the neural plate border in zebrafish.
AB - Midkines compose a family of secreted, heparin-binding growth factors with
neurotrophic activity in vitro, but largely unknown functions in mammals in vivo.
Here we show that one member of this family, Midkine-b (Mdkb), is responsible for
establishment of the neural plate border in zebrafish. We propose that MdkB acts
downstream of several signaling factors, most notably retinoic acid, implicated
in neural crest cell (ncc) induction and refines a zone of competence for ncc and
Rohon-Beard (RB) sensory neuron formation. Overexpression of Mdkb expands the
cell numbers of various ncc precursor subtypes and results in a significant
increase in the number of RB neurons at the neural plate border. On the other
hand, Morpholino-mediated knockdown of Mdkb leads to a dramatic reduction of ncc
and a loss of sensory neurons. Our results imply that Mdkb is required for the
earliest steps of cell specification at the neural plate border in zebrafish.
PMID- 18058916
TI - Temporal-spatial ablation of neural crest in the mouse results in cardiovascular
defects.
AB - Neural crest cells are thought to play a critical role in human conotruncal
morphogenesis and dysmorphogenesis. Much of our understanding of the contribution
of neural crest to cardiovascular patterning comes from ablation and
transplantation experiments in avian species. Although fate mapping experiments
in mice suggests a conservation of function, the functional requirement for
neural crest in cardiovascular development in mammals has not been formally
tested. We used a novel two component genetic system for the temporal-spatial
ablation of neural crest in the mouse. Affected embryos displayed a spectrum of
cardiovascular outflow tract defects and aortic arch patterning abnormalities. We
show that the severity of the cardiovascular phenotype is directly related to the
level and extent of neural crest ablation. This is the first report of cardiac
neural crest ablation in mammals, and it provides important insight into the role
of the mammalian neural crest during cardiovascular development.
PMID- 18058917
TI - Capital punishment and anatomy: history and ethics of an ongoing association.
AB - Anatomical science has used the bodies of the executed for dissection over many
centuries. As anatomy has developed into a vehicle of not only scientific but
also moral and ethical education, it is important to consider the source of human
bodies for dissection and the manner of their acquisition. From the thirteenth to
the early seventeenth century, the bodies of the executed were the only legal
source of bodies for dissection. Starting in the late seventeenth century, the
bodies of unclaimed persons were also made legally available. With the developing
movement to abolish the death penalty in many countries around the world and with
the renunciation of the use of the bodies of the executed by the British legal
system in the nineteenth century, two different practices have developed in that
there are Anatomy Departments who use the bodies of the executed for dissection
or research and those who do not. The history of the use of bodies of the
executed in German Anatomy Departments during the National Socialist regime is an
example for the insidious slide from an ethical use of human bodies in dissection
to an unethical one. There are cases of contemporary use of unclaimed or donated
bodies of the executed, but they are rarely well documented. The intention of
this review is to initiate an ethical discourse about the use of the bodies of
the executed in contemporary anatomy.
PMID- 18058918
TI - Zebrafish mutants as models for congenital ocular disorders in humans.
PMID- 18058921
TI - 4-hydroxynonenal: a membrane lipid oxidation product of medicinal interest.
AB - A comprehensive focus on 4-hydroxynonenal (HNE) as candidate molecule in a
variety of pathophysiological conditions occurring in humans is here provided.
Despite an active, now well characterized, metabolism in most cells and tissues,
HNE can be easily detected and quantified by means of several methods, although
with different sensitivity. Measurements of HNE and/or stable metabolites in
biological fluids are already applied as lipid peroxidation/oxidative stress
markers in a huge number of human disease processes, often sustained by
inflammatory reactions. A primary involvement of this aldehydic product of
membrane lipid oxidation in inflammation-related events, as well as in regulation
of cell proliferation and growth, in necrotic or apoptotic cell death, appears
supported by its marked ability to modulate several major pathways of cell
signaling and, consequently, gene expression. The actual knowledge of HNE
reactivity, metabolism, signaling and modulatory effect in the various human
organs should provide a solid background to the investigation of the aldehyde's
contribution to the pathogenesis of human major chronic diseases and would likely
promote advanced and oriented applications not only in diagnosis and prevention
but also in molecular treatment of human diseases.
PMID- 18058922
TI - Expression of ERK signaling inhibitors Dusp6, Dusp7, and Dusp9 during mouse ear
development.
AB - The levels of fibroblast growth factor (FGF) signaling play important roles in
coordinating development of the mouse inner, middle, and outer ears.
Extracellular signal-regulated kinases (ERKs) are among the effectors that
transduce the FGF signal to the nucleus and other cellular compartments.
Attenuation of ERK activity by dephosphorylation is necessary to modulate the
magnitude and duration of the FGF signal. Recently, we showed that inactivation
of the ERK phosphatase, dual specificity phosphatase 6 (DUSP6), causes partially
penetrant postnatal lethality, hearing loss and skeletal malformations. To
determine whether other Dusps may function redundantly with Dusp6 during otic
development, we surveyed the expression domains of the three ERK-specific DUSP
transcripts, Dusp6, Dusp7, and Dusp9, in the embryonic mouse ear. We show that
each is expressed in partially overlapping patterns that correspond to regions of
active FGF signaling, suggesting combinatorial roles in negative regulation of
this pathway during ear development.
PMID- 18058923
TI - Differential growth and multicellular villi direct proepicardial translocation to
the developing mouse heart.
AB - In the mammalian system the proepicardium (PE) arises from mesothelium of the
septum transversum before translocation to the heart where it forms the
epicardium and progenitor cells of the coronary vessels. Despite its importance,
the process in which PE cells translocate to the myocardium in mammals is not
well defined. The current paradigm states that cellular cysts of PE float across
the pericardial space and contact the outer surface of the myocardium. This
mechanism does not provide a satisfactory explanation for the directionality or
localization of PE migration. To better define PE migration, we performed a
detailed study of mouse PE development. We provide thorough documentation that
redefines the size of the PE migratory field and the mechanism of migration. Our
new model incorporates differential growth and direct contact between
multicellular PE villi and the myocardium as mechanisms in formation of the
epicardium.
PMID- 18058925
TI - Prolonged glucocorticoid treatment decreases cannabinoid CB1 receptor density in
the hippocampus.
AB - Experimental studies indicate a bidirectional, functional relationship between
glucocorticoids and the endocannabinoid system; however, the effects of repeated
glucocorticoid treatment on the endocannabinoid system have not been examined. In
this study, we treated male rats with either a single dose or a 21-day course of
treatment with corticosterone (20 mg/kg) and measured hippocampal cannabinoid
CB(1) receptor expression and endocannabinoid content. The 21-day, but not the
single, administration of corticosterone significantly reduced both the binding
site density and amount of protein of the hippocampal cannabinoid CB(1) receptor
without affecting affinity for the CB(1) receptor agonist, [(3)H]CP55940. With
regard to hippocampal endocannabinoid content, acute corticosterone treatment
resulted in a significant reduction in anandamide but did not affect 2
arachidonylglycerol, while repeated corticosterone treatment did not alter
content of either anandamide or 2-arachidonylglycerol. These data support the
hypothesis that the cannabinoid CB(1) receptor is under negative regulation by
glucocorticoids in the hippocampus, and suggest that hippocampal cannabinoid
CB(1) receptor signaling could be reduced under conditions associated with
hypersecretion of glucocorticoids, such as chronic stress.
PMID- 18058926
TI - Measurement of longitudinal (T1) relaxation in the human lung at 3.0 Tesla with
tissue-based and regional gradient analyses.
AB - PURPOSE: The purpose of this study is to measure the longitudinal (T1) relaxation
time of human lung parenchyma at 3.0 Tesla (T), independent of large vessel
signal, and to examine T1 as a function of position in gravitational,
isogravitational, and radial planes. MATERIALS AND METHODS: Sixteen subjects were
imaged. A series of 16-20 turbo field echo images was acquired over a 6-s period
after the application of a single nonselective inversion (180 degrees ) pulse.
Tissue-based segmentation was used to separate parenchymal tissue from large
pulmonary vascular tissue in the resulting images. Time-intensity curves for each
tissue type were constructed and spin-lattice relaxation time was determined by
line-fitting the time-intensity curves. The lung slice was divided into 10
regions of interest in the gravitational, isogravitational, and radial directions
and regional T1 versus position gradient analyses were performed. RESULTS: The T1
relaxation time of human lung parenchyma at 3.0T was determined to be 1374 +/-
226 ms, while the T1 of blood in large pulmonary vessels was 1623 +/- 236 ms.
Whole lung T1 was found to be 1397 +/- 214 ms. T1 of lung parenchyma was found to
be significantly shorter than the T1 of blood in large pulmonary vessels and
whole lung T1. No regional gradient was seen in the gravitational or
isogravitational directions, but a significant gradient was seen in the radial
direction.
PMID- 18058927
TI - Suspected chronic pancreatitis with normal MRCP: findings on MRI in correlation
with secretin MRCP.
AB - PURPOSE: To review pancreatic MRI findings and their relationship with estimated
pancreatic exocrine function on secretin-stimulated MR cholangiopancreatography
(S-MRCP) in patients with clinically suspected chronic pancreatitis and normal
baseline MRCP findings. MATERIALS AND METHODS: MRI findings of 26 patients with
normal pancreatic duct diameter and without side branch ectasia on MRCP were
evaluated. A single radiologist assessed pancreatic size, pancreatic signal
intensity ratio (SIR), and arterial enhancement ratio (A/V) at head, body, and
tail of the pancreas on T(1)-weighted fat-suppressed and serial contrast-enhanced
images at a single session. Combined findings were graded with a composite score.
Serial S-MRCP was performed at the same session with standard MRI. Correlation
and differences between MRI findings and associated grade of duodenal filling
(DF) or the degree of pancreatic duct caliber change (PDC) were analyzed.
RESULTS: Seven patients revealed normal and 19 patients abnormal MRI findings.
Significant correlation was present between the degree of DF and mean values of
pancreatic size (r = 0.748), SIR (r = 0.610), A/V (r = 0.466), composite score (r
= 0.833), and PDC (r = 0.554) separately. PDC correlated with SIR (r = 0.413) and
composite score (r = 0.452), but not with A/V or pancreatic size. Significant
differences were present between normal and abnormal DF grades in terms of mean
values of associated findings of size (P = 0.001), SIR (P = 0.008), A/V (P =
0.019), and PDC (P = 0.001). CONCLUSION: Patients with clinically suspected
chronic pancreatitis and normal MRCP findings may have a spectrum of MRI findings
that correlate with the estimated pancreatic exocrine insufficiency on S-MRCP
with the increasing number of combined findings.
PMID- 18058928
TI - Improvement in the reproducibility of region of interest using an auditory
feedback loop: a pilot assessment using dynamic contrast-enhanced (DCE) breast MR
images.
AB - PURPOSE: To augment traditional visual data perception of complex multiparametric
imaging data sets by adding auditory feedback to improve the delineation of
regions of interest (ROIs) in tumor assessment in dynamic contrast-enhanced (DCE)
MRI. MATERIALS AND METHODS: In addition to conventional display methodologies, we
have created an application window which interfaces with audio output using
dynamically loadable sound modules, providing goodness of fit (GF) information
through auditory feedback. We have assessed effectiveness of conveying sound
information with three independent readers on eight DCE-MR breast image data
sets. The assessment was based on either conventional visual only mode or
combined visual plus auditory mode. For statistical comparison between two
sensory approaches, interobserver repeatability was measured with three different
criteria. RESULTS: Adding auditory feedback improves repeatability significantly
(P < 0.01), and the enhanced sensory approach had higher repeatability than
visual only mode in visually complex breast tumor cases. However, in easy and
moderate cases, visual only mode was more reproducible than the combined mode
with very high significance (P < 0.001). CONCLUSION: Adding auditory information
to visual based image analysis for identifying tumor ROIs provides higher
interobserver repeatability for analyzing complex
multidimensional/multiparametric medical image data sets with visually difficult
lesions to delineate.
PMID- 18058929
TI - MR angiography of the pedal arteries with gadobenate dimeglumine, a contrast
agent with increased relaxivity, and comparison with selective intraarterial DSA.
AB - PURPOSE: To compare gadobenate dimeglumine (Gd-BOPTA)-enhanced MR angiography
(i.e., contrast-enhanced MRA [CE-MRA]) of the pedal vasculature with selective
digital subtraction angiography (DSA) in patients with peripheral arterial
occlusive disease (PAOD). MATERIALS AND METHODS: A total of 22 patients with PAOD
were prospectively examined at 1.5T. For contrast enhancement, 0.1 mmol/kg body
weight of Gd-BOPTA were applied. MRA consisted of dynamic imaging with
acquisition of six consecutive data sets. Acquisition time for each data set was
24 seconds, voxel size was 1.0 x 1.0 x 1.3 mm(3). A total of 20 out of 22 patient
underwent selective DSA, two patients fine-needle DSA. DSA and MRA were performed
within seven days. Image analysis was independently done by two observers with
assessment of overall image quality, motion artifacts, detection of patent vessel
segments of the distal calf and pedal vessels, and the number of patent
metatarsal arteries. After four weeks, a consensus reading of DSA images was
done. A second consensus reading of CE-MRA was performed after a further six
weeks. RESULTS: Consensus readings of MRA and DSA revealed higher image quality
and fewer motion artifacts for MRA (P = 0.021 and P = 0.008, respectively, sign
test); interobserver agreement was good (kappa = 0.78) for image quality, and
moderate (kappa = 0.46) for motion artifacts. There were no differences between
CE-MRA and DSA in detecting patent vessel segments with a high degree of
agreement (kappa = 0.89), and interobserver agreement for MRA was substantial
(kappa = 0.89). Significantly more vessels were assessed as partially occluded on
DSA than on CE-MRA (P = 0.004). There was a good agreement between DSA and CE-MRA
for assessment of relevant vessel stenosis (kappa = 0.61); interobserver
agreement for MRA was good (kappa = 0.65). CE-MRA detected significantly more
patent metatarsal arteries than did DSA (P < 0.001). CONCLUSION: Gd-BOPTA
enhanced MRA is comparable to DSA for assessment of the pedal vasculature, and is
able to delineate significantly more patent vessels without segmental occlusions
and more metatarsal arteries than selective DSA.
PMID- 18058930
TI - Complications and limitations related to periprostatic local anesthesia before
TRUS-guided prostate biopsy.
AB - PURPOSE: To assess the frequency of complications specifically related to local
anesthetic infiltration prior to transrectal ultrasound (TRUS)-guided prostate
biopsy. METHODS: A total of 200 patients receiving 10 cm(3) (5 cm(3) on each
side) of 2% lidocaine injected around the periprostatic nerve plexus under TRUS
guidance before prostate biopsy were included. Various complications presumed to
be associated with local anesthesia were noted during and after the biopsy
procedure. Two weeks later, periprostatic tissue integrity and vascularization
were re-examined with TRUS Doppler examination to assess for fibrosis or
infection. RESULTS: The most common finding was pain due to puncture with the
needle used for local anesthesia (27%). Also recorded were the need for repeated
injections during the biopsy procedure (4.5%), symptoms associated with systemic
lidocaine toxicity (2%), urinary incontinence (1.5%), and degradation of the
image resolution due to anesthetic injection (1%). Increased vascularization
within the periprostatic region was uncommon (2%) on the 2-week follow-up
examination. No TRUS finding consistent with rectal wall hematoma or other
periprostatic change and no erectile dysfunction associated with the procedure
occurred. There was a significant difference in overall pain scores between the
subgroups of patients (p < 0.001). CONCLUSION: TRUS-guided periprostatic nerve
blockade is an effective method for relieving discomfort from prostate biopsy
with very few complications.
PMID- 18058931
TI - Renal and carotid vascular resistance assessed with Doppler sonography.
AB - PURPOSE: Resistance index (RI) is widely used for the evaluation of circulatory
resistance and atherosclerosis with Doppler sonography, but differences in RI
among vascular beds have not been fully elucidated. The present study was
designed to evaluate the relationship between renal and carotid artery RI and to
compare their relative risk factors for an increase in RI. METHODS: One hundred
eighty-five inpatients who underwent sonographic assessment of the renal and
carotid arteries were enrolled in the study. RESULTS: Multivariate analyses
revealed that age, pulse pressure (PP), and serum glucose level were positively
correlated, whereas diastolic blood pressure (DBP) and creatinine clearance were
negatively correlated with the RI of the interlobar arteries. Sex (male) and PP
correlated positively, whereas DBP correlated negatively with the RI of the
common carotid artery (CCA). The RI of the interlobar arteries was positively
associated with that of the CCA, even after adjustment for major cardiovascular
risk factors. CONCLUSIONS: These findings suggest that RI of the renal and
carotid arteries increase in parallel to a certain extent. On the other hand,
risk factors for the increase of RI of the carotid and renal arteries differed in
part, suggesting that specific control of respective risk factors may also be
needed to prevent vascular damage in each vascular bed.
PMID- 18058932
TI - Apparent T(2) relaxation times of lipid and macromolecules: a study of high-grade
tumor spectra.
AB - PURPOSE: To determine T(2) relaxation times of lipid and macromolecules (Lip/MMs)
observed by (1)H magnetic resonance spectroscopy ((1)H MRS) of metastases (MET)
and glioblastomas (GBM), so that they may be better characterized. MATERIALS AND
METHODS: (1)H spectra were acquired at multiple echo times from brain lesions
using point-resolved spectroscopy sequence (PRESS) at TE = 30 msec either with
metabolite-nulling (six GBM and 11 MET), or without metabolite-nulling (four MET
and one mucocele). All lesions were previously untreated and had subsequent
histopathological classification. RESULTS: The T(2) of the 1.3-ppm Lip/MM peak
was concentration-dependent, but at high concentrations it was significantly
different (P = 0.015) between GBM (42 +/- 6 msec) and MET (63 +/- 18 msec). The
broad 2.05-ppm and 0.09-ppm Lip/MM peaks had similar T(2)s in MET and GBM. The
T(2) of the narrow 2.05-ppm Lip/MM peak sometimes observed had a T(2) of 100 +/-
17 msec in MET and 75 msec in the mucocele. CONCLUSION: We observed significantly
higher T(2) of the 1.3-ppm Lip/MM peak in MET compared with GBM at high 1.3-ppm
proton concentrations, in agreement with a higher 1.3/0.9-ppm peak ratio found in
MET. The relatively long T(2) of the 2.05-ppm Lip/MM peak sometimes observed in
MET may cause it to be confused with N-acetyl aspartate (NAA).
PMID- 18058933
TI - Echo-planar imaging for MRI evaluation of intrathoracic tumors in murine models
of lung cancer.
AB - PURPOSE: To evaluate the efficacy of fast cardiac- and respiratory-gated MRI
acquisition methods for noninvasive assessment of tumor volume in murine models
of lung cancer. MATERIALS AND METHODS: A total of 21 mice bearing either human
small-cell (N417) or non-small-cell (H460) lung tumors were scanned using
combinations of respiratory-gated computed tomography (CT) imaging, cardiac- and
respiratory-gated multishot spin-echo echo-planar imaging (SE-EPI), and cardiac-
and respiratory-gated spoiled gradient echo (SPGR). Tumor depiction at 4.7T was
qualitatively and quantitatively compared with CT and tissue cross sections. MRI
based measures of tumor volume were compared with ex vivo measurement of tumor
mass. RESULTS: Tumors appeared hyperintense on T(2)-weighted EPI images,
providing positive intrinsic contrast between tumors and surrounding tissues.
Tumor boundaries were better distinguished by EPI and SPGR with T(1)-reducing
contrast enhancement when tumor abutted other tissues than by CT or SPGR without
contrast. Tumor volumes measured from EPI images correlate well with ex vivo
measurements of tumor mass (P < 0.001, r(2) = 0.99) and volume (P < 0.01, r(2) =
0.98) over a wide range of tumor sizes. CONCLUSION: Respiratory- and cardiac
gated multishot EPI enables accurate, noninvasive assessment of tumor in murine
models of lung cancer using a sequence that requires approximately two minutes to
complete.
PMID- 18058934
TI - Extending slice coverage for breathhold fat-suppressed T2-weighted fast spin-echo
of the liver at 3.0T: application of variable-rate selective-excitation (VERSE)
RF pulses.
AB - PURPOSE: To determine the benefits of variable-rate selective-excitation (VERSE)
radio frequency (RF) pulses for increased slice coverage in breathhold (BH) fat
suppressed T2-weighted fast spin-echo (FS-T2W-FSE) liver imaging at 3.0T.
MATERIALS AND METHODS: A total of 12 healthy volunteers were imaged on 3.0T,
using FS-T2W-FSE. Slice coverage and specific absorption rate (SAR) levels were
monitored for VERSE-RF and standard-RF (sRF), respectively. BH time was 25
seconds; slice thickness 3.5 mm. Maximum coverage was recorded for interactive
variation of repetition time (TR), bandwidth (BW), and echo-train length (ETL).
Image quality was assessed qualitatively and quantitatively. RESULTS: Total slice
coverage was always higher using VERSE-RF, but varied depending on the selected
parameters. For BW > or = 62.5 kHz, slice coverage using VERSE increased between
38% (TR = 8200 msec) and 58% (TR = 2500 msec). Maximum coverage was obtained for
TR = 5000 msec, ETL = 12, and BW > or = 62.5 kHz; with a mean of 31.8 slices for
VERSE-RF and 22.5 slices for sRF, respectively (P < 0.005, 41% increased
coverage). SAR was lower for VERSE-RF using BW < 41.67 kHz (P < 0.05), and equal
to sRF for higher BW. Image quality was best for TR < or = 5000 msec (P < 0.05).
FS was more homogeneous for lower ETL (P < 0.05). Blood suppression was best for
TR < or = 5000 msec (P < 0.05). CONCLUSION: VERSE-RF pulses can be applied for
thin-slice BH FS-T2W liver imaging at 3.0T, with significantly improved slice
coverage.
PMID- 18058935
TI - On optimality of parallel MRI reconstruction in k-space.
AB - Parallel MRI reconstruction in k-space has several advantages, such as tolerance
to calibration data errors and efficient non-Cartesian data processing. These
benefits largely accrue from the approximation that a given unsampled k-space
datum can be synthesized from only a few local samples. In this study, several
aspects of parallel MRI reconstruction in k-space are studied: the design of
optimized reconstruction kernels, the effect of regularization on image error,
and the accuracy of different k-space-based parallel MRI methods. Reconstruction
of parallel MRI data in k-space is posed as the problem of approximating the
pseudoinverse with a sparse matrix. The error of the approximation is used as an
optimization criterion to find reconstruction kernels optimized for the given
coil setup. An efficient algorithm for automatic selection of reconstruction
kernels is described. Additionally, a total error metric is introduced for
validation of the reconstruction kernel and choice of regularization parameters.
The new methods yield reduced reconstruction and noise errors in both simulated
and real data studies when compared with existing methods. The new methods may be
useful for reduction of image errors, faster data processing, and validation of
parallel MRI reconstruction design for a given coil system and k-space
trajectory.
PMID- 18058936
TI - MR elastography of the lung with hyperpolarized 3He.
AB - MR elastography (MRE) is a phase contrast-based technique for spatially mapping
the mechanical properties of tissue-like materials. While hyperpolarized noble
gases such as helium-3 ((3)He) have proven to be an ideal contrast mechanism for
imaging of the lung using conventional MR techniques, their applicability for
lung MRE is unknown, due to the fact that gases do not support shear. In this
study, we report on the application of MRE to an ex vivo porcine lung specimen
inflated with a hyperpolarized noble gas. Unlike proton MRE, shear wave
propagation is encoded into the gas entrapped within the alveolar spaces rather
than the parenchyma itself. These data provide first evidence of the technical
feasibility of MRE of the lung using hyperpolarized noble gases.
PMID- 18058937
TI - Imaging cadavers: cold FLAIR and noninvasive brain thermometry using CSF
diffusion.
AB - There is increasing interest in imaging cadavers for noninvasive autopsies for
research purposes. However, the temperature is well below that of in vivo
imaging, and a variety of interesting 'cold brain' effects are observed. At lower
temperatures conventional FLAIR sequences no longer produce dark cerebrospinal
fluid (CSF); T(1) is reduced from about 4.0 sec in vivo to 1.7 sec at 1 degrees
C. The diffusion coefficient (DC) of CSF is much reduced (from 3.1 10(-9) m(2)s(
1) in vivo to 1.1 at 1 degrees C). DC values therefore provide a noninvasive
thermometer to measure brain core temperature to within 1.0 degrees C. In three
cadavers DC values were 1.1-1.5 10(-9) m(2)s(-1), indicating brain core
temperatures of 1-10 degrees C, consistent with external thermocouple
measurements. An improved inversion time (TI(0)) can then be found for FLAIR. At
10 degrees C this Cold FLAIR sequence (TI(0) = 1.5 sec) gave black CSF.
Expressions for CSF DC and T(1) as a function of temperature were produced. A
measurement of CSF DC could be converted directly to temperature and the required
TI(0) found. In vitro values of CSF DC were about 1% lower than that of water.
Thus, FLAIR imaging can be optimized for cadaveric brains at low and unknown
temperatures, thereby improving value for autopsy purposes and facilitating
comparisons with in vivo imaging.
PMID- 18058938
TI - Unsupervised estimation of myocardial displacement from tagged MR sequences using
nonrigid registration.
AB - We propose a fully automatic cardiac motion estimation technique that uses
nonrigid registration between temporally adjacent images to compute the
myocardial displacement field from tagged MR sequences using as inputs (sources)
both horizontally and vertically tagged images. We present a new multisource
nonrigid registration algorithm employing a semilocal deformation model that
provides controlled smoothness. The method requires no segmentation. We apply a
multiresolution optimization strategy for better speed and robustness. The
accuracy of the algorithm is assessed on experimental data (animal model) and
healthy volunteer data by calculating the root mean square (RMS) difference in
position between the estimated tag trajectories and manual tracings outlined by
an expert. For the approximately 20000 tag lines analyzed (45 slices over 20-40
time frames), the RMS difference between the automatic tag trajectories and the
manually segmented tag trajectories was 0.51 pixels (0.25 mm) for the animal data
and 0.49 pixels (0.49 mm) for the human volunteer data. The RMS difference in the
separation between adjacent tag lines (RMS_TS) was also assessed, resulting in an
RMS_TS of 0.40 pixels (0.19 mm) in the experimental data and 0.52 pixels (0.56
mm) in the volunteer data. These results confirm the subpixel accuracy achieved
using the proposed methodology.
PMID- 18058939
TI - Iterative projection reconstruction of time-resolved images using highly
constrained back-projection (HYPR).
AB - Highly-constrained back-projection (HYPR) is a technique for the reconstruction
of sparse, highly-undersampled time-resolved image data. A novel iterative HYPR
(I-HYPR) algorithm is presented and validated in computer simulations. The
reconstruction method is then applied to cerebral perfusion MRI simulated as a
radial acquisition and contrast-enhanced angiography of the head to assess
feasibility in accelerating acquisitions requiring high temporal resolution and
accurate representation of contrast kinetics. The I-HYPR algorithm is shown to be
more robust than standard HYPR in these applications in which the sparsity
condition is not met or in which quantitative information is required.
Specifically, iterative reconstruction of undersampled perfusion and contrast
enhanced angiography data improved accuracy of the representation of contrast
kinetics and increased the temporal separation of arterial and venous contrast
kinetics. The I-HYPR reconstruction may have important diagnostic applications in
settings requiring high temporal resolution and quantitative signal dynamics.
Because I-HYPR allows relaxation of the sparsity requirements for the composite
frame, the iterative reconstruction can enable novel acquisition strategies that
independently optimize the quality of the composite and temporal resolution of
the dynamic frames.
PMID- 18058940
TI - Variable echo time imaging: signal characteristics of 1-M gadobutrol contrast
agent at 1.5 and 3T.
AB - Gadobutrol (Gd-Bt; Gadovist(R), Schering AG) is a 1-M Gadolinium (Gd)-based
contrast agent. Its higher Gd concentration allows for reduction of injection
volumes in first pass contrast-enhanced MR angiography (CE-MRA) and should
increase bolus sharpness and image quality. However, ambivalent results were
reported. In order to explore the performance of 1-M contrast agents such as Gd
Bt and its dependence on molecular environment and temperature, signal
characteristics were analyzed for a series of increasing Gd-Bt concentrations for
different temperature-controlled samples in water and human blood plasma.
Relaxation times, relaxivities, and signal-concentration curves were assessed for
several Gd-Bt concentrations in water at 20 degrees C and 37 degrees C and in
plasma at 37 degrees C for 1.5T and 3T. Gd-Bt concentration influence on signal
intensity (SI) could be effectively simulated and compared with experimental
measurements as well as simulations with other contrast agents at realistic in
vivo concentrations. Particular attention was given to T(2)- and T(*) (2)-induced
losses at high concentrations, which annihilate benefits from T(1) shortening.
Based on these findings, variable echo time (VTE) approaches with readout
bandwidth varying with k-space position were explored in order to enhance the
signal to noise performance of gradient echo imaging at high contrast agent
concentrations. Results indicate the potential of VTE for imaging with increased
SNR at high contrast agent concentrations.
PMID- 18058942
TI - Increased plasma membrane localization of O-glycosylation-deficient mutant of
synaptotagmin I in PC12 cells.
AB - Synaptotagmin I (Syt I) is a Ca2+-binding protein on synaptic vesicles and
presumably functions as a Ca2+ sensor for neurotransmitter release. Native Syt I
protein in neuroendocrine PC12 cells undergoes several posttranslational
modifications, such as O-glycosylation, N-glycosylation, and fatty acylation, and
the latter two modifications have been shown to be required for the proper
function of murine Syt I in PC12 cells. However, nothing is known about the
physiological significance of the O-glycosylation of Syt I in dense-core vesicle
exocytosis in PC12 cells. In this study, we created an O-glycosylation-deficient
mutant (named TA = T15A/T16A) and an N-glycosylation-deficient mutant of Syt I
(named T26A) and investigated their subcellular distribution in Syt I-deficient
PC12 cells, where other Syt isoforms (e.g., IV and IX) and other membrane
trafficking proteins (e.g., Rab27A, SNAP-25, syntaxin-1, and VAMP-2) are normally
expressed. We found that some cells expressing high level of recombinant wild
type (WT) Syt I protein show mistargeting of Syt I(WT) protein to the plasma
membrane, whereas most of the cells show normal dense-core vesicle localization
of Syt I(WT) protein. Similar mistargeting was also observed in cells expressing
high levels of the Syt I(T26A) and Syt I(TA) mutants, but the mistargeting of the
Syt I(TA) mutant to the plasma membrane was much more evident than with the Syt
I(WT) or (T26A) mutant. The results indicate that O-glycosylation, not N
glycosylation, is partially involved in efficient targeting of Syt I protein to
dense-core vesicles in PC12 cells.
PMID- 18058941
TI - Glutathione content as a potential mediator of the vulnerability of cultured
fetal cortical neurons to ethanol-induced apoptosis.
AB - Ethanol ingestion during pregnancy elicits damage to the developing brain, some
of which appears to result from enhanced apoptotic death of neurons. A consistent
characteristic of this phenomenon is a highly differing sensitivity to ethanol
within specific neuron populations. One possible explanation for this "selective
vulnerability" could be cellular variations in glutathione (GSH) homeostasis.
Prior studies have illustrated that ethanol elicits apoptotic death of neurons in
the developing brain, that oxidative stress may be an underlying mechanism, and
that GSH can be neuroprotective. In the present study, both multiphoton
microscopy and flow cytometry demonstrate a striking heterogeneity in GSH content
within cortical neuron populations. Ethanol differentially elicits apoptotic
death and oxidative stress in these neurons. When neuron GSH content is reduced
by treatment with butathione sulfoxamine, the ethanol-mediated enhancement of
reactive oxygen species is exacerbated. Sorting of cells into high- and low-GSH
populations further exemplifies ethanol-mediated oxidative stress whereby
apoptotic indices are preferentially elevated in the low-GSH population. Western
blot analysis of the low-GSH subpopulations shows higher ethanol-mediated
expression of active caspase 3 and 24-kDa PARP-1 fragments compared with the high
GSH subpopulation. In addition, neuronal content of 4-hydroxynonenal adducts is
higher in low-GSH neurons in response to ethanol. These studies suggest that GSH
content is an important predictor of neuronal sensitivity to ethanol-mediated
oxidative stress and subsequent cell death. The data support the proposition that
the differences in proapoptotic responses to ethanol within specific neuron
populations reflect a heterogeneity of neuron GSH content.
PMID- 18058943
TI - Receptor for advanced glycation end products (RAGE) mediates neuronal
differentiation and neurite outgrowth.
AB - The receptor for advanced glycation end products (RAGE) plays a crucial role in
several disease processes, such as diabetes, inflammation, and neurodegeneration.
In this article we report multiple roles of RAGE in neuronal differentiation and
neurite outgrowth. In retinoic-induced P19 embryonic carcinoma stem cells,
silencing the expression of RAGE by RNA interference (RNAi) blocked
differentiation of the P19 cells into neuronal cells and enhanced the formation
of vimentin-positive fibroblast-like cells. RAGE knockdown inhibited retinoic
acid-induced activation and blocked nuclear translocation of NF-kappaB,
suggesting RAGE regulates activation of NF-kappaB. RAGE was also shown to be
involved in survival of P19 cells during retinoic acid differentiation.
Additionally, knockdown of RAGE strongly inhibited neurite outgrowth in retinoic
acid-differentiated P19 cells, indicating that RAGE is required for neurite
outgrowth of differentiated P19 cells. Retinoic acid-treated P19 cells activated
GTPases, Rac1, and Cdc42. This activation of the GTPases was inhibited in RAGE
knockdown cells. In primary cerebellar granule neurons, the knockdown of RAGE
also inhibited neurite outgrowth. In these cells, overexpression of dominant
negative forms of Rac1 and Cdc42 inhibited neurite outgrowth, whereas
overexpression of constitutively active forms of Rac1 and Cdc42 in RAGE-deficient
neurons restored neurite outgrowth, indicating that RAGE mediated neurite
outgrowth through the Rac1/Cdc42 pathway. This is the first report on the role of
RAGE in cell lines and primary neurons, as determined by RNAi knockdown.
PMID- 18058944
TI - Cytoarchitectonics and afferent/efferent reorganization of neurons in layers II
and III of the lateral entorhinal cortex in the mouse pilocarpine model of
temporal lobe epilepsy.
AB - With the mouse pilocarpine model of temporal lobe epilepsy (TLE), we showed a
progressive loss of both principal cells and calbindin (CB)-, calretinin (CR)-,
and parvalbumin (PV)-immunopositive interneurons in layers II-III of lateral
entorhinal cortex (LEnt) from 2 months to 1 year after pilocarpine-induced status
epilepticus (PISE). In the efferent pathway of LEnt, more Phaseolus vulgaris
leucoagglutinin (PHA-L)-labelled en passant and terminal boutons with larger
diameters were shown in the hippocampus and subiculum; in the prefrontal,
piriform, and perirhinal cortices; and in the amygdaloid complex in experimental
mice at the two time points compared with the control after iontophoretical
injection of an anterograde tracer PHA-L into the LEnt. Furthermore, the numbers
of CB- or CR-immunopositive neurons contacted by PHA-L-labelled en passant and
terminal boutons decreased in most of these areas at 2 months or 1 year after
PISE. In the afferent pathway of LEnt, the numbers of retrogradely labelled
neurons were reduced significantly in the ipsilateral piriform cortex and
endopiriform nucleus at 2 months and 1 year and in the reuniens thalamic nucleus
only at 1 year after injection of a retrograde tracer cholera toxin B subunit
(CTB) into the LEnt. The percentages of the number of CTB and CB or CR double
labelled neurons of all the retrogradely labelled neurons were also decreased in
the reunions thalamic nucleus at 1 year after PISE. It is concluded that both
cytoarchitectonic change and reorganization of afferent and efferent pathways in
LEnt may be involved in the occurrence of TLE.
PMID- 18058945
TI - Postnatal developmental regulation of Bcl-2 family proteins in brain
mitochondria.
AB - Although it has been long recognized that the relative balance of pro- and
antiapoptotic Bcl-2 proteins is critical in determining the susceptibility to
apoptotic death, only a few studies have examined the level of these proteins
specifically at mitochondria during postnatal brain development. In this study,
we examined the age-dependent regulation of Bcl-2 family proteins using rat brain
mitochondria isolated at various postnatal ages and from the adult. The results
indicate that a general down-regulation of most of the proapoptotic Bcl-2
proteins present in mitochondria occurs during postnatal brain development. The
multidomain proapoptotic Bax, Bak, and Bok are all expressed at high levels in
mitochondria early postnatally but decline in the adult. Multiple BH3-only
proteins, including direct activators (Bid, Bim, and Puma) and the derepressor
BH3-only protein Bad, are also present in immature brain mitochondria and are
down-regulated in the adult brain. Antiapoptotic Bcl-2 family members are
differentially regulated, with a shift from high Bcl-2 expression in immature
mitochondria to predominant Bcl-x(L) expression in the adult. These results
support the concept that developmental differences in upstream regulators of the
mitochondrial apoptotic pathway are responsible for the increased susceptibility
of cells in the immature brain to apoptosis following injury.
PMID- 18058947
TI - Inflammation: a new candidate in modulating adult neurogenesis.
AB - Any pathological perturbation to the brain provokes a cascade of molecular and
cellular events, which manifests in the form of microglial activation and release
of various proinflammatory molecules. This eventually culminates in a profound
neuroinflammatory reaction that characterizes the brain's response to stress,
injury, or infection. The inflammatory cascade is an attempt by the system to
eliminate the challenge imposed on the brain, clear the system of the dead and
damaged neurons, and rescue the normal functioning of this vital organ. However,
during the process of microglial activation, the proinflammatory mediators
released exert certain detrimental effects, and neural stem cells and progenitor
cells are likely to be affected. Here we review how the proliferation,
maturation, and migration of the neural stem cells are modulated under such an
inflammatory condition. The fate of the noncommitted neural stem cells and its
differentiation potency are often under strict regulation, and these
proinflammatory mediators seem to disrupt this critical balance and finely tune
the neurogenesis pattern in the two niches of neurogenesis, the subventricular
zone and the subgranular zone of the hippocampus. Moreover, the migration ability
of these stem cells, which is important for localization to the proper site, is
also affected in a major way by the chemokines released following inflammation.
PMID- 18058948
TI - Multifaceted roles of sphingosine-1-phosphate: how does this bioactive
sphingolipid fit with acute neurological injury?
AB - Sphingosine-1-phosphate (Sph-1-P) is an essential bioactive sphingolipid
metabolite that has currently become the focus of intense interest. Sph-1-P is
generated by the enzyme sphingosine kinase (SphK) in response to diverse stimuli,
including growth factors, cytokines, and G-protein-coupled receptor (GPCR)
agonists. Its precursor, sphingosine (Sph), is produced from the precursor
ceramide (Cer) via a ceramidase (CDase) that is released from membrane
sphingomyelin (SPM) by sphingomyelinases (SMase). Accumulating evidence indicates
that Sph-1-P is the key regulatory lipid involved in the metabolism of
sphingolipids and is involved in the control of numerous aspects of cell
physiology, including mitogenesis, differentiation, migration, and apoptosis.
These actions of Sph-1-P are mediated by a family of high-affinity S1P receptors,
named S1P1-5, which are coupled differentially via G(i), G(q), G(12/13), and Rho
to multiple effector systems, including adenylate cyclase, phospholipases C (PLC)
and D (PLD), extracellular-signal-regulated kinase, c-Jun N-terminal kinase, p38
mitogen-activated protein kinase, and nonreceptor tyrosine kinases. In this
Review, we accumulate available evidence implying that sphingolipid signaling may
represent a novel neuroprotective target to counteract the pathophysiology of
acute brain and spinal cord injury in regard to apoptotic cell death mechanisms,
mitochondrial dysfunction, lipid hydrolysis, and oxidative damage mechanisms.
Furthermore, we discuss how Sph-1-P agonist approaches might be expected to
increase the resistance of the central nervous system to injury by promoting
neurotrophic activity, neurogenesis, and angiogenesis. On the other hand,
antagonists of certain Sph-1-P-related activity might possess proregenerative
effects via promotion of neurite growth and inhibition of astrogliotic scarring.
PMID- 18058949
TI - Myoclonus affecting speech reduced by concurrent handwriting.
PMID- 18058946
TI - The role of executive function and attention in gait.
AB - Until recently, gait was generally viewed as a largely automated motor task,
requiring minimal higher-level cognitive input. Increasing evidence, however,
links alterations in executive function and attention to gait disturbances. This
review discusses the role of executive function and attention in healthy walking
and gait disorders while summarizing the relevant, recent literature. We describe
the variety of gait disorders that may be associated with different aspects of
executive function, and discuss the changes occurring in executive function as a
result of aging and disease as well the potential impact of these changes on
gait. The attentional demands of gait are often tested using dual tasking
methodologies. Relevant studies in healthy adults and patients are presented, as
are the possible mechanisms responsible for the deterioration of gait during dual
tasking. Lastly, we suggest how assessments of executive function and attention
could be applied in the clinical setting as part of the process of identifying
and understanding gait disorders and fall risk.
PMID- 18058950
TI - Adolescent obsessive compulsive disorder heralding chorea-acanthocytosis.
AB - We describe one male and one female patient who each developed
childhood/adolescent obsessive-compulsive disorder as a prelude to the
development of a typical picture of chorea-acanthocytosis (ChAc). In each
patient, the caudate nucleus showed dramatic atrophy. The role of the caudate in
compulsive phenomena, and the predilection for neurological disorders with onset
in adolescence to present as major mental illness, is discussed. On the basis of
the current evidence and previous findings, we suggest that ChAc can be
understood as a disorder whose clinical presentation reflects an interaction
between the disease process and the individual's neurodevelopmental stage with
both initial interrupted neurodevelopment, and supervening neurodegeneration.
PMID- 18058951
TI - Biology and pathobiology of gap junctional channels in hepatocytes.
AB - The present review provides the state of the art of the current knowledge
concerning gap junctional channels and their roles in liver functioning. In the
first part, we summarize some relevant biochemical properties of hepatic gap
junctional channels, including their structure and regulation. In the second
part, we discuss the involvement of gap junctional channels in the occurrence of
liver cell growth, liver cell differentiation, and liver cell death. We further
exemplify their relevance in hepatic pathophysiology. Finally, a number of
directions for future liver gap junctional channel research are proposed, and the
up-regulation of gap junctional channel activity as a novel strategy in (liver)
cancer therapy is illustrated.
PMID- 18058954
TI - Introducing negative charges into bis-p-phenylene crown ethers: a study of
bipyridinium-based [2]pseudorotaxanes and [2]rotaxanes.
AB - This paper describes novel host-guest systems comprising viologen cations
(guests) and the derivatives of bis-para-phenylene-34-crown-10 (hosts) with
anionic groups COO(-) or SO(3)(-). The structure of the resulting charge
compensated host-guest complexes, their association constants and their
electrochemical behaviour have been studied. In the solid state, the viologen
cations thread the negatively charged crown ethers forming electroneutral
zwitterion-like [2]pseudorotaxane salts; in solution this threaded geometry is
preserved. The association constants of [2]pseudorotaxane salts incorporating the
1,1'-diethylviologen moiety in solution are significantly higher than those of
previously reported analogues. The extrapolated association free energies in non
aqueous media exceed -40 kJ mol(-1) at 25 degrees C. This significant increase of
the interaction free energy makes these compounds stable even in aqueous
solutions. The association constants of [2]pseudorotaxane salts incorporating
sterically more hindered 1,1'-diethyl-3,3'-dimethylviologen moieties are
significantly lower. Structurally related [2]rotaxane salts, in which the
oppositely charged ionic components are mechanically interlocked, have been
prepared in good yields. It has been shown that [2]rotaxane salts incorporating
anti-isomers of bisfunctionalised crown ethers are cycloenantiomeric. In both
[2]pseudorotaxane and [2]rotaxane salts, the electrostatic interactions between
the viologen moieties and the negatively charged crown ethers lead to very
significant negative shifts of viologen reduction potentials up to 450 mV. The
findings of the present study are valuable for the design of nanoscale molecular
electronic devices.
PMID- 18058952
TI - Hexamethylene bisacetamide leads to reduced helper virus-free HSV-1 amplicon
expression titers via suppression of ICP0.
AB - The herpes simplex virus (HSV)-derived amplicon vector has evolved into a
promising gene transfer platform for widespread DNA delivery in gene replacement
strategies and vaccine development given its ease of molecular manipulation,
large transgene capacity, and transduction efficiencies of numerous cell types in
vivo. The recent development of helper virus-free packaging methodologies bodes
well for this vector system in its eventual implementation as a clinically viable
therapeutic modality. For realization of clinical application, efforts have been
made to enhance yields and quality of helper-free amplicon stocks. Hexamethylene
bisacetamide (HMBA), a hybrid polar compound that exhibits stimulatory activity
of HSV-1 immediate-early gene expression, has been employed as a standard reagent
in helper virus-free packaging given its purported mode of action on virus gene
expression kinetics. Unexpectedly, we have found that HMBA exhibits no titer
enhancing activity; in contrast, the compound enhances the proportion of amplicon
virions that are non-expressive. Omission of HMBA during vector packaging led to
a marked reduction in the ratios of vector genome-transducing to transgene
expressing virions. This effect was neither packaging-cell-specific nor amplicon
promoter-dependent. Analysis of resultant vector stocks indicated amplicon genome
replication/concatenation was unaffected, but the level of particle-associated
ICP0 was reduced in stocks packaged in the presence of HMBA. Inclusion of a co
transfected, ICP0-expressing plasmid into the packaging process led to
significant rescue of amplicon expression titers, indicating that regulation of
ICP0 concentrations is critical for maintenance of the amplicon genome expressive
state.
PMID- 18058955
TI - Photostable, amino reactive and water-soluble fluorescent labels based on
sulfonated rhodamine with a rigidized xanthene fragment.
AB - Highly water soluble fluorescent dyes were synthesized and transformed into new
amino reactive fluorescent labels for biological microscopy. To this end,
rhodamine 8 (prepared from 7-hydroxy-1,2,3,4-tetrahydroquinoline (7) and phthalic
anhydride in 85 % aq. H(3)PO(4)) was sulfonated with 30 % SO(3) in H(2)SO(4) and
afforded the water soluble disulfonic acid 3 a (64 %). Amidation of the carboxy
group in 3 a with 2-(methylamino)ethanol in the presence of O-(7-azabenzotriazol
1-yl)-N,N,N',N'-tetramethyluroniumPF(6) (-) (HATU) led to alcohol 3 b (66 %),
which was transformed into the amino reactive mixed carbonate 3 d with di(N
succinimidyl)carbonate and Et(3)N. Reaction of the carboxy group in 3 a with
MeNH(CH(2))(2)CO(2)Me and N,N,N',N'-tetramethyl-O-(N-succinimidyl)-uroniumBF(4) (
) (TSTU) yielded methyl ester 13. After saponification of the aliphatic carboxy
group in 13, the compound was converted into NHS-ester 3 e (using HATU and
Et(3)N). Heating of 7 with trimellitic anhydride in H(3)PO(4) gave a mixture of
dicarboxylic acids 14 and 15 (1:1). Regioisomer 15 was isolated, sulfonated with
30 % SO(3) in H(2)SO(4), and disulfonic acid 3 f was used for the synthesis of
the mono NHS-ester 3 g, in which the sterically unhindered carboxy group was
selectively activated (with N-hydroxysuccinimide, HATU, and Et(3)N). The
sulfonated rhodamines 3 b, c and f are soluble in water (up to 0.1 M), have
excellent photostabilities and large fluorescence quantum yields. Subdiffraction
resolution images of tubulin filaments of mammalian cells stained with these dyes
illustrate their applicability as labels for stimulated emission depletion
microscopy and other fluorescence techniques.
PMID- 18058956
TI - Directed synthesis of a heterobimetallic complex based on a novel unsymmetric
double-Schiff-base ligand: preparation, characterization, reactivity and
structures of hetero- and homobimetallic nickel(II) and zinc(II) complexes.
AB - A series of bimetallic zinc(II) and nickel(II) complexes based on the novel
dinucleating unsymmetric double-Schiff-base ligand benzoic acid [1-(3-{[2
(bispyridin-2-ylmethylamino)ethylimino]methyl}-2-hydroxy-5
methylphenyl)methylidene]hydrazide (H(2)bpampbh) has been synthesized and
structurally characterized. The metal centers reside in two entirely different
binding pockets provided by the ligand H(2)bpampbh, a planar tridentate [ONO] and
a pentadentate [ON(4)] compartment. The utilized ligand H(2)bpampbh has been
synthesized by condensation of the single-Schiff-base proligand Hbpahmb with
benzoic acid hydrazide. The reaction of H(2)bpampbh with two equivalents of
either zinc(II) or nickel(II) acetate yields the homobimetallic complexes
[Zn(2)(bpampbh)(mu,eta(1)-OAc)(eta(1)-OAc)] (ZnZn) and [Ni(2)(bpampbh)(mu
H(2)O)(eta(1)-OAc)(H(2)O)](OAc) (NiNi), respectively. Simultaneous presence of
one equivalent zinc(II) and one equivalent nickel(II) acetate results in the
directed formation of the heterobimetallic complex [NiZn(bpampbh)(mu,eta(1)
OAc)(eta(1)-OAc)] (NiZn) with a selective binding of the nickel ions in the
pentadentate ligand compartment. In addition, two homobimetallic azide-bridged
complexes [Ni(2)(bpampbh)(mu,eta(1)-N(3))]ClO(4) (NiNi(N(3))) and
[Ni(2)(bpampbh)(mu,eta(1)-N(3))(MeOH)(2)](ClO(4))(0.5)(N(3))(0.5)
(NiNi(N(3))(MeOH)(2)) were synthesized. In all complexes, the metal ions residing
in the pentadentate compartment adopt a distorted octahedral coordination
geometry, whereas the metal centers placed in the tridentate compartment vary in
coordination number and geometry from square-planar (NiNi(N(3))) and square
pyramidal (ZnZn and NiZn), to octahedral (NiNi and NiNi(N(3))(MeOH)(2)). In the
case of complex NiNi(N(3)) this leads to a mixed-spin homodinuclear nickel(II)
complex. All compounds have been characterized by means of mass spectrometry as
well as IR and UV/Vis spectroscopies. Magnetic susceptibility measurements show
significant zero-field splitting for the nickel-containing complexes (D=2.9 for
NiZn, 2.2 for NiNi(N(3)), and 0.8 cm(-1) for NiNi) and additionally a weak
antiferromagnetic coupling (J=-1.4 cm(-1)) in case of NiNi. Electrochemical
measurements and photometric titrations reveal a strong Lewis acidity of the
metal center placed in the tridentate binding compartment towards external donor
molecules. A significant superoxide dismutase reactivity against superoxide
radicals was found for complex NiNi.
PMID- 18058957
TI - Hypervalent silicon versus carbon: ball-in-a-box model.
AB - Why is silicon hypervalent and carbon not? Or why is [Cl-CH(3)-Cl](-) labile with
a tendency to localize one of its axial C-Cl bonds and to largely break the other
one, while the isostructural and isoelectronic [Cl-SiH(3)-Cl](-) forms a stable
pentavalent species with a delocalized structure featuring two equivalent Si-Cl
bonds? Various hypotheses have been developed over the years focusing on
electronic and steric factors. Here, we present the so-called ball-in-a-box
model, which tackles hypervalence from a new perspective. This model reveals the
key role of steric factors and provides a simple way of understanding the above
phenomena in terms of different atom sizes. Our bonding analyses are supported by
computation experiments in which we probe, among other things, the shape of the
S(N)2 potential-energy surface of Cl(-) attacking a carbon atom in the series of
substrates CH(3)Cl, (.)CH(2)Cl, (..)CHCl, and (...)CCl. Our findings for
ClCH(3)Cl(-) and ClSiH(3)Cl(-) are generalized to other Group 14 central atoms
(Ge, Sn, and Pb) and axial substituents (F).
PMID- 18058958
TI - A dicationic organoplatinum(II) complex containing a bridging 2,5-bis-(4
ethynylphenyl)-[1,3,4]oxadiazole ligand behaves as a phosphorescent gelator for
organic solvents.
AB - A dicationic platinum(II) terpyridyl complex, [(tBu3tpy)Pt(OXD)Pt(tBu3tpy)](PF6)2
(tBu(3)tpy = 4,4',4"-tri-tert-butyl-2,2':6',2"-terpyridyl, OXD = 2,5-bis(4
ethynylphenyl)[1,3,4]oxadiazole) formed phosphorescent organogels in acetonitrile
or in a mixture of acetonitrile and alcohol. The structure and properties of
these emissive gels were analyzed by polarizing optical and confocal laser
scanning microscopy, and by variable-temperature 1H NMR, UV/Vis, and emission
spectroscopy. Dry gels were studied by scanning electron microscopy, powder X-ray
diffraction (PXRD), and small-angle X-ray scattering (SAXS). SEM images of the
dry gel revealed a network of interwoven nanofibers (diameter 12-60 nm, length >5
microm). Intermolecular pi-pi interactions between the [(tBu(3)tpy)PtC[triple
bond]C] moieties could be deduced from the variable 1H NMR spectra. The PXRD and
SAXS data showed that the assembly of the gelator could be represented by a
rectangular 2D lattice of 68 A x 14 A. The ability of the complex to gelate a
number of organic solvents is most likely due to intermolecular pi-pi
interactions between the [(tBu3tpy)PtC[triple bond]C] moieties.
PMID- 18058959
TI - Comparison of negative ion electrospray mass spectra measured by seven tandem
mass analyzers towards library formation.
AB - A library of negative ion electrospray ionization mass spectra and tandem mass
spectra (MS/MS) of sulfonated dyes has been developed for fast identification
purposes. The uniform protocol has been elaborated and applied to the
measurements of more than 50 anionic dyes. Three collision energies are selected
in our protocol which ensures that at least one of them provides a suitable ratio
of product ions to the precursor ion. The robustness is investigated with altered
values of tuning parameters (e.g. the pressure of the nebulizing gas, the
temperature and the flow rate of drying gas, and the mobile phase composition).
The results of the inter-laboratory comparison of product ion mass spectra
recorded on seven different tandem mass spectrometers (three ion traps, two
triple quadrupoles and two hybrid quadrupole time of flight instruments) are
presented for four representative anionic dyes--azo dye Acid Red 118,
anthraquinone dye Acid Violet 43, triphenylmethane dye Acid Blue 1 and Al(III)
metal-complex azo dye. The fragmentation patterns are almost identical for all
tandem mass analyzers, only the ratios of product ions differ somewhat which
confirms the possibility of spectra transfer among different mass analyzers with
the goal of library formation.
PMID- 18058960
TI - Analysis of triacetone triperoxide (TATP) and TATP synthetic intermediates by
electrospray ionization mass spectrometry.
AB - The explosive triacetone triperoxide (TATP) has been analyzed by electrospray
ionization mass spectrometry (ESI-MS) on a linear quadrupole instrument, giving a
62.5 ng limit of detection in full scan positive ion mode. In the ESI interface
with no applied fragmentor voltage the m/z 245 [TATP + Na](+) ion was observed
along with m/z 215 [TATP + Na - C(2)H(6)](+) and 81 [(CH(3))(2)CO + Na](+). When
TATP was ionized by ESI with an applied fragmentor voltage of 75 V, ions at m/z
141 [C(4)H(6)O(4) + Na](+) and 172 [C(5)H(9)O(5) + Na](+) were also observed.
When the precipitates formed in the synthesis of TATP were analyzed before the
reaction was complete, a new series of ions was observed in which the ions were
separated by 74 m/z units, with ions occurring at m/z 205, 279, 353, 427, 501,
575, 649 and 723. The series of evenly spaced ions is accounted for as oligomeric
acetone carbonyl oxides terminated as hydroperoxides,
[HOOC(CH(3))(2){OOC(CH(3))(2)}(n)OOH + Na](+) (n = 1, 2 ... 8). The ESI-MS
spectra for this homologous series of oligoperoxides have previously been
observed from the ozonolysis of tetramethylethylene at low temperatures.
Precipitates from the incomplete reaction mixture, under an applied fragmentor
voltage of 100 V in ESI, produced an additional ion observed at m/z 99
[C(2)H(4)O(3) + Na](+), and a set of ions separated by 74 m/z units occurring at
m/z 173, 247, 321, 395, 469 and 543, proposed to correspond to
[CH(3)CO{OOC(CH(3))(2)}(n)OOH + Na](+) (n = 1,2 ... 5). Support for the assigned
structures was obtained through the analysis of both protiated and perdeuterated
TATP samples.
PMID- 18058961
TI - Laboratory evolved biocatalysts for stereoselective syntheses of substituted
benzaldehyde cyanohydrins.
PMID- 18058962
TI - catena-phosphorus cations.
AB - Recent advances towards a systematic development of catena-phosphorus cations are
reviewed. The cations represented in this new and developing chapter in
fundamental phosphorus chemistry complement the series of neutral and anionic
polyphosphorus compounds.
PMID- 18058963
TI - Indium-silver- and zinc-silver-mediated barbier-grignard-type alkylation
reactions of imines by using unactivated alkyl halides in aqueous media.
AB - In the presence of In or Zn/AgI/InCl(3), an efficient and practical method for
the Barbier-Grignard-type alkylation reactions of simple imines by using a one
pot condensation of various aldehydes, amines (including the aliphatic and chiral
version), and secondary alkyl iodides has been developed. The reaction proceeded
more efficiently in water than in organic solvents. Without the use of CuI, it
mainly gave the imine self-reductive coupling product, which was not the
alkylated product. Good diastereoselectivities (up to 92:8 dr) were obtained when
L-valine methyl ester was used as the substrate.
PMID- 18058964
TI - Facile synthesis of gold nanoparticles with narrow size distribution by using
AuCl or AuBr as the precursor.
AB - Gold(I) halides, including AuCl and AuBr, were employed for the first time as
precursors in the synthesis of Au nanoparticles. The synthesis was accomplished
by dissolving Au(I) halides in chloroform in the presence of alkylamines,
followed by decomposition at 60 degrees C. The relative low stability of the
Au(I) halides and there derivatives eliminated the need for a reducing agent,
which is usually required for Au(III)-based precursors to generate Au
nanoparticles. Controlled growth of Au nanoparticles with a narrow size
distribution was achieved when AuCl and oleylamine were used for the synthesis.
FTIR and mass spectra revealed that a complex, [AuCl(oleylamine)], was formed
through coordination between oleylamine and AuCl. Thermolysis of the complex in
chloroform led to the formation of dioleylamine and Au nanoparticles. When
oleylamine was replaced with octadecylamine, much larger nanoparticles were
obtained due to the lower stability of [AuCl(octadecylamine)] complex relative to
[AuCl(oleylamine)]. Au nanoparticles can also be prepared from AuBr through
thermolysis of the [AuBr(oleylamine)] complex. Due to the oxidative etching
effect caused by Br(-), the nanoparticles obtained from AuBr exhibited an aspect
ratio of 1.28, in contrast to 1.0 for the particles made from AuCl. Compared to
the existing methods for preparing Au nanoparticles through the reduction of
Au(III) compounds, this new approach based on Au(I) halides offers great
flexibility in terms of size control.
PMID- 18058966
TI - Theoretical model for nanoporous carbon supercapacitors.
PMID- 18058965
TI - A tunable FRET circuit for engineering fluorescent biosensors.
PMID- 18058967
TI - The next generation of shape-persistant zeolite analogues: covalent organic
frameworks.
PMID- 18058968
TI - Predicting drug-resistant mutations of HIV protease.
PMID- 18058969
TI - A catalytic aldol reaction and condensation through in situ boron "ate" complex
enolate generation in water.
PMID- 18058970
TI - Synthesis, characterization, and catalytic activity of a well-defined rhodium
siloxide complex immobilized on silica.
PMID- 18058971
TI - Multicolor tuning of (Ln, P)-doped YVO4 nanoparticles by single-wavelength
excitation.
PMID- 18058972
TI - A crystalline mesoporous coordination copolymer with high microporosity.
PMID- 18058973
TI - Ultra-stable peptide scaffolds for protein engineering-synthesis and folding of
the circular cystine knotted cyclotide cycloviolacin O2.
AB - The cyclic cystine knot motif, as defined by the cyclotide peptide family, is an
attractive scaffold for protein engineering. To date, however, the utilisation of
this scaffold has been limited by the inability to synthesise members of the most
diverse and biologically active subfamily, the bracelet cyclotides. This study
describes the synthesis and first direct oxidative folding of a bracelet
cyclotide-cycloviolacin O2-and thus provides an efficient method for exploring
the most potent cyclic cystine knot peptides. The linear chain of cycloviolacin
O2 was assembled by solid-phase Fmoc peptide synthesis and cyclised by thioester
mediated native chemical ligation, and the inherent difficulties of folding
bracelet cyclotides were successfully overcome in a single-step reaction. The
folding pathway was characterised and was found to include predominating fully
oxidised intermediates that slowly converted to the native peptide structure.
PMID- 18058974
TI - Reduction of herbivory through wound-activated protein cross-linking by the
invasive macroalga Caulerpa taxifolia.
PMID- 18058975
TI - Hypoglycemic activity of Ailanthus excelsa leaves in normal and streptozotocin
induced diabetic rats.
AB - The hypoglycemic activity of a 70% methanol extract from the leaves of Ailanthus
excelsa Roxb. (Simaroubaceae) was studied in normal, transiently hyperglycemic
and streptozotocin (STZ)-induced diabetic rats. Oral administration of the
extract at doses of 14, 70 and 350 mg/kg body weight caused no significant
changes in fasting blood glucose levels of normal rats. In an oral glucose
tolerance test, the extract produced a significant decrease in glycemia 90 min
after the glucose pulse. Daily administration of A. excelsa extract for 60 days
produced a significant hypoglycemic effect in diabetic animals. In addition, this
treatment improved the altered renal function observed in diabetic control rats.
This study suggests that Ailanthus leaf extract could be potentially useful for
post-prandial hyperglycemia treatment.
PMID- 18058976
TI - Prenatal diagnosis of congenital lipoid adrenal hyperplasia (CLAH) by estriol
amniotic fluid analysis and molecular genetic testing.
AB - OBJECTIVE: Congenital lipoid adrenal hyperplasia is an autosomal recessive
condition due to mutations in Steroidogenic Acute Regulatory Protein (StAR)
associated with the inability to synthesize all adrenal and gonadal steroids,
resulting in adrenal insufficiency and failure to develop male genitalia in
affected 46,XY individuals. We used two independent methods of prenatal diagnosis
for CLAH. METHOD: CLAH was diagnosed prenatally by measuring amniotic fluid
estriol, adrenal, and gonadal hormone levels, and by mutation analysis for the
c.201-202 delCT mutation in StAR. RESULTS: Prenatal testing diagnosed one
affected and three unaffected fetuses in two families at risk for CLAH.
CONCLUSION: Prenatal testing for CLAH is effective, and targeted molecular
genetic analysis should be considered in Palestinian families with a fetus with
discordant 46,XY karyotype and female genitalia on ultrasound, and low maternal
serum estriol.
PMID- 18058977
TI - A 46,XY/46,XX mosaicism diagnosed at amniocentesis: another case report.
PMID- 18058978
TI - Vitamin K deficiency in hyperemesis gravidarum as a potential cause of fetal
intracranial hemorrhage and hydrocephalus.
PMID- 18058979
TI - Rapid protocol for pre-conception genetic diagnosis of single gene mutations by
first polar body analysis: a possible solution for the Italian patients.
PMID- 18058982
TI - A direct-write single-step positive etch resist for dip-pen nanolithography.
PMID- 18058985
TI - Effect of aqueous-ethanol extract from Crocus sativus (saffron) on guinea-pig
isolated heart.
AB - In this study, the effects of an aqueous-ethanol extract from Crocus sativus on
heart rate and contractility were examined. Isolated guinea-pig hearts were
perfused through the aorta in a Langendorff mode. Heart rate and contractility
were determined in the presence of four concentrations of the extract (0.1, 0.5,
1.0 and 5.0 mg%) and diltiazem (0.1, 1, 10 and 100 microm) in perfused heart
with: (1) ordinary Krebs solution (group 1, n = 9), (2) calcium-free Krebs
solution (group 2, n = 7). In group 1, three higher concentrations of diltiazem
(1, 10 and 100 microm), but only the highest (5.0 mg%) and two higher
concentrations (1.0 and 5.0 mg%) of the extract caused significant reduction in
heart rate and contractility, respectively (p < 0.05 to p < 0.001). In group 2,
the highest (100 microm) and two higher concentrations (10 and 100 microm) of
diltiazem (p < 0.05 to p < 0.01), but only the highest concentration of the
extract showed significant reductions in the heart rate and contractility (p <
0.05 to p < 0.01). There were significant negative correlations between
concentrations of the extract and diltiazem and their effects in both groups (p <
0.01 to p < 0.001). These results suggested a potent inhibitory effect of aqueous
ethanol extract from C. sativus on the calcium channel of guinea-pig heart.
PMID- 18058986
TI - A new antifungal and antiprotozoal depside from the Andean lichen Protousnea
poeppigii.
AB - Extracts from the Andean lichens Protousnea poeppigii and Usnea florida displayed
antimicrobial activity against the pathogenic fungi Microsporum gypseum,
Trichophyton mentagrophytes and T. rubrum with MIC values between 50 and 100
microg/mL. From the active extracts, four main metabolites were isolated and
identified as the new depside, isodivaricatic acid, and the known metabolites 5
propylresorcinol, divaricatinic acid and usnic acid. Isodivaricatic acid and
divaricatinic acid presented antifungal effect towards M. gypseum with a MIC of
50 microg/mL and against T. mentagrophytes and T. rubrum and with MIC values of
50 and 100 microg/mL, respectively. The new isodivaricatic acid was active
towards Leishmania amazonensis, Leishmania brasiliensis and Leishmania infantum
promastigotes with 100% lysis at 100 microg/mL.The activity of the new compound
decreased on acetylation of the hydroxy groups as well as on methylation of the
acid function. The structures were elucidated by spectroscopic means. The
spectroscopic data of isodivaricatic acid are presented here for the first time.
PMID- 18058987
TI - In vitro and in vivo effects of Ranunculus peltatus subsp. baudotii methanol
extract on models of eicosanoid production and contact dermatitis.
AB - Ranunculus (Crowfoot) species are numerous and they are all reputed to be counter
irritants and are used in several topical conditions. In order to study the
pharmacological mechanisms of action underlying this popular use, a methanol
extract of Ranunculus peltatus was tested in vitro in various assays involving
eicosanoid and human elastase release by intact cells as well as in vivo, with
models of delayed-type hypersensitivity (DTH) contact dermatitis. The extract
proved to be a selective inhibitor of the cyclooxygenase-1 pathway, producing the
total inhibition of 12-(S)-HHTrE release at 200 microg/mL, while leaving both 5
lipoxygenase and 12-lipoxygenase activities unaffected at the same dose. The n
hexane, chloroform and ethyl acetate fractions of the crude methanol extract
inhibited LTB(4) release by intact rat peritoneal neutrophils, but more polar
fractions were inactive and did not increase the 5-LOX activity as seen
previously for extracts of other Ranunculus species. In the in vivo models, the
methanol extract reduced the dinitrofluorobenzene (DNFB)-induced oedema by 40%,
but failed to inhibit the oedema brought on by oxazolone. The results agree with
the age-old assertion that Water Crowfoot species can be used as a topical
antiinflammatory remedy without the prominent irritant action that accompanies
the application of non-aquatic Ranunculus species.
PMID- 18058988
TI - Antiviral and immunomodulatory effect of a lyophilized extract of Capparis
spinosa L. buds.
AB - Herpes simplex virus type 1 (HSV-1) and herpes simplex virus type 2 (HSV-2) are
common human pathogens that in particular cases can also cause severe problems
especially in immunodeficient patients. The present paper reports the antiviral
and immunomodulatory properties of a methanolic extract of C. spinosa buds (CAP),
rich in flavonoids, including several quercetin and kaempferol glycosides. In
particular we have investigated whether the in vitro exposure of human peripheral
blood mononuclear cells (PBMCs) to CAP might inhibit the replication of HSV-2 and
modulate the induction kinetics of IL-12, TNF-alpha IFN-gamma. Our findings have
shown that CAP treatment interferes with HSV-2 replication in PBMCs inhibiting
the extracellular virus release upregulating their production of IL-12, IFN-gamma
and TNF-alpha. One could speculate that CAP may contribute in improving immune
surveillance of PBMCs toward virus infection by up-regulating expression of
peculiar proinflammatory cytokines; it should thus be successfully employed for
treatment of HSV-2 infections in immunocompromised hosts.
PMID- 18058989
TI - Hypolipidemic activity of Anethum graveolens in rats.
AB - The aerial parts of Anethum graveolens (dillweed) are used in Iran as a
hypolipidemic agent. The scientific basis for its use has yet to be established.
In this study the hypolipidemic activity of dill powder and its essential oil
(its most important fraction) were evaluated in male Wistar rats (180 +/- 20 g)
fed a high cholesterol diet. Anethum graveolens essential oil (AGEO) was prepared
by hydrodistillation and analysed using GC/MS. AGEO had a yield of 2% and GC/MS
analysis showed that alpha-phellandrene (32%), limonene (28%) and carvone (28%)
were its major components. Daily oral administration of AGEO to rats at doses of
45, 90 and 180 mg/kg for 2 weeks significantly and in a dose-dependent manner
reduced total cholesterol, triglyceride and low density lipoprotein cholesterol
(LDL-C). AGEO also increased significantly high density lipoprotein cholesterol
(HDL-C). Anethum graveolens powder when added to the diet of animals showed
similar effects on serum lipids. It is concluded that Anethum graveolens has
significant lipid lowering effects and is a promising cardioprotective agent.
PMID- 18058990
TI - Pharmacological studies on hypotensive, diuretic and vasodilator activities of
chrysin glucoside from Calycotome villosa in rats.
AB - The present study was undertaken in normotensive anaesthetized male rats that
received a continuous perfusion of a chrysin glucoside isolated from the flowers
and leaves of Calycotome villosa subsp intermedia at a dose of 2.5 mg/kg, or
furosemide (control diuretic) at a dose of 0.5 mg/kg. Compared with the control
rats receiving NaCl (0.9%), the urine flow, glomerular filtration and electrolyte
excretion (Na+, K+) increased significantly in rats treated with chrysin
glucoside (p < 0.001). A similar effect was observed in the rats perfused with
furosemide. Intravenous injections of bolus doses (1-3 mg/kg) of the chrysin
glucoside to anaesthetized rats elicited an immediate and dose-dependent decrease
in mean arterial blood pressure (MABP). Pretreatment of the rats with the nitric
oxide synthase inhibitor, l-NOArg (10 mg/kg), reduced partially, but
significantly (p < 0.01), the maximal decrease in MABP elicited by chrysin
glucoside. In the rat isolated aorta preparation, chrysin glucoside (10-100
microm) inhibited in a concentration-dependent manner the noradrenaline (1
microm) induced contractions (IC(50) = 52 microm). This relaxant activity of
chrysin glucoside was significantly reduced by incubation of the endothelium
intact rings with l-NOArg (100 microm), (80 +/- 4.7% vs 48 +/- 5.06% in the
absence of L-NOArg). In conclusion, these results demonstrate a diuretic and
hypotensive action of a chrysin glucoside from Calycotome villosa in
anaesthetized rats and indicating an action on renal function, and an active
vascular relaxation mediated partially through nitric oxide release.
PMID- 18058991
TI - Effects of glycyrrhizic acid on cocklebur-induced hepatotoxicity in rat and human
hepatocytes.
AB - Herbal medicines are gradually being accepted for their low toxicity and high
efficacy, but their hepatotoxicity still needs to be recognized. For the multiple
compounds in herbs, hepatocytes in vitro would be a useful tool for the
evaluation of herbal hepatotoxicity. This study aimed at cocklebur/atractyloside
induced hepatotoxicity and the protective effects of glycyrrhizic acid (GA)
against this toxicity using rat and human hepatocytes in monolayer culture. After
a 48 h treatment, cocklebur as well as atractyloside induced concentration
dependent hepatotoxicity according to obvious decreases of cell viability,
intracellular glutathione (GSH) content and albumin secretion in rat hepatocytes.
Compared with rat hepatocytes, human hepatocytes seemed to be less sensitive to
cocklebur-induced hepatotoxicity, indicative of species differences between
humans and rats. Furthermore, as expected, GA showed significant protective
effects on cocklebur hepatotoxicity in both human and rat hepatocytes. Overall,
these results suggested that hepatocytes in vitro, particularly human
hepatocytes, could be a useful tool for herbal hepatotoxicity screening in vitro.
PMID- 18058992
TI - Cardioprotective effects of Astragali Radix against isoproterenol-induced
myocardial injury in rats and its possible mechanism.
AB - The purpose of the present study was to investigate the effects of the Chinese
medical herb Astragali Radix on myocardial injury in vivo and its possible
mechanisms. Myocardial injury in rats was induced by the subcutaneous injection
of a high dose of isoproterenol for 10 days, and the therapeutic effects of
Astragali Radix were observed. Cardiac hemodynamics, heart coefficient and marker
enzymes in serum showed that Astragali Radix prevented isoproterenol-induced
myocardial damage. Astragali Radix also improved the antioxidant status by
decreasing the lipid peroxidative product malondialdehyde and increasing the
activity of the antioxidant enzyme superoxide dismutase. The observed depressions
in sarcoplasmic reticulum Ca2+-ATPase mRNA and protein expression as well as
Ser(16)-phosphorylated phospholamban protein expression in isoproterenol-treated
rats were attenuated by Astragali Radix treatment. Moreover, treatment with
Astragali Radix showed higher myocardial cAMP content compared with the
isoproterenol-alone group. These results suggest that the antioxidant property
and partial prevention of changes in protein and gene expression of cardiac
sarcoplasmic reticulum Ca2+ regulatory proteins which may be mediated through the
cAMP pathway could help to explain the beneficial effects of Astragali Radix on
myocardial injury in vivo.
PMID- 18058993
TI - Verticinone induces cell cycle arrest and apoptosis in immortalized and malignant
human oral keratinocytes.
AB - Although verticinone, a major alkaloid isolated from the bulbus of Fritillaria
ussuriensis, has been shown to induce differentiation in human leukemia cells,
the exact mechanism of this action is not completely understood in cancer cells.
Verticinone was used to conduct growth and apoptosis-related experiments for two
stages of oral cancer on immortalized human oral keratinocytes (IHOKs) and
primary oral cancer cells (HN4). The procedures included MTT assay, three
dimensional (3-D) raft cultures, Western blotting, cell cycle analysis, nuclear
staining and cytochrome c expression related to the apoptosis signaling pathway.
Verticinone inhibited the proliferation of immortalized and malignant oral
keratinocytes in a dose- and time-dependent manner. In 3-D organotypic culture,
verticinone-treated cells were less mature than the control cells, displaying low
surface keratinization and decreased epithelial thickness. The major mechanism by
which verticinone inhibits growth appears to be induced apoptosis and G(0)G(1)
cell cycle arrest. This finding is supported by the results of the cell cycle
analysis, FITC-Annexin V staining, DNA fragmentation assay and Hoechst 33258
staining. Furthermore, the cytosolic level of cytochrome c was increased, while
the expression of Bcl-2 protein was gradually down-regulated and Bax was up
regulated, accompanied by caspase-3 activation. The data suggests that
verticinone may induce apoptosis through a caspase pathway mediated by
mitochondrial damage in immortalized keratinocytes and oral cancer cells.
PMID- 18058995
TI - Inferences on the common mean of several log-normal populations: the generalized
variable approach.
AB - This paper proposes a novel approach for the confidence interval estimation and
hypothesis testing of the common mean of several log-normal populations using the
concept of generalized variable. Simulation studies demonstrate that the proposed
approach can provide confidence intervals with satisfying coverage probabilities
and can perform hypothesis testing with satisfying type-I error control even at
small sample sizes. Overall, it is superior to the large sample approach. The
proposed method is illustrated using two examples.
PMID- 18058996
TI - Hemoglobin-E beta thalassemia presenting with recurrent priapism--a rare
complication.
PMID- 18058994
TI - Neuropsychiatric symptoms are associated with disability in cognitively impaired
Latino elderly with and without dementia: results from the Sacramento Area Latino
study on Aging.
AB - OBJECTIVE: To examine the relationship between neuropsychiatric symptoms burden
and disability in cognitively impaired older Latinos. METHODS: Subjects in the
cross-sectional study were 95 cognitively impaired (both demented and non
demented) non-institutionalized Latino elderly participating in an
epidemiological cohort study and their family caregivers. Care recipient
neuropsychiatric symptoms (Neuropsychiatric Inventory) and level of functional
impairment (i.e. impairment in activities of daily living and instrumental
activities of daily living) were assessed through interviews with family
caregivers. RESULTS: Both NPI total score and NPI depression subscale score were
significantly associated with disability before and after controlling for
potential confounding variables. The strength of the association between higher
neuropsychiatric symptom levels and higher disability was similar for both the
cognitively impaired not demented and demented groups. CONCLUSIONS:
Neuropsychiatric symptoms are associated with increased disability in a community
sample of cognitively impaired Latino elderly. More effective identification and
treatment of neuropsychiatric symptoms may improve functioning in older Latinos
and reduce health disparities for this population.
PMID- 18058997
TI - Clinical application of deferasirox: practical patient management.
AB - Deferasirox (Exjade, ICL670) is a once-daily, oral iron chelation agent that is
now widely available for the treatment of transfusional hemosiderosis in adult
and pediatric patients aged > or =2 years of age. Clinical evaluation has
established the efficacy and safety of this novel agent in patients with a
variety of chronic anemias. Deferasirox represents a significant advance in the
treatment of iron overload, as the availability of an effective oral therapy has
the potential to relieve many patients from the burden of frequent parenteral
therapy with the previous reference standard iron chelator, deferoxamine. The
most common drug-related adverse events seen in the core registration trials were
gastrointestinal disturbances, rash, mild and nonprogressive increases in serum
creatinine levels, and elevations in liver enzyme levels. Most events were
transient, mild-to-moderate in severity, and easily managed without
discontinuation of treatment. As with any new agent, it is important that
treating physicians are familiar with the adverse event profile of deferasirox
and how the associated effects can be readily managed to ensure optimal use of
this important treatment.
PMID- 18058998
TI - Smoking in arterial and venous thrombosis: the missing link?
PMID- 18058999
TI - The erythrocyte mean corpuscular volume is associated with serum levels of
thyroid stimulating hormone in women.
PMID- 18059000
TI - Meteorin regulates angiogenesis at the gliovascular interface.
AB - Brain microvasculature requires a coordinated interaction between endothelial
cells and astrocytes at the gliovascular interface. However, the role of the
factors involved in that interaction and expressed by these cells is poorly
understood. In this study, we demonstrate that Meteorin is highly expressed in
astrocytes of the brain and retina during the late embryonic and postnatal stages
of mouse development. Most notably, Meteorin is localized to the astrocyte
endfeet that surround the blood vessels. To investigate the role of Meteorin in
perivascular astrocytes, we depleted endogenous levels of Meteorin in cultured
astrocytes using siRNA, and found that Meteorin attenuates angiogenic activity
indirectly via astrocyte-derived thrombospondin-1/-2 (TSP-1/-2). Exogenous
treatment of astrocytes with Meteorin protein also promotes astrocyte expression
and secretion of TSP-1/-2. The conditioned media from the Meteorin-treated
astrocytes attenuated angiogenic activity of microvascular endothelial cells.
This activity was reversed by inhibiting the binding of TSP-1/-2 to its receptor.
Furthermore, we found that TSP-1/-2 was co-localized with Meteorin in the
developing brain. Therefore, our data strongly suggests that Meteorin is
expressed and secreted by perivascular astrocytes and the secreted protein
upregulates TSP-1/-2 to attenuate angiogenesis in the surrounding endothelial
cells and to promote vascular maturation.
PMID- 18059002
TI - Optimization to eliminate the interference of migration isomers for measuring 1-O
beta-acyl glucuronide without extensive chromatographic separation.
AB - A highly selected reaction monitoring (SRM) method has been investigated for the
determination of muraglitazar 1-O-beta-acyl glucuronide in animal and human
plasma without chromatographic separation of this naturally formed acyl
glucuronide from its migration isomers. In the ion source or the collision cell,
glucuronides are often prone to lose the dehydrated glucuronic acid (176 Da) and
convert back into the parent drug (aglycone). The extent of loss of the
glucuronide moiety can differ among glucuronides. For the naturally occurring
muraglitazar 1-O-beta-acyl glucuronide, or its synthetic anomer 1-O-alpha
glucuronide, the loss of the glucuronide moiety was a major fragment ion. The
loss of the glucuronide moiety was greater for the 1-O-beta-acyl glucuronide than
the 1-O-alpha-anomer. In addition, the loss of the glucuronide moiety was
insignificant (less than 0.01%) with the other glucuronide isomers (2-, 3- or 4
O, alpha or beta). Given the fact that the 1-O-alpha-anomer was a minor impurity
in the muraglitazar 1-O-beta-acyl glucuronide reference standard, and not either
a conversion product of 1-O-beta-acyl glucuronide or endogenously formed, the SRM
transition corresponding to the loss of the glucuronide moiety was very specific
for 1-O-beta-acyl glucuronide, and practically free from interference of the
other isomers under optimized collision-cell conditions. As a result, extensive
chromatographic separation of 1-O-beta-acyl glucuronide from its migration
isomers was not required. The use of this specific SRM transition effectively
reduced the separation time from 12.0 min of a long-column high-performance
liquid chromatography (HPLC) method to 2.5 min by use of a shorter column. The
standard curve performance and analysis results of 1-O-beta-acyl glucuronide
incubation samples showed that the short-column method could produce equivalent
results to the long-column method but with a 4.5-fold improvement in sample
throughput. This approach may be useful for other 1-O-beta-acyl glucuronide
measurements with proper tuning of collision energy. The generation of a
breakdown curve (abundance vs. collision energy) helps to define whether
appropriate conditions may be selected for specific MRM transitions.
PMID- 18059001
TI - Simultaneous lipidomic analysis of three families of bioactive lipid mediators
leukotrienes, resolvins, protectins and related hydroxy-fatty acids by liquid
chromatography/electrospray ionisation tandem mass spectrometry.
AB - Bioactive lipid mediators derived from polyunsaturated fatty acids (PUFA) exhibit
a range of tissue- and cell-specific activities in many physiological and
pathological processes. Electrospray ionisation tandem mass spectrometry coupled
to liquid chromatography (LC/ESI-MS/MS) is a sensitive, versatile analytical
methodology for the qualitative and quantitative analysis of lipid mediators.
Here we present an LC/ESI-MS/MS assay for the simultaneous analysis of twenty
mono- and poly-hydroxy-fatty acid derivatives of linoleic, arachidonic,
eicosapentaenoic and docosahexaenoic acids. The assay was linear over the
concentration range 1-100 pg/microL, whilst the limits of detection and
quantitation were 10-20 and 20-50 pg, respectively. The recovery of the
extraction methodology varied from 76-122% depending on the metabolite. This
system is useful for profiling a range of biochemically related potent mediators
including the newly discovered resolvins and protectins, and their precursor
hydroxyeicosapentaenoic and hydroxydocosahexaenoic acids, and, consequently,
advance our understanding of the role of PUFA in health and disease.
PMID- 18059003
TI - Posaconazole (Noxafil, SCH 56592), a new azole antifungal drug, was a discovery
based on the isolation and mass spectral characterization of a circulating
metabolite of an earlier lead (SCH 51048).
AB - Posaconazole (SCH 56592) is a novel triazole antifungal drug that is marketed in
Europe and the United States under the trade name 'Noxafil' for prophylaxis
against invasive fungal infections. SCH 56592 was discovered as a possible active
metabolite of SCH 51048, an earlier lead. Initial studies have shown that serum
concentrations determined by a microbiological assay were higher than those
determined by HPLC from animals dosed with SCH 51048. Subsequently, several
animals species were dosed with (3)H-SCH 51048 and the serum was analyzed for
total radioactivity, SCH 51048 concentration and antifungal activity. The
antifungal activity was higher than that expected based on SCH 51048 serum
concentrations, confirming the presence of active metabolite(s). Metabolite
profiling of serum samples at selected time intervals pinpointed the peak that
was suspected to be the active metabolite. Consequently, (3)H-SCH 51048 was
administered to a large group of mice, the serum was harvested and the metabolite
was isolated by extraction and semipreparative HPLC. LC-MS/MS analysis suggested
that the active metabolite is a secondary alcohol with the hydroxyl group in the
aliphatic side chain of SCH 51048. All corresponding monohydroxylated
diastereomeric mixtures were synthesized and characterized. The HPLC retention
time and LC-MS/MS spectra of the diastereomeric secondary alcohols of SCH 51048
were similar to those of the isolated active metabolite. Finally, all
corresponding individual monohydroxylated diasteriomers were synthesized and
evaluated for in vitro and in vivo antifungal potencies, as well as
pharmacokinetics. SCH 56592 emerged as the candidate with the best overall
profile.
PMID- 18059004
TI - Fragmentation of aromatic sulfonamides in electrospray ionization mass
spectrometry: elimination of SO(2) via rearrangement.
AB - Arylsulfonamides are attractive pharmacophores for drug candidates. Fragmentation
behaviors of selected aromatic sulfonamides were investigated using electrospray
ionization mass spectrometry in the positive ion mode. Some of the sulfonamides
afforded unique loss of 64 (loss of SO(2)) ions upon collision-induced
dissociation followed by intramolecular rearrangements in the gas phase. This
SO(2) elimination-rearrangement pathway leading to the generation of [M + H -
SO(2)](+) ions appeared to be susceptible to substitutions on the aromatic (Ar)
ring that would affect the Ar--sulfur bond strength and the stability of the
partially positive charge developed at the ipso position upon bond dissociation.
Electron withdrawing groups such as chlorine attached to the aromatic ring at
ortho position seem to promote the SO(2) extrusion. Although this fragmentation
pathway in atmospheric pressure ionization MS is less predictable than in
electron impact MS, it is a frequently encountered reaction. The absence of this
fragmentation pathway in some of the arylsulfonamides indicates that other
factors such as nucleophilicity of the nitrogen may also play a role in the
process. With respect to the site of attachment of the migrating NR'R'', ipso
substitution on the aromatic ring is evident since this fragmentation mechanism
is operative in the fully ortho-substituted arylsulfonamides.
PMID- 18059005
TI - DMD pseudoexon mutations: splicing efficiency, phenotype, and potential therapy.
AB - OBJECTIVE: The degenerative muscle diseases Duchenne (DMD) and Becker muscular
dystrophy result from mutations in the DMD gene, which encodes the dystrophin
protein. Recent improvements in mutational analysis techniques have resulted in
the increasing identification of deep intronic point mutations, which alter
splicing such that intronic sequences are included in the messenger RNA as
"pseudoexons." We sought to test the hypothesis that the clinical phenotype
correlates with splicing efficiency of these mutations, and to test the
feasibility of antisense oligonucleotide (AON)-mediated pseudoexon skipping.
METHODS: We identified three pseudoexon insertion mutations in dystrophinopathy
patients, two of whom had tissue available for further analysis. For these two
out-of-frame pseudoexon mutations (one associated with Becker muscular dystrophy
and one with DMD), mutation-induced splicing was tested by quantitative reverse
transcription polymerase chain reaction; pseudoexon skipping was tested using
AONs composed of 2'-O-methyl-modified bases on a phosphorothioate backbone to
treat cultured primary myoblasts. RESULTS: Variable amounts of pseudoexon
inclusion correlates with the severity of the dystrophinopathy phenotype in these
two patients. AON treatment directed at the pseudoexon results in the expression
of full-length dystrophin in a DMD myoblast line. INTERPRETATION: Both DMD and
Becker muscular dystrophy can result from out-of-frame pseudoexons, with the
difference in phenotype being due to variable efficiency of the newly generated
splicing signal. AON-mediated pseudoexon skipping therapy is a viable approach to
these patients and would be predicted to result in increased expression of wild
type dystrophin protein.
PMID- 18059007
TI - Measurement of signal-to-noise ratios in sum-of-squares MR images.
PMID- 18059008
TI - Pregnancy in chronic renal failure: a novel cause of theca lutein cysts at MRI.
AB - We describe the MRI findings of bilateral ovarian theca lutein cysts in a
pregnant patient with chronic renal failure. The recognition that pregnancy in
chronic renal failure may result in theca lutein cysts, presumably due to
excessive serum beta human chorionic gonadotropins (hCGs) secondary to impaired
renal excretion, expands the differential diagnosis for this MRI appearance, in
addition to the usual considerations of gestational trophoblastic disease,
multiple gestation, and ovarian hyperstimulation.
PMID- 18059009
TI - Voxel-based analysis of R2* maps in the healthy human brain.
AB - PURPOSE: To develop a voxel-based analysis of an R2* map of healthy human brain
that is automatic, reproducible, and realizable in a single examination on a 3T
MR imager. Such a tool could be useful to measure iron accumulation in
neurodegenerative diseases. MATERIALS AND METHODS: In all, 18 healthy subjects
underwent MR imaging at a field strength of 3T: 1) six consecutive T2*-weighted
gradient-echo volumes were acquired using a segmented echo-planar imaging
sequence and 2) a conventional dual-echo turbo spin echo sequence was also
applied to acquire T2-weighted images. Images were realigned and spatial
correction was performed using a template brain dataset with SPM2. For each
subject we performed a voxel-by-voxel nonlinear least-squares fitting of the data
acquired at the six echo times to obtain a monoexponential signal decay curve.
The reproducibility and sensitivity to age variation were assessed by voxel-based
analysis. RESULTS: The reproducibility tests in whole brain analysis showed
little R2* variation. Furthermore, the statistical analysis, performed on each
brain voxel, revealed a significant positive correlation between age and MR
values located in regions where a slow and constant age-related iron deposition
is known. CONCLUSION: Our method, combining data acquisition and data processing,
demonstrates the feasibility of voxel-based analysis on an R2* map and affords a
high degree of sensitivity and good reproducibility while maintaining high
spatial resolution.
PMID- 18059010
TI - Cutaneous allodynia in the migraine population.
AB - OBJECTIVE: To develop and validate a questionnaire for assessing cutaneous
allodynia (CA), and to estimate the prevalence and severity of CA in the migraine
population. METHODS: Migraineurs (n = 11,388) completed the Allodynia Symptom
Checklist, assessing the frequency of allodynia symptoms during headache.
Response options were never (0), rarely (0), less than 50% of the time (1), > or
= 50% of the time (2), and none (0). We used item response theory to explore how
well each item discriminated CA. The relations of CA to headache features were
examined. RESULTS: All 12 questions had excellent item properties. The greatest
discrimination occurred with CA during "taking a shower" (discrimination = 2.54),
wearing a necklace (2.39) or ring (2.31), and exposure to heat (2.1) or cold
(2.0). The factor analysis demonstrated three factors: thermal, mechanical
static, and mechanical dynamic. Based on the psychometrics, we developed a scale
distinguishing no CA (scores 0-2), mild (3-5), moderate (6-8), and severe (> or =
9). The prevalence of allodynia among migraineurs was 63.2%. Severe CA occurred
in 20.4% of migraineurs. CA was associated with migraine defining features (eg,
unilateral pain: odds ratio, 2.3; 95% confidence interval, 2.0-2.4; throbbing
pain: odds ratio, 2.3; 95% confidence interval, 2.1-2.6; nausea: odds ratio, 2.3;
95% confidence interval, 2.1-2.6), as well as illness duration, attack frequency,
and disability. INTERPRETATION: The Allodynia Symptom Checklist measures overall
allodynia and subtypes. CA affects 63% of migraineurs in the population and is
associated with frequency, severity, disability, and associated symptoms of
migraine. CA maps onto migraine biology.
PMID- 18059011
TI - Unintentional parathyroidectomy during total thyroidectomy.
AB - BACKGROUND: Unintentional parathyroidectomy during thyroidectomy has been
evaluated in a few studies. Moreover, the impact of the surgeon's experience and
operative technique has not been evaluated. Our aim was to identify the incidence
of unintentional parathyroidectomy during total thyroidectomy, its clinical
consequences, and factors affecting its occurrence. METHODS: We reviewed all
total thyroidectomies during a 2-year period. Patients were categorized into 2
groups: those with unintentional parathyroidectomy (parathyroidectomy group) and
those without unintentional parathyroidectomy (no-parathyroidectomy group).
RESULTS: Incidental parathyroidectomy occurred in 100 (19.7%) of the 508
patients. The groups were comparable in age, thyroid weight and pathology,
operative time, surgeon experience (high/low volume), operative technique (suture
ligation, LigaSure, or Ultracision), postoperative calcium, and transient
hypocalcemia. No permanent hypocalcemia occurred. However, 11% of the
parathyroidectomy group was men compared with 22% of the no-parathyroidectomy
group (p =.002). CONCLUSIONS: Unintentional parathyroidectomy, although common,
has no clinical consequences. Unlike surgeon's experience and operative
technique, patient sex was the only factor affecting its occurrence.
PMID- 18059012
TI - Accuracy of technetium-99m SPECT-CT hybrid images in predicting the precise
intraoperative anatomical location of parathyroid adenomas.
AB - BACKGROUND: This study evaluated the accuracy of single photon emission computed
tomography (SPECT)-CT imaging for the preoperative localization of parathyroid
adenomas. METHODS: This study included both a quantitative and qualitative
accuracy measure. The quantitative measure was the distance between the location
of the adenoma on the SPECT-CT scan and the location of the adenoma
intraoperatively. Qualitatively, surgeons were asked whether or not the adenoma
was in the exact location predicted by the SPECT-CT scan. The time from initial
incision to identification of the parathyroid was recorded. Patients referred to
London Health Sciences Centre for a suspected parathyroid adenoma were eligible
for this study. RESULTS: Twenty-three patients participated in this study.
Eighteen (78.3%) had a single adenoma, 2 (8.7%) had double adenomas, and 3
(13.0%) had multiglandular hyperplasia. SPECT-CT correctly detected and localized
16 of 18 (88.9%) cases of single parathyroid adenomas. The mean distance between
the location of the adenoma on the SPECT-CT scan and the location of the adenoma
intraoperatively was 16.3 mm (95% < or = 19.0 mm). For single adenomas, the
median time from skin incision to identification was 14 minutes (range, 8-40
minutes). The preoperative detection and localization of a single focus of
sestamibi uptake yielded a parathyroid adenoma in the specified location in 80.0%
of cases (95% CI, 97.4-66.5%). CONCLUSIONS: SPECT-CT predicted the intraoperative
location of a single parathyroid adenoma within 19.0 mm with 95% confidence. The
correct detection and localization of multiglandular disease remains difficult.
PMID- 18059013
TI - Lower airway humidification in spontaneously breathing tracheostomized patients:
comparative study of trachea spray versus heated humidifier.
AB - BACKGROUND: Our aim was to compare inhalation with molecular water (vaporizing
humidifier) and particulate water (trachea spray) in spontaneously breathing
tracheostomized patients. METHODS: We performed a randomized, 2-way crossover
study and a prospective, comparative, nonblinded study. Tracheal humidity and
temperature were measured before and after use of a humidifier and spray for 1
week. RESULTS: After both inhalation and spray, the tracheal temperature and
total water content increased significantly (study 1). The temperature gradient
between ambient and tracheal air was significantly higher after spray, but not
after inhalation (study 2). The water gradient increased nonsignificantly after
spray and inhalation. The water gradient after inhalation or spray did not differ
significantly. CONCLUSIONS: Molecular water is not superior to particulate water
because of temperature and humidity increase after both forms of water delivery.
Because of its easy use, portability, and moisturizing effect, a trachea spray
may offer additional options in postoperative tracheostomy care.
PMID- 18059014
TI - Constitutive regulation of CYP1B1 by the aryl hydrocarbon receptor (AhR) in pre
malignant and malignant mammary tissue.
AB - The aryl hydrocarbon receptor (AhR) is a receptor/transcription factor which
regulates cytochrome P450 (CYP) gene transcription and which is activated by
environmental carcinogens, some of which are associated with increased breast
cancer risk. Here, we show that the AhR is over-expressed and constitutively
active in human and rodent mammary tumors, suggesting its ongoing contribution to
tumorigenesis regardless of tumor etiology. AhR regulation of CYP1A1 and CYP1B1
was studied to determine if constitutively active AhR effects the same
transcriptional outcomes as environmental chemical-activated AhR. Elevated AhR
and CYP1B1 but not CYP1A1 before tumor formation in a rat model of mammary
tumorigenesis suggested differential CYP1B1 regulation by a constitutively active
AhR. This hypothesis was tested with human mammary gland cell lines which hyper
express AhR and CYP1B1 but which express little or no CYP1A1. CYP1B1 expression
was diminished by repression of AhR activity or by AhR knockdown, demonstrating
AhR control of basal CYP1B1 levels. ChIP assays demonstrated constitutive AhR
binding to both CYP1A1 and CYP1B1 promoters, demonstrating that differential
CYP1A1 and CYP1B1 regulation by constitutively active AhR does not result from
different amounts of promoter-bound AhR. While increasing AhR binding to both
CYP1A1 and CYP1B1, 2,3,7,8-tetrachlorodibenzo-p-dioxin induced CYP1A1 mRNA in
both a malignant and non-malignant line but increased only CYP1B1 mRNA in the
malignant line, again demonstrating that the level of promoter binding does not
necessarily correlate with gene mRNA levels. These studies suggest that
constitutively active AhR mediates different molecular outcomes than
environmental chemical-activated AhR, and further implicate the AhR in mammary
tumorigenesis.
PMID- 18059015
TI - Beta2-adrenergic receptors expressed on murine chondrocytes stimulate cellular
growth and inhibit the expression of Indian hedgehog and collagen type X.
AB - The sympathetic nervous system has been demonstrated to have a role in regulating
bone remodeling through beta-adrenergic receptors (beta-AR) expressed on
osteoblasts. Studies using beta(2)-adrenergic receptor agonists in vivo have also
suggested an effect on endochondral bone development; however, it was not clear
if this effect was mediated through osteoblasts or chondrocytes. To more
thoroughly examine the role of beta-AR in chondrocytes we characterized the
expression and signal transduction systems activated by beta-AR in growth plate
chondrocytes prepared from ribs of embryonic E18.5 mice. Using RT-PCR and
immunohistochemistry we found that the chondrocytes expressed only beta(2)-AR.
The receptors were coupled to stimulation of adenylyl cyclase, phosphorylation of
the cyclic AMP response element binding protein (CREB) and extracellular signal
regulated kinase (ERK1/2). Stimulation of ERK1/2 was transient and limited by the
concomitant stimulation of the mitogen-activated protein kinase phosphatase (MKP
1). Isoproterenol stimulated the growth of chondrocytes as assessed by increased
incorporation of [(3)H]-thymidine into the cells. The cellular expression of two
markers of chondrocyte differentiation, Indian hedgehog, expressed in pre
hypertrophic cells and collagen type X, expressed in hypertrophic chondrocytes,
were both significantly inhibited after incubation with isoproterenol.
Collectively, these findings demonstrate regulation of chondrocytes through
beta(2)-AR expressed on the cells that stimulate their growth and inhibit their
differentiation, indicating that the sympathetic nervous system may be an
important regulator of embryonic cartilage development.
PMID- 18059016
TI - Daidzein but not other phytoestrogens preserves bone architecture in
ovariectomized female rats in vivo.
AB - Ovariectomy of immature female rats, results in significant decrease of
trabecular bone volume and in cortical bone thickness. Previously, we found that
estradiol-17beta (E(2)) restored bone structure of ovariectomized (Ovx) female
rats to values obtained in intact sham-operated female rats. E(2) also
selectively stimulated creatine kinase (CK) specific activity a hormonal-genomic
activity marker. In the present study, we compared the effects of E(2) and the
phytoestrogens: daidzein (D), biochainin A (BA), genistein (G), carboxy
derivative of BA (cBA), and the SERM raloxifene (Ral) in Ovx, on both
histological changes of bones and CK, when administered in multiple daily
injections for 2.5 months. Bone from Ovx rats, showed significant disrupted
architecture of the growth plate, with fewer proliferative cells and less
chondroblasts. The metaphysis underneath the growth plate, contained less
trabeculae but a significant increased number of adipocytes in the bone marrow. D
like E(2) and Ral but not G, BA, or cBA, restored the morphology of the tibiae,
similar to that of control sham-operated animals; the bony trabeculeae observed
in the primary spongiosa was thicker, with almost no adipocytes in bone marrow.
Ovariectomy resulted also in reduced CK, which in both epiphysis and diaphysis
was stimulated by all estrogenic compounds tested. In summary, only D stimulated
skeletal tissues growth and differentiation as effectively as E(2) or Ral,
suggesting that under our experimental conditions, D is more effective in
reversing menopausal changes than any of the other isolated phytoestrogens which
cannot be considered as one entity.
PMID- 18059017
TI - Mycoplasma infection transforms normal lung cells and induces bone morphogenetic
protein 2 expression by post-transcriptional mechanisms.
AB - Bone morphogenetic protein 2 (BMP2) is an essential growth factor and morphogen,
whose pattern and level of expression profoundly influences development and
physiology. We present the novel finding that mycoplasma infection induces BMP2
RNA production in six cell lines of diverse types (mesenchymal, epithelial, and
myeloid). Mycoplasma infection triggered the expression of mature secreted BMP2
protein in BEAS-2B cells (immortalized human bronchial epithelial cells), which
normally do not express BMP2, and further increased BMP2 production in A549 cells
(lung adenocarcinoma cells). Indeed, mycoplasma is as strong an experimental
inducer as inflammatory cytokines and retinoic acid. Second, we showed that post
transcriptional mechanisms including regulation of RNA stability, rather than
transcriptional mechanisms, contributed to the increased BMP2 expression in
mycoplasma-infected cells. Furthermore, a novel G-rich oligonucleotide, AS1411
that binds the post-transcriptional regulator nucleolin induced BMP2 exclusively
in infected cells. Finally, BMP2 stimulated proliferation in BEAS-2B cells
transformed by chronic mycoplasma infection, as demonstrated by treatment with
Noggin, a BMP2 antagonist. These findings have important implications regarding
the effects of mycoplasma on BMP2-regulated processes, including cell
proliferation, differentiation, and apoptosis.
PMID- 18059018
TI - A case report: bone marrow mesenchymal stem cells from a Rett syndrome patient
are prone to senescence and show a lower degree of apoptosis.
AB - Rett syndrome (RTT) is one of the most common genetic diseases responsible for a
progressive disabling neurodevelopmental disorder. Mutations in the MeCP2 gene
were identified in the great majority of RTT patients. MeCP2 protein binds to
methylated DNA and produces changes in chromatin structure. This is a key event
in regulation of gene expression. It has been suggested that MeCP2 might be
important for neuronal development. Moreover, the frequent occurrence of
osteoporosis and scoliosis in RTT patients suggests impaired bone formation
and/or remodeling. Mesenchymal stem cells (MSCs) can differentiate as mesodermal
cells such as bone, cartilage cells, and adipocytes. MSCs have been shown to
possess great somatic plasticity; in fact, they can differentiate as neurons and
astrocytes. We studied RTT patients' MSCs because they are progenitors of
osteocytes, and it has been suggested that RTT patients' osteogenesis could be
impaired. Moreover, MSCs might represent a useful model for the study of
neurogenesis. MSCs from RTT patient showed precocious signs of senescence in a
comparison with the MSCs of healthy-patient control groups. This was in agreement
with the reduced gene-expression in the control of stem cell self-renewal and
upregulation of lineage specific genes, such as those involved in osteogenesis
and neural development. Control groups enabled us to observe a lower degree of
apoptosis in RTT patient cells. This means that aberrant stem/progenitor cells,
instead of being eliminated, can survive and become senescent. Our research
provides a new insight into RTT syndrome. Senescence phenomena could be involved
in triggering RTT syndrome-associated diseases.
PMID- 18059019
TI - Cathelicidin stimulates colonic mucus synthesis by up-regulating MUC1 and MUC2
expression through a mitogen-activated protein kinase pathway.
AB - Mucus forms the physical barrier along the gastrointestinal tract. It plays an
important role to prevent mucosal damage and inflammation. Our animal study
showed that antibacterial peptide 'cathelicidin' increased mucus thickness and
prevented inflammation in the colon. In the current study, we examined the direct
effect and mechanisms by which the peptide increased mucus synthesis in a human
colonic cell line (HT-29). Human cathelicidin (LL-37) dose-dependently (10-40
microg/ml) and significantly stimulated mucus synthesis by increasing the D-[6
(3)H] glucosamine incorporation in the cells. Real-time PCR data showed that
addition of LL-37 induced more than 50% increase in MUC1 and MUC2 mRNA levels.
Treatment with MUC1 and MUC2 siRNAs normalized the stimulatory action of LL-37 on
mucus synthesis. LL-37 also activated the phosphorylation of mitogen-activated
protein (MAP) kinase in the cells. A specific inhibitor of the MAP kinase
pathway, U0126, completely blocked the increase of MUC1 and MUC2 expression as
well as mucus synthesis by LL-37. Taken together, LL-37 can directly stimulate
mucus synthesis through activation of MUC1 and MUC2 expression and MAP kinase
pathway in human colonic cells.
PMID- 18059020
TI - Position of nonmuscle myosin heavy chain IIA (NMMHC-IIA) mutations predicts the
natural history of MYH9-related disease.
AB - MYH9-related disease (MYH9-RD) is a rare autosomal-dominant disorder caused by
mutations in MYH9, the gene for the heavy chain of nonmuscle myosin IIA (NMMHC
IIA). All patients present from birth with macrothrombocytopenia, but in infancy
or adult life, some of them develop sensorineural deafness, presenile cataracts,
and/or progressive nephritis leading to end-stage renal failure. No consistent
correlations have been identified between the 27 different MYH9 mutations
identified so far and the variable clinical evolution of the disease. We have
evaluated 108 consecutive MYH9-RD patients belonging to 50 unrelated pedigrees.
The risk of noncongenital manifestations associated with different genotypes was
estimated over time by event-free survival analysis. We demonstrated that all
subjects with mutations in the motor domain of NMMHC-IIA present with severe
thrombocytopenia and develop nephritis and deafness before the age of 40 years,
while those with mutations in the tail domain have a much lower risk of
noncongenital complications and significantly higher platelet counts. We also
evaluated the clinical course of patients with mutations in the four most
frequently affected residues of NMMHC-IIA (responsible for 70% of MYH9-RD cases).
We concluded that mutations at residue 1933 do not induce kidney damage or
cataracts and cause deafness only in the elderly, those in position 702 result in
severe thrombocytopenia and produce nephritis and deafness at a juvenile age,
while alterations at residue 1424 or 1841 result in intermediate clinical
pictures. These findings are relevant not only to patients' clinical management
but also to the elucidation of the pathogenesis of the disease.
PMID- 18059022
TI - 4-Vinyl-2,6-dimethoxyphenol (canolol) suppresses oxidative stress and gastric
carcinogenesis in Helicobacter pylori-infected carcinogen-treated Mongolian
gerbils.
AB - Oxidative stress is linked to gastric carcinogenesis because of its ability to
damage DNA. Here we examined antioxidative and anti-inflammatory effects of 4
vinyl-2,6-dimethoxyphenol (canolol), a recently identified potent antioxidative
compound obtained from crude canola oil, on Helicobacter (H.) pylori-induced
gastritis and gastric carcinogenesis using a Mongolian gerbil model. The animals
were allocated to H. pylori-infection alone (12 weeks) or H.pylori + N-methyl-N
nitrosourea (MNU) administration (52 weeks). After oral inoculation of H. pylori,
they were fed for 10 and 44 weeks with or without 0.1% canolol. H. pylori-induced
gastritis, 5'-bromo-2'-deoxyuridine (BrdU) labeling and scores for cyclooxygenase
2 (COX-2) and inducible nitric oxide synthase (iNOS) immunohistochemistry were
attenuated in the canolol-treated groups. Expression of interleukin-1 beta (IL-1
beta), tumor necrosis factor-alpha (TNF-alpha), COX-2 and iNOS mRNA in the
gastric mucosa, and serum 8-hydroxy-2'-deoxyguanosine (8-OHdG), anti-H. pylori
IgG and gastrin levels were also significantly lower in canolol-treated groups.
Furthermore, the incidence of gastric adenocarcinomas was markedly reduced in the
H. pylori + MNU + canolol-treated group [15.0% (6/40)] compared to the control
group [39.4% (13/33)] (p < 0.05). These data indicate canolol to be effective for
suppressing inflammation, gastric epithelial cell proliferation and gastric
carcinogenesis in H. pylori-infected Mongolian gerbils. Interestingly, the viable
H. pylori count was not changed by the canolol containing diet. Thus, the data
point to the level of inflammation because of H. pylori rather than the existence
of the bacteria as the determining factor. Importantly, canolol appears to
suppress induction of mRNAs for inflammatory cytokines.
PMID- 18059021
TI - A case-control study of the association of the polymorphisms and haplotypes of
DNA ligase I with lung and upper-aerodigestive-tract cancers.
AB - Tobacco smoking is a major risk factor for lung and upper-aerodigestive-tract
(UADT) cancers. One possible mechanism for the associations may be through DNA
damage pathways. DNA Ligase I (LIG1) is a DNA repair gene involved in both the
nucleotide excision repair (NER) and the base excision repair (BER) pathways. We
examined the association of 4 LIG1 polymorphisms with lung and UADT cancers, and
their potential interactions with smoking in a population-based case-control
study in Los Angeles County. We performed genotyping using the SNPlex method from
Applied Biosystems. Logistic regression analyses of 551 lung cancer cases, 489
UADT cancer cases and 948 controls showed the expected associations of tobacco
smoking with lung and UADT cancers and new associations between the LIG1
haplotypes and these cancers. For lung cancer, when compared to the most common
haplotype (rs20581-rs20580-rs20579-rs439132 = T-C-C-A), the adjusted odds ratio
(OR) is 1.2 (95% confidence limits (CL) = 0.95, 1.5) for the CACA haplotype, 1.4
(1.0, 1.9) for the CATA haplotype and 1.8 (1.1, 2.8) for the CCCG haplotype,
after controlling for age, gender, race/ethnicity, education and tobacco smoking.
We observed weaker associations between the LIG1 haplotypes and UADT cancers. Our
findings suggest the LIG1 haplotypes may affect the risk of lung and UADT
cancers.
PMID- 18059024
TI - SPARC modulates the proliferation of stromal but not melanoma cells unless
endogenous SPARC expression is downregulated.
AB - Cell interaction with the extracellular matrix (ECM) has profound influence in
cancer progression. The secreted protein, acidic and rich in cysteine (SPARC) a
component of the ECM, impairs the proliferation of different cell types and
modulates tumor cell aggressive features. This apparent paradox might result
either from the biochemical properties of the different SPARC sources or from
differential responses of malignant and stromal cells to SPARC. To test these
hypotheses, we purified SPARC secreted by melanoma cells (hMel-SPARC) and
compared its activity with different recombinant SPARC preparations, including a
new one produced in insect cells. All 5 SPARC species were effective in
inhibiting bovine aortic endothelial cell proliferation, adhesion and migration.
We then used the melanoma-derived protein to assess SPARC effect on additional
cell types. hMel-SPARC greatly impaired the proliferation of both normal and
transformed human endothelial cells and exerted a moderate biphasic effect on
human fetal fibroblasts proliferation, irrespective of their endogenous SPARC
levels. However, SPARC had no effect on the proliferation of several human cancer
cell lines regardless of their endogenous levels of SPARC expression.
Importantly, downregulation of SPARC levels in melanoma cells using either an
antisense RNA or a shRNA against SPARC sensitized them to hMel-SPARC addition in
proliferation and migration assays, suggesting that malignant cells developed a
SPARC-resistance mechanism. This was not a general resistance to growth
suppressing agents, as melanoma cells with restricted SPARC expression were more
resistant to chemotherapeutic agents. Thus, malignant cells expressing or not
expressing SPARC developed alternative mechanisms that, in contrary to stromal
cells, rendered them SPARC-insensitive.
PMID- 18059023
TI - Aminoflavone induces oxidative DNA damage and reactive oxidative species-mediated
apoptosis in breast cancer cells.
AB - Aminoflavone (5-amino-2-(4-amino-3-fluorophenyl)-6,8-difluoro-7-methylchromen-4
one; AF; NSC 686288), a novel anticancer candidate agent, is undergoing clinical
evaluation. AF induces DNA-protein cross-links (DPCs), Gamma-H2AX
phosphorylation, aryl hydrocarbon receptor (AhR) signaling, apoptosis and its own
metabolism via cytochrome P4501A1 and 1A2 (CYP1A1/1A2) activation in sensitive
estrogen receptor positive (ER+) MCF7 breast cancer cells. Estrogen receptor
negative (ER-) breast cancer is typically more aggressive with a poorer
prognosis. In this investigation, we evaluated the ability of AF to induce
reactive oxygen species (ROS) formation, oxidative DNA damage and apoptosis in ER
MDA-MB-468 breast cancer cells. The antioxidant, N-acetyl-L-cysteine (NAC),
attenuated the cytotoxic effects of AF in MDA-MB-468 cells; an effect is also
observed in ER+ T47D breast cancer cells. Nonmalignant MCF10A breast epithelial
cells were resistant to the cytotoxic effects of AF. AF increased intracellular
ROS, an effect blocked by NAC and the CYP1A1/1A2 inhibitor, alpha-Naphthoflavone
(alpha-NF). AF induced oxidative DNA damage as evidenced by increased 8-oxo-7,8
dihydroguanine (8-oxodG) levels and DPC formation in these cells. AF caused S
phase arrest corresponding to an increase in p21((waf1/cip1)) protein expression.
AF induced caspase 3, 8 and 9 activation, caspase-dependent apoptotic body
formation and poly [ADP-ribose] polymerase (PARP) cleavage. Pretreatment with the
pan-caspase inhibitor, benzyloxycarbonyl-Val-Ala-DL-Asp(OMe)-fluoromethylketone
inhibited apoptosis and partially inhibited ROS formation and oxidative DNA
damage. Pretreatment with NAC attenuated AF-induced apoptotic body formation and
caspase 3 activation. These studies suggest AF inhibits the growth of breast
cancer cells in part, by inducing ROS production, oxidative DNA damage and
apoptosis and has the potential to treat hormone-independent breast cancer.
PMID- 18059025
TI - Members of the human papillomavirus type 18 family (alpha-7 species) share a
common association with adenocarcinoma of the cervix.
PMID- 18059026
TI - Growth retardation and destruction of experimental squamous cell carcinoma by
interstitial radioactive wires releasing diffusing alpha-emitting atoms.
AB - In the present study, we examined the antitumoral effects caused by the release
of alpha emitting radioisotopes into solid squamous cell carcinoma (SCC) tumors.
Using a novel method termed DART (Diffusing Alpha-emitters Radiation Therapy), we
assessed the efficacy of short-lived daughters of (224)Ra releasing alpha
particles, dispersing in the malignant tissue, to cause tumor growth retardation
and destruction. It was carried out using specially designed wires loaded with
(224)Ra activities in the range of 7-42 kBq in a set of experiments performed on
BALB/c and nude mice bearing metastatic SCC tumors derived from either mouse SQ2
or human CAL27 cell lines. The insertion of a DART wire to the center of 6-7 mm
primary tumors, retarded tumor growth, reduced lung metastatic load, prolonged
life expectancy and in some cases caused tumor eradication. These effects were
enhanced either when treating smaller tumors or treating identical tumors with 2
DART wires. Similar experiments on human-derived SCC tumors in nude mice were
consistent with the outcomes of the murine model. Histological assessments
revealed the tissue damage pattern, and indicated a role for the tumor
vasculature in the dispersion of the atoms and the propagation of the damage. Our
findings indicate that Diffusing Alpha-emitting Radiation Therapy is effective in
a model system using SCC primary tumors. The in situ destruction of primary solid
tumors by DART is evidently a necessary step toward curing cancer and might be
augmented by chemotherapy and other modalities such as immunotherapy or
antigrowth factors agents.
PMID- 18059028
TI - Invasive breast cancer cells exhibit increased mobility of the actin-binding
protein CapG.
AB - The CapG protein, a Gelsolin-related actin-binding protein, is expressed at
higher levels in breast cancer, especially in metastasizing breast cancer, than
in normal breast epithelium. Furthermore, it is known that an increased
expression of the CapG protein triggers an increase in cell motility. According
to in vitro experiments, it was supposed that it is the nuclear fraction of the
protein, which causes the increase in cell motility. Here, we examined the
dynamical distribution of the CapG protein within the living cell, i.e. the
import of the CapG protein into the nucleus. The nuclear import kinetics of
invasive, metastasizing breast cancer cells were compared to the import kinetics
of non-neoplastic cells similar to normal breast epithelium. FRAP kinetics showed
a highly significant increase in the recovery of photobleached CapG-eGFP in the
cancer cells, so that a differentiation of invasive, metastasizing cells and non
invasive, non-metastasizing cells on the basis of transport processes of the CapG
protein between the nucleus and the cytoplasm seems to be possible. Comprehension
of the mobility and compartmentalization of the CapG protein in normal and in
cancer cells in vivo could constitute a new basis to characterize the
invasiveness and metastasizing potential of breast cancer.
PMID- 18059027
TI - Evidence that senescent human prostate epithelial cells enhance tumorigenicity:
cell fusion as a potential mechanism and inhibition by p16INK4a and hTERT.
AB - Normal human prostate (NHP) epithelial cells undergo senescence in vitro and in
vivo but the potential role of senescent NHP cells in prostate tumorigenesis
remain unclear. Here we show that senescent NHP cells enhance the in vivo
tumorigenicity of low-tumorigenic LNCaP prostate cancer and low/non-tumorigenic
subset of cells (called L cells) isolated from multiple bulk-cultured prostate
(and other) cancer cell lines. Subsequent studies suggest cell-cell fusion as a
potential mechanism for senescent NHP cell-enhanced tumor development. Using
fluorescently tagged tumor cells and/or NHP cells, we find that NHP cells, like
fibroblasts, can undergo fusion with unfractionated tumor cells or the L cells.
Using 293T-L cells as the model cell system, we verify NHP and 293T-L cell fusion
by using differential RT-PCR, karyotyping, and gene expression analyses. Further
experiments demonstrate that senescent NHP cells that have lost progenitor
markers, accumulated p16INK4a (p16) protein expression, and acquired the AR mRNA
expression, appear to be the preferential fusion targets. Strikingly, the
tumorigenicity of the NHP/293T-L hybrid cells was inhibited by exogenous p16 as
well as hTERT. Chromosomal analyses revealed that hTERT probably inhibited the in
vivo tumorigenicity by maintaining genomic stability. These results suggest that
senescent NHP cells, like senescent fibroblasts, may promote tumor development
and that one of the mechanisms underlying the senescent NHP cell-enhanced
tumorigenicity could be through cell fusion.
PMID- 18059029
TI - The impact of tobacco smoking and alcohol drinking on survival of patients with
non-Hodgkin lymphoma.
AB - Tobacco smoking and alcohol consumption have not been clearly related to the risk
of non-Hodgkin lymphoma (NHL), and the impact of these two factors on survival of
NHL patients has received little attention. Cases were 268 subjects with incident
histologically-confirmed NHL, admitted as inpatients to the Division of Medical
Oncology, between 1983 and 2002. These individuals were enrolled as cases in case
control studies conducted at the same institution over the same period. For all
patients clinical (histological subtype, major prognostic factors and treatment)
and epidemiological data (smoking and drinking habits) were available. Survival
analysis was performed using Kaplan-Meier methods. Hazard ratio (HR) was
estimated by Cox proportional hazard model. Compared to never smokers, patients
who smoked >or=20 cigarettes/day had higher risks of death (HR = 1.70, 95%
confidence interval (CI): 1.06-2.73) and lower survivals at 5 years (60 and 46%,
respectively). Likewise, patients who drunk >or=4 drinks/day showed 1.69-fold
higher probability of death (95% CI: 1.04-2.76) in comparison to drinkers of <2
drinks/day (5-year survival: 47 and 67%, respectively). When combining exposure
to alcohol and tobacco, no excess of death emerged in light drinkers (<4
drinks/day), irrespective of their smoking habits, but higher risks of death
emerged among heavy drinkers. In the present study, heavy tobacco smoking, and
particularly, heavy alcohol drinking were associated with poor survival in NHL
patients. Our findings strongly encourage physicians to advice NHL patients to
stop smoking and diminish alcohol consumption to obtain improvements in the
course of NHL.
PMID- 18059030
TI - HPP1-mediated tumor suppression requires activation of STAT1 pathways.
AB - HPP1 is a recently discovered gene that is epigenetically silenced in a number of
tumor types, suggesting a potential role as a tumor suppressor. However, whether
HPP1 has tumor suppressor activity is not clearly known. We have sought to
investigate the effects of HPP1 on tumor growth and survival and to identify
signaling pathways that mediate HPP1's mechanism of action. Forced expression of
HPP1 into HCT116 colon cancer cell lines blocked the ability of HCT116 tumors to
grown in vivo in nude mice. In cell culture, ectopic expression of HPP1 induces
apoptosis and potently inhibits soft agar colony formation. HPP1 overexpression
was also associated with a moderate reduction in in vitro proliferation
characterized by an accumulation of cells in the G0/G1 phase of the cell cycle.
Microarray analysis revealed that ectopic expression of HPP1 resulted in a
dramatic upregulation of STAT1 as well as a large number of associated interferon
inducible genes. RNA interference-mediated abrogation of STAT1 reversed HPP1's
antiproliferative effects. We conclude that HPP1 demonstrates tumor suppressive
and pro-apoptotic activity, both in vitro and in vivo. Coupled with its
inactivation in a number of tumor types, our data provides evidence to support
the role of HPP1 as a tumor suppressor gene. Moreover, activation of the STAT1
pathway likely represents the principal mediator of HPP1's tumor suppressive
properties.
PMID- 18059031
TI - Interaction between antioxidant vitamin supplementation and cigarette smoking
during radiation therapy in relation to long-term effects on recurrence and
mortality: a randomized trial among head and neck cancer patients.
AB - There has been concern that the efficacy of radiation therapy may be reduced when
patients smoke or take antioxidant vitamins during treatment. Cancer prevention
trials with beta carotene supplements documented adverse effects only among
smokers. We conducted a randomized trial with alpha tocopherol (400 IU/day) and
beta carotene (30 mg/day) supplements among 540 head and neck cancer (HNC)
patients treated by radiation therapy. We examined whether smoking during
radiation therapy modified the effects of the supplementation on HNC recurrence
and on mortality. During the follow-up, 119 patients had a HNC recurrence and 179
died. Cox models were used to test the interaction between smoking and
supplementation and to estimate the hazard ratios (HR) for HNC recurrence and
death associated with the supplementation. Cigarette smoking either before or
after radiation therapy did not modify the effects of the supplementation. In
contrast, the interactions between supplementation and cigarette smoking during
radiation therapy were statistically significant for HNC recurrence (p = 0.03),
all-cause mortality (p = 0.02) and mortality from the initial HNC (p = 0.04).
Among cigarette smokers, the HR were 2.41 (95% CI: 1.25-4.64) for recurrence,
2.26 (95% CI: 1.29-3.97) for all-cause mortality and 3.38 (95% CI: 1.11-10.34)
for HNC mortality. All corresponding HR among nonsmokers were close to 1. These
results could best be explained by the hypothesis that the combined exposures
reduced the efficacy of radiation therapy. Particular attention should be devoted
to prevent patients from both smoking and taking antioxidant supplements during
radiation therapy.
PMID- 18059032
TI - Esophageal cancer and body mass index: results from a prospective study of
220,000 men in China and a meta-analysis of published studies.
AB - Several epidemiological studies have reported on the association between body
mass index (BMI) and risk of esophageal cancer, but these were mostly in Western
populations where many are overweight or obese. There is little direct evidence
about the relationship in China where the mean BMI is relatively low and the
disease rate is high. We examined the data from a population-based prospective
study of 220,000 Chinese men aged 40-79 without a previous history of cancer
(mean BMI 21.7 kg/m(2)), which included 1,082 esophageal cancer deaths during 10
years of follow-up. Adjusted hazard ratios for death from esophageal cancer by
baseline BMI category were calculated using Cox proportional hazards models. Even
among men with good self-assessed health and BMI >or= 18.5 kg/m(2), there was a
strong inverse association between BMI and death from esophageal cancer, with
each 5 kg/m(2) higher BMI associated with 25% (95%CI: 11-36%) lower esophageal
cancer mortality. This inverse association persisted when analysis was restricted
to men who had never smoked or when the first 5 years of follow-up were excluded.
The strength of the relationship was consistent with the pooled estimate for
squamous cell carcinoma of the esophagus in a meta-analysis of prospective
studies (31% lower relative risk per 5 kg/m(2) higher BMI; 95% CI: 25-37%), but
contrasted with that for adenocarcinoma which showed a positive association with
BMI. Together, these data provide reliable evidence that in many populations low
BMI is associated with an increased risk of squamous cell carcinoma of the
esophagus.
PMID- 18059033
TI - Dickkopf-3 expression is a marker for neuroblastic tumor maturation and is down
regulated by MYCN.
AB - Neuroblastoma and ganglioneuroma are neuroblastic tumors originating from the
developing sympathetic peripheral nervous system. Ganglioneuromas are usually
benign, while neuroblastomas have a variable prognosis and include very
aggressive tumors. Examples exist of neuroblastomas regressing to ganglioneuromas
and ganglioneuromas progressing to neuroblastomas. Little is known of the
molecular differences between the tumor types. Here we report that Dickkopf-3
(DKK3), a putative extra cellular inhibitor of the Wnt/beta-catenin pathway,
showed a strongly differential expression between neuroblastoma and
ganglioneuroma. Microarray analyses of 109 neuroblastic tumors revealed that DKK3
is strongly expressed in ganglioneuroma but only weakly in neuroblastoma. Low
DKK3 expression in neuroblastoma correlated with a poor prognosis. The expression
of DKK3 in the tumor series and in neuroblastoma cell lines was inversely
correlated with the expression of the MYCN oncogene. Analysis of 2 neuroblastoma
cell lines with inducible activity of MYCN showed that DKK3 is down-regulated by
MYCN. We subsequently generated cell lines with inducible expression of DKK3,
which revealed an inhibitory effect of DKK3 on proliferation. High DKK3
expression in the benign ganglioneuromas and down-regulation of DKK3 by MYCN in
neuroblastoma might contribute to the strongly different clinical behavior of
both neuroblastic tumor types.
PMID- 18059034
TI - Frequent epigenetic inactivation of hSRBC in gastric cancer and its implication
in attenuated p53 response to stresses.
AB - hSRBC is a putative tumor suppressor located at 11p15.4, at which frequent
genomic loss has been observed in several human malignancies. To explore the
candidacy of hSRBC as a suppressor of gastric tumorigenesis, we analyzed the
expression and mutation status of hSRBC in gastric tissues and cell lines. hSRBC
transcript was expressed in all normal and benign tumor tissues examined, but
undetectable or very low in 73% (11/15) cancer cell lines and 41% (46/111)
primary tumors. Loss or reduction of hSRBC expression was tumor-specific and
correlated with stage and grade of tumors. While allelic loss or somatic
mutations of the gene were infrequent, its expression was restored in tumor cells
by 5-aza-2'-deoxycytidine treatment and aberrant hypermethylation of 23 CpG sites
in the promoter region showed a tight association with altered expression.
Transient or stable expression of hSRBC led to a G(1) cell cycle arrest and
apoptosis of tumor cells, and strongly suppresses colony forming ability and
xenograft tumor growth. In addition, hSRBC elevated apoptotic sensitivity of
tumor cells to genotoxic agents, such as 5-FU, etoposide and ultraviolet.
Interestingly, hSRBC increased the protein stability of p53 and expression of p53
target genes, such as p21(Waf1), PUMA and NOXA, while hSRBC-mediated cell cycle
arrest and apoptosis were abolished by blockade of p53 function. Our findings
suggest that hSRBC is a novel tumor suppressor whose epigenetic inactivation
contributes to the malignant progression of gastric tumors, in part, through
attenuated p53 response to stresses.
PMID- 18059036
TI - Does inhibition of degradation of hypoxia-inducible factor (HIF) alpha always
lead to activation of HIF? Lessons learnt from the effect of proteasomal
inhibition on HIF activity.
AB - At the cellular level hypoxia induces transcriptional response that is mediated
by the transcription factor hypoxia-inducible factor (HIF). HIF is regulated at
the level of its alpha subunit by 2-oxoglutarate (2OG)-dependent oxygenases that
hydroxylate specific prolyl and asparaginyl residues of HIF-alpha, affecting its
stability and activity, respectively. In the presence of O(2), the alpha subunit
is degraded in a complex process with several distinct steps. In the first step,
the degradation process is initiated by prolyl hydroxylases (PHDs). In the second
step, the von Hippel-Lindau (VHL)/E3 ligase complex recognizes the hydroxylated
HIF-alpha and mediates its polyubiquitylation by the ubiquitin-conjugating enzyme
E2. In the third step, the polyubiquitylated HIF-alpha is translocated to the
proteasome where it is degraded. Degradation of HIF-alpha can be inhibited at any
of the three levels either by various pharmacological inhibitors or due to
inactivation of genes whose products regulate the HIF system. The emerging data
about inactivation of HIF under conditions of proteasomal inhibition prompted us
to provide an overview contrasting the outcome of inhibition at various stages of
the degradative pathway for HIF activity.
PMID- 18059037
TI - World disparities in risk definition and management of retinoblastoma: a report
from the International Retinoblastoma Staging Working Group.
AB - Following from the publication of the International Retinoblastoma Staging
System, an open internet discussion group was created at the www.cure4kids.org
resource. The results of a survey distributed among participants are discussed.
Although most patients with retinoblastoma were treated under prospective
protocols, there was a wide variation in the definition of risk criteria and in
the criteria for giving adjuvant chemotherapy following enucleation. Definition
of high-risk histological features and the criteria for use of adjuvant therapy
will be standardized in future studies. Internet meetings are a valuable
mechanism for enabling participation from under-resourced countries in the
development of cooperative studies.
PMID- 18059038
TI - Atypical facet of Mobius syndrome: association with facioscapulohumeral muscular
dystrophy.
AB - We describe a patient with facioscapulohumeral muscular dystrophy (FSHD)
associated with Mobius syndrome and congenital ophthalmoplegia. This 7-year-old
girl had profound limitation of extraocular movements since birth, congenital
facial diplegia, neonatal hypotonia, and progressive limb-girdle weakness. FSHD
genetic testing revealed a pathogenic haplotype with a D4Z4 repeat of 30 kb. The
father carries the same allele, although is minimally affected. This unusual case
expands the genotypic-phenotypic spectrum of FSHD.
PMID- 18059035
TI - Leptin and leptin receptor genotypes and colon cancer: gene-gene and gene
lifestyle interactions.
AB - Leptin may play an important role in colorectal cancer because of its role in
energy balance, insulin and inflammation. We evaluated the LEP rs2167270 (19 G >
A) and rs7799039 (-2548 G > A) polymorphisms and the leptin receptor, LEPR
rs6588147 (located in intron 2), polymorphism with risk of developing colon
cancer in a study of 1,567 cases and 1,965 controls. We evaluated the effects of
the polymorphisms with body mass index (BMI), recent use of aspirin/NSAIDs and
genetic variations in genes related to insulin signaling pathways including
insulin-like growth factor 1 (IGF1), insulin-like growth factor binding protein 3
(IGFBP3), and insulin-related substrates 1 and 2 (IRS1, IRS2) and the vitamin D
receptor (VDR). We observed a slight reduction in colon cancer risk with the AA
LEP rs2167270 genotype (OR 0.79 95% CI 0.64, 0.98) and although not reaching
statistical significance, with the combined GG LEP rs2167270 and GG LEPR
rs6588147 (OR 0.70, 95% CI 0.49, 1.02) genotypes. BMI did not interact with any
of these polymorphisms to alter colon cancer risk. However, recent aspirin/NSAID
use significantly interacted with both LEP polymorphisms. Likewise, variants of
IGF1 and IRS2 interacted with the LEP rs2167270 polymorphism. VDR polymorphisms
interacted with all LEP and LEPR polymorphisms. These data support an association
between LEP and colon cancer. They also suggest that the mechanisms linking
leptin to colon cancer may be independent of energy balance.
PMID- 18059040
TI - Influence of internal standard charge state on the accuracy of mass measurements
in orthogonal acceleration time-of-flight mass spectrometers.
AB - Accuracy of mass measurements performed in orthogonal acceleration time-of-flight
(oa-TOF) mass spectrometers highly depends on the quality of the signal and the
internal calibration. The use of two reference compounds which bracket the
targeted unknown, give rise to ions with sufficient signal-to-noise ratio while
avoiding detector saturation and produce signals of similar intensity as compared
to the target is a common requirement which allow a 5 ppm accuracy on a routine
basis. Ion charge state is demonstrated here to be an additional and particularly
critical parameter. Using internal references of lower charge state than the
target ion systematically yielded overestimated data. Errors measured for
quadruply charged molecules were in the range 16-18 ppm when mass calibrants were
singly charged ions while accuracy was below 5 ppm when references and target
ions were in the same charge state. Magnitude of errors was found to increase
with the difference in charge state. This phenomenon arises from the orthogonal
acceleration of ions in the TOF analyzer, an interface implemented in all TOF
mass spectrometers to accommodate continuous beam ionization sources.
PMID- 18059039
TI - Lifetime course of myasthenia gravis.
AB - Between 1940 and 2000 a total of 1976 patients with myasthenia gravis (MG) were
studied. Diagnosis was made by improvement in weakness after anticholinesterase
medication. The historical developments in diagnosis and treatment of MG are
reviewed. We analyzed the clinical course of MG as influenced by age, gender,
thymectomy, thymomectomy, and the presence of antibodies to acetylcholine
receptors (AChR). The clinical course of MG was significantly influenced by age
and gender, and these need special attention in managing patients. The most
severe level of weakness and high mortality occurred during the first 1 to 2
years of the disease, after which many patients experienced improvement. For
treating MG patients the usefulness of thymectomy remains to be proven, and novel
drugs need to be developed to increase the number as well as normal functioning
of the AChRs and other components of the neuromuscular system.
PMID- 18059041
TI - Matrix-assisted laser desorption/ionization mechanism study with dihydroxybenzoic
acid isomers as matrices.
AB - Desorption and ionization efficiencies of matrix-assisted laser
desorption/ionization (MALDI) for various biomolecules with different
dihydroxybenzoic acid isomers were studied. No clear relationships were observed
between MALDI biomolecule signals vs. gas-phase basicity, proton affinity and
ionization potential. This indicates the the gas-phase protonation mechanism is
not adequate to explain the observed results.
PMID- 18059042
TI - Improved compound-specific delta13C analysis of n-alkanes for application in
palaeoenvironmental studies.
AB - We present an optimized method for compound-specific stable carbon isotope
(delta(13)C) analysis of n-alkanes. For sample preparation, the traditionally
used Soxhlet extraction was replaced by accelerated solvent extraction (ASE).
delta(13)C values of individual n-alkanes--measured using gas chromatography
combustion-isotope ratio mass spectrometry (GC-C-IRMS)--were first drift
corrected with regularly discharged pure CO(2) pulses as reference gas and,
secondly, corrected for the amount dependence of the delta(13)C values by co
analyzing standards with varying analyte concentrations. Finally, the delta(13)C
values were calibrated against two internal standards. The improved method was
applied to selected sediment samples from a palaeoenvironmental study in
subtropical NE Argentina. The measured delta(13)C values of all long-chain n
alkanes (nC(27), nC(29), nC(31) and nC(33)), representing biomarkers for
terrestrial plants, correlate significantly with the delta(13)C of bulk organic
matter (delta(13)C(TOC)). The latter is hence corroborated as a proxy for C3-C4
vegetation changes. Furthermore, the delta(13)C variations reveal higher
amplitudes for nC(31) and nC(33) than for nC(27) and nC(29), indicating that the
former n-alkanes mainly derive from C3 and/or C4 grasses, whereas the latter
homologues mainly derive from C3 plants (trees and shrubs). Except for the
lowermost part of the sediment core, the delta(13)C values of the mid-chain
alkanes nC(23) and nC(25) do not reflect the terrestrial delta(13)C pattern, thus
indicating that they are probably mainly of lacustrine origin.
PMID- 18059043
TI - Analysis of phenoxyacetic acid herbicides as biomarkers in human urine using
liquid chromatography/triple quadrupole mass spectrometry.
AB - Phenoxyacetic acids are widely used herbicides. The toxicity of phenoxyacetic
acids is debated, but high-level exposure has been shown to be hepatotoxic as
well as nephrotoxic in animal studies. An inter-species difference in toxic
effects has been found, with dogs particularly susceptible. In this study a
method using liquid chromatography/triple quadrupole mass spectrometry (LC/MS/MS)
is described for the analysis of 4-chloro-2-methylphenoxyacetic acid (MCPA), and
its metabolite 4-chloro-2-hydroxymethylphenoxyacetic acid (HMCPA), 2,4
dichlorophenoxyacetic acid (2,4-D), and 2,4,5-trichlorophenoxyacetic acid (2,4,5
T) in human urine. The urine samples were treated by acid hydrolysis to degrade
possible conjugations. The sample preparation was performed using solid-phase
extraction. Analysis was carried out using selected reaction monitoring (SRM) in
the negative ion mode. Quantification of the phenoxyacetic acids was performed
using [(2)H(3)]-labeled MCPA and 2,4-D as internal standards. The method was
linear in the range 0.05-310 ng/mL urine and has a within-run precision of 2-5%.
The between-run precision in lower concentration ranges was between 6-15% and
between 2-8% in higher concentration ranges. The limit of detection was
determined to 0.05 ng/mL. The metabolites in urine were found to be stable during
storage at -20 degrees C. To validate the phenoxyacetic acids as biomarkers of
exposure, the method was applied in a human experimental oral exposure to MCPA,
2,4-D and 2,4,5-T. Two healthy volunteers received 200 microg of each
phenoxyacetic acid in a single oral dose followed by urine sampling for 72 h post
exposure. After exposure, between 90 and 101% of the dose was recovered in the
urine. In the female subject, 23%, and in the male subject 17%, of MCPA was
excreted as HMCPA.
PMID- 18059044
TI - Negative ion dissociation of peptides containing hydroxyl side chains.
AB - The dissociation of deprotonated peptides containing hydroxyl side chains was
studied by electrospray ionization coupled with Fourier transform ion cyclotron
resonance (ESI-FTICR) via sustained off-resonance irradiation collision induced
dissociation (SORI-CID). Dissociation under post-source decay (PSD) conditions
was performed by matrix-assisted laser desorption/ionization time-of-flight
(MALDI-TOF). This work included hexapeptides with one residue of serine,
threonine, or tyrosine and five inert alanine residues. During SORI-CID and PSD,
dissociation of [M-H](-) yielded c- and y-ions. Side-chain losses of formaldehyde
(HCHO) from serine-containing peptides, acetaldehyde (CH(3)CHO) from threonine
containing peptides, and 4-methylene-2,5-cycohexadienone (C(7)H(6)O) from
tyrosine-containing peptides were generally observed in the negative ion PSD and
SORI-CID spectra. Side-chain loss occurs much less from tyrosine-containing
peptides than from serine- and threonine-containing peptides. This is probably
due to the bulky side chain of tyrosine, resulting in steric hindrance and poor
geometry for dissociation reactions. Additionally, a selective cleavage leading
to the elimination of the C-terminal residue from [M-H](-) was observed from the
peptides with serine and threonine at the C-terminus. This cleavage does not
occur in the dissociation of peptides with an amide group at the C-terminus or
peptides with neutral or basic residues at the C-terminus. It also does not occur
with tyrosine at the C-terminus. Both the C-terminal carboxylic acid group and
the hydroxyl side chain of the C-terminal residue must play important roles in
the mechanism of C-terminal residue loss. A mechanism involving both the C
terminal carboxylic acid group and a hydroxyl side chain of serine and threonine
is proposed.
PMID- 18059045
TI - HPLC analysis and pharmacokinetic study of quercitrin and isoquercitrin in rat
plasma after administration of Hypericum japonicum thunb. extract.
AB - A simple HPLC method was developed for determination of quercitrin and
isoquercitrin in rat plasma. Reversed-phase HPLC was employed for the
quantitative analysis using kaempferol-3-O-beta-D-glucopyranoside-7-O-alpha-L
rhamnoside as an internal standard. Following extraction from the plasma samples
with ethyl acetate-isopropanol (95:5, v/v), these two compounds were successfully
separated on a Luna C(18) column (250 x 4.6 mm, 5 microm) with isocratic elution
of acetonitrile-0.5% aqueous acetic acid (17:83, v/v) as the mobile phase. The
flow-rate was set at 1 mL/min and the eluent was detected at 350 nm for both
quercitrin and isoquercitrin. The method was linear over the studied ranges of 50
6000 and 50-5000 ng/mL for quercitrin and isoquercitrin, respectively. The intra-
and inter-day precisions of the analysis were better than 13.1 and 13.2%,
respectively. The lower limits of quantitation for quercitrin and isoquercitrin
in plasma were both of 50 ng/mL. The mean extraction recoveries were 73 and 61%
for quercitrin and isoquercitrin, respectively. The validated method was
successfully applied to pharmacokinetic studies of the two analytes in rat plasma
after the oral administration of Hypericum japonicum thunb. ethanol extract.
PMID- 18059046
TI - Quantitative determination of domperidone in human plasma by ultraperformance
liquid chromatography with electrospray ionization tandem mass spectrometry.
AB - A simple and sensitive liquid chromatography-tandem mass spectrometry method was
developed and validated for determining domperidone in human plasma. The analyte
and internal standard (IS; mosapride) were isolated from plasma samples by
protein precipitation with methanol (containing 0.1% formic acid). The
chromatographic separation was performed on an Xterra MS C(18) Column (2.1 x 150
mm, 5.0 microm) with a gradient programme mobile phase consisting of 0.1% formic
acid and acetonitrile at a flow rate of 0.30 mL/min. The total run time was 4.0
min. The analyses were carried out by multiple reaction monitoring using the
parent-to-daughter combinations m/z 426 --> 175 and m/z 422 --> 198 (IS). The
areas of peaks from the analyte and IS were used for quantification of
domperidone. The method was validated according to the FDA guidelines on
bioanalytical method validation. Validation results indicated that the lower
limit of quantification was 0.2 ng/mL, and the assay exhibited a linear range of
0.2-60.0 ng/mL and gave a correlation coefficient (r(2)) of 0.999 or better.
Quality control samples (0.4, 0.8, 15 and 50 ng/mL) in six replicates from three
different analytical runs demonstrated an intra-assay precision (RSD) 4.43-6.26%,
an inter-assay precision 5.25-7.45% and an overall accuracy (relative error) of
<6.92%. The method can be applied to pharmacokinetic and bioequivalence studies
of domperidone.
PMID- 18059047
TI - Development and validation of a simple thin layer chromatographic method for the
analysis of artemisinin in Artemisia annua L. plant extracts.
AB - Owing to the development of parasite resistance to standard antimalarial
treatments like chloroquine and sulfadoxine-pyrimethamine, the demand for
Artemisia annua, a key ingredient for new and highly effective antimalarial
drugs, is huge. Therefore selective and precise methods to determine the content
of artemisinin in dry plant material and in raw impure extracts are needed. In
this work a method is described for the clear separation and extraction of
artemisinin from other plant components in the Artemisia annua L. plant by thin
layer chromatography (TLC). To obtain optimal extraction and recovery efficiency,
several parameters were evaluated, including choice of extraction solvent, TLC
plate type and sensitivity between UV and visible light. Method validation was
performed on both the dry plant material and non-purified plant extracts. Toluene
presented the highest extraction efficiency compared with petroleum ether, hexane
and methanol. Reversed-phase plates showed more concentrated spots than normal
phase plates, while the sensitivity of the analysis in UV was comparable to that
in visible light but less precise. The impure plant extracts were analyzed by
both TLC and HPLC-UV at 215 nm and both methods met the requirements for
linearity, selectivity, precision and accuracy. Hence, the proposed TLC method
can easily be used for both qualitative and quantitative control of the raw plant
extract in areas where advanced methods are scarce.
PMID- 18059048
TI - Microbial metabolism of loganin by intestinal bacteria and identification of new
metabolites in rat.
AB - Loganin is an important constituent of the traditional Chinese medicine Fructus
Corni, with several bioactivities. Microbial metabolism of loganin by intestinal
bacteria was investigated. Two metabolites (log-1 and log-2) were isolated from
anaerobic culture and their structures were identified by means of their ESI-MS,
(1)H-NMR, (13)C-NMR and 2D-NMR spectral data. Log-1 was an aglycone of loganin
and log-2 was proved to be a new compound. In vivo metabolites of loganin were
detected in rat urine, bile and feces after oral administration of loganin and
the structures were proved to be identical with that of the microbial metabolites
log-1 and log-2 by HPLC-PDA analysis and comparison with the reference standards.
Therefore we can prepare metabolites by anaerobic culture with intestinal
bacteria.
PMID- 18059049
TI - A longitudinal study of perfectionism in adolescent onset anorexia nervosa
restricting type.
AB - This longitudinal study analyses self-reported perfectionism, eating disorders
and psychiatric symptoms during recovery from anorexia nervosa (AN). With a
recovered design, a group of 68 previous patients with AN was studied in two
follow-up studies, 8 and 16 years after 1st admission to Child and Adolescent
Psychiatry (CAP). Levels of perfectionism stayed the same while eating disorder
symptoms and psychiatric symptoms decreased during recovery. Levels of
perfectionism were inversely related to duration of remission so that individuals
that had short illness duration had lower levels of perfectionism at both follow
ups. Patients with initial high levels of perfectionism may be at risk for a long
illness duration which we recommend clinicians to acknowledge.
PMID- 18059050
TI - Why (and when) clinicians compel treatment of anorexia nervosa patients.
AB - OBJECTIVE: This paper addresses the question of the circumstances which lead
clinicians to use legal coercion in the management of patients with severe
anorexia nervosa, and explores similarities and differences between such formal
coercion and other forms of 'strong persuasion' in patient management. METHOD:
Logistic regression and other statistical analysis was undertaken on 75 first
admissions for anorexia nervosa from a sample of 117 successive admissions to an
eating disorder facility in New South Wales, Australia, where an eating disorder
was the primary diagnosis. Admissions with other primary diagnoses, such as
bulimia nervosa (25 episodes), and entries with a co-morbid diagnosis (e.g.
depression or opiate overdose), were discarded, leaving 96 admissions by 75
individuals. RESULTS: Resort to measures of legal coercion into treatment was
found to be associated with three main indicators: the patient's past history
(number of previous admissions); the complexity of their condition (the number of
other psychiatric co-morbidities); and their current health risk (measured either
by Body Mass Index (BMI) or the risk of re-feeding syndrome). CONCLUSIONS: Our
study is consistent with the few earlier studies about indicators for legal
coercion in anorexia nervosa management, and suggests that clinicians use legal
coercion very sparingly, distinguishing legal coercion from other forms of close
clinical management of patients.
PMID- 18059051
TI - Development and validation of a sensitive LC-MS/MS method with electrospray
ionization using multiple ions for quantitation of torcetrapib in hamster and dog
plasma.
AB - A highly sensitive and specific LC-MS/MS method has been developed and validated
for the estimation of torcetrapib (TTB) with 100 microL hamster/dog plasma using
DRL-16126 as an internal standard (IS). The API-4000 Q Trap LC-MS/MS was operated
under multiple-reaction monitoring mode using the electrospray ionization
technique. The assay procedure involved extraction of TTB and IS from plasma with
acetonitrile, which yielded consistent recoveries of 65.73 and 94.01% for TTB and
79.68 and 90.70% for IS in hamster and dog plasma, respectively. The total
chromatographic run time was 3.0 min and the elution of TTB and IS occurred at
approximately 2.25 and 2.20 min, respectively. The resolution of peaks was
achieved with 0.01 m ammonium acetate:acetonitrile (15:85, v/v) at a flow rate of
0.40 mL/min on an Inertsil ODS-3 column. The method was proved to be accurate and
precise at linearity range of 1.00-200 ng/mL with a correlation coefficient (r)
of > or = 0.993. The method was rugged with 1.00 ng/mL as the lower limit of
quantitation. TTB was stable in the battery of stability studies. The application
of the assay to preclinical pharmacokinetic studies confirmed the utility of the
assay to derive hamster/dog pharmacokinetic parameters.
PMID- 18059052
TI - A simple and sensitive HPLC method for quantitation of low phenoprolamine
hydrochloride concentrations in human plasma and its pharmacokinetic application.
AB - Phenoprolamine hydrochloride is a novel compound that works against a variety of
types of hypertension. The purpose of this study was to develop a simple and
sensitive high-performance liquid chromatographic method for quantitation of low
phenoprolamine hydrochloride concentrations in human plasma and to apply it to
pharmacokinetic study. The procedure involved extraction of the drug and
clonidine (internal standard) from the plasma using diethyl ether.
Chromatographic separations were carried out on a 4.6 x 200 mm Hypersil silica
column with UV detection at 230 nm. The isocratic mobile phase, 1% ammonium
acetate (pH 5.4) and methanol (0.3:99.7, v/v), was run at 1 mL/min. Extraction
recovery was 84% for phenoprolamine hydrochloride at a concentration level of 200
ng/mL, and 76% for clonidine at 200 ng/mL. The method was linear in the
concentration range 5-4000 ng/mL with a lower limit of quantitation of 5 ng/mL
for phenoprolamine hydrochloride. Inter- and intra-day coefficients of variation
were less than 10%. The validated method was successfully applied to a
pharmacokinetic study in human after an oral administration of the drug, and the
pharmacokinetic parameters are presented.
PMID- 18059053
TI - Determination of forsythiaside in rat plasma by high-performance liquid
chromatography and its application to pharmacokinetic studies.
AB - A simple high-performance liquid chromatographic method was developed to study
the pharmacokinetics of forsythiaside in rat plasma after intravenous
administration. Hesperidin was used as the internal standard. The drugs were
separated on a reversed-phase C(18) column and detected at 332 nm. Good linearity
was achieved in the range of 0.067-26.667 microg/mL. The intra- and inter-assay
variation coefficients for this analysis were no more than 10.94 and 14.56%,
respectively. The average recovery for forsythiaside was 87.42% from plasma. The
analytical sensitivity and accuracy of this assay were adequate for
characterization of the pharmacokinetics of intravenous administration of
forsythiaside to rats and the assay has been successfully applied to provide
pharmacokinetic data. The mean t(1/2Z) was 20.36, 19.40 and 23.62 min for 2, 5
and 20 mg/kg for forsythiaside after i.v. administration, respectively. The AUC(0
t) increased linearly from 40.64 to 624.14 microg min/mL after administration of
the three doses.
PMID- 18059054
TI - Selective and sensitive determination of bis(7)-tacrine, a high erythrocyte
binding acetylcholinesterase inhibitor, in rat plasma by high-performance liquid
chromatography-tandem mass spectrometry.
AB - The current study aims to develop a specific and sensitive LC-MS/MS method for
determination of bis(7)-tacrine (B7T) in rat plasma. A 100 microL plasma sample
was extracted with ethyl acetate. B7T and the internal standard (IS), pimozide,
in the samples were then analyzed with LC-MS/MS in positive electrospray
ionization condition. Chromatographic separation of B7T and IS was achieved in a
C(18) reversed-phase HPLC column (150 x 2.1 mm i.d.) by isocratic elution with a
mobile phase consisting of 0.05% formic acid in water and acetonitrile (1:1, v/v)
at a flow rate of 0.35 mL/min. Multiple-reaction monitoring (MRM) mode was
employed to measure the ion transitions: m/z 247 to 197 for B7T and m/z 462 to
m/z 328 for IS, respectively. The method was linear over the studied ranges of
100-5000 and 10-100 ng/mL. The intra-day and inter-day variations of the analysis
were less than 6.8% with standard errors less than 9.0%. The detection limit of
B7T in rat plasma was 1 ng/mL. The developed method was successfully applied to
the pharmacokinetic study of B7T after intravenous administration of 1 mg/kg B7T
and further proved to be readily utilized for determination of B7T in rat plasma
samples.
PMID- 18059055
TI - Relationships between structure, retention and biological activity of some Schiff
base ligands and their complexes.
AB - The lipophilicity of a series of Schiff base ligands and their complexes with
nickel(II) and copper(II) has been determined by reversed-phase thin-layer
chromatography using binary dioxane-water mobile phase. Chelate ligands were
prepared by condensation of diamine and the corresponding beta-diketone.
Copper(II) and nickel(II) complexes with chelate ligands containing ethane-1,2
diamine or propane-1,2-diamine as the amine part and pentane-2,4-dione and/or 1
phenylbutane-1,3-dione, pentane-2,4-dione and/or 1,1,1-trifluoropentane-2,4
dione, or 1,1,1-trifluoropentane-2,4-dione and/or 1-phenylbutane-1,3-dione as the
beta-diketone part were synthesized. Some of investigated compounds were screened
for their in vitro antifungal activity against Sacharomyces cerevisiae and
antibacterial activity against Escherichia coli. Chromatographically obtained
lipophilicity parameters were correlated both with calculated n-octanol-water
partition coefficient C log P and antimicrobial activities. Satisfactory
correlations were obtained. Chromatographic data proved to be reliable parameters
for describing the lipophilic properties of the investigated compounds.
Additionally, the principal components analysis was performed on the data
chromatographically obtained. This statistical method was useful for
distinguishing compounds and objective comparison of their lipophilicity
parameters.
PMID- 18059056
TI - Interaction of glycyrrhetinic acid, furosemide and hydrochlorothiazide with
bovine serum albumin and their displacement interactions: capillary
electrophoresis and fluorescence quenching study.
AB - Licorice is the most widely used crude drug in traditional Chinese medicine.
Glycyrrhetinic acid (GA) is the metabolite of glycyrrhizic acid, which is the
main bioactive ingredient of licorice. In this work, capillary electrophoresis
frontal analysis (CE-FA) was applied to study the binding of bovine serum albumin
with GA and two diuretics: furosemide (FU) and hydrochlorothiazide (HZ). The
binding parameters of GA were determined by Scatchard analysis, which showed that
there are two kinds of binding sites in bovine serum albumin for GA. However, the
results showed that the CE-FA method was not suitable for the interaction study
of FU and HZ. Therefore, utracentrifugation-CE was used to probe the binding
characteristic of these two drugs and the results showed only one kind of binding
site for them under the studied conditions. Displacement interactions between
these drugs were also investigated by utracentrifugation-CE method and the
results showed that GA hardly displaces HZ while it can slightly displace FU and
FU can slightly displace HZ. For comparison, the binding of these drugs was also
studied by the fluorescence quenching method and the data were processed by the
Stern-Volmer quenching equation. Results showed that the binding constants were
basically consistent for two methods for all drugs studied. The number of binding
sites on one protein molecule was well consistent for FU and HZ while it was
quite different for GA.
PMID- 18059057
TI - Development and validation of a method for the analysis of cafenstrole and its
metabolite in brown rice grains and rice straw using high-performance liquid
chromatography.
AB - The present work reports the extraction and clean-up procedures, as well as the
chromatographic conditions developed, for the determination of cafenstrole and
its metabolite (CHM-03) residues in brown rice grains and rice straw using HPLC
UV detection. The method makes use of an Apollo C(18) column and acetonitrile :
water : acetic acid as a mobile phase for both cafenstrole and its metabolite in
rice and rice straw. Using these conditions cafenstrole and its metabolite were
resolved with a retention time (R(t)) of less than 14 min. The analytes were
confirmed using positive atmospheric pressure ionization LC-MS with selected ion
monitoring. The average recoveries of cafenstrole were found to be 87.0-92.5 and
87.6-88.3%. However, they ranged from 81.5 to 81.6% and from 76.1 to 78.5% for
cafenstrole metabolite (CHM-03), in rice grains and rice straw, respectively,
with relative standard deviations ranging from 1.4 to 6.6%. The limits of
detection (LODs) of both cafenstrole and its metabolite were 0.002 and 0.02 ppm
and 0.025 and 0.04 ppm, respectively. Field trials with recommended or double the
recommended dose revealed that the herbicide could safely be recommended for
application in rice and rice straw as no residues were detected in the harvest
samples.
PMID- 18059058
TI - Recent progress in the development of derivatization reagents having a
benzofurazan structure.
AB - Chemical derivatization is often used to enhance the detectability of the target
compounds and to improve the separation efficiency in high-performance liquid
chromatography (HPLC). In this review, we describe the recent progress in the
development of derivatization reagents having a benzofurazan structure, namely,
the fluorogenic reagents, water-soluble reagents, reagents for the analysis of
peptides and proteins, and reagents for mass spectrometric detection. The
application of these reagents to bio-samples is also briefly described.
PMID- 18059059
TI - HPLC assay for determination of amphotericin B in biological samples.
AB - A fast and selective HPLC method for assaying amphotericin B in biological
samples was developed and validated. The chromatographic separation was achieved
in less than 12 min on a reverse-phase C(18) column using an acetonitrile-acetic
acid-water (52:4.3:43.7, v/v/v) mixture as mobile phase. The flow rate was 1
mL/min and the effluent was monitored at 406 nm. A linear response over the
concentration range 0.1-10.0 microg/mL was obtained. Intra-day and inter-day RSDs
were below 5% for all the sample types. This new HPLC method was applied to assay
amphotericin B in plasma and several tissue samples such as kidney, liver, spleen
and bone marrow. Application of this method to pharmacokinetic studies in mice
and dog is provided.
PMID- 18059060
TI - Determination of lipophilicity of alpha-(4-phenylpiperazine) derivatives of N
benzylamides using chromatographic and computational methods.
AB - This paper describes the evaluation of lipophilicity of alpha-(4
phenylpiperazine) derivatives of N-benzylamides. We employed reversed-phase thin
layer chromatography (RP-TLC) and reversed-phase high performance liquid
chromatography (RP-HPLC) as experimental methods, using mixtures of acetonitrile
and water as the mobile phases with addition of 0.1%TFA in the HPLC experiments.
Retention parameters (R(M)) and capacity factors (log k) determined by applying
these methods were linearly dependent on the acetonitrile concentration and
enabled us to estimate the relative lipophilicity factors: R(M0) and log k(0).
These factors were compared with the calculated partition coefficients C log P
obtained using several software packages. The results indicate that both
experimental methods (RP-TLC and RP-HPLC) yielded similar results, and these
methods enable determining the lipophilicity of alpha-(4-phenylpiperazine)
derivatives of N-benzylamides. Significant correlations were found between log P
values calculated by Pallas, ALOGPS and C log P Chem3D programs and the
experimental data.
PMID- 18059061
TI - Liquid chromatography tandem mass spectrometry method for the quantification of
rimonabant, a CB1 receptor antagonist, in human plasma.
AB - A sensitive high-performance liquid chromatography-tandem mass spectrometry
method was developed and validated for the quantification of rimonabant in human
plasma. Following liquid-liquid extraction, the analytes were separated using an
isocratic mobile phase on a reverse-phase column and analyzed by MS/MS in the
multiple reaction monitoring mode using the respective (M+H)+ ions, m/z 463-363
for rimonabant and m/z 408-235 for the internal standard. The assay exhibited a
linear dynamic range of 0.1-100 ng/mL for rimonabant in human plasma. The lower
limit of quantification was 0.1 ng/mL with a relative standard deviation of less
than 6%. With dilution integrity up to 10-fold, the upper limit of quantification
was extendable up to 1000 ng/mL. Acceptable precision and accuracy were obtained
for concentrations over the standard curve range. A run time of 2.0 min for each
sample made it possible to analyze more than 250 human plasma samples per day.
The validated method was successfully used to analyze human plasma samples for
application in pharmacokinetic studies.
PMID- 18059062
TI - Stereoselective determination of demethyl- and didemethyl-citalopram in rat
plasma and brain tissue by liquid chromatography with fluorescence detection
using precolumn derivatization.
AB - A rapid and sensitive HPLC enantioselective method with fluorescence detection
was developed to determine (-)-(R) and (+)-(S) enantiomers of the metabolites of
citalopram, demethyl- and didemethyl-citalopram in plasma and brain tissue. This
assay involves pre-column chiral derivatization with (-)-(R)-1-(1-naphthyl)ethyl
isocyanate followed by separation on a normal-phase silica column. The developed
liquid-liquid extraction procedure permits quantitative determination of analytes
with recoveries ranged between 81 and 88% with intra- and inter-day relative
standard deviations less than 10.5%. Linearity was obtained over the
concentration range 5-1000 ng/mL and 100-10,000 ng/g for spiked drug-free plasma
and brain tissue, respectively, with detection limits lower than 2.1 ng/mL and
42.8 ng/g.
PMID- 18059063
TI - Twins discordant for fetal skeletal abnormalities: a natural confrontation
between the two siblings.
AB - BACKGROUND: Skeletal abnormalities encompass a heterogeneous group of disorders
characterized by anomalies of cartilage as well as bone growth and development.
Some are lethal and express early during fetal life, making them amenable to
prenatal diagnosis. The increasing use of routine ultrasonography (US) during
pregnancy permits a reliable primary evaluation of the fetal skeleton. However,
when a skeletal dysplasia is suspected, it is more difficult to establish a
specific diagnosis. Moreover, detailed ultrasonographic evaluation of the whole
fetal skeleton may be limited in some circumstances, especially during the third
trimester due to the fetal position and in the case of multiple pregnancies.
METHODS: Retrospective study of twin pregnancies complicated with skeletal
abnormalities. RESULTS: 6 twin pregnancies were reviewed. The prenatal diagnosis
was correctly made in 66.66% (4/6) with the primary use of combined 2D and 3D-US.
3D-HCT permits to improve the simultaneous assessment of both fetuses, and is of
greater value than US in 16.66% (1/6). CONCLUSION: The combined use of 2D or 3D
US with 3D-HCT permits the best imaging evaluation.
PMID- 18059064
TI - Simultaneous determination of monomeric and oligomeric alkannins and shikonins by
high-performance liquid chromatography-diode array detection-mass spectrometry.
AB - Alkannin and shikonin (A/S) derivatives have been found in the roots of several
Boraginaceous species and are produced through plant tissue cultures. The chiral
compounds alkannins and shikonins are potent pharmaceutical substances with a
wide spectrum of pharmacological activities such as wound healing, antimicrobial,
anti-inflammatory, anticancer and antioxidant. Although oligomeric A/S
derivatives have been detected in root extracts and commercial samples their
detection and determination through high-performance liquid chromatography has
not been reported. Therefore, in the present study a rapid, simple high
performance liquid chromatography-diode array detection-mass spectrometry (HPLC
DAD-MS) method was developed to detect, separate and determine monomeric and
oligomeric/polymeric derivatives of alkannin/shikonin simultaneously for the
first time. An optimization of HPLC-DAD parameters was performed. Both
atmospheric pressure chemical ionization (APCI) and electrospray ionization (ESI)
modes were applied, in order to compare detection of monomeric and oligomeric
A/S. Additionally, oligomeric A/S constituents in several samples were identified
and the mode of A/S polymerization was proposed.
PMID- 18059065
TI - The assessment of absorption of periplocin in situ via intestinal perfusion of
rats by HPLC.
AB - Periplocin is an important compound of Cortex Periplocae, which shows poor
absorption when administered orally. The effective intestinal permeability of
periplocin was investigated using single-pass intestinal perfusion technique in
male Wistar rats. SPIP was performed in rat jejunum. The samples of perfusate
were collected at the designated time points after rat intestinal perfusion and
analyzed by HPLC. The specificity of this method was demonstrated by the absence
of interference of the drug peak with the intestinal sac artifacts and the
components of the KRB solution. Recovery studies, as well as the intra-day and
inter-day variations, were within statistical limits. This technique was applied
to the study of the intestinal absorption of periplocin. The determined fraction
absorbed (F(a)) of periplocin was 0.151 +/- 0.072 (n = 6) at a concentration of 6
microg/mL; the absorption rate constant (K(a)) was 0.0102 +/- 0.0039/min and the
effective permeability coefficient (P(eff)) was 0.0021 +/- 0.0012 cm/min. These
data suggest that periplocin has high permeability and might be absorbed in rat
intestine.
PMID- 18059066
TI - Target metabolic profiling analysis of free amino acids in plasma as EOC/TBDMS
derivatives by GC-SIM-MS.
AB - Metabolic profiling analysis of free amino acids (AAs) in plasma (20 microL) was
performed by gas chromatography-mass spectrometry in selected ion monitoring mode
after ethoxycarbonyl/tert-butyldimethylsilyl derivatives. Characteristic fragment
ions, including [M - 57](+) ions, permitted sensitive and selective detection of
most of the AAs in the presence of co-extracted carboxylic acids, including free
fatty acids, at much higher levels. The overall method was linear (r > or =
0.9991), reproducible (relative standard deviation = 2.3-8.8%) and accurate
(relative error = -7.3-7.7%) with detection limits of 0.01-1.9 ng/mL. A total of
18 AAs, 15 protein AAs and three nonprotein AAs were quantitatively screened in a
normal human plasma sample. This selective and simple method using a minimal
sample volume was effective for the quantitation of plasma free AAs.
PMID- 18059067
TI - Group motivational enhancement therapy as an adjunct to inpatient treatment for
eating disorders: a preliminary study.
AB - Difficulties in fostering eating disorder (ED) patients' motivations to overcome
their illness are widely considered to be a major hurdle in the course of
successful treatment. However, no previous study has assessed the use of
interventions specifically designed to target poor motivation amongst patients
with illnesses that are severe enough to warrant hospitalisation. OBJECTIVE: A
brief Motivational Enhancement Therapy (MET) group programme for inpatient ED
sufferers was developed and evaluated. METHOD: Forty two consecutive inpatients
were sequentially allocated to treatment groups. Twenty three inpatients
completed a four session MET group programme in addition to routine hospital
care. A control group of 19 participants completed treatment as usual (TAU).
RESULTS: Despite an absence of significant differences between the MET and the
TAU groups on the overall formal outcome measures, there were nevertheless
differences between the groups. Specifically, the MET groups appeared to foster
longer term motivation and engagement, and to promote treatment continuation.
CONCLUSION: The results tentatively suggest that MET could be valuable for the
treatment of inpatient eating disorder patients and further research is
warranted.
PMID- 18059068
TI - Validation of an in vitro model for assessment of androstenedione hepatotoxicity
using the rat liver cell line clone-9.
AB - Androstenedione, a naturally occurring steroid hormone, has been used to enhance
athletic performance. Little is known, however, about its hepatotoxicity. Clone-9
cells, a non-transformed epithelial cell line that was originally isolated from
normal liver of a 4-week old Sprague-Dawley rat, were used as an in vitro model
to assess the hepatotoxic potential of androstenedione. The cultures were treated
with androstenedione for 24 h at 37 degrees C in 5% CO(2) at concentrations of 0
100 microg ml(-1). After the treatment period, the cells and the culture
supernatants were assayed for markers of cytotoxicity which included: release of
liver enzymes, cell viability, cellular double-stranded DNA content, oxidative
stress, steatosis, cellular ATP content, caspase-3 activity, the mitochondrial
permeability transition and induction of cytochrome P450 activity. Significant
concentration-dependent differences from control were observed in some endpoints
at medium concentrations of 10 microg ml(-1) and above. These in vitro findings
were compared with comparable endpoints obtained from an in vivo study of
androstenedione toxicity in female Sprague-Dawley rats. Of the eight endpoints
that could be compared between the two studies, only three (lipid accumulation,
ATP depletion and P450 activity) appeared to be concordant. This suggests that,
under the experimental conditions used, the clone-9 cells were not a good model
for androstenedione hepatotoxicity.
PMID- 18059069
TI - A novel approach to assessing percutaneous VX poisoning in the conscious guinea
pig.
AB - Nerve agents like VX (S-2-diisopropylaminoethyl-O-ethyl-methylphosphonothiolate)
are potent irreversible acetylcholinesterase (AChE) inhibitors. Following
percutaneous nerve agent exposure there is a slower rate of absorption, later
onset and longer duration of signs of poisoning. Relatively little is known about
the physiological effects of percutaneously applied nerve agent in
unanaesthetised laboratory animals. Heart rate (ECG), brain electrical activity
(EEG), body temperature, locomotor activity and clinical signs were monitored
following percutaneous application of VX to conscious guinea-pigs.A fall in heart
rate (bradycardia) preceded incapacitation following the highest VX dose, and
occurred in the absence of incapacitation at the lower doses. Following the
highest dose of VX (0.592 mg kg(-1)) three out of four animals died within 24 h.
The lower two doses of VX (0.296 and 0.148 mg kg(-1)), produced extended periods
of bradycardia in the absence of observable signs of poisoning. Bradycardia
preceded, or occurred in the absence of, a temperature decrease; seizure-like EEG
changes were not observed at any of the VX doses tested. Acetylcholinesterase
activity was significantly inhibited in the blood and most brain areas at 48 h.
There were significant dose-related decreases in body weight at 24 and 48 h
following VX. This preliminary study suggests that decreased heart rate may be an
early sign of the toxic effects of VX, whereas temperature and observable
clinical signs are not good early indicators of percutaneous VX poisoning in this
animal model. Future studies will use this model to assess the benefit of
administering medical countermeasures in response to a defined decrease in heart
rate.
PMID- 18059070
TI - Personality disorders in 545 patients with eating disorders.
AB - OBJECTIVE: Previous research on the prevalence of personality disorders in
patients with eating disorders varies greatly in findings, but a general
understanding seem to exist that personality disorders are rather common among
eating-disordered patients. The present investigation is aimed at establishing
the prevalence of DSM III-R or DSM IV personality disorders in a large population
seeking treatment for eating disorders. METHOD: Five hundred and forty-five
patients with DSM IV- eating disorders have been evaluated using the structured
clinical interview for DSM III-R or IV-Axis II and the eating disorder
examination. RESULTS: The 29.5% of the population have one or more personality
disorders according to DSM III-R or DSM IV criteria. Personality disorders, and
specifically borderline personality disorder, are significantly more common in
patients with bulimia nervosa. DISCUSSION: The proportion of eating-disordered
patients with co-morbid personality disorder may not be as large as often found
in studies. This challenges the understanding of a strong overall connection
between the two groups of disorder; however, the connection seems to exist in
subsets of eating disorder samples.
PMID- 18059071
TI - Prenatal testing for uniparental disomy: indications and clinical relevance.
AB - This review aims to provide a rational and ethical basis for prenatal testing for
uniparental disomy (UPD) in cases with abnormal ultrasound findings or numeric
and/or structural chromosomal aberrations in chorionic villous or amniotic fluid
samples. The clinical phenotypes of the genomic imprinting-associated paternal
UPD 6 (transient neonatal diabetes mellitus), maternal UPD 7 (Silver-Russell
syndrome), paternal UPD 11p (Beckwith-Wiedemann syndrome), maternal UPD 14
(precocious puberty, short stature and highly variable developmental delay),
paternal UPD 14 (polyhydramnios and a bell-shaped thorax), maternal UPD 15
(Prader-Willi syndrome), paternal UPD 15 (Angelman syndrome), maternal UPD 16 and
UPD 20, as well as the diagnostic options, are summarized. In addition, the
clinical impact of UPD testing and its relevance in various prenatal diagnostic
situations are discussed. As a general rule, prenatal UPD testing, following
genetic counseling, is justified if paternal UPD 14, maternal UPD 15 or paternal
UPD 15 are suspected. In contrast, considering the mild phenotypes of paternal
UPD 6 and maternal UPD 7, prenatal UPD testing is questionable. Because of the
highly variable phenotype for paternal UPD 11p, maternal UPD 14 and maternal UPD
16, prenatal testing should be discussed critically on an individual basis. For
all other chromosomes, prenatal UPD testing is purely academic and should
therefore not be performed on a routine basis, particularly because a positive
result might confuse the parents more than it actually helps them.
PMID- 18059072
TI - Matrix metalloproteinases 2 and 9 in central nervous system and their
modification after vanadium inhalation.
AB - Vanadium (V) derivatives are well-known environmental pollutants and its toxicity
has been related with oxidative stress. Toxicity after vanadium inhalation on the
substantia nigra, corpus striatum, hippocampus and ependymal epithelium was
reported previously. The purpose of this study was to analyse the role of matrix
metalloproteinases 2 (MMP-2) and 9 (MMP-9) in the changes observed in brain
tissue after chronic V inhalation. Mice were exposed to vaporized, vanadium
pentoxide 0.02 m in deionized water for 1 h twice a week, and killed at 1 h, 1, 2
and 4 weeks after exposure. The brain was removed and the olfactory bulb,
prefrontal cortex, striatum and hippocampus were dissected and the MMP content
was obtained by zymography. The results showed that MMP-9 increased in all the
structures at the end of the exposure, although in the hippocampus this increment
was evident after 1 week of exposure. When MMP-2 was analysed in the olfactory
bulb and prefrontal cortex it remained unchanged throughout the whole exposure,
while in the hippocampus it increased at week 4, while in the striatum MMP-2
increased from the second week only, through the whole experiment. These results
demonstrate that V increased MMPs in different structures of the CNS and this
change might be associated with the previously reported modifications, such as
dendritic spine loss and neuronal cell death. The modifications in MMPs could be
related with blood-brain barrier (BBB) disruption which was reported previously.
Oxidative stress might also be involved in the activation of these gelatinases as
part of the different mechanisms which take place in V toxicity in the CNS.
PMID- 18059073
TI - The Portuguese short form of the Eating Attitudes Test-40.
AB - To develop a Portuguese short form, the Eating Attitudes Test-40 (EAT-40) was
administered to a community sample of 922 female students and to a clinical
sample of 63 females suffering from an eating disorder. With the EAT responses of
the community sample a factor analysis was performed and items with factor
loadings > or = 0.30 were selected. Internal consistency was computed for both
the instrument and the factors. To study the discriminant capacity the proportion
of symptomatic answers and the mean scores were compared between the clinical (N
= 63) and control (N = 63) samples. Three factors were extracted: Drive for
Thinness (14 items, alpha = .839), Bulimic Behaviours (8 items, alpha = .670),
Social Pressure to Eat (3 items, alpha = .758). The short form is composed of 25
items and shows good internal consistency = 0.839. Symptomatic answers for all
items (except one) and total mean scores were significantly higher (p < .001) in
the clinical sample than in community sample.
PMID- 18059074
TI - The inpatient management of physical activity in young people with anorexia
nervosa.
AB - This study investigates the management of physical activity in young inpatients
with anorexia nervosa. Through telephone interviews and postal surveys inpatient
units across the UK were asked about written documents regarding physical
activity management, how they viewed healthy exercise, how they assessed physical
fitness to engage in activity, the management approaches taken, provision of
education and support around this issue and range of activities provided. Results
indicated that a variety of approaches were taken, with little consensus between
units, although the majority of approaches did involve some form of restriction,
frequently determined by weight criteria. There were few substantial written
documents to guide practice and a range of interpretations of healthy exercise.
The findings are discussed and suggestions made for research to explore this area
further and to inform the development of effective interventions.
PMID- 18059075
TI - A caution regarding standardization of power Doppler to measure perfusion in
placental tissue.
PMID- 18059077
TI - Correlation between anal sphincter defects and anal incontinence following
obstetric sphincter tears: assessment using scoring systems for sonographic
classification of defects.
AB - OBJECTIVE: To determine if there is a correlation between the sonographic extent
of anal sphincter defects revealed by three-dimensional endoanal sonography
(EAUS) and the degree of anal incontinence following primary repair of obstetric
sphincter tears. METHODS: This was a follow-up study of women who had suffered
anal sphincter tearing during vaginal delivery at Aalesund Hospital between
January 2002 and July 2004. Incontinence was assessed by St Mark's score. The
anal canal was assessed with three-dimensional endoanal sonography (EAUS).
Sphincter defects were classified according to the Starck score and our new EAUS
defect score. The EAUS images were interpreted by an observer blinded to other
patient data. RESULTS: Sixty-one women were included in this study. Incontinence
was reported by 32 (52%) women at a median of 21 (range, 9-35) months after
delivery. Three-dimensional EAUS datasets were obtained in 55 women. There was a
significant correlation between St Mark's score and our EAUS defect score (P =
0.034), and correlation approached but did not reach significance between St
Mark's score and the Starck score (P = 0.053). There was a strong correlation
between our EAUS defect score and the Starck score (P < 0.001). CONCLUSIONS:
There is a positive correlation between the extent of sphincter defects and the
degree of anal incontinence following primary repair of obstetric sphincter
tears. Our findings highlight the importance of adequate reconstruction of the
anal sphincters during primary repair.
PMID- 18059078
TI - Validation of a fully automated high throughput liquid chromatographic/tandem
mass spectrometric method for roxithromycin quantification in human plasma.
Application to a bioequivalence study.
AB - A fully automated high-throughput liquid chromatography/tandem mass spectrometry
(LC-MS/MS) method was developed for the determination of roxithromycin in human
plasma. The plasma samples were treated by liquid-liquid extraction (LLE) in 2.2
mL 96-deep-well plates. Roxithromycin and the internal standard clarithromycin
were extracted from 100 microL of human plasma by LLE, using methyl t-butyl ether
as the organic solvent. All liquid transfer steps were performed automatically
using robotic liquid handling workstations. After vortexing, centrifugation and
freezing, the supernatant organic solvent was evaporated and reconstituted.
Sample analysis was performed by reversed-phase LC-MS/MS, with positive ion
electrospray ionization, using multiple-reaction monitoring. The method had a
very short chromatographic run time of 1.6 min. The calibration curve was linear
for the range of concentrations 50.0-20.0x10(3) ng mL(-1). The proposed method
was fully validated and it was proven to be selective, accurate, precise,
reproducible and suitable for the determination of roxithromycin in human plasma.
Therefore, it was applied to the rapid and reliable determination of
roxithromycin in a bioequivalence study after per os administration of 300 mg
tablet formulations of roxithromycin.
PMID- 18059079
TI - Fetal adiponectin and resistin in correlation with birth weight difference in
monozygotic twins with discordant growth.
AB - BACKGROUND: Various studies have demonstrated an increased risk for adult
diseases in newborns born small-for-gestational-age (SGA). Adiponectin and
resistin can be detected in cord blood and are suggested to affect insulin
resistance (IR). This might represent a link between metabolic syndrome and SGA
birth. STUDY DESIGN: We investigated the relationship between the adipocytokines
and inter-twin birth weight (BW) difference of 31 monozygotic twins with twin
twin transfusion syndrome; in 14 twin pairs BW difference was >15% (1 SGA twin, 1
appropriate-for-gestational-age, AGA, twin). RESULTS: BW and length of all
patients were positively related to adiponectin (r = 0.57; p < 0.0001; r = 0.47;
p < 0.0001) and to resistin (r = 0.31; p < 0.01; r = 0.35; p < 0.01). In 71%
(10/14), the SGA twins showed lower adiponectin concentrations than their AGA co
twins (only 6/14 for resistin). To correct for gestational age we calculated the
relationship between the intrapair differences (Delta) of BW and Delta of the
hormones. We found Delta BW positively correlated with adiponectin (r = 0.55; p <
0.001) but not with resistin (r = 0.22; p = 0.2). Delta adiponectin was
positively correlated with Delta resistin (r = 0.45; p < 0.01). CONCLUSION: These
data demonstrate that adiponectin and resistin levels are associated with BW with
only adiponectin levels being reduced in SGA children independently of
gestational age. Prenatally different metabolic status between the twins might
predispose the SGA twin to develop IR later in life.
PMID- 18059080
TI - Diagnosis and management of hyperinsulinaemic hypoglycaemia of infancy.
AB - Hyperinsulinaemic hypoglycaemia is a cause of persistent hypoglycaemia in the
neonatal and infancy periods. Prompt recognition and management of patients with
hyperinsulinaemic hypoglycaemia are essential, if brain damage and long-term
neurological sequelae are to be avoided. Hyperinsulinaemic hypoglycaemia can be
transient, prolonged, or persistent (congenital). Advances in the fields of
molecular biology, genetics, and pancreatic beta-cell physiology are beginning to
provide novel insights into the mechanisms causing congenital forms of
hyperinsulinism. So far mutations in six different genes have been described that
lead to unregulated insulin secretion. The histological differentiation of focal
and diffuse congenital hyperinsulinism has radically changed the surgical
approach to this disease. Until recently, highly invasive investigations were
performed to localize the focal lesion, but recent experience with (18)F-L-dopa
positron emission tomography scanning suggests that this technique is highly
sensitive for differentiating diffuse from focal disease as well as for
accurately locating the focal lesion. Despite recent advances, the genetic basis
of congenital hyperinsulinism is still unknown in about 50% of the patients, and
the management of medically unresponsive diffuse disease remains a real
challenge.
PMID- 18059081
TI - Similar biological medicinal products containing recombinant human growth
hormone: European regulation.
AB - The concept of similar biological medicinal products ('biosimilar' medicinal
products) allows pharmaceutical companies to develop products based on an
abridged dossier once the marketing protection of the 'reference' biological
medicinal product has expired. A biosimilar medicinal product can be granted a
marketing authorization provided that its similarity to a reference product is
established in terms of quality, safety and efficacy (step-wise comparability
exercise). A decision to launch a biosimilar medicinal product on the market is
taken if it has a similar efficacy and comparable or better (less) immunogenicity
than the chosen reference biological medicinal product. However, this decision is
based on limited data and the comparability program may detect substantial
differences in immunogenicity profiles but is likely incapable of detecting rare
events. This is why clinical experience, through clinical trials and extensive
pharmacovigilance programs, remains the most reliable way to assess the
immunogenicity and tolerance profile of recombinant therapeutic proteins.
Substitution of one biological medicinal product by a biosimilar medicinal
product is not currently recommended before long-term clinical efficacy and
safety have been acquired in all relevant populations. Here we review recent
regulatory guidelines provided by EMEA and comment on the marketing
authorizations and risk management plans of two recently approved biosimilar
somatropins.
PMID- 18059082
TI - Association of ADRB1 and UCP3 gene polymorphisms with insulin sensitivity but not
obesity.
AB - BACKGROUND: The uncoupling proteins (UCPs) and beta-adrenoceptors (ADRBs) are
important for energy balance and may be involved in the pathogenesis of insulin
resistance. Obesity is strongly hunted by insulin resistance and susceptibility
genes for the two conditions could be separate or common. Variations within the
UCPs and ADRBs genes may give important clues to their involvement in disease.
METHODS: A total of four single nucleotide polymorphisms (SNPs) in the genes
UCP1, UCP2, UCP3, and ADRB1 were examined for association with obesity and
insulin sensitivity (HOMA(IR)) in obese (n = 292) and healthy non-obese (n = 481)
females. RESULTS: None of the SNPs was associated with obesity status or body
mass index. However, ADRB1 (rs1801253) was nominally associated with serum
insulin (nominal p = 0.034) and HOMA(IR) (nominal p = 0.022). UCP3 (rs1800006)
was in post-hoc analysis nominally associated with serum insulin and HOMA(IR)
(nominal p = 0.013 and 0.048, respectively). UCP1 and UCP2 showed no association
with insulin sensitivity. CONCLUSION: Polymorphisms in ADRB1 and UCP3 may
contribute to insulin resistance rather than obesity among Swedish women.
PMID- 18059083
TI - Early diagnosis and multidisciplinary care reduce the hospitalization time and
duration of tube feeding and prevent early obesity in PWS infants.
AB - BACKGROUND/AIMS: To describe and evaluate the impact of very early diagnosis and
multidisciplinary care on the evolution and care of infants presenting with
Prader-Willi syndrome (PWS). METHODS: 19 infants diagnosed with PWS before the
second month of life were followed by a multidisciplinary team. Median age at the
time of analysis was 3.1 years [range 0.4-6.5]. The data were compared with data
collected in 1997 from 113 questionnaires filled out by members of the French PWS
Association. The patients from this latter data set were 12.0 years [range 4
months to 41 years] at the time of analysis, with a median age of 36 months at
diagnosis. RESULTS: The duration of their hospitalization time was significantly
reduced from 30.0 [range 0-670] to 21 [range 0-90] days (p = 0.043). The duration
of gastric tube feeding was significantly reduced from 30.5 [range 0-427] to 15
[range 0-60] days (p = 0.017). Growth hormone treatment was started at a mean age
of 1.9 +/- 0.5 years in 10 infants and L-thyroxine in 6 infants. Only 1 infant
became obese at 2.5 years. CONCLUSION: Early diagnosis combined with
multidisciplinary care decreases the hospitalization time, duration of gastric
tube feeding and prevents early obesity in PWS infants.
PMID- 18059084
TI - An evaluation of the thyrotrophin-releasing hormone stimulation test in
paediatric clinical practice.
AB - AIM: The aim of this retrospective study was to evaluate the clinical usefulness
of the thyrotropin-releasing hormone (TRH) test in children with suspected
hypothalamic or pituitary dysfunction. METHODS: We reviewed the case notes of all
patients in whom a TRH test had been performed over a 6-year period. Group 1 (n =
85, 34 males, aged 0.9-18.8 years) was the reference group with no evidence of
hypothalamic, pituitary or thyroid dysfunction. Group 2 (n = 42, 24 males, 0.1
18.0 years) were being investigated for possible pituitary or hypothalamic
insufficiency. RESULTS: In Group 1, thyrotropin (TSH) responses were higher in
females than males (p < 0.01). In Group 2, TSH responses were normal for gender
in 26 patients, subnormal in 5, and exaggerated/delayed in 11. Four patients with
normal TSH responses and 4 with exaggerated/delayed responses had persistently
low free thyroxine (FT(4)) or later developed low FT(4) and were treated with
thyroxine. All those with subnormal TSH responses had normal FT(4) and were not
treated. The TRH test did not reliably discriminate between hypothalamic and
pituitary disorders. CONCLUSIONS: The TRH test did not give useful clinical
information. Clinical decisions regarding thyroxine treatment were based on
FT(4), not the TRH test. The TRH test should be abandoned in paediatric practice.
PMID- 18059085
TI - A novel germline mutation, IVS4+1G>A, of the POU1F1 gene underlying combined
pituitary hormone deficiency.
AB - BACKGROUND: POU1F1 is a pituitary transcription factor that plays a pivotal role
in pituitary development and expression of the GH, PRL and TSH beta genes.
Therefore, abnormalities of the POU1F1 gene are known to be responsible for a
phenotype causing combined pituitary hormone deficiency (CPHD) involving growth
hormone, prolactin and thyrotropin. METHODS: We described an 18-year-old Thai
man, from a consanguineous family, who presented with short stature and cognitive
deficit. He underwent endocrinological and molecular investigations. RESULTS:
Hormonal studies showed that the patient had GH deficiency and secondary
hypothyroidism, consistent with CPHD. Direct DNA sequencing revealed a novel
homozygous mutation at the splice site of exon 4, IVS4+1G>A. It is the first
splice site mutation in the POU1F1 gene described to date. Of the 7 other family
members studied for this mutation by restriction enzyme digestions, 5 were
heterozygous. They were all unaffected, suggesting a recessive pattern of
inheritance. CONCLUSIONS: We described a novel POU1F1 splice site mutation,
IVS4+1G>A, the first of its kind, in a Thai patient with CPHD. Recessive
inheritance is suggested. We also noted preventable morbidities which resulted
from delay in diagnosis of concomitant pituitary hormone defects in newborns
suspected of CPHD.
PMID- 18059086
TI - Endocrine late effects of childhood cancer therapy: a report from the Children's
Oncology Group.
AB - Pediatric oncologists are curing increasing numbers of patients with childhood
cancer, and most children diagnosed with a malignancy may now be expected to
become long-term survivors. As the number of childhood cancer survivors grows, so
too does the need for evidence-based surveillance of the long-term effects of
cancer therapy. Long-term effects involving the endocrine system represent a
frequent complication of therapy. The Children's Oncology Group Long-Term Follow
Up Guidelines for Survivors of Childhood, Adolescent, and Young Adult Cancers(COG
LTFUG), most recently updated in 2006, provide a summary of the known endocrine
late effects of surgery, radiation, chemotherapy, and stem cell transplant. This
paper summarizes the scope and nature of the endocrine late effects of childhood
cancer therapy based upon a review of the pertinent medical literature, and
demonstrates how pediatric oncologists can use these guidelines in clinical
practice.
PMID- 18059087
TI - Familial glucocorticoid deficiency: advances in the molecular understanding of
ACTH action.
AB - Familial glucocorticoid deficiency (FGD), otherwise known as hereditary
unresponsiveness to ACTH, is a rare autosomal recessive disease characterized by
glucocorticoid deficiency in the absence of mineralocorticoid deficiency.
Mutations of the ACTH receptor, also known as the melanocortin-2 receptor (MC2R),
account for approximately 25% of FGD cases. More recently a second gene, MRAP
(melanocortin-2 receptor accessory protein), was identified and found to account
for a further 15-20%. MRAP encodes a small single transmembrane domain protein,
which is essential in the trafficking of the MC2R to the cell surface. In this
review, we will firstly summarize the clinical presentation and genetic aetiology
of this condition. Secondly, we will discuss how the discovery of MRAP has
enhanced our understanding of the mechanisms of ACTH/MC2R action. Finally, we
will explore future developments in this field.
PMID- 18059088
TI - Role of fasting plasma glucose, glycated haemoglobin and homeostatic model
assessment in the detection of glucose intolerance in adult hypopituitary
patients during growth hormone replacement therapy.
AB - AIMS: This study was designed to determine the sensitivity and specificity of
conventional criteria for diagnosis of impaired glucose tolerance (IGT) in a high
risk population of GH-treated GH deficient (GHD) adults. METHODS: 33
hypopituitary GHD patients with HbA(1c) >5.1% and 13 gender- and age-matched
control GHD patients were selected. Oral glucose tolerance test (OGTT), fasting
plasma glucose (FPG), HbA(1c), and homeostatic model assessment (HOMA) parameters
were determined in all patients. Receiver operator characteristic curves were
used to determined sensitivity and specificity for the detection of glucose
intolerance as defined by plasma glucose >7.8 mmol/l at 120 min during OGTT.
RESULTS: Sensitivity and specificity for this purpose for HbA(1c) (>5.1%) were 89
and 17%; for FPG (>5.5 mmol/l): 78 and 67%; for FPG (>6.1 mmol/l): 56 and 89%;
for HOMA-derived beta-cell function (betaCF) (<40%): 78 and 58%; for HOMA-derived
insulin sensitivity (IS) (<70%): 11 and 89%, and for betaCF-IS hyperbolic product
(betaCF-IS) (<54%): 89 and 75%, respectively. CONCLUSIONS: This study shows that
FPG (>5.5 mmol/l) and betaCF-IS have high sensitivity and relatively high
specificity for the detection of IGT and confirms that measurement of FPG or
calculation of betaCF-IS provides appropriate safety surveillance in
hypopituitary patients on GH replacement.
PMID- 18059089
TI - Subclassification of small for gestational age children with persistent short
stature: growth patterns and response to GH treatment.
AB - AIM: We determined whether subclassification of short small for gestational age
(SGA) children according to birth anthropometrics could delineate different
patterns in gestation, delivery, postnatal growth, response to growth hormone
(GH) treatment and parental height. METHODS: 201 short SGA children were divided
into three groups, SGA(L), SGA(L+W) and SGA(L+W+HC), according to birth length
(L), weight (W) and head circumference (HC) < or =-2.00 standard deviation score
(SDS). RESULTS: SGA(L+W+HC) children were born after the shortest gestational age
and more often by caesarean section than SGA(L) children (36.3 vs. 38.1 weeks,
68.4 vs. 24.4%). SGA(L+W) children had an intermediate pattern and experienced
most gestational hypertension (p = 0.01). At birth, SGA(L+W+HC) children were
shorter than SGA(L) or SGA(L+W) (-4.12 vs. -2.67 and -3.72 SDS, p < or = 0.001).
During the first 3 years of life, SGA(L+W+HC) children exhibited an increased
growth in height (0.98 SDS) and HC (1.28 SDS) than SGA(L) (height, -0.06 SDS; HC,
-0.30 SDS) and SGA(L+W) (height, 0.62 SDS; HC, -0.31 SDS). However, HC SDS
remained smaller for SGA(L+W+HC) than the other groups at age 3. The groups did
not differ in growth response during GH treatment. SGA(L) children tended to have
shorter parents and target height than SGA(L+W+HC) children. CONCLUSIONS: Our
study shows that subclassification of short SGA children might be a useful method
for investigating SGA children as the subgroups revealed a different gestation,
delivery and postnatal growth pattern. Response to GH treatment was not different
between the groups.
PMID- 18059090
TI - Longitudinal study of the maternal insulin-like growth factor system before,
during and after pregnancy in relation to fetal and infant weight.
AB - BACKGROUND: The maternal insulin-like growth factor (IGF) system is considered to
be involved in fetal growth regulation. However, available data linking this
system to fetal growth are contradictory and incomplete. AIMS: To measure
components of the IGF system before, during and after pregnancy in healthy women
and to relate these results, and their changes during pregnancy, to fetal weight
(gestational week 31) and birth weight. METHODS: Serum concentrations of IGF-I,
IGF-II, IGF-binding protein (IGFBP)-1, IGFBP-3 and IGFBP-3 protease activity were
assessed in 23 women before conception, at weeks 8, 14, 20, 32 and 35 of
pregnancy and 2 weeks postpartum. The data were analyzed using simple and
multiple linear regression. RESULTS: One third of the variability in fetal weight
was explained by IGF-I in combination with IGFBP-3 protease activity, both
assessed at gestational week 32 (p = 0.013). Birth weight was negatively
correlated (r = -0.43 to -0.59) with IGFBP-1 at gestational week 20 (p = 0.041),
32 (p = 0.012) and 35 (p = 0.003). CONCLUSION: We propose there is a finely tuned
balance among the components of the IGF system, providing a means for fetal
growth regulation.
PMID- 18059091
TI - Type 2 diabetes in children and adolescents in a 2-year follow-up: insufficient
adherence to diabetes centers.
AB - BACKGROUND/AIMS: To study the 2-year course of children and adolescents with type
2 diabetes mellitus (T2DM) in general practice to present (1) the treatment
modalities, (2) patient adherence, and (3) the occurrence of comorbidities.
METHODS: HBA1c, lipids, blood pressure, treatment modalities, occurrence of
retinopathy and nephropathy were analyzed in 129 children and adolescents with
T2DM (median age 13.4 years, 75% female) in specialized diabetes centers in
Germany. RESULTS: Seventy-eight (60%) children dropped out of care after a mean
of 7.1 months. Drug treatment was not stopped in any of the 64 children initially
treated with antidiabetic drugs. Sixteen (12%) children were treated solely by
lifestyle intervention over the 2-year course. In the 51 children with complete
follow-up, median HbA1c was 7.7% at diagnosis and 6.3% after 2 years. Less than
5% of all children were treated with antihypertensive or lipid-lowering drugs,
while 65% suffered from hypertension and 44% from dyslipidemia. Over the course
of the disease, retinopathy was not observed, while microalbuminuria occurred in
25%. CONCLUSIONS: In general practice, many children and adolescents with T2DM
were lost of follow-up. Lifestyle intervention as sole treatment was not often
useful for long-term metabolic control. Dyslipidemia and hypertension were seldom
treated as recommended.
PMID- 18059092
TI - Gene expression profiling of hypothalamic hamartomas: a search for genes
associated with central precocious puberty.
AB - BACKGROUND: Hypothalamic hamartomas (HHs) are congenital lesions composed of
neurons and astroglia. Frequently, HHs cause central precocious puberty (CPP)
and/or gelastic seizures. Because HHs might express genes similar to those
required for the initiation of normal puberty, we used cDNA arrays to compare the
gene expression profile of an HH associated with CPP with three HHs not
accompanied by sexual precocity. METHODS: Global changes in gene expression were
detected using Affymetrix arrays. The results were confirmed by semiquantitative
PCR, which also served to examine the expression of selected genes in the
hypothalamus of female monkeys undergoing puberty. RESULTS: All HHs were
associated with seizures. Ten genes whose expression was increased in the HH with
CPP were identified. They encode proteins involved in three key cellular
processes: transcriptional regulation, cell-cell signaling, and cell
adhesiveness. They include IA-1 and MEF2A, two transcription factors required for
neuronal development; mGluR1 and VILIP-1, which encode proteins involved in
neuronal communication, and TSG-6 that encodes a protein involved in cell
adhesiveness. Of these, expression of mGluR1 also increases in the female monkey
hypothalamus at puberty. CONCLUSIONS: Increased expression of these genes in HHs
may be relevant to the ability of some HHs to induce sexual precocity.
PMID- 18059093
TI - Novel SHOX gene mutation in a short boy with Becker muscular dystrophy: double
trouble in two adjacent genes.
AB - Short stature is a well-recognized feature of Duchenne muscular dystrophy, whilst
it has been reported rarely in Becker muscular dystrophy (BMD). Here we report
two brothers with BMD, who exhibited a very different growth pattern. Whereas in
the short brother (-2.2 SDS) molecular investigation revealed a G367A mutation in
the short stature homeobox containing (SHOX) gene located in the Xp22.3 region,
no abnormality was found in the brother with normal height (-0.1 SDS). Our data
suggest that abnormal growth observed in a boy with BMD may be related to an
additional genetic alteration, already known as correlated with short stature.
PMID- 18059094
TI - Statistics in biomedical laboratory and clinical science: applications, issues
and pitfalls.
AB - This review is directed at biomedical scientists who want to gain a better
understanding of statistics: what tests to use, when, and why. In my view, even
during the planning stage of a study it is very important to seek the advice of a
qualified biostatistician. When designing and analyzing a study, it is important
to construct and test global hypotheses, rather than to make multiple tests on
the data. If the latter cannot be avoided, it is essential to control the risk of
making false-positive inferences by applying multiple comparison procedures. For
comparing two means or two proportions, it is best to use exact permutation tests
rather then the better known, classical, ones. For comparing many means, analysis
of variance, often of a complex type, is the most powerful approach. The
correlation coefficient should never be used to compare the performances of two
methods of measurement, or two measures, because it does not detect bias. Instead
the Altman-Bland method of differences or least-products linear regression
analysis should be preferred. Finally, the educational value to investigators of
interaction with a biostatistician, before, during and after a study, cannot be
overemphasized.
PMID- 18059095
TI - Evaluation of the impact of the Kuwait Diabetes Care Program on the quality of
diabetes care.
AB - OBJECTIVES: To evaluate the impact of the Kuwait Diabetes Care Program on the
quality of care provided for diabetic patients in the Primary Health Care
setting. MATERIALS AND METHODS: The Kuwait Diabetes Care Program developed,
published and disseminated clinical practice guidelines, conducted training
courses, standards for diabetes care, and introduced a monitoring and evaluation
system. Four audits (September 1999, October 2001, 2002 and 2003) were carried
out at five diabetic clinics. September 1999 referred to in this study as first
(baseline) audit was prior to the introduction of the clinical practice
guidelines. The three other audits were performed to assess adherence with the
guidelines in the administrative management of patients' records and
implementation of the standards. Two hundred and fifty patients were involved in
the study. RESULTS: The proportion of patients with organized, structured files
increased significantly from 60.0 to 100.0% (p < 0.001), and recording of
patients' demographic data increased from 38.6 to 95.6% (p < 0.001). Use of
structured visit sheets, proper fixation of the laboratory and prescription
sheets had also improved significantly. The prevalence of smoking assessment,
fundus examination, and foot examination increased significantly from 2.8 to
27.2% (p < 0.001); 2.4 to 31.6% (p < 0.001); 0.4 to 40.4% (p < 0.001),
respectively. The prevalence of measuring urinary microalbumin, serum creatinine
and HbA(1c) increased significantly from 4.4 to 26.4% (p < 0.001); 16.0 to 78.4%
(p < 0.001), and 10.4 to 60.8% (p < 0.001), respectively. The prevalence of
measuring serum total cholesterol, triglycerides, HDL-C, and LDL-C levels
increased significantly from 16.4 to 80.0% (p < 0.001); 14.4 to 80.0% (p <
0.001); 2.4 to 32.8% (p < 0.001), and 2.4 to 24.0% (p < 0.001), respectively.
CONCLUSION: This audit shows that a national diabetes program was associated with
improved processes of diabetes care. Further, support from health authorities,
provision of manpower resources, a continuing monitoring and evaluation system,
and conduction of structured education programs may lead to further improvements
in the quality of diabetes care.
PMID- 18059096
TI - Pulmonary ventilatory functions and obesity in Kuwait.
AB - OBJECTIVE: To study the relationship between obesity and pulmonary ventilatory
functions in Kuwaiti adults. SUBJECTS AND METHODS: A total of 200 male and 180
female Kuwaiti adults aged 20-65 years were investigated in six medical centers
from April 2004 to March 2006. Parameters measured included forced expiratory
volume in 1 s (FEV(1)), forced vital capacity (FVC), FEV(1) as a percentage of
FVC (FEV%); body mass index (BMI in kg/m(2)) and waist-to-hip ratio (W/H).
RESULTS: For the whole group, males or females, BMI (kg x m(2)) and W/H were poor
individual predictors of pulmonary ventilatory functions. However, central
adiposity (W/H) was associated with restrictive respiratory impairment (10.6
13.9% decrease in FEV(1) and 10-12.3% decrease in FVC), independent of sex, age
or height. In obese females and males (BMI >30), increasing severity of obesity
was significantly (p < 0.05, R(2) >0.6) [corrected] associated with increasing
restrictive respiratory impairment (8.7-14.4% decrease in FEV(1) and 8-11.7%
decrease in FVC), with no evidence of obstructive disease (FEV(1)/FVC >0.8).
CONCLUSION: In adult Kuwaiti males and females, increase in body fat at BMI >30
or W/H >1 was associated with a restrictive effect on pulmonary ventilation.
PMID- 18059097
TI - Self-monitoring of blood pressure and the role of community pharmacists in
Kuwait.
AB - OBJECTIVE: To determine the types of devices for self-monitoring of blood
pressure available to consumers in Kuwait and the pharmacists' knowledge and
level of information provided to consumers when purchasing such devices.
MATERIALS AND METHODS: It was possible to contact 196 of the 230 eligible
pharmacies from five governorates in Kuwait. Ten of these were used to pretest
the questionnaire and six declined to participate. Another six did not carry any
blood pressure monitoring devices and hence were excluded. Data was then
collected from pharmacists at the 174 remaining community pharmacies via face-to
face structured interview of the respondents at their work sites. RESULTS: Of the
174 pharmacists, 173 (99.4%) claimed to offer or provide advice to clients at the
time of purchasing devices, 117 (67.1%) of them stating that they did so even if
the patients did not ask. Although 147 (84.5%) respondents correctly identified
the mercury sphygmomanometer as the most reliable device for measuring blood
pressure, less than half (86, 49.4%) claimed to know how to check the accuracy of
the devices they sold. Only 25 (14.4%) pharmacists could actually identify the
correct procedure for checking the accuracy of the devices and only 25 (14.4%)
pharmacists could correctly identify cutoff points for systolic and diastolic
blood pressure delineating clinical hypertension. Only 1 pharmacist could
correctly name a reference source for blood pressure measurement. CONCLUSION:
There is a need for improvement of community pharmacists' competence in
supporting patients and in providing them with information regarding devices for
measuring blood pressure in Kuwait.
PMID- 18059098
TI - Prevalence and antimicrobial susceptibility pattern of extended-spectrum beta
lactamase-producing Enterobacteriaceae in the United Arab Emirates.
AB - OBJECTIVE: To investigate the prevalence and antibiotic susceptibility pattern of
extended-spectrum beta-lactamases (ESBL)-producing Enterobacteriaceae among
patients in the United Arab Emirates. MATERIALS AND METHODS: A total of 130
Enterobacteriaceae comprising of Escherichia coli (n = 83), Klebsiella pneumoniae
(n = 45) and Klebsiella oxytoca (n = 2) was studied. Of these 130 isolates, 64
were from urine. ESBL screening was by disc diffusion and confirmatory tests for
ESBL phenotype were conducted using BD Phoenix ESBL System and
cephalosporin/clavulanate combination discs. Susceptibility to a panel of
antibiotics was evaluated. RESULTS: Of the 130 isolates, 53 (41%) were identified
as having ESBL phenotype; of these, 32 (60%) were E. coli, 20 (36%) K. pneumoniae
and 2 (4%) K. oxytoca. ESBL phenotype was seen in 100% of endotracheal tubes
isolates, 20 (31%) from urine, 7 (58%) from blood and 4 (80%) from catheter tips.
Amikacin susceptibility was 100%. Over 90% of ESBL isolates showed resistance to
aztreonam and cephalosporins. All Klebsiella isolates were carbapenem sensitive.
One ESBL isolate showed intermediate resistance to imipenem and meropenem (both
MIC 8 microg/ml), cefotetan (MIC 32 microg/ml) and piperacillin/tazobactam (MIC
32 microg/ml). MIC for the carbapenems was lower in non-ESBL isolates (0.034
microg/ml) than ESBL isolates (0.071 microg/ml). Resistance to gentamicin,
ciprofloxacin and piperacillin/tazobactam was higher in ESBL than non-ESBL
isolates (p < 0.05). CONCLUSION: A high prevalence of ESBL-producing bacteria
exists among in-patients in the United Arab Emirates. Amikacin and carbapenems
remain the most effective drugs, but the presence of carbapenem-resistant ESBL
producing E. coli and occurrence of multidrug resistance are of concern.
Continued surveillance and judicious antibiotic usage are recommended.
PMID- 18059099
TI - Transdermal permeation of trimetazidine from nerodilol-based HPMC gel drug
reservoir system across rat epidermis.
AB - OBJECTIVE: To study the in vitro transdermal permeation of trimetazidine from
hydroxypropylmethyl cellulose (HPMC) gel drug reservoir system using nerodilol as
a penetration enhancer. MATERIALS AND METHODS: An HPMC gel containing selected
concentrations of nerodilol (0, 2, 4 or 5% w/v) and 2.5% w/v of trimetazidine was
prepared, and subjected to in vitro permeation studies across rat epidermis. The
amount of trimetazidine permeated at different time intervals (1, 2, 4, 8, 12, 18
and 24 h) was estimated, and the data were analyzed to calculate various
permeation parameters. RESULTS: There was an increase in the amount of
trimetazidine (8,719.7 +/- 153.3 microg/cm(2))permeated across the rat epidermis
up to 24 h (Q(24)) with an increase in nerodilol concentration (5% w/v) in HPMC
gel drug reservoir. However, no significant difference (p > 0.05) was observed in
the amount of drug permeated (Q(24)) with 5% w/v of nerodilol when compared to
that obtained with 4% w/v of nerodilol (8,484.5 +/- 165.8 microg/cm(2)).
Nerodilol, at a concentration of 4% w/v enhanced the flux of trimetazidine across
rat epidermis by about 1.96 times when compared to control. CONCLUSION: The HPMC
gel drug reservoir containing 4% w/v of nerodilol showed optimal transdermal
permeation of trimetazidine.
PMID- 18059100
TI - Spectrum of cutaneous vasculitis in adult patients from the Farwaniya region of
Kuwait.
AB - OBJECTIVE: To determine the clinical profile, etiologic factors, disease
associations, and the laboratory profile of adult patients with cutaneous
leukocytoclastic vasculitis (LV) presenting to the Dermatology Department at
Farwaniya Hospital, Kuwait. SUBJECTS AND METHODS: The medical records of 57 adult
patients (>18 years) with biopsy-proven cutaneous LV from January 2004 to June
2006 at Farwaniya Hospital were reviewed. RESULTS: Of the 57 patients studied, 21
(36.8%) were classified as having primary cutaneous small vessel vasculitis. The
most common type of skin lesions found was palpable purpura seen in 29 (50.9%)
patients. No possible cause for the cutaneous vasculitis could be identified in
21 patients. Extracutaneous involvement was seen in 32 (56.1%) patients of which
29 had joint involvement. The most frequent laboratory abnormality was an
elevated erythrocyte sedimentation rate (ESR). Altered laboratory evaluation in
the form of leukocytosis, raised antistreptolysin O titers and urine
abnormalities were found in association with systemic vasculitis. IgA deposits
were present in 33 patients. Risk factors for chronicity of cutaneous LV were
female sex, advanced age, unidentified etiology, absence of fever and presence of
joint involvement. CONCLUSION: The commonest type of LV in Kuwait is primary
cutaneous small vessel vasculitis. The most frequent laboratory abnormality
associated with LV was increased ESR.
PMID- 18059101
TI - Legionella in clinical specimens and hospital water supply facilities: molecular
detection and genotyping of the isolates.
AB - OBJECTIVE: To evaluate genus- and species-specific polymerase chain reactions
(PCRs) for the detection of the genus Legionella and the species Legionella
pneumophila in clinical specimens and hospital water supplies, and to establish a
simple and reproducible random amplification of polymorphic DNA (RAPD)-PCR
technique for genotyping of Legionella. MATERIALS AND METHODS: A total of 70
respiratory tract specimens(bronchoalveolar lavage: n = 46; endotracheal
secretions: n = 9; sputum: n = 15) from patients with atypical pneumonia, and 283
environmental samples (water: 20; swabs: 263) collected from water storage and
supply facilities of the Mubarak Al-Kabeer Hospital, Kuwait, were tested by
culture and genus-specific PCR for the detection of Legionella. The L.
pneumophila isolates were subsequently typed by serology and RAPD-PCR using
serotype-specific sera and arbitrary primers, respectively. RESULTS: Of the 70
clinical samples, culture yielded 2 (2.9%) whereas genus-specific PCR detected
Legionella in 20 (28.6%) samples. The 2 culture-positive specimens were also
positive for L.-pneumophila-specific PCR. Testing of swab and water samples by
culture and genus-specific PCR yielded 61 (21.6%) and 67 (23.7%) positive
samples, respectively. All of the 61 culture-positive samples were also positive
by genus-specific PCR and 45 of them were positive for L.-pneumophila-specific
PCR. Serological typing of 43 L. pneumophila isolates showed that 8 of these
belonged to serotype 1 and 35 to serotype 3; however, RAPD-PCR analyses
demonstrated polymorphisms among the isolates of both serotypes. CONCLUSION: A
higher association between PCR and culture was observed for the environmental
samples than for the clinical samples. The application of genus- and species
specific PCRs and RAPD is useful in the detection and typing of Legionella in
clinical and environmental samples.
PMID- 18059102
TI - Overlapping repair in patients with anal sphincter injury.
AB - OBJECTIVE: The aim of this study was to demonstrate the improvement of anal canal
function after overlap sphincter repair and confirm that this treatment option is
superior in patients with nonobstetric sphincter damage. SUBJECTS AND METHODS:
From 1998 to 2003, 44 women who underwent overlapping sphincter repair were
enrolled in this study. The women were allocated to one of two groups, obstetric
trauma (n = 31) and nonobstetric (perineal) trauma (n = 13). Both groups were
compared in terms of age, operation time, number of deliveries, hospital stay,
need for analgesics, complication rate, pre- and postoperative outcomes of anal
manometry and quality of life, using the fecal incontinence severity index and a
questionnaire for fecal disorders. RESULTS: Anal canal length was significantly
extended postoperatively in both groups compared to preoperative length. Eight
week postoperative resting and squeeze pressures were significantly higher than
preoperative pressures in both patients with nonobstetric and obstetric sphincter
injury. Although significant increase was seen in both groups, the mean
postoperative resting and squeeze pressures at 1 year were rather high in
patients with nonobstetric sphincter injury. At the end of a year of follow-up,
overall satisfaction of the repair was about 82%. CONCLUSION: Overlap sphincter
repair was feasible, although patient satisfaction was slightly less in the
obstetric than in the nonobstetric trauma group. The improvement of anal function
at 20- to 24-month follow-up is attributed to both high squeeze pressure and
broad anal canal.
PMID- 18059103
TI - Frequency of abnormal thyroid function tests in Kuwaiti Arabs with autoimmune
diseases.
AB - OBJECTIVES: The purpose of this study was to examine the frequencies of abnormal
thyroid function tests and serum thyroid autoantibodies in healthy Kuwaitis and
those with autoimmune diseases. SUBJECTS AND METHODS: Serum concentrations of
sensitive thyrotropin, and free thyroxine were measured in 577 apparently healthy
controls, 177 patients with rheumatoid arthritis (RA), 60 with systemic lupus
erythematosus (SLE) and 25 with primary Sjogren's syndrome (pSS) using the
immunochemiluminescent assay method on IMMULITE 1000. Serum microsomal and
thyroglobulin autoantibodies were also measured by passive hemagglutination
assay. For analysis of the thyroid function tests, the subjects were classified
into five categories: normal, subclinical hypothyroidism, overt hypothyroidism,
euthyroid sick syndrome and biochemical hyperthyroidism. RESULTS: Subclinical
hypothyroidism was seen in 1.7% of healthy controls, 10.2% of RA, 13.3% of SLE,
and 16% of pSS patients. Among RA patients, the frequency of subclinical
hypothyroidism in females (11.4%) was significantly higher than among males
(5.4%; p < 0.01). In SLE and pSS patients, all those with subclinical
hypothyroidism were females. Overt hypothyroidism was seen in 1.4% of controls,
10.2% of RA, 8.3% of SLE, and 4% of pSS patients. Biochemical hyperthyroidism was
seen in 0.2% of controls, 4.5% of RA, 5% of SLE and none of pSS patients. The
euthyroid sick syndrome was seen in 0.4% of controls, 13.6% of RA, 16.7% of SLE
and in none of pSS patients. Thyroid autoantibodies were present in 3.1% of
controls, 12.4% of RA, 18.3% of SLE, and 12% of pSS patients. CONCLUSION: Our
data show that abnormal thyroid function tests and thyroid autoantibodies occur
frequently in Kuwaitis with autoimmune diseases. Therefore, ordering these tests
in these diseases is recommended.
PMID- 18059104
TI - Is combined pretransplantation seropositivity of kidney transplant recipients for
cytomegalovirus antigens (pp150 and pp28) a predictor for protection against
infection?
AB - OBJECTIVE: This study was aimed at detecting antibodies to the antigens which may
contribute to protection against cytomegalovirus (CMV) infection after organ
transplantation. MATERIALS AND METHODS: A total of 203 kidney transplant patients
were enrolled in the study. Based on CMV antigenemia assay, 23 patients were
antigen-positive and of the remaining 180 antigen-negative patients, 46 were
selected as controls matched for age, gender and source of kidney. The 69 kidney
recipients (KR) had CMV antibody due to previous infection and were followed up
for a period of 6 months after transplantation for the development of active CMV
infections by the antigenemia assay. Antibody responses to five CMV-related
peptide antigens (pp65, gB, pp150, pp28 and pp38) were investigated by enzyme
immunoassay and their presence was correlated with the results of the CMV
antigenemia assay. RESULTS: Of the five CMV-related peptide antigens, only gB
antigen showed response to the antibody in 10/23 (43.5%) antigen-positive
patients and 9/46 antigen-negative patients and the difference was statistically
significant (p = 0.048). On the other hand, there was no significant difference
in antibody responses between the antigen-positive and antigen-negative KR to the
other four CMV peptide antigens (p > 0.05). However, among the antigen-positive
KR there was only 1 patient who had antibodies to both pp150 and pp28 antigen,
while among the antigen-negative KR, 22 of 46 (47.8%) had the antibodies (p <
0.001). CONCLUSION: The findings suggest that the combined presence of antibodies
against the pp150 and pp28 antigens may indicate a lower risk of CMV reactivation
after kidney transplantation.
PMID- 18059106
TI - Pooled analysis of 2,408 cases of acute adult purulent meningitis from Turkey.
AB - OBJECTIVES: The aim of this study was to systematically review the Turkish
literature of acute adult purulent meningitis. MATERIALS AND METHODS: The
published series of three national databases and two international databases were
searched to perform the review. In addition to the databases, abstracts of
congresses held between 1994 and 2003 by the Turkish Clinical Microbiology and
Infectious Diseases Association, Turkish Microbiology Association, and the
Antibiotic and Chemotherapy Association were searched for reports about acute
purulent meningitis. RESULTS: Data for 2,408 patients with a diagnosis of acute
purulent meningitis were obtained from 30 reports. In terms of clinical findings,
1,254 of 1,570 (79.8%) had fever (>38 degrees C), 1,408 of 1,595 (88.2%)
headache, 1,403 of 1,562 (89.8%) stiffness of the neck, and 649 of 784 (82.7%)
leukocytosis (>10,000/mm(3)). Cerebrospinal fluid culture yielded a pathogen in
873 of 2,260 (38.6%) patients. The most common pathogen was Streptococcus
pneumoniae, followed by Neisseria meningitidis and Staphylococcus aureus. Overall
mortality was 425 of 2,408 (17.6%). Pathogen-specific mortality was 60 of 202
(29.7%) for S. pneumoniae and 6 of 100 (6%) for N. meningitidis. CONCLUSION:
Meningitis is a serious, life-threatening disease. More preventive measures
should be sought to further decrease the mortality and morbidity related to acute
purulent meningitis.
PMID- 18059105
TI - Surveillance of antibacterial resistance in Staphylococcus aureus isolated in
Kuwaiti hospitals.
AB - OBJECTIVE: To investigate the prevalence of antibiotic resistance among
Staphylococcus aureus isolated in Kuwaiti hospitals. MATERIALS AND METHODS: S.
aureus were isolated and identified following standard microbiological methods.
Antibacterial susceptibility test was performed by disk diffusion and the
measurement of minimum inhibitory concentration with E-test strips. RESULTS: A
total of 1,846 S. aureus isolates were analyzed from 13 hospitals between 1 March
and 30 October 2005. They were isolated from 1,765 (95.6%) inpatients and 81
(4.4%) outpatients. Methicillin resistance was detected in 588 (32.0%) of the
isolates. The methicillin-resistant S. aureus (MRSA) consisted of 461 (78%)
multiresistant and 127 (22%) nonmultiresistant isolates. The nonmultiresistant
MRSA consisted of epidemic MRSA-15 and community-associated MRSA. The community
associated MRSA was detected in all hospitals with MRSA, indicating its
establishment in Kuwaiti hospitals. The proportion of isolates resistant to
gentamicin, kanamycin, erythromycin, tetracycline, ciprofloxacin, fusidic acid
and trimethoprim was higher among MRSA than methicillin-susceptible S. aureus
(MSSA) isolates. Twenty-four and 22% of MRSA and MSSA isolates, respectively,
expressed reduced susceptibility to vancomycin (minimum inhibitory concentration
= 3-4 mg/l). CONCLUSION: The study revealed the presence of methicillin
resistance in 32% of S. aureus isolated in Kuwaiti hospitals and revealed an
increase in the number of MRSA and MSSA with reduced susceptibility to
vancomycin.
PMID- 18059107
TI - Morphometric study of a horseshoe kidney.
AB - OBJECTIVE: To describe a horseshoe kidney, a congenital anomaly of the upper
urinary tract. CLINICAL PRESENTATION: A case study of horseshoe kidney harvested
from a 62-year-old cadaver at Gazi University Medical School is presented.
RESULTS: The right and left kidneys were fused at their lower poles by a
parenchymal isthmus located ventral to the abdominal aorta and formed a U-shape
with two unequal arms. The isthmus of the ectopic kidney was placed obliquely to
the left at the level of the fourth and fifth lumbar vertebra. The left kidney
was larger and longer than the right one. The kidneys were supplied by three
renal arteries arising from the abdominal aorta. Two arteries on the right side
supplied blood of the two kidneys, while the third artery that directly
originated from the aorta, above the origin of inferior mesenteric artery,
supplied the isthmus. Venous drainage of the both kidneys and the isthmus were
drained by three veins that opened independently into the inferior vena cava. The
right ureter was duplicated in origin. CONCLUSION: This report shows that
knowledge of anomalies such as this is very important in planning and conducting
surgical procedures.
PMID- 18059108
TI - Ewing's sarcoma as second malignant neoplasm after retinoblastoma: a case report.
AB - OBJECTIVES: To report a case of a child with the hereditary form of unilateral
retinoblastoma (RB), who developed Ewing's sarcoma of the right fibula 3 years
after the enucleation of the right eye. CASE PRESENTATION AND INTERVENTION: The
child was diagnosed as a case of RB of the right eye at the age of 9 months. He
was fully investigated and found to have locally advanced RB with bone marrow
involvement (Reese-Ellsworth stage IVA). Enucleation was recommended to the
family, but they refused. The patient received chemotherapy and diode laser
thermotherapy in Kuwait and the UK. He had a local relapse after 11 months and
subsequently underwent enucleation of the right eye. After 3 years, he was
investigated for a small swelling in his right lower leg. After extensive
investigations, it was reported as Ewing's sarcoma. He was treated with
chemotherapy, surgery (complete excision of the fibula) and high-dose
chemotherapy followed by autologous stem cell transplantation. The child is now
nearly 2 years after completing the treatment and is disease free. CONCLUSIONS:
This case confirms the increased risk of a second malignant neoplasm (SMN) in
children with hereditary RB. These children need a very close follow-up for the
early diagnosis of SMNs or even subsequent malignancies.
PMID- 18059109
TI - Spontaneous rupture of a giant diaphragmatic hydatid cyst into the intrapleural
space.
AB - OBJECTIVE: We report a case of giant diaphragmatic hydatid cyst which ruptured
spontaneously into the intrapleural space in a patient with coexistent giant
hepatic hydatid cyst. CLINICAL PRESENTATION AND INTERVENTION: A 62-year-old
female was admitted for dyspnea, nausea, vomiting, and right thoracic pain.
Clinical findings, laboratory and radiological examinations including multislice
computed tomography scan were consistent with the diagnosis of a giant
diaphragmatic hydatid cyst which ruptured into the intrapleural space. Surgical
intervention was performed through thoracotomy and phrenotomy in a one-stage
operation for both cysts. CONCLUSION: This case shows that hydatid cysts of the
diaphragm can rupture into the intrapleural space spontaneously. One-stage
operation through thoracotomy may be successful for the surgical intervention for
diaphragmatic hydatid cysts with coexistent hepatic cyst.
PMID- 18059111
TI - Shortened hemofilter survival time due to lipid infusion in continuous renal
replacement therapy.
AB - BACKGROUND: Continuous renal replacement therapy is widely used for the treatment
of critically ill patients with acute renal failure in critical care units. The
survival time of the extracorporeal circuit is an important factor in providing
renal replacement therapy. Despite rigorous efforts to maintain hemofilter
patency, clinicians are occasionally faced with an unexplained short circuit
survival time. METHODS: We present a critically ill patient undergoing continuous
venovenous hemofiltration with regional citrate anticoagulation for management of
acute renal failure in the context of sepsis. Once the patient was started on
lipid infusion as part of total parenteral nutrition, we observed a shortened
circuit survival due to premature hemofilter failure necessitating frequent
changes of the hemofilter. The known potential causes for this phenomenon were
ruled out. RESULTS: Evaluation revealed grossly lipemic serum associated with
severe hypertriglyceridemia. Discontinuation of the lipid infusion was followed
by a rapid return of circuit survival time to its baseline. Evaluation of the
hemofilter by electron microscopy revealed that the rapid blockage of the hollow
fibers was caused by lipid microparticles and fibrin deposits. CONCLUSION: Since
total parenteral nutrition is commonly administered to malnourished and
hypercatabolic critically ill patients on continuous renal replacement therapy,
we suggest that intravenous lipid therapy might be a previously unreported and
unappreciated remediable cause of premature hemofilter failure.
PMID- 18059110
TI - Sporadic culture-negative peritonitis in peritoneal dialysis patients--absence of
endotoxin in dialysate.
AB - BACKGROUND: Indiscriminate use of broad-spectrum antibiotics in peritonitis may
have either unwanted side effects or contribute to the development of antibiotic
resistance. It is tempting to use broad-spectrum antibiotics in cases of culture
negative peritonitis. This study examines whether Gram-negative agents have to be
considered in the management of culture-negative peritonitis. Gram-negative
agents are manifested by endotoxin easily detected by the Limulus amebocyte
lysate (LAL) test. METHODS: 138 episodes of Gram-negative and culture-negative
peritonitis have been retrospectively analyzed; episodes of Gram-negative
peritonitis were controls. Correlation between LAL and culture results was
compared between the two groups. The LAL test was performed using a commercial
kit by incubating a mixture of dialysate effluent and LAL reagent at 37 degrees
C. Development of a stable solid clot was considered positive. RESULTS: In
controls, 80 out of 117 Gram-negative peritonitis were LAL positive (68%). None
of the 21 culture-negative episodes was LAL positive. In 7 recurrences of Gram
negative peritonitis, the LAL test turned from negative to positive but in none
of the recurrences of culture-negative peritonitis. The difference in correlation
was highly significant. CONCLUSIONS: Gram-negative organisms do not seem to be
involved in sporadic culture-negative peritonitis. In episodes of peritonitis in
which bacteriologic cultures stay negative for 48 h, initial coverage of Gram
negative organisms may be dropped.
PMID- 18059112
TI - Depression subtypes in bipolar I and II disorders.
AB - BACKGROUND: Depression is the predominant mood alteration in bipolar I and II
disorders. In this study, the nature of major depressive episodes of bipolar I
versus bipolar II patients is specifically assessed, as regards lifetime history
of rapid cycling, melancholia, atypical and psychotic symptoms. METHODS: The
patient sample consisted of 184 bipolar I and 80 bipolar II patients, according
to the research diagnostic criteria, who entered the bipolar disorders program in
our hospital. Subsets of patients are compared according to DSM-IV criteria for
rapid cycling, melancholic, atypical and psychotic features. RESULTS: Bipolar I
patients had significantly more psychotic symptoms in their lifetime histories (p
< 0.001), whereas bipolar II patients had significantly more atypical symptoms in
their lifetime histories (p < 0.003). Although melancholia was more prevalent in
the bipolar I and rapid cycling was more prevalent in the bipolar II subgroup,
these differences did not reach statistical significance. CONCLUSIONS: The
results of the study suggest that marked differences exist in the nature of major
depressive episodes between bipolar I and II patients, as they in the long term
emerge from the two conditions. To what extent both conditions are related cannot
be ascertained in the present study. Clinical differences may have relevant
therapeutic implications and separate trials for bipolar I and bipolar II
depression are warranted.
PMID- 18059113
TI - One-year outcome and its prediction in first-episode schizophrenia--a
naturalistic study.
AB - BACKGROUND: The literature suggests that the early course of schizophrenia is a
strong predictor of long-term outcome. We sought to test this notion in a sample
of first-episode patients. SAMPLING AND METHODS: Forty patients with a first
episode of DSM-IV diagnoses of schizophrenia, schizoaffective, or
schizophreniform disorder were assessed with well-established instruments such as
the Positive and Negative Syndrome Scale and the Strauss-Carpenter Scale.
Reassessment was performed 14 months later and included the Global Assessment of
Functioning Scale in addition to the aforementioned instruments and a psychiatric
interview. Regression analyses for the Global Assessment of Functioning Scale and
symptomatology were used to identify outcome predictors. RESULTS: At follow-up,
27 patients (67.5%) were in remission. Women's outcome was significantly better
with respect to intimate relationships and domiciliary independence. Although
symptomatology of the whole group remained stable during the follow-up period, a
subgroup of patients experienced a significant decrease in symptom levels whereas
symptoms increased in another subgroup. The most important predictor of outcome
was compliance with atypical antipsychotic medication during the follow-up
period. CONCLUSIONS: These results suggest that there is a prognostic divide
early in the course of the disease, that compliance with medication is of
overriding importance towards 1-year outcome, and that for the individual patient
the question of chronicity may be answered very early in the course of the
disease.
PMID- 18059114
TI - Parental concordance and offspring risk for anxiety, conduct, depressive, and
substance use disorders.
AB - BACKGROUND: Although parental concordance for any psychiatric disorder is known
to be associated with elevated risk for offspring disorder, little evidence is
currently available from prospective longitudinal studies regarding the
association of parental concordance with offspring risk for anxiety, conduct,
depressive, and substance use disorders. SAMPLING AND METHODS: Psychiatric
interviews were conducted with 593 mothers and their biological offspring at mean
offspring ages 14, 16, 22, and 33. RESULTS: Offspring risk for >or=1 psychiatric
disorder was significantly greater if both parents had a lifetime history of
psychiatric disorder than if only one parent had a lifetime history of disorder.
Parental concordance for generalized anxiety disorder (GAD) was associated with a
significant increase in offspring risk for anxiety disorders, above and beyond
the risk attributable to having one affected parent. In addition, parental
concordance for GAD was associated with elevated risk for offspring depressive
disorders, and parental concordance for substance use disorders was associated
with elevated offspring risk for conduct disorder. CONCLUSIONS: Parental
concordance for GAD may be associated with elevated risk for offspring anxiety
disorder, above and beyond the risk associated with having one affected parent.
PMID- 18059115
TI - Obsessive-compulsive disorder and posttraumatic stress disorder.
AB - BACKGROUND: Previous studies suggested an association between exposure to trauma
or stressful life events and obsessive-compulsive disorder (OCD). This study
investigates the hypothesis that traumatic events and posttraumatic stress
disorders (PTSD) precede the onset of OCD. SAMPLING AND METHODS: 210 cases with
OCD from university treatment facilities were compared with 133 sex- and age
matched controls from the adult general population. The data were derived from a
German family study on OCD (GENOS). Direct interviews were carried out with the
German version of the Schedule for Affective Disorders and Schizophrenia -
Lifetime Version for Anxiety Disorders (DSM-IV). RESULTS: Severe traumatization
occurred in 6.2% of the OCD cases and in 8.3% of the controls. The lifetime
prevalence rates of traumatization, PTSD and acute stress disorder were not
different between the subjects with OCD and controls (p > 0.05). In 6 cases,
acute stress disorder, subclinical or full PTSD preceded the onset of OCD, in 3
cases the trauma-related disorders and OCD occurred within the same year, in 5
other cases, the trauma-related disorders started after the onset of OCD.
CONCLUSION: There is no significant association of traumatization or PTSD with
OCD compared with controls. Given the low rate of trauma-related disorders
occurring before (2.9%) or within (1.5%) the same year as the onset of OCD other
factors than severe traumatic events determine the onset of OCD in most of the
cases.
PMID- 18059116
TI - Severe neonatal pulmonary hypertension secondary to antenatal maternal diclofenac
ingestion reversed by inhaled nitric oxide and oral sildenafil.
PMID- 18059118
TI - Barium meal followthrough and CT findings in paraduodenal hernia.
PMID- 18059117
TI - Clinicopathologic features of hepatic neoplasms in explanted livers: a single
institution experience.
AB - BACKGROUND: Hepatic neoplasms can be the primary indication for hepatic
transplantation. The tumors can also be incidentally identified in explanted
livers. We explored the clinicopathologic features of hepatic neoplasms
identified in explanted livers. MATERIALS AND METHODS: All explanted livers
resected between 2001 and 2006 were evaluated for the presence of neoplasms and
their clinicopathologic features were examined. RESULTS: In 98 liver transplants,
15 neoplasms (15.3%) were identified. Patient ages ranged from 5 to 63 years
(median, 56 years). The primary etiology of hepatic disease was hepatitis C virus
in 12 cases, hepatitis B virus in 1 case, cryptigenic cirrhosis in 1 case and
congenital hepatic fibrosis in 1 case. Serum alpha-fetoprotein was significantly
elevated (>400 U/L) in only 2 cases. CA19-9 was not elevated in any of the cases.
The tumors included hepatocellular carcinoma (HCC) in 13 cases, 1 case of
choloangiocarcinoma and 1 case of combined HCC and hepatoblastoma. The tumors in
size from 0.5 to 5 cm (median 1.4 cm) and were multifocal in 5 of the cases
(33%). Tissue alpha-fetoprotein expression was only seen in the cases associated
with elevated serum levels. CONCLUSION: In our institution hepatic neoplasms are
seen in more than 15% explanted livers. They can be incidentally indentified, are
frequently not associated with elevated serum levels of alpha-fetoprotein and
CA19-9, are commonly multifocal but small, and are associated with good
prgonosis. Elevated serum alpha-fetoprotein, albeit specific, is not a very
sensitive marker in the detection of hepatic neoplasms.
PMID- 18059119
TI - Overweight and obesity among attendees of primary care clinics in a university
hospital.
PMID- 18059120
TI - Symptomatic congenital heart disease in the Saudi Children and Adolescents
Project.
PMID- 18059121
TI - Predictors of obstructive sleep apnea in snorers.
AB - BACKGROUND: Snoring is a commong problem that poses a high risk for obstructive
sleep apnea (OSA). We studied the contribution of risk factors for OSA in snorers
for full-night polysomnography (PSG). METHODS: A questionnaire was administered
to subjects referred for PSG in the period from April 2002 to March 2005.
RESULTS: There were 191 (84%) snorers identified by 227 PSG studies. They had a
mean age of 48.1+/-9.8 years, (age range, 23-73 years) and 78.5% were males. OSA
as indicated by a respiratory disturbance index (RDI) of >5 events/hour was seen
in 126 (66%) subjects. In males, 72.7% had OSA, with a mean RDI of 43.0+/-26
events/hour, versus 41.5% [corrected] with OSA in females with a mean RDI of
27.8+/-26.5 events/hour (P<0.001). The OSA group had a higher mean Epworth
Sleepiness Scale (ESS) (P<0.001), a larger mean neck circumference (P<0.01), an
increased mean age (P<0.050), and more witnessed apneas (P<0.001) but not choking
(P=0.096). The mean increase in body mass index was linked to OSA only in females
(P<0.05) but not in the overall study (P=0.507). Multivariate analysis showed
that ESS, male gender, and a history of witnessed apneas were associated with
OSA, while controlling for obesity, large neck circumference, age, and history of
choking. CONCLUSION: In screening snorers for PSG, male gender, ESS and a history
of witnessed apneas were the most important predictors of OSA, but other factors
should be considered in referring snorers for PSG. In males, obesity did not
contribute to the risk of OSA in our study population.
PMID- 18059122
TI - The role of vitamin E in the prevention of cancer: a meta-analysis of randomized
controlled trials.
AB - BACKGROUND: There are conflicting results in published randomized controlled
trials (RCTs) on the role of vitamin E in the prevention of cancer. We conducted
a meta-analysis of RCTs to evaluate the role of vitamin E in the prevention of
cancer in adults. METHODS: We included RCTs in which the outcomes of the intake
of vitamin E supplement alone or with other supplements were compared to a
control group. The primary outcomes were total mortality, cancer mortality, total
incidence of cancer, and incidence of lung, stomach, esophageal, pancreatic,
prostate, breast and thyroid cancers. All identified trials were reviewed
independently by the two reviewers to determine whether trials should be included
or excluded. The quality of all included studies was scored independently by the
two reviewers. RESULTS: Twelve studies, which included 167025 participants, met
the inclusion criteria. There were no statistically significant differences in
total mortality (relative risk, 0.99; 95% CI, 0.96-1.03) among the different
groups of patients included in this meta-analysis. Vitamin E was associated with
a significant reduction in the incidence of prostate cancer (relative risk, 0.85;
95% CI, 0.73-0.96, number needed to treat=500), but it did not reduce the
incidence of any other types of cancer. CONCLUSIONS: Vitamin E supplementation
was not associated with a reduction in total mortality, cancer incidence, or
cancer mortality, but it was associated with a statistically significant
reduction in the incidence of prostate cancer. Vitamin E can be used in the
prevention of prostate cancer in men who are at high risk of prostate cancer.
PMID- 18059123
TI - Bone mineral density in children wth systemic lupus erythematosus and juvenile
rheumatoid arthritis.
AB - BACKGROUND: Although there is increasing in bone metabolism in patients with
rheumatic disorders, few data exist on bone mineral density (BMD) in children
with rheumatic disorders or on the association of BMD with disease-related
variables. We determined BMD in Iranian children with systemic lupus
erythematosus (SLE) and juvenile rheumatoid arthritis (JRA) to evaluate the
relationship between disease-related variables and BMD. PATIENTS AND METHODS:
Twenty patients (13 girls and 7 boys) with SLE (n=15) and JRA (n=5) with a mean
age of 13.10+/-3.29 years (range, 6-17 years), attending a pediatric rheumatology
clinic and 20 healthy controls (matched for age and sex with each patient) were
enrolled in a cross-sectional study between 2001 and 2003. BMD (g/cm(2)) of the
femoral neck (BMD-F) and lumbar vertebrae (BMD-L) were measured by dual energy X
ray absorptiometry (DEXA). The correlation between BMD and cumulative dose of
steroids, daily dose of steroid, disease duration, disease activity, height,
weight, and age was investigated. RESULTS: BMD in the patients (BMD-F=0.72+/
0.15, BMD-L=0.70+/-0.19) was significantly lower than controls (BMD-F=0.95+/
0.17, BMD-L=0.98+/-0.20, P=<0.001). The severity of descreased BMD was more
prominent in lumbar vertebrae than the femoral neck (P=0.04). None of the
variables were consistently related to a decrease in BMD. CONCLUSION: BMD was
significantly lower in patients compared with controls. It was more prominent in
lumbar vertebrae (trabecular bone). Although cumulative dose of steroids and
diseaese appeared to have some influence on BMD, none were independently
correlated with BMD.
PMID- 18059124
TI - The prevalence and degree of resistance of Plasmodium falciparum to first-line
antimalarial drugs: an in vitro study from a malaria endemic region in Yemen.
AB - BACKGROUND: Unpublished studies on antimalarial drug efficacy have found low
levels of chloroquine resistance in Yemen. This study was carried out to
determine the current prevalence of drug resistance in Plasmodium falciparum in
Yemen to the main anti-malarial drugs and to determine the effective
concentration(EC) values. METHODS: The WHO standard protocol was used for the
selection of subjects, collection of blood samples, culture techniques,
examination of post-culture blood slides and interpretation of results. The in
vitro micro-test Mark III was used for assessing susceptibility of P. falciparum
isolates. RESULTS: The criteria for blood parasite density was met by 219 P.
falciparum malaria patients. Chloroquine resistance was found in 47% of isolated
P. falciparum schizonts. Mefloquine resistanfce was found in 5.2%. In addition,
the EC50 and EC95 values in blood that inhibited schizont maturation in resistant
isolates were higher than the normal therapeutic level of mefloquine. No
resistance occurred against quinine or artemisinin, with no growth at hte cut-off
level of quinine and inhibition of low concentrations of artemisinin. CONCLUSION:
Our study confirmed the occurrence of chloroquine-resistant P. falciparum and a
slow increase in the rate of this resistance; it is likely that resistance will
increase further and spread over all the foci of malaria in Yemen. The low rate
of mefloquine-resistant P. falciparum, was lower than that reported in Africa or
Southeast Asia, but it is the first report of mefloquine resistance in Yemen.
Finally, the isolates were sensitive to low-concentrations of quinine and
artemisinin.
PMID- 18059125
TI - Preoperative nutritional parameters in children with congenital heart diseases
under two years of age.
PMID- 18059126
TI - Probable montelukast-induced hepatotoxicity in a pediatric patient: case report.
PMID- 18059127
TI - Pemphigus vulgaris in a neonate.
PMID- 18059129
TI - Cochlear implantation in Bahrain: promising results.
PMID- 18059128
TI - Comparison of gated SPECT, echocardiography and cardiac magnetic resonance
imaging for the assessment of left ventricular ejection fraction and volumes.
AB - BACKGROUND: Left ventricular ejection fraction (LVEF), end-diastolic volume (EDV)
and end-systolic volume (ESV) can be determined non-invasively by two-dimensional
enchocardiography (ECHO), gated sinle photon emission computed tomography
(GSPECT) and cardiac magnetic resonance imaging (CMRI). This study was designed
to analyze the concordance between LVEF, EDV and ESV values derived from ECHO,
GSPECT and CMRI. METHODS: ECHO, GSPECT and CMRI were performed in a group of 21
patients with suspected coronary artery disease. LVEF, EDV and ESV values were
calculated. RESULTS: The mean LVEF measured with GSPECT, ECHO and CMRI were
55.9+/-17.8%, 55.7+/-16.4% and 56.4+/-15.7%, respectively. The mean EDV measured
with GSPECT, ECHO and CMRI were 109.2+/-42.45 mL, 127.5+/-42.2 mL, 91.1+/-38.0
mL, respectively. The mean ESV measured with GSPECT, ECHO and CMRI were 54.2+/
41.2 mL, 59.9+/-37.6 mL and 41.8+/-26.9 mL, respectively. The results of linear
regression analysis showed very good correlation between LVEF and ESV values
derived from GSPECT, ECHO adn CMRI (r=0.91, r=0.92, r=0.97 for LVEF and r=0.86,
r=0-91, r=0.91 for ESV, P<0.01). Good correlations were found between EDV values
obtained from GSPECT, ECHO and CMRI (r=0.71, r=0.68, r=0.73, P<0.01). Agreement
between these techniques in LVEF values was also good, but not in LV volumes,
according to Bland-Altman plots. CONCLUSIONS: This study showed good overall
correlations between LVEF, EDV and ESV values derived from GSPECT, ECHO and CMRI.
LVEF obtained from any of these three imaging modalities could be used
interchangeably. However, care should be taken in comparing LV volumes.
PMID- 18059130
TI - Multidrug resistant Acinetobacter nosocomial meningitis treated successfully with
parenteral tigecycline.
PMID- 18059131
TI - Ibn Al-Haytham: father of modern optics.
PMID- 18059132
TI - WNKs: protein kinases with a unique kinase domain.
AB - WNKs (with-no-lysine [K]) are a family of serine-threonine protein kinases with
an atypical placement of the catalytic lysine relative to all other protein
kinases. The roles of WNK kinases in regulating ion transport were first revealed
by the findings that mutations of two members cause a genetic hypertension and
hyperkalemia syndrome. More recent studies suggest that WNKs are pleiotropic
protein kinases with important roles in many cell processes in addition to ion
transport. Here, we review roles of WNK kinases in the regulation of ion balance,
cell signaling, survival, and proliferation, and embryonic organ development.
PMID- 18059133
TI - Calcium-influx increases SOD1 aggregates via nitric oxide in cultured motor
neurons.
AB - Familial amyotrophic lateral sclerosis (fALS) is caused by mutations in Cu/Zn
superoxide dismutase (SOD1), and SOD1 aggregation and calcium toxicity are
involved in neuronal death. However, the effect of altered calcium homeostasis on
the SOD1 aggregation is unknown. To investigate whether calcium triggers mutant
SOD1 aggregation in vitro, human mutant SOD1 (G93A) was transfected into motor
neuronal cell line (VSC 4.1 cells). These cells were then treated with calcium
ionophore A23187 or agents that induce intracellular calcium release like cyclic
ADP ribose, ryanodine or thapsigargin. A23187 was found to increase mutant SOD1
aggregation and neuronal nitric oxide synthase (nNOS) expression. Moreover, the
NOS inhibitor (L-NAME) and a NO-dependent cyclic GMP cascade inhibitor (ODQ)
reduced SOD1 aggregation, whereas an exogenous NO donor (GSNO) increased mutant
SOD1 aggregation, which was also prevented by NOS or cGMP cascade inhibitor. Our
data demonstrate that calcium-influx increases SOD1 aggregation by upregulating
NO in cultured motor neuronal cells.
PMID- 18059134
TI - Inhibition of gamma ray-induced apoptosis by stimulatory heterotrimeric GTP
binding protein involves Bcl-xL down-regulation in SH-SY5Y human neuroblastoma
cells.
AB - Heterotrimeric GTP-binding proteins (G proteins) transduce extracellular signals
into intracellular signals by activating effector molecules including adenylate
cyclases that catalyze cAMP formation, and thus regulate various cellular
responses such as metabolism, proliferation, and apoptosis. cAMP signaling
pathways have been reported to protect cells from ionizing radiation-induced
apoptosis, but however, the protective mechanism is not clear. Therefore, this
study aimed to investigate the signaling molecules and the mechanism mediating
the anti-apoptotic action of cAMP signaling system in radiation-induced
apoptosis. Stable expression of a constitutively active mutant of Gas (GalphasQL)
protected gamma ray-induced apoptosis which was assessed by analysis of the
cleavages of PARP, caspase-9, and caspase-3 and cytochrome C release in SH-SY5Y
human neuroblastoma cells. GasQL repressed the gamma ray-induced down-regulation
of Bcl-xL protein, but transfection of Bcl-xL siRNA increased the gamma ray
induced apoptosis and abolished the anti-apoptotic effect of GasQL. GasQL
decreased the degradation rate of Bcl-xL protein, and it also restrained the
decrease in Bcl-xL mRNA by increasing the stability following ionizing
irradiation. Furthermore, prostaglandin E2 that activates Gas was found to
protect gamma ray-induced apoptosis, and the protective effect was abolished by
treatment with prostanoid receptor antagonist specific to EP2/4R subtype.
Moreover, specific agonists for adenosine A1 receptor that inhibits cAMP
signaling pathway augmented gamma ray-induced apoptosis. From this study, it is
concluded that Galphas-cAMP signaling system can protect SH-SY5Y cells from gamma
ray-induced apoptosis partly by restraining down-regulation of Bcl-xL expression,
suggesting that radiation-induced apoptosis can be modulated by GPCR ligands to
improve the efficiency of radiation therapy.
PMID- 18059135
TI - Homo-dimerization of RyR1 C-terminus via charged residues in random coils or in
an alpha-helix.
AB - To investigate the mechanism by which the C-terminus (4,938-5,037) of the
ryanodine receptor 1 (RyR1) homo-tetramerizes, forming a functional Ca(2+)
release channel, the structural requirements for the tetramerization were studied
using site-directed mutagenesis. Alanine-substitutions at five charged residues,
E4976, H5003, D5026, E5033 and D5034, significantly decreased the formation of
homo-dimers (reduced by >50%). Interaction between the C-terminus and cytoplasmic
loop I (4,821-4,835) required two positively charged residues, H4832 and K4835.
Based on the predicted protein secondary structures, all seven charged residues
are located in random coils. Paired alanine-substitutions at six negatively
charged residues (E4942A/D4953A, D4945A/E4952A and E4948A/ E4955A) of the alpha
helix (4,940-4,956) in the C-terminus increased homo-dimerization. Therefore, the
homo-tetramerization of RyR1 may be mediated by intra- and/or inter-monomer
electrostatic interactions among the C-terminal charged residues in random coils
or in an alpha-helix.
PMID- 18059136
TI - Bee venom stimulates human melanocyte proliferation, melanogenesis, dendricity
and migration.
AB - Pigmentation may result from melanocyte proliferation, melanogenesis, migration
or increases in dendricity. Recently, it has been reported that secreted
phospholipase A(2)(sPLA(2)) known as a component of bee venom (BV), stimulates
melanocyte dendricity and pigmentation. BV has been used clinically to control
rheumatoid arthritis and to ameliorate pain via its anti-inflammatory and
antinociceptive properties. Moreover, after treatment with BV, pigmentation
around the injection sites was occasionally observed and the pigmentation lasted
a few months. However, no study has been done about the effect of BV on
melanocytes. Thus, in the present study, we examined the effect of BV on the
proliferation, melanogenesis, dendricity and migration in normal human
melanocytes and its signal transduction. BV increased the number of melanocytes
dose and time dependently through PKA, ERK, and PI3K/Akt activation. The level of
cAMP was also increased by BV treatment. Moreover, BV induced melanogenesis
through increased tyrosinase expression. Furthermore, BV induced melanocyte
dendricity and migration through PLA(2) activation. Overall, in this study, we
demonstrated that BV may have an effect on the melanocyte proliferation,
melanogenesis, dendricity and migration through complex signaling pathways in
vitro, responsible for the pigmentation. Thus, our study suggests a possibility
that BV may be developed as a therapeutic drug for inducing repigmentation in
vitiligo skin.
PMID- 18059137
TI - Effect of bosentan on the production of proinflammatory cytokines in a rat model
of emphysema.
AB - Endothelin (ET) receptor antagonists have been developed to produce a reduction
of ET related effects in various diseases, as well as in animal models of airway
inflammation. We aimed to investigate the anti-inflammatory potential of bosentan
on a rat model of emphysema. Thirty Wistar male rats were classified as control
group (group 1), intratracheally (i.t.) instilled with saline, treated with
vehicle solution; elastase group (group 2), i.t. instilled with porcine
pancreatic elastase (PPE), treated with vehicle solution; and PPE+bosentan group
(group 3), i.t. instilled with PPE, treated with bosentan. The levels of TNF
alpha, IL-1beta, IL-6, and IL-8 in bronchoalveolar lavage fluid (BALF) and lung
tissue, cell counts in BALF, and histologic analysis of all groups were
evaluated. Neutrophile granulocytes (NG) and alveolar macrophages (AM) were
increased more in group 2 than in group 1 (P<0.001, P=0.04, respectively).
Compared with group 2, neutrophil granulocyte (NG) and alveolar macrophages (AM)
counts were decreased in group 3 (P<0.001). Histological examination confirmed a
diffuse neutrophilic inflammation and irregular alveolar air space enlargement in
group 2. Treatment with bosentan partially reduced the enlarged lung volumes.
Compared with group 1, the BALF levels of TNF-alpha and IL-6, and the lung tissue
levels of IL-1beta, IL-6, and IL-8 were increased in group 2 (P=0.028, P=0.005,
P=0.001, P=0.019, P<0.001, respectively). The TNF-alpha and IL-8 levels of BALF
(P=0.007, P=0.001, respectively), and the TNF-alpha, IL-1beta, IL-6, and the IL-8
levels of lung tissue (P=0.031, P=0.017, P=0.007, P<0.001) were decreased in
group 3 compared to group 2. In conclusion, bosentan decreased the inflammatory
response by reducing numbers of inflammatory cells and proinflammatory cytokines.
PMID- 18059138
TI - Immunocytochemical detection of HPV16 E7 in cervical smear.
AB - Cervical cancer is characterized by a long period of preclinical dysplasia or
carcinoma in situ progressing into invasive cancer. Although Papanicolaou (Pap)
smear test has contributed significantly to the early detection of precursor
lesions, the cytological screening has inherent problems that produce
considerable false negative/positive results. Since the infection of high-risk
type of human papillomavirus (HPV) is strongly associated with cervical cancer,
we investigated the feasibility of an immunostaining test to detect cells
infected by HPV in cervical smear. We produced monoclonal antibodies against
HPV16 E7 in mice by repeated injections with the recombinant HPV16 E7. Western
blot analysis and immunocytochemical assay demonstrated that the selected
monoclonal antibody, mAb (130-9-7), reacts specifically with cultured cervical
cancer cell lines infected by HPV16. Specific staining was observable with the
HPV16-positive smear specimens obtained from the cervical cancer patients,
whereas no staining was detected with the HPV-negative smear specimens. To
achieve the desired sensitivity, specificity and reproducibility, we modified and
optimized the conventional immunocytochemical procedure for cervical smear
specimens. Our results suggest that this immunostaining method for detecting high
risk HPV in cervical smear may be used as a strategy to distinguish a high-risk
group, especially those patients with low grade cytological abnormality.
PMID- 18059139
TI - Change of connexin 37 in allergen-induced airway inflammation.
AB - Gap junction channels formed with connexins directly link to the cytoplasm of
adjacent cells and have been implicated in intercellular signaling. Connexin 37
(Cx37) is expressed in the gas-exchange region of the lung. Recently, Cx37 has
been reported to be involved in the pathogenesis of inflammatory disease.
However, no data are available on the role of Cx37 in allergic airway
inflammatory disease. In the present study, we used a murine model of ovalbumin
(OVA)- induced allergic airway disease and primary murine epithelial cells to
examine the change of Cx37 in allergic airway disease. These mice develop the
following typical pathophysiological features of asthma: airway
hyperresponsiveness, airway inflammation, and increased IL-4, IL-5, IL-13,
intercellular adhesion molecule-1, vascular cell adhesion molecule-1, eotaxin,
and RANTES levels in lungs. Cx37 protein and mRNA expression were decreased in
OVA-induced allergic airway disease. Immunoreactive Cx37 localized in epithelial
layers around the bronchioles in control mice, which dramatically disappeared in
allergen-induced asthmatic lungs. Moreover, the levels of Cx37 protein in lung
tissues showed significantly negative correlations with airway inflammation,
airway responsiveness, and levels of Th2 cytokines in lungs. These findings
indicate that change of Cx37 may be associated with the asthma phenotype.
PMID- 18059140
TI - Identification of molecular markers for the oncogenic differentiation of
hepatocellular carcinoma.
AB - The aim of this study was to identify molecular markers associated with oncogenic
differentiation in hepatocellular carcinoma (HCC). Using an unsupervised
clustering method with a cDNA microarray, HCC (T) gene expression profiles and
corresponding non-tumor tissues (NT) from 40 patients were analyzed. Of total 217
genes, 72 were expressed preferentially in HCC tissues. Among 186 differentially
regulated genes, there were molecular chaperone and tumor suppressor gene
clusters in the Edmondson grades I and II (GI/II) subclass compared with the
liver cirrhosis (LC) subclass. The Edmondson grades III and IV (GIII/IV) subclass
with a poor survival (P=0.0133) contained 122 differentially regulated genes with
a cluster containing various metastasis- and invasion-related genes compared with
the GI/II subclass. Immunohistochemical analysis revealed that ANXA2, one of the
72 genes preferentially expressed in HCC, was over-expressed in the sinusoidal
endothelium and in malignant hepatocytes in HCC. The genes identified in the HCC
subclasses will be useful molecular markers for the genesis and progression of
HCC. In addition, ANXA2 might be a novel marker for tumor angiogenesis in HCC.
PMID- 18059141
TI - Cardiac side population cells exhibit endothelial differentiation potential.
AB - Recent studies have shown that side population (SP) cells, isolated from adult
myocardium, represent a distinct cardiac progenitor cell population that exhibits
functional cardiomyogenic differentiation. However, information on the intrinsic
characteristics and endothelial potential, of cardiac SP cells, is limited. The
present study was designed to investigate whether cardiac SP cells exhibit
endothelial differentiation potential. The cardiac SP cells more highly expressed
the early cardiac transcription factors as well as endothelial cell markers
compared to the bone marrow-SP cells. After treatment with VEGF, for 28 days,
cardiac SP cells were able to differentiate into endothelial cells expressing von
Willebrand factor as determined by immunocytochemistry. Furthermore, expression
of endothelial cell markers increased several-fold in VEGF-treated cardiac SP
cells compared to the control group when assessed by real-time PCR. We also
confirmed that cardiac SP cells provided a significantly augmented ratio of
ischemic/normal blood flow, in the cardiac SP cell-transplanted group compared
with saline-treated controls on postoperative days 7, 14, 21 and 28, in a murine
model. These results show that cardiac SP cells may contribute to regeneration of
injured heart tissues partly by transdifferentiation into angiogenic lineages.
PMID- 18059142
TI - Recombinant tetra-cell adhesion motifs supports adhesion, migration and
proliferation of keratinocytes/fibroblasts, and promotes wound healing.
AB - An extracellular matrix protein plays an important role in skin wound healing. In
the present study, we engineered a recombinant protein encompassing the 9(th) and
10(th) type III domains of fibronectin, and 4(th) FAS1 domain of betaig-h3. This
recombinant protein, in total, harbors four known-cell adhesion motifs for
integrins: Pro-His-Ser-Arg-Asn (PHSRN) and Arg-Gly-Asp (RGD) in 9(th) and 10(th)
type III domains of fibronectin, respectively, and Glu-Pro-Asp-Ile-Met (EPDIM)
and Try-His (YH) in 4(th) FAS1 domain of betaig-h3, were designated to tetra-cell
adhesion motifs (T-CAM). In vitro studies showed T-CAM supporting adhesion,
migration and proliferation of different cell types including keratinocytes and
fibroblasts. In an animal model of full-thickness skin wound, T-CAM exhibited
excellent wound healing effects, superior to both 4(th) FAS1 domain of betaig-h3
or 9(th) and 10(th) type III domains of fibronectin. Based on these results, T
CAM can be applied where enhancement of cell adhesion, migration and
proliferation are desired, and it could be developed into novel wound healing
drug.
PMID- 18059143
TI - Low-density lipoprotein protects Vibrio vulnificus-induced lethality through
blocking lipopolysaccharide action.
AB - Lipoprotein plays a role in the host defense against bacterial infection, and its
serum level has been demonstrated to be an important prognosis factor of
survival. We have previously demonstrated that LDL directly inactivates the
hemolytic activity of Vibrio vulnificus cytolysin (VVC) in vitro. The object of
this study was therefore to examine whether the LDL-mediated inactivation of VVC
leads to protection against lethal infection of V. vulnificus in vivo, using wild
and VVC-deficient V. vulnificus strains. Unexpectedly, we found that LDL protects
mouse lethality induced by VVC-deficient as well as wild V. vulnificus strain. We
also demonstrated that LDL blocks V. vulnificus LPS-induced lethality in mice.
These results suggest that LDL preferentially act on endotoxin rather than
exotoxin in the protection against V. vulnificus-induced mice lethality.
PMID- 18059144
TI - [Judicial judgment for severe adverse drug reaction (ADR)].
PMID- 18059145
TI - [Drug-induced hypersensitivity syndrome: DIHS].
PMID- 18059146
TI - [Improvement of quality of medical research and practice in allergology].
PMID- 18059147
TI - [Medical practice in allergic diseases in the present Japanese medical health
care system from the aspect of medical sociology].
PMID- 18059148
TI - [Diagnosis and treatment of allergic rhinitis].
PMID- 18059149
TI - [Influence of the difference in the pollen count on medical costs in an allergen
specific immunotherapy for Japanese cedar pollinosis].
AB - BACKGROUND: We studied medical economic efficacy and influence by the different
number of pollen scattering in patients treated with allergen-specific
immunotherapy for Japanese cedar pollinosis. METHODS: We calculated medical
treatment costs and the medicine expense from medical records in eighteen cedar
pollinosis patients treated with allergen-specific immunotherapy (IT-G) and with
medications (M-G). We examined with the same patients for three years of
different pollen scattering, mass scattering year (2005), moderate scattering
year (2003), a few scattering year (2004). Furthermore, satisfaction of treatment
and symptom score measured by visual analog scale in both subjects was studied in
a mass scattering year. RESULTS: Total medical costs at hospital was cheaper in
IT-G than in M-G. The result was depended on prescribed medical costs. In
addition, prescribed medicine agents and total medical costs did not increase by
the mass scattering year of pollen. Satisfaction of treatment and symptom score
in IT-G was better than that in M-G. CONCLUSION: Immunotherapy had a benefit on a
medical economy.
PMID- 18059150
TI - [Therapy with nebulized beta2 agonists (procaterol) in asthmatic children:
pulmonary function and plasma levels after inhalation].
AB - BACKGROUND: Relationship between post administrative changes in plasma drug
levels and bronchodilation remains unknown. In this study, we measured plasma
levels of procaterol, a beta2-agonist, when being inhaled through nebulizers in
children with bronchial asthma to examine relationship between improvement of
pulmonary function and the plasma levels. METHOD: Six asthmatic children with the
mean age of 9.8 years, inhaled 0.3 ml of 0.01% procaterol solution through a
nebulizer. We examined changes in pulmonary function and plasma procaterol levels
before and after inhalation. RESULTS: Procaterol was detected in the plasma 2
minutes after inhalation when it already rose to the maximum level, and kept the
steady until showing a decline in 30 minutes. The measured highest value was
87.8+/-45.1 pg/ml. FEV 1.0 remarkably increased 2 minutes after inhalation and
was maintained until 60 minutes after inhalation. Other lung function parameters
also improved. There was no significant change in the heart rate, but serum
potassium concentrations significantly dropped in all patients 60 minutes after
inhalation. CONCLUSION: Plasma procaterol levels promptly rose to the peak at 2
minutes after inhalation and decreased 30 minutes later. Improvement of pulmonary
function started promptly at minutes after inhalation and it became a peak 60
minutes later.
PMID- 18059151
TI - [Prevalence of chlamydia-pneumoniae-specific immunoglobulin M antibody and acute
exacerbations of asthma in childhood].
AB - BACKGROUND: Chlamydia pneumoniae is a frequent causative agent of acute
respiratory disease and has been recently reported as a possible cause of asthma.
We investigated the prevalence of C. pneumoniae infections in childhood patients
with acute exacerbations of asthma. METHOD: One hundred twenty-six childhood
patients with acute exacerbations of asthma, 77 with acute bronchitis and 22
Respiratory syncytial virus infections were studied. Serum samples were obtained
and tested for C. pneumoniae-specific IgM antibody by Enzyme-Linked ImmunoSorbent
Assay (ELISA). RESULTS: C. pneumoniae IgM-positive results were observed in 48.4%
(Index value>or=1.60) and 23% (Index value>or=1.10) of patients with acute
exacerbations of asthma. The prevalence of C. pneumoniae-specific IgM was
significantly higher in asthma cases than in other subjects (p<0.05). CONCLUSION:
Our data suggest that C. pneumoniae infection may trigger acute exacerbations of
childhood asthma.
PMID- 18059152
TI - [Evaluation of the drug lymphocyte stimulation test (DLST) with shosaikoto].
AB - BACKGROUND: Our aim is to evaluate the significance of DLST by Shosaikoto.
METHODS: We clinically evaluated 3 cases of drug-induced pneumonia assumed to be
caused by Shosaikoto, and we performed DLST of Shosaikoto for healthy controls,
and compared the data with drug-induced pneumonia cases of Shosaikoto. RESULTS:
As clinical characteristics of 3 cases, 2 cases were positive for hepatitis C
virus antibody, and 1 case was positive for DLST of Shosaikoto. The observed
chest high-resolution CT (HRCT) findings showed hypersensitivity pneumonia (HP)
pattern in all 3 cases. Prognosis was good in all 3 cases. DLST of Shosaikoto was
positive in 27.5% of healthy controls. Stimulation index (S.I.) of DLST in drug
induced pneumonia cases increased depending on drug dilution density, compared to
that of healthy controls. CONCLUSION: DLST of Shosaikoto showed high false
positive rate. However, we may be able to distinguish the true-positive cases
with the false-positive cases by comparing the S.I. of DLST according to drug
dilution density.
PMID- 18059153
TI - [Case of allergic bronchopulmonary aspergillosis successfully treated with
itraconazole].
AB - A 58-year-old woman had a productive cough but not from bronchial asthma. A chest
radiograph revealed infiltrative shadows in right middlelung field on September,
2004. Aspergillus fumigatus was detected in a sputum culture. She was treated
with oral itraconazole. After the treatment, infiltrative shadows on her chest
radiograph disappeared. On October 2005, her peripheral blood showed
eosinophilla, a high serum level of total immunoglobulin E (IgE), and a chest
radiograph revealed new infiltrative shadows in both lung fields. A chest
computed tomography revealed multiple nodular shadows and central bronchiectasis.
We detected a mucoid plug which showed a large number of eosinophils
pathologically by bronchoscopy. Aspergillus niger was detected in a bronchial
lavage fluid. We therefore made a diagnosis of allergic bronchopulmonary
aspergillosis (ABPA). The decreases of peripheral blood eosinophils and a serum
IgE level were recognized and multiple nodular shadows disappeared by
reinstitution of itraconazole. However, a chest computed tomography revealed new
infiltrative shadows. Therefore, we treated her with the concomitant
administration of oral itraconazole and inhaled corticosteroid. All laboratory
data and image findings were improved. It is critical to consider the both
aspects of allergy and infection in the treatment for ABPA.
PMID- 18059154
TI - [Type 1 allergy to formaldehyde in root canal sealant after dental treatment: two
case reports and review of the literature].
AB - Two cases of generalized urticaria after the dental treatment were reported.
These cases had clearly positive RAST to formaldehyde, whereas skin prick
testings were negative. We diagnosed them as type I allergy due to formaldehyde.
Immediate type formaldehyde allergy is not widely recognized as a major allergic
complication of dental treatment. Previous reports of immediate allergy to
formaldehyde in dental treatment were reviewed. The characteristics are the
followings, first, it tends to represent severe symptom like anaphylaxis, second,
the symptom often appears a few hours later than usual cases of anaphylaxis.
Allergen tests show highly positive ratio to formaldehyde RAST, whereas skin
prick test often shows false negative. Assessment of specific IgE to formaldehyde
is a useful and a diagnostic measurement, and is recommended in patients at risk.
PMID- 18059155
TI - [Case of pollinosis to grass pollens who presented with dyspnea successfully
treated by rush immunotherapy].
AB - We present a 15 years old boy who experienced unusual symptoms for pollen
allergy, and successfully treated by rush immunotherapy. The patient started to
complain erythema and edema on his face and serous rhinorrhea at 10 years old
when going out. He entered baseball team at junior high school, and subsequently
experienced choking sensation, dyspnea, face edema, and it was sometimes
impossible to continue play. He was diagnosed as bronchial asthma at some
hospital, and prescribed many anti-asthma medications including inhaled
corticosteroid, which did not take effect. His symptoms deteriorated in summer
and ameliorated in winter. When he was 15 years old, he was referred to us by a
pediatrician for reassessment of his symptoms. Flow-volume curve was normal, and
bronchial provocation test (acetylcholine and histamine), and exercise challenge
were negative. IgE antibodies specific to grass pollens were highly positive. We
made a diagnosis of pollinosis to grass pollens instead of bronchial asthma. Oral
antihistamines and intranasal corticosteroid partially improved his symptoms. We
started rush-immunotherapy of grass-pollens (oats and bromegrass), Japanese
cedar, and ragweed. His symptoms improved dramatically on the next season of
grass pollens.
PMID- 18059156
TI - [Influence of leukotriene receptor antagonists on prognosis of patients with
bronchial asthma].
PMID- 18059157
TI - Radiosensitization of prostate cancer by priming the wild-type p53-dependent
cellular senescence pathway.
AB - A dramatic stage-migration in diagnosis of prostate cancer has led to earlier
detection of clinically localized carcinoma and an increased use of radiation
therapy. The p53 protein responds to irradiation-induced DNA damage by removing
critically damaged cells from the proliferative pool. This review will focus on
the dominant role that p53-dependent cellular senescence, rather than cell death,
plays in determining the radiosensitivity of human prostate cancer cells in
vitro. The finding that senescence is a primary mechanism of tumor regression
indicates that p53 activators or downstream effectors may prove effective in
radiosensitizing some carcinoma of the prostate.
PMID- 18059158
TI - BMP suppresses PTEN expression via RAS/ERK signaling.
AB - Bone morphogenetic protein (BMP), a member of the transforming growth factor beta
family, classically utilizes the SMAD signaling pathway for its growth
suppressive effects,and loss of this signaling cascade may accelerate cell
growth. In the colon cancer predisposition syndrome Juvenile Polyposis, as well
as in the late progression stages of nonsyndromic colorectal cancers, SMAD4
function is typically abrogated. Here, we utilized the SMAD4-null SW480 colon
cancer cell line to examine BMPs effect on a potential target gene, PTEN, and how
its expression might be regulated. Initial treatment of the SMAD4-null cells with
BMP resulted in mild growth suppression, but with prolonged exposure to BMP, the
cells become growth stimulatory, which coincided with observed decreases in
transcription and translation of PTEN, and with corresponding increases in
phospho-AKT protein levels. BMP-induced PTEN suppression was mediated via the
RAS/ERK pathway, as pharmacologic inhibition of RAS/ERK, or interference with
protein function in the cytosol by DN-RAS prevented BMP-induced growth promotion
and changes in PTEN levels, as did treatment with noggin, a BMP ligand inhibitor.
Thus, BMP downregulates PTEN via RAS/ERK in a SMAD4-null environment that
contributes to cell growth, and constitutes a SMAD4-independent but BMP
responsive signaling pathway.
PMID- 18059159
TI - Signaling pathway of autophagy associated with innate immunity.
AB - Autophagy has recently been shown to be an important component of the innate
immune response. The signaling pathways leading to activation of autophagy in
innate immunity are not well studied. Our recent study shows that Toll-like
receptor 4 (TLR 4) serves as an environmental sensor for autophagy. We define a
new molecular pathway in which lipopolysaccharide (LPS) induces autophagy in
human and murine macrophages by a pathway regulated through Toll-interleukin 1
receptor domain-containing adaptor-inducing interferon-beta (TRIF)-dependent,
myeloid differentiation factor 88 (MyD88)-independent TLR4 signaling. Receptor
interacting protein (RIP1) and p38 mitogen-activated protein-kinase (MAPK) are
downstream components of this pathway. This signaling pathway does not affect
cell viability, indicating that it is distinct from an autophagic death signaling
pathway. We further show that LPS-induced autophagy can enhance mycobacterial co
localization with the autophagosomes. The above study raises important questions.
(1) What is the complete signaling pathway for LPS-induced autophagy? (2) Does
TLR3 mediate autophagy? (3) What are the mechanisms that determine whether
autophagy acts as a pro-death or pro-survival pathway? (4) What are the
physiological functions of LPS-induced autophagosomes? Future studies examining
the above questions should provide us with important clues as to how autophagy is
regulated in innate immunity, and how autophagy can be utilized in pathogen
clearance.
PMID- 18059160
TI - Promoting basal levels of autophagy in the nervous system enhances longevity and
oxidant resistance in adult Drosophila.
AB - Autophagy is involved with the turnover of intracellular components and the
management of stress responses. Genetic studies in mice have shown that
suppression of neuronal autophagy can lead to the accumulation of protein
aggregates and neurodegeneration. However, no study has shown that increasing
autophagic gene expression can be beneficial to an aging nervous system. Here we
demonstrate that expression of several autophagy genes is reduced in Drosophila
neural tissues as a normal part of aging. The age-dependent suppression of
autophagy occurs concomitantly with the accumulation of insoluble ubiquitinated
proteins (IUP), a marker of neuronal aging and degeneration. Mutations in the
Atg8a gene (autophagy-related 8a) result in reduced lifespan, IUP accumulation
and increased sensitivity to oxidative stress. In contrast, enhanced Atg8a
expression in older fly brains extends the average adult lifespan by 56% and
promotes resistance to oxidative stress and the accumulation of ubiquitinated and
oxidized proteins. These data indicate that genetic or age-dependent suppression
of autophagy is closely associated with the buildup of cellular damage in neurons
and a reduced lifespan, while maintaining the expression of a rate-limiting
autophagy gene prevents the age-dependent accumulation of damage in neurons and
promotes longevity.
PMID- 18059161
TI - Green tea polyphenol and epigallocatechin gallate induce apoptosis and inhibit
invasion in human breast cancer cells.
AB - Currently, there is no effective therapy for estrogen independent breast cancer.
MDA-MB-231 is an estrogen receptor negative highly invasive human breast cancer
cell line and has been used as a relevant model system to evaluate drugs with
chemopreventive potential against highly invasive breast cancer phenotypes.
Epidemiological studies though inconclusive have shown that consumption of Green
Tea Polyphenols (GTP) reduces the incidence and progression of breast cancer.
Green tea is an important source of antioxidants that may be useful for
chemoprevention of cancer. Recently published preclinical study from our lab
suggested that GTP and EGCG treatment inhibit proliferation and induce apoptosis
of MDA-MB-231. In this study, we have evaluated apoptotic and anti-invasive
activity of green tea polyphenols (GTP) and its principal constituent
Epigallocatechin gallate (EGCG) in MDA-MB-231 human breast cancer cell line. In
in vitro human breast cancer model, EGCG and GTP induced apoptosis and
significantly decreased invasion of breast cancer cells. Western blotting of MDA
MB-231 cell lysates from EGCG and GTP treated and untreated control revealed an
increase in bax, reduction in bcl2 and PARP cleavage. Quantitative fluorescence
labeling resulted in a 24-28% reduction in invasion through matrigel by EGCG and
15-23% reduction by GTP in a dose dependent manner. Focussed microarray analysis
and reverse transcriptase polymerase chain reaction and zymogram analysis
revealed inhibition of MMP-9 expression by polyphenol treatment. Furthermore, AKT
was found to be inhibited both at the RNA and protein level by polyphenol
treatment. Moreover EGCG and GTP decreased AKT phosphorylation as found out by
Western blotting for Phospho-AKT (Ser-473). beta-catenin level was found to be
decreased both in cytoplasm and nucleus. For the first time we report the
connection of beta-catenin and AKT modulation by GTP and EGCG as a possible
mechanism for the induction of apoptosis in human breast cancer cells and also
inhibition in their invasive capacity.
PMID- 18059162
TI - Regulation and pharmacokinetics of inducible recombinant TRAIL expression.
AB - TRAIL is a potent antitumor agent, but its potential toxicity to normal human
tissues limits its clinical applications in future. Therapy of human tumors might
benefit from the use of vectors enabling tight control of TRAIL expression in
vivo. To this aim, we constructed an adenoviral vector carrying the RU486
dependent gene switch system for the regulable expression of recombinant TRAIL.
Only was apoptotic recombinant TRAIL expressed and cytotoxicity observed upon
binding of RU 486 to the inducible promoter. Expression levels and kinetics of
recombinant TRAIL expression could be achieved by modulating the concentration of
the inducer. As a broad implication, our data provide an alternative approach to
circumvent the potential toxicity of TRAIL in future human trials and this system
may be utilized to treat human cancer using a long-term expression vector.
PMID- 18059163
TI - Development of novel chimeric transmembrane proteins for multimodality imaging of
cancer cells.
AB - Tracking the migration of cancer cells is essential to understanding the
metastatic process. In order to facilitate the tracking of metastatic
progression, we have generated transgenic cancer cell lines that express novel
chimeric proteins composed of truncated human type II transmembrane proteins
fused in-frame to a red fluorescence protein. These chimeric proteins have been
engineered to display the fluorescence domain on the surface of cells. The three
novel chimeric proteins exhibited high transient expression in several cancer
cell lines. Membrane expression was well characterized in MCF-7 cell lines that
stably express the chimeric proteins. Indirect immunocytochemistry of non
premeablized cells demonstrated co-localization of endogenous red and green
fluorescence labeled secondary antibody bound on the cell surfaces. Immunoblots
of total protein prepared from membrane, cytosolic and nuclear fractions
indicated that the chimeric proteins were mainly associated with the membrane
fraction. Further evidence of the membrane expression of these proteins was
confirmed by confocal microscopy. Moreover, the chimeric protein was detected on
the cell surface by T2-weighted magnetic resonance imaging using anti-red
fluorescence protein antibody and superparamagnetic iron oxide particles in vitro
and by optical imaging in vivo. The development of this non-mammalian cell
surface marker, that can be detected by multi-modality imaging, will find utility
in non-invasive longitudinal tracking of biological processes including
metastatic progression, solid tumor treatment regimes and the fate of cells used
in cell therapies such as islet or stem cells.
PMID- 18059164
TI - Expression of the local angiotensin II system in gastric cancer may facilitate
lymphatic invasion and nodal spread.
AB - PURPOSE: In this study we investigated the putative pathophysiological mechanism,
by which angiotensin converting enzyme (ACE), and angiotensin II receptor (ATR)
type 1 and 2 might contribute to cancer progression and lymph node metastasis in
gastric cancer. MATERIALS AND METHODS: Local expression of ACE, AT1R and AT2R was
investigated immunohistochemically in non-lesional tissue, primary tumors and
lymph node metastases from 45 gastric cancer patients. The distribution of the
ACE genotypes was studied in gastric cancer cell lines. In vitro cell
proliferation, apoptosis and invasion assays were carried out in the presence of
ACE, AT1R and AT2R inhibitors. RESULTS: ACE and AT2R were significantly
upregulated in tumors and metastases, and expressed in the lymph node metastases
of 26 (58%) and 40 (89%) gastric cancer patients, respectively. AT1R expression
was higher in all tissues of metastatic cancers than in previous investigations.
ACE, but not AT1R or AT2R, occasionally exhibited an increased expression in
tumor cells directly surrounding lymph follicles. All three possible combinations
of the ACE gene insertion/deletion polymorphism were found in gastric cancer cell
lines, i.e., the DD- (AGS, MKN28), the II- (MKN45) and the ID-genotype (N87).
ACE, AT1R and AT2R inhibition resulted in a significantly increased proliferation
and a significant reduction in invasive ability of the N87 and MKN45 cell lines,
with N87 exhibiting reduced apoptosis. CONCLUSIONS: Our study provides evidence
of the expression of the local angiotensin II system in lymph node metastases,
and that ACE-, AT1R- and AT2R-activity promotes tumor cell invasion.
PMID- 18059165
TI - Generation of Her-2/neu vaccine utilizing idiotypic network cascade.
AB - Our goal is to apply an anti-idiotype (Id) antibody based vaccine approach for
the treatment of Her-2/neu-positive human cancer. Amplification and/or
overexpression of Her-2/neu occur in multiple human malignancies and are
associated with poor prognosis. The Her-2/neu proto-oncogene is a suitable target
for cancer immunotherapy. Our strategy is active specific immunotherapy in which
patients immunized with an anti- Id antibody mimicking Her-2/neu will generate
sustained high titer Her-2/neu specific protective antibodies. We have used an
anti-Her-2/neu murine monoclonal antibody 4D5 as the immunizing antibody (Ab1)
against which monoclonal anti-Ids or Ab2s were generated in syngeneic mice. We
have characterized one such anti-Id (Ab2) designated 6D12, which mimics a
specific epitope of Her-2/neu as defined by Trastuzumab, and can be used as a
surrogate antigen for Her-2/neu across the species barriers. Immunization of
allogeneic mice or rabbits with 6D12 induced anti-anti-Id (Ab3), that
specifically recognized Her-2/neu-positive tumor cells and lysed these cells in
culture by antibody-dependent cellular cytotoxicity (ADCC). Monoclonal Ab3
generated in mice against 6D12 inhibited the proliferation of Her-2/neu-positive
SK-BR-3 cells in vitro in a dose dependent fashion and delayed the growth of Her
2/neu transfected EL4-Her-2 cells in vivo. These data suggest the potential use
of 6D12 as a vaccine for Her-2/neu-positive human cancer.
PMID- 18059166
TI - AMPA antagonists inhibit the extracellular signal regulated kinase pathway and
suppress lung cancer growth.
AB - Antagonists at alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionate (AMPA)-type
glutamate receptors limit growth of human cancers in vitro. However, the
mechanism of anticancer action of AMPA antagonists is not known. Here we report
that the AMPA antagonists GYKI 52466 and CFM-2 inhibit the extracellular signal
regulated kinase (ERK1/2) pathway, an intracellular signaling cascade which is
activated by growth factors and controls proliferation of lung adenocarcinoma
cells. AMPA antagonists reduced phosphorylation of cAMP-responsive element
binding protein (CREB), suppressed expression of cyclin D1, upregulated the cell
cycle regulators and tumor suppressor proteins p21 and p53 and decreased number
of lung adenocarcinoma cells in G2 and S phases of the cell cycle. These findings
reveal potential mechanism of antiproliferative action of AMPA antagonists and
indicate that this class of compounds may be useful in the therapy of human
cancers.
PMID- 18059167
TI - Inhibition of Stat3 activity by YC-1 enhances chemo-sensitivity in hepatocellular
carcinoma.
AB - The present study investigated the effect of YC-1, a novel anti-cancer agent, on
the chemo-sensitivity of hepatocellular carcinoma (HCC). YC-1 was administered
with chemo-cytotoxic drug, cisplatin, both in vitro and in vivo. YC-1 alone
downregulated the expression of phosphorylated form of signal transducers and
activators of transcription 3 (P-Stat3[705]), a key mediator in chemo-resistance.
When combined with cisplatin, YC-1 further promoted tumor cell apoptosis,
decreased the expression of P-Stat3(705), Bcl-xL, CyclinD1 and survivin, and
induced the cleavage of caspase 9 and PARP. Overexpression of Stat3 reversed YC-1
induced cell death. YC-1 inhibited Stat3 activity by enhancing the
polyubiquitination of P-Stat3(705) induced by cisplatin. In the in vivo setting,
YC-1 combined with cisplatin remarkably suppressed tumor growth in a HCC
xenograft model, and this effect was also accompanied by YC-1 mediated
downregulation of P-Stat3(705), Bcl-xL, Cyclin D1 and survivin, and induction of
cleaved caspase 9 and PARP in the tumor tissues. In conclusion, the present study
demonstrated a novel anti-cancer effect of YC-1 in enhancing chemo-sensitivity of
HCC cells to cisplatin through a Stat3 dependent manner. This finding provides
insight into design of a new therapeutic strategy to improve efficacy of
chemotherapy in HCC patients.
PMID- 18059168
TI - Study of immunotherapy of murine myeloma by an IL-21-based tumor vaccine in
BALB/C mice.
AB - The tumor cells can be recognized and eliminated by the power of the immune
response has result in intense interest in the development of tumor vaccines
transfected with plasmid DNA containing target genes, and the tumor vaccines are
being evaluated as prophylactic and therapeutic vaccines for tumor. In current
study, we designed a murine myeloma cell (SP2/0) vaccine containing mIL-21
plasmid DNA and to evaluate its anti-tumor efficacy and analyze the mechanism of
anti-tumor efficacy. It was upregulated obviously that the MHC-I molecule was
expressed on SP2/0-mIL-21 tumor cells surface and the significant tumor
regression and prolonged survival were observed in BALB/c mice injected with the
SP2/0-mIL-21 tumor vaccine. The four mice without tumors growth were rechallenged
with SP2/0 cells on the opposite site of the back and there was only one with
growth a small tumor after 30 days and others remained tumor free. The cytotoxic
activities of NK, CTLs and the IFN-gamma; were significantly increased
respectively in immunized mice. The expression of I-TAC in the tumor tissue was
upregulated and the tumor tissue were showed the tumor cells were apoptosis and a
lots of infiltrating lymphocytes and phagocytes. We conclude that the autologous
IL-21-producing tumor vaccine can induce strong cell-mediated immune response and
it is a promising immune adjunctive modality to prevent or inhibit growth of
SP2/0 cells in mice model.
PMID- 18059170
TI - Macroautophagy-dependent, intralysosomal cleavage of a betaine homocysteine
methyltransferase fusion protein requires stable multimerization.
AB - Cargo-based assays have proven invaluable in the study of macroautophagy in yeast
and mammalian cells. Proteomic analysis of autolysosomes identified the metabolic
enzyme, betaine homocysteine methyltransferase (BHMT), as a potential cargo
based, end-point marker for mammalian macroautophagy. To test whether degradation
of BHMT can be used to measure macroautophagic flux in mammalian cells, we
created a BHMT fusion protein (GST-BHMT) that demonstrates starvation-induced,
site-specific fragmentation in a variety of cell lines. Subcellular fractionation
studies show that the GST-BHMT fragment co-fractionates with vesicles containing
lysosomal and autolysosomal markers. Furthermore, both pharmacological inhibitors
of macroautophagy and depletion of macroautophagy-specific proteins reduce
accumulation of the fragment. In the course of these studies, we observed that
fragmentation of GST-BHMT did not occur in forms of the reporter with truncation
or point mutations that destabilize oligomerization. Since stable oligomerization
of BHMT is essential for its catalytic activity, a point mutation known to ablate
BHMT activity was tested. We show that accumulation of the GST-BHMT fragment is
not impaired in a catalytically inactive mutant, indicating that selective
proteolysis of GST-BHMT requires stable quaternary structure independent of
effects on activity. Also, the loss of fragmentation observed in the
oligomerization deficient mutants does not seem to be due to a defect of
sequestration and lysosomal loading, suggesting that disruption of stable
quaternary structure affects the ability of a lysosomal protease to cleave the
newly-delivered cargo. Finally, we propose that the cargo-based GST-BHMT assay
will be a valuable addition to existing macroautophagy assays in mammalian cells.
PMID- 18059169
TI - Hypoxia induces autophagic cell death in apoptosis-competent cells through a
mechanism involving BNIP3.
AB - Hypoxia (lack of oxygen) is a physiological stress often associated with solid
tumors. Hypoxia correlates with poor prognosis since hypoxic regions within
tumors are considered apoptosisresistant. Autophagy (cellular "self digestion")
has been associated with hypoxia during cardiac ischemia and metabolic stress as
a survival mechanism. However, although autophagy is best characterized as a
survival response, it can also function as a mechanism of programmed cell death.
Our results show that autophagic cell death is induced by hypoxia in cancer cells
with intact apoptotic machinery. We have analyzed two glioma cell lines (U87,
U373), two breast cancer cell lines (MDA-MB-231, ZR75) and one embryonic cell
line (HEK293) for cell death response in hypoxia (<1% O(2)). Under normoxic
conditions, all five cell lines undergo etoposide-induced apoptosis whereas
hypoxia fails to induce these apoptotic responses. All five cell lines induce an
autophagic response and undergo cell death in hypoxia. Hypoxia-induced cell death
was reduced upon treatment with the autophagy inhibitor 3-methyladenine, but not
with the caspase inhibitor z-VAD-fmk. By knocking down the autophagy proteins
Beclin-1 or ATG5, hypoxia-induced cell death was also reduced. The pro-cell death
Bcl-2 family member BNIP3 (Bcl-2/adenovirus E1B 19kDainteracting protein 3) is
upregulated during hypoxia and is known to induce autophagy and cell death. We
found that BNIP3 overexpression induced autophagy, while expression of BNIP3
siRNA or a dominant-negative form of BNIP3 reduced hypoxia-induced autophagy.
Taken together, these results suggest that prolonged hypoxia induces autophagic
cell death in apoptosis-competent cells, through a mechanism involving BNIP3.
PMID- 18059172
TI - Oral administration of attenuated S. typhimurium carrying shRNA-expressing
vectors as a cancer therapeutic.
AB - RNAi has been successfully applied in genomic research, and it also holds
considerable promise as a therapeutic approach to suppress disease-causing gene
expression. Here, we show that attenuated S. typhimurium were capable of
delivering shRNA-expressing vectors to mammalian cells and inducing RNAi in vitro
and in vivo. Upon oral administration, S. typhimurium carrying shRNA-expressing
vectors targeting bcl2 induced significant gene silencing in murine melanoma
cells that led to a remarkably delayed tumor growth and prolonged survival in the
mouse model. These results suggest that bacteria mediated RNAi may be a new
potent approach to the treatment of cancers.
PMID- 18059171
TI - Viral evasion of autophagy.
AB - Autophagy is an evolutionarily ancient pathway for survival during different
forms of cellular stress, including infection with viruses and other
intracellular pathogens. Autophagy may protect against viral infection through
degradation of viral components (xenophagy), by promoting the survival or death
of infected cells, through delivery of Toll-like receptor (TLR) ligands to
endosomes to activate innate immunity, or by feeding antigens to MHC class II
compartments to activate adaptive immunity. Given this integral role of autophagy
in innate and adaptive antiviral immunity, selective pressure likely promoted the
emergence of escape mechanisms by pathogenic viruses. This review will briefly
summarize the current understanding of autophagy as an antiviral pathway, and
then discuss strategies that viruses may utilize to evade this host defense
mechanism.
PMID- 18059173
TI - hADA2a and hADA3 are required for acetylation, transcriptional activity and
proliferative effects of beta-catenin.
AB - Beta-catenin is the key transcriptional activator of the Wnt pathway important
for development and tissue homeostasis of multicellular organisms. Its
deregulation contributes to many human cancers. The beta-catenin transcriptional
activator complex continues to be defined, but already contains several proteins
with chromatin remodeling activity. Here we show that two members of histone
acetyltransferase complexes without enzymatic activity, hADA2a and hADA3, are
required for full activity of beta-catenin. hADA2a and hADA3 physically interact
with beta-catenin, and the interaction is mediated through Armadillo repeats 6
through 12 and the C-terminal transactivation domain of beta-catenin. Both hADA2a
and hADA3 reside with beta-catenin at the enhancer for the Wnt target gene c-Myc.
RNA interference-mediated reduction of hADA2a and hADA3 results in reduced beta
catenin acetylation, reduced activity in reporter gene assays and reduced
activation of endogenous beta-catenin target genes. Overall, loss of hADA2a and
hADA3 negatively impacts beta-catenin-mediated proliferation. Our studies
identify hADA2a and hADA3 as crucial cofactors of beta-catenin that are likely
involved in the assembly of transactivation-competent beta-catenin complexes at
Wnt target genes.
PMID- 18059174
TI - Biology of breast cancer bone metastasis.
AB - Breast carcinoma ranks among the most prevalent malignancies in women. Breast
carcinoma frequently metastasizes to bone and approximately 70% of patients with
breast cancer have bone metastases, which generally are osteolytic lesions. They
cause major morbidity and mortality in patients; and the available treatment
options are limited. Bone-specific homing and colonization of cancer cells are
important and interesting features of metastasis. There are complex and multiple
steps in the process of bone metastasis; and the elaborate interaction between
breast carcinoma and bone involves various cytokines, growth factors and cellular
signals, which results in a vicious cycle and promotes tumor cell accumulation
and osteolysis. Recent advances in molecular biology have resulted in major
breakthroughs in our understanding of the pathogenesis of bone metastasis in
breast cancer, which is critical in preventing metastasis, designing novel and
targeted treatments and prolonging survival in this devastating condition.
PMID- 18059175
TI - Development of Ad-mda7/IL-24-resistant lung cancer cell lines.
AB - Many cancers can become resistant to repeated administration of even the most
effective therapeutic agents. In developing adenoviral mda-7/IL-24 (Ad-mda-7/IL
24) therapy for lung cancer, we have anticipated this potential clinical problem
by attempting to identify the molecular mechanisms of Ad-mda7/IL-24 resistance in
several Ad-mda7/IL-24-resistant lung cancer cell lines that we have developed.
For the present study, we established four Admda7- resistant cell lines by
repeated selection of resistant clones of parental Ad-mda7-sensitive A549 cells:
two lines (A549R1 and A549R2) resistant to both adenoviral vector and the mda-7
gene and two (A549R3 and A549R4) resistant to the therapeutic mda-7 gene only. As
shown by western blot analysis of several known anti-apoptotic proteins, parental
A549 and resistant A549R3 cells expressed similar levels of AKT and
phosphorylated AKT (p-AKT), whereas resistant A549R3 and A549R4 cells expressed
higher levels of bcl-2 and lower levels of bcl-xL than did their parental cells.
As shown by flow-cytometric analysis, treating resistant A549R3 and A549R4 cells
with a combination of Ad-mda7 and 17-allyl-amino-17-demethoxygeldanamycin (17AAG)
(50 nM) for 48 hours enhanced apoptosis. Together, these in vitro findings
indicate that an antiapoptotic mechanism may underlie Ad-mda7 resistance and that
such resistance can be overcome by addition of 17AAG. Further investigations
along these lines are warranted.
PMID- 18059176
TI - Ciprofloxacin-induced G2 arrest and apoptosis in TK6 lymphoblastoid cells is not
dependent on DNA double-strand break formation.
AB - Drugs developed for the treatment of conditions other than neoplasia can also
show promise as potential antitumor agents. The fluoroquinolone antibiotic
ciprofloxacin (CPFX) is known to modulate cycle cell progression and apoptosis in
cancer cells, and is thought to induce DNA double-strand breaks (DSBs) via
topoisomerase II (topo II) inhibition and stabilized cleavage complex (SCC)
formation. DSBs trigger Ser-139 phosphorylation of histone H2AX (gammaH2AX) by PI
3-like kinases including ATM; gammaH2AX can serve as a marker of DNA damage when
measured in situ using immunocytochemistry and flow cytometry. The aim of the
present study was to investigate the relationship between CPFX-mediated DNA
damage and induction of apoptosis in human lymphoblastoid cells and
phytohaemagglutinin (PHA)-stimulated lymphocytes (Lymphs). Treatment of TK6 cells
(wild-type p53) with 100 microg/ml CPFX for 2-10 h produced no increase in
gammaH2AX; to the contrary, its level in S phase cells was reduced at 10 h
compared to controls. Nevertheless, stabilization of topo IIalpha, ATM Ser-1981
phosphorylation and G(2) arrest was observed in TK6 cells exposed to CPFX for >
or = 4 h. However, following 24 h treatment, gammaH2AX was dramatically increased
in a sub-population of cells indicating the onset of apoptosis (confirmed by
presence of activated caspase 3). CPFX had a similar lack of effect on induction
of gammaH2AX at early time points in WTK1 and NH32 cells (devoid of functional
p53) and proliferating Lymphs, however, induction of apoptosis was less
pronounced than in TK6 cells. Formation of SCC and activation of ATM (but lack of
gammaH2AX induction) indicates topo II-mediated chromatin or DNA changes in the
absence of DSBs; ATM activation apparently triggers the G(2)M checkpoint leading
to G(2) arrest. The subsequent induction of apoptosis appears to be facilitated
by functional p53. CPFX may therefore have a potential use as a chemotherapeutic
agent in the treatment of lymphoblast-derived cancer.
PMID- 18059177
TI - The effect of fatty acid-CoA ligase 4 on the growth of hepatic cancer cells.
AB - In this study, we detected the expression of FACL4 mRNA in 40 patients with
hepatic carcinoma and its adjacent normal tissues by semi-quantitative RT-PCR.
The changes of proliferation and apoptosis of hepatic cancer cell line HepG2 with
FACL4 protein expression were examined by MTT and flow cytometry respectively
after FACL4 selective inhibitor triacsin C treatment. The activity related to
apoptosis of proteinases, caspase-3, caspase-8 and caspase-9, were detected by
colorimetry. The expression related to apoptosis of protein, wt-p53, Bax and Bcl
2, in HepG2 cells were evaluated by S-P immunocytochemical dyeing. The results
were: (1) FACL4 mRNA was expressed in 95.0% of hepatic cancer tissue, while the
positive expression of FACL4 mRNA was 82.5% in cancer adjacent normal liver
tissues. Moreover, there was a statistically significant increased in quantity of
FACL4 mRNA in cancer tissues compared with adjacent normal liver tissues. (2) The
concentration of triacsin C (0.5-2 mg/L) could inhibit the proliferation and
induce the apoptosis of HepG2 cells significantly in a dose- and time-effect. (3)
During the apoptosis of HepG2 cells induced by triacsin C, flow cytometry coupled
with Rhodamine 123 dyeing showed that mitochondrial transmembrane potential of
HepG2 declined significantly, and the activity of caspase-9 and caspase-3
increased more remarkably than caspase-8. Besides, the increased apoptosis was
accompanied by increased Bax, and decreased wtp53 and Bcl-2 protein levels. The
present study suggested that FACL4 might play a role in the growth of hepatic
cancer cells. FACL4 selective inhibitor triacsin C leads to a marked growth
inhibition of human liver tumor cells, based on the inhibition of proliferation
and induction of apoptosis. The apoptotic process was mediated by intrinsic
mitochondrial apoptotic pathway due to activation of caspase-9 and caspase-3. The
increased apoptosis was accompanied by upregulation of Bax, and decreased wt-p53
and Bcl-2 protein level.
PMID- 18059178
TI - Circulating biomarkers of bevacizumab activity in patients with breast cancer.
AB - PURPOSE: Noninvasive markers of anti-vascular endothelial growth factor (VEGF)
therapy are needed. Soluble vascular cell adhesion molecule (sVCAM-1), soluble
VEGF receptor-2 (sVEGFR-2), and plasma VEGF levels were assessed as potential
biomarkers of therapy with bevacizumab. Tumor samples were evaluated for VEGFR-2
mutations before and after bevacizumab. EXPERIMENTAL DESIGN: Twenty-one patients
with breast cancer underwent neoadjuvant treatment with bevacizumab for 1 cycle
followed by 6 cycles of bevacizumab, chemotherapy, and filgrastim. Peripheral
blood samples were collected at baseline, post cycles 1, 4 and 7. sVCAM-1, VEGF
and sVEGFR-2 levels were measured by enzyme-linked immunosorbent assay (ELISA).
Exons 17-26 of VEGFR-2 were sequenced on tissue samples from 20 patients at
baseline and post cycle 1 to evaluate for tumor mutations. RESULTS: From baseline
to post cycle 1, sVCAM-1 and sVEGFR-2 values increased by a median of 180.5 ng/ml
(p < 0.0001) and 1927 ng/ml respectively (p = 0.0003). Baseline VEGF, sVEGFR-2,
and sVCAM-1 levels nor changes in sVEGFR-2 and sVCAM-1 levels were associated
with clinical response. Median baseline sVEGFR-2 levels were 11322 ng/ml and 7524
ng/ml in patients with (n = 5) and without (n = 6) wound healing problems
respectively, (p = 0.052). In 40 samples where tumor VEGFR-2 sequencing was
obtained, no mutations were seen compared to the reference sequence. CONCLUSIONS:
sVCAM-1 and sVEGFR-2 values increased significantly after treatment with
bevacizumab, possibly due to compensatory mechanisms secondary to VEGF
inhibition. sVEGFR-2 levels were somewhat higher in patients with wound healing
problems and may potentially predict patients at higher risk of this
complication. There were no tumor VEGFR-2 mutations.
PMID- 18059179
TI - Allele-specific expression in the germline of patients with familial pancreatic
cancer: an unbiased approach to cancer gene discovery.
AB - Physiologic allele-specific expression (ASE) in germline tissues occurs during
random X-chromosome inactivation and in genomic imprinting, wherein the two
alleles of a gene in a heterozygous individual are not expressed equally. Recent
studies have confirmed the existence of ASE in apparently non-imprinted autosomal
genes; however, the extent of ASE in the human genome is unknown. We explored ASE
in lymphoblastoid cell lines of 145 individuals using an oligonucleotide array
based assay. ASE of autosomal genes was found to be a very common phenomenon in
approximately 20% of heterozygotes at 78% of SNPs at 84% of the genes examined.
Comparison of 100 affected individuals from familial pancreatic cancer kindreds
and 45 controls revealed three types of changes in the germline: (a) loss of ASE,
(b) gain of ASE, and, (c) rare instances of "extreme" (near monoallelic) ASE. The
latter changes identified heterozygous deleterious mutations in a subset of these
genes. Consequently, an ASE assay efficiently identifies candidate disease genes
with altered germline expression properties as compared to controls, and provides
insights into mechanisms that confer an inherited disease risk for pancreatic
cancer.
PMID- 18059180
TI - Molecular basis of pathogenesis, prognosis and therapy in chronic lymphocytic
leukaemia.
AB - B-cell chronic lymphocytic leukemia (CLL) is caused by the abnormal accumulation
of non-functional B-cells in peripheral blood and bone marrow. However, the
precise aetiology and mechanism of the disease are unclear. Recently, progress
has been made in the identification of both the genetic deficiencies and
environmental factors that may underlie CLL. This has provided some clues to the
nature of the disease, but no definitive cures. Although treatment has increased
remission time, at present the disease is not curable by conventional therapy.
Further studies of the pathogenesis of CLL are needed, as are the development of
suitable cell lines and animal models in which to study it. This review
summarises the most recent progress in CLL with emphasis on molecular events and
possible implications in therapy.
PMID- 18059181
TI - Modulation of death receptors by cancer therapeutic agents.
AB - Death receptors are important modulators of the extrinsic apoptotic pathway.
Activating certain death receptors such as death receptors for tumor necrosis
factor-related apoptosis-inducing ligand (TRAIL) (i.e., DR4 and DR5) selectively
kills cancer cells via induction of apoptosis while sparing normal cells. Thus,
soluble recombinant TRAIL and agonistic antibodies to DR4 or DR5 have progressed
to phase I and phase II clinical trials. Many cancer therapeutic drugs including
chemotherapeutic agents have been shown to induce the expression or
redistribution at the cell surface of death receptors including TRAIL death
receptors. In addition, chemotherapeutic agents have also been shown to enhance
induction of apoptosis by TRAIL or agonistic antibodies or overcome cell
resistance to TRAIL or agonistic antibodies. Targeted induction of apoptosis by
activation of the death receptor-mediated extrinsic apoptotic pathway should be
an ideal therapeutic strategy to eliminate cancer cells. Therefore, death
receptors, particularly TRAIL death receptors, have emerged as an important
cancer therapeutic target. This article will focus on reviewing and discussing
the modulation of death receptors by cancer therapeutic agents and its
implications in cancer therapy.
PMID- 18059182
TI - Protein phosphatase 1H, overexpressed in colon adenocarcinoma, is associated with
CSE1L.
AB - In search for a new anticancer drug target, we explored genes involved in colon
adenocarcinoma development through dysregulation of a signal transduction
pathway. By using the gene expression profile database, we found protein
phosphatase 1H (PPM1H), belonging to the protein phosphatase 2C (PP2C) family,
upregulated in colon adenocarcinomas compared with normal colon tissues. RT-PCR
analysis verified the elevated level of PPM1H expression in colon cancer cell
lines relative to a normal colon cell line. PPM1H encodes a protein with a
molecular mass of approximately 50 kDa that resides in the cytoplasm. PPM1H fused
with maltose-binding protein expressed in E. coli exhibited phosphatase activity
characteristic of the PP2C family. Co-immunoprecipitation coupled with mass
spectrometry analysis identified CSE1L, a proliferation and apoptosis-related
protein, as a PPM1H-interacting protein. Native, but not inactive, PPM1H
expressed in HeLa cells increased the mobility of CSE1L on SDS gels and a similar
mobility shift was observed for purified CSE1L after treatment with PPM1H in
vitro, supporting the notion that CSE1L is a substrate of PPM1H. Dominant
negative PPM1H protected HeLa cells from cell death triggered by staurosporine or
taxol. Additionally, knockdown of PPM1H expression with small interfering RNAs
suppressed the growth of MCF-7 cells weakly but consistently. PPM1H controls cell
cycle and proliferation of cancer cells potentially through dephosphorylation of
CSE1L and might be a new target of anticancer drugs.
PMID- 18059183
TI - hTERT-targeted RNA interference inhibits tumorigenicity and motility of HCT116
cells.
AB - Telomerase is proposed as an anticancer target. Increasing evidence suggests that
telomerase is involved in functions independent of telomere-extension activity.
In this study, we designed a small interfering RNA (siRNA) targeting human
telomerase reverse transcriptase (hTERT), the catalytic subunit of telomerase.
Using transient and persistent transfection of hTERT siRNA into telomerase
positive human colon carcinoma HCT116 cells, we demonstrated that hTERT siRNA
suppresses hTERT expression and leads to inhibition of telomerase activity and
HCT116 cell growth and in vivo tumorigenicity in nude mice. Further analysis
indicated that depletion of hTERT reduces cell adhesion, migration, and invasion
prior to inhibition of cell proliferation. Downregulation of hTERT also decreased
the expression levels of adhesion- and motility-related proteins, in particular c
Met and integrins. The lack of detectable changes in telomere length showed that
downregulation of hTERT in the present system had no significant effect on
telomere-extension activity. Taken together, our results highlight the
therapeutic potential of a novel hTERT siRNA and suggest that hTERT is involved
in the regulation of cell motility in a telomere-independent manner.
PMID- 18059184
TI - Cytokine polymorphisms and gastric cancer risk: an evolving view.
AB - More than 20 years after the identification of Helicobacter pylori as a human
pathogen, gastric cancer continues to be a leading cause of cancer deaths
worldwide. Genetic association studies have the potential for helping to identify
those at greatest risk for developing gastric cancer subsequent to infection by
H. pylori. IL1B promoter polymorphisms have been supported by several meta
analyses as being associated with gastric cancer risk. In this review, we discuss
challenges in experimental design of gene association studies in gastric cancer,
with attention to gene-environment interactions that may lead to inconsistency in
findings across populations.
PMID- 18059185
TI - Response and determinants of cancer cell susceptibility to PI3K inhibitors:
combined targeting of PI3K and Mek1 as an effective anticancer strategy.
AB - While small molecule inhibitors of the phosphatidylinositide-3-kinase (PI3K) are
expected to impact the development of new cancer therapy, the tumor types and
underlying cellular pathways determining inhibitor response remain poorly
defined. In this report, we have studied anti-proliferative effects of the PI3K
inhibitors WAY-266176 and WAY-266175 in a panel of histologically diverse cancer
cells. Inactivation of PI3K caused potent growth suppression in some cells
(MDA468, BT549, MDA361, MCF7, LNCap, PC3MM2) but minimal suppression in others
(MDA231, MDA435, DU145, HCT116, A549), which correlated with a differential down
regulation of cyclin D1, c-Myc, and induction of apoptosis. A heightened
PI3K/AKT/mTOR signaling was linked to the sensitive phenotype but did not
generally predict inhibitor response. Interestingly, the resistant cells all
displayed an elevated phospho-ERK that remained elevated after serum deprivation.
In HCT116 cells, activation mutations in the PI3K catalytic subunit PIK3CA and Ki
Ras correlated with a resistant phenotype, which was partially sensitized by
homologous replacement with the wild-type Ki-Ras but not by deletion of cellular
PTEN. Depletion of Mek1 via siRNA in resistant cells enhanced PI3K inhibitor
induced growth suppression. Moreover, a profoundly augmented growth suppression
and apoptosis were achieved in resistant cells by combination treatment with WAY
266176/WAY-266175 and Mek1 kinase inhibitor CI-1040 or UO126. The combination
therapy efficiently inhibited mitogenic signaling and reduced expression of
cyclin D1 and c-Myc. Our results identify deregulation of the Ras/Raf/Mek/ERK
pathway as a dominant determinant in cancer cell resistance to PI3K inhibitors
and highlight combined targeting of PI3K and Mek1 as an effective anticancer
strategy.
PMID- 18059186
TI - Silencing of Wnt-1 by siRNA induces apoptosis of MCF-7 human breast cancer cells.
AB - OBJECTIVE: Wnt family of secreted-type glycoproteins plays key role in
carcinogenesis and embryogenesis. Signals of Wnts are transduced through seven
transmembrane-type Wnt receptors encoded by Frizzled (Fzd) genes to the beta
catenin-Tcf pathway, the c-Jun-N-terminal kinase (JNK) pathway or the Ca(2+)
releasing pathway. Aberrant activation of the Wnt/beta-catenin signaling pathway
is associated with a variety of human cancers. In human breast cancer, evidence
of beta-catenin accumulation implies that the canonical Wnt signaling pathway is
active in over 50% of carcinomas. RESULTS: We found that in breast cancer cells
overexpressing Wnt-1 siRNA anti-Wnt-1 induced apoptosis and caused changes in
downstream proteins levels. Among treated cells there were 71% apoptotic cells in
comparison to cells treated with scrambled siRNA (6%) and control cells (6%)
after 48 h (p < 0.01). METHODS: To examine if Wnt-1 signal is essential for
cancer cell survival, we investigated the effect of Wnt-1 gene silencing in
triggering of apoptosis in MCF-7 breast cancer cell line. Light microscopy,
viability/cytotoxicity tests, flow cytometry, real-time PCR and western blotting
were used for evaluation of the morphological features of cell death, percentage
of apoptotic cells, Wnt-1 mRNA and protein level. CONCLUSION: Our results
significantly indicate that anti-Wnt-1 siRNA inhibits Wnt-1 signaling, inducing
apoptosis in human breast cancer MCF-7 cells and thus may serve as a potential
anti-cancer drug.
PMID- 18059188
TI - Chimeric toxins inhibit growth of primary oral squamous cell carcinoma cells.
AB - Treatment of oral squamous cell carcinoma (OSCC) is currently based on surgery
and radiotherapy. Prolongation of the survival time of patients with progressing
tumors is infrequently achieved. To improve the therapeutic options, targeted
therapies are a favorable alternative. Therefore, we analyzed the effect of a
chimeric toxin (CT) named SE consisting of the epidermal growth factor and the
plant protein toxin saporin from Saponaria officinalis. A second construct (SA2E)
additionally contains a peptidic adapter designed to enhance efficacy of the CT
in vivo and to reduce side effects. The IC(50) values for an OSCC cell line (BHY)
were 0.27 nM and 0.73 nM for SE and SA2E, respectively, while fibroblasts
remained unaffected. To investigate primary tumor cells, we developed a technique
to analyze freshly prepared OSCC cells of 28 patients in a stem cell assay
directly after surgery. Cells were treated for 1 h with the CTs, subsequently
seeded into soft agar and colony growth determined after 1-2 weeks In spite of
the short time of CT incubation, the amount of colonies was reduced to about 78%
by 10 nM and to 69% by 100 nM of either toxin. A combined application of 10 nM
SA2E with a saponin from Gypsophila paniculata reduced the amount of surviving
cells to 68%. The results demonstrate the impact of the CTs on OSCC cells and
depict that the stem cell assay is suitable to determine the potential of anti
tumor drugs before studies in vivo will be initiated.
PMID- 18059187
TI - Application of doxorubicin-induced rAAV2-p53 gene delivery in combined
chemotherapy and gene therapy for hepatocellular carcinoma.
AB - p53 gene transfer has been proposed as a potential therapeutic option for
treatment of hepatocellular carcinoma (HCC). Compared to other commonly used gene
transfer vectors such as adenovirus and retrovirus, recombinant adeno-associated
virus serotype 2 (rAAV2) has shown promising results in human clinical trials.
Significant enhancement in the gene transfer efficiency is needed, however, for
HCC applications. In the present study, we applied chemotherapy drug Doxorubicin
(DOX) to induce rAAV2 transduction of hepatomas. Using reporter assays, we showed
that the DOX-treated hepatomas became more susceptible to rAAV2 infection in
comparison to untreated controls: the permissiveness increased >350-fold and >120
fold for HepG2 (p53 wild-type) and Hep3B (p53 null) hepatomas, respectively.
Using the induced permissiveness, we applied rAAV2-p53 transduction to restore
p53 expression in the p53-null Hep3B hepatomas. Compared to rAAV2-p53
transduction alone, rAAV2-p53 transduction with DOX resulted in a >16-fold
induction of p53 expression. The transduced Hep3B expressed as much as 380% more
immunoreactive p53 in comparison to the wild-type p53 expression in the HepG2
hepatomas. Significantly, when Hep3B cells were treated with 0.5 muM of DOX and
rAAV2-p53 (MOI = 10) for twelve hours, the cell viability dropped to 66% four
days after the administration. This decrease in cell viability was similar to
that of treatment with 1 microM of DOX alone in the absence of rAAV2. The 50%
reduction in DOX administration--from 1 microM to 0.5 microM--revealed the
antitumor property of the rAAV2-p53 transduction as well as the joint
cytotoxicity of DOX and rAAV2-p53 against the p53-null hepatomas. We conclude
that DOX mediates the enhancement effect on rAAV2 transduction of human
hepatomas. Combined DOX and rAAV2-p53 administration may facilitate more
efficient treatment for the HCC caused by p53 mutations.
PMID- 18059189
TI - Cl-IB-MECA inhibits human thyroid cancer cell proliferation independently of A3
adenosine receptor activation.
AB - A3 adenosine receptor (A3AR) agonists have been reported to modulate cellular
proliferation. This work was aimed to investigate the expression and the possible
implication of A3AR in the human thyroid carcinomas. Normal thyroid tissue
samples did not express A3 adenosine receptor, while primary thyroid cancer
tissues expressed high level of A3AR, as determined by immunohistochemistry
analysis. In human papillary thyroid carcinoma cell line, NPA, at concentrations
> or =10 microM, the A3AR-selective agonist 2-chloro-N(6)-(3-iodobenzyl)adenosine
5'-N-methylcarboxamide (Cl-IB-MECA) produced inhibition of cell growth, by
blocking the G(1) cell cycle phase in a concentration- and time-dependent manner.
This effect was well correlated with a reduction of protein expression of cyclins
D1 and E2 after 24 hours of Cl-IB-MECA treatment. Moreover Cl-IB-MECA induced
dephosphorylation of ERK1/2 in a time- and concentration-dependent manner, which
in turn inhibits cell proliferation. The effect of Cl-IB-MECA was not prevented
by A3AR antagonists, MRS1191 or MRS1523 or FA385. Furthermore, neither nucleoside
transporter inhibitors, Dypiridamole and NBTI, nor the A1, A2A and A2B receptors
antagonists were able to block the response to Cl-IB-MECA. Although Cl-IB-MECA
has been shown to influence cell death and survival in other systems through an
A3AR-mediated mechanism, in NPA cells the growth inhibition induced by micromolar
concentrations of Cl-IB-MECA is not related to A3AR activation and hence that its
effects on human papillary carcinoma cell line seem to be independent of the
presence of this receptor subtype.
PMID- 18059190
TI - Lyprinol only partially improves indicators of small intestinal integrity in a
rat model of 5-fluorouracil-induced mucositis.
AB - BACKGROUND: Intestinal mucositis is a common and debilitating side-effect of
chemotherapy, associated with severe small intestinal inflammation. Marine oils,
such as Lyprinol, a lipid extract derived from New Zealand Green-lipped Mussels,
rich in long-chain omega-3 polyunsaturated fatty acids (n-3 PUFA), have
demonstrated therapeutic potential for the treatment of inflammatory conditions.
We assessed the effects of Lyprinol on the severity of 5-fluorouracil (5-FU)
induced mucositis in female Dark Agouti rats. RESULTS: Small intestinal weight
was significantly greater in rats treated with 5-FU+HDL, 5-FU+LDL and 5-FU+FO
compared to 5-FU-treated controls (p < 0.05). Myeloperoxidase activity in the
proximal and mid small intestine were significantly lower in 5-FU+OO-treated rats
compared to 5-FU+vehicle-treated controls (p < 0.05). Histological damage
severity was elevated in 5-FU+vehicle, 5-FU+OO and 5-FU+FO-treated rats compared
to saline-treated controls, but not in rats treated with 5-FU+HDL or 5-FU+LDL.
SBT results and biochemically-assessed sucrase activity were lower in all 5-FU
treated rats compared to saline-treated controls. 5-FU+HDL treated animals had
significantly longer crypts and increased proliferation in the mid small
intestine compared to 5-FU+vehicle rats (p < 0.05). CONCLUSION: Lyprinol
treatment in rats with 5-FU-induced mucositis only minimally decreased indicators
of intestinal integrity. Further studies of marine oils high in omega-3 PUFA
content are warranted for the potential prophylactic treatment of intestinal
mucositis. METHODS: Rats were allocated to six groups (n = 8/group);
Saline+vehicle, 5-FU+vehicle, 5-FU+high-dose Lyprinol (5-FU+HDL), 5-FU+low-dose
Lyprinol (5-FU+LDL), 5-FU+olive oil (5-FU+OO), and 5-FU+fish oil (5-FU+FO).
Treatments were administered via oro-gastric gavage from days 0-7. Mucositis was
induced on day 5 by 5-FU injection (150mg/kg i.p.). (13)C-sucrose breath tests
(SBT) were conducted on days 0, 5 and 8 to assess small intestinal function. Rats
were sacrificed on day 8 and small intestinal tissues collected for histological
and biochemical analysis.
PMID- 18059191
TI - miR-210 links hypoxia with cell cycle regulation and is deleted in human
epithelial ovarian cancer.
AB - Tumor growth results in hypoxia. Understanding the mechanisms of gene expression
reprogramming under hypoxia may provide important clues to cancer pathogenesis.
We studied miRNA genes that are regulated by hypoxia in ovarian cancer cell lines
by TaqMan miRNA assay containing 157 mature miRNAs. MiR-210 was the most
prominent miRNA consistently stimulated under hypoxic conditions. We provide
evidence for the involvement of the HIF signaling pathway in miR-210 regulation.
Biocomputational analysis and in vitro assays demonstrated that e2f transcription
factor 3 (e2f3), a key protein in cell cycle, is regulated by miR-210. E2F3 was
further confirmed to be downregulated at the protein level upon induction of miR
210. Importantly, we found remarkably high frequency of miR-210 gene copy
deletions in ovarian cancer patients (64%, n = 114) and that gene copy number
correlates with miR-210 expression levels. Taken together, our results indicate
that miR-210 plays a crucial role in tumor onset as a key regulator of the
hypoxia response and provide evidence for a link between hypoxia and the
regulation of cell cycle.
PMID- 18059192
TI - The growth inhibitory effect of mesenchymal stem cells on tumor cells in vitro
and in vivo.
AB - Mesenchymal stem cells (MSCs) play an important role in the development and
growth of tumor cells. The purpose of this study is to confirm the effect of MSCs
on tumor cell growth in vitro and in vivo and to elucidate the mechanism. MSCs
were isolated from mouse bone marrow and cocultured with murine hepatoma H22,
lymphoma (YAC-1 and EL-4) and rat insulinoma INS-1 cell lines. The growth
inhibitory effect of MSCs on tumor cells was tested through MTT and 3H-TdR
incorporation assay. The apoptosis induction effect of MSCs on tumor cells was
assessed with flow cytometry (FCM) and RT-PCR assay. MSCs were inoculated into
BALB/c mice alone or coinoculated with ascitogenous hepatoma cells
intraperitonealy, respectively. The tumor growth inhibition of MSCs was
investigaed through the incidence and volume of ascites formation, and the
immunosuppression effect was studied with splenocyte response to ConA stimulation
test and T cell subsets analysis (FCM). The results showed that MSCs exhibited a
number-dependent growth inhibitory effect on murine tumor cell lines in vitro and
inhibited the growth of ascitogenous hepatoma cells in vivo without host
immunosuppression. MSCs could upregulate tumor cells mRNA expression of cell
cycle negative regulator p21 and apoptosis associated protease caspase 3. The
findings of this experimental study demonstrated that MSCs had potential
inhibitory effects on tumor cell growth in vitro and in vivo without host
immunosuppression, by inducing apoptotic cell death and G(0)/G(1) phase arrest of
cancer cells.
PMID- 18059194
TI - Sensory and regulatory RNAs in prokaryotes: a new German research focus.
PMID- 18059193
TI - Mechanisms of Cables 1 gene inactivation in human ovarian cancer development.
AB - Cables 1, a cyclin-dependent kinase binding protein, is primarily involved in
cell cycle regulation. Loss of nuclear Cables 1 expression is observed in human
colon, lung and endometrial cancers. We previously reported that loss of nuclear
Cables 1 expression was also observed with high frequency in a limited sample set
of human ovarian carcinomas, although the mechanisms underlying loss of nuclear
Cables 1 expression remained unknown. Our present objective was to examine Cables
1 expression in ovarian cancer in greater detail, and determine the predominant
mechanisms of Cables 1 loss. We assessed potential genetic and epigenetic
modifications of the Cables 1 locus through analyses of mutation, polymorphisms,
loss of heterozygosity and DNA methylation. We observed a marked loss of nuclear
Cables 1 expression in serous and endometrioid ovarian carcinomas that correlated
with decreased Cables 1 mRNA levels. Although we detected no Cables 1 mutations,
there was evidence of LOH at the Cables 1 locus and epigenetic modification of
the Cables 1 promoter region in a subset of ovarian carcinomas and established
cancer cell lines. From a functional perspective, over-expression of Cables 1
induced apoptosis, whereas, knockdown of Cables 1 negated this effect. Together
these findings suggest that multiple mechanisms underlie the loss of Cables 1
expression in ovarian cancer cells, supporting the hypothesis that Cables 1 is a
tumor suppressor in human ovarian cancer.
PMID- 18059195
TI - Nurses' knowledge of heart failure self-management.
AB - Heart failure (HF) is increasing in prevalence. Patient education is essential
and is included in both ambulatory and hospital performance measures used to
ensure quality care. Nurses are often the primary providers of education to
patients with HF. This study assessed nurses' knowledge of basic principles of HF
self-management. The study surveyed 49 nurses who regularly provided care to
patients with HF at a hospital in the southeastern United States. A 20-item,
true/false survey was administered to participants. Mean HF self-management
knowledge score was 15.97 (79.85% correct). Consistent with previous studies,
nurses scored lowest on knowledge related to transient dizziness (16.3% answered
correctly), daily weight monitoring (36.2% answered correctly), and asymptomatic
hypotension (58.3% answered correctly). Findings confirm previous work suggesting
that nurses may not be adequately prepared to educate patients with HF about self
management.
PMID- 18059196
TI - Impact of the implementation of telemanagement on a disease management program in
an elderly heart failure cohort.
AB - The purpose of the present investigation is to examine the impact of a
telemanagement component on an outpatient disease management program in patients
with heart failure (HF). A total of 282 patients in whom HF was diagnosed and who
were enrolled in an outpatient HF program were included in this analysis. One
hundred fifty-eight patients additionally participated in a self-directed
telemanagement component. The remaining 124 patients received care at an HF
clinic but declined telemanagement. During the 7-month tracking period, 19
patients in the HF clinic plus telemanagement group and 53 patients in the HF
clinic only group were hospitalized for cardiac reasons (log rank, 36.0; P<.001).
The HF clinic only group had a significantly higher risk for hospitalization
(hazard ratio, 4.0; 95% confidence interval, 2.4-6.7; P<.001). The results of the
present study indicate that telemanagement is an important component of a disease
management program in patients with HF.
PMID- 18059197
TI - Readiness to exercise: a comparison of 3 instruments and an interview.
AB - Exercise stage of change (ESOC), or readiness to exercise, has been measured
using at least 13 instruments and 4 interviews, yet no comparison studies are
available to determine optimal measures for use by health care providers. This
pilot study compares ESOC classification between 3 instruments (scale-ladder,
scale-true/false, and scale-5 choice); explores the feasibility of using a face
to-face structured interview; compares classification between instruments and
interview; and examines the influence of sex, age, and education level on stage
classification. Thirty healthy adults completed ESOC instruments in random order
and then the interview. Scale-ladder and scale-true/false instruments exhibited
almost perfect agreement (weighted kappa, 0.897). All instruments exhibited
substantial agreement with interview (weighted kappa, 0.620-0.790). Stage
classification did not differ significantly by sex, age, or education level. The
authors recommend word clarification revision of the scale-5 choice instrument
and further testing of the interview.
PMID- 18059198
TI - Can intensive statin therapy halt the progression of atherosclerosis? Recent
evidence and potential implications for patient management.
AB - A number of studies using various imaging techniques have demonstrated that
intensive lipid lowering with statins can halt or delay the progression of
atherosclerosis and even, in some cases, lead to plaque regression. Improvements
in atheroma burden with intensive statin therapy appear to be related not just to
decreasing low-density lipoprotein cholesterol but also to anti-inflammatory and
antiproliferative effects. Clinical trial results also suggest that achieving low
density lipoprotein cholesterol levels even lower than those currently
recommended can produce improved clinical outcomes across a range of patient
types. Given this body of evidence, it appears appropriate to use intensive
statin therapy to treat dyslipidemic patients at high risk for coronary heart
disease.
PMID- 18059199
TI - Current understanding of peripartum cardiomyopathy.
PMID- 18059200
TI - The European Council on Cardiovascular Nursing and Allied Professions: toward
promoting excellence in cardiovascular care.
PMID- 18059201
TI - Dronedarone: in quest of the ideal antiarrhythmic drug.
PMID- 18059202
TI - Why do some patients have a delta wave?
PMID- 18059203
TI - New trends in insulin resistance: the role of mineralocorticoids.
PMID- 18059204
TI - The cardiometabolic syndrome and cardiovascular disease in racial and ethnic
minorities: new areas of research and intervention.
PMID- 18059205
TI - Avoiding the looming Latino/Hispanic cardiovascular health crisis: a call to
action.
AB - Cardiovascular disease (CVD) is the leading cause of death among the largest and
fastest growing ethnic minority in the United States, Latinos/Hispanics. To
review recent findings on the prevalence of CVD, CVD risk factors, and related
illnesses in the US Latino/Hispanic population, an extensive PubMed and Internet
literature search for studies published from January 1995 to July 2005 was
conducted, using a combination of search terms. Data validity was assessed based
on the quality of the source and a consensus of the authors on perceived
validity. The review found limitations in current research as well as treatment
methods and options for Latino/Hispanic persons at risk for developing CVD and
related illnesses. Because of these limitations and the large public health
concern, additional research is required to fully determine the best predictors
of CVD and diabetes in Latino/Hispanic patients. A combined effort of health
influencing and health-governing bodies is needed on all levels to address the
CVD problem in the Latino/Hispanic population.
PMID- 18059207
TI - Cardiovascular disease disparities in native Hawaiians.
AB - Although Hawaii boasts relatively low rates of death from heart disease and
stroke, past data suggest that Native Hawaiians bear a disproportionate burden of
mortality from cardiovascular disease (CVD). This study examined 2005-2006 data
on CVD mortality and risk factors to see if disparities experienced historically
by Native Hawaiians have continued, increased, or been reduced. Existing data
were reviewed related to CVD and risk factors for Native Hawaiians in Hawaii and
compared with statewide and US rates. These data show that Native Hawaiians are
experiencing continuing and perhaps growing disparities in CVD mortality rates
and risk factor prevalence. Nevertheless, increased support for enlightened
social policy and community-directed problem solving can help reduce CVD health
disparities experienced by Native Hawaiians. Future research should be directed
at better delineation of the various components of cardiometabolic risk and
culturally sensitive, and educationally appropriate approaches to CVD risk
reduction.
PMID- 18059206
TI - Fatty acid consumption and metabolic syndrome components: the GOCADAN study.
AB - Fatty acids (FAs) have been related to changes in glucose and lipid metabolism.
In this article, the authors assess the association between intake of specific
FAs and components of the metabolic syndrome (MS) in adult Eskimos. A total of
691 Inupiat Eskimos (325 men and 366 women), aged 34 to 75 years, were examined
as part of the Genetics of Coronary Artery Disease in Alaska Natives (GOCADAN)
study. The investigation included a physical examination, blood pressure
measurements, blood sampling under fasting conditions, 2-hour oral glucose
tolerance test, and a personal interview including a validated food frequency
questionnaire. Components of MS were defined according to the Third Report of the
National Cholesterol Education Program Adult Treatment Panel criteria.
Consumption of individual FAs showed associations with MS components. Long-chain
omega-3 FAs, from fish and sea mammals, were associated with lower blood
pressure, serum triglycerides, and 2-hour glucose and higher high-density
lipoprotein cholesterol, fasting insulin, and homeostasis model assessment.
Saturated fat consumption was associated with higher triglyceride levels and
blood pressure. Trans-FA consumption was associated with higher blood pressure.
Consumption of long-chain omega-3 FAs from marine sources may improve certain MS
components, and thus may reduce risk for cardiovascular disease. High consumption
of saturated FAs and trans-FAs may have an adverse effect on MS.
PMID- 18059208
TI - Androgen excess is associated with insulin resistance and the development of
diabetes in African American women.
AB - This study investigated the role of relative androgen excess, designated by low
sex hormone-binding globulin (SHBG), on development of type 2 diabetes in
premenopausal African American women. A prospective, longitudinal study was
conducted on premenopausal African American women, initially aged 36 to 43 years
(n=119). Patients were reexamined 8 years later to determine whether initial
androgen status was associated with insulin resistance and development of
diabetes. Among patients in the low SHBG tertile, 18% converted to type 2
diabetes by the second examination, as compared with 5% in the mid SHBG tertile
and 2.5% in the high SHBG tertile (P=.04). Insulin sensitivity was significantly
different among the 3 tertiles (P<.01). There was no significant difference in
total cholesterol, high-density lipoprotein, low-density lipoprotein, or
triglycerides among the SHBG tertiles. This prospective study demonstrates that
relative androgen excess is associated with insulin resistance and increases the
risk for development of diabetes in premenopausal African American women.
PMID- 18059209
TI - The cardiometabolic syndrome in persons of the African diaspora: challenges and
opportunities.
AB - Unique genetic traits appear to play a role in the increased rates of
hypertension (HTN), glucose dysregulation/diabetes (T2DM), and obesity in persons
of African descent. Indeed, with increasing rates of westernization/urbanization
and concomitant increases in obesity and T2DM, a similar predisposition to the
cardiometabolic syndrome and cardiovascular disease (CVD) can be seen in Africans
compared with persons of African descent, with CVD reaching epidemic proportions
in many areas of Africa. In addition, the complex relationships of metabolic
abnormalities that are unique to individuals of African descent have also been
demonstrated in Africans. These include: (1) a dissociation of HTN to insulin
resistance; (2) relative favorable lipid profile in the setting of increasing
rates of CVD; (3) low levels of visceral adiposity in the setting of obesity and
insulin resistance; and (4) a dissociation of insulin sensitivity and adiponectin
when compared with Caucasians. Although not well understood, these unique
relationships suggest that conventional parameters for CVD do not apply to
Africans of persons of African descent.
PMID- 18059210
TI - The metabolic syndrome and dyslipidemia among Asian Indians: a population with
high rates of diabetes and premature coronary artery disease.
AB - South Asians have high rates of diabetes and the highest rates of premature
coronary artery disease in the world, both occurring about 10 years earlier than
in other populations. The metabolic syndrome (MS), which appears to be the
antecedent or "common soil" for both of these conditions, is also common among
South Asians. Because South Asians develop metabolic abnormalities at a lower
body mass index and waist circumference than other groups, conventional criteria
underestimate the prevalence of MS by 25% to 50%. The proposed South Asian
Modified National Cholesterol Education Program criteria that use abdominal
obesity as an optional component and the South Asian-specific waist circumference
recommended by the International Diabetes Federation appear to be more
appropriate in this population. Furthermore, Asian Indians have at least double
the risk of coronary artery disease than that of whites, even when adjusted for
the presence of diabetes and MS. This increased risk appears to be due to South
Asian dyslipidemia, which is characterized by high serum levels of apolipoprotein
B, lipoprotein (a), and triglycerides and low levels of apolipoprotein A1 and
high-density lipoprotein (HDL) cholesterol. In addition, the HDL particles are
small, dense, and dysfunctional. MS needs to be recognized as a looming danger to
South Asians and treated with aggressive lifestyle modifications beginning in
childhood and at a lower threshold than in other populations.
PMID- 18059211
TI - The metabolic syndrome in East Asians.
AB - The metabolic syndrome is an emerging epidemic in developing nations, including
East Asian countries such as China, Japan, and Korea. Studies examining the
metabolic syndrome have used different definitions, with prevalence often highly
dependent on the cut points for abdominal obesity utilized, which have been
recommended by the International Diabetes Federation to be lower than standards
used in Caucasians and other ethnic groups. Prevalence rates have generally
varied from 8% to 13% in men and 2% to 18% in women, depending on ethnic group
and definition used, and are consistently lower than most Western Caucasian
populations. While recent dramatic changes in lifestyle from Westernization of
dietary habits and reduced physical activity present challenges, an emphasis on
healthful lifestyle changes remains the cornerstone for metabolic syndrome
management. In addition, clinical management focusing on control of obesity,
elevated blood pressure, dyslipidemia, and hyperglycemia, with consideration of
pharmacologic therapy issues unique to certain Asian populations, remains
important for reducing associated cardiometabolic risks.
PMID- 18059212
TI - The metabolic syndrome in American Indians: the strong heart study.
AB - Although the underlying cause of the metabolic syndrome (MS) is not entirely
clear, it is thought that MS results from central obesity and insulin resistance
(IR). IR has long been known to be a predictor of type 2 diabetes in many
populations, including American Indians, the group with a rising prevalence of
obesity and the highest rate of diabetes in the United States. In addition to
being a predictor of diabetes, MS has now been shown to be associated with higher
risk of cardiovascular disease, independent of diabetes, in American Indians as
well as in other ethnic groups. Furthermore, MS may carry a risk beyond that of
single risk factors. Identifying MS in American Indians and treating the factors
that comprise it may reduce risk of both diabetes and cardiovascular disease in
this population.
PMID- 18059213
TI - Hypoadiponectinemia as a marker of adipocyte dysfunction--part II: the functional
significance of low adiponectin secretion.
AB - Low adiponectin expression is common in obesity and is tightly linked to insulin
resistance and fat mass expansion. Whereas normal adipocytes offer effective
metabolic buffering through well-controlled release and uptake of free fatty
acids on demand, adipocyte expansion induced by caloric excess and modulated by
genetic, regional, and systemic factors elicits major unfavorable changes in fat
cell phenotypes. Large, dysfunctional adipocytes show increased lipolysis and
enhanced expression and secretion of proinflammatory and pro-oxidative cytokines.
Low adiponectin secretion is a hallmark of impaired adipocyte function; its
secretion is inhibited by cytokines such as tumor necrosis factor alpha,
interleukin 6 and plasminogen activator inhibitor 1 and by high oxidative stress
induced by increased fatty acids that activate nicotinamide adenine dinucleotide
phosphate-oxidase. The ensuing hypoadiponectinemia may aggravate insulin
resistance and facilitate the evolution of type 2 diabetes. Only massive weight
loss allows true and sustained recovery of normal fat cell function as reflected
by adiponectin secretion.
PMID- 18059214
TI - Rosiglitazone and cardiovascular risk: weighing recent evidence.
PMID- 18059215
TI - Thiazolidinediones and diabetic nephropathy: need for a closer examination?
AB - Diabetic nephropathy is an important public health issue and a major challenge
for modern nephrology, as it is the primary cause of end-stage renal disease. In
addition to established risk factors for diabetic nephropathy progression (ie,
hyperglycemia and hypertension), current knowledge suggests that other factors
can be involved. Population studies show that insulin resistance and
hyperinsulinemia are also associated with chronic kidney disease, and several
background mechanisms could explain this relationship. The hypoglycemic class of
thiazolidinediones that act through reduction of insulin resistance were found to
protect against renal injury in diabetic animals and to reduce urinary albumin
excretion in patients with type 2 diabetes and microalbuminuria. This
renoprotective action is supported by relevant studies showing that
thiazolidinediones act beneficially on most of the players involved in diabetic
nephropathy progression. Recent studies have raised uncertainty about the
cardiovascular safety of thiazolidinediones. After the latter issue is resolved,
however, it would appear very interesting to conduct specific studies in patients
with overt diabetic nephropathy to determine the effect of these agents on
proteinuria and kidney disease progression.
PMID- 18059216
TI - New evidence confirms risks associated with prehypertension and benefits of
therapeutic lifestyle changes in management.
AB - TJ is a 57-year-old female high school biology teacher who presents for a routine
checkup. She has a strong family history of heart disease and wants to ensure
that she does everything possible to keep her risk low. TJ is postmenopausal and
stopped hormone replacement therapy 3 years ago. Physical examination is
unremarkable other than the fact that the patient is overweight, with a body mass
index of 29.2 kg/m2, and her blood pressure (BP) is 132/84 mm Hg. Her fasting
plasma glucose level is 103 mg/dL, high-density lipoprotein cholesterol level 38
mg/dL, and triglyceride level 165 mg/dL. Based on her BP and concurrent
cardiometabolic syndrome, what do you tell her about the risks associated with
her BP, and how do you approach her management?
PMID- 18059217
TI - Intraventricular mechanical asynchrony in asymptomatic morbidly obese
individuals.
PMID- 18059218
TI - "Seedling" mesenchymal tumors (gastrointestinal stromal tumors and leiomyomas)
are common incidental tumors of the esophagogastric junction.
AB - Gastrointestinal stromal tumors (GISTs) are the most common nonepithelial
neoplasm of the gastrointestinal tract and show a predilection for the stomach.
Most are detected because of symptoms, but some are incidental findings at
autopsy or surgery for other reasons. Incidental GISTs tend to be smaller at
diagnosis, but even small (<1 cm) GISTs have been shown to harbor activating KIT
mutations at rates similar to advanced GISTs. However, the prevalence and
characteristics of small GISTs in surgical resections of the esophagogastric
junction (EGJ) remains unclear. We studied 150 esophagogastric resections for
esophageal or EGJ carcinomas (100 with preoperative chemoradiation and 50
untreated cases) that had been extensively embedded for histologic examination
(mean 30 sections/case). Number, size, morphology, and location of all GISTs and
leiomyomas were recorded. All potential GISTs were evaluated with CD117 and CD34
immunohistochemistry, and a subset (35) leiomyomas with smooth muscle actin,
desmin, and CD117. We found 18 incidental GISTs in 15 of 150 (10%) patients; 3
patients harbored 2 separate lesions. Prevalence of GIST was identical in treated
(10 of 100) and untreated (5 of 50) cases. All (100%) showed positivity for both
CD117 and CD34 and all were of spindle cell morphology. Lesions ranged from 0.2
to 3.0 mm in size (mean 1.3 mm). Eight (44%) were based in the outer muscularis
propria, 7 (39%) in inner muscularis, and 3 (17%) between the muscle layers. The
lesions tended to cluster near the EGJ, with 8 (44%) on the gastric side, 9 (50%)
on the esophageal side, and 1 (6%) undetermined owing to overlying ulceration.
Leiomyomas were even more common than GIST, occurring in 47% of patients (44% of
treated and 52% of untreated, P=0.39), with a mean of 3 leiomyomas per patient
(range 1 to 13) and mean size of 1.7 mm (range 0.2 to 12 mm). Unlike colorectal
leiomyomas, most (91%) EGJ leiomyomas were located in the inner muscularis
propria and only rarely (1%) in muscularis mucosa. These results suggest that
GIST and leiomyoma are common incidental "seedling" lesions of the EGJ, found in
10% and 47% of patients undergoing surgery for esophageal carcinoma. The common
occurrence of microscopic GISTs compared with the rarity of clinically manifest
and malignant esophagogastric GISTs suggests that additional genetic or
epigenetic alterations must happen for neoplastic progression.
PMID- 18059219
TI - Detail histologic analysis of nerve plexus invasion in invasive ductal carcinoma
of the pancreas and its prognostic impact.
AB - Nerve plexus invasion is regarded as one of the most important prognostic factors
in invasive ductal carcinoma (IDC) of the pancreas, though nerve plexus invasion
has not been evaluated in terms of prognostic impact on the basis of detailed
histologic investigation. The purpose of this study was to precisely examine
morphologic characteristics of nerve plexus invasion and analyze its prognostic
predictive power compared with the well-known prognostic parameters of pancreatic
IDCs. The outcome and histologic features of 75 patients with pancreatic IDC in
the pancreas head were investigated, and 422 lesions of nerve plexus invasion
were evaluated. Tumor cells invading nerve plexus showed a duct-forming
differentiated feature and predominantly existed in the perineurium and
perineural space. Multivariate analyses revealed that the important prognostic
factors, in addition to invasive tumor size and tumor necrosis, were at long
distances from nerve plexus invasion to pancreatic capsule and perineural
invasion in nerve plexus invasion.
PMID- 18059220
TI - Differential expression of hormonal and growth factor receptors in salivary duct
carcinomas: biologic significance and potential role in therapeutic
stratification of patients.
AB - Salivary duct carcinoma (SDC), a rare malignancy, manifests remarkable
morphologic and biologic resemblance to high-grade mammary ductal carcinoma. We
contend that, similar to mammary ductal carcinoma, hormones and growth factors
may play a role in SDCs. Our aim was to determine the incidence and clinical
significance of the expression of several hormone and growth factor receptors and
evaluate their potential in therapeutic stratification of SDC patients in the
largest cohort studied to date. Eighty-four archived tumor tissue blocks were
analyzed immunohistochemically for expression of estrogen receptor-beta (ERbeta),
androgen receptor (AR), and proline, glutamic acid, and leucine-rich protein-1
and growth factor receptors HER-2 and epidermal growth factor receptor. The
results were correlated with available pathologic, demographic, and clinical data
from 59 of 84 cases. Proline, glutamic acid, and leucine-rich protein-1, ERbeta,
and AR were expressed individually in 94% (71/76), 73% (57/80), and 67% (56/84)
of SDCs, respectively, and coexpressed in 45% (34/75). AR was expressed
significantly more often in SDCs of men than in SDCs of women [79% (35/57) vs.
33% (9/27), P<0.001]. Epidermal growth factor receptor and HER-2 were
overexpressed individually in 48% (40/83) and 25% (21/84), respectively, and co
overexpressed in 12% (10/83). Survival decreased significantly in patients with
lymph node metastasis (P=0.002) and positive surgical margins (P=0.006). Lack of
ERbeta expression correlated with increased local and regional recurrence (P=0.05
and P=0.002, respectively). Together, these results indicate that (a) ERbeta down
regulation is associated with adverse clinical features, (b) lymph node and
surgical margin status are significant survival factors, and (c) the differential
expression of these hormones and growth factor receptors may assist in triaging
patients with SDC for novel therapies.
PMID- 18059221
TI - Prognostic features of surgical stage I uterine carcinosarcoma.
AB - Uterine carcinosarcomas (CSs) are aggressive neoplasms, with 5-year overall
survival (OS) rates of less than 35%. They are customarily separated into types
harboring either heterologous or homologous mesenchymal elements, but the
prognostic significance of this finding is controversial. Our goal was to study
clinicopathologic features of possible prognostic relevance in surgical stage I
uterine CS. A retrospective clinical and histopathologic review was performed for
all women diagnosed with surgical stage I uterine CS. These tumors were compared
with stage I high-grade endometrial (HGEm) carcinomas for clinical outcomes.
There were 42 cases of surgical stage I uterine CS identified between January
1990 and January 2004. The disease-free survival and OS rates for patients with
stage I CS were significantly worse compared with stage I HGEm (P=0.001; P=0.01).
The median disease-free survival for patients with heterologous CS was 15 months
and had not been reached for women with homologous CS (P=0.001). The 3-year OS
rates were 45% versus 93% in women with heterologous compared with homologous
stage I CS (P<0.001). The 3-year OS rates for homologous CS and HGEm were both
>90%. Homologous stage I CSs have survival outcomes that are similar to HGEm.
This further supports the concept that homologous stage I CSs are carcinomas with
sarcomatoid features, not sarcomas. More importantly, the presence of
heterologous sarcomatous elements is a powerful negative prognostic factor in
surgical stage I uterine CS.
PMID- 18059222
TI - Juxtacortical chondromyxoid fibroma of bone: a unique variant: a case study of 20
patients.
AB - BACKGROUND: Chondromyxoid fibroma (CMF) is a rare neoplasm of the appendicular
skeleton of young adults. We report 20 cases of a poorly recognized subtype which
arises on the surface of long bones and erodes the cortical surface causing a
periosteal reaction. This entity should be included in the differential diagnosis
of bone surface lesions as it may be mistaken for a more aggressive neoplasm.
DESIGN: A retrospective review at the Mayo Clinic identified 259 CMF cases, 13 of
which were parosteal. Additionally, 2 cases were diagnosed at the University of
Alabama at Birmingham and 5 cases were from one of our authors' files. We
reviewed the clinical radiographic and pathologic findings of all 20 cases.
RESULTS: Juxtacortical CMF occurred over a large age range (12 to 82 y) with a
median age of 40.2 years. A slight male predilection (5:4) was seen. The most
common presentation was bone pain. All 20 cases showed solitary, radiolucent
surface lesions with sclerotic margins and extension into the overlying soft
tissues. Most of the lesions were in the proximal tibial metaphysis.
Histologically, the tumors had characteristic features of CMF. Several cases
contained distinctive areas of calcification, which is not a feature of
conventional CMF. Eleven of 12 cases were cured with simple excision. CONCLUSION:
CMF should be included in the differential diagnosis of bone surface lesions. The
clinical and radiologic findings must be known. The morphology of this lesion is
similar to conventional CMF with the exception of focal exuberant calcification.
Conservative therapy is the treatment of choice.
PMID- 18059223
TI - Immunoreactivity for CD25 in gastrointestinal mucosal mast cells is specific for
systemic mastocytosis.
AB - Systemic mastocytosis (SM) is characterized by the accumulation of neoplastic
mast cells in bone marrow and other organs. Gastrointestinal (GI) symptoms are
common in both SM and cutaneous mastocytosis [urticaria pigmentosa (UP)], and are
usually caused by the release of histamine and other inflammatory mediators.
Occasionally, neoplastic mast cells may also directly infiltrate the GI tract.
Previous studies have suggested that enumeration of the mast cells in GI biopsies
may help establish the diagnosis of SM. However, mast cells have been reported to
be increased in various inflammatory diseases, and mast cell density has not been
systematically evaluated in other GI disorders. Recently, expression of CD25 by
mast cells in bone marrow has been shown to be specific for SM. The purpose of
this study was (1) to quantitate and compare mast cells in mucosal biopsies from
patients with SM involving the GI tract, UP with GI symptoms, and a control group
of diverse inflammatory disorders, and (2) to determine whether immunostaining
for CD25 can be used to distinguish neoplastic from reactive mast cells in GI
biopsies. Seventeen GI biopsies from 6 patients with SM; 17 GI biopsies from 5
patients with UP; and 157 control cases including 10 each normal stomach,
duodenum, terminal ileum, and colon, Helicobacter pylori gastritis, bile reflux
gastropathy, peptic duodenitis, celiac disease, Crohn disease, ulcerative
colitis, lymphocytic colitis, and collagenous colitis, 20 biopsies from 16
patients with irritable bowel syndrome, 8 biopsies from 5 patients with parasitic
infections, and 9 biopsies from 7 patients with eosinophilic gastroenteritis were
immunostained for mast cell tryptase, c-kit (CD117), and CD25. Mucosal mast cells
were quantitated, and the presence or absence of CD25 expression on mast cells
was determined. In SM patients, mast cells in the small intestine and colon
numbered >100/high-power field (HPF) in nearly all cases (mean 196/HPF; range 74
to 339). This was significantly higher than in GI biopsies from UP patients (mean
17/HPF; range 8 to 32, P<0.0001) and all inflammatory diseases (P<0.01). Mast
cell density in other disorders ranged from a mean of 12/HPF in H. pylori
gastritis to 47/HPF in parasitic infections. Interestingly, all SM biopsies (and
none of the other cases) contained aggregates or confluent sheets of mast cells.
In addition, mast cells in all SM cases were positive for CD25, whereas GI
mucosal mast cells in UP and all other control cases were negative. In
conclusion, quantitation of mast cells can be helpful to diagnose SM in GI
mucosal biopsies, although mast cells are also markedly increased in parasitic
infections. Aggregates or sheets of mast cells are only seen in SM.
Immunoreactivity for CD25 in GI mucosal mast cells is specific for SM and can be
used to confirm the diagnosis.
PMID- 18059224
TI - WHO 2004 criteria and CK19 are reliable prognostic markers in pancreatic
endocrine tumors.
AB - BACKGROUND: It is difficult to predict the biologic behavior of pancreatic
endocrine tumors in absence of metastases or invasion into adjacent organs. The
World Health Organization (WHO) has proposed in 2004 size, angioinvasion, mitotic
activity, and MIB1 proliferation index as prognostic criteria. Our aim was to
test retrospectively the predictive value of these 2004 WHO criteria and of CK19,
CD99, COX2, and p27 immunohistochemistry in a large series of patients with long
term follow-up. DESIGN: The histology of 216 pancreatic endocrine tumor specimens
was reviewed and the tumors were reclassified according to the 2004 WHO
classification. The prognostic value of the WHO classification and the
histopathologic criteria necrosis and nodular fibrosis was tested in 113
patients. A tissue microarray was constructed for immunohistochemical staining.
The staining results were scored quantitatively for MIB1 and semiquantitatively
for CK19, COX2, p27, and CD99. The prognostic value of these markers was tested
in 93 patients. RESULTS: The stratification of the patients into 4 risk groups
according to the 2004 WHO classification was reliable with regard to both time
span to relapse and tumor-specific death. In a multivariate analysis, the CK19
status was shown to be independent of the WHO criteria. By contrast, the
prognostic significance of COX2, p27, and CD99 could not be confirmed.
CONCLUSIONS: The 2004 WHO classification with 4 risk groups is very reliable for
predicting both disease-free survival and the time span until tumor-specific
death. CK19 staining is a potential additional prognostic marker independent from
the WHO criteria for pancreatic endocrine tumors.
PMID- 18059225
TI - Adenoid cystic carcinoma with high-grade transformation: a report of 11 cases and
a review of the literature.
AB - High-grade transformation of adenoid cystic carcinoma (ACC) (previously referred
to as dedifferentiation) is a rare phenomenon that does not fit into the
traditional ACC grading schemes. The importance and minimal criteria for
distinction from solid (grade III) ACC are not well established. We report 11 new
cases and review the literature to further define the profile of this tumor. The
median age was 61 years (range: 32 to 72 y) with a male predominance (male to
female ratio of 1.75:1). The most commonly involved sites were sinonasal (4/11)
and submandibular (4/11). Lymph nodes were pathologically positive in 4/7 (57.1%)
cases. Distant metastases to the lung (n=2) and soft tissue of the shoulder (n=1)
were observed. Five of 9 patients (55.6%) died, all within 5 years with a median
overall survival of 12 months. Histologically, ACC with high-grade transformation
was distinguished from conventional ACC by nuclear enlargement and irregularity,
higher mitotic counts, and the loss of the biphasic ductal-myoepithelial
differentiation. Useful supportive criteria were prominent comedonecrosis and
fibrocellular desmoplasia. The most common morphologies for the high-grade
component were poorly differentiated cribriform adenocarcinoma and solid
undifferentiated carcinoma. Micropapillary and squamoid patterns were
occasionally present. Ki-67 and p53 labeling indices were elevated in the high
grade components, though c-kit and cyclin-D1 were not. ACC-high-grade
transformation is a highly aggressive salivary gland tumor with a variety of
histologic patterns. The high propensity for lymph node metastases suggests a
role for neck dissection in patients with this rare tumor.
PMID- 18059226
TI - c-Maf expression in angioimmunoblastic T-cell lymphoma.
AB - The oncogene c-Maf was recently found to be overexpressed in approximately 50% of
multiple myeloma cases, and a role for c-Maf in promoting cyclin D2 expression
has been postulated. We previously examined c-Maf expression in various T-cell
lymphomas by reverse-transcription polymerase chain reaction and found extremely
elevated c-Maf levels in angioimmunoblastic T-cell lymphoma (AILT). In this
study, we examined T-cell lymphomas for c-Maf and cyclin expression
immunohistochemically. Of 93 cases of T-cell lymphomas we investigated in the
current study, c-Maf expression was seen in 23 out of 31 cases of AILT, 3 out of
11 of adult T-cell leukemia/lymphoma, 4 out of 19 of peripheral T-cell lymphoma,
unspecified [PTCL(U)], and 0 out of 11 cases of mycosis fungoides, 0 out of 11 of
anaplastic large cell lymphoma, and 1 out of 10 of extranodal NK/T-cell lymphoma,
nasal type. Double immunostaining in AILT revealed that the majority of c-Maf
positive cells were also positive for CD43 (MT1), CD45RO (UCHL-1), and CD4 but
were negative for CD20 (L26). Additionally, cyclins D1 and D2, which stimulate
cell cycle progression, were overexpressed in a large number of the c-Maf
positive AILT samples. Quantitative reverse-transcription polymerase chain
reaction analysis also showed that c-Maf was overexpressed in 8/31 cases of AILT,
0/19 cases of PTCL(U), 0/11 cases of anaplastic large cell lymphoma, 0/10 cases
of extranodal NK/T-cell lymphoma, nasal type, and 2/8 cases of multiple myeloma,
presenting significant difference between AILT and PTCL(U) (P=0.016, chi test).
These findings strongly suggest that CD4-positive neoplastic T cells in AILT show
c-Maf expression and provide new insight into the pathogenesis of AILT suggesting
c-Maf to be a useful diagnostic marker for AILT.
PMID- 18059227
TI - Non-neoplastic renal diseases are often unrecognized in adult tumor nephrectomy
specimens: a review of 246 cases.
AB - The pathologic evaluation of tumor nephrectomy specimens focuses on the
diagnosis, grading, and staging of the neoplasm. The presence of coincidental non
neoplastic diseases in these specimens may have significant implications for
patient outcomes. The purpose of this study is to determine the spectrum of non
neoplastic disease processes that may be overlooked in tumor nephrectomies, and
to ascertain the extent to which surgical pathologists are trained to recognize
these lesions. We reviewed the hematoxylin and eosin-stained slides of 246 adult
tumor nephrectomy specimens with an emphasis on the non-neoplastic renal
parenchyma. Further analysis of cases with pathologic alterations included
special stains and direct immunofluorescence microscopy. The surgical pathology
reports were reviewed to determine whether the non-neoplastic lesions were
originally identified. We also surveyed United States pathology residency
programs to determine how many require training in medical renal pathology. Forty
one cases (16.7%) had alterations, such as diffuse and/or nodular mesangial
sclerosis, mesangial hypercellularity, or glomerular basement membrane thickening
that warranted further study. After further work-up and clinical correlation, the
pathologic changes in 24 cases were categorized as follows: diabetic nephropathy
(19 cases) of which one demonstrated atheroembolic disease, thrombotic
microangiopathy (3 cases), sickle cell nephropathy (1 case), and focal segmental
glomerulosclerosis (1 case). Twenty-one (88%) of these diagnoses were not
identified at initial pathologic evaluation. Only 35 of 98 pathology residency
programs that responded to our survey require any formal training in medical
renal pathology. Although accurate pathologic evaluation of renal neoplasms
remains essential, surgical pathologists should be aware that coincidental non
neoplastic renal diseases are common, often not recognized, and may have
important implications for patient care. Further consideration should be given to
the training requirements of pathology residents and the guidelines for
evaluation of nephrectomy specimens to avoid missing non-neoplastic renal
lesions.
PMID- 18059228
TI - Angiocentric glioma: report of clinico-pathologic and genetic findings in 8
cases.
AB - Angiocentric glioma has recently been described as a novel epilepsy associated
tumor with distinct clinico-pathologic features. We report the clinical and
pathologic findings in 8 additional cases of this rare tumor type and extend its
characterization by genomic profiling. Almost all patients had a history of long
standing drug-resistant epilepsy. Cortico-subcortical tumors were located in the
temporal and parietal lobes. Seizures began at 3 to 14 years of age and surgery
was performed at 6 to 70 years. Histologically, the tumors were characterized by
diffuse growth and prominent perivascular tumor cell arrangements with features
of astrocytic/ependymal differentiation, but lacking neoplastic neuronal
features. Necrosis and vascular proliferation were not observed and mitoses were
sparse or absent. MIB-1 proliferation indices ranged from <1% to 5%.
Immunohistochemically, all cases stained positively for glial fibrillary acidic
protein, vimentin, protein S100B, variably for podoplanin, and showed epithelial
membrane antigen-positive cytoplasmic dots. Electron microscopy showed ependymal
characteristics in 2 of 3 cases investigated. An analysis of genomic imbalances
by chromosomal comparative genomic hybridization revealed loss of chromosomal
bands 6q24 to q25 as the only alteration in 1 of 8 cases. In 1 of 3 cases, a high
resolution screen by array-comparative genomic hybridization identified a copy
number gain of 2 adjacent clones from chromosomal band 11p11.2 containing the
protein-tyrosine phosphatase receptor type J (PTPRJ) gene. All patients are
seizure free and without evidence of tumor recurrence at follow-up times ranging
from 1/2 to 6.9 years. Our findings support 2 previous reports proposing that
angiocentric glioma is a novel glial tumor entity of low-grade malignancy.
PMID- 18059229
TI - Duplication of the muscularis mucosae in Barrett esophagus: an underrecognized
feature and its implication for staging of adenocarcinoma.
AB - Depth of invasion is one of the most important prognostic indicators in
esophageal adenocarcinoma. Unlike other regions of the gastrointestinal tract,
the esophagus in Barrett metaplasia frequently develops duplication of the
muscularis mucosae (MM), but this feature is underrecognized, and its effect on
appropriate staging of superficially invasive adenocarcinoma is unclear. We first
randomly selected 50 esophageal resections for high-grade dysplasia or T1
adenocarcinoma in Barrett esophagus (BE) to evaluate the sensitivity and
specificity of MM duplication for BE and its histologic characteristics,
including percentage of the Barrett segment involved by MM duplication, origin of
the duplicated muscle layer, and appearance of the tissue between duplicated MM.
Twenty esophageal resections for squamous cell carcinoma served as controls.
Next, to study the clinical significance of MM duplication, we evaluated 30
resections for BE that had superficial adenocarcinoma confined to regions of
duplicated MM. Each case was classified as: depth of invasion (inner MM, space
between duplicated MM, or outer MM), angiolymphatic invasion, and rate of lymph
node metastasis. We observed MM duplication in 46 of 50 (92%) BE resections,
involving 5% to >90% of the Barrett segment, in contrast to none in 20 (0%)
resected squamous cell carcinoma, P<0.0001. In 5 (10%) cases, the MM was focally
triplicated. The outer MM was continuous with the single MM beneath squamous
epithelium, suggesting that outer MM represents the "original" muscle layer. The
space between duplicated MM predominantly consisted of loose fibrovascular tissue
similar to submucosa; in 15 (30%) cases, there were also areas of fibrosis or
thin muscle strands joining the 2 MM layers. Of 30 adenocarcinomas invading
duplicated MM, 10 (33%) invaded only inner MM, 12 (40%) invaded the space between
MM, and 8 (27%) invaded the outer MM. Angiolymphatic invasion was present in 5
(17%) cases, and nodal metastases in 3 (10%, 1 case each of invasion into inner
MM, between MM, and outer MM). These data show that MM duplication is a
characteristic finding in BE, but it can pose difficulty in proper staging of
superficial adenocarcinomas. The 17% rate of angiolymphatic invasion and 10% rate
of lymph node metastases in our patients with invasion into duplicated MM suggest
that these tumors can behave aggressively despite their technically intramucosal
location.
PMID- 18059230
TI - Immunohistochemistry of choriocarcinoma: an aid in differential diagnosis and in
elucidating pathogenesis.
AB - Choriocarcinoma is traditionally described as being composed of cytotrophoblast
and syncytiotrophoblast. Microscopically, these 2 types of cells are intimately
associated with each other, forming a characteristic biphasic plexiform pattern,
however, the nature of these 2 types of trophoblastic cells is not well
understood. In this study, we used immunohistochemistry for several trophoblastic
markers to analyze the trophoblastic subpopulations in 36 gestational
choriocarcinomas. Eighty-one specimens including placenta, complete mole,
placental site nodule, epithelioid trophoblastic tumor, and placental site
trophoblastic tumor were analyzed. The antibodies included Mel-CAM, HLA-G, MUC-4,
and beta-catenin. A semiquantitative assessment of positive cells and the
cellular localization of these markers were recorded. We found diffuse strong
membranous and cytoplasmic staining for MUC-4 in mononucleate cells in all 36
cases (100%) and a similar pattern of localization in 28 cases (78%) for HLA-G.
This distribution was similar to that in normal placentas, where MUC-4 and HLA-G
are expressed in the trophoblastic cells of the trophoblastic columns and
implantation site. In choriocarcinoma, mononucleate trophoblastic cells showed
moderate immunoreactivity for Mel-CAM, a specific marker for implantation site
intermediate trophoblast, in 78% of the cases. The MUC-4, HLA-G, and Mel-CAM
positive trophoblastic cells were larger than cytotrophoblastic cells, with more
abundant cytoplasm, consistent with the morphology of intermediate trophoblast.
In contrast, 31% of the choriocarcinomas contained a very small proportion (<5%)
of mononucleate trophoblastic cells compatible with cytotrophoblast that was
positive for nuclear beta-catenin, a cytotrophoblast-associated marker. These
results suggest that choriocarcinoma is composed predominantly of a mixture of
syncytiotrophoblast and intermediate trophoblast with only a small proportion of
cytotrophoblast. The presence of nuclear beta-catenin staining in the
cytotrophoblast of choriocarcinoma is consistent with the view that
choriocarcinoma develops from transformed cytotrophoblastic cells which are
presumably the cancer stem cells that differentiate into either intermediate
trophoblast or syncytiotrophoblast.
PMID- 18059231
TI - Morphologic features are useful in distinguishing Barrett esophagus from carditis
with intestinal metaplasia.
AB - Barrett esophagus (BE) and carditis with intestinal metaplasia (CIM) differ in
their risk of malignancy and implications for patient management, but are
difficult to distinguish in mucosal biopsies from the gastroesophageal junction
region. The present study was performed to evaluate the role of routine
morphology in distinguishing BE from CIM in mucosal biopsies and to assess the
degree of interobserver variability in recognizing morphologic parameters that
are of significance in making this distinction. Several morphologic features,
including presence of crypt disarray and atrophy, incomplete and diffuse
intestinal metaplasia, multilayered epithelium, squamous epithelium overlying
columnar crypts with intestinal metaplasia, hybrid glands, and esophageal
glands/ducts, were significantly associated with a diagnosis of BE. The latter 3
features were observed exclusively in BE biopsies. Furthermore, multiple BE
associated morphologic features were often present together in BE but not CIM
biopsies. There was substantial agreement (kappa=0.6) among expert
gastrointestinal pathologists for distinguishing BE from CIM even in the absence
of clinical/endoscopic information. The interobserver agreement in recognition of
BE-associated morphologic features ranged from almost perfect for some features
like esophageal glands/ducts (kappa=0.83) to slight for multilayered epithelium
(kappa=0.17). In conclusion, our findings indicate that several morphologic
features are helpful in distinguishing BE from CIM. The combined presence of
multiple BE-associated morphologic features can be used in making this
distinction with a high degree of accuracy. Larger prospective studies need to be
performed to validate these findings and evaluate the reproducibility of this
approach in routine clinical practice.
PMID- 18059232
TI - A comprehensive study of nondysplastic and dysplastic serrated polyps of the
vermiform appendix.
AB - Serrated colorectal polyps often show DNA hypermethylation and/or BRAF mutations
and have been implicated in the "serrated neoplastic pathway." Although similar
lesions occur in the appendix, they have never been systematically investigated.
We evaluated a study group of 56 serrated polyps, a control group of 17 mucinous
cystadenomas, and 4 adenocarcinomas with adjacent serrated polyps of the appendix
to better understand their pathogenesis. The study cases were classified as
nondysplastic or dysplastic serrated polyps and evaluated for MLH-1, MSH-2, MGMT,
beta-catenin, p53, and Ki-67 expression, BRAF and KRAS mutations, and
microsatellite instability. Serrated polyps usually occurred in older adults with
no sex predilection. Most (59%) lacked dysplasia, but all showed similar
molecular features, regardless of the degree of dysplasia present. Decreased MLH
1 (50%, P<0.001) and/or MGMT (59%, P<0.001) expression and BRAF (29%, P=0.007)
mutations were significantly more common in serrated polyps, but BRAF mutations
were detected in a minority of the extracted DNA in 15/16 cases. Of the 28 cases
with decreased MLH-1 expression, none showed high-frequency microsatellite
instability. Loss of MLH-1 (25%) or MGMT (50%) expression and BRAF or KRAS
mutations (50%) were inconsistently present in adenocarcinomas and were not
identified in combination in any cases. We conclude that molecular features of
the "serrated neoplastic pathway" are present with similar frequencies among
dysplastic and nondysplastic serrated appendiceal polyps and are not highly
prevalent in adjacent carcinomas. These features, including BRAF mutations, may
be more closely related to a serrated morphology in appendiceal polyps rather
than biologically important changes.
PMID- 18059233
TI - Histology of symptomatic acute hepatitis C infection in immunocompetent adults.
AB - Acute hepatitis C in immunocompetent individuals is rarely symptomatic and rarely
biopsied. Thus, the histologic descriptions of acute hepatitis C remain limited.
The histology of 5 cases of acute hepatitis C in adults were studied by selecting
cases from the consult and surgical pathology files of a single institution. The
5 individuals, 3 males and 2 females, had an average age at biopsy of 50+/-17
years. They presented with jaundice and other nonspecific abdominal symptoms. The
time interval from clinical presentation to biopsy ranged from 2 to 18 weeks. The
average alanine aminotransferase/aspartate aminotransferase/alkaline phosphatase
at the time of biopsy was 308/73/85 U/L. The average total bilirubin was 5.2
mg/dL. Each individual had a single liver biopsy. The histologic findings of the
2 cases biopsied in close temporal proximity to the initial clinical presentation
showed similar histologic findings of mixed portal infiltrates with lymphocytes
and neutrophils along with bile ductular proliferation that raised the
possibility of down stream biliary tract disease. The lobules showed canalicular
cholestasis and mild to moderate inflammation. In the third and fourth case,
obtained 8 weeks after presentation, the biopsies showed mild to moderate portal
and lobular lymphocytic inflammation, findings that were also present in the last
case, obtained 18 weeks after presentation. In conclusion, early after acute
hepatitis C viral infection, biopsies can have a cholestatic pattern whereas
later biopsies tend to show mild nonspecific portal and lobular lymphocytic
inflammation. Proper histologic diagnosis can be aided by an awareness of the
various histologic findings, which vary depending on the time interval from
clinical symptoms to biopsy.
PMID- 18059234
TI - Thyroid transcription factor-1 expression in endometrial and endocervical
adenocarcinomas.
AB - Thyroid transcription factor-1 (TTF-1) is widely used in the diagnosis of lung
and thyroid carcinomas. Although there have been reports of TTF-1
immunoreactivity in tumors other than those originating in the lung or thyroid,
endocervical and endometrial adenocarcinomas have not been studied in large
numbers. Our study provides data regarding the incidence and distribution of TTF
1 expression in these tumors. Twenty-eight endocervical (9 well, 12 moderately,
and 7 poorly differentiated), 32 endometrioid endometrial adenocarcinomas (11
grade I, 8 grade II, and 13 grade III), and 13 uterine serous carcinomas were
retrieved and stained with TTF-1. None of the tumors had a neuroendocrine
component. The hematoxylin and eosin and anti-TTF-1 antibody stained sections
were reviewed, and the presence and distribution of TTF-1 nuclear positivity was
recorded. A semiquantitative grading system used to evaluate the distribution of
TTF-1 staining (0 = negative, 1+ = <5%, 2+ = 5% to 25%, 3+ = 26% to 50%, 4+ = 51%
to 75%, and 5+ = >75%). TTF-1 expression was seen in 1 of 28 (4%) of the
endocervical adenocarcinomas and this was 4+ in distribution. The positive
endocervical carcinoma was poorly differentiated. TTF-1 expression was present in
6 of 32 (19%) of the endometrioid adenocarcinomas (1 grade I, 2 grade II, and 3
grade III) and varied from 1+ to 4+ in distribution. Only 2 of 32 (6%) of the
endometrioid adenocarcinomas stained diffusely (4+). There was no apparent
correlation between the degree of differentiation and TTF-1 positivity in the
adenocarcinomas. Three of 13 (23%) serous carcinomas were also positive (1 case
5+ and 2 cases 1+). Although TTF-1 is generally considered to be a relatively
specific marker for lung and thyroid neoplasms, the occasional expression of
endometrial and endocervical carcinomas should be kept in mind when evaluating
neoplasms of uncertain origin. It should also be taken into consideration in the
evaluation of adenocarcinomas involving the lung in patients with a history of a
gynecologic malignancy.
PMID- 18059236
TI - Polymorphous low grade adenocarcinoma: review and case report.
AB - Polymorphous Low-Grade Adenocarcinoma is a rare, malignant salivary gland tumor,
which is found almost exclusively in minor salivary glands. It is more frequent
in the age range from 30 to 70, with a clear female predilection in a 2:1 ratio.
It is usually located in the hard or soft palate, although it may be found in the
rest of the oral cavity too. It is rare in major salivary glands. In general it
has good prognosis, with recurrence rates in the range of 17% - 24%. Although
rare, metastasis to regional lymph nodes may occur in 9% of the cases. This
report describes the case of a patient that consulted at the Military
Odontological Center (Central Odontologica del Ejercito) due to an esthetic
alteration of her dental prosthesis, which had been made 8 years before. The
patient was sent to the Maxillofacial Surgery Service, where the intraoral
examination showed a big mass compromising the hard palate and the alveolar
ridge. During examination, a dent in her prosthesis was found to correspond to
the tumor mass; it was therefore concluded that the tumor had at least an eight
year-old evolution. An incisional biopsy was carried out, and once the
polymorphous low-grade adenocarcinoma diagnosis had been stated, the patient was
sent to the Head and Neck Surgery Service of the Military Hospital, where the
lesion was treated by wide surgical excision followed by radiation therapy.
PMID- 18059235
TI - Loss of expression of protein kinase a regulatory subunit 1alpha in pigmented
epithelioid melanocytoma but not in melanoma or other melanocytic lesions.
AB - Pigmented epithelioid melanocytoma (PEM) is a recently described entity
comprising most cases previously described as "animal-type melanoma" and
epithelioid blue nevus (EBN) occurring in patients with the multiple neoplasia
syndrome Carney complex (CNC). Mutations of the protein kinase A regulatory
subunit type 1alpha (R1alpha) (coded by the PRKAR1A gene) are found in more than
half of CNC patients. In this study, we investigated whether PEM and EBN are
related at the molecular level, and whether changes in the PRKAR1A gene status
and the expression of the R1alpha protein may be involved in the pathogenesis of
PEM and other melanocytic lesions. Histologic analysis of hematoxylin and eosin
stained sections and immunohistochemistry (IHC) with R1alpha antibody were
performed on 34 sporadic PEMs, 8 CNC-associated PEMs from patients with known
PRKAR1A mutations, 297 benign and malignant melanocytic tumors (127 conventional
sections of 10 compound nevi, 10 Spitz nevi, 5 deep-penetrating nevi, 5 blue
nevi, 6 cellular blue nevi, 2 malignant blue nevi, 3 lentigo maligna, and 86
melanomas of various types); in addition, 170 tissue microarray sections
consisting of 35 benign nevi, 60 primary melanomas, and 75 metastatic melanomas,
and 5 equine dermal melanomas, were examined. Histologic diagnoses were based on
preexisting pathologic reports and were confirmed for this study. DNA studies
[loss of heterozygosity (LOH) for the 17q22-24 locus and the PRKAR1A gene
sequencing] were performed on 60 melanomas and 7 PEMs. IHC showed that R1alpha
was expressed in all but one core from tissue microarrays (169/170), and in all
127 melanocytic lesions evaluated in conventional sections. By contrast, R1alpha
was not expressed in the 8 EBN from patients with CNC and PRKAR1A mutations.
Expression of R1alpha was lost in 28 of 34 PEMs (82%). R1alpha was expressed in
the 5 equine melanomas studied. DNA studies correlated with IHC findings: there
were no PRKAR1A mutations in any of the melanomas studied and the rate of LOH for
17q22-24 was less than 7%; 5 of the 7 PEMs showed extensive 17q22-24 LOH but no
PRKAR1A mutations. The results support the concept that PEM is a distinct
melanocytic tumor occurring in a sporadic setting and in the context of CNC. They
also suggest that PEM differs from melanomas in equine melanotic disease, further
arguing that the term animal-type melanoma may be a misnomer for this group of
lesions. Loss of expression of R1alpha offers a useful diagnostic test that helps
to distinguish PEM from lesions that mimic it histologically.
PMID- 18059237
TI - Plasmacytoid myoepithelioma of the palate. Report of one case and review of the
literature.
AB - INTRODUCTION: Myoepithelioma is a benign neoplasm of salivary glands, represents
1.5 % of all salivary glands neoplasm. The plasmacytoid myoepithelioma from
palate salivary glands is considered as a rare entity, at date it has been
reported 14 cases. It is present one case of plasmacytoid myoepithelioma of
palate. CASE REPORT: A Hispanic female of 28 years old presented a not-ulcerate,
painless ovoid swelling at left side of hard palate with a one year and a half of
evolution. An excisional biopsy was done. The sample was fixed at 10% buffer
formalin, embedded in paraffin, cuts at 5 micron and stained with H-E.
Microscopically, the lesion was composed by myoepithelial neoplastic cells
characterized by a round ovoid silhouette, an eccentric nuclei of dense chromatin
and eosinophilic cytoplasm. In some myoepithelial neoplastic cells were
identifies intranuclear cytoplasmatic inclusions. The lesion was analysed with
immunohistochemical technique using the follow antibodies: vimentin, citokeratin
AE1/AE3, S100 protein and actin muscle specific. We observe positive
immunoreactivity against vimentin, citokeratin, S100 protein and actin muscle
specific. A diagnosis of plasmacytoid myoephitelioma of palate salivary glands
was done. Our findings supports the suggestion about plasmacytoid myoepithelioma
is an independent entity. The histological diagnostic parameters of plasmacytoid
myoepithelioma versus pleomorphic adenoma are discussed.
PMID- 18059238
TI - Extramedullary hematopoiesis within endothelial papillary hyperplasia (Masson's
pseudoangiosarcoma) of the tongue.
AB - We report the unique association of Masson's pseudoangiosarcoma (endothelial
papillary hyperplasia) and extramedullary hematopoiesis. The lesion was present
as a violaceous nodule on the side of the tongue of a 78-year-old man with
history of multiple myeloma and long-standing mild anemia. This association
between a peculiar form of thrombus organization and extramedullary hematopoiesis
has been reported previously only once, in an infant with a cranial hematoma, and
raises interesting pathogenetic questions.
PMID- 18059239
TI - Oral necrotizing microvasculitis in a patient affected by Kawasaki disease.
AB - Kawasaki disease (KD) was first described in 1967 by Kawasaki, who defined it as
"mucocutaneous lymph node syndrome". KD is an acute systemic vasculitis, which
mainly involves medium calibre arteries; its origin is unknown, and it is
observed in children under the age of 5, especially in their third year. The
principal presentations of KD include fever, bilateral nonexudative
conjunctivitis, erythema of the lips and oral mucosa, changes in the extremities,
rash, and cervical lymphadenopathy. Within KD, oral mucositis - represented by
diffuse mucous membrane erythema, lip and tongue reddening and lingual papillae
hypertrophy with subsequent development of strawberry tongue - can occur both in
the acute stage of the disease (0-9 days), and in the convalescence stage (>25
days) as a consequence of the pharmacological treatment. KD vascular lesions are
defined as systemic vasculitis instead of systemic arteritis. This study analyzed
the anatomical-pathological substrata of oral mucositis in a baby affected by
Kawasaki disease and suddenly deceased for cardiac tamponade caused by coronary
aneurysm rupture (sudden cardiac death of a mechanical type).
PMID- 18059240
TI - Diagnostic evaluation of serial sections of labial salivary gland biopsies in
Sjogren's syndrome.
AB - OBJECTIVES: Sjogren's syndrome is a chronic inflammatory disease. The detection
of chronic inflammatory infiltrates containing >50 lymphocytes (lymphocytic
focus) per 4 mm2 tissue in minor salivary gland biopsies is a diagnostic
parameter of the disease. The aim of the study was to examine if an increase in
the tissue area of a single minor labial salivary gland biopsy through serial
histological sections in patients affected by primary Sjogren's syndrome could
facilitate the detection of the diagnostic focus score (grades >1 or >2).
METHODS: We observed 24 labial salivary gland biopsies from patients affected by
primary Sjogren's syndrome, diagnosed according to the clinical-laboratory
criteria proposed by the American-European Consensus Group. The analysis was
carried out on sections (n= 72) obtained at three different levels at 200
micrometers from one another. The serial sections regarding the 3 levels were
reviewed by the same oral pathologist, who detected both the total number of
foci, and their surface, calculating a cumulative focus score. RESULTS: No
significant correlation was found between the number of lobules per histological
section and the focus score (Pearson correlation 0.363, p= 0.01). No significant
variation in focus score distribution was identified in the three serial
histological levels at 200 micrometers from one another. From the comparison
between the number of lobules observed and the focus score grade, no direct
proportionality between the amount of parenchyma analyzed and the focus score was
found. CONCLUSIONS: The focus score remained unchanged in the serial sections at
different depths.
PMID- 18059241
TI - Program for coordinated dental care under general anaesthesia for children with
special needs.
AB - AIM: To draw up a program for coordination of dental care for children with
special needs between the Course at the Universidad Complutense de Madrid (UCMC)
(Specialisation in holistic dental care for children with special needs), and the
Disabled Children's Oral Health Unit (DCOHU) within the Madrid Health Service
(SERMAS). MATERIAL AND METHODS: UCMC Protocol for children with special needs.
Design of a clinical pathway based on consensus amongst the professionals
involved. RESULTS: Algorithm for dental care for children with special needs.
Matrix covering all activities and timing for full dental diagnosis in such
patients (general health, oral health and behaviour) to facilitate proper
referral of patients requiring general anaesthesia. Inclusion in the matrix of
those responsible for each activity. CONCLUSIONS: Improved team work (University
primary health care) in patient evaluation, in provision of information to
parents and guardians and in health care quality. From the teaching point of
view, students learn to adopt a systematic approach in the decision-making
process.
PMID- 18059242
TI - How to improve communication with deaf children in the dental clinic.
AB - It may be difficult for hearing-impaired people to communicate with people who
hear. In the health care area, there is often little awareness of the
communication barriers faced by the deaf and, in dentistry, the attitude adopted
towards the deaf is not always correct. A review is given of the basic rules and
advice given for communicating with the hearing-impaired. The latter are
classified in three groups - lip-readers, sign language users and those with
hearing aids. The advice given varies for the different groups although the
different methods of communication are often combined (e.g. sign language plus
lip-reading, hearing-aids plus lip-reading). Treatment of hearing-impaired
children in the dental clinic must be personalised. Each child is different,
depending on the education received, the communication skills possessed, family
factors (degree of parental protection, etc.), the existence of associated
problems (learning difficulties), degree of loss of hearing, age, etc.
PMID- 18059243
TI - New dental simulator for microsurgical training preliminary overview.
AB - OBJECTIVE: To present a new simulator designed at the Minimally Invasive Surgery
Centre (Caceres) which offers an integral, effective and viable training system
that can be used for learning microsurgical techniques. STUDY DESIGN: A square
methacrylate block was used. Animal jaws were fixed to the sides by means of
butterfly screws. This block also has a drainage tube to facilitate the escape of
fluids. RESULTS: Excellent results were obtained using this simulator in
microsurgical suturing training in Dental, Oral and Maxillofacial Surgery.
CONCLUSIONS: We believe that this simulator is an essential component in
microsurgical training. It is an ethically and morally valid training method with
which various suturing techniques can be practised before using live animals and
before applying these to daily clinical practice.
PMID- 18059244
TI - The post-endodontic periapical lesion: histologic and etiopathogenic aspects.
AB - Apical periodontitis is produced in the majority of cases by intraradicular
infection. Treatment consists in the elimination of the infectious agents by
endodontia. Even when carrying out a correct cleansing and filling of canals, it
is possible that periapical periodontitis will persist in the form of an
asymptomatic radiolucency, giving rise to the post-endodontic periapical lesion.
The chronic inflammatory periapical lesion is the most common pathology found in
relation to alveolar bone of the jaw. From the histological point of view, it can
be classified as chronic periapical periodontitis (periapical granuloma),
radicular cyst, and as scar tissue. The most frequent is the periapical
granuloma, constituted by a mass of chronic inflammatory tissue, in which
isolated nests of epithelium can be found. The radicular cyst is characterized by
the presence of a cavity, partially or wholly lined by epithelium. Scar tissue is
a reparative response by the body, producing fibrous connective tissue. The aim
of this study is to review and update the etiopathogenic and histological aspects
of chronic post-endodontic periapical lesions.
PMID- 18059245
TI - Drill failure during ORIF of the mandible. Complication management.
AB - A case of a drill breakage during open reduction and internal fixation (ORIF) of
a mandibular fracture is reported. The clinical decision, diagnosis and surgical
management of the complication are described.
PMID- 18059246
TI - Botryoid odontogenic cyst: clinical and pathological analysis in relation to
recurrence.
AB - OBJECTIVES: Botryoid odontogenic cyst (BOC) is considered as an unusual
multilocular variant of lateral periodontal cyst (LPC). Review of the literature
indicates that this lesion has higher risk of recurrence than LPC, but objective
reasons are still unknown. The aim of this study is identify main clinical and
pathological variables associated with the risk of recurrence. STUDY DESIGN: A
complete literature review about cases of BOC was made, from its first
description up to the year 2006. A total of 67 cases of BOC were identified from
year 1973 (first case) to the last publication in 2005. RESULTS: 85.2% of the
BOCs were located in the jaw, affecting to women (54.8% of the cases) in the
fifth decade of the life. This lesion shows a well- circumscribed unilocular
(60%) or multilocular (40%) radiolucency. Recurrence rate of cases with follow-up
data was 32,4%. CONCLUSIONS: Size and multilocular patterns could be the main
factors associated to recurrence in BOC.
PMID- 18059247
TI - Spontaneous fracture of the mandibular genial tubercles. A case report.
AB - Fracture of the mandibular genial tubercles is an uncommon pathology affecting
edentulous patients with severe maxillary atrophy. Usually occurs spontaneously
which complicates the diagnosis. Their importance lies in the functional
alterations, which occur as a consequence of the disinsertion of the genihyoid
and genioglossus muscles. The treatment of fracture of the genial tubercles is
controversial, including no surgical intervention, excision of the avulsed bone
fragments, and muscular repositioning. There have been only 11 cases reported in
the literature of this fracture, most of them spontaneous. We present a difficult
diagnosis situation of spontaneous fracture of the genial tubercles in an 86-year
old edentulous female with a painful sublingual and submental hematoma and
anterior cervical echimosis. Computerized Tomography should be made to confirm
the diagnosis. Surgical treatment was not necessary, and follow-up at 6 months
revealed complete symptomatic recovery, and full return of function.
PMID- 18059248
TI - Progressive facial hemiatrophy with associated osseous lesions.
AB - Progressive facial hemiatrophy (PFH) is a rare condition characterized by the
slow, progressive appearance of a unilateral facial atrophy that affects the
skin, subcutaneous tissue, muscle and bone. We report the case of a 60-year-old
female patient whose cutaneous symptoms commenced in 1987 in the form of a
purplish erythema on the left side of her face and neck, which subsequently
remitted giving rise to an indurated region in the left maxillary region. Since
1995 until the present day, she has developed facial hemiatrophy on the left side
accompanied by progressive osseous reabsorption of the upper maxilla and left
mandible with atrophy of soft tissue. The association of the onset of PFH with
progressive osteolysis of the maxilla has not been previously reported in an
adult patient.
PMID- 18059249
TI - Third canal in the mesial root of permanent mandibular first molars: review of
the literature and presentation of 3 clinical reports and 2 in vitro studies.
AB - INTRODUCTION: Systematic anatomical studies corroborate the anatomical complexity
of the root canal system. Deviations from the norm such as multiple orifices,
apical deltas, accessory canals and other variations are frequent. OBJECTIVES: To
present clinical reports of mandibular molars with three canals in the mesial
root and two in vitro studies of the morphology of these canals, together with a
review of the literature. MATERIALS AND METHODS: Three clinical reports are
presented of mandibular molars where three canals in the mesial roots were
treated endodontically. Two in vitro studies were performed, one using
computerized tomography and the other with scanning electron microscopy, to
describe the morphology of the mesial root canals of permanent first molars. The
scientific literature on this anatomical situation was also reviewed. RESULTS:
The tomographic study found that the prevalence of a third mesial canal in the 27
teeth analysed was 14.81%; the microscopic study of 25 teeth found it to be 12%.
The review of the literature encountered that the authors who report cases such
as these found prevalences ranging from 1% to 15%. CONCLUSIONS: From the teeth
analysed in vitro, it is concluded that a third mesial canal may be present in a
mandibular first molar in approximately 13% of cases. Clinically, the third canal
is difficult to find and exhibits a very variable morphology, which may present
anastomosis with the other canals.
PMID- 18059250
TI - Biomechanical aspects of external root resorption in orthodontic therapy.
AB - External apical root resorption is a common phenomenon associated with
orthodontic treatment. The factors relevant to root resorption can be divided
into biological and mechanical factors. Some mechanical and biological factors
might be associated with an increased or decreased risk of root resorption during
orthodontic treatment. For mechanical factors, the extensive tooth movement, root
torque and intrusive forces, movement type, orthodontic force magnitude, duration
and type of force are involved. For biological factors, a genetic susceptibility,
systemic disease, gender and medication intake have been demonstrated influence
root resorption. Orthodontic therapy of patients with increased risk of root
resorption should be carefully planned. Medical history, medication intake,
family history, tooth agenesis, root morphology, oral health and habits must be
considerate if we do not want jeopardize our patients by severe root resorption.
To monitor apical root resorption the standard procedure is a radiographic
examination after 6 months of treatment. In teeth with enhanced risk, a 3-month
radiographic follow-up is recommended. The administration of anti-inflammatory
drugs might suppress root resorption induced by orthodontic therapy, although
none study was enough conclusive to indicate a protocol for patients with
enhanced risk. In the event of multiple external root resorption, the diagnostic
procedure should focus on the exclusion of the local factors and its associations
(such as magnitude, duration and type of orthodontic force; periodontal disease;
root form) that might lead to external root resorption. Systemic disorders
associated with phosphorus-calcium metabolic alterations shall be suspected. This
review searched the current knowledge of the mechanical and biological aspects of
root resorption in orthodontic tooth movement.
PMID- 18059251
TI - Oral health knowledge, attitudes and practice in 12-year-old schoolchildren.
AB - OBJECTIVE: The objective of this study was to assess the association between
knowledge, attitudes and practice of oral health in 12-year-old schoolchildren,
and to analyse the findings in terms of the conventional KAP health-education
model and of the critical approach. STUDY DESIGN: This study has a cross
sectional design. The study participants were 1105 randomly selected 12-year-old
children resident in the region of Galicia in Spain. For data collection, five
teams of one dentist and one assistant were formed. The dentist carried out the
physical examination and the assistant helped the subjects to answer the
questionnaire. Knowledge, attitudes and practice were assessed, as well as oral
health indicators. Multiple regression analysis was used to identify variables
affecting practice (as measured by extent of plaque). RESULTS: The results of
this study show how that there is an important association between oral health
knowledge, attitudes, and practice in 12-year-old schoolchildren in this region.
However, the results also show that attitude is not totally explained by
knowledge, so that attitude cannot be understood simply as an intermediate
variable in a knowledge practice causal chain. Specifically, the results indicate
that sociocultural environment modifies the association knowledge, attitudes and
practice. CONCLUSIONS: Within oral health education it is clearly important to
increase public knowledge of the risk factors for dental disease. However, the
efficacy of such education will be limited if health programs do not directly
impinge on attitudes, and take into account factors related to the environment,
education, social status and economic level of the targeted population.
PMID- 18059252
TI - [Human diseases caused by chlamydia and chlamydophila].
AB - Chlamydiae and Chlamydophilae are bacteria which are very common in the
environment, the proof of which can be the recently described "environmental
Chlamydiae". The classical Chlamydiae, such as Chlamydia trachomatis and
Chlamydophila pneumoniae, posed a threat to human health, causing several
diseases, including those with lethal course. In this article the biology and
systematics of these bacteria and the diseases caused by them in humans,
including those caused by classical and environmental Chlamydiae, are presented.
PMID- 18059253
TI - Co-expression of the TGF-beta1 and TGF- beta2 isoforms in nasal polyps and in
healthy mucosa.
AB - BACKGROUND: The formation of nasal polyps is connected with a chronic
inflammatory process with the activation of different cytokines. TGF-ss induces
fibrosis and acts as a chemoattractant and proliferation factor for fibroblasts.
The aim of the study was to evaluate the expression profiles of the genes coding
TGF-ss isoforms in nasal polyps with predominately eosinophilic and neutrophilic
infiltration and in healthy mucosa and to assess their mutual correlation with
the levels of gene transcription. MATERIAL/METHODS: The study group consisted of
24 patients with nasal polyposis. On the basis of the histopathological
evaluation there were 16 eosinophilic and 8 neutrophilic polyps. The control
group constituted 9 healthy patients. The expression profiles of the genes coding
the TGF-ss isoforms were detected using real-time RT-QPCR. RESULTS: TGF-beta1 and
TGF-beta2 mRNAs were revealed in 10 patients with eosinophilic polyps. TGF-beta1
transcriptional activity was accompanied by TGF-beta2 transcriptional activity in
nasal polyps. TGF-beta2 gene expression in tissues without mRNA for TGF-beta1 was
silenced. There was positive correlation between the expressions of the TGF-beta1
and TGF-beta2 isoforms in nasal polyps. TGF-beta1 mRNA was present at higher
levels in all control samples than in eosinophilic polyps. An increased TGF-beta1
mRNA expression was accompanied by an increased TGF-beta2 mRNA expression in
healthy mucosa. TGF-beta3 showed the most intensive transcriptional activity
among the TGF-ss isoforms in both nasal polyps and control tissues. There was no
correlation between TGF-beta3 and TGF-beta1 nor between TGF-beta3 and TGF-beta2
transcriptional activity in nasal polyps and normal tissue.
PMID- 18059254
TI - A case of septic shock secondary to Corynebacterium aquaticum bacteremia
occurring in an HIV-infected patient attending a promiscuous thermal SPA.
AB - Corynebacterium aquaticum, an environmental organism associated with fresh water,
has very seldom proved to be a cause of infection, although it has increasingly
been isolated from clinical specimens. This report describes an unusual case of
bacteremia occurring in an HIV-infected patient, complicated by septic shock and
secondary to an epididimo-orchitis. Combination therapy of levofloxacin and
metronidazole was used successfully.
PMID- 18059255
TI - Colistin in combination with rifampin and imipenem for treating a blaVIM-1
metallo-beta-lactamase-producing Enterobacter cloacae disseminated infection in a
liver transplant patient.
AB - A case of bla(VIM-1) producing E. cloacae disseminated infection in a patient
submitted to orthotopic liver transplantation is described. Synergism between
colistin, rifampin and imipenem was studied in vitro and this combination of
three drugs was used to treat E. cloacae infection. The synergistic activity of
this combination was demonstrated showing an increased activity of the serum
bactericidal activity in comparison with the bactericidal activity of the serum
taken during the previous therapy.
PMID- 18059256
TI - Trends in childhood cancer mortality--United States, 1990-2004.
AB - Cancer is the fourth most common cause of death (after unintentional injury,
homicide, and suicide) among persons aged 1-19 years in the United States.
Because recent childhood cancer mortality has not been well characterized in
terms of temporal, demographic, and geographic trends, CDC analyzed cancer death
rates among children (defined as aged 0-14 years) and adolescents (defined as
aged 15-19 years) for the period 1990-2004 by sex, age group, race, ethnicity,
U.S. Census region, and primary cancer site/leading diagnosis, using the most
recent data available from the National Vital Statistics System (NVSS). This
report describes the results of that analysis, which indicated that, overall, age
adjusted childhood cancer death rates decreased significantly during 1990-2004
among both sexes, both age groups, all races (except American Indians/Alaska
Natives [AI/ANs]), Hispanics, non-Hispanics, and all U.S. Census regions.
However, decreases in death rates varied among U.S. Census regions and between
Hispanics and non-Hispanics. Eliminating racial/ethnic health disparities is one
of the overarching goals of Healthy People 2010. Further research is needed to
understand geographic and ethnic disparities in childhood cancer death rates.
Moreover, cancer prevention and intervention measures should be designed to reach
populations that are underserved and at high risk.
PMID- 18059257
TI - Surveillance for travel-associated legionnaires disease--United States, 2005
2006.
AB - An estimated 8,000-18,000 persons are hospitalized with Legionnaires disease (LD)
each year in the United States, and approximately 20% of reported LD cases are
associated with travel. Outbreaks of travel-associated LD can be difficult to
detect because travelers disperse and Legionella-specific diagnostic tests are
underutilized. Consequently, clinicians and health departments often are unaware
when more than one LD case is associated with a common travel destination. In
2005, the Council of State and Territorial Epidemiologists (CSTE) adopted a
position statement recommending enhanced surveillance measures for LD, with
emphasis on diagnosis and reporting of confirmed travel-associated LD cases
within 7 days. The rationale for enhanced surveillance was that earlier detection
might lead to more rapid identification of a source (e.g., cooling tower) and
expedite prevention measures (e.g., cleaning and chlorination). To 1) assess data
from 32 states that used a supplementary reporting system for LD, 2) describe the
epidemiology of travel-associated LD, and 3) compare characteristics of travel
associated cases with those of cases not associated with travel, CDC analyzed LD
cases reported via the supplementary system during 2005-2006. The results of that
analysis indicated that the proportion of LD cases that were travel associated
remained stable from 2005 (23%) to 2006 (25%), the proportion of travel
associated cases reported via a dedicated CDC e-mail address increased from 11%
to 24%, and the number of reported clusters of travel-associated LD increased
from two in 2005 to eight in 2006. These results suggest that, to fully assess
the benefits of enhanced LD surveillance, more states will need to adopt the CSTE
recommendations.
PMID- 18059258
TI - Brief report: respiratory syncytial virus activity--United States, July 2006
November 2007.
AB - Respiratory syncytial virus (RSV), the most common cause of severe lower
respiratory tract disease among infants and young children, typically infects
persons by age 2 years and can cause subsequent infections throughout life. RSV
infection primarily manifests as bronchiolitis or pneumonia and results in
approximately 75,000 to 125,000 hospitalizations in the United States each year.
Persons at increased risk for severe disease or death include premature infants,
older adults, and persons of any age with compromised respiratory, cardiac, or
immune systems. RSV is transmitted from person to person via close contact,
droplets, or fomites. In temperate climates, peak RSV activity typically occurs
during the winter. However, year-to-year national and regional variability in the
RSV season onset and offset occurs in the United States. RSV circulation also
varies by geographic location; for example, Florida has an earlier season onset
and a longer season than the rest of the United States. Using data reported to
the National Respiratory and Enteric Virus Surveillance System (NREVSS), this
report summarizes RSV temporal and geographic trends in the United States during
the weeks ending July 8, 2006-June 30, 2007, and for the first 5 months of the
current reporting season (the weeks ending July 7-November 24, 2007).
Appropriately timed diagnostic tests can provide data that indicate when the RSV
season begins nationally and regionally, information that has been critical in
determining when to begin RSV prophylaxis for infants and children at high risk
for infection.
PMID- 18059259
TI - Generation of induced pluripotent stem cells without Myc from mouse and human
fibroblasts.
AB - Direct reprogramming of somatic cells provides an opportunity to generate patient
or disease-specific pluripotent stem cells. Such induced pluripotent stem (iPS)
cells were generated from mouse fibroblasts by retroviral transduction of four
transcription factors: Oct3/4, Sox2, Klf4 and c-Myc. Mouse iPS cells are
indistinguishable from embryonic stem (ES) cells in many respects and produce
germline-competent chimeras. Reactivation of the c-Myc retrovirus, however,
increases tumorigenicity in the chimeras and progeny mice, hindering clinical
applications. Here we describe a modified protocol for the generation of iPS
cells that does not require the Myc retrovirus. With this protocol, we obtained
significantly fewer non-iPS background cells, and the iPS cells generated were
consistently of high quality. Mice derived from Myc(-) iPS cells did not develop
tumors during the study period. The protocol also enabled efficient isolation of
iPS cells without drug selection. Furthermore, we generated human iPS cells from
adult dermal fibroblasts without MYC.
PMID- 18059260
TI - Redirecting lipoic acid ligase for cell surface protein labeling with small
molecule probes.
AB - Live cell imaging is a powerful method to study protein dynamics at the cell
surface, but conventional imaging probes are bulky, or interfere with protein
function, or dissociate from proteins after internalization. Here, we report
technology for covalent, specific tagging of cellular proteins with chemical
probes. Through rational design, we redirected a microbial lipoic acid ligase
(LplA) to specifically attach an alkyl azide onto an engineered LplA acceptor
peptide (LAP). The alkyl azide was then selectively derivatized with cyclo-octyne
conjugates to various probes. We labeled LAP fusion proteins expressed in living
mammalian cells with Cy3, Alexa Fluor 568 and biotin. We also combined LplA
labeling with our previous biotin ligase labeling, to simultaneously image the
dynamics of two different receptors, coexpressed in the same cell. Our
methodology should provide general access to biochemical and imaging studies of
cell surface proteins, using small fluorophores introduced via a short peptide
tag.
PMID- 18059261
TI - Discovery and characterization of a marine bacterial SAM-dependent chlorinase.
AB - Halogen atom incorporation into a scaffold of bioactive compounds often amplifies
biological activity, as is the case for the anticancer agent salinosporamide A
(1), a chlorinated natural product from the marine bacterium Salinispora tropica.
Significant effort in understanding enzymatic chlorination shows that oxidative
routes predominate to form reactive electrophilic or radical chlorine species.
Here we report the genetic, biochemical and structural characterization of the
chlorinase SalL, which halogenates S-adenosyl-L-methionine (2) with chloride to
generate 5'-chloro-5'-deoxyadenosine (3) and L-methionine (4) in a rarely
observed nucleophilic substitution strategy analogous to that of Streptomyces
cattleya fluorinase. Further metabolic tailoring produces a halogenated
polyketide synthase substrate specific for salinosporamide A biosynthesis. SalL
also accepts bromide and iodide as substrates, but not fluoride. High-resolution
crystal structures of SalL and active site mutants complexed with substrates and
products support the S(N)2 nucleophilic substitution mechanism and further
illuminate halide specificity in this newly discovered halogenase family.
PMID- 18059262
TI - An allosteric potentiator of M4 mAChR modulates hippocampal synaptic
transmission.
AB - Muscarinic acetylcholine receptors (mAChRs) provide viable targets for the
treatment of multiple central nervous system disorders. We have used
cheminformatics and medicinal chemistry to develop new, highly selective M4
allosteric potentiators. VU10010, the lead compound, potentiates the M4 response
to acetylcholine 47-fold while having no activity at other mAChR subtypes. This
compound binds to an allosteric site on the receptor and increases affinity for
acetylcholine and coupling to G proteins. Whole-cell patch clamp recordings
revealed that selective potentiation of M4 with VU10010 increases carbachol
induced depression of transmission at excitatory but not inhibitory synapses in
the hippocampus. The effect was not mimicked by an inactive analog of VU10010 and
was absent in M4 knockout mice. Selective regulation of excitatory transmission
by M4 suggests that targeting of individual mAChR subtypes could be used to
differentially regulate specific aspects of mAChR modulation of function in this
important forebrain structure.
PMID- 18059263
TI - Molecular basis of cyclin-CDK-CKI regulation by reversible binding of an inositol
pyrophosphate.
AB - When Saccharomyces cerevisiae cells are starved of inorganic phosphate, the Pho80
Pho85 cyclin-cyclin-dependent kinase (CDK) is inactivated by the Pho81 CDK
inhibitor (CKI). The regulation of Pho80-Pho85 is distinct from previously
characterized mechanisms of CDK regulation: the Pho81 CKI is constitutively
associated with Pho80-Pho85, and a small-molecule ligand, inositol
heptakisphosphate (IP7), is required for kinase inactivation. We investigated the
molecular basis of the IP7- and Pho81-dependent Pho80-Pho85 inactivation using
electrophoretic mobility shift assays, enzyme kinetics and fluorescence
spectroscopy. We found that IP7 interacts noncovalently with Pho80-Pho85-Pho81
and induces additional interactions between Pho81 and Pho80-Pho85 that prevent
substrates from accessing the kinase active site. Using synthetic peptides
corresponding to Pho81, we define regions of Pho81 responsible for constitutive
Pho80-Pho85 binding and IP7-regulated interaction and inhibition. These findings
expand our understanding of the mechanisms of cyclin-CDK regulation and of the
biochemical mechanisms of IP7 action.
PMID- 18059264
TI - Neuromuscular consequences of reflexive covert orienting.
AB - Visual stimulus presentation activates the oculomotor network without requiring a
gaze shift. Here, we demonstrate that primate neck muscles are recruited during
such reflexive covert orienting in a manner that parallels activity recorded from
the superior colliculus (SC). Our results indicate the presence of a brainstem
circuit whereby reflexive covert orienting is prevented from shifting gaze, but
recruits neck muscles, predicting that similarities between SC and neck muscle
activity should extend to other cognitive processes that are known to influence
SC activity.
PMID- 18059265
TI - Semaphorin-3A guides radial migration of cortical neurons during development.
AB - Postmitotic neurons in the developing cortex migrate along radial glial fibers to
their proper location in the cortical plate and form the layered structure. Here
we report that the radial migration of rat layer II/III cortical neurons requires
guidance by the extracellular diffusible factor Semaphorin-3A (Sema3A). This
factor is expressed in a descending gradient across the cortical layers, whereas
its receptor neuropilin-1 (NP1) is highly expressed in migrating neurons.
Downregulation or conditional knockout of NP1 in newborn cortical neurons impedes
their radial migration by disrupting their radial orientation during migration
without altering their cell fate. Studies in cultured cortical slices further
show that the endogenous gradient of Sema3A is required for the proper migration
of newborn neurons. In addition, transwell chemotaxis assays show that isolated
newborn neurons are attracted by Sema3A. Thus, Sema3A may function as a
chemoattractive guidance signal for the radial migration of newborn cortical
neurons toward upper layers.
PMID- 18059266
TI - Psoriasis is associated with increased beta-defensin genomic copy number.
AB - Psoriasis is a common inflammatory skin disease with a strong genetic component.
We analyzed the genomic copy number polymorphism of the beta-defensin region on
human chromosome 8 in 179 Dutch individuals with psoriasis and 272 controls and
in 319 German individuals with psoriasis and 305 controls. Comparisons in both
cohorts showed a significant association between higher genomic copy number for
beta-defensin genes and risk of psoriasis.
PMID- 18059267
TI - Polymorphism at the TNF superfamily gene TNFSF4 confers susceptibility to
systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is a multisystem complex autoimmune disease of
uncertain etiology (OMIM 152700). Over recent years a genetic component to SLE
susceptibility has been established. Recent successes with association studies in
SLE have identified genes including IRF5 (refs. 4,5) and FCGR3B. Two tumor
necrosis factor (TNF) superfamily members located within intervals showing
genetic linkage with SLE are TNFSF4 (also known as OX40L; 1q25), which is
expressed on activated antigen-presenting cells (APCs) and vascular endothelial
cells, and also its unique receptor, TNFRSF4 (also known as OX40; 1p36), which is
primarily expressed on activated CD4+ T cells. TNFSF4 produces a potent co
stimulatory signal for activated CD4+ T cells after engagement of TNFRSF4 (ref.
11). Using both a family-based and a case-control study design, we show that the
upstream region of TNFSF4 contains a single risk haplotype for SLE, which is
correlated with increased expression of both cell-surface TNFSF4 and the TNFSF4
transcript. We hypothesize that increased expression of TNFSF4 predisposes to SLE
either by quantitatively augmenting T cell-APC interaction or by influencing the
functional consequences of T cell activation via TNFRSF4.
PMID- 18059268
TI - Chymotrypsin C (CTRC) variants that diminish activity or secretion are associated
with chronic pancreatitis.
AB - Chronic pancreatitis is a persistent inflammatory disease of the pancreas, in
which the digestive protease trypsin has a fundamental pathogenetic role. Here we
have analyzed the gene encoding the trypsin-degrading enzyme chymotrypsin C
(CTRC) in German subjects with idiopathic or hereditary chronic pancreatitis. Two
alterations in this gene, p.R254W and p.K247_R254del, were significantly
overrepresented in the pancreatitis group, being present in 30 of 901 (3.3%)
affected individuals but only 21 of 2,804 (0.7%) controls (odds ratio (OR) = 4.6;
confidence interval (CI) = 2.6-8.0; P = 1.3 x 10(-7)). A replication study
identified these two variants in 10 of 348 (2.9%) individuals with alcoholic
chronic pancreatitis but only 3 of 432 (0.7%) subjects with alcoholic liver
disease (OR = 4.2; CI = 1.2-15.5; P = 0.02). CTRC variants were also found in 10
of 71 (14.1%) Indian subjects with tropical pancreatitis but only 1 of 84 (1.2%)
healthy controls (OR = 13.6; CI = 1.7-109.2; P = 0.0028). Functional analysis of
the CTRC variants showed impaired activity and/or reduced secretion. The results
indicate that loss-of-function alterations in CTRC predispose to pancreatitis by
diminishing its protective trypsin-degrading activity.
PMID- 18059271
TI - CD19 is essential for B cell activation by promoting B cell receptor-antigen
microcluster formation in response to membrane-bound ligand.
AB - Here we describe the spatiotemporal architecture, at high molecular resolution,
of receptors and signaling molecules during the early events of mouse B cell
activation. In response to membrane-bound ligand stimulation, antigen aggregation
occurs in B cell antigen receptor (BCR) microclusters containing immunoglobulin
(Ig) M and IgD that recruit the kinase Syk and transiently associate with the
coreceptor CD19. Unexpectedly, CD19-deficient B cells were significantly
defective in initiation of BCR-dependent signaling, accumulation of downstream
effectors and cell spreading, defects that culminated in reduced microcluster
formation. Hence, we have defined the dynamics of assembly of the main
constituents of the BCR 'signalosome' and revealed an essential role for CD19,
independent of the costimulatory molecule CD21, in amplifying early B cell
activation events in response to membrane-bound ligand stimulation.
PMID- 18059270
TI - Defective mast cell effector functions in mice lacking the CRACM1 pore subunit of
store-operated calcium release-activated calcium channels.
AB - CRACM1 (also called Orai1) constitutes the pore subunit of store-operated calcium
release-activated calcium channels. A point mutation in the gene encoding CRACM1
is associated with severe combined immunodeficiency disease in humans. Here we
generated CRACM1-deficient mice in which beta-galactosidase activity 'reported'
CRACM1 expression. CRACM1-deficient mice were smaller in size. Mast cells derived
from CRACM1-deficient mice showed grossly defective degranulation and cytokine
secretion, and the allergic reactions elicited in vivo were inhibited in CRACM1
deficient mice. We detected robust CRACM1 expression in skeletal muscles and some
regions of the brain, heart and kidney but not in the lymphoid regions of thymus
and spleen. In contrast, we found CRACM2 expression to be much higher in mouse T
cells. In agreement with those findings, the store-operated calcium influx and
development and proliferation of CRACM1-deficient T cells was unaffected. Thus,
CRACM1 is crucial in mouse mast cell effector function, but mouse T cell calcium
release-activated calcium channels are functional in the absence of CRACM1.
PMID- 18059269
TI - Germline rates of de novo meiotic deletions and duplications causing several
genomic disorders.
AB - Meiotic recombination between highly similar duplicated sequences (nonallelic
homologous recombination, NAHR) generates deletions, duplications, inversions and
translocations, and it is responsible for genetic diseases known as 'genomic
disorders', most of which are caused by altered copy number of dosage-sensitive
genes. NAHR hot spots have been identified within some duplicated sequences. We
have developed sperm-based assays to measure the de novo rate of reciprocal
deletions and duplications at four NAHR hot spots. We used these assays to
dissect the relative rates of NAHR between different pairs of duplicated
sequences. We show that (i) these NAHR hot spots are specific to meiosis, (ii)
deletions are generated at a higher rate than their reciprocal duplications in
the male germline and (iii) some of these genomic disorders are likely to have
been underascertained clinically, most notably that resulting from the
duplication of 7q11, the reciprocal of the deletion causing Williams-Beuren
syndrome.
PMID- 18059272
TI - Essential function for the calcium sensor STIM1 in mast cell activation and
anaphylactic responses.
AB - Mast cells have key functions as effectors of immunoglobulin E-mediated allergic
inflammatory diseases. Allergen stimulation induces Ca2+ influx and elicits the
secretion of inflammatory mediators from mast cells. Here we show that the Ca2+
binding endoplasmic reticulum protein STIM1 is critical to mast cell function.
STIM1-deficient fetal liver-derived mast cells had impaired Ca2+ influx mediated
by the high-affinity immunoglobulin E receptor FcepsilonRI and activation of the
transcription factors NF-kappaB and NFAT. Mast cells lacking STIM1 also had much
less degranulation and cytokine production after FcepsilonRI stimulation. In
addition, alterations in STIM1 expression affected the sensitivity of
immunoglobulin E-mediated immediate-phase anaphylactic responses in vivo. Thus,
STIM1 is key in promoting the Ca2+ influx that is essential for FcepsilonRI
mediated mast cell activation and anaphylaxis.
PMID- 18059273
TI - The contribution of transcription factor IRF1 to the interferon-gamma-interleukin
12 signaling axis and TH1 versus TH-17 differentiation of CD4+ T cells.
AB - Interleukin-12 (IL-12) and interferon-gamma (IFN-gamma) drive T helper type 1
(T(H)1) differentiation, but the mechanisms underlying the regulation of the
complicated gene networks involved in this differentiation are not fully
understood. Here we show that the IFN-gamma-induced transcription factor IRF1 was
essential in T(H)1 differentiation by acting on Il12rb1, the gene encoding the IL
12 receptor beta1 subunit (IL-12Rbeta1). IRF1 directly interacted with and
activated the Il12rb1 promoter in CD4+ T cells. Notably, the IRF1-dependent
induction of IL-12Rbeta1 was essential for IFN-gamma-IL-12 signaling but was
dispensable for IL-23-IL-17 signaling. Because both IL-12 and IL-23 bind to and
transmit signals through IL-12Rbeta1, our data suggest that distinct thresholds
of IL-12Rbeta1 expression are required for T(H)1 versus T(H)-17 differentiation.
PMID- 18059274
TI - Gate-induced insulating state in bilayer graphene devices.
AB - The potential of graphene-based materials consisting of one or a few layers of
graphite for integrated electronics originates from the large room-temperature
carrier mobility in these systems (approximately 10,000 cm2 V(-1) s(-1)).
However, the realization of electronic devices such as field-effect transistors
will require controlling and even switching off the electrical conductivity by
means of gate electrodes, which is made difficult by the absence of a bandgap in
the intrinsic material. Here, we demonstrate the controlled induction of an
insulating state--with large suppression of the conductivity--in bilayer
graphene, by using a double-gate device configuration that enables an electric
field to be applied perpendicular to the plane. The dependence of the resistance
on temperature and electric field, and the absence of any effect in a single
layer device, strongly suggest that the gate-induced insulating state originates
from the recently predicted opening of a bandgap between valence and conduction
bands.
PMID- 18059275
TI - Three-dimensional photonic metamaterials at optical frequencies.
AB - Metamaterials are artificially structured media with unit cells much smaller than
the wavelength of light. They have proved to possess novel electromagnetic
properties, such as negative magnetic permeability and negative refractive index.
This enables applications such as negative refraction, superlensing and
invisibility cloaking. Although the physical properties can already be
demonstrated in two-dimensional (2D) metamaterials, the practical applications
require 3D bulk-like structures. This prerequisite has been achieved in the
gigahertz range for microwave applications owing to the ease of fabrication by
simply stacking printed circuit boards. In the optical domain, such an elegant
method has been the missing building block towards the realization of 3D
metamaterials. Here, we present a general method to manufacture 3D optical
(infrared) metamaterials using a layer-by-layer technique. Specifically, we
introduce a fabrication process involving planarization, lateral alignment and
stacking. We demonstrate stacked metamaterials, investigate the interaction
between adjacent stacked layers and analyse the optical properties of stacked
metamaterials with respect to an increasing number of layers.
PMID- 18059276
TI - Sliding charge-density wave in manganites.
AB - Stripe and chequerboard phases appear in many metal oxide compounds, and are
thought to be linked to exotic behaviour such as high-temperature
superconductivity and colossal magnetoresistance. It is therefore extremely
important to understand the fundamental nature of such phases. The so-called
stripe phase of the manganites has long been interpreted as the localization of
charge at atomic sites. Here, we present resistance measurements on
La(0.50)Ca(0.50)MnO(3) that strongly suggest that this state is in fact a
prototypical charge-density wave (CDW) that undergoes collective transport.
Dramatic resistance hysteresis effects and broadband noise properties are
observed, both of which are typical of sliding CDW systems. Moreover, the high
levels of disorder typical of manganites result in behaviour similar to that of
well-known disordered CDW materials. The CDW-type behaviour of the manganite
superstructure suggests that unusual transport and structural properties do not
require exotic physics, but could emerge when a well-understood phase (the CDW)
coexists with disorder.
PMID- 18059277
TI - Thermochromism in an organic crystal based on the coexistence of sigma- and pi
dimers.
AB - Transition-metal complexes and organic radical molecules can be used to make
electric conductors and ferromagnets, the optical properties of which can be
controlled by changing temperature and are used as molecular switches and
sensors. Whereas a number of organic radicals in solution show temperature
dependent optical properties, such behaviour in crystalline forms is more rare.
Here, we show a fully reversible continuous thermochromism with a unique
mechanism in purely organic crystals of diazaphenalenyl radical. This behaviour
is based on changes in the diazaphenalenyl dimers coexisting in the crystal. From
the X-ray crystal structure analyses and temperature-dependent visible spectra,
we conclude the presence of a thermal equilibrium between sigma-bonded and pi
bonded dimers, which are separated by 2.62(6) kcal mol(-1). This conclusion is
supported by room-temperature electron spin resonance spectra of the solid, which
showed signals that are attributable to a thermally accessible triplet state of
the pi-dimer structure. This proves the coexistence of two dimers of different
bonding natures in the crystal, causing it to demonstrate thermometer-like
behaviour.
PMID- 18059278
TI - Resolution of de novo HIV production and trafficking in immature dendritic cells.
AB - The challenge in observing de novo virus production in human immunodeficiency
virus (HIV)-infected dendritic cells (DCs) is the lack of resolution between
cytosolic immature and endocytic mature HIV gag protein. To track HIV production,
we developed an infectious HIV construct bearing a diothiol-resistant
tetracysteine motif (dTCM) at the C terminus of HIV p17 matrix within the HIV gag
protein. Using this construct in combination with biarsenical dyes, we observed
restricted staining of the dTCM to de novo-synthesized uncleaved gag in the DC
cytosol. Co-staining with HIV gag antibodies, reactive to either p17 matrix or
p24 capsid, preferentially stained mature virions and thus allowed us to track
the virus at distinct stages of its life cycle within DCs and upon transfer to
neighboring DCs or T cells. Thus, in staining HIV gag with biarsenical dye system
in situ, we characterized a replication-competent virus capable of being tracked
preferentially within infected leukocytes and observed in detail the dynamic
nature of the HIV production and transfer in primary DCs.
PMID- 18059279
TI - Cleavage of CXCR1 on neutrophils disables bacterial killing in cystic fibrosis
lung disease.
AB - Interleukin-8 (IL-8) activates neutrophils via the chemokine receptors CXCR1 and
CXCR2. However, the airways of individuals with cystic fibrosis are frequently
colonized by bacterial pathogens, despite the presence of large numbers of
neutrophils and IL-8. Here we show that IL-8 promotes bacterial killing by
neutrophils through CXCR1 but not CXCR2. Unopposed proteolytic activity in the
airways of individuals with cystic fibrosis cleaved CXCR1 on neutrophils and
disabled their bacterial-killing capacity. These effects were protease
concentration-dependent and also occurred to a lesser extent in individuals with
chronic obstructive pulmonary disease. Receptor cleavage induced the release of
glycosylated CXCR1 fragments that were capable of stimulating IL-8 production in
bronchial epithelial cells via Toll-like receptor 2. In vivo inhibition of
proteases by inhalation of alpha1-antitrypsin restored CXCR1 expression and
improved bacterial killing in individuals with cystic fibrosis. The cleavage of
CXCR1, the functional consequences of its cleavage, and the identification of
soluble CXCR1 fragments that behave as bioactive components represent a new
pathophysiologic mechanism in cystic fibrosis and other chronic lung diseases.
PMID- 18059280
TI - Therapeutic differentiation and maturation of lymphatic vessels after lymph node
dissection and transplantation.
AB - Surgery or radiation therapy of metastatic cancer often damages lymph nodes,
leading to secondary lymphedema. Here we show, using a newly established mouse
model, that collecting lymphatic vessels can be regenerated and fused to lymph
node transplants after lymph node removal. Treatment of lymph node-excised mice
with adenovirally delivered vascular endothelial growth factor-C (VEGF-C) or VEGF
D induced robust growth of the lymphatic capillaries, which gradually underwent
intrinsic remodeling, differentiation and maturation into functional collecting
lymphatic vessels, including the formation of uniform endothelial cell-cell
junctions and intraluminal valves. The vessels also reacquired pericyte contacts,
which downregulated lymphatic capillary markers during vessel maturation. Growth
factor therapy improved the outcome of lymph node transplantation, including
functional reconstitution of the immunological barrier against tumor metastasis.
These results show that growth factor-induced maturation of lymphatic vessels is
possible in adult mice and provide a basis for future therapy of lymphedema.
PMID- 18059281
TI - In vivo gene silencing identifies the Mycobacterium tuberculosis proteasome as
essential for the bacteria to persist in mice.
AB - The success of Mycobacterium tuberculosis (Mtb) as a human pathogen relies on its
ability to resist eradication by the immune system. The identification of
mechanisms that enable Mtb to persist is key for finding ways to limit latent
tuberculosis, which affects one-third of the world's population. Here we show
that conditional gene silencing can be used to determine whether an Mtb gene
required for optimal growth in vitro is also important for virulence and, if so,
during which phase of an infection it is required. Application of this approach
to the prcBA genes, which encode the core of the mycobacterial proteasome,
revealed an unpredicted requirement of the core proteasome for the persistence of
Mtb during the chronic phase of infection in mice. Proteasome depletion also
attenuated Mtb in interferon-gamma-deficient mice, pointing to a function of the
proteasome beyond defense against the adaptive immune response. Genes that are
essential for growth in vitro, in vivo or both account for approximately 20% of
Mtb's genome. Conditional gene silencing could therefore facilitate the
validation of up to 800 potential Mtb drug targets and improve our understanding
of host-pathogen dynamics.
PMID- 18059282
TI - PPAR-gamma regulates osteoclastogenesis in mice.
AB - Osteoclasts are bone-resorbing cells derived from hematopoietic precursors of the
monocyte-macrophage lineage. Regulation of osteoclast function is central to the
understanding of bone diseases such as osteoporosis, rheumatoid arthritis and
osteopetrosis. Although peroxisome proliferator-activated receptor-gamma (PPAR
gamma) has been shown to inhibit osteoblast differentiation, its role, if any, in
osteoclasts is unknown. This is a clinically crucial question because PPAR-gamma
agonists, "such as thiazolidinediones-" a class of insulin-sensitizing drugs,
have been reported to cause a higher rate of fractures in human patients. Here we
have uncovered a pro-osteoclastogenic effect of PPAR-gamma by using a
Tie2Cre/flox mouse model in which PPAR-gamma is deleted in osteoclasts but not in
osteoblasts. These mice develop osteopetrosis characterized by increased bone
mass, reduced medullary cavity space and extramedullary hematopoiesis in the
spleen. These defects are the result of impaired osteoclast differentiation and
compromised receptor activator of nuclear factor-kappaB ligand signaling and can
be rescued by bone marrow transplantation. Moreover, ligand activation of PPAR
gamma by rosiglitazone exacerbates osteoclast differentiation in a receptor
dependent manner. Our examination of the underlying mechanisms suggested that
PPAR-gamma functions as a direct regulator of c-fos expression, an essential
mediator of osteoclastogenesis. Therefore, PPAR-gamma and its ligands have a
previously unrecognized role in promoting osteoclast differentiation and bone
resorption.
PMID- 18059283
TI - Antidepressant actions of the exercise-regulated gene VGF.
AB - Exercise has many health benefits, including antidepressant actions in depressed
human subjects, but the mechanisms underlying these effects have not been
elucidated. We used a custom microarray to identify a previously undescribed
profile of exercise-regulated genes in the mouse hippocampus, a brain region
implicated in mood and antidepressant response. Pathway analysis of the regulated
genes shows that exercise upregulates a neurotrophic factor signaling cascade
that has been implicated in the actions of antidepressants. One of the most
highly regulated target genes of exercise and of the growth factor pathway is the
gene encoding the VGF nerve growth factor, a peptide precursor previously shown
to influence synaptic plasticity and metabolism. We show that administration of a
synthetic VGF-derived peptide produces a robust antidepressant response in mice
and, conversely, that mutation of VGF in mice produces the opposite effects. The
results suggest a new role for VGF and identify VGF signaling as a potential
therapeutic target for antidepressant drug development.
PMID- 18059284
TI - Evidence of fibril-like beta-sheet structures in a neurotoxic amyloid
intermediate of Alzheimer's beta-amyloid.
AB - Diffusible subfibrillar aggregates of amyloid proteins are potent neurotoxins and
primary suspects in amyloid diseases including Alzheimer's disease. Despite
widespread interest, the molecular structures of the amyloid intermediates and
the conformational conversions in amyloid misfolding are poorly understood. Here
we present a molecular-level examination of sequence-specific secondary
structures and supramolecular structures of a neurotoxic amyloid intermediate of
the 40-residue beta-amyloid (Abeta) peptide involved in Alzheimer's disease.
Using solid-state NMR and electron microscopy, we show that, before
fibrillization, natively unstructured monomeric Abeta is subject to large
conformational changes into a spherical amyloid intermediate of 15-35 nm
diameter, which has predominantly parallel beta-sheet structures. Structural
comparison with Abeta fibrils demonstrates that formation of this beta-sheet
intermediate I(beta) largely defines conformational transitions in amyloid
misfolding. Neurotoxicity assays on PC12 cells show that I(beta) shows higher
toxicity than the fibril, indicating that the beta-sheet formation may trigger
neurotoxicity.
PMID- 18059286
TI - Substrate RNA positioning in the archaeal H/ACA ribonucleoprotein complex.
AB - The most complex RNA pseudouridylases are H/ACA ribonucleoprotein particles,
which use a guide RNA for substrate capture and four proteins (Cbf5, Nop10, Gar1
and L7Ae/NHP2) for substrate modification. Here we report the three-dimensional
structure of a catalytically deficient archaeal enzyme complex (including the
guide RNA and three of the four essential proteins) bound to a substrate RNA.
Extensive interactions of Cbf5 with one guide-substrate helix and a guide RNA
stem shape the forked guide-substrate RNA complex structure and position the
substrate in proximity of the Cbf5 catalytic center. Our structural and
complementary fluorescence analyses also indicate that precise placement of the
target uridine at the active site requires a conformation of the guide-substrate
RNA duplex that is brought about by the previously identified concurrent
interaction of the guide RNA with L7Ae and a composite Cbf5-Nop10 surface, and
further identify a residue that is critical in this process.
PMID- 18059287
TI - Structure of phage P22 cell envelope-penetrating needle.
AB - Bacteriophage P22 infects Salmonella enterica by injecting its genetic material
through the cell envelope. During infection, a specialized tail needle, gp26, is
injected into the host, likely piercing a hole in the host cell envelope. The 2.1
A crystal structure of gp26 reveals a 240-A elongated protein fiber formed by two
trimeric coiled-coil domains interrupted by a triple beta-helix.The N terminus of
gp26 plugs the portal protein channel, retaining the genetic material inside the
virion. The C-terminal tip of the fiber exposes beta-hairpins with hydrophobic
tips similar to those seen in class II fusion peptides. The alpha-helical core
connecting these two functionally polarized tips presents four trimerization
octads with consensus sequence IXXLXXXV. The slender conformation of the gp26
fiber minimizes the surface exposed to solvent, which is consistent with the idea
that gp26 traverses the cell envelope lipid bilayers.
PMID- 18059288
TI - The versatility of Shigella effectors.
AB - When Shigella infect the intestinal epithelium, they deliver several effectors
through the type III secretion system (T3SS) into the surrounding space and
directly into the host-cell cytoplasm, where they can mimic and usurp host
cellular functions or subvert host-cell signalling pathways and the immune
response. Although bacterial strategies and mechanisms of infection vary greatly,
recent studies of Shigella effectors have revealed that Shigella possess a highly
evolved strategy for infection.
PMID- 18059289
TI - Kiss and spit: the dual roles of Toxoplasma rhoptries.
AB - Toxoplasma gondii is a single-celled, eukaryotic parasite that can only reproduce
inside a host cell. Upon entry, this Apicomplexan parasite co-opts host functions
for its own purposes. An unusual set of apical organelles, named rhoptries,
contain some of the machinery that is used by T. gondii both for invasion and to
commandeer host functions. Of particular interest are a group of injected protein
kinases that are among the most variable of all the T. gondii proteins. At least
one of these kinases has a major effect on host-gene expression, including the
modulation of key regulators of the immune response. Here, we discuss these
recent findings and use them to propose a model in which an expansion of host
range is a major force that drives rhoptry-protein evolution.
PMID- 18059290
TI - Getting organized--how bacterial cells move proteins and DNA.
AB - In recent years, the subcellular organization of prokaryotic cells has become a
focal point of interest in microbiology. Bacteria have evolved several different
mechanisms to target protein complexes, membrane vesicles and DNA to specific
positions within the cell. This versatility allows bacteria to establish the
complex temporal and spatial regulatory networks that couple morphological and
physiological differentiation with cell-cycle progression. In addition to
stationary localization factors, dynamic cytoskeletal structures also have a
fundamental role in many of these processes. In this Review, we summarize the
current knowledge on localization mechanisms in bacteria, with an emphasis on the
role of polymeric protein assemblies in the directed movement and positioning of
macromolecular complexes.
PMID- 18059292
TI - Boosting competition?
PMID- 18059294
TI - Colloids: a useful boundary.
PMID- 18059295
TI - Semiconductors: a new class of metamaterials.
PMID- 18059296
TI - Supramolecular assembly: nanofibre whirlpools.
PMID- 18059297
TI - Quasicrystals: comparative dynamics.
PMID- 18059298
TI - Material witness: golden wonder.
PMID- 18059299
TI - Transition metal oxides: the exciting world of orbitals.
PMID- 18059300
TI - Hope, hype and hypocrisy.
PMID- 18059301
TI - Comment on the multi-person interview: "the future of research universities".
PMID- 18059302
TI - Drp1 phosphorylation and mitochondrial regulation.
PMID- 18059305
TI - Public health and civil liberties. Introduction to the talking point on public
health versus civil liberties.
PMID- 18059306
TI - Your liberty or your life. Talking Point on public health versus civil liberties.
PMID- 18059307
TI - The continuing tensions between individual rights and public health. Talking
Point on public health versus civil liberties.
PMID- 18059308
TI - The impact of CO2. The global rise in the levels of CO2 is good for trees, bad
for grasses and terrible for corals.
PMID- 18059309
TI - Taking on creationism. Which arguments and evidence counter pseudoscience?
PMID- 18059310
TI - On the relationship of LDL and VEGFR1: not just a family affair.
PMID- 18059311
TI - A variable topology for the 30-nm chromatin fibre.
AB - The structure of the 30-nm chromatin fibre is an important determinant of the
regulation of eukaryotic transcription. A fundamental issue is whether the
stacking of nucleosomes in this fibre is organized as a one-start or two-start
helix. We argue that all recent experimental data are compatible with a two-start
helix and propose that the topology of the fibre, but not the mode of stacking
the nucleosomes, is dependent on the length of the linker DNA. This arrangement
conserves nucleosome stacking and thus the external morphology of the fibre, and
also ensures that the fibre adopts the highest available packing density.
PMID- 18059312
TI - Towards completion of the Earth's proteome.
AB - New protein sequences are deposited in databases at an accelerating pace;
however, many of these are homologous to known proteins and could be considered
redundant. If all historical releases of the protein database are analysed using
the original sequence-clustering procedure described here, the fraction of newly
sequenced proteins that are redundant is increasing. We interpret this as an
indication that the sequencing of the Earth's proteome--the complete set of
proteins on Earth--is approaching completion. We estimate the approximate size of
the Earth's proteome to be 5 million sequences, most of which will be identified
during the next 5 years. As the Earth's proteome nears completion, cluster
analysis of the protein database will become essential to identify under-explored
taxa to which future sequencing efforts should be directed and to focus research
on protein families without experimental characterization.
PMID- 18059314
TI - Drugs and trafficking of ion channels: a new pro-arrhythmic threat on the
horizon?
AB - Tuning of functional expression levels of the ion channels that make up the
cardiac action potential (AP) is crucial for preserving correct AP duration (APD)
and QTc times. Many compounds inhibit human ether-a-go-go related gene (hERG)
mediated delayed rectifier currents and thus prolong cardiac repolarization that
may cause life-threatening arrhythmias like Torsades de Pointes. An increasing
number of drugs are found to inhibit hERG function by a dual mechanism of short
term channel block and long-term trafficking defects that operate over different
time and concentration scales. In safety screens at present used by
pharmaceutical companies, the short-term effect of channel block is covered. In
contrast, specific screening for long-term trafficking defects is not common,
with the consequent risk of drugs that disturb trafficking entering the market.
Whether that poses another pro-arrhythmic threat for the patients treated has to
be determined, but is not unlikely.
PMID- 18059313
TI - Interleukin 2: from immunostimulation to immunoregulation and back again.
AB - Interleukin 2 (IL-2) was one of the first cytokines to be discovered. However,
the complex role of IL-2 and its receptor in the regulation of immune responses
is only now emerging. This review explores the various signals triggered by IL-2
and discusses their translation into biological function. A model is outlined
that accommodates the seemingly contradictory functions of IL-2, and explains how
one cytokine can be an essential T-cell growth and differentiation factor and yet
also be indispensable to maintain peripheral tolerance.
PMID- 18059315
TI - Probing the role of AMPAR endocytosis and long-term depression in behavioural
sensitization: relevance to treatment of brain disorders, including drug
addiction.
AB - Modifying the function of postsynaptic alpha-amino-3-hydroxy-5-methylisoxazole-4
propionic acid subtype glutamate receptors (AMPARs) is one of the most important
mechanisms by which the efficacy of synaptic transmission at excitatory
glutamatergic synapses in the mammalian brain is regulated. Traditionally these
types of modifications have been thought to be achieved mainly by altering the
channel gating properties or conductance of the receptors. A large body of
evidence accumulated from recent studies strongly suggests that AMPARs, like most
integral plasma membrane proteins, are continuously recycled between the plasma
membrane and the intracellular compartments via vesicle-mediated plasma membrane
insertion and clathrin-dependent endocytosis. Regulation of either receptor
insertion or endocytosis results in a rapid change in the number of these
receptors expressed on the plasma membrane surface and in the receptor-mediated
responses, thereby playing an important role in mediating certain forms of
synaptic plasticity, such as long-term potentiation (LTP) and depression (LTD).
These studies have significantly advanced our understanding of the molecular
mechanisms underlying LTP and LTD, and their potential contributions to learning
and memory-related behaviours. Here I provide a brief summary of the current
state of knowledge concerning clathrin-mediated AMPAR endocytosis and its
relationship to the expression of certain forms of LTD in several brain areas.
The potential impact of recent advancements on our efforts to probe the roles of
synaptic plasticity in learning and memory-related behaviours, and their
relevance to some brain disorders, particularly drug addiction, are also
discussed.
PMID- 18059316
TI - Conformational changes in G-protein-coupled receptors-the quest for functionally
selective conformations is open.
AB - The G-protein-coupled receptors (GPCRs) represent one the largest families of
drug targets. Upon agonist binding a receptor undergoes conformational
rearrangements that lead to a novel protein conformation which in turn can
interact with effector proteins. During the last decade significant progress has
been made to prove that different conformational changes occur. Today it is
mostly accepted that individual ligands can induce different receptor
conformations. However, the nature or molecular identity of the different
conformations is still ill-known. Knowledge of the potential functionally
selective conformations will help to develop drugs that select specific
conformations of a given GPCR which couple to specific signalling pathways and
may, ultimately, lead to reduced side effects. In this review we will summarize
recent progress in biophysical approaches that have led to the current
understanding of conformational changes that occur during GPCR activation.
PMID- 18059317
TI - Region-specific effects of N,N'-dodecane-1,12-diyl-bis-3-picolinium dibromide on
nicotine-induced increase in extracellular dopamine in vivo.
AB - BACKGROUND AND PURPOSE: Systemic administration of N,N'-dodecane-1,12-diyl-bis-3
picolinium dibromide (bPiDDB), an antagonist of nicotinic acetylcholine receptors
(nAChRs) attenuated the nicotine-induced increase in dopamine levels in nucleus
accumbens (NAcc). EXPERIMENTAL APPROACH: Using in vivo microdialysis, we
investigated the effects of local perfusion of the novel nAChR antagonist bPiDDB
into the NAcc or ventral tegmental area (VTA) on increased extracellular dopamine
in NAcc, induced by systemic nicotine. We also examined the concentration
dependent effects of bPiDDB on the acetylcholine (ACh)-evoked response of
specific recombinant neuronal nAChR subtypes expressed in Xenopus oocytes, using
electrophysiological methods. KEY RESULTS: Nicotine (0.4 mg kg(-1), s.c.)
increased extracellular dopamine in NAcc, which was attenuated by intra-VTA
perfusion of mecamylamine (100 microM). Intra-VTA perfusion of bPiDDB (1 and 10
microM) reduced nicotine-induced increases in extracellular dopamine in NAcc. In
contrast, intra-NAcc perfusion of bPiDDB (1 or 10 microM) failed to alter the
nicotine-induced increase in dopamine in NAcc. Intra-VTA perfusion of bPiDDB
alone did not alter basal dopamine levels, compared to control, nor the increased
dopamine in NAcc following amphetamine (0.5 mg kg(-1), s.c.). Using Xenopus
oocytes, bPiDDB (0.01-100 microM) inhibited the response to ACh on specific
combinations of rat neuronal nAChR subunits, with highest potency at
alpha3beta4beta3 and lowest potency at alpha6/3beta2beta3. CONCLUSIONS AND
IMPLICATIONS: bPiDDB-Sensitive nAChRs involved in regulating nicotine-induced
dopamine release are located in the VTA, rather than in the NAcc. As bPiDDB has
properties different from the prototypical nAChR antagonist mecamylamine, further
development may lead to novel nAChR antagonists for the treatment of tobacco
dependence.
PMID- 18059318
TI - Interleukin-1-induced interleukin-6 synthesis is mediated by the neutral
sphingomyelinase/Src kinase pathway in neurones.
AB - BACKGROUND AND PURPOSE: Interleukin (IL)-1 is a key mediator of inflammatory and
host defence responses and its effects in the brain are mediated primarily via
effects on glia. IL-1 induces release of inflammatory mediators such as IL-6 from
glia via the type-1 receptor (IL-1R1) and established signalling mechanisms
including mitogen-activated protein kinases and nuclear factor kappa-B. IL-1 also
modifies physiological functions via actions on neurones, through activation of
the neutral sphingomyelinase (nSMase)/Src kinase signalling pathway, although the
mechanism of IL-1-induced IL-6 synthesis in neurones remains unknown.
EXPERIMENTAL APPROACH: Primary mouse neuronal cell cultures, ELISA, Western blot
and immunocytochemistry techniques were used. KEY RESULTS: We show here that IL
1beta induces the synthesis of IL-6 in primary mouse neuronal cultures, and this
is dependent on the activation of IL-1R1, nSMase and Src kinase. We demonstrate
that IL-1beta-induced Src kinase activation triggers the phosphorylation of the
NMDA receptor NR2B subunit, leading to activation of Ca(2+)/calmodulin-dependent
protein kinase II (CamKII) and the nuclear transcription factor CREB. We also
show that NR2B, CamKII and CREB are essential signalling elements involved in IL
1beta-induced IL-6 synthesis in neurones. CONCLUSIONS AND IMPLICATIONS: These
results demonstrate that IL-1 interacts with the same receptors on neurones and
glia to elicit IL-6 release, but does so via distinct signalling pathways. The
mechanism by which IL-1beta induces IL-6 synthesis in neurones could be critical
in both physiological and pathophysiological actions of IL-1beta, and may provide
a new therapeutic target for the treatment of acute CNS injury.
PMID- 18059319
TI - Oxytocin stimulates migration and invasion in human endothelial cells.
AB - BACKGROUND AND PURPOSE: It has recently been reported that oxytocin is produced
by some tumour cell types, and that oxytocin receptors, belonging to the G
protein-coupled receptor (GPCR) family, are expressed in a variety of cell types.
Among these, human umbilical vein endothelial cells (HUVECs) respond to oxytocin
with an increased proliferation, suggesting a possible role for the hormone in
the regulation of angiogenesis. EXPERIMENTAL APPROACH: We employed chemotaxis and
chemoinvasion assays to characterize the effect of oxytocin on HUVEC motility,
and immunoblot analysis to study its molecular mechanisms of action. KEY RESULTS:
We showed that oxytocin stimulates migration and invasion in HUVECs via oxytocin
receptor activation. Searching for the molecular mechanism(s) responsible for
oxytocin's pro-migratory effect, we identified the Gq coupling of oxytocin
receptors and phospholipase C (PLC) as the main effectors of oxytocin's action in
HUVECs. We also found that oxytocin stimulates the phosphorylation of endothelial
nitric oxide synthase (eNOS) via the phosphatidylinositol-3-kinase (PI-3-K)/AKT
pathway, and that the activation of PI-3-K and formation of nitric oxide (NO) are
required for the pro-migratory effect of oxytocin. CONCLUSIONS AND IMPLICATIONS:
The ability of oxytocin to stimulate HUVEC motility and invasion suggests that
the hormone can participate in physiopathological processes where activation of
endothelial cells plays an important role, for example, in angiogenesis.
Interestingly, both the AKT and eNOS phosphorylation induced by oxytocin receptor
activation depended on PLC activity, thus suggesting the existence of a still
undefined mechanism connecting PLC to the PI-3-K/AKT pathway, upon oxytocin
stimulation.
PMID- 18059320
TI - Inhibitors of histone deacetylase (HDAC) restore the p53 pathway in neuroblastoma
cells.
AB - BACKGROUND AND PURPOSE: Inhibitors of histone deacetylase (HDAC) are emerging as
a promising class of anti-cancer drugs, but a generic deregulation of
transcription in neoplastic cells cannot fully explain their therapeutic effects.
In this study we evaluated alternative molecular mechanisms by which HDAC
inhibitors could affect neuroblastoma viability. EXPERIMENTAL APPROACH: Effects
of HDAC inhibitors on survival of the I-type SK-N-BE and the N-type NB SH-SY5Y
neuroblastoma cell lines were assessed by the MTT assay. Molecular pathways
leading to this were examined by western blot, confocal microscopy and
cytofluorometry. The mRNA levels of apoptotic mediators were assessed semi
quantitatively by RT-PCR. Tumour-suppressor p53 trans activity was assessed in
EMSA experiments. HDAC inhibitors were also studied in cells subjected to plasmid
based p53 interference (p53i). KEY RESULTS: HDAC inhibitors induced cell death
via the mitochondrial pathway of apoptosis with recruitment of Bcl-2 family
members. Bcl-2 overexpression rendered neuroblastoma cells resistant to HDAC
inhibitor treatment. Low concentrations of HDAC inhibitors (0.9 mM) caused a G(2)
cell-cycle arrest and a marked upregulation of the p21/Waf1/Cip1 protein. HDAC
inhibitors also activate the p53 protein via hyper-acetylation and nuclear re
localization, without affecting its protein expression. Accordingly, HDAC
inhibitor-induced cell-killing and p21/Waf1/Cip1 upregulation is impaired in p53i
cells. CONCLUSIONS AND IMPLICATIONS: In neuroblastoma cells, HDAC inhibitors may
overcome the resistance to classical chemotherapeutic drugs by restoring the p53
tumour-repressor function via its hyper-acetylation and nuclear migration, events
usually impaired in such tumours. In neuroblastoma cells, HDAC inhibitors are not
able to induce p21/Waf1/Cip1 in the absence of a functional p53.
PMID- 18059321
TI - Agonist-selective mechanisms of GPCR desensitization.
AB - The widely accepted model of G protein-coupled receptor (GPCR) regulation
describes a system where the agonist-activated receptors couple to G proteins to
induce a cellular response, and are subsequently phosphorylated by a family of
kinases called the G protein-coupled receptor kinases (GRKs). The GRK
phosphorylated receptor then acts as a substrate for the binding of a family of
proteins called arrestins, which uncouple the receptor and G protein so
desensitizing the agonist-induced response. Other kinases, principally the second
messenger-dependent protein kinases, are also known to play a role in the
desensitization of many GPCR responses. It is now clear that there are subtle and
complex interactions between GRKs and second messenger-dependent protein kinases
in the regulation of GPCR function. Functional selectivity describes the ability
of agonists to stabilize different active conformations of the same GPCR. With
regard to desensitization, distinct agonist-activated conformations of a GPCR
could undergo different molecular mechanisms of desensitization. An example of
this is the mu opioid receptor (MOPr), where the agonists morphine and [D
Ala(2),N-MePhe(4),Gly-ol(5)]enkephalin (DAMGO) induce desensitization of the MOPr
by different mechanisms, largely protein kinase C (PKC)- or GRK-dependent,
respectively. This can be best explained by supposing that these two agonists
stabilize distinct conformations of the MOPr, which are nevertheless able to
couple to the relevant G-proteins and produce similar responses, yet are
sufficiently different to trigger different regulatory processes. There is
evidence that other GPCRs also undergo agonist-selective desensitization, but the
full therapeutic consequences of this phenomenon await further detailed study.
PMID- 18059322
TI - Activities of mixed NOP and mu-opioid receptor ligands.
AB - BACKGROUND AND PURPOSE: Compounds that activate both NOP and mu-opioid receptors
might be useful as analgesics and drug abuse medications. Studies were carried
out to better understand the biological activity of such compounds. EXPERIMENTAL
APPROACH: Binding affinities were determined on membranes from cells transfected
with NOP and opioid receptors. Functional activity was determined by
[(35)S]GTPgammaS binding on cell membranes and using the mouse vas deferens
preparation in vitro and the tail flick antinociception assay in vivo. KEY
RESULTS: Compounds ranged in affinity from SR14150, 20-fold selective for NOP
receptors, to buprenorphine, 50-fold selective for mu-opioid receptors. In the
[(35)S]GTPgammaS assay, SR compounds ranged from full agonist to antagonist at
NOP receptors and most were partial agonists at mu-opioid receptors.
Buprenorphine was a low efficacy partial agonist at mu-opioid receptors, but did
not stimulate [(35)S]GTPgammaS binding through NOP. In the mouse vas deferens,
each compound, except for SR16430, inhibited electrically induced contractions.
In each case, except for N/OFQ itself, the inhibition was due to mu-opioid
receptor activation, as determined by equivalent results in NOP receptor knockout
tissues. SR14150 showed antinociceptive activity in the tail flick test, which
was reversed by the opioid antagonist naloxone. CONCLUSIONS AND IMPLICATIONS:
Compounds that bind to both mu-opioid and NOP receptors have antinociceptive
activity but the relative contribution of each receptor is unclear. These
experiments help characterize compounds that bind to both receptors, to better
understand the mechanism behind their biological activities, and identify new
pharmacological tools to characterize NOP and opioid receptors.
PMID- 18059323
TI - Cigarette smoke inhibits macrophage sensing of Gram-negative bacteria and
lipopolysaccharide: relative roles of nicotine and oxidant stress.
AB - BACKGROUND AND PURPOSE: Smoking cigarettes is a major risk factor for the
development of cardiovascular and respiratory disease. Moreover, smokers are more
prone to infections. This has been associated with a suppression of the immune
system by smoke. However, it is not clear how cigarette smoke affects the ability
of immune cells to sense pathogens. Cigarette smoke contains a large number of
molecules which may mediate responses on immune cells and of these, nicotine and
oxidants have both been identified as inhibitory for the sensing of bacterial
lipopolysaccharide (LPS). Nitric oxide synthase (NOS) and tumour necrosis factor
(TNF)-alpha are both induced in macrophages on stimulation with Gram negative
bacteria or LPS. EXPERIMENTAL APPROACH: We used murine macrophages stimulated
with whole heat-killed bacteria or LPS. We measured output of NO (as nitrite) and
TNFalpha, NOS protein by Western blotting and cellular oxidant stress. KEY
RESULTS: Cigarette smoke extract suppressed the ability of murine macrophages to
release NO, but not TNFalpha in response to whole bacteria. Cigarette smoke
extract also inhibited nitric oxide synthase II protein expression in response to
LPS. The effects of cigarette smoke extract on nitrite formation stimulated by
LPS were unaffected by inhibition of nicotinic receptors with alpha-bungarotoxin
(100 units ml(-1)). However, the effects of cigarette smoke extract on LPS
induced nitrite formation were mimicked by hydrogen peroxide and reversed by the
anti-oxidants N-acetyl cysteine and glutathione. CONCLUSIONS AND IMPLICATIONS: We
suggest that cigarette smoke exerts its immunosuppressive effects through an
oxidant-dependent and not a nicotine-dependent mechanism.
PMID- 18059325
TI - Control of left ventricular mass by moxonidine involves reduced DNA synthesis and
enhanced DNA fragmentation.
AB - BACKGROUND AND PURPOSE: Left ventricular hypertrophy (LVH) is a maladaptive
process associated with increased cardiovascular risk. Regression of LVH is
associated with reduced complications of hypertension. Moxonidine is an
antihypertensive imidazoline compound that reduces blood pressure primarily by
central inhibition of sympathetic outflow and by direct actions on the heart to
release atrial natriuretic peptide, a vasodilator and an antihypertrophic cardiac
hormone. This study investigated the effect of moxonidine on LVH and the
mechanisms involved in this effect. EXPERIMENTAL APPROACH: Spontaneously
hypertensive rats were treated with several doses of moxonidine (s.c.) over 4
weeks. Blood pressure and heart rate were continuously monitored by telemetry.
Body weight and water and food intake were measured weekly. Measurements also
included left ventricular mass, DNA content, synthesis, fragmentation, and
apoptotic/anti-apoptotic pathway proteins. KEY RESULTS: The decrease in mean
arterial pressure stabilized at approximately -10 mm Hg after 1 week of treatment
and thereafter. Compared to vehicle-treated rats (100%), left ventricular mass
was dose- and time-dependently reduced by treatment. This reduction remained
significantly lower after normalizing to body weight. Moxonidine reduced left
ventricular DNA content and inhibited DNA synthesis. DNA fragmentation
transiently, but significantly increased at 1 week of moxonidine treatment and
was paralleled by elevated active caspase-3 protein. The highest dose
significantly decreased the apoptotic protein Bax and all doses stimulated anti
apoptotic Bcl-2 after 4 weeks of treatment. CONCLUSIONS AND IMPLICATIONS: These
studies implicate the modulation of cardiac DNA dynamics in the control of left
ventricular mass by moxonidine in a rat model of hypertension.
PMID- 18059324
TI - Pharmacological approaches to acute ischaemic stroke: reperfusion certainly,
neuroprotection possibly.
AB - Stroke is a major cause of both death and disability. However, there are no
pharmacological treatments used in most countries other than recombinant tissue
plasminogen activator, a thrombolytic, and this is only used in about 4% of
patients presenting after an acute ischaemic stroke. One novel thrombolytic
(desmoteplase) has just been reported to have failed in a Phase IIb/III trial,
but other thrombolytics and reperfusion agents remain in development. The picture
with neuroprotectant agents, that is compounds that act to preserve neurones
following an acute cerebral ischaemic insult, is even more bleak. Despite the
development of over 1,000 compounds, many proving effective in animal models of
stroke, none has demonstrated efficacy in patients in the over 100 clinical
trials conducted. This includes NXY-059, which was developed in accordance with
the guidelines proposed by an academic-industry roundtable group (STAIR). This
review examines the available data on compounds currently in development. It also
proposes that the failure of translation between efficacy in preclinical models
and patients is likely to terminate most current neuroprotective drug
development. It is suggested that animal models must be made more representative
of the patient condition (with other co-morbid conditions) and suggests that
since stroke is primarily a cardiovascular disease with a neurological outcome,
more research on the neurovascular unit would be valuable. New approaches on
neuroinflammation, neurorestoration and neurorepair are also likely to gain
prominence in the search for new drugs to treat this major clinical problem.
PMID- 18059326
TI - Paraoxonase 1 gene transfer lowers vascular oxidative stress and improves
vasomotor function in apolipoprotein E-deficient mice with pre-existing
atherosclerosis.
AB - BACKGROUND AND PURPOSE: Transgenesis of human paraoxonase 1 (PON1), a HDL
associated enzyme that destroys lipid peroxides, has been reported to reduce
early atherogenesis in mice. The present study explored the therapeutic potential
of human PON1 gene transfer in old apolipoprotein E-deficient (apoE(-/-)) mice
with advanced atherosclerosis. EXPERIMENTAL APPROACH: ApoE(-/-) mice (18 months,
regular chow) were transfected with PON1 adenovirus (AdPON1, n=10) or control
adenovirus (AdRR5, n=10). Non-transfected apoE(-/-) (n=9) and C57Bl/6J (WT, n=6)
mice served as controls. Three weeks later, plaque size and composition, and
endothelial cell (EC) and smooth muscle cell (SMC) function were assessed in the
aorta. KEY RESULTS: PON1 gene transfer raised total PON1 serum activity 13-15
fold during the 3-week study period, without affecting hypercholesterolaemia or
lesion size. However, PON1 decreased the oxLDL content of the plaque. Plaque-free
thoracic aorta rings from apoE(-/-) mice displayed, like rings from WT mice,
complete relaxation to acetylcholine (ACh, 86+/-2%), ATP (90+/-2%) or UTP (83+/
3%). In contrast, in plaque-bearing segments amplitude (55+/-7%, 68+/-8%, 52+/-8%
respectively) and sensitivity were decreased. EC function was completely (ATP,
UTP) or largely (ACh) restored by AdPON1. Furthermore, apoE(-/-) SMCs released
less intracellular calcium than WT upon sarco-endoplasmic reticulum calcium
ATPase (SERCA) inhibition by cyclopiazonic acid. This defect was also restored by
AdPON1 transfection. CONCLUSIONS AND IMPLICATIONS: These data indicate that
AdPON1 gene transfer improved vascular wall oxidative stress, EC function, and
SMC Ca(2+) homeostasis in segments with pre-existing atherosclerosis,
independently of an effect on plaque size.
PMID- 18059327
TI - Effects of zileuton and montelukast in mouse experimental spinal cord injury.
AB - BACKGROUND AND PURPOSE: 5-lipoxygenase (5-LO) is the key enzyme in leukotriene
(LT) biosynthesis from arachidonic acid (AA). Here, we examined the role of the 5
LO-product, cysteinyl-LT (Cys-LT), with a 5-LO inhibitor (zileuton) and a Cys-LT,
receptor antagonist (montelukast), in the inflammatory response and tissue injury
associated with spinal cord injury (SCI). EXPERIMENTAL APPROACH: SCI was induced
in mice by the application of vascular clips to the dura via a two-level T6 to T7
laminectomy for 1 min. Cord inflammation was assessed histologically and by
measuring inflammatory mediators (ELISA) and apoptosis by annexin V, TUNEL, Fas
ligand staining and Bax and Bcl-2 expression (immunohistochemistry and western
blots). Motor function in hindlimbs was assessed by a locomotor rating scale, for
10 days after cord injury. KEY RESULTS: SCI in mice resulted in tissue damage,
oedema, neutrophil infiltration, apoptosis, tumour necrosis-alpha (TNF-alpha) and
cyclooxygenase-2 (COX-2) expression, prostaglandin E(2) (PGE(2)) and leukotriene
B(4) (LTB(4)) production, and extracellular signal-regulated kinase 1/2 (ERK1/2)
phosphorylation in injured tissue. Treatment of the mice with zileuton or
montelukast reduced the spinal cord inflammation and tissue injury, neutrophil
infiltration, TNF-alpha, COX-2 and pERK1/2 expression, PGE(2) and LTB(4)
production, and apoptosis. In separate experiments, zileuton or montelukast
significantly improved the recovery of limb function over 10 days. CONCLUSIONS
AND IMPLICATIONS: Zileuton and montelukast produced a substantial reduction of
inflammatory events associated with experimental SCI. Our data underline the
important role of 5-LO and Cys-LT in neurotrauma.
PMID- 18059330
TI - Hematopoietic cell transplantation for thalassemia and sickle cell disease: past,
present and future.
AB - beta-Thalassemia major and sickle cell disease (SCD) are among the most common
hereditary disorders worldwide. The supportive treatment of beta-thalassemia
major requires chronic, life-long RBC transfusions, which cause progressive iron
overload and the potential for impaired endocrine, cardiac and hepatic function.
The phenotype of thalassemia major is reliably predicted by its genotype. In
contrast, SCD is a variable genetic disease caused by a single amino acid
substitution in the beta chain of human hemoglobin. Manifestations of SCD are
quite varied, but generally result from the tendency of Hb S to irreversibly
polymerize under physiologic stressors such as hypoxemia and acidosis. The
polymerization causes perturbations in the erythrocyte integrity that promote
vaso-occlusion and which manifest as clinical events such as severe painful
episodes, acute chest syndrome, splenic infarction, stroke and avascular necrosis
of target joints. The only cure proved for these disorders is correction of the
genetic defect by allogeneic hematopoietic cell transplantation (HCT). We
illustrate the pediatric experience of HCT for hemoglobinopathies and discuss how
these results affect future therapeutic decisions in children who inherit these
disorders.
PMID- 18059331
TI - p19/Arf and p53 suppress sentinel lymph node lymphangiogenesis and carcinoma
metastasis.
AB - The ability of tumor cells to metastasize is increasingly viewed as an
interaction between the primary tumor and host tissues. Deletion of the p19/Arf
or p53 tumor suppressor genes accelerates malignant progression and metastatic
spread of 7,12-dimethylbenz(a)anthracene (DMBA)/12-O-tetradecanoyl-phorbol-13
acetate (TPA)-induced squamous cell carcinomas, providing a model system to
address mechanisms of metastasis. Here, we show that benign pre-metastatic
papillomas from wild-type mice trigger lymphangiogenesis within draining lymph
nodes, whereas there is no growth of primary tumor lymphatic vessels. Lymph node
lymphangiogenesis is greatly accelerated in papilloma-bearing p19/Arf- or p53
deficient mice, which coincides with the greater propensity of these tumors to
progress to carcinomas and to metastasize. The extent of accumulation of B cells
within the tumor-draining lymph nodes of wild-type mice predicted the level of
lymph node lymphangiogenesis and metastatic potential. Arf or p53 deficiency
strongly accelerated lymph node immune cell accumulation, in a manner that was
associated with the extent of lymph node lymphatic sinus growth. This immune cell
accumulation and lymph node lymphangiogenesis phenotype identifies host anti
tumor responses that could drive metastatic spread of cancers via the lymphatics.
PMID- 18059328
TI - Role of the brain-derived neurotrophic factor at glutamatergic synapses.
AB - The neurotrophin brain-derived neurotrophic factor (BDNF) plays an important role
in the activity-dependent regulation of synaptic structure and function,
particularly of the glutamatergic synapses. BDNF may be released in the mature
form, which activates preferentially TrkB receptors, or as proBDNF, which is
coupled to the stimulation of the p75(NTR). In the mature form BDNF induces rapid
effects on glutamate release, and may induce short- and long-term effects on the
postsynaptic response to the neurotransmitter. BDNF may affect glutamate receptor
activity by inducing the phosphorylation of the receptor subunits, which may also
affect the interaction with intracellular proteins and, consequently, their
recycling and localization to defined postsynaptic sites. Stimulation of the
local protein synthesis and transcription activity account for the delayed
effects of BDNF on glutamatergic synaptic strength. Several evidences show
impaired synaptic plasticity of glutamatergic synapses in diseases where
compromised BDNF function has been observed, such as Huntington's disease,
depression, anxiety, and the BDNF polymorphism Val66Met, suggesting that
upregulating BDNF-activated pathways may be therapeutically relevant. This review
focuses on recent advances in the understanding of the regulation of the
glutamatergic synapse by BDNF, and its implications in synaptic plasticity.
PMID- 18059332
TI - A novel role for the retinoic acid-catabolizing enzyme CYP26A1 in Barrett's
associated adenocarcinoma.
AB - Vitamin A deficiency is associated with carcinogenesis, and upregulation of
CYP26A1, a major retinoic acid (RA)-catabolizing enzyme, has recently been shown
in cancer. We have previously demonstrated alterations of RA biosynthesis in
Barrett's oesophagus, the precursor lesion to oesophageal adenocarcinoma. The
aims of this study were to determine CYP26A1 expression levels and functional
effects in Barrett's associated carcinogenesis. Retinoic acid response element
reporter cells were used to determine RA levels in non-dysplastic and dysplastic
Barrett's cell lines and endoscopic biopsies. CYP26A1 expression levels, with or
without induction by RA and lithocholic acid, were determined by quantitative
reverse transcriptase-PCR (RT-PCR) and immunohistochemistry. CYP26A1 promoter
activity was determined by a luciferase reporter construct. CYP26A1 was stably
overexpressed in GihTERT cells, which were evaluated for gene-expression changes
(pathway array and quantitative RT-PCR), cellular proliferation (cytometric DNA
profile and colorimetric assay) and invasion (in vitro matrigel assay) with or
without the CYP inhibitor ketaconazole. RA levels decreased progressively with
the degree of dysplasia (P<0.05) and were inversely correlated with CYP26A1 gene
levels and activity (P<0.01). CYP26A1 expression was increased synergistically by
RA and lithocholic acid (P<0.05). Overexpression of CYP26A1 led to induction of c
Myc, epidermal growth factor receptor and matrix metalloproteinase 3 as well as
downregulation of tissue inhibitor metalloproteinase 1 and 3. Functional effects
of CYP26A1 overexpression were increased proliferation (P<0.01) and invasion in
vitro (P<0.01), which were inhibited by ketaconazole. Overexpression of CYP26A1
causes intracellular RA depletion and drives the cell into a highly proliferative
and invasive state with induction of other known oncogenes.
PMID- 18059333
TI - A CRM1-mediated nuclear export signal governs cytoplasmic localization of BRCA2
and is essential for centrosomal localization of BRCA2.
AB - Germ-line mutations of the BRCA2 gene cause inherited susceptibility to breast
and ovarian cancers. BRCA2 contains two nuclear localization signals,
predominantly localizes in the nucleus and plays significant roles in DNA double
strand break repair. Recently, we reported that BRCA2 localizes to the
centrosomes during the S and early M phases of the cell cycle. In this study, for
the first time, we identified a functional nuclear export sequence (NES1;
(1383)DLSDLTFLEVA(1393)) in BRCA2. The green fluorescent protein (GFP)-NES1
fusion protein was localized in the cytoplasm and could be blocked by the
chromosomal region maintenance 1-specific export inhibitor leptomycin B. Mutation
of a leucine residue in the NES1 motif to alanine (L1384A) resulted in both
cytoplasmic and nuclear localization of the GFP-NES1 fusion protein and a nuclear
accumulation of ectopic full-length BRCA2-FLAG. Moreover, treatment of cells with
leptomycin B decreased centrosomal localization of BRCA2. Finally, by
microinjection of an anti-BRCA2 antibody into the cytoplasm of HeLa S3 cells, we
found that depletion of normal BRCA2 proteins in the cytoplasm leads to
centrosome amplification and binucleated cells. Our results suggest that
disruption of the NES function by genetic changes results in deregulation of
BRCA2 export, which ultimately leads to centrosome disorder.
PMID- 18059334
TI - Tyrosine phosphorylation regulates nuclear translocation of PKCdelta.
AB - PKCdelta is essential for apoptosis, but regulation of the proapoptotic function
of this ubiquitous kinase is not well understood. Nuclear translocation of
PKCdelta is necessary and sufficient to induce apoptosis and is mediated via a C
terminal bipartite nuclear localization sequence. However, PKCdelta is found
predominantly in the cytoplasm of nonapoptotic cells, and the apoptotic signal
that activates its nuclear translocation is not known. We show that in salivary
epithelial cells, phosphorylation at specific tyrosine residues in the N-terminal
regulatory domain directs PKCdelta to the nucleus where it induces apoptosis.
Analysis of each tyrosine residue in PKCdelta by site-directed mutagenesis
identified two residues, Y64 and Y155, as essential for nuclear translocation.
Suppression of apoptosis correlated with suppressed nuclear localization of the Y
--> F mutant proteins. Moreover, a phosphomimetic PKCdelta Y64D/Y155D mutant
accumulated in the nucleus in the absence of an apoptotic signal. Forced nuclear
accumulation of PKCdelta-Y64F and Y155F mutant proteins, by attachment of an SV40
nuclear localization sequence, fully reconstituted their ability to induce
apoptosis, indicating that tyrosine phosphorylation per se is not required for
apoptosis, but for targeting PKCdelta to the nucleus. We propose that
phosphorylation/dephosphorylation of PKCdelta in the regulatory domain functions
as a switch to promote cell survival or cell death.
PMID- 18059335
TI - A novel function for HSF1-induced mitotic exit failure and genomic instability
through direct interaction between HSF1 and Cdc20.
AB - Although heat-shock factor (HSF) 1 is a known transcriptional factor of heat
shock proteins, other pathways like production of aneuploidy and increased
protein stability of cyclin B1 have been proposed. In the present study, the
regulatory domain of HSF1 (amino-acid sequence 212-380) was found to interact
directly with the amino-acid sequence 106-171 of Cdc20. The association between
HSF1 and Cdc20 inhibited the interaction between Cdc27 and Cdc20, the
phosphorylation of Cdc27 and the ubiquitination activity of anaphase-promoting
complex (APC). The overexpression of HSF1 inhibited mitotic exit and the
degradations of cyclin B1 and securin, which resulted in production of aneuploidy
and multinucleated cells, but regulatory domain-deficient HSF1 did not. Moreover,
HSF1-overexpressing cells showed elevated levels of micronuclei and genomic
alteration. The depletion of HSF1 from cells highly expressing HSF1 reduced
nocodazole-mediated aneuploidy in cells. These findings suggest a novel function
of HSF1 frequently overexpressed in cancer cells, to inhibit APC/C activity by
interacting with Cdc20, and to result in aneuploidy development and genomic
instability.
PMID- 18059329
TI - Proteinases and signalling: pathophysiological and therapeutic implications via
PARs and more.
AB - Proteinases like thrombin, trypsin and tissue kallikreins are now known to
regulate cell signaling by cleaving and activating a novel family of G-protein
coupled proteinase-activated receptors (PARs 1-4) via exposure of a tethered
receptor-triggering ligand. On their own, short synthetic PAR-selective PAR
activating peptides (PAR-APs) mimicking the tethered ligand sequences can
activate PARs 1, 2 and 4 and cause physiological responses both in vitro and in
vivo. Using the PAR-APs as sentinel probes in vivo, it has been found that PAR
activation can affect the vascular, renal, respiratory, gastrointestinal,
musculoskeletal and nervous systems (both central and peripheral nervous system)
and can promote cancer metastasis and invasion. In general, responses triggered
by PARs 1, 2 and 4 are in keeping with an innate immune inflammatory response,
ranging from vasodilatation to intestinal inflammation, increased cytokine
production and increased or decreased nociception. Further, PARs have been
implicated in a number of disease states, including cancer and inflammation of
the cardiovascular, respiratory, musculoskeletal, gastrointestinal and nervous
systems. In addition to activating PARs, proteinases can cause hormone-like
effects by other signalling mechanisms, like growth factor receptor activation,
that may be as important as the activation of PARs. We, therefore, propose that
the PARs themselves, their activating serine proteinases and their associated
signalling pathways can be considered as attractive targets for therapeutic drug
development. Thus, proteinases in general must now be considered as 'hormone
like' messengers that can signal either via PARs or other mechanisms.
PMID- 18059336
TI - Ets-2 and p160 proteins collaborate to regulate c-Myc in endocrine resistant
breast cancer.
AB - Associations between p160 coactivator proteins and endocrine resistance have been
described. Though thought to primarily interact with steroid receptors, the p160
proteins can also interact with non-nuclear receptor transcription factors
including the MAP kinase effector proteins Ets. Here, we observed that in breast
cancer cells resistant and insensitive to endocrine treatment, the growth factor
EGF induced Ets-2 but not Ets-1 transcriptional regulation of the oncogene myc.
Ets-2 regulation of myc was found to be reliant on the p160 proteins SRC-1 and
SRC-3. In support of these molecular observations, strong associations were
observed between the transcription factor, Ets-2 and its coactivator SRC-1
(P<0.01) and the target gene myc (P<0.0001) in a cohort of breast cancer patients
with locally advanced disease. Expression of Ets-2, SRC-1 and c-Myc individually
all associated with reduced disease-free survival (P<0.001, P<0.001 and P=0.002
respectively). There was no association between SRC-3 and disease-free survival
(P=0.707). SRC-1 can utilize MAP kinase effector transcription factor Ets-2 to
regulate the production of the oncogene myc. These signalling mechanisms may be
important in the development of steroid resistant/independent breast cancer.
PMID- 18059337
TI - High-resolution array CGH analysis of salivary gland tumors reveals fusion and
amplification of the FGFR1 and PLAG1 genes in ring chromosomes.
AB - We have previously identified a subgroup of pleomorphic salivary gland adenomas
with ring chromosomes of uncertain derivation. Here, we have used spectral
karyotyping (SKY), fluorescence in situ hybridization (FISH) and high-resolution
oligonucleotide array-CGH to determine the origin and content of these rings and
to identify genes disrupted as a result of ring formation. Of 16 tumors with
rings, 11 were derived from chromosome 8, 3 from chromosome 5 and 1 each from
chromosomes 1, 6 and 9. Array-CGH revealed that 10/11 r(8) consisted of
amplification of a 19 Mb pericentromeric segment with recurrent breakpoints in
FGFR1 in 8p12 and in PLAG1 in 8q12.1. Molecular analyses revealed that ring
formation consistently generated novel FGFR1-PLAG1 gene fusions in which the 5'
part of FGFR1 is linked to the coding sequence of PLAG1. An alternative mechanism
of PLAG1 activation was found in tumors with copy number gain of an intact PLAG1
gene. Rings derived from chromosomes 1, 5, 6 or 9 did not result in gene fusions,
but rather resulted in losses indicative of the involvement of putative tumor
suppressor genes on 8p, 5p, 5q and/or 6q. Our findings also reveal a novel
mechanism by which FGFR1 contributes to oncogenesis and further illustrate the
versatility of the FGFR1 and PLAG1 genes in tumorigenesis.
PMID- 18059338
TI - p38 MAPK plays an essential role in apoptosis induced by photoactivation of a
novel ethylene glycol porphyrin derivative.
AB - In this study, we provide evidence that photostimulation of various cancer cells
preloaded with a new photosensitizing compound, tetrakis-meso-(4-ethyleneglycol
2,3,5,6-tetrafluorophenyl) porphyrin (PORF-TEG), results in rapid activation of
the cell death machinery. PORF-TEG, although primarily localized in lysosomes,
induces mitochondria-driven apoptosis. The induction of apoptosis is accompanied
by immediate and sustained activation of p38 mitogen-activated protein kinase
(MAPK) and transient activation of c-Jun N-terminal kinase (JNK). Conversely, the
inhibition of p38 by PD 169316 or SB202190 and by the p38alpha dominant-negative
mutant as well as the deletion of the p38alpha gene (MEFs-KO) protected cells
from apoptosis, whereas inhibition of JNK did not. Activation of the p38
signaling pathway occurs upstream of caspase activation. In addition,
preincubation of cells with scavengers of reactive oxygen species attenuated p38
and caspase activation and increased cell survival, thus connecting reactive
oxygen species formation with the activation of the p38 pathway. Later events
included degradation of Bcl-2, activation of tBid, and cleavage of Bad and Mcl-1.
The data suggest a key role for p38 MAPK in PORF-TEG-photoinduced apoptosis.
PMID- 18059339
TI - The zinc-finger transcription factor, early growth response 3, mediates VEGF
induced angiogenesis.
AB - Early growth response 3 (Egr3) is a member of a zinc-finger transcription factor
subfamily, which we previously found to be strongly upregulated by vascular
endothelial growth factor (VEGF)-A in an oligonucleotide microarray screen of
endothelial cells. Here, we show that Egr3 is the predominant Egr family member
upregulated by VEGF in endothelial cells at 45 min, and that VEGF induced a rapid
increase in Egr-dependent transcriptional activation mediated via its major
signalling receptor, VEGFR2/KDR, and the protein kinase C (PKC) pathway. VEGF
induced Egr3 gene expression was also mediated in part via a PKC-dependent
activation of protein kinase D. Inhibition of Egr3 gene expression by RNA
interference was effective in inhibiting basal and VEGF-induced Egr3 gene
expression, and it also inhibited VEGF-mediated endothelial cell proliferation,
migration and tubulogenesis. These findings indicate that Egr3 has an essential
downstream role in VEGF-mediated endothelial functions leading to angiogenesis
and may have particular relevance for adult angiogenic processes involved in
vascular repair and neovascular disease.
PMID- 18059340
TI - Interaction with PI3-kinase contributes to the cytotoxic activity of apoptin.
AB - Apoptin, a small protein from the chicken anemia virus, has attracted attention
because of its specificity in killing tumor cells. Localization of apoptin in the
nucleus of tumor cells has been shown to be vital for proapoptotic activity,
however, targeted expression of apoptin in the nucleus of normal cells does not
harm the cells, indicating that nuclear localization of apoptin is insufficient
for its cytotoxicity. Here, we demonstrate for the first time that apoptin
interacts with the SH3 domain of p85, the regulatory subunit of phosphoinositide
3-kinase (PI3-K), through its proline-rich region. Apoptin derivatives devoid of
this proline-rich region do not interact with p85, are unable to activate PI3-K,
and show impaired apoptosis induction. Moreover, apoptin mutants containing the
proline-rich domain are sufficient to elevate PI3-K activity and to induce
apoptosis in cancer cells. Downregulation of p85 leads to nuclear exclusion of
apoptin and impairs cell death induction, indicating that interaction with the
p85 PI3-K subunit essentially contributes to the cytotoxic activity of apoptin.
PMID- 18059341
TI - Constitutive activation of the Raf-MAPK pathway causes negative feedback
inhibition of Ras-PI3K-AKT and cellular arrest through the EphA2 receptor.
AB - The Raf-mitogen-activated protein kinase (MAPK) and phosphatidylinositide 3
kinase (PI3K)-AKT pathways are two downstream effectors of the small GTPase Ras.
Although both pathways are positively regulated by Ras, the Raf-MAPK and PI3K-AKT
pathways have been shown to control opposing functions within the cell,
suggesting a need for cross-talk regulation. The PI3K-AKT pathway can inhibit the
Raf-MAPK pathway directly during processes such as muscle differentiation. Here
we describe the ability of the Raf-MAPK pathway to negatively regulate the PI3K
AKT pathway during cellular arrest. Constitutive activation of Raf or methyl
ethyl ketone 1 (MEK1) leads to inhibition of AKT and cellular arrest.
Furthermore, we show that activation of Raf-MEK1 signaling causes negative
feedback inhibition of Ras through the ephrin receptor EphA2. EphA2-mediated
negative feedback inhibition is required for Raf-induced AKT inhibition and cell
cycle arrest, therefore establishing the inhibition of the Ras-PI3K-AKT pathway
as a necessary event for the Raf-MEK1-regulated cellular arrest.
PMID- 18059342
TI - Partial functional overlap of the three ras genes in mouse embryonic development.
AB - In mammals, three ras genes, H-ras, N-ras and K-ras, encode homologous but
distinct 21-kDa Ras proteins. We examined the in vivo functional relationship of
the three ras genes in mouse embryonic development by investigating the
phenotypes of mice deficient in one or multiple ras genes. H-ras-/- mice and N
ras-/- mice as well as a substantial proportion of H-ras-/-/N-ras-/- mice
expressing only the K-ras gene were viable, while K-ras-/- mice were
embryonically lethal, as have been reported previously. N-ras-/-/K-ras+/- mice
died neonatally, while H-ras-/-/K-ras-/- embryos died much earlier than K-ras
homozygous mutant fetuses. To further investigate the functional relationship of
the ras genes in embryonic development, we introduced a human H-ras transgene
into single or multiple ras mutant mice and found that the transgene rescued
mice, including triple ras mutants, from embryonic lethality in association with
correction of thin ventricular walls of the heart in null K-ras mutant mice. In
situ hybridization revealed that the expression of the H-ras transgene on
embryonic day E13.5 and E15.5 was more intense in major organs, including the
heart, than those of endogenous ras genes. We therefore conclude that the
functions of the ras genes are partially overlapping in mouse embryonic
development.
PMID- 18059343
TI - Differential motility of p190bcr-abl- and p210bcr-abl-expressing cells:
respective roles of Vav and Bcr-Abl GEFs.
AB - The chimeric oncogene Bcr-Abl is known to induce autonomous motility of leukemic
cells. We show here that p210(bcr-abl) responsible for chronic myelogenous
leukemia induces an amoeboid type of motility while p190(bcr-abl), associated
with acute lymphoid leukemia, induces a rolling type of motility. We previously
reported that p210(bcr-abl) activates RhoA and Rac1, while p190(bcr-abl) although
devoid of a Dbl-homology (DH) domain activates Rac1, but not RhoA. We
investigated the regulation of GDP/GTP exchange factor (GEF) activities in the
Bcr-Abl complex. For that purpose, different GEF activity mutants of Vav and of
Bcr-Abl were constructed and stably transfected in Ba/F3 cells. Using these
mutants, we demonstrate that RhoA is exclusively activated by the DH domain of
p210(bcr-abl), while Rac1 activation is mostly due to Vav. Inhibition of Rac1 by
Vav GEF mutant leads to immobilization of cells. Vav depletion using shRNA also
induces immobilization of cells and suppression of GTP-bound Rac1. RhoA
inactivation induces the specific loss of amoeboid movements. These results
suggest that Rac1 activation by Vav triggers the motility of Bcr-Abl-expressing
Ba/F3 cells, while the specific amoeboid mode of motility induced by p210(bcr
abl) is a consequence of RhoA activation.
PMID- 18059345
TI - Surgeon's core values: legitimizing the team concept in surgery.
PMID- 18059344
TI - The proapoptotic effects of sulindac, sulindac sulfone and indomethacin are
mediated by nucleolar translocation of the RelA(p65) subunit of NF-kappaB.
AB - Understanding the mechanisms that underlie the antitumour activity of non
steroidal anti-inflammatory drugs (NSAIDs) against colorectal cancer will allow
the development of more effective and specific chemopreventative agents.
Modulation of the NF-kappaB pathway has been implicated as a key effector of the
antitumour effect of aspirin, but the effects of non-aspirin NSAIDs on this
pathway have yet to be fully defined. Here, we demonstrate that sulindac,
sulindac sulfone and indomethacin activate the NF-kappaB pathway in colorectal
cancer cells, as determined by western blot analysis of cytoplasmic levels of
IkappaBalpha and immunocytochemical analysis of nuclear NF-kappaB/RelA.
Furthermore, we show that all of these NSAIDs induce nucleolar translocation of
the RelA subunit of NF-kappaB. Using RelA deleted for the previously described
nucleolar localization signal, we demonstrate that this response is causally
involved in the apoptotic effects of these agents. Finally, we demonstrate that
NSAID-mediated nucleolar translocation of RelA is associated with downregulation
of NF-kappaB-driven transcription and of the NF-kappaB target gene, ICAM-1. These
data identify nucleolar translocation of RelA and the associated repression of
the NF-kappaB-driven transcription as a central molecular mechanism of NSAID
mediated growth inhibition and apoptosis. As well as providing new understanding
of the molecular determinants of RelA function, these findings also have
relevance to the development of novel chemotherapeutic and chemopreventative
agents.
PMID- 18059346
TI - Permanent prostate seed brachytherapy: a current perspective on the evolution of
the technique and its application.
AB - This Review highlights current areas of controversy and development in the field
of transperineal permanent prostate seed implantation brachytherapy (PPI), in
particular the technological evolution of PPI treatment planning that has led to
intra-operative treatment planning and execution, the use of MRI spectroscopy and
ultrasonography to target intraprostatic tumor foci, and the introduction of
(131)Cs as a new PPI isotope. Here we present a comprehensive review of mature
data for PPI monotherapy and PPI combined with supplemental external beam
radiation therapy, and a critical discussion of issues pertinent to supplemental
EBRT. We also present our current policies in the treatment of prostate cancer at
the University of California, San Francisco.
PMID- 18059347
TI - Management of renal stone disease in obese patients.
AB - Obesity represents an increasing burden to health care resources. Nephrolithiasis
is associated with obesity and type 2 diabetes and the consumption of diets rich
in protein, fat and carbohydrates; this article addresses some of the
pathophysiological mechanisms associated with stone formation in these patients.
Management of stone disease can be more difficult in obese patients; even
diagnosis can be problematic because imaging techniques are less sensitive in
these patients. Treatment with extracorporeal shockwave lithotripsy and surgery
in obese patients can be challenging, and outcome data for the different
treatments are discussed in this Review.
PMID- 18059348
TI - Mechanisms of Disease: biomarkers and molecular targets from microarray gene
expression studies in prostate cancer.
AB - Molecular biomarkers can serve as useful diagnostic markers, as prognostic
markers for predicting clinical behavior, or as targets for new therapeutic
strategies. Application of expression microarray technology, which allows the
expression of all or most of the genes in the human genome to be analyzed
simultaneously, has dramatically enhanced the discovery of prostate cancer
biomarkers. The diagnostic markers identified include AMACR (alpha-methylacyl CoA
racemase), a protein that has already been translated into clinical use as an aid
in distinguishing prostate cancer from benign disease. Individual genes, such as
the polycomb gene EZH2 whose expression indicates poor survival, have been
identified. The power of microarray technology is that it has allowed the
identification of gene signatures (each composed of multiple genes) that might
provide improved prediction of clinical outcomes in human prostate cancer. The
development of a new method for analyzing expression microarray data, called
COPA, has led to the discovery of TMPRSS2-ERG gene fusion involvement in the
development of prostate cancer, while expression analysis of castration-resistant
prostate cancer has suggested the use of novel therapeutic approaches for
advanced disease. Despite these successes, there are limitations in the
application of microarray technology to prostate cancer; for example, unlike with
other cancers, this approach has failed to provide a consistent unsupervised
classification of the disease. Overcoming the reasons for these failures
represents a major challenge for future research endeavors.
PMID- 18059349
TI - Rational approach to the treatment of a patient with a small renal cortical
tumor.
AB - BACKGROUND: A 64-year-old man with a history of hypertension and type II diabetes
mellitus presented to his primary care physician for his annual health
examination. Renal ultrasonography revealed a solid right renal mass, which was
confirmed by MRI. The initial consultant recommended laparoscopic radical
nephrectomy. The patient sought a second opinion. INVESTIGATIONS: Physical
examination, baseline serum creatinine level, urine cytology, CT urogram and
renal ultrasonography. DIAGNOSIS: Centrally located subcortical tumor arising
from the renal parenchyma. MANAGEMENT: Open partial nephrectomy. There were no
intraoperative complications and the patient's postoperative course was
uneventful.
PMID- 18059351
TI - Celebrity cells.
PMID- 18059353
TI - Normal brain development in importin-alpha5 deficient-mice.
PMID- 18059355
TI - Leading the charge.
AB - Collective cell invasion into the extracellular matrix (ECM) has been observed in
malignant tumours and in tissue morphogenesis. To achieve this, leading cells
trigger both proteolytic and structural modifications of the ECM to create
channels that precede progressively widening chains of following cells.
PMID- 18059356
TI - Ring around the genes.
AB - How is RNA Polymerase II (RNAP) regulated at poised loci in embryonic stem cells?
Recent work provides new insights into Ring1-mediated transcriptional control of
this important subset of developmental regulatory genes.
PMID- 18059357
TI - Much HUBbub about stem-cell niches.
AB - Stem cells, and the microenvironment or 'niche' that influences them, must often
reside in a particular location within a tissue to perform their function.
Integrin-mediated adhesion is now shown to regulate the location of the stem-cell
niche in the Drosophila testis.
PMID- 18059358
TI - Entosis: cell death by invasion.
PMID- 18059361
TI - Differentially expressed genes in a porcine adult hepatic stem-like cell line and
their expression in developing and regenerating liver.
AB - To identify differentially expressed genes in adult hepatic stem cells, we
performed suppression-subtractive hybridization (SSH) between adult porcine
hepatic stem-like cells (HSLCs) and hepatocytes, and the expression of selected
genes was assessed in porcine fetal livers and regenerating liver in an 80%
hepatectomy model. SSH and subsequent differential screening selected 39 clones
that were expressed differentially in HSLCs, including six known genes, 10
unknown genes, one unidentified gene and some chimeric fragments. Four of these
genes showed significantly higher expression in HSLCs than in mature hepatocytes:
anti-leukoproteinase, matrix Gla protein, amyloid-beta precursor protein (APP)
and dickkopf-3 (DKK-3). Among them, the mRNA expression of APP and DKK-3 was
significantly higher in fifth GW fetal liver than in seventh and thirteenth GW
fetal and adult livers, unlike the expression patterns of alpha-fetoprotein (AFP)
or albumin. These mRNAs were detected in the parenchyma of fifth GW fetal liver,
whereas in normal adult liver possible expression was limited to the periportal
area. On the other hand, immunohistochemistry, Masson's trichrome staining and
silver impregnation demonstrated APP and DKK-3 proteins in fifth GW fetal liver
in which intralobular bile ducts and hepatic plates had not completely developed.
DKK-3 and AFP mRNAs were upregulated on the seventh day (7D) after 80%
hepatectomy. In the liver tissue, DKK-3 and AFP proteins were detected in
mesenchymal cells in the periportal area and parenchyma, respectively. These data
for DKK-3 expression in adult livers suggest the possible presence of adult HSLCs
in the periportal area. The pattern of histological staining suggested that 7D
liver was in the process of regeneration, showing a character similar to the
fifth GW fetal liver. It is speculated that DKK-3 is upregulated in immature and
developing livers, and has possible involvement in hepatic differentiation and
liver regeneration.
PMID- 18059362
TI - Gene silencing of TSPYL5 mediated by aberrant promoter methylation in gastric
cancers.
AB - DNA methylation is crucial for normal development, but gene expression altered by
DNA hypermethylation is often associated with human diseases, especially cancers.
The gene TSPYL5, encoding testis-specific Y-like protein, was previously
identified in microarray screens for genes induced by the inhibition of DNA
methylation and histone deacetylation in glioma cell lines. The TSPYL5 showed a
high frequency of DNA methylation-mediated silencing in both glioma cell lines
and primary glial tumors. We now report that TSPYL5 is also inactivated by DNA
methylation and could be a putative epigenetic target gene in gastric cancers. We
found that the expression of TSPYL5 mRNA was frequently downregulated and
inversely correlated with DNA methylation in seven out of nine gastric cancer
cell lines. TSPYL5 mRNA expression was also restored after treating with a DNA
methyltransferase inhibitor. In primary gastric tumors, methylation-specific PCR
results in 23 of the 36 (63.9%) cases revealed that the hypermethylation at CpG
islands of the TSPYL5 was detectable at a high frequency. Furthermore, TSPYL5
suppressed the growth of gastric cancer cells as demonstrated by a colony
formation assay. Thus, strong associations between TSPYL5 expression and
hypermethylation were observed, and aberrant methylation at a CpG island of
TSPYL5 may play an important role in development of gastric cancers.
PMID- 18059363
TI - Epithelial-mesenchymal transition contributes to portal tract fibrogenesis during
human chronic liver disease.
AB - The relationship between bile duct damage and portal fibrosis in chronic liver
diseases remains unclear. This study was designed to show whether human
intrahepatic biliary epithelial cells can undergo epithelial-mesenchymal cell
transition, thereby directly contributing to fibrogenesis. Primary human
cholangiocytes were stimulated with transforming growth factor-beta (TGFbeta) or
TGFbeta-presenting T cells and examined for evidence of transition to a
mesenchymal phenotype. Liver sections were labelled to detect antigens associated
with biliary epithelial cells (cytokeratin 7 and 19 and E-cadherin), T cells
(CD8), epithelial-mesenchymal transition (S100A4, vimentin and matrix
metalloproteinase-2 (MMP-2)), myofibroblasts (alpha-smooth muscle actin) and
intracellular signal-transduction mediated by phosphorylated (p)Smad 2/3; in situ
hybridisation was performed to detect mRNA encoding TGFbeta and S100A4.
Stimulation of cultured cells with TGFbeta induced the expression of pSmad2/3,
S100A4 and alpha-smooth muscle actin; these cells became highly motile. Although
normal bile ducts expressed ALK5 (TGFbeta RI), low levels of TGFbeta mRNA and
nuclear pSmad2/3, they did not express S100A4, vimentin or MMP-2. However,
TGFbeta mRNA and nuclear pSmad2/3 were strongly expressed in damaged ducts, which
also expressed S100A4, vimentin and MMP-2. Fibroblast-like cells which expressed
S100A4 were present around many damaged bile ducts. Cells in the 'ductular
reaction' expressed both epithelial and mesenchymal markers together with high
levels of TGFbeta mRNA and pSmad2/3. In conclusion, the cells forming small- and
medium-sized bile ducts and the ductular reaction undergo EMT during chronic
liver diseases, resulting in the formation of invasive fibroblasts; this process
may be driven by a response to local TGFbeta, possibly presented by infiltrating
T cells.
PMID- 18059364
TI - A novel and simple cell-based detection system with a collagen-encapsulated B
lymphocyte cell line as a biosensor for rapid detection of pathogens and toxins.
AB - Cell-based biosensors (CBBs) are becoming important tools for biosecurity
applications and rapid diagnostics in food microbiology for their unique
capability of detecting physiologically hazardous materials. A multi-well plate
based biosensor containing B-cell hybridoma, Ped-2E9, encapsulated in type I
collagen matrix, was developed for rapid detection of viable cells of pathogenic
Listeria, the toxin listeriolysin O, and the enterotoxin from Bacillus species.
This sensor measures the alkaline phosphatase release from infected Ped-2E9 cells
colorimetrically. Pathogenic L. monocytogenes cells and toxin preparations from
L. monocytogenes or B. cereus showed cytotoxicity ranging from 24 to 98% at 3-6 h
postinfection. In contrast, nonpathogenic L. innocua (F4247) and B. subtilis
induced minimal cytotoxicity, ranging only 0.4-7.6%. Laser scanning cytometry and
cryo-nano scanning electron microscopy confirmed the live or dead status of the
infected Ped-2E9 cells in gel matrix. This paper presents the first example of a
cell-based sensing system using collagen-encapsulated mammalian cells for rapid
detection of pathogenic bacteria or toxin, and demonstrates a potential for
onsite use as a portable detection system.
PMID- 18059365
TI - Functional and molecular interactions between the HGF/c-Met pathway and c-Myc in
large-cell medulloblastoma.
AB - The growth factor hepatocyte growth factor (HGF), also known as scatter factor,
and its tyrosine kinase receptor c-Met play important roles in medulloblastoma
malignancy. The transcription factor c-Myc is another contributor to the
malignancy of these most common pediatric brain tumors. In the present study, we
observed strong morphological similarities between medulloblastoma xenografts
overexpressing HGF and medulloblastoma xenografts overexpressing c-Myc. We
therefore hypothesized a biologically significant link between HGF/c-Met and c
Myc in medulloblastoma malignancy and studied the molecular and functional
interactions between them. We found that HGF induces c-Myc mRNA and protein in
established and primary medulloblastoma cells. HGF regulated c-Myc levels via
transcriptional and post-transcriptional mechanisms as evidenced by HGF induction
of c-Myc promoter activity and induction of c-Myc protein levels in the setting
of inhibited transcription and translation. We also found that HGF induces cell
cycle progression, cell proliferation, apoptosis and increase in cell size in a c
Myc-dependent manner. Activation of MAPK and PI3K, inhibition of GSK-3beta and
translocation of beta-catenin to the nucleus as well as Tcf/Lef transcriptional
activity were involved in mediating c-Myc induction by HGF. Induction of Cdk2
kinase activity was involved in mediating the cell cycle progression effects, and
downregulation of Bcl-XL was involved in mediating the proapoptotic effects of
HGF downstream of c-Myc. All molecules that mediated the effects of HGF on c-Myc
expression, cell proliferation and apoptosis were expressed in human large-cell
medulloblastoma tissues. We therefore established for the first time a functional
cooperation between HGF/c-Met and c-Myc in human medulloblastoma and elucidated
the molecular mechanisms of this cooperation. The findings provide a potential
explanation for the high frequency of c-Myc overexpression in medulloblastoma and
suggest a cooperative role for c-Met and c-Myc in large-cell anaplastic
medulloblastoma formation.
PMID- 18059366
TI - Off the beaten pathway: the complex cross talk between Notch and NF-kappaB.
AB - The canonical Notch pathway that has been well characterized over the past 25
years is relatively simple compared to the plethora of recently published data
suggesting non-canonical signaling mechanisms and cross talk with other pathways.
The manner in which other pathways cross talk with Notch signaling appears to be
extraordinarily complex and, not surprisingly, context-dependent. While the
physiological relevance of many of these interactions remains to be established,
there is little doubt that Notch signaling is integrated with numerous other
pathways in ways that appear increasingly complex. Among the most intricate cross
talks described for Notch is its interaction with the NF-kappaB pathway, another
major cell fate regulatory network involved in development, immunity, and cancer.
Numerous reports over the last 11 years have described multiple cross talk
mechanisms between Notch and NF-kappaB in diverse experimental models. This
article will provide a brief overview of the published evidence for Notch-NF
kappaB cross talk, focusing on vertebrate systems.
PMID- 18059367
TI - Is evolvability evolvable?
AB - In recent years, biologists have increasingly been asking whether the ability to
evolve--the evolvability--of biological systems, itself evolves, and whether this
phenomenon is the result of natural selection or a by-product of other
evolutionary processes. The concept of evolvability, and the increasing
theoretical and empirical literature that refers to it, may constitute one of
several pillars on which an extended evolutionary synthesis will take shape
during the next few years, although much work remains to be done on how
evolvability comes about.
PMID- 18059368
TI - Nucleosome destabilization in the epigenetic regulation of gene expression.
AB - Assembly, mobilization and disassembly of nucleosomes can influence the
regulation of gene expression and other processes that act on eukaryotic DNA.
Distinct nucleosome-assembly pathways deposit dimeric subunits behind the
replication fork or at sites of active processes that mobilize pre-existing
nucleosomes. Replication-coupled nucleosome assembly appears to be the default
process that maintains silent chromatin, counteracted by active processes that
destabilize nucleosomes. Nucleosome stability is regulated by the combined
effects of nucleosome-positioning sequences, histone chaperones, ATP-dependent
nucleosome remodellers, post-translational modifications and histone variants.
Recent studies suggest that histone turnover helps to maintain continuous access
to sequence-specific DNA-binding proteins that regulate epigenetic inheritance,
providing a dynamic alternative to histone-marking models for the propagation of
active chromatin.
PMID- 18059369
TI - Cell surface nucleolin serves as receptor for DNA nanoparticles composed of
pegylated polylysine and DNA.
AB - Compacted DNA nanoparticles deliver transgenes efficiently to the lung following
intrapulmonary dosing. Here we show that nucleolin, a protein known to shuttle
between the nucleus, cytoplasm, and cell surface, is a receptor for DNA
nanoparticles at the cell surface. By using surface plasmon resonance (SPR), we
demonstrate that nucleolin binds to DNA nanoparticles directly. The presence of
nucleolin on the surface of HeLa and 16HBEo- cells was confirmed by surface
biotinylation assay and immunofluorescence. Rhodamine-labeled DNA nanoparticles
colocalize with nucleolin on the cell surface, as well as in the cytoplasm and
nucleus, but not with transferrin or markers of early endosome or lysosome
following cellular uptake. Reducing nucleolin on the cell surface by serum-free
medium or siRNA against nucleolin treatment leads to significant reduction in
luciferase reporter gene activity, while overexpressing nucleolin has the
opposite effect. Competition for binding to DNA nanoparticles with exogenous
purified nucleolin decreases the transfection efficiency by 60-90% in a dose
dependent manner. Therefore, the data strongly suggest that cell surface
nucleolin serves as a receptor for DNA nanoparticles, and that nucleolin is
essential for internalization and/or transport of the nanoparticles from cell
surface to the nucleus.
PMID- 18059370
TI - Induction of natural killer cell-dependent antitumor immunity by the Autographa
californica multiple nuclear polyhedrosis virus.
AB - Wild-type Autographa californica multiple nuclear polyhedrosis virus (AcMNPV)
infects a variety of mammalian cell types in vitro, but does not replicate in
these cells. We investigated the effects of AcMNPV in the induction of the immune
response and tumor metastasis in mice. After intravenous injection, AcMNPV was
taken up by the liver and spleen, and preferentially infected dendritic cells
(DCs) and B cells in the spleen; costimulatory molecules CD40, CD80, and CD86
were upregulated in the DCs. The hepatic mononuclear cells (MNCs) in these
animals were highly cytotoxic to natural killer (NK)-sensitive YAC-1 and B16
melanoma cells, but not to NK-resistant EL4 cells. Intravenous injection of
AcMNPV-induced NK cell proliferation in the liver and spleen, and enhanced
antitumor immunity in mice with B16 liver metastases. Furthermore, such treatment
increased the survival of C57BL/6, J alpha 281 (-/-), and interferon (IFN)-gamma
(-/-) mice that were previously injected with B16 tumor cells. AcMNPV injection
did not enhance the survival of NK cell-depleted mice. Moreover, one AcMNPV
treatment effectively prolonged survival in a B16 liver metastasis model, and was
equivalent to five treatments with recombinant interleukin-12 (IL-12) protein.
These findings suggest that AcMNPV efficiently stimulates NK cell-mediated
antitumor immunity.
PMID- 18059371
TI - Input DNA ratio determines copy number of the 33 kb Factor IX gene on de novo
human artificial chromosomes.
AB - Human artificial chromosomes (ACs) are non-integrating vectors that may be useful
for gene therapy. They assemble in cultured cells following transfection of human
centromeric alpha -satellite DNA and segregate efficiently alongside the host
genome. In the present study, a 33 kilobase (kb) Factor IX (FIX) gene was
incorporated into mitotically stable ACs in human HT1080 lung derived cells using
co-transfection of a bacterial artificial chromosome (BAC) harboring synthetic
alpha -satellite DNA and a P1 artificial chromosome(PAC) that spans the FIX
locus. ACs were detected in >or=90% of chromosome spreads in 8 of 19 lines
expanded from drug resistant colonies. FIX transgene copy number on ACs was
determined by input DNA transfection ratios. Furthermore, a low level of FIX
transcription was detected from ACs with multiple transgenes but not from those
incorporating a single transgene, suggesting that reducing transgene number may
limit misexpression. Their potential to segregate cross species was measured by
transferring ACs into mouse and hamster cell lines using microcell-mediated
chromosome transfer. Lines were obtained where ACs segregated efficiently. The
stable segregation of ACs in rodent cells suggests that it should be possible to
develop animal models to test the capacity of ACs to rescue FIX deficiency.
PMID- 18059372
TI - Downregulation of monocyte chemoattractant protein-1 involving short interfering
RNA attenuates hapten-induced contact hypersensitivity.
AB - Contact hypersensitivity (CHS) is a common skin disease, presenting clinically as
allergic contact dermatitis. At inflammatory sites in a typical CHS model in the
mouse ear, elevated expression of monocyte chemoattractant protein-1 (MCP-1) has
been reported. MCP-1 is a potent chemotactic factor for many types of leukocytes
including monocytes/macrophages and T cells. In this study, we aimed at
developing a therapy for CHS involving RNA interference targeting MCP-1. A short
interfering RNA (siRNA) to mouse MCP-1 successfully inhibited the secretion of
MCP-1 by a fibroblastic cell line, L929, and RAW 264.7 cells derived from
macrophages, and strikingly suppressed ear swelling in a CHS model. The siRNA
systemically administered inhibited the infiltration of both
monocytes/macrophages and T cells in the CHS model. Atelocollagen was used in
this therapy as a delivery reagent for siRNA into the animal body. Atelocollagen
facilitated the incorporation of the siRNA into macrophages/monocytes and
fibroblasts, which vigorously secrete MCP-1 protein at inflammatory sites in CHS.
This therapy had no adverse effects such as induction of interferon, or liver or
renal damage. Our data indicate that the systemic delivery of siRNA targeting MCP
1 is a potent therapeutic strategy for CHS treatment.
PMID- 18059373
TI - Optimization of self-complementary AAV vectors for liver-directed expression
results in sustained correction of hemophilia B at low vector dose.
AB - Self-complementary adeno-associated virus (scAAV) vectors can significantly
minimize the vector load required to achieve sustained transgene expression. In
this study, transcriptional regulatory elements were systematically screened to
produce constitutive and liver-specific scAAV factor IX (FIX) expression
cassettes. In addition, optimization of GC content, cis- regulatory elements, and
codon usage in the human FIX (hFIX) transgene increased expression 4-20-fold. A
vector was developed that was capable of expressing high FIX levels in comparison
with the single-stranded (ss) AAV vector used in a recent clinical trial. The
ssAAV and scAAV vectors display different transgene expression and genome
stability patterns in the liver, as determined by immunohistochemical staining,
in situ messenger RNA (mRNA) hybridization and vector genome quantitation. The
ssAAV2 vector promoted strong FIX expression in only a subset of hepatocytes. The
scAAV2-hFIX vector showed widespread ( approximately 80% of hepatocytes),
moderate FIX expression levels similar to normal livers with correction of
coagulation function in FIX-deficient mice. The ability of low dose scAAV-FIX
vectors to achieve near-physiological expression may circumvent inflammatory
responses in the liver. In addition to providing an improved scAAV vector for
potential application in future hemophilia B clinical trials and liver-directed
gene delivery, these studies underscore the need for rigorous analysis and
optimization of vector genome cassettes.
PMID- 18059374
TI - Enhanced antitumor immunity elicited by dendritic cell vaccines is a result of
their ability to engage both CTL and IFN gamma-producing NK cells.
AB - Activation of cytotoxic T lymphocytes (CTLs) is a primary goal of many cancer
vaccination therapies. We have evaluated two vaccination platforms, dendritic
cells (DCs) and recombinant adenoviruses (rAds), for their ability to elicit CTL
response and antitumor protection. Although rAd was more potent in CTL priming,
DC vaccination provided greater protective and therapeutic antitumor activity.
Subsequent analyses ruled out the possibility that the two vaccines elicit
qualitatively distinct CTL, and demonstrated instead that DCs could better engage
natural killer (NK) cells as an additional effector mechanism. We demonstrated
that, although both DCs and rAd can stimulate rapid NK expansion, only DC
activated NK cells are able to produce interferon-gamma (IFN gamma) and mediate
antitumor protection. Moreover, our data showed that exogenously delivered DCs
preferentially engaged the Mac-1(high)CD27(high) NK subset, thereby suggesting
that this NK population plays a predominant role in NK:DC interaction.
Interestingly, at least 3 days were required for DC-triggered NK cells to acquire
effector functions, indicating that a similar priming process operates between T
cells and NK cells. Our results suggest that the nature of the vaccine platform
can determine the relative involvement of NK and T cells in antitumor immunity,
and that methods to augment NK function should be included in vaccination
strategies in order to complement CTL-mediated control of tumor growth.
PMID- 18059376
TI - Is total thyroidectomy the procedure of choice for low-risk papillary thyroid
cancer?
PMID- 18059375
TI - Rapid/sustained anti-anthrax passive immunity mediated by co-administration of
Ad/AAV.
AB - Achieving both immediate and sustained protection against diseases caused by
bacterial toxins and extracellular pathogens is a challenge in developing
biodefense therapeutics. We hypothesized that a single co-administration of an
adenovirus (Ad) vector and an adeno-associated virus (AAV) vector, both
expressing a pathogen-specific monoclonal antibody, would provide rapid,
persistent passive immunotherapy against the pathogen. In order to test this
strategy, we used the lethal toxin of Bacillus anthracis as a target of a
monoclonal antibody directed against the protective antigen (PA) component of the
toxin, using co-administration of an Ad vector encoding an anti-PA monoclonal
antibody (AdalphaPA) and an AAV vector encoding an anti-PA monoclonal antibody
(AAVrh.10alphaPA). As early as 1 day after co-administration of AdalphaPA and
AAVrh.10alphaPA to mice, serum anti-PA antibody levels were detectable, and were
sustained through 6 months. Importantly, animals that received both vectors were
protected against toxin challenge as early as 1 day after administration and
throughout the 6 month duration of the experiment. These data provide a new
paradigm of genetic passive immunotherapy by co-administration of Ad and AAV
vectors, each encoding a pathogen-specific monoclonal antibody, as an effective
approach for both rapid and sustained protection against a bio-terror attack.
PMID- 18059377
TI - Is hypopituitarism predictable after traumatic brain injury?
PMID- 18059378
TI - Involvement of sphingoid bases in mediating reactive oxygen intermediate
production and programmed cell death in Arabidopsis.
AB - Sphingolipids have been suggested to act as second messengers for an array of
cellular signaling activities in plant cells, including stress responses and
programmed cell death (PCD). However, the mechanisms underpinning these processes
are not well understood. Here, we report that an Arabidopsis mutant, fumonisin B1
resistant 11-1 (fbr 11-1), which fails to generate reactive oxygen intermediates
(ROIs), is incapable of initiating PCD when the mutant is challenged by fumonisin
B(1) (FB(1)), a specific inhibitor of ceramide synthase. Molecular analysis
indicated that FBR11 encodes a long-chain base 1 (LCB1) subunit of serine
palmitoyltransferase (SPT), which catalyzes the first rate-limiting step of de
novo sphingolipid synthesis. Mass spectrometric analysis of the sphingolipid
concentrations revealed that whereas the fbr 11-1 mutation did not affect basal
levels of sphingoid bases, the mutant showed attenuated formation of sphingoid
bases in response to FB(1). By a direct feeding experiment, we show that the free
sphingoid bases dihydrosphingosine, phytosphingosine and sphingosine efficiently
induce ROI generation followed by cell death. Conversely, ROI generation and cell
death induced by dihydrosphingosine were specifically blocked by its
phosphorylated form dihydrosphingosine-1-phosphate in a dose-dependent manner,
suggesting that the maintenance of homeostasis between a free sphingoid base and
its phosphorylated derivative is critical to determining the cell fate. Because
alterations of the sphingolipid level occur prior to the ROI production, we
propose that the free sphingoid bases are involved in the control of PCD in
Arabidopsis, presumably through the regulation of the ROI level upon receiving
different developmental or environmental cues.
PMID- 18059379
TI - Is LDLT appropriate for patients with chronic HCV infection?
PMID- 18059380
TI - Is cornstarch-thickened milk formula better than strengthened regular milk
formula for infant regurgitation?
PMID- 18059381
TI - Screening for familial hypercholesterolemia: what is the most effective strategy?
PMID- 18059382
TI - Is pediatric hypertension underdiagnosed?
PMID- 18059383
TI - Pay for performance in myocardial infarction: are we reaping the rewards?
PMID- 18059384
TI - Is statin discontinuation an option in patients who have had a stroke?
PMID- 18059385
TI - Anticoagulants for intracranial artery dissection without subarachnoid hemorrhage
-safe but not sound?
PMID- 18059386
TI - Does frequent nocturnal hemodialysis result in better outcomes than conventional
thrice-weekly hemodialysis?
PMID- 18059387
TI - Prophylactic hemodialysis for the prevention of contrast-induced nephropathy
after coronary angiography.
PMID- 18059388
TI - Why rheumatologists should be involved in the treatment of lupus nephritis.
PMID- 18059389
TI - Is the risk of serious infections increased in patients with RA who receive
treatment with antirheumatic drugs?
PMID- 18059390
TI - Which pathological features of renal cell carcinoma have the greatest prognostic
value?
PMID- 18059391
TI - Oral versus parenteral antibiotics for the initial treatment of a first episode
of acute pyelonephritis in children.
PMID- 18059392
TI - Screening for breast cancer: is it globally applicable?
PMID- 18059393
TI - Antibiotic use and risk of non-Hodgkin's lymphoma: a population-based case
control study.
AB - Antibiotic use in 759 non-Hodgkin's lymphoma (NHL) patients and 589 controls was
compared. Neither total antibiotic use (odds ratio=0.7, 95% confidence
interval=0.5-1.2), nor antibiotic use by site, was associated with total NHL, or
NHL subtypes. There were no trends with frequency or age at first use (P
trend=0.23 and 0.26, respectively).
PMID- 18059394
TI - Hodgkin's disease and birth outcome: a Danish nationwide cohort study.
AB - In a Danish nationwide cohort study of 292 births from 1973 to 2002 in women with
Hodgkin's disease (HD), we compared birth outcome with 14 042 births from a
cohort of mothers without cancer. We found no substantially increased risk of
preterm birth, low birth weight at term, or stillbirth and no difference in
proportion of male newborns for 192 children of women with HD before pregnancy.
The prevalence odds ratio (POR) for congenital abnormalities was 1.7 (95%
confidence interval (CI): 0.9-3.1). Among 15 newborns of mothers diagnosed during
pregnancy, the POR of preterm birth was 26.6 (95% CI: 8.5-83.0), but five out of
the eight preterm deliveries among these women were elective. We found no
substantially increased risk of adverse birth outcome among 85 newborns of women
diagnosed within 2 years postpartum, though effect estimates were imprecise. The
overall findings are reassuring, they cannot exclude the possibility of an
increased risk of congenital abnormalities for newborns of women diagnosed with
HD before pregnancy.
PMID- 18059395
TI - A mathematical modelling tool for predicting survival of individual patients
following resection of glioblastoma: a proof of principle.
AB - The prediction of the outcome of individual patients with glioblastoma would be
of great significance for monitoring responses to therapy. We hypothesise that,
although a large number of genetic-metabolic abnormalities occur upstream, there
are two 'final common pathways' dominating glioblastoma growth - net rates of
proliferation (rho) and dispersal (D). These rates can be estimated from features
of pretreatment MR images and can be applied in a mathematical model to predict
tumour growth, impact of extent of tumour resection and patient survival. Only
the pre-operative gadolinium-enhanced T1-weighted (T1-Gd) and T2-weighted (T2)
volume data from 70 patients with previously untreated glioblastoma were used to
derive a ratio D/rho for each patient. We developed a 'virtual control' for each
patient with the same size tumour at the time of diagnosis, the same ratio of net
invasion to proliferation (D/rho) and the same extent of resection. The median
durations of survival and the shapes of the survival curves of actual and
'virtual' patients subjected to biopsy or subtotal resection (STR) superimpose
exactly. For those actually receiving gross total resection (GTR), as shown by
post-operative CT, the actual survival curve lies between the 'virtual' results
predicted for 100 and 125% resection of the T1-Gd volume. The concordance between
predicted (virtual) and actual survivals suggests that the mathematical model is
realistic enough to allow precise definition of the effectiveness of
individualised treatments and their site(s) of action on proliferation (rho)
and/or dispersal (D) of the tumour cells without knowledge of any other clinical
or pathological information.
PMID- 18059396
TI - A case-control study of the impact of the East Anglian breast screening programme
on breast cancer mortality.
AB - Although breast cancer screening has been shown to work in randomised trials,
there is a need to evaluate service screening programmes to ensure that they are
delivering the benefit indicated by the trials. We carried out a case-control
study to investigate the effect of mammography service screening, in the NHS
breast screening programme, on breast cancer mortality in the East Anglian region
of the UK. Cases were deaths from breast cancer in women diagnosed between the
ages of 50 and 70 years, following the instigation of the East Anglia Breast
Screening Programme in 1989. The controls were women (two per case) who had not
died of breast cancer, from the same area, matched by date of birth to the cases.
Each control was known to be alive at the time of death of her matched case. All
women were known to the breast screening programme and were invited, at least
once, to be screened. There were 284 cases and 568 controls. The odds ratio (OR)
for risk of death from breast cancer in women who attended at least one routine
screen compared to those who did not attend was 0.35 (CI: 0.24, 0.50). Adjusting
for self-selection bias gave an estimate of the breast cancer mortality reduction
associated with invitation to screening of 35% (OR=0.65, 95% CI: 0.48, 0.88). The
effect of actually being screened was a 48% breast cancer mortality reduction
(OR=0.52, 95% CI: 0.32, 0.84). The results suggest that the National Breast
Screening Programme in East Anglia is achieving a reduction in breast cancer
deaths, which is at least consistent with the results from the randomised
controlled trials of mammographic screening.
PMID- 18059398
TI - How do patients want to learn of results of clinical trials? A survey of 1431
breast cancer patients.
AB - Questionnaires were circulated to UK patients and health care professionals
(HCPs) participating in the Taxotere as Adjuvant ChemoTherapy (TACT) trial in
autumn 2004 asking if and how trial results, when available, should be conveyed
to patients. A total of 1431 (37% of surviving UK TACT patients) returned
questionnaires. In all, 30 (2%) patients did not want results. In all, 554 (40%)
patients preferred to receive them via their hospital; 664 (47%) preferred
results posted directly to their home, 177 (13%) preferred a letter providing a
telephone number to request results. Six hundred and twelve patients thought
results should come directly from the trials office. One hundred and seventy-six
HCPs from 89 UK centres (86%) returned questionnaires. In all, 169 out of 176
patients (96%) thought results should be written in lay terms for patients.
Seventy (41%) preferred patients to receive results via their hospital; 64 (38%)
preferred a letter providing a telephone number to request results, and 32 (19%)
preferred results posted directly to patients. Thirty-one HCPs (18%) thought
results to patients should come directly from the trials office. A total of 868
(61%) patients thought next of kin of deceased patients should receive results,
543 (38%) did not; 47 (27%) HCPs thought they should; 118 (68%) did not.
PMID- 18059397
TI - A combination of gefitinib and FOLFOX-4 as first-line treatment in advanced
colorectal cancer patients. A GISCAD multicentre phase II study including a
biological analysis of EGFR overexpression, amplification and NF-kB activation.
AB - Interesting activity has been reported by combining chemotherapy with cetuximab.
An alternative approach for blocking EGFR function has been the development of
small-molecule inhibitors of tyrosine kinase domain such as gefitinib. We
designed a multicentre phase II study in advanced colorectal cancer combining
gefitinib+FOLFOX in order to determine the activity and to relate EGFR expression
and gene amplification and NF-kB activation to therapeutic results. Patients
received FOLFOX-4 regimen plus gefitinib as first-line treatment. Tumour samples
were analysed for EGFR protein expression by immunohistochemical analysis and for
EGFR gene amplification by fluorescence in situ hybridisation (FISH), chromogenic
in situ hybridisation (CISH) and NF-kB activation. Forty-three patients were
enrolled into this study; 15 patients experienced a partial response (response
rate=34.9%), whereas other 12 (27.9%) had a stable disease. Median progression
free survival (PFS) was 7.8 months and median overall survival (OS) was 13.9
months. We did not find any relationship with EGFR overexpression, gene
amplification, while NF-kB activation was associated with a resistance to
therapy. Gefitinib does not seem to increase the activity of FOLFOX in advanced
colorectal cancer even in patients overexpressing EGFR or with EGFR
amplification. Furthermore, while NF-kB activation seems to predict resistance to
chemotherapy as demonstrated 'in vitro' models, gefitinib does not overcome this
mechanism of resistance, as reported for cetuximab.
PMID- 18059399
TI - Dietary iron and haem iron intake and risk of endometrial cancer: a prospective
cohort study.
AB - We used data from a large cohort study of Canadian women to assess the
association of meat intake and dietary intake of iron and haem iron with risk of
endometrial cancer. Among 34,148 women with an intact uterus at baseline and
followed for a mean of 16.4 years, we identified 426 incident endometrial cancer
cases. Data from a food frequency questionnaire administered at baseline were
used to calculate intake of all meats, red meat, total dietary iron, iron from
meat, haem iron, and non-haem iron. Analyses were carried out using Cox
proportional hazards models with adjustment for known risk factors and
covariates. We found no association of intake of meat or any of the dietary iron
related variables with risk of endometrial cancer.
PMID- 18059400
TI - Downregulation of drug transport and metabolism in mice bearing extra-hepatic
malignancies.
AB - There is increasing evidence of a systemic inflammatory response associated with
malignancy, which may have an impact on both drug disposition and resistance to
cytotoxic therapy. The impact of inflammation on drug disposition was studied in
mice bearing a number of common tumour xenografts. C57BL/6 mice were inoculated
with tumour xenografts. Hepatic expressions of Cyp3a and drug transporters were
analysed at the mRNA, protein and functional levels (Cyp3a only). Circulating
serum cytokines and the hepatic expression of acute phase proteins (APPs) were
measured. Intratumoral levels of multidrug resistance genes were determined.
Tumour xenografts elicited an inflammatory response that coincided with
repression in hepatic Cyp3a11 activity and the expression of a number of hepatic
drug transporters. With tumour growth, a progressive reduction in hepatic Cyp3a11
mRNA expression was seen. Conversely, an increase in the hepatic APP expression
and circulating interleukin (IL)-6 levels was observed. Furthermore, a
correlation was seen between increased intratumoral expression of the multidrug
resistance gene, Mdr1a, and levels of circulating IL-6. Malignancy results in
reduced hepatic drug disposition that correlates with an associated inflammatory
response. Reduction of inflammation may improve the clinical outcome for patients
receiving chemotherapeutic agents that undergo hepatic metabolism.
PMID- 18059402
TI - Genomic copy number and expression patterns in testicular germ cell tumours.
AB - Testicular germ cell tumours of adults and adolescents (TGCT) include seminomas
(SE) and nonseminomas (NS), with spermatocytic seminomas (SSE) representing a
distinct entity in older men. SE and NS have gain of 12p material in all cases,
whereas SSE are associated with overrepresentation of chromosome 9. Here, we
compare at the chromosomal level, copy number imbalances with global expression
changes, identified by comparative expressed sequence hybridisation analyses, in
seven SE, one combined tumour, seven NS and seven cell lines. Positive
correlations were found consistent with copy number as a main driver of
expression change, despite reported differences in methylation status in SE and
NS. Analysis of chromosomal copy number and expression data could not distinguish
between SE and NS, in-keeping with a similar genetic pathogenesis. However,
increased expression from 4q22, 5q23.2 and 9p21 distinguished SSE from SE and NS
and decreased copy number and expression from 2q36-q37 and 6q24 was a specific
feature of NS-derived cell lines. Our analysis also highlights 19 regions with
both copy number and expression imbalances in greater than 40% of cases. Mining
available expression array data identified genes from these regions as candidates
for involvement in TGCT development. Supplementary data is available at
http://www.crukdmf.icr.ac.uk/array/array.html.
PMID- 18059401
TI - Influences on pre-hospital delay in the diagnosis of colorectal cancer: a
systematic review.
AB - Colorectal cancer is a major global health problem, with survival varying
according to stage at diagnosis. Delayed diagnosis can result from patient,
practitioner or hospital delay. This paper reports the results of a review of the
factors influencing pre-hospital delay - the time between a patient first
noticing a cancer symptom and presenting to primary care or between first
presentation and referral to secondary care. A systematic methodology was
applied, including extensive searches of the literature published from 1970 to
2003, systematic data extraction, quality assessment and narrative data
synthesis. Fifty-four studies were included. Patients' non-recognition of symptom
seriousness increased delay, as did symptom denial. Patient delay was greater for
rectal than colon cancers and the presence of more serious symptoms, such as
pain, reduced delay. There appears to be no relationship between delay and
patients' age, sex or socioeconomic status. Initial misdiagnosis, inadequate
examination and inaccurate investigations increased practitioner delay. Use of
referral guidelines may reduce delay, although evidence is currently limited. No
intervention studies were identified. If delayed diagnosis is to be reduced,
there must be increased recognition of the significance of symptoms among
patients, and development and evaluation of interventions that are designed to
ensure appropriate diagnosis and examination by practitioners.
PMID- 18059403
TI - The interaction between impulsivity and a varied food environment: its influence
on food intake and overweight.
AB - OBJECTIVE: The current study tests the influence of two factors, the obesogenic
environment and impulsivity, on food intake in primary school children. Our
current food environment offers a large variety of cheap and easily available
sweet and fatty foods. This obesogenic environment is believed to be a cause of
the recent obesity epidemic. Impulsive people are generally less successful at
inhibiting prepotent responses and they are reward sensitive. We investigate
whether the interaction between an obesogenic environment and an impulsive person
leads to overeating. DESIGN: A quasi-experimental 2 (reward sensitive versus not
reward sensitive) by 2 (successful response inhibitors versus unsuccessful
response inhibitors) by 2 (monotonous versus varied food environment) between
subjects design with caloric intake during a taste test as the main dependent
variable. The link between impulsivity and overweight was also examined.
SUBJECTS: 78 healthy primary school children (age: 8-10 years). MEASUREMENTS: We
measured two aspects of impulsivity: reward sensitivity and deficient response
inhibition. Subsequently, one aspect of the obesogenic environment was
manipulated; half of the participants received monotonous food during a bogus
taste test whereas the other half tasted food that was varied in colour, form,
taste and texture. RESULTS: As expected, reward sensitivity interacted with
variety. In the monotony group there was no difference in food intake between the
less and more reward-sensitive children (183 kcal+/-23 s.d. versus 180 kcal+/-21
s.d.). However, in the variety group the more reward-sensitive children ingested
significantly more calories than the less reward-sensitive children (237 kcal+/
30 s.d. versus 141 kcal+/-19 s.d.). Reward sensitivity was not linked to
overweight. Deficient response inhibition did not interact with variety, but it
was linked to overweight. CONCLUSION: It is suggested that reward sensitivity
could be a causal mechanism for overeating in an obesogenic environment whereas
prepotent response inhibition may be a maintaining factor of the problem of
overeating.
PMID- 18059404
TI - Weight maintenance, behaviors and barriers among previous participants of a
university-based weight control program.
AB - OBJECTIVE: To examine weight loss maintenance among previous participants of a
university-based behavioral weight management program and to compare behavioral
strategies and perceived barriers between successful and unsuccessful
maintainers. METHOD: Previous program participants (n=179) completed mailed
surveys assessing current weight, weight control behaviors and perceived barriers
to weight loss maintenance. RESULTS: At 14.1+/-10.8 months following completion
of treatment, survey respondents were on average 12.6+/-12.6 kg, or 11.3+/-10.7%,
below baseline weight; 76.5% of respondents had successfully maintained weight,
defined as maintaining a weight loss of at least 5% below baseline. Compared to
unsuccessful maintainers, successful maintainers reported practicing four dietary
and three physical activity weight control strategies more often and experiencing
five barriers to healthy eating and exercise less often. After accounting for
time since treatment and maximum weight loss while in treatment, the strongest
correlates of successful weight loss maintenance were frequent exercise and
perceived difficulty of weight management. CONCLUSIONS: Clinically meaningful
weight loss maintenance was achieved by the majority of participants. Findings
support the literature indicating that physical activity is one of the strongest
predictors of successful weight loss maintenance. Findings also suggest that
strategies to reduce the level of perceived effort required for long-term weight
control may improve maintenance outcomes.
PMID- 18059405
TI - Structure-effect relation of C18 long-chain fatty acids in the reduction of body
weight in rats.
AB - OBJECTIVE: To investigate the relationship between chemical structure and
physiological effect, the efficacy and the molecular mechanisms involved in the
reduction of body weight by C18 fatty acids (stearic, elaidic, oleic, linoleic
and 2-hydroxyoleic acids (2-OHOA)). DESIGN: Ad libitum fed, lean Wistar Kyoto
rats treated orally with up to 600 mg kg(-1) of the fatty acids or vehicle every
12 h for 7 days. Besides, starved rats and rats pairfed to the 2-OHOA-treated
group served as additional controls under restricted feeding conditions.
MEASUREMENTS: Body weight, food intake, weight of various fat depots, plasma
leptin, hypothalamic neuropeptides, uncoupling proteins (UCP) in white (WAT) and
brown adipose tissue (BAT) and phosphorylation level of cyclic AMP (cAMP)
response element-binding protein (CREB) in WAT. RESULTS: Only treatment with
oleic acid and 2-OHOA induced body weight loss (3.3 and 11.4%, respectively)
through reduction of adipose fat mass. Food intake in these rats was lower,
although hypothalamic neuropeptide and plasma leptin levels indicated a rise in
orexigenic status. Rats pairfed to the 2-hydroxyoleic group only lost 6.3% body
weight. UCP1 expression and phosphorylation of CREB was drastically increased in
WAT, but not BAT of 2-OHOA-treated rats, whereas no UCP1 expression could be
detected in WAT of rats treated with oleic acid. CONCLUSION: Both cis-configured
monounsaturated C18 fatty acids (oleic acid and 2-OHOA) reduce body weight, but
the introduction of a hydroxyl group in position 2 drastically increases loss of
adipose tissue mass. The novel molecular mechanism unique to 2-hydroxyoleic, but
not oleic acid, implies induction of UCP1 expression in WAT by the cAMP/PKA
pathway-dependent transcription factor CREB, most probably as part of a
transdifferentiation process accompanied by enhanced energy expenditure.
PMID- 18059407
TI - Perinatal predictors of overweight at infancy and preschool childhood: the
GENESIS study.
AB - OBJECTIVE: To identify those perinatal parameters and characteristics
predisposing children to an increased risk of overweight during infancy and
preschool years. DESIGN: The analyses were performed using data from the Growth,
Exercise and Nutrition Epidemiological Study In preSchoolers. SUBJECTS: A
representative sample of 2374 Greek preschoolers 1-5 years old. MEASUREMENTS:
Anthropometric data, such as body weight, recumbent length and standing height,
were obtained by using standard procedures and measuring equipment. Structured
interviews were conducted with both parents to collect information on
anthropometric, demographic, medical and behavioral data. Finally, children's
anthropometric data at birth, 6 and 12 months of age were recorded from their
birth certificates and medical records. RESULTS: Children born large for
gestational age were 4.59 and 2.19 times more likely for being overweight at 6
and 12 months of age, respectively, than children born appropriate for
gestational age. On the other hand, children that were exclusively breastfed were
0.49 and 0.54 times less likely for being overweight at 6 and 12 months of age,
respectively, than children that were exclusively formula fed. Furthermore, 3- to
5-year-old children born to mothers who were both active and passive smokers
during pregnancy were 1.79 times more likely of being overweight compared to
children born to nonsmoking mothers. Finally, having an overweight father
significantly increased the likelihood of childhood overweight at 12 months, 1-3
and 3-5 years of age. CONCLUSION: Our findings showed that some of the perinatal
parameters and characteristics examined in the current study predict overweight
at infancy, while others at preschool years. Priority should be given to size at
birth, breastfeeding, maternal smoking during pregnancy and parental overweight,
when developing public health strategies to reduce the prevalence of childhood
overweight and related chronic disease later in life.
PMID- 18059406
TI - Stress-induced cytokine responses and central adiposity in young women.
AB - BACKGROUND: Evidence suggests that people who are more responsive to
psychological stress are at an increased risk of developing obesity. However, the
biological mechanisms underlying this phenomenon are poorly understood. The
cytokines leptin, interleukin-1 receptor antagonist (IL-1Ra) and interleukin-6
(IL-6) play a key role in fat metabolism and abnormal circulating levels of these
proteins have been reported in obese people and in individuals subject to stress.
OBJECTIVE: This study investigated whether cytokine responses to acute mental
stress are associated with adiposity in healthy young women. DESIGN AND SUBJECTS:
A laboratory study of 67 women, aged 18-25 years, recruited from University
College London. MEASUREMENTS: Height, weight and waist circumference were
measured and body fat mass was estimated by bioelectrical impedance body
composition analysis. Laboratory mental stress testing was carried out and blood
pressure and heart rate were recorded at baseline, during two moderately
challenging tasks (Stroop and speech) and during recovery 40-45 min post-stress.
Blood samples taken at baseline, immediately post-stress and 45 min post-stress,
were used for assessment of circulating cytokines. Saliva samples taken
throughout the session were assessed for cortisol. RESULTS: Women who had larger
cytokine responses to stress were more abdominally obese than women with smaller
cytokine stress responses. Specifically, there was a positive correlation between
waist circumference and stress-induced increases in plasma levels of leptin
(r=0.35, P<0.05) and IL-1Ra responses (r=0.29, P<0.05). There was also a
significant positive correlation between prolonged diastolic blood pressure
responses to stress and measures of total and abdominal obesity (r=0.28-0.33,
P<0.05). CONCLUSION: Increased cytokine production could be a mechanism linking
stress and abdominal obesity.
PMID- 18059408
TI - Lack of starvation-induced activation of AMP-activated protein kinase in the
hypothalamus of the Lou/C rats resistant to obesity.
AB - OBJECTIVE: The AMP-activated protein kinase (AMPK) is involved in the control of
food intake by the hypothalamus. The aim of this work was to investigate if
modification of hypothalamic AMPK regulation could be related to the spontaneous
food restriction of Lou/C rats, a strain resistant to obesity exhibiting a 40%
reduction in caloric intake compared with their lean Wistar counterparts. DESIGN:
Three-month-old male Lou/C rats were compared with age-matched male Wistar rats
in both fed ad libitum and 24-h food deprivation state. MEASUREMENTS AND RESULTS:
We first confirmed that starvation activated both isoforms of AMPK catalytic
alpha subunits and enhanced the phosphorylation state of its downstream targets
acetyl-CoA carboxylase and elongation factor 2 in the hypothalamus of Wistar
rats. These changes were not observed in the hypothalamus of Lou/C rats.
Interestingly, the starvation-induced changes in hypothalamic mRNA levels of the
main orexigenic and anorexigenic neuropeptides were also blunted in the Lou/C
rats. Analysis of the concentrations of circulating substrates and hormones known
to regulate hypothalamic AMPK indicated that the starvation-induced changes in
ghrelin, adiponectin and leptin were not observed in Lou/C rats. Furthermore, an
increased phosphorylation state of signal transducer and activator of
transcription 3 (STAT3), which admittedly mediates leptin signaling, was
evidenced in the hypothalamus of the starved Lou/C rats, as well as modifications
of expression of the leptin-sensitive genes suppressor of cytokine signaling-3
and stearoyl-coenzyme A desaturase 1. In addition, despite reduced leptin level
in fed Lou/C rats, the phosphorylation state of hypothalamic STAT3 remained
similar to that found in fed Wistar rats, an adaptation that could be explained
by the concomitant increase in ObRb leptin receptor mRNA expression. CONCLUSION:
Activation of hypothalamic AMPK by starvation, which stimulates food intake
through changes in (an)orexigenic neuropeptides in the normal rats, was not
observed in the spontaneously hypophagic Lou/C rats.
PMID- 18059411
TI - Polysialic acid in the plasticity of the developing and adult vertebrate nervous
system.
AB - Polysialic acid (PSA) is a cell-surface glycan with an enormous hydrated volume
that serves to modulate the distance between cells. This regulation has direct
effects on several cellular mechanisms that underlie the formation of the
vertebrate nervous system, most conspicuously in the migration and
differentiation of progenitor cells and the growth and targeting of axons. PSA is
also involved in a number of plasticity-related responses in the adult CNS,
including changes in circadian and hormonal patterns, adaptations to pain and
stress, and aspects of learning and memory. The ability of PSA to increase the
plasticity of neural cells is being exploited to improve the repair of adult CNS
tissue.
PMID- 18059412
TI - Smc5/6: a link between DNA repair and unidirectional replication?
AB - Of the three structural maintenance of chromosome (SMC) complexes, two directly
regulate chromosome dynamics. The third, Smc5/6, functions mainly in homologous
recombination and in completing DNA replication. The literature suggests that
Smc5/6 coordinates DNA repair, in part through post-translational modification of
uncharacterized target proteins that can dictate their subcellular localization,
and that Smc5/6 also functions to establish DNA-damage-dependent cohesion. A
nucleolar-specific Smc5/6 function has been proposed because Smc5/6 yeast mutants
display penetrant phenotypes of ribosomal DNA (rDNA) instability. rDNA repeats
are replicated unidirectionally. Here, we propose that unidirectional
replication, combined with global Smc5/6 functions, can explain the apparent rDNA
specificity.
PMID- 18059415
TI - Diet quality and mortality: a population-based prospective study of men.
AB - BACKGROUND/OBJECTIVES: To study quality of diet in relation to all-cause
mortality, cardiovascular disease (CVD) and cancer mortality. SUBJECTS/METHODS:
The population-based prospective Cohort of Swedish Men (COSM) included 40 837
men, 45-79 years of age, who filled in a FFQ (96 food items) and were CVD- and
cancer-free at baseline. Quality of diet was assessed by Recommended Food Score
(RFS) based on 36 items and Non-Recommended Food Score (Non-RFS) based on 16
items. Cox's proportional hazards regression models were used to estimate the
hazard ratios (HRs) of mortality and 95% confidence intervals (CIs). Multivariate
HRs for RFS and Non-RFS were adjusted for age, education, physical activity,
martial status, self-perceived health status, smoking status, dietary supplements
use, WHR, alcohol use, intake of energy and mutually adjusted. RESULTS: Between
1998 and 2005, 4501 deaths from all-causes were registered. Between 1998 and
2003, there were 1394 CVD and 759 cancer deaths. High RFS (> or =28) compared
with low (< or =20) was associated with lower risk of all-cause mortality (HR:
0.81; 95% CI: 0.71-0.91; P-value for trend<0.0001) and CVD mortality (HR: 0.71;
95% CI: 0.54-0.93; P-value for trend=0.003). In contrast, men with high Non-RFS
(> or =5) had higher risk of all-cause (HR: 1.21; 95% CI: 1.09-1.34; P-value for
trend=0.001) and CVD mortality (HR: 1.27; 95% CI: 1.05-1.54; P-value for
trend=0.07) compared to those with low Non-RFS (< or =2 items). No significant
associations with cancer mortality were observed. CONCLUSIONS: Both measures of
diet quality, RFS and Non-RFS, showed statistically significant associations with
all-cause and CVD mortality (recommended foods inversely while nonrecommended
foods positively), but not with cancer mortality.
PMID- 18059416
TI - 'Junk food' diet and childhood behavioural problems: results from the ALSPAC
cohort.
AB - BACKGROUND/OBJECTIVES: To determine whether a 'junk food' diet at age 4(1/2) is
associated with behavioural problems at age 7. SUBJECTS/METHODS: Data on
approximately 4000 children participating in the Avon Longitudinal Study of
Parents and Children, a birth cohort recruited in Avon, UK in 1991/92 were used.
Behavioural problems were measured at age 7 using the Strengths and Difficulties
Questionnaire (SDQ; maternal completion). Total difficulties and scores for the
five sub-scales (hyperactivity, conduct and peer problems, emotional symptoms and
pro-social behaviour) were calculated. Principal components analysis of dietary
data (frequency of consumption of 57 foods/drinks) collected at age 4(1/2) by
maternal report was used to generate a 'junk food' factor. Data on confounders
were available from questionnaires. RESULTS: A one standard deviation increase in
'junk food' intake at age 4(1/2) years was associated with increased
hyperactivity at age 7 (odds ratio: 1.19; 95% confidence interval: 1.10, 1.29).
This persisted after adjustment for confounders including intelligence quotient
score (odds ratio: 1.13; 95% confidence interval: 1.01, 1.15). There was little
evidence to support an association between 'junk food' intake and overall
behavioural difficulties or other sub-scales of the SDQ. CONCLUSIONS: Children
eating a diet high in 'junk food' in early childhood were more likely to be in
the top 33% on the SDQ hyperactivity sub-scale at age 7. This may reflect a long
term nutritional imbalance, or differences in parenting style. This finding
requires replication before it can provide an avenue for intervention.
PMID- 18059417
TI - Evaluation of urinary iodine excretion as a biomarker for intake of milk and
dairy products in pregnant women in the Norwegian Mother and Child Cohort Study
(MoBa).
AB - BACKGROUND/OBJECTIVES: Milk and dairy products are the main sources of iodine in
the Norwegian diet. This is due to a high consumption of milk and dairy products
combined with a relatively high concentration of iodine in milk because of
mandatory iodine fortification of cow fodder. The aim of the present study was to
investigate the relation between 24-h urinary iodine excretion and estimated
dietary intake, and to explore the use of 24-h urinary iodine excretion as a
possible biomarker for the intake of milk and dairy products when assessing the
validity of a new food frequency questionnaire for pregnant women participating
the Norwegian Mother and Child Cohort Study (MoBa). SUBJECT/METHODS: 119 women
participated in a validation study. Iodine was analyzed in 24-h urine. Dietary
intakes were estimated by a food frequency questionnaire (FFQ) and a 4-day
weighed food diary (FD). Using linear regression, predictors of urinary iodine
excretion were identified. The triangular method was applied to calculate
validity coefficients. RESULTS: Significant predictors of 24-h urinary iodine
excretion were: intake of dairy products, iodine-containing supplements and
intake of fruit/vegetables. Fish/seafood intake and time of the year influenced
24-h urinary iodine excretion, although not significantly. The validity
coefficients observed for total intake of dairy products were 0.65, 0.94 and 0.52
for the FFQ, the FD and the 24-h urinary iodine excretion, respectively.
CONCLUSIONS: The present study showed that 24-h urinary iodine excretion may be a
useful biomarker for validating the intake of milk and dairy products in pregnant
Norwegian women.
PMID- 18059418
TI - Drosophila unleashed: the 12-genome update of the genetic power horse has been
released.
PMID- 18059419
TI - 'It is not in my world': an exploration of attitudes and influences associated
with cystic fibrosis carrier screening.
AB - Carrier screening for cystic fibrosis has been recommended for pregnant women and
their partners, individuals and couples prior to conception, and for people with
a family history. Many pilot programmes offering cystic fibrosis carrier
screening, most commonly in the prenatal setting, have shown that uptake and
acceptability are high. This article explores perspectives of the Victorian
community regarding carrier screening for cystic fibrosis prior to offering
screening. In particular whether or not such carrier screening should be offered,
the best time for offering carrier screening, the information required for making
a decision about carrier screening, and how this information can best be
provided. A qualitative approach was taken to enable exploration of the views of
stakeholders. Four focus groups and 32 interviews were conducted with a total of
68 participants. Participants were in agreement that cystic fibrosis carrier
screening should be made available to everyone. However, potential consumers
viewed cystic fibrosis carrier screening as 'not in my world' and were unlikely
to request such screening unless it was offered by a health professional, or they
had a family history. The best time for carrier screening was seen to be an
individual preference and an information brochure was perceived to be useful when
considering carrier screening. Lack of knowledge around the irrelevance of family
history is a barrier to cystic fibrosis carrier screening. This study highlights
the importance of community consultation, with stakeholders, prior to
implementation of carrier screening programmes.
PMID- 18059420
TI - Polymorphisms in the cardiac sodium channel promoter displaying variant in vitro
expression activity.
AB - Variable transcription of the cardiac sodium channel gene is a candidate
mechanism determining arrhythmia susceptibility. We have previously cloned and
characterized the core promoter and flanking region of SCN5A, encoding the
cardiac sodium channel. Loss-of-function mutations in this gene have been
reported in approximately 20% of patients with Brugada syndrome, an inherited
cardiac electrical disorder associated with a high incidence of life-threatening
arrhythmias. In this study, we identified DNA variants in the proximal 2.8 kb
promoter region of SCN5A and determined their frequency in 1,121 subjects. This
population consisted of 88 Brugada syndrome patients with no SCN5A coding region
mutation, and 1,033 anonymized subjects from various ethnicities. Variant
promoter activity was assayed in CHO cells and neonatal cardiomyocytes by
transient transfection of promoter-reporter constructs. Single-nucleotide
polymorphisms (SNPs) were identified at approximately 1/200 base pairs which are:
11 in the 5'-flanking region, 1 in exon 1, and 5 in intron 1. In addition, a
haplotype consisting of two SNPs in complete linkage disequilibrium was
identified. Minor allele frequencies were >5% in at least one ethnic panel at
5/19 polymorphic sites. In vitro functional analysis in cardiomyocytes identified
four variants with significantly (P<0.05) reduced reporter activity (up to 63%
reduction). The largest changes were seen with c.-225-1790 G>A, which reduced
reporter activity by 62.8% in CHO cells and 55% in cardiomyocytes. From these
results, we can conclude that the SCN5A core promoter includes multiple DNA
polymorphisms with altered in vitro activity, further supporting the concept of
interindividual variability in transcription of this cardiac ion channel gene.
PMID- 18059421
TI - Infiltration of forest fire and residential wood smoke: an evaluation of air
cleaner effectiveness.
AB - Communities impacted by fine-particle air pollution (particles with an
aerodynamic diameter less than 2.5 microm; PM(2.5)) from forest fires and
residential wood burning require effective, evidence-based exposure-reduction
strategies. Public health recommendations during smoke episodes typically include
advising community members to remain indoors and the use of air cleaners, yet
little information is available on the effectiveness of these measures. Our study
attempted to address the following objectives: to measure indoor infiltration
factor (F(inf)) of PM(2.5) from forest fires/wood smoke, to determine the
effectiveness of high-efficiency particulate air (HEPA) filter air cleaners in
reducing indoor PM(2.5), and to analyze the home determinants of F(inf) and air
cleaner effectiveness (ACE). We collected indoor/outdoor 1-min PM(2.5) averages
and 48-h outdoor PM(2.5) filter samples for 21 winter and 17 summer homes
impacted by wood burning and forest fire smoke, respectively, during 2004-2005. A
portable HEPA filter air cleaner was operated indoors with the filter removed for
one of two sampling days. Particle F(inf) and ACE were calculated for each home
using a recursive model. We found mean F(inf)+/-SD was 0.27+/-0.18 and 0.61+/
0.27 in winter (n=19) and summer (n=13), respectively, for days when HEPA filters
were not used. Lower F(inf)+/-SD values of 0.10+/-0.08 and 0.19+/-0.20 were found
on corresponding days when HEPA filters were in place. Mean+/-SD ACE ([F(inf)
without filter-F(inf) with filter]/F(inf) without filter) in winter and summer
were 55+/-38% and 65+/-35%, respectively. Number of windows and season predicted
F(inf) (P<0.001). No significant predictors of ACE were identified. Our findings
show that remaining indoors combined with use of air cleaner can effectively
reduce PM(2.5) exposure during forest fires and residential wood burning.
PMID- 18059422
TI - 1-Hydroxypyrene concentrations in first morning voids and 24-h composite urine:
intra- and inter-individual comparisons.
AB - Urinary 1-hydroxypyrene (1-OHP) has been suggested as an exposure biomarker for
polycyclic aromatic hydrocarbons (PAHs). However, it remains unknown whether a
first morning urine sample can be used to reflect average exposure. In this
paper, we examine intra-individual differences and inter-individual associations
between first morning voids and 24-h composite urine samples. The analysis was
performed using data collected from 100 adults who had a wide range of PAH
exposure due to differences in their occupation, e.g., coke oven workers vs. non
coke oven workers. For each subject, all the urine voids within each of two 24-h
measurement periods were collected. Results showed a significant (40% to 62%)
intra-individual difference between first morning voids and 24-h urinary 1-OHP
concentrations (in ng/ml urine). Creatinine adjustments of 1-OHP concentrations
(in micromol/mol urinary creatinine) reduced the intra-individual difference by
approximately 10%. Across all the subjects, a high overall correlation (r=0.76)
was observed between first morning and 24-h average 1-OHP concentrations. Work
environment and sampling season were found to significantly affect the
relationship between first morning and 24-h 1-OHP concentrations. An increase of
1 ng/ml of first morning urinary 1-OHP predicted an increase of 0.5 and 0.25
ng/ml of 24-h urinary 1-OHP for coke oven workers and non-coke oven workers,
respectively. Data collected in a winter season showed a higher correlation
between first morning and 24-h concentrations than data collected in a fall
season. Creatinine adjustments did not significantly improve overall correlations
between first morning void and 24-h measurements, but increased total variances
for 24-h urines explained by first morning urines in coke workers.
PMID- 18059423
TI - Allergen levels in inner city homes: baseline concentrations and evaluation of
intervention effectiveness.
AB - Allergens in house dust are risk factors for asthma causation and exacerbation,
and asthma interventions often focus on exposure reduction using methods that may
not be sustainable over time in low-income communities. A randomized controlled
trial with up to six home visits was used to evaluate the effectiveness of two
interventions focused on reducing dust loading and allergen concentrations in 47
low-income inner-city households in Minneapolis, MN. The interventions, which
included education and relatively inexpensive cleaning procedures, were developed
using a community-based participatory consultation process with focus groups held
in English, Somali, and Spanish to incorporate community feedback from
participants into protocols and study design decisions. Change in levels of cat,
cockroach, dust mite, and culturable fungi as well as overall dust loading were
evaluated by measuring the difference in concentrations before and after the
cleaning intervention, and mixed models were used to assess the effect of
education and cleaning on baseline allergen levels during the final three home
visits. The cleaning intervention significantly lowered dust loading in all
households and culturable fungi levels in single family homes, reduced cat
allergen concentrations in homes with cats, but had no significant effect on
cockroach allergen levels. The cleaning intervention also modestly decreased the
frequency of observed allergen concentrations above suggested health benchmarks
for cat, cockroach, and fungi. The cleaning and education interventions had
similar effects on baseline allergen levels measured during subsequent home
visits; both interventions significantly reduced baseline levels of cat and
fungal allergens observed in pre-cleaning samples, but had no significant effect
on cockroach allergen levels. Overall, the cleaning intervention modestly reduced
potential exposure to risk factors associated with asthma mortality and morbidity
in a way that can be implemented by most homeowners or renters, independent of
education, income, or the ability to speak English.
PMID- 18059424
TI - Assessment of exposure in epidemiological studies: the example of silica dust.
AB - Exposure to crystalline silica ranks among the most frequent occupational
exposures to an established human carcinogen. Health-based occupational exposure
limits can only be derived from a reliable dose-response relationship. Although
quartz dust seems to be a well-measurable agent, several uncertainties in the
quantification of exposure to crystalline silica can bias the risk estimates in
epidemiological studies. This review describes the silica-specific methodological
issues in the assessment of exposure. The mineralogical forms of silica, the
technologies applied to generate dust, protective measures, and co-existing
carcinogens are important parameters to characterize the exposure condition of an
occupational setting. Another methodological question concerns the measurement of
the respirable dust fraction in the worker's breathing zone and the determination
of the quartz content in that fraction. Personal devices have been increasingly
employed over time, whereas norms for the measurement of respirable dust have
been defined only recently. Several methods are available to analyse the content
of crystalline silica in dust with limits of quantitation close to environmental
exposure levels. For epidemiological studies, the quartz content has frequently
not been measured but only calculated. To develop a silica-dust database for
epidemiological purposes, historical dust concentrations sampled with different
devices and measured as particle numbers have to be converted in a common
exposure metric. For the development of a job-exposure matrix (JEM), missing
historical data have to be estimated to complete the database over time. Unknown
but frequently high-exposure levels of the past contribute largely to the
cumulative exposure of a worker. Because the establishment of a JEM is crucial
for risk estimates, sufficient information should be made accessible to allow an
estimation of the uncertainties in the assessment of exposure to crystalline
silica. The impressive number of silica dust measurements and the evaluation of
methodological uncertainties allow recommendations for a best practice of
exposure assessment for epidemiological studies.
PMID- 18059425
TI - Relationships between levels of volatile organic compounds in air and blood from
the general population.
AB - The relationships between levels of volatile organic compounds (VOCs) in blood
and air have not been well characterized in the general population where exposure
concentrations are generally at parts per billion levels. This study investigates
relationships between the levels of nine VOCs, namely, benzene, chloroform, 1,4
dichlorobenzene, ethylbenzene, methyl tert-butyl ether (MTBE), tetrachloroethene,
toluene, and m-/p- and o-xylene, in blood and air from a stratified random sample
of the general US population. We used data collected from 354 participants,
including 89 smokers and 265 nonsmokers, aged 20-59 years, who provided samples
of blood and air in the National Health and Nutrition Examination Survey (NHANES)
1999-2000. Demographic and physiological characteristics were obtained from self
reported information; smoking status was determined from levels of serum
cotinine. Multiple linear regression models were used to investigate the
relationships between VOC levels in air and blood, while adjusting for effects of
smoking and demographic factors. Although levels of VOCs in blood were positively
correlated with the corresponding air levels, the strength of association (R(2))
varied from 0.02 (ethylbenzene) to 0.68 (1,4-DCB). Also the blood-air
relationships of benzene, toluene, ethylbenzene, and the xylenes (BTEX) were
influenced by smoking, exposure-smoking interactions, and by gender, age, and
BMI, whereas those of the other VOCs were not. Interestingly, the particular
exposure-smoking interaction for benzene was different from those for toluene,
ethylbenzene, and the xylenes. Whereas smokers retained more benzene in their
blood at increasing exposure levels, they retained less toluene, ethylbenzene,
and xylenes at increasing exposure levels. Investigators should consider
interaction effects of exposure levels and smoking when exploring the blood-air
relationships of the BTEX compounds in the general population.
PMID- 18059426
TI - A scripted activity study of the impact of protective advice on personal exposure
to ultra-fine and fine particulate matter and volatile organic compounds.
AB - We evaluated the impact on personal exposure to air pollutants of following
advice which typically accompanies air quality advisories and indices. Scripts
prescribed the time, location, duration and nature of activities intended to
simulate daily activity patterns for adults and children. Scripts were paired
such that one individual would proceed with usual activities (base scenario),
whereas the other (intervention scenario) would alter activities as if following
advice. Other than commuting, where the intervention group walked or used public
transportation rather than riding in personal vehicles, this group generally
spent less time outdoors. Ultra-fine particles (UFPs), particulate matter of
median aerodynamic diameter less than 2.5 mum (PM(2.5)) and total volatile
organic compounds (VOCs) were measured using samplers carried by individuals
during the course of daily activities. During daytime activities (e.g., work,
daycare) constituting the largest share of sampling time (approximately 6 h per
day), the intervention group experienced a 14% reduction in exposure to UFPs
(P=0.01), a 21% reduction in exposure to PM(2.5) (P=0.08), and an 86% increase in
exposure to VOCs (P=0.02). Other findings included an 89% increase in exposure to
UFPs (P=0.02) and a threefold increase in exposure to VOCs (P=0.08) in the
intervention group during evening cooking. Following smog advisory advice results
in reduced exposures to some pollutants, while at the same time increasing
exposure to others. Advice needs to be refined giving consideration to overall
personal exposure.
PMID- 18059427
TI - Serum dioxin levels in residents of Calcasieu and Lafayette parishes, Louisiana
with comparison to the US population.
AB - The Agency for Toxic Substances and Disease Registry (ATSDR) used a cross
sectional study to compare the serum dioxin toxic equivalent (TEQ) levels of a
population-based representative sample of Calcasieu Parish residents aged 15
years and older to a similar group of residents of Lafayette Parish with less
industrial facilities. Serum dioxins consisted of polychlorinated dibenzo-p
dioxins, polychlorinated dibenzofurans, and dioxin-like polychlorinated
biphenyls. Overall, the mean and distribution of serum dioxin TEQ level in
residents of both parishes were similar by age groups (15-29 years, 30-44 years,
45-59 years, and 60 year and older). When the Calcasieu Parish area was further
divided based on distance to three industrial areas, the mean dioxin TEQ levels
were similar. Serum dioxin TEQ levels in residents of both parishes increased
with age. Calcasieu Parish residents who reported having eaten locally caught
fish, smoked cigarettes, worked in an occupation with potential exposure, or used
pesticides had dioxin levels similar to Lafayette Parish residents who reported
these activities. African Americans had higher dioxin levels than Caucasians in
Lafayette Parish and both races in Calcasieu Parish. The congener profiles were
similar in residents of both parishes. When the combined Calcasieu and Lafayette
Parish data were compared by age group to the National Health and Nutrition
Examination Survey (NHANES) 2001-2002 data, the geometric means for the dioxin
levels in the combined Parish data set were significantly lower than the NHANES
data in all age groups (all P-values <0.0001), except the oldest age group where
the significance level is marginal (P=0.067). The various percentiles of the
youngest age group of the combined parish data were also significantly lower than
those in NHANES. Since the combined parish dioxin levels were below a
representative sampling of the US population, there is no increase in serum
dioxin concentrations in both the parishes.
PMID- 18059428
TI - Probabilistic estimation of dietary exposure of the general Japanese population
to dioxins in fish, using region-specific fish monitoring data.
AB - Many studies show that dietary consumption of fish is the greatest contributor to
dioxin exposure of humans in Japan. To establish a link between ocean
contamination and human exposure to dioxins in fish, we proposed a method of
estimating exposure by integrating region-specific measured concentrations of
dioxins in fish samples and information on the production and import-export
volumes of fish. The advantage over "total diet studies" (TDS) was that the
proposed method could analyze the impact of the geographical variability of ocean
contamination on human exposure to dioxins in fish; this may help us to apply
more effective measures against dioxin exposure. Probabilistic distributions
(probability density functions (PDFs)) were assigned to express the variability
in the results of monitoring dioxin concentrations in fish from coastal areas
divided according to prefecture, and from offshore and distant waters and
imported sources. The Monte Carlo technique was applied for probabilistic
estimation of dietary exposure of the general Japanese population to dioxins in
fish. The mean and 5th to 95th percentile range of dietary exposure were
estimated, respectively, as 67.12 and 22.65-184.35 pg toxic equivalent per day.
Sensitivity analysis showed that some specific coastal areas with higher dioxin
levels in fish and some with larger production volumes of fish impacted more than
others to total exposure and may thus attract priority in the implementation of
dioxin abatement measures.
PMID- 18059430
TI - Spreading depression in the brainstem of the adult rat: electrophysiological
parameters and influences on regional brainstem blood flow.
AB - Cortical spreading depression is a pathophysiological excitation wave that occurs
during pathophysiological brain conditions such as ischemic brain infarction,
migraine aura, and others. Judged from experiments in rodents, the brainstem is
thought to be comparatively resistant to the generation of spreading depression.
However, because spreading depression can be elicited in the brainstem of rat
pups after superfusing the brainstem with solutions enhancing excitability, we
reinvestigated spreading depression in the brainstem of the adult rat. Based on
theoretical predictions indicating a major role of extracellular potassium in
susceptibility to spreading depression, we used conditioning solutions in which
chloride ions were replaced by acetate and tetraethylammonium chloride and a
small amount of KCl were added. Under these conditions, spreading depression was
reproducibly elicited in the brainstem either by topical application of KCl
crystals to the brainstem surface or by local microinjection of KCl into the
brainstem. The direct current shifts so elicited were accompanied by typical
elevation of extracellular potassium ions, propagated in the brainstem, and were
prevented by MK-801, an N-methyl D-aspartate blocker. During spreading
depression, the regional blood flow in the brainstem was transiently increased.
In addition, systemic arterial blood pressure, but not the heart rate, was
transiently enhanced. In the nonconditioned brainstem, KCl stimulation neither
elicited spreading depression nor induced changes in regional blood flow and
blood pressure. These data show that proper conditioning renders the brainstem
susceptible to spreading depression, and that spreading depression at this site
elicits changes in local circulation and systemic blood pressure.
PMID- 18059431
TI - Functional reactivity of cerebral capillaries.
AB - The spatiotemporal evolution of cerebral microcirculatory adjustments to
functional brain stimulation is the fundamental determinant of the functional
specificity of hemodynamically weighted neuroimaging signals. Very little data,
however, exist on the functional reactivity of capillaries, the vessels most
proximal to the activated neuronal population. Here, we used two-photon laser
scanning microscopy, in combination with intracranial electrophysiology and
intravital video microscopy, to explore the changes in cortical hemodynamics, at
the level of individual capillaries, in response to steady-state forepaw
stimulation in an anesthetized rodent model. Overall, the microcirculatory
response to functional stimulation was characterized by a pronounced decrease in
vascular transit times (20%+/-8%), a dilatation of the capillary bed (10.9%+/
1.2%), and significant increases in red blood cell speed (33.0%+/-7.7%) and flux
(19.5%+/-6.2%). Capillaries dilated more than the medium-caliber vessels,
indicating a decreased heterogeneity in vessel volumes and increased blood flow
carrying capacity during neuronal activation relative to baseline. Capillary
dilatation accounted for an estimated approximately 18% of the total change in
the focal cerebral blood volume. In support of a capacity for focal
redistribution of microvascular flow and volume, significant, though less
frequent, local stimulation-induced decreases in capillary volume and erythrocyte
speed and flux also occurred. The present findings provide further evidence of a
strong functional reactivity of cerebral capillaries and underscore the
importance of changes in the capillary geometry in the hemodynamic response to
neuronal activation.
PMID- 18059432
TI - Cerebral blood flow autoregulation in experimental liver failure.
AB - Patients with acute liver failure (ALF) display impairment of cerebral blood flow
(CBF) autoregulation, which may contribute to the development of fatal
intracranial hypertension, but the pathophysiological mechanism remains unclear.
In this study, we examined whether loss of liver mass causes impairment of CBF
autoregulation. Four rat models were chosen, each representing different aspects
of ALF: galactosamine (GlN) intoxication represented liver necrosis, 90%
hepatectomy (PHx90) represented reduction in liver mass, portacaval anastomosis
(PCA) represented shunting of blood/toxins into the systemic circulation thus
mimicking intrahepatic shunting in ALF, PCA+NH(3) provided information about the
additional effects of hyperammonemia Rats were intubated and sedated with
pentobarbital. We measured CBF with laser Doppler, intracranial pressure (ICP)
was measured in the fossa posterior and registered with a pressure transducer,
brain water was measured using the wet-to-dry method, and cerebral
glutamine/glutamate was measured enzymatically. The CBF autoregulatory index in
both the GlN and PHx90 groups differed significantly from the control group.
Conversely, CBF autoregulation was intact in the PCA and PCA+NH(3) groups despite
high arterial ammonia, high cerebral glutamine concentration, and increased CBF
and ICP. Increased water content of the brainstem or cerebellum was not
associated with defective CBF autoregulation. In conclusion, impairment of CBF
autoregulation is not caused by brain edema/high ICP. Nor does portacaval
shunting or hyperammonemia impair autoregulation. Rather, massive liver necrosis
and reduced liver mass are associated with loss of CBF autoregulation.
PMID- 18059433
TI - Changes in autophagy after traumatic brain injury.
AB - Autophagy is the chief machinery for bulk degradation of superfluous or aberrant
cytoplasmic components. This study used the rat moderate fluid percussion injury
model to investigate whether the autophagy pathway plays a key role after
traumatic brain injury (TBI). Induction of autophagy is manifested by
accumulation of autophagosomes (APs), observable under transmission electron
microscopy (EM). Two hallmarks of autophagy, i.e., the microtubule-associated
protein light chain 3 (LC3)-II and the autophagy-related gene (ATG)12-ATG5
conjugates, were explored by biochemical and confocal microscopic analyses of
brain tissues. Under EM, both APs and autolysosomes were markedly accumulated in
neurons from 4 h onward after TBI. Western blot analysis showed that ATG12-ATG5
conjugate was markedly redistributed during 5 to 15 days in brain tissues after
TBI. LC3-II conjugate was initially unchanged but was drastically upregulated
from 24 h onward in the pre-AP-containing fraction after TBI. LC-3 immunostaining
was mainly located in living neurons under confocal microscopy. These results
clearly show that the autophagy pathway is persistently activated after TBI.
Because the autophagy pathway is the chief machinery for bulk elimination of
aberrant cell components, we propose that activation of this pathway serves as a
protective mechanism for maintaining cellular homeostasis after TBI.
PMID- 18059434
TI - Improving PET receptor binding estimates from Logan plots using principal
component analysis.
AB - This work reports a principal component analysis (PCA)-based approach for
reducing bias in distribution volume ratio (DVR) estimates from Logan plots in
positron emission tomography (PET). Comparison has been made of all existing bias
removal methods with the proposed PCA method, for both single-estimate PET
studies and intervention studies where pre- and post-intervention estimates are
made. Bias in Logan-based DVR estimates is because of the noise in the PET time
activity curves (TACs) that propagates as correlated errors in dependent and
independent variables of the Logan equation. Intervention studies show this same
bias but also higher variance in DVR estimates. In this work, noise in the TACs
was reduced by fitting the curves to a low-dimension PCA-based linear model,
leading to reduced bias and variance in DVR. For validating the approach, TACs
with realistic noise were simulated for a 11C-labeled tracer with carfentanil
(CFN)-like kinetics for both single-measurement and intervention studies.
Principal component analysis and existing methods were applied to the simulated
data and their performance was compared by statistical analysis. The results
indicated that existing methods either removed only part of the bias or reduced
bias at the expense of precision. The proposed method removed approximately 90%
of the bias while also improving precision in both single- and dual-measurement
simulations. After validation of the proposed method in simulations, PCA, along
with the existing methods, was applied to human [11C]CFN data acquired for both
single estimation of DVR and dual-estimation intervention studies. Similar
results were observed in human scans as were seen in the simulation studies.
PMID- 18059435
TI - The influence of subthalamic nucleus lesions on sign-tracking to stimuli paired
with food and drug rewards: facilitation of incentive salience attribution?
AB - It is well known that the subthalamic nucleus (STN) plays an important role in
regulating motor function, but recent studies suggest the STN is also involved in
regulating motivated behavior. For example, bilateral lesions of the STN increase
motivation for both food and cocaine as assessed by 'breakpoint' on a progressive
ratio schedule. However, the psychological mechanism(s) by which STN lesions
increase motivation for rewards is unknown. We hypothesized that STN lesions
might influence one specific component of motivation, the attribution of
incentive value (incentive salience) to reward-related cues. We tested this
hypothesis by quantifying the ability of a discrete cue that had been paired with
the non-contingent delivery of either food or cocaine to elicit approach towards
it (ie, to produce a 'sign-tracking' conditioned response, CR). STN lesions made
prior to training increased asymptotic levels of sign-tracking behavior directed
towards a cue paired with either food or cocaine. In addition, when STN lesions
were made after animals had already undergone Pavlovian training, and animals
were tested under extinction conditions, the STN lesion still facilitated a sign
tracking CR. Finally, reintroduction of the US (food) following extinction
immediately restored robust sign-tracking behavior in animals with STN lesions.
We speculate, therefore, that the STN is part of a neural system that moderates
the amount of incentive salience attributed to reward-related stimuli. Activity
in this neural system may help mitigate the development of compulsive behavioral
disorders, such as addiction, which are characterized by pathological control
over behavior by reward-associated cues.
PMID- 18059436
TI - Context-specific reversal of cocaine sensitization by the CB1 cannabinoid
receptor antagonist rimonabant.
AB - The CB(1) cannabinoid receptor is implicated in the rewarding properties of many
drugs of abuse, including cocaine. While CB(1) receptor involvement in the acute
rewarding properties of cocaine is controversial, CB(1) antagonists such as
SR141716 (rimonabant) have clearly been found to prevent cue- and cocaine
elicited reinstatement of cocaine self-administration in rodents. Here we
demonstrate the novel involvement of CB(1) receptors in the maintenance of
behavioral sensitization to cocaine in C57BL/6 mice. Consistent with previous
reports, the induction of locomotor sensitization following repeated daily
cocaine was not prevented by systemic pretreatment of either rimonabant, Delta(9)
tetrahydrocannabinol (THC), or a 1:1 mixture of THC and cannabidiol (CBD). In
contrast, established cocaine sensitization was markedly disrupted following
subchronic treatment with rimonabant alone. This effect was notably context
dependent, in that rimonabant did not diminish established cocaine sensitization
if delivered in the home cage, but only if the rimonabant-injected mice were
exposed to activity chambers previously paired with cocaine. These findings are
consistent with CB(1) receptor involvement in conditioned cocaine-seeking
behaviors, and further suggest that endocannabinoid (eCB)-mediated synaptic
plasticity may act specifically within drug-paired environments to maintain
cocaine-directed behavioral responses.
PMID- 18059437
TI - Postnatal phencyclidine administration selectively reduces adult cortical
parvalbumin-containing interneurons.
AB - Transient postnatal NMDA receptor blockade by phencyclidine (PCP), ketamine, or
MK-801 induces developmental neuroapoptosis and adult behavioral deficits, which
resemble abnormal human behaviors typically present in schizophrenia. This study
tested the hypothesis that PCP-induced developmental apoptosis causes a specific
deficit of GABAergic interneurons containing parvalbumin (PV), calretinin (CR),
or calbindin (CB). Young adult (PND56) rats that were given a single dose of PCP
(10 mg/kg) on PND7 exhibited no densitometric change of either CR or CB neurons
in any brain region studied, but demonstrated a selective deficit of PV
containing neurons in the superficial layers (II-IV) of the primary somatosensory
(S1), motor (M), and retrosplenial cortices, but not in the striatum (CPu) or
hippocampus. Further, CR and CB neurons, which were expressed at the time of PCP
administration, showed no colocalization with cellular markers of apoptosis
(terminal dUTP nick-end labeling (TUNEL) of broken DNA or cleaved caspase-3),
indicating that CR- and CB-containing neurons were protected from the toxic
effect of PCP and survived into adulthood. This suggests that the deletion of PV
neurons occurred during development, but cleaved caspase-3 showed no
colocalization with BrdU, a specific marker of S-phase proliferation. These data
suggest that the loss of PV-containing neurons was not due to an effect of PCP on
proliferating neurons, but rather an effect on post-mitotic neurons. The
developmental dependence and neuronal specificity of this effect of PCP provides
further evidence that this model may be valuable in exploring the pathophysiology
of schizophrenia.
PMID- 18059438
TI - N-desalkylquetiapine, a potent norepinephrine reuptake inhibitor and partial 5
HT1A agonist, as a putative mediator of quetiapine's antidepressant activity.
AB - Quetiapine is an atypical antipsychotic drug that is also US FDA approved for
treating bipolar depression, albeit by an unknown mechanism. To discover the
potential mechanism for this apparently unique action, we screened quetiapine,
its metabolite N-Desalkylquetiapine, and dibenzo[b,f][1,4]thiazepine-11(10-H)-one
(DBTO) against a large panel of G-protein-coupled receptors, ion channels, and
neurotransmitter transporters. DBTO was inactive at all tested molecular targets.
N-Desalkylquetiapine had a high affinity (3.4 nM) for the histamine H(1) receptor
and moderate affinities (10-100 nM) for the norepinephrine reuptake transporter
(NET), the serotonin 5-HT(1A), 5-HT(1E), 5-HT(2A), 5-HT(2B), 5-HT(7) receptors,
the alpha(1B)-adrenergic receptor, and the M(1), M(3), and M(5) muscarinic
receptors. The compound had low affinities (100-1000 nM) for the 5-HT(1D), 5
HT(2C), 5-HT(3), 5-HT(5), 5-HT(6), alpha(1A), alpha(2A), alpha(2B), alpha(2C),
H(2), M(2), M(4), and dopamine D(1), D(2), D(3), and D(4) receptors. N
Desalkylquetiapine potently inhibited human NE transporter with a K(i) of 12 nM,
about 100-fold more potent than quetiapine itself. N-Desalkylquetiapine was also
10-fold more potent and more efficacious than quetiapine at the 5-HT(1A)
receptor. N-Desalkylquetiapine was an antagonist at 5-HT(2A), 5-HT(2B), 5-HT(2C),
alpha(1A), alpha(1D), alpha(2A), alpha(2C), H(1), M(1), M(3), and M(5) receptors.
In the mouse tail suspension test, N-Desalkylquetiapine displayed potent
antidepressant-like activity in VMAT2 heterozygous mice at doses as low as 0.1
mg/kg. These data strongly suggest that the antidepressant activity of quetiapine
is mediated, at least in part, by its metabolite N-Desalkylquetiapine through NET
inhibition and partial 5-HT(1A) agonism. Possible contributions of this
metabolite to the side effects of quetiapine are discussed.
PMID- 18059439
TI - Cortical hypoexcitability in chronic smokers? A transcranial magnetic stimulation
study.
AB - Studies in animal models and humans indicate that chronic nicotine intake
influences neuronal excitability, resulting in functional and structural CNS
changes. The aim of the present study was to explore human primary motor cortex
(M1) excitability with transcranial magnetic stimulation (TMS) in chronic
smokers. A total of 44 right-handed volunteers, aged 20-30 years, participated in
the study. Chronic smokers were compared with age- and sex-matched healthy
nonsmokers. We tested cortical excitability with single- and paired-pulse TMS to
the left M1 and short-latency afferent inhibition (SAI) by combining median nerve
stimulation and motor cortex TMS. Compared with nonsmoking controls, chronic
smokers showed a significantly larger amount of SAI, which is thought to depend
upon the activity of cholinergic inhibitory circuits produced by somatosensory
inputs. Moreover, TMS-evoked inhibitory cortical silent periods were prolonged,
whereas paired-pulse intracortical facilitation and motor-evoked potentials
during moderate contraction were reduced. The results suggest that chronic
nicotine intake may not only strengthen cholinergic inhibitory circuits, but
could also be associated with enhanced inhibitory and reduced facilitatory
mechanism of specific neuronal circuits in motor cortex. These changes may form a
physiological basis for neurobiological and behavioral variations associated with
chronic smoking.
PMID- 18059440
TI - Attenuation of cue-induced heroin-seeking behavior by cannabinoid CB1 antagonist
infusions into the nucleus accumbens core and prefrontal cortex, but not
basolateral amygdala.
AB - As with other drugs of abuse, heroin use is characterized by a high incidence of
relapse following detoxification that can be triggered by exposure to conditioned
stimuli previously associated with drug availability. Recent findings suggest
that cannabinoid CB(1) receptors modulate the motivational properties of heroin
conditioned stimuli that induce relapse behavior. However, the neural substrates
through which CB(1) receptors modulate cue-induced heroin seeking have not been
elucidated. In this study, we evaluated alterations in cue-induced reinstatement
of heroin-seeking behavior produced by infusions of the CB(1) receptor antagonist
SR 141716A (0, 0.3 and 3 microg per side) delivered into the prefrontal cortex
(PFC), nucleus accumbens (NAC), and basolateral amygdala (BLA) of rats. Results
show that following extinction of operant behavior the presentation of a
discriminative stimulus conditioned to heroin availability reinstated
nonreinforced lever pressing to levels comparable to preextinction levels. Intra
PFC SR 141716A dose-dependently reduced cue-induced reinstatement of heroin
seeking, with a significant reduction following the 3 microg per side dose. In
the NAC, both SR 141716A doses induced a significant reduction in cue-induced
reinstatement, with the highest dose completely blocking the effect of the cue.
In contrast, intra-BLA SR 141716A did not alter cue-induced reinstatement of
responding while systemic administration of this antagonist (3 mg/kg, i.p.)
significantly blocked cue-induced reinstatement in all three-placement groups
(BLA, PFC, and NAC). These findings provide new insights into the neural
mechanisms through which CB(1) receptors modulate the motivational properties of
heroin-associated cues inducing relapse.
PMID- 18059441
TI - Neural pathways underlying lactate-induced panic.
AB - Panic disorder is a severe anxiety disorder characterized by susceptibility to
induction of panic attacks by subthreshold interoceptive stimuli such as 0.5 M
sodium lactate infusions. Although studied for four decades, the mechanism of
lactate sensitivity in panic disorder has not been understood. The dorsomedial
hypothalamus/perifornical region (DMH/PeF) coordinates rapid mobilization of
behavioral, autonomic, respiratory and endocrine responses to stress, and rats
with disrupted GABA inhibition in the DMH/PeF exhibit panic-like responses to
lactate, similar to panic disorder patients. Utilizing a variety of anatomical
and pharmacological methods, we provide evidence that lactate, via osmosensitive
periventricular pathways, activates neurons in the compromised DMH/PeF, which
relays this signal to forebrain limbic structures such as the bed nucleus of the
stria terminalis to mediate anxiety responses, and specific brainstem sympathetic
and parasympathetic pathways to mediate the respiratory and cardiovascular
components of the panic-like response. Acutely restoring local GABAergic tone in
the DMH/PeF blocked lactate-induced panic-like responses. Autonomic panic-like
responses appear to be a result of DMH/PeF-mediated mobilization of sympathetic
responses (verified with atenolol) and resetting of the parasympathetically
mediated baroreflex. Based on our findings, DMH/PeF efferent targets such as the
C1 adrenergic neurons, paraventricular hypothalamus, and the central amygdala are
implicated in sympathetic mobilization; the nucleus of the solitary tract is
implicated in baroreflex resetting; and the parabrachial nucleus is implicated in
respiratory responses. These results elucidate neural circuits underlying lactate
induced panic-like responses and the involvement of both sympathetic and
parasympathetic systems.
PMID- 18059442
TI - A modular network model of aging.
AB - Many fundamental questions on aging are still unanswered or are under intense
debate. These questions are frequently not addressable by examining a single gene
or a single pathway, but can best be addressed at the systems level. Here we
examined the modular structure of the protein-protein interaction (PPI) networks
during fruitfly and human brain aging. In both networks, there are two modules
associated with the cellular proliferation to differentiation temporal switch
that display opposite aging-related changes in expression. During fly aging,
another couple of modules are associated with the oxidative-reductive metabolic
temporal switch. These network modules and their relationships demonstrate (1)
that aging is largely associated with a small number, instead of many network
modules, (2) that some modular changes might be reversible and (3) that genes
connecting different modules through PPIs are more likely to affect
aging/longevity, a conclusion that is experimentally validated by Caenorhabditis
elegans lifespan analysis. Network simulations further suggest that aging might
preferentially attack key regulatory nodes that are important for the network
stability, implicating a potential molecular basis for the stochastic nature of
aging.
PMID- 18059443
TI - Q-bio 2007: a watershed moment in modern biology.
PMID- 18059444
TI - Systems metabolic engineering of Escherichia coli for L-threonine production.
AB - Amino-acid producers have traditionally been developed by repeated random
mutagenesis owing to the difficulty in rationally engineering the complex and
highly regulated metabolic network. Here, we report the development of the
genetically defined L-threonine overproducing Escherichia coli strain by systems
metabolic engineering. Feedback inhibitions of aspartokinase I and III (encoded
by thrA and lysC, respectively) and transcriptional attenuation regulations
(located in thrL) were removed. Pathways for Thr degradation were removed by
deleting tdh and mutating ilvA. The metA and lysA genes were deleted to make more
precursors available for Thr biosynthesis. Further target genes to be engineered
were identified by transcriptome profiling combined with in silico flux response
analysis, and their expression levels were manipulated accordingly. The final
engineered E. coli strain was able to produce Thr with a high yield of 0.393 g
per gram of glucose, and 82.4 g/l Thr by fed-batch culture. The systems metabolic
engineering strategy reported here may be broadly employed for developing
genetically defined organisms for the efficient production of various
bioproducts.
PMID- 18059445
TI - Capturing cell-fate decisions from the molecular signatures of a receptor
dependent signaling response.
AB - We examined responses of the B-cell antigen receptor-dependent intracellular
signaling network to targeted perturbations induced through siRNA-mediated
depletion of select signaling intermediates. The constituent nodes displayed
graded sensitivities, which resulted from the differential effects of
perturbations on the kinetic and quantitative aspects of phosphorylation at each
node. By taking the rate of initial phosphorylation, rate of subsequent
dephosphorylation, and the total intensity of phosphorylation at each node as
separate signaling parameters, we generated data-driven models that accurately
predicted the cellular responses of apoptosis, proliferation, and cytokine
secretion. Importantly, the effects of perturbation on the primary target alone
did not yield successful models. Rather, it also required incorporation of
secondary effects on many other nodes. A significant feature of these models was
that the three signaling parameters derived from each node functioned largely as
independent entities, making distinctive contributions to the cellular response.
Thus, the kinetic and quantitative features of phosphorylation at a node appear
to play discrete roles during signal processing.
PMID- 18059447
TI - 'Tis the season...
PMID- 18059446
TI - Evolvable signaling networks of receptor tyrosine kinases: relevance of
robustness to malignancy and to cancer therapy.
AB - Robust biological signaling networks evolved, through gene duplications, from
simple, relatively fragile cascades. Architectural features such as layered
configuration, branching and modularity, as well as functional characteristics
(e.g., feedback control circuits), enable fail-safe performance in the face of
internal and external perturbations. These universal features are exemplified
here using the receptor tyrosine kinase (RTK) family. The RTK module is richly
mutated and overexpressed in human malignancies, and pharmaceutical interception
of its signaling effectively retards growth of specific tumors. Therapy-induced
interception of RTK-signaling pathways and the common evolvement of drug
resistance are respectively considered here as manifestations of fragility and
plasticity of robust networks. The systems perspective we present views
pathologies as hijackers of biological robustness and offers ways for identifying
fragile hubs, as well as strategies to overcome drug resistance.
PMID- 18059448
TI - Three-dimensional intricacies in protein-DNA recognition and transcriptional
control.
PMID- 18059449
TI - A monkey wrench in the kinase machine.
PMID- 18059450
TI - Merging the RNA and DNA worlds.
PMID- 18059451
TI - TIRF(ing) reveals Msh2-Msh6 surfing on DNA.
PMID- 18059454
TI - Urinary biomarkers in the early diagnosis of acute kidney injury.
AB - A change in the serum creatinine is not sensitive for an early diagnosis of acute
kidney injury. We evaluated urinary levels of matrix metalloproteinase-9 (MMP-9),
N-acetyl-beta-D-glucosaminidase (NAG), and kidney injury molecule-1 (KIM-1) as
biomarkers for the detection of acute kidney injury. Urine samples were collected
from 44 patients with various acute and chronic kidney diseases, and from 30
normal subjects in a cross-sectional study. A case-control study of children
undergoing cardio-pulmonary bypass surgery included urine specimens from each of
20 patients without and with acute kidney injury. Injury was defined as a greater
than 50% increase in the serum creatinine within the first 48 h after surgery.
The biomarkers were normalized to the urinary creatinine concentration at 12, 24,
and 36 h after surgery with the areas under the receiver-operating characteristic
curve compared for performance. In the cross-sectional study, the area under the
curve for MMP-9 was least sensitive followed by KIM-1 and NAG. Combining all
three biomarkers achieved a perfect score diagnosing acute kidney injury. In the
case-control study, KIM-1 was better than NAG at all time points, but combining
both was no better than KIM-1 alone. Urinary MMP-9 was not a sensitive marker in
the case-control study. Our results suggest that urinary biomarkers allow
diagnosis of acute kidney injury earlier than a rise in serum creatinine.
PMID- 18059455
TI - Arkadia regulates TGF-beta signaling during renal tubular epithelial to
mesenchymal cell transition.
AB - Transforming growth factor-beta (TGF-beta) signaling has been linked with tubular
epithelial to mesenchymal cell transition. In this study, we examined the role of
Arkadia, an E3 ubiquitin ligase that is critically required for TGF-beta
signaling during epithelial to mesenchymal cell transition. We found that when
normal human renal tubular epithelial cells in culture were stimulated with TGF
beta1, which increased their levels of Arkadia, Smurf2, TGF-beta type I receptor
(TbetaRI), and Smad7 mRNA, but had low levels of Smad7 protein. When these cells
were preincubated with Arkadia siRNA (small interfering RNA) and lactacystin (an
inhibitor of proteasomal degradation), the TGF-beta(1) induced expression of
Smad7, alpha-smooth muscle actin, and E-cadherin was partly reversed, but the
expression of TbetaRI protein and Smad7 mRNA was not affected. In contrast,
Smurf2 siRNA had no influence on the expression of these targets. Our studies
suggest that Arkadia stimulates renal tubular epithelial to mesenchymal cell
transition through degradation of Smad7.
PMID- 18059456
TI - A wearable hemofilter for continuous ambulatory ultrafiltration.
AB - Ultrafiltration is effective for treating fluid overload, but there are no
suitable machines for ambulatory treatment. This study summarizes the use of a
light-weight wearable continuous ambulatory ultrafiltration device consisting of
a hollow fiber hemofilter, a battery operated pulsatile pump, and two micropumps
to control heparin administration and ultrafiltration. Six volume-overloaded
patients underwent ultrafiltration for 6 h with treatment discontinued in one
patient due to a clotted catheter. Blood flow averaged 116 ml min(-1), the
ultrafiltration rate ranged from 120-288 ml h(-1) with about 150 mmol of sodium
removed. Blood pressure, pulse, and biochemical parameters remained stable with
no significant hemolysis or complications. Our data show that the wearable
hemofilter appears to be safe, effective, and practical for patients. This device
could have a major impact on the quality of life of fluid-overloaded patients
with heart failure. Additional studies will be needed to confirm these initial
promising results.
PMID- 18059457
TI - 24-h uric acid excretion and the risk of kidney stones.
AB - There is uncertainty about the relation between 24-h urinary uric acid excretion
and the risk of calcium oxalate nephrolithiasis. In addition, the risk associated
with different levels of other urinary factors needs clarification. We performed
a cross-sectional study of 24-h urine excretion and the risk of kidney stone
formation in 3350 men and women, of whom 2237 had a history of nephrolithiasis.
After adjusting for other urinary factors, urinary uric acid had a significant
inverse association with stone formation in men, a marginal inverse association
with risk in younger women, and no association in older women. The risk of stone
formation in men and women significantly rose with increasing urine calcium and
oxalate, and significantly decreased with increasing citrate and urine volume,
with the change in risk beginning below the traditional normal thresholds. Other
urinary factors were also associated with risk, but this varied by age and
gender. Our study does not support the prevailing belief that higher urine uric
acid excretion increases the risk for calcium oxalate stone formation. In
addition, the current definitions of normal levels for urinary factors need to be
re-evaluated.
PMID- 18059458
TI - High prevalence of chronic kidney disease in Thailand.
AB - We describe the prevalence of stage III and IV chronic kidney disease in Thailand
from a representative sample of individuals aged 35 years and above using a
stratified, multistage, cluster-sampling method. Population estimates were
calculated by applying sampling weights from the 2000 Thai census. Glomerular
filtration rates were estimated from serum creatinine using the Cockroft-Gault
and the simplified Modification of Diet in Renal Disease (MDRD) formulae. The
prevalence of stage III disease among individuals aged 35 years and above was
estimated to be about 20% using the Cockroft-Gault formula and about 13% from the
MDRD formula. Stage IV disease was present in about 0.9 and 0.6% of this
population using the respective formulae. The highest prevalence rates were
observed in less well-developed rural areas and the lowest in developed urban
areas. The prevalence of chronic kidney disease was significantly higher than
that reported in individuals over 40 years old from the United States for both
stage III and IV disease and higher than the reported incidence in Taiwan and
Australia. This high prevalence of chronic kidney disease in Thailand has obvious
implications for the health of its citizens and for the allocation of health-care
resources.
PMID- 18059459
TI - The L1 cell adhesion molecule is a potential biomarker of human distal nephron
injury in acute tubular necrosis.
AB - The L1 cell adhesion molecule (CD171) is a multidomain membrane glycoprotein of
the immunoglobulin superfamily. We evaluated its expression in human acute kidney
injury and assessed its use as a tissue and urinary marker of acute tubular
injury. Using immunohistochemical studies with antibodies to the extracellular or
cytoplasmic domains, we compared L1 expression in normal kidneys in 24 biopsies
taken from patients with acute tubular necrosis. L1 was found at the basolateral
and the lateral membrane in all epithelial cells of the collecting duct in the
normal kidney except for intercalated cells. In acute tubular necrosis, L1 lost
its polarized distribution being found in both the basolateral and apical domains
of the collecting duct. Further, it was induced in thick ascending limb and
distal tubule cells. Apically expressed L1 found only when the cytoplasmic domain
antibody was used in biopsy specimens of patients with acute tubular necrosis.
The levels of urinary L1, normalized for creatinine, were significantly higher in
all 24 patients with acute tubular necrosis compared to five patients with
prerenal azotemia or to six patients with other causes of acute kidney injury.
Our study shows that a soluble form of human L1 can be detected in the urine of
patients with acute tubular necrosis and that this may be a marker of distal
nephron injury.
PMID- 18059460
TI - Reconstitution of a microtubule plus-end tracking system in vitro.
AB - The microtubule cytoskeleton is essential to cell morphogenesis. Growing
microtubule plus ends have emerged as dynamic regulatory sites in which
specialized proteins, called plus-end-binding proteins (+TIPs), bind and regulate
the proper functioning of microtubules. However, the molecular mechanism of plus
end association by +TIPs and their ability to track the growing end are not well
understood. Here we report the in vitro reconstitution of a minimal plus-end
tracking system consisting of the three fission yeast proteins Mal3, Tip1 and the
kinesin Tea2. Using time-lapse total internal reflection fluorescence microscopy,
we show that the EB1 homologue Mal3 has an enhanced affinity for growing
microtubule end structures as opposed to the microtubule lattice. This allows it
to track growing microtubule ends autonomously by an end recognition mechanism.
In addition, Mal3 acts as a factor that mediates loading of the processive motor
Tea2 and its cargo, the Clip170 homologue Tip1, onto the microtubule lattice. The
interaction of all three proteins is required for the selective tracking of
growing microtubule plus ends by both Tea2 and Tip1. Our results dissect the
collective interactions of the constituents of this plus-end tracking system and
show how these interactions lead to the emergence of its dynamic behaviour. We
expect that such in vitro reconstitutions will also be essential for the
mechanistic dissection of other plus-end tracking systems.
PMID- 18059461
TI - Coevolution with viruses drives the evolution of bacterial mutation rates.
AB - Bacteria with greatly elevated mutation rates (mutators) are frequently found in
natural and laboratory populations, and are often associated with clinical
infections. Although mutators may increase adaptability to novel environmental
conditions, they are also prone to the accumulation of deleterious mutations. The
long-term maintenance of high bacterial mutation rates is therefore likely to be
driven by rapidly changing selection pressures, in addition to the possible slow
transition rate by point mutation from mutators to non-mutators. One of the most
likely causes of rapidly changing selection pressures is antagonistic coevolution
with parasites. Here we show whether coevolution with viral parasites could drive
the evolution of bacterial mutation rates in laboratory populations of the
bacterium Pseudomonas fluorescens. After fewer than 200 bacterial generations,
25% of the populations coevolving with phages had evolved 10- to 100-fold
increases in mutation rates owing to mutations in mismatch-repair genes; no
populations evolving in the absence of phages showed any significant change in
mutation rate. Furthermore, mutator populations had a higher probability of
driving their phage populations extinct, strongly suggesting that mutators have
an advantage against phages in the coevolutionary arms race. Given their
ubiquity, bacteriophages may play an important role in the evolution of bacterial
mutation rates.
PMID- 18059462
TI - A microenvironmental model of carcinogenesis.
AB - We propose that carcinogenesis requires tumour populations to surmount six
distinct microenvironmental proliferation barriers that arise in the adaptive
landscapes of normal and premalignant populations growing from epithelial
surfaces. Somatic evolution of invasive cancer can then be viewed as a sequence
of phenotypical adaptations to these barriers. The genotypical and phenotypical
heterogeneity of cancer populations is explained by an equivalence principle in
which multiple strategies can successfully adapt to the same barrier. This model
provides a theoretical framework in which the diverse cancer genotypes and
phenotypes can be understood according to their roles as adaptive strategies to
overcome specific microenvironmental growth constraints.
PMID- 18059463
TI - The psychosocial burden of hyperemesis gravidarum.
AB - OBJECTIVE: To describe the psychosocial burden of hyperemesis gravidarum (HG) in
a large cohort of affected women, focusing on previously unreported problems.
STUDY DESIGN: Women with HG described their pregnancy history in an open-ended
survey administered internationally through an HG website during 2003 to 2005.
RESULT: Of the 808 participants, 626 (77.5%) were American. A large majority
(82.8%) reported that HG caused negative psychosocial changes, consisting of (1)
socioeconomic changes, for example, job loss or difficulties, (2) attitude
changes including fear regarding future pregnancies and (3) psychiatric sequelae,
for example, feelings of depression and anxiety, which for some continued
postpartum. Women who reported that their health-care provider was uncaring or
unaware of the severity of their symptoms were nearly twice as likely to report
these psychiatric sequelae (odds ratio 1.86, 95% confidence interval 1.06 to
3.29, P=0.032). CONCLUSION: Over 80% of a large cohort of women with HG reported
that HG caused a negative psychosocial impact.
PMID- 18059464
TI - Household knowledge and practices of newborn and maternal health in Haripur
district, Pakistan.
AB - OBJECTIVE: To learn about household maternal and newborn health knowledge and
practices to aid the design of newborn programming within Save the Children's
Haripur Program. STUDY DESIGN: In April, we conducted 43 semi-structured
interviews (SSIs) and 34 focus group discussions among men, women of reproductive
age and health service providers; in September, we added 21 SSIs among new
mothers, new fathers and dais. Two investigators analyzed the findings according
to themes within six care types: antenatal, delivery, immediate newborn, routine
postpartum, special maternal and special newborn. RESULT: Findings indicated poor
maternal diet and antenatal care-seeking. Home delivery with an untrained dai was
the norm. Respondents knew about benefits of clean delivery, but rarely put
knowledge into practice. Knowledge and practices for maintaining the newborn's
warmth were good. Delayed initiation of breastfeeding, avoidance of colostrum and
prelacteal feeding were almost universal. Unhygienic cord care, including an
unclean cut and application of ghee on the cord-stump, was the norm. After
delivery, mothers often maintained low fluid intake but otherwise reported
healthy nutritional practices. Knowledge of some danger signs in newborns was
common, but timely action upon recognition was not. CONCLUSION: Although the
findings illustrate some beneficial practices, many reported practices are
harmful to the newborn. These findings, consistent with the sparse existing data
in Pakistan, inform program interventions for household-level behavioral change.
PMID- 18059465
TI - Implementation of a 'Hypothermia for HIE' program: 2-year experience in a single
NICU.
AB - Hypothermia has been shown to be neuroprotective in some newborns with moderate
to-severe perinatal hypoxic-ischemic encephalopathy (HIE). In 2006, the American
Academy of Pediatrics recommended that institutions that choose to use
therapeutic hypothermia do so in the context of a rigorous protocol, with
systematic collection of patient data including neurodevelopmental follow-up. In
this report, we describe our experience with implementation of a 'Hypothermia for
HIE' program in a single tertiary care Neonatal Intensive Care Unit (NICU).
Important components of the program include detailed protocols, staff and
outreach education, early initiation of cooling in both inborn and outborn
patients, maintaining stable hypothermia during neonatal transport, and
comprehensive neurologic evaluation including serial EEGs, brain MRI and
neurodevelopmental follow-up. In the first 2 years of the program, we have used
hypothermia therapy in 21 patients, 18 with perinatal and 3 with early postnatal
events leading to HIE. Eleven of fifteen outborn patients were cooled prior to
and during transport, resulting in initiation of therapy 3 h sooner than if
therapy had been delayed until arrival at our center. While lowering the body
temperature of encephalopathic newborns is not difficult, addressing the complex
medical problems of this vulnerable group of patients requires an experienced
multidisciplinary team in regional referral centers.
PMID- 18059466
TI - The epidemiology of atypical chronic lung disease in extremely low birth weight
infants.
AB - OBJECTIVE: To determine the incidence and associated complications of atypical
chronic lung disease (ACLD) in extremely low birth weight infants. STUDY DESIGN:
All infants born at Johns Hopkins Hospital between 1996 and 2001, with
birthweight <1000 g, gestational age <31 weeks, no major anomalies or genetic
syndromes, and living at least 21 days were eligible for inclusion. Data
pertaining to demographics, hospital course, diagnosis of atypical chronic lung
disease, patterns of surfactant use, complications of prematurity and severity of
lung disease were collected. RESULT: Using inclusion criteria, 215 eligible
infants were identified, of which 185 had hospital charts available for review.
Twenty-eight infants (15%) met the criteria for atypical chronic lung disease. Of
the remaining 157 infants, 57 patients met the criteria for mild bronchopulmonary
dysplasia (BPD) (supplemental oxygen requirement at 28 days of life), 38 patients
had moderate/severe BPD (supplemental oxygen requirement at both 28 days of life
and 36 weeks post-menstrual age), and 38 infants did not have chronic lung
disease. Infants with ACLD had much higher rates of sepsis (46%) and pneumothorax
(18%) than infants in the comparison groups. CONCLUSION: Infants with respiratory
distress syndrome in the first week of life, which initially resolves are still
at risk for an atypical form of chronic lung disease. The prolonged respiratory
support they require as a result of this type of lung disease increases their
risk for complications of prematurity, which may outlast their lung disease. We
speculate that inflammation secondary to infection acquired shortly after birth
may be an important step in the pathogenesis of ACLD.
PMID- 18059467
TI - Demographic and nutritional factors associated with prolonged cholestatic
jaundice in the premature infant.
AB - OBJECTIVE: The primary aim of this study was to determine if an association
exists between amino-acid levels and development of cholestasis. The secondary
aim of our amino-acid dose comparison trial was to identify factors associated
with the development of prolonged cholestatic jaundice. STUDY DESIGN: We compared
demographic characteristics and amino-acid levels in neonates who developed
cholestasis with those who did not. Parenteral-associated cholestatic liver
disease was defined as a direct serum bilirubin above 5 mg per 100 ml any time
during the first 28 days after birth in neonates with no history of biliary
atresia or viral hepatitis. We obtained filter paper blood spots for amino acid
and acylcarnitine measurements on the day of randomization and days 7 and 28 of
age to identify a profile of values that could be used to identify neonates with
evidence of abnormal liver function. RESULT: We enrolled 122 neonates in our
study; 13 (10.7%) developed cholestasis. Neonates who developed cholestasis were
more immature, had lower birth weight, were exposed to parenteral nutrition for a
longer period, had a higher cumulative dose of amino acids, were less often on
enteral nutrition by day 7 of age, more often had a patent ductus arteriosus and
severe intraventricular hemorrhage and were more commonly treated with steroids
by 28 days of age. Amino acid and acylcarnitine values were not different for the
two groups on the day of randomization. On day 7 (parenteral phase of nutrition),
blood urea nitrogen, citrulline, histidine, methionine and succinyl carnitine
were higher, and serine, glutamate and thyroxine levels were lower in the
neonates who developed cholestasis than in who did not. CONCLUSION: Cholestasis
remains an important complication of parenteral nutrition, and several clinical
and biochemical factors may be helpful in identifying high-risk patients.
PMID- 18059468
TI - Family-based association study of cytotoxic T-lymphocyte antigen-4 with
susceptibility to Graves' disease in Han population of Taiwan.
AB - Graves' disease (GD) is a common organ-specific autoimmune disorder inherited as
a complex trait. Although there has not been consensus regarding the genuine
susceptibility alleles, many population-based genetic studies showed association
of the cytotoxic T-lymphocyte antigen-4 (CTLA4) gene with GD. In contrast,
evidence utilizing family-based studies came only from the Caucasian population.
Here we performed a family-based association study in the Han population in
Taiwan. We enrolled 374 affected individuals and 347 unaffected family members in
151 GD pedigrees. Four single-nucleotide polymorphisms (SNP) and a short tandem
repeat polymorphism (STRP) at CTLA4 were genotyped. Association of GD with a
novel risk SNP at the 5' upstream region, CTLA4_-1722_T/C (rs733618), was
demonstrated (P=0.0096). We also replicated the association signal of a coding
SNP, CTLA4_+49_G/A (rs231775, P=0.0219). A common haplotype composed of CTLA4_
1722_T/C and CTLA4_(AT)n (an STRP marker: UniSTS:48500) showed protective effect
(P=0.0004). Our results of family-based association study, taken together with
those from the Caucasian population, provide evidence that CTLA4 confers
susceptibility to GD across different ethnic backgrounds.
PMID- 18059470
TI - p166, a link between the trypanosome mitochondrial DNA and flagellum, mediates
genome segregation.
AB - Kinetoplast DNA (kDNA), the trypanosome mitochondrial genome, is a giant network
containing several thousand interlocked DNA rings. Within the mitochondrion, kDNA
is condensed into a disk-shaped structure positioned near the flagellar basal
body. The disk is linked to the basal body by a remarkable transmembrane filament
system named the tripartite attachment complex (TAC). Following kDNA replication,
the TAC mediates network segregation, pulling the progeny networks into the
daughter cells by their linkage to the basal bodies. So far TAC has been
characterized only morphologically with no known protein components. By screening
an RNAi library, we discovered p166, a protein localizing between the kDNA and
basal body in intact cells and in isolated flagellum-kDNA complexes. RNAi of p166
has only small effects on kDNA replication, but it causes profound defects in
network segregation. For example, kDNA replication without segregation causes the
networks to grow to enormous size. Thus, p166 is the first reported molecular
component of the TAC, and its discovery will facilitate study of kDNA segregation
machinery at the molecular level.
PMID- 18059471
TI - 14-3-3 proteins recognize a histone code at histone H3 and are required for
transcriptional activation.
AB - Interphase phosphorylation of S10 at histone H3 is linked to transcriptional
activation of a specific subset of mammalian genes like HDAC1. Recently, 14-3-3
proteins have been described as detectors for this phosphorylated histone H3
form. Here, we report that 14-3-3 binding is modulated by combinatorial
modifications of histone H3. S10 phosphorylation is necessary for an interaction,
but additional H3K9 or H3K14 acetylation increases the affinity of 14-3-3 for
histone H3. Histone H3 phosphoacetylation occurs concomitant with K9 methylation
in vivo, suggesting that histone phosphorylation and acetylation can synergize to
overcome repressive histone methylation. Chromatin immunoprecipitation
experiments reveal recruitment of 14-3-3 proteins to the HDAC1 gene in an H3S10ph
dependent manner. Recruitment of 14-3-3 to the promoter is enhanced by additional
histone H3 acetylation and correlates with dissociation of the repressive binding
module HP1gamma. Finally, siRNA-mediated loss of 14-3-3 proteins abolishes the
transcriptional activation of HDAC1. Together our data indicate that 14-3-3
proteins are crucial mediators of histone phosphoacetylation signals.
PMID- 18059472
TI - Lipids revert inert Abeta amyloid fibrils to neurotoxic protofibrils that affect
learning in mice.
AB - Although soluble oligomeric and protofibrillar assemblies of Abeta-amyloid
peptide cause synaptotoxicity and potentially contribute to Alzheimer's disease
(AD), the role of mature Abeta-fibrils in the amyloid plaques remains
controversial. A widely held view in the field suggests that the fibrillization
reaction proceeds 'forward' in a near-irreversible manner from the monomeric
Abeta peptide through toxic protofibrillar intermediates, which subsequently
mature into biologically inert amyloid fibrils that are found in plaques. Here,
we show that natural lipids destabilize and rapidly resolubilize mature Abeta
amyloid fibers. Interestingly, the equilibrium is not reversed toward monomeric
Abeta but rather toward soluble amyloid protofibrils. We characterized these
'backward' Abeta protofibrils generated from mature Abeta fibers and compared
them with previously identified 'forward' Abeta protofibrils obtained from the
aggregation of fresh Abeta monomers. We find that backward protofibrils are
biochemically and biophysically very similar to forward protofibrils: they
consist of a wide range of molecular masses, are toxic to primary neurons and
cause memory impairment and tau phosphorylation in mouse. In addition, they
diffuse rapidly through the brain into areas relevant to AD. Our findings imply
that amyloid plaques are potentially major sources of soluble toxic Abeta
aggregates that could readily be activated by exposure to biological lipids.
PMID- 18059473
TI - Parallel topology of genetically fused EmrE homodimers.
AB - EmrE is a small H+-coupled multidrug transporter in Escherichia coli. Claims have
been made for an antiparallel topology of this homodimeric protein. However, our
own biochemical studies performed with detergent-solubilized purified protein
support a parallel topology of the protomers. We developed an alternative
approach to constrain the relative topology of the protomers within the dimer so
that their activity can be assayed also in vivo before biochemical handling.
Tandem EmrE was built with two identical monomers genetically fused tail to head
(C-terminus of the first to N-terminus of the second monomer) with hydrophilic
linkers of varying length. All the constructs conferred resistance to ethidium by
actively removing it from the cytoplasm. The purified proteins bound substrate
and transported methyl viologen into proteoliposomes by a proton-dependent
mechanism. A tandem where one of the essential glutamates was replaced with
glutamine transported only monovalent substrates and displayed a modified
stoichiometry. The results support a parallel topology of the protomers in the
functional dimer. The implications regarding insertion and evolution of membrane
proteins are discussed.
PMID- 18059474
TI - Impaired liver regeneration in Nrf2 knockout mice: role of ROS-mediated
insulin/IGF-1 resistance.
AB - The liver is frequently challenged by surgery-induced metabolic overload, viruses
or toxins, which induce the formation of reactive oxygen species. To determine
the effect of oxidative stress on liver regeneration and to identify the
underlying signaling pathways, we studied liver repair in mice lacking the Nrf2
transcription factor. In these animals, expression of several cytoprotective
enzymes was reduced in hepatocytes, resulting in oxidative stress. After partial
hepatectomy, liver regeneration was significantly delayed. Using in vitro and in
vivo studies, we identified oxidative stress-mediated insulin/insulin-like growth
factor resistance as an underlying mechanism. This deficiency impaired the
activation of p38 mitogen-activated kinase, Akt kinase and downstream targets
after hepatectomy, resulting in enhanced death and delayed proliferation of
hepatocytes. Our results reveal novel roles of Nrf2 in the regulation of growth
factor signaling and in tissue repair. In addition, they provide new insight into
the mechanisms underlying oxidative stress-induced defects in liver regeneration.
These findings may provide the basis for the development of new strategies to
improve regeneration in patients with acute or chronic liver damage.
PMID- 18059475
TI - Cdc2p controls the forkhead transcription factor Fkh2p by phosphorylation during
sexual differentiation in fission yeast.
AB - In most eukaryotes, cyclin-dependent kinases (Cdks) play a central role in
control of cell-cycle progression. Cdks are inactivated from the end of mitosis
to the start of the next cell cycle as well as during sexual differentiation. The
forkhead-type transcription factor Fkh2p is required for the periodic expression
of many genes and for efficient mating in the fission yeast Schizosaccharomyces
pombe. However, the mechanism responsible for coordination of cell-cycle
progression with sexual differentiation is still unknown. We now show that Fkh2p
is phosphorylated by Cdc2p (Cdk1) and that phosphorylation of Fkh2p on T314 or
S462 by this Cdk blocks mating in S. pombe by preventing the induction of ste11+
transcription, which is required for the onset of sexual development. We propose
that functional interaction between Cdks and forkhead transcription factors may
link the mitotic cell cycle and sexual differentiation.
PMID- 18059476
TI - RSC regulates nucleosome positioning at Pol II genes and density at Pol III
genes.
AB - Nucleosomes can restrict the access of transcription factors to chromatin. RSC is
a SWI/SNF-family chromatin-remodeling complex from yeast that repositions and
ejects nucleosomes in vitro. Here, we examined these activities and their
importance in vivo. We utilized array-based methods to examine nucleosome
occupancy and positioning at more than 200 locations in the genome following the
controlled destruction of the catalytic subunit of RSC, Sth1. Loss of RSC
function caused pronounced and general reductions in new transcription from Pol
I, II, and III genes. At Pol III genes, Sth1 loss conferred a general reduction
in RNA Pol III occupancy and a gain in nucleosome density. Notably at the one Pol
III gene examined, histone restoration was partly replication-dependent. In
contrast, at Pol II promoters we observed primarily single nucleosome changes,
including movement. Importantly, alterations near the transcription start site
were more common at RSC-occupied promoters than at non-occupied promoters. Thus,
RSC action affects both nucleosome density and positioning in vivo, but applies
these remodeling modes differently at Pol II and Pol III genes.
PMID- 18059477
TI - Molecular basis of the C-terminal tail-to-tail assembly of the sarcomeric
filament protein myomesin.
AB - Sarcomeric filament proteins display extraordinary properties in terms of protein
length and mechanical elasticity, requiring specific anchoring and assembly
mechanisms. To establish the molecular basis of terminal filament assembly, we
have selected the sarcomeric M-band protein myomesin as a prototypic filament
model. The crystal structure of the myomesin C-terminus, comprising a tandem
array of two immunoglobulin (Ig) domains My12 and My13, reveals a dimeric end-to
end filament of 14.3 nm length. Although the two domains share the same fold, an
unexpected rearrangement of one beta-strand reveals how they are evolved into
unrelated functions, terminal filament assembly (My13) and filament propagation
(My12). The two domains are connected by a six-turn alpha-helix, of which two
turns are void of any interactions with other protein parts. Thus, the overall
structure of the assembled myomesin C-terminus resembles a three-body beads-on
the-string model with potentially elastic properties. We predict that the found
My12-helix-My13 domain topology may provide a structural template for the
filament architecture of the entire C-terminal Ig domain array My9-My13 of
myomesin.
PMID- 18059479
TI - Sirolimus enhances the effect of apoptotic cell infusion on hematopoietic
engraftment and tolerance induction.
PMID- 18059480
TI - Myeloid leukemia in children 4 years or older with Down syndrome often lacks
GATA1 mutation and cytogenetics and risk of relapse are more akin to sporadic
AML.
PMID- 18059478
TI - Dimerization of FIR upon FUSE DNA binding suggests a mechanism of c-myc
inhibition.
AB - c-myc is essential for cell homeostasis and growth but lethal if improperly
regulated. Transcription of this oncogene is governed by the counterbalancing
forces of two proteins on TFIIH--the FUSE binding protein (FBP) and the FBP
interacting repressor (FIR). FBP and FIR recognize single-stranded DNA upstream
of the P1 promoter, known as FUSE, and influence transcription by oppositely
regulating TFIIH at the promoter site. Size exclusion chromatography coupled with
light scattering reveals that an FIR dimer binds one molecule of single-stranded
DNA. The crystal structure confirms that FIR binds FUSE as a dimer, and only the
N-terminal RRM domain participates in nucleic acid recognition. Site-directed
mutations of conserved residues in the first RRM domain reduce FIR's affinity for
FUSE, while analogous mutations in the second RRM domain either destabilize the
protein or have no effect on DNA binding. Oppositely oriented DNA on parallel
binding sites of the FIR dimer results in spooling of a single strand of bound
DNA, and suggests a mechanism for c-myc transcriptional control.
PMID- 18059481
TI - Subcellular distribution of p210(BCR-ABL) in CML cell lines and primary CD34+ CML
cells.
AB - We analysed the subcellular distribution of p210(BCR-ABL) protein using a
junction-specific anti-BCR-ABL monoclonal antibody and confocal laser scanning
microscopy (CLSM). Our studies have shown that p210(BCR-ABL) is arranged in
discrete foci in the cytoplasm of cell lines and primary CD34(+) cells but not
mononuclear cells suggesting the foci may be a feature of immature chronic
myeloid leukaemia cells. We have devised a strategy to score the foci and found
the mean number of foci varies between the cell types. The number of foci per
cell is directly related to the level of p210(BCR-ABL) expression. CLSM was also
used to analyse the distribution and colocalization of CT10 regulator-like (CRKL)
p210(BCR-ABL). CRKL-p210(BCR-ABL) foci were completely or partially associated,
touching or separate in different regions of the same cell. We also analysed the
distribution of phosphorylated CRKL (pCRKL) with p210(BCR-ABL) and unexpectedly
found only a small proportion of pCRKL in complex with p210(BCR-ABL). The foci
distribution and high levels of uncomplexed p210(BCR-ABL), pCRKL and CRKL protein
suggested the possibility of a dynamic equilibrium. Imatinib promoted nuclear
transport of p210(BCR-ABL)-positive foci. It also disrupted complex formation
between p210(BCR-ABL) and casitas B-cell lymphoma and CRKL but not between
p210(BCR-ABL) and GRB2. Our observations of the CRKL and p210(BCR-ABL) complex
may be important for understanding the function of CRKL.
PMID- 18059482
TI - Base excision repair dysfunction in a subgroup of patients with myelodysplastic
syndrome.
AB - In myelodysplastic syndromes (MDS) increased chromosomal breaks point toward
defects in DNA repair machinery including base excision repair (BER) pathway
involved in handling of oxidative DNA damage. We investigated whether defects in
this pathway can be found in MDS. Elevated levels of 8-oxoguanine (8-OG) were
found in a significant proportion of MDS patients, indicating increased oxidative
DNA damage or defective handling of oxidative load. In a distinct subgroup of
patients, increased 8-OG content was associated with increased hOGG1 mRNA
expression and activity. In some patients, increased numbers of abasic sites (AP
sites) correlated with low levels of POLbeta. To further investigate the nature
of this defect, we examined genetic lesions potentially explaining accumulation
of 8-OG and AP sites. We genotyped a large cohort of MDS patients and found a
correlation between increased oxidative damage and the presence of the hOGG1
Cys326 allele suggesting inadequate compensatory feedback. Overall, this hOGG1
variant was more frequent in MDS, particularly in advanced forms, as compared to
controls. In summary, we demonstrated that BER dysfunction in some MDS patients
may be responsible for the increased 8-OG incorporation and explains one aspect
of the propensity to chromosomal breaks in MDS but other mechanisms may also be
involved.
PMID- 18059483
TI - Prognostic interaction between thrombocytosis and JAK2 V617F mutation in the WHO
subcategories of myelodysplastic/myeloproliferative disease-unclassifiable and
refractory anemia with ringed sideroblasts and marked thrombocytosis.
PMID- 18059485
TI - In human genome, generation of a nuclear export signal through duplication
appears unique to nucleophosmin (NPM1) mutations and is restricted to AML.
PMID- 18059484
TI - HLA-G turns off erythropoietin receptor signaling through JAK2 and JAK2 V617F
dephosphorylation: clinical relevance in polycythemia vera.
AB - HLA-G5 is secreted by erythroblasts in all hematopoietic organs, suggesting a
role for this protein in erythropoiesis. To examine this, we analyzed whether HLA
G5 affects the proliferation of UT7/EPO and HEL erythroleukemia cells and
characterized the mechanism by which HLA-G5 influences erythropoietin receptor
(EPOR) signaling. We show that HLA-G5 inhibits the proliferation of UT7/EPO
cells, the EPOR signaling of which is similar to that of normal erythroid
progenitors. HLA-G5-mediated inhibition was associated with reduced
phosphorylation of JAK2 kinase and that of the downstream signaling proteins STAT
5 and STAT-3. Involvement of JAK2 in erythroid cell proliferation has been
highlighted by the role of JAK2 V617F mutation in polycythemia vera (PV), a
myeloproliferative disorder characterized by erythroid lineage overproduction. We
demonstrate that HLA-G5 downregulates EPOR constitutive signaling of JAK2 V617F
expressing HEL cells, leading to inhibition of cell proliferation through G1 cell
cycle arrest. Combination of HLA-G5 with JAK inhibitor I further decreases HEL
cell growth. Clinical relevance is provided by analysis of PV patients who carry
JAK2 V617F mutation, showing that HLA-G5 inhibits the formation of erythropoietin
independent erythroid colonies. Such HLA-G5-mediated inhibition constitutes a new
parameter to be considered in the design of future approaches aimed at treating
JAK2 V617F-positive myeloproliferative disorders.
PMID- 18059486
TI - Nonmonoclonal PTCH gene mutations in psoralen plus UVA-associated basal cell
carcinomas.
PMID- 18059487
TI - UVB-induced activation of NF-kappaB is regulated by the IGF-1R and dependent on
p38 MAPK.
AB - To manage the frequent exposure to carcinogenic UVB wavelengths found in
sunlight, keratinocytes have extensive protective measures to handle UVB-induced
DNA damage. Recent in vitro evidence and epidemiological data suggest that one
possible protective mechanism is dependent on the functional status of the IGF-1R
signaling network. A second important signaling pathway regulating the response
of keratinocytes to UVB involves the activation of the NF-kappaB transcription
factor. Although it is clear that proper functioning of both the IGF-1R and NF
kappaB signaling networks are critical for the appropriate response of
keratinocytes to UVB irradiation, it is currently uncertain if these two pathways
interact. We now demonstrate that the activation of the NF-kappaB transcription
factor by UVB is altered by the functional status of the IGF-1R. In the absence
of ligand-activated IGF-1R, UVB-induced NF-kappaB consisted primarily of p50:p50
homodimers. Furthermore, the p38 kinase MAPK directs the subunit composition of
NF-kappaB following UVB irradiation, most likely in an IGF-1R-dependent manner.
We hypothesize that UVB irradiation leads to an activated p38 MAPK that is
regulated in an IGF-1R-dependent manner, leading to NF-kappaB p50:RelA/p65
activation and a survival phenotype. In the absence of ligand-activated IGF-1R,
UVB irradiation leads to the induction of NF-kappaB p50:p50 homodimers and a p38
dependent increased susceptibility to apoptosis.
PMID- 18059488
TI - Bacteria are not the primary cause of bleaching in the Mediterranean coral
Oculina patagonica.
AB - Coral bleaching occurs when the endosymbiosis between corals and their symbionts
disintegrates during stress. Mass coral bleaching events have increased over the
past 20 years and are directly correlated with periods of warm sea temperatures.
However, some hypotheses have suggested that reef-building corals bleach due to
infection by bacterial pathogens. The 'Bacterial Bleaching' hypothesis is based
on laboratory studies of the Mediterranean invading coral, Oculina patagonica,
and has further generated conclusions such as the coral probiotic hypothesis and
coral hologenome theory of evolution. We aimed to investigate the natural
microbial ecology of O. patagonica during the annual bleaching using fluorescence
in situ hybridization to map bacterial populations within the coral tissue
layers, and found that the coral bleaches on the temperate rocky reefs of the
Israeli coastline without the presence of Vibrio shiloi or bacterial penetration
of its tissue layers. Bacterial communities were found associated with the
endolithic layer of bleached coral regions, and a community dominance shift from
an apparent cyanobacterial-dominated endolithic layer to an algal-dominated layer
was found in bleached coral samples. While bacterial communities certainly play
important roles in coral stasis and health, we suggest environmental stressors,
such as those documented with reef-building corals, are the primary triggers
leading to bleaching of O. patagonica and suggest that bacterial involvement in
patterns of bleaching is that of opportunistic colonization.
PMID- 18059489
TI - Presence of two Lactobacillus and Bifidobacterium probiotic strains in the
neonatal ileum.
AB - The overall purpose of this study was to examine the lactobacilli and
bifidobacteria microbiota in the human ileum at a very early stage of life.
Ileostomy effluents from two infants, taken at different time points, were plated
on Lactobacillus selective agar and cys-MRS containing mupirocin to select for
bifidobacteria. In one case, a stool sample following ileostomy reversal was
subsequently analyzed microbiologically. Pulse-field gel electrophoresis and 16S
rRNA sequencing was used to investigate the cultivable population of
bifidobacteria and lactobacilli and denaturing gradient gel electrophoresis
(DGGE) to examine the non-cultivable population. The probiotic strain,
Lactobacillus paracasei NFBC 338, was recovered at both time points from one of
the infants and dominated in the small intestine for a period of over 3 weeks.
Moreover, the probiotic strain, B. animalis subsp. lactis Bb12, was obtained from
the other infant. This study shows the presence of two known probiotic strains in
the upper intestinal tract at an early stage of human life and thus provides some
evidence for their ability to colonize the infant small intestine.
PMID- 18059490
TI - Changes in coral-associated microbial communities during a bleaching event.
AB - Environmental stressors such as increased sea surface temperatures are well-known
for contributing to coral bleaching; however, the effect of increased
temperatures and subsequent bleaching on coral-associated microbial communities
is poorly understood. Colonies of the hard coral Acropora millepora were tagged
on a reef flat off Magnetic Island (Great Barrier Reef) and surveyed over 2.5
years, which included a severe bleaching event in January/February 2002. Daily
average water temperatures exceeded the previous 10-year average by more than 1
degrees C for extended periods with field-based visual surveys recording all
tagged colonies displaying signs of bleaching. During the bleaching period,
direct counts of coral zooxanthellae densities decreased by approximately 64%,
before recovery to pre-bleaching levels after the thermal stress event. A subset
of three tagged coral colonies were sampled through the bleaching event and
changes in the microbial community elucidated. Denaturing gradient gel
electrophoresis (DGGE) analysis demonstrated conserved bacterial banding profiles
between the three coral colonies, confirming previous studies highlighting
specific microbial associations. As coral colonies bleached, the microbial
community shifted and redundancy analysis (RDA) of DGGE banding patterns revealed
a correlation of increasing temperature with the appearance of Vibrio-affiliated
sequences. Interestingly, this shift to a Vibrio-dominated community commenced
prior to visual signs of bleaching. Clone libraries hybridized with Vibrio
specific oligonucleotide probes confirmed an increase in the fraction of Vibrio
affiliated clones during the bleaching period. Post bleaching, the coral
microbial associations again shifted, returning to a profile similar to the
fingerprints prior to bleaching. This provided further evidence for corals
selecting and shaping their microbial partners. For non-bleached samples, a close
association with Spongiobacter-related sequences were revealed by both clone
libraries and DGGE profiling. Despite Vibrio species being previously implicated
in bleaching of specific coral species, it is unsure if the relative increase in
retrieved Vibrio sequences is due to bacterial infection or an opportunistic
response to compromised health and changing environmental parameters of the coral
host. This study provides the first molecular-based study demonstrating changes
in coral-associated bacterial assemblages during a bleaching event on a natural
reef system.
PMID- 18059492
TI - Streptococcus pyogenes serotype-dependent and independent changes in infected HEp
2 epithelial cells.
AB - The adherence, internalization and persistence of the human pathogen
Streptococcus pyogenes (group A streptococci, GAS) to and within host cells were
studied, and the induced responses of the infected epithelial cells were
investigated. Next to common cellular responses on GAS infection, many responses
of the infected HEp-2 epithelial cells are GAS serotype-specific. Moreover,
several cellular responses do not correlate with the actual bacterial numbers
adherent, internalized and persistent within the cells or the production of major
cytolysins, as demonstrated for cytoskeletal pathways, cytokine release and
apoptosis induction in infected cells. Measurement of activated caspases and
caspase inhibition experiments uncovered activation of multiple caspase pathways
by all GAS serotypes tested (M1, M3, M6 and M18). However, caspase 9 played a
central role for M6 infections. During the persistence phase of the interaction,
a differential and dynamic behavior of the infecting GAS serotype strains was
found. After 14 h of host cell contact, all serotype strains caused host cell
damage by virtually equal portions of apoptosis induction and necrosis
mechanisms, as revealed by measurements of CK18Asp396/CK18 ratios. Between 14 and
24 h, persisting serotype M1 bacteria pertained this effect, whereas the serotype
M6 GAS strain induced a major shift to necrotic mechanisms, and the serotype M3
and M18 GAS strains stimulated less necrosis, but shifted their host cells to
apoptosis induction. Together, our study revealed that many cellular responses do
not belong to general and uniform pathways, which are exploited by all GAS
serotypes, explaining many of the already published discordant results.
PMID- 18059493
TI - Localization of 'Candidatus Endobugula sertula' and the bryostatins throughout
the life cycle of the bryozoan Bugula neritina.
AB - 'Candidatus Endobugula sertula,' the uncultivated gamma-proteobacterial symbiont
of the marine bryozoan Bugula neritina, synthesizes bryostatins, complex
polyketides that render B. neritina larvae unpalatable to predators. Although the
symbiosis is well described, little is known about the locations of 'E. sertula'
or the bryostatins throughout larval settlement, metamorphosis and early
development. In this study, we simultaneously localized 'E. sertula' and the
bryostatins in multiple stages of the B. neritina life cycle, using a novel
bryostatin detection method based on its known ability to bind mammalian protein
kinase C. Our results suggest that the bryostatins are deposited onto the
exterior of B. neritina larvae during embryonic development, persist on the
larval surface throughout metamorphosis and are shed prior to cuticle formation.
During metamorphosis, 'E. sertula' remains adhered to the larval pallial
epithelium and is incorporated into the preancestrula cystid tissue layer, which
ultimately develops into a bud and gives rise to the next zooid in the colony.
Colocalization of bryostatin signal with aggregates of 'E. sertula' in buds of
ancestrulae suggested new synthesis of bryostatins in ancestrulae. In adult B.
neritina colonies, symbiont microcolonies were observed in the funicular cords of
rhizoids, which likely result in asexual transmission of 'E. sertula' to
regenerated colonies. Furthermore, bryostatin signal was detected on the surface
of the rhizoids of adult B. neritina colonies. Through simultaneous localization
of the bryostatins and the 'E. sertula,' we determined how 'E. sertula' is
transmitted, and identified shifts in bryostatin localization throughout the life
cycle of the host B. neritina.
PMID- 18059494
TI - Population level functional diversity in a microbial community revealed by
comparative genomic and metagenomic analyses.
AB - In microbial mat communities of Yellowstone hot springs, ribosomal RNA (rRNA)
sequence diversity patterns indicate the presence of closely related bacterial
populations along environmental gradients of temperature and light. To identify
the functional bases for adaptation, we sequenced the genomes of two
cyanobacterial (Synechococcus OS-A and OS-B') isolates representing ecologically
distinct populations that dominate at different temperatures and are major
primary producers in the mat. There was a marked lack of conserved large-scale
gene order between the two Synechococcus genomes, indicative of extensive genomic
rearrangements. Comparative genomic analyses showed that the isolates shared a
large fraction of their gene content at high identity, yet, differences in
phosphate and nitrogen utilization pathways indicated that they have adapted
differentially to nutrient fluxes, possibly by the acquisition of genes by
lateral gene transfer or their loss in certain populations. Comparisons of the
Synechococcus genomes to metagenomic sequences derived from mats where these
Synechococcus stains were originally isolated, revealed new facets of microbial
diversity. First, Synechococcus populations at the lower temperature regions of
the mat showed greater sequence diversity than those at high temperatures,
consistent with a greater number of ecologically distinct populations at the
lower temperature. Second, we found evidence of a specialized population that is
apparently very closely related to Synechococcus OS-B', but contains genes that
function in the uptake of reduced ferrous iron. In situ expression studies
demonstrated that these genes are differentially expressed over the diel cycle,
with highest expression when the mats are anoxic and iron may be in the reduced
state. Genomic information from these mat-specific isolates and metagenomic
information can be coupled to detect naturally occurring populations that are
associated with different functionalities, not always represented by isolates,
but which may nevertheless be important for niche partitioning and the
establishment of microbial community structure.
PMID- 18059495
TI - Correlation of patterns of denitrification instability in replicated bioreactor
communities with shifts in the relative abundance and the denitrification
patterns of specific populations.
AB - To assess the effects of community structure on the stability of denitrification,
six chemostat cultures derived from the same denitrifying community were
subjected to step increases in feed nitrate concentration and monitored for
evidence that denitrification was either not occurring (indicated by the presence
of nitrate) or was incomplete (indicated by the presence of nitrite or nitrous
oxide). Functional stability was defined and quantified from the pattern of
effluent concentration trends of nitrate and denitrification intermediates.
Microbial community structure and dynamics were analyzed by terminal restriction
fragment length polymorphism analysis of the 16S rRNA gene. Functional stability
varied: one chemostat community lost the ability to reduce all of the influent
nitrate; others continued to reduce all of the influent nitrate, but accumulated
varying amounts of nitrous oxide. The microbial community structure in two of the
chemostats diverged from the others, and variation of functional response among
chemostats corresponded with the divergence of community structure. The
Acidovorax-like terminal restriction fragment (T-RF) dominated the chemostat that
accumulated nitrate, and an Acidovorax-like isolate reduced nitrate directly to
dinitrogen gas in batch nitrate reduction assays. In the nitrous oxide
accumulating chemostats, the relative abundance of the Pseudomonas-like T-RF was
strongly and significantly correlated with the magnitude of nitrous oxide
accumulation, and a Pseudomonas-like isolate accumulated nitrous oxide in batch
assays.
PMID- 18059496
TI - Organic substrate quality as the link between bacterioplankton carbon demand and
growth efficiency in a temperate salt-marsh estuary.
AB - Bacterioplankton communities play a key role in aquatic carbon cycling,
specifically with respect to the magnitude of organic carbon processed and
partitioning of this carbon into biomass and respiratory losses. Studies of
bacterioplankton carbon demand (BCD) and growth efficiency (BGE) frequently
report higher values in more productive systems, suggesting these aspects of
carbon metabolism may be positively coupled. However, the existence of such a
relationship in natural aquatic systems has yet to be identified. Using a
comprehensive 2-year study of bacterioplankton carbon metabolism in a temperate
estuary, we investigated BCD and BGE and explored factors that may modulate their
magnitude and coherence, including nutrient concentrations, dissolved nutrient
uptake and source and quality of dissolved organic carbon (DOC). During the
course of our study, BCD ranged from 0.4 to 15.9 microg l(-1) h(-1), with an
overall mean of 3.8 microg l(-1) h(-1). Mean BGE was similar to that reported for
other estuarine systems (0.32) and of comparable range (that is, 0.06-0.68).
Initial analyses identified a negative correlation between BCD and BGE, yet
removal of the effect of temperature revealed an underlying positive coupling
that was also correlated with long-term DOC lability. Whereas BCD was weakly
related to ambient DOC concentrations, neither BCD nor BGE showed any
relationship with ambient nutrient concentrations or nutrient uptake
stoichiometries. We conclude that in this carbon-rich estuary, organic matter
source and quality play an important role in regulating the magnitude of carbon
metabolism and may be more important than nutrient availability alone in the
regulation of BGE.
PMID- 18059491
TI - Subsurface clade of Geobacteraceae that predominates in a diversity of Fe(III)
reducing subsurface environments.
AB - There are distinct differences in the physiology of Geobacter species available
in pure culture. Therefore, to understand the ecology of Geobacter species in
subsurface environments, it is important to know which species predominate. Clone
libraries were assembled with 16S rRNA genes and transcripts amplified from three
subsurface environments in which Geobacter species are known to be important
members of the microbial community: (1) a uranium-contaminated aquifer located in
Rifle, CO, USA undergoing in situ bioremediation; (2) an acetate-impacted aquifer
that serves as an analog for the long-term acetate amendments proposed for in
situ uranium bioremediation and (3) a petroleum-contaminated aquifer in which
Geobacter species play a role in the oxidation of aromatic hydrocarbons coupled
with the reduction of Fe(III). The majority of Geobacteraceae 16S rRNA sequences
found in these environments clustered in a phylogenetically coherent subsurface
clade, which also contains a number of Geobacter species isolated from subsurface
environments. Concatamers constructed with 43 Geobacter genes amplified from
these sites also clustered within this subsurface clade. 16S rRNA transcript and
gene sequences in the sediments and groundwater at the Rifle site were highly
similar, suggesting that sampling groundwater via monitoring wells can recover
the most active Geobacter species. These results suggest that further study of
Geobacter species in the subsurface clade is necessary to accurately model the
behavior of Geobacter species during subsurface bioremediation of metal and
organic contaminants.
PMID- 18059497
TI - Primary producing prokaryotic communities of brine, interface and seawater above
the halocline of deep anoxic lake L'Atalante, Eastern Mediterranean Sea.
AB - Meso- and bathypelagic ecosystems represent the most common marine ecological
niche on Earth and contain complex communities of microorganisms that are for the
most part ecophysiologically poorly characterized. Gradients of physico-chemical
factors (for example, depth-related gradients of light, temperature, salinity,
nutrients and pressure) constitute major forces shaping ecosystems at activity
'hot spots' on the ocean floor, such as hydrothermal vents, cold seepages and mud
volcanoes and hypersaline lakes, though the relationships between community
composition, activities and environmental parameters remain largely elusive. We
report here results of a detailed study of primary producing microbial
communities in the deep Eastern Mediterranean Sea. The brine column of the deep
anoxic hypersaline brine lake, L'Atalante, the overlying water column and the
brine-seawater interface, were characterized physico- and geochemically, and
microbiologically, in terms of their microbial community compositions, functional
gene distributions and [(14)C]bicarbonate assimilation activities. The depth
distribution of genes encoding the crenarchaeal ammonia monooxygenase alpha
subunit (amoA), and the bacterial ribulose-1,5-biphosphate carboxylase/oxygenase
large subunit (RuBisCO), was found to coincide with two different types of
chemoautotrophy. Meso- and bathypelagic microbial communities were enriched in
ammonia-oxidizing Crenarchaeota, whereas the autotrophic community at the
oxic/anoxic interface of L'Atalante lake was dominated by Epsilonproteobacteria
and sulfur-oxidizing Gammaproteobacteria. These autotrophic microbes are thus the
basis of the food webs populating these deep-sea ecosystems.
PMID- 18059498
TI - Fungal communities from methane hydrate-bearing deep-sea marine sediments in
South China Sea.
AB - To elucidate fungal diversity in methane hydrate-bearing deep-sea marine
sediments in the South China Sea, internal transcribed spacer (ITS) regions of
rRNA genes from five different sediment DNA samples were amplified and
phylogenetically analyzed. Total five ITS libraries were constructed and 413
clones selected randomly were grouped into 24 restriction patterns by Amplified
Ribosomal DNA Restriction Analysis (ARDRA). ITS sequences of 44 representative
clones were determined and compared with the GenBank database using gapped-BLAST.
The phylogenetic analysis showed that the ITS sequences (71-97% similarity) were
similar to those of Phoma, Lodderomyces, Malassezia, Cryptococcus,
Cylindrocarpon, Hortaea, Pichia, Aspergillus and Candida. The remaining sequences
were not associated to any known fungi or fungal sequences in the public
database. The results suggested that methane hydrate-bearing deep-sea marine
sediments harbor diverse fungi. This is the first report on fungal communities
from methane hydrate-bearing deep-sea marine sediments in South China Sea.
PMID- 18059499
TI - Soil fungal abundance and diversity: another victim of the invasive plant
Centaurea maculosa.
AB - Interactions between plants and soil microbes are important determinants of both
above- and belowground community composition, and ultimately ecosystem function.
As exotic plants continue to invade and modify native plant communities, there
has been increasing interest in determining the influence of exotic invasives on
native soil microbial communities. Here, using highly sensitive molecular
techniques, we examine fungal abundance and diversity in the soil surrounding a
particularly aggressive invasive plant species in North America, Centaurea
maculosa Lam. In mixed stands, we show that this invasive weed can alter the
native fungal community composition within its own rhizosphere and that of
neighboring native plants. At higher densities, the effect of C. maculosa on
native soil fungal communities was even greater. Our results demonstrate that
this invasive weed can have significant effects not only on visible aboveground
biodiversity but also on the native soil microbial community that extends beyond
its rhizosphere.
PMID- 18059500
TI - Role of the soluble guanylyl cyclase alpha1-subunit in mice corpus cavernosum
smooth muscle relaxation.
AB - Soluble guanylyl cyclase (sGC) is the major effector molecule for nitric oxide
(NO) and as such an interesting therapeutic target for the treatment of erectile
dysfunction. To assess the functional importance of the sGCalpha(1)beta(1)
isoform in corpus cavernosum (CC) relaxation, CC from male sGCalpha(1)(-/-) and
wild-type mice were mounted in organ baths for isometric tension recording. The
relaxation to endogenous NO (from acetylcholine, bradykinin and electrical field
stimulation) was nearly abolished in the sGCalpha(1)(-/-) CC. In the sGCalpha(1)(
/-) mice, the relaxing influence of exogenous NO (from sodium nitroprusside and
NO gas), BAY 41-2272 (NO-independent sGC stimulator) and T-1032
(phosphodiesterase type 5 inhibitor) were also significantly decreased. The
remaining exogenous NO-induced relaxation seen in the sGCalpha(1)(-/-) mice was
significantly decreased by the sGC-inhibitor 1H-[1,2,4]oxadiazolo[4,3
a]quinoxalin-1-one. The specificity of the impairment of the sGC-related
responses was demonstrated by the unaltered relaxations seen with forskolin
(adenylyl cyclase activator) and 8-pCPT-cGMP (cGMP analog). In conclusion, the
sGCalpha(1)beta(1) isoform is involved in corporal smooth muscle relaxation in
response to NO and NO-independent sGC stimulators. The fact that there is still
some effect of exogenous NO in the sGCalpha(1)(-/- mice suggests the contribution
of (an) additional pathway(s).
PMID- 18059501
TI - The application of quantitative methods for identifying and exploring the
presence of bias in systematic reviews: PDE-5 inhibitors for erectile
dysfunction.
AB - A systematic review of PDE-5 inhibitors for erectile dysfunction was performed to
evaluate the utility of quantitative methods for identifying and exploring the
influence of bias and study quality on pooled outcomes from meta-analyses. We
included 123 randomized controlled trials (RCTs). Methodological quality was
poorly reported. All three drugs appeared highly effective. Indirect adjusted
analyses showed no differences between the three drugs. Funnel plots and
statistical tests showed no evidence of small-study effects for sildenafil
whereas there was evidence of such bias for tadalafil and vardenafil. Adjustment
for missing studies using trim and fill techniques did not alter the pooled
estimates substantially. The exclusion of previous sildenafil nonresponders was
associated with larger treatment effects for tadalafil. This investigation was
hampered by poor reporting of methodological quality, a low number of studies,
heterogeneity and large effect sizes. Despite such limitations, a comprehensive
assessment of biases should be a routine in systematic reviews.
PMID- 18059502
TI - Influence of PDE5 inhibitor on MRI measurement of clitoral volume response in
women with FSAD: a feasibility study of a potential technique for evaluating drug
response.
AB - The purpose of this study was to determine if magnetic resonance imaging (MRI)
could quantify a difference in clitoral response following administration of a
vasoactive medication, in 12 women with female sexual arousal disorder (FSAD).
Subjects were entered into a double-blind, randomized two-way crossover study of
sildenafil 50 mg vs placebo administered 1 h prior to genital MRI. Each subject
underwent two MR studies, performed while subjects viewed alternating segments of
nonerotic and erotic video. MR images were analyzed for change in clitoral volume
during each session. The mean change in clitoral volume for the entire group was
higher in the sildenafil MRI session (1282 mm(3)) compared with placebo (849
mm(3)) but did not reach statistical significance (P=0.064). Comparison using
analysis of variance between the two sessions for each individual subject
revealed a significant increase in clitoral volume following sildenafil compared
with placebo in 6 of 12 subjects, no significant change in either imaging session
in three subjects and in three subjects, there was a robust clitoral response in
both MR sessions. In conclusion, MR measurements of clitoral volume can provide
an objective measure of engorgement change following a vasoactive medication in
women with FSAD.
PMID- 18059504
TI - Combination of baculovirus-expressed BMP-2 and rotating-shaft bioreactor culture
synergistically enhances cartilage formation.
AB - Baculovirus is an emerging gene delivery vector, thanks to a number of unique
advantages. Herein, we genetically modified the rabbit articular chondrocytes
with a recombinant baculovirus (Bac-CB) encoding bone morphogenetic protein-2
(BMP-2), which conferred high level BMP-2 expression and triggered the re
differentiation of dedifferentiated third passage (P3) chondrocytes in the
monolayer culture. The transduced and mock-transduced P3 cells were seeded into
porous scaffolds and cultured in either the dishes or the rotating-shaft
bioreactor (RSB), a novel bioreactor imparting a dynamic, two-phase culture
environment. Neither mock-transduced constructs in the RSB culture nor the Bac-CB
transduced constructs in the static culture grew into uniform cartilaginous
tissues. Only the Bac-CB-transduced constructs cultured in the RSB for 3 weeks
resulted in cartilaginous tissues with hyaline appearance, uniform cell
distribution, cartilage-specific gene expression and considerably enhanced
cartilage-specific extracellular matrix deposition, as determined by histological
staining, reverse transcription-PCR analyses and biochemical assays. This is the
first study demonstrating that combination of baculovirus-mediated growth factor
expression and RSB culture synergistically enhanced in vitro creation of
cartilaginous tissues from dedifferentiated chondrocytes. Since baculovirus
transduction is generally considered safe, this approach represents a viable
alternative to stimulate the formation of engineered cartilage in a more cost
effective way than the growth factor supplementation.
PMID- 18059505
TI - Human cytomegalovirus protein pp65: an efficient protein carrier system into
human dendritic cells.
AB - Protein-based immunogens are usually poor inducers of CD8(+) T cells. To enhance
the induction of CD8(+) T cells, one approach is the use of protein immunogens
coupled to protein transduction domains (PTDs). These are small cationic peptide
sequences that significantly enhance the uptake of fused proteins into dendritic
cells (DC) and then mediate their presentation in the context of major
histocompatibility complex class I (MHC-I) and MHC-II molecules. One drawback of
this system is the high concentrations of PTD-fusion proteins required. Here, we
show that proteins fused to the human cytomegalovirus tegument protein pp65 were
bound with higher efficiency to DCs than those fused to the described PTDs TatPTD
and Penetratin. Furthermore, the fusion of pp65 to proteins led to an enhanced
uptake of these proteins by DCs. Once taken up, CD4(+) and CD8(+) memory T cells
were strongly stimulated ex vivo demonstrating that pp65 was efficiently
processed and presented in the context of both MHC-I and MHC-II. These data make
pp65 a promising delivery system to induce cellular immune responses by fused
protein vaccines.
PMID- 18059506
TI - Bevacizumab: the need for controlled studies to move forward.
PMID- 18059507
TI - Our vision of vision health: the National Coalition for Vision Health.
PMID- 18059508
TI - Hand washing in ophthalmology.
PMID- 18059510
TI - Anticoagulant therapy after retinal vein occlusion in patients with protein S
deficiency (Protein S deficiency with homozygous factor V Leiden mutation in
central retinal vein occlusion. Vol. 42[4]).
PMID- 18059511
TI - Cataract surgeons not balancing risks with benefits (Cataract wait-time
benchmark: where do we go from here? Vol. 42[4]).
PMID- 18059514
TI - Coats'-like retinitis pigmentosa variant and nanophthalmos.
PMID- 18059512
TI - Managing Candida endophthalmitis (Recurrent Candida albicans endophthalmitis in
an immunocompromised host. Vol. 42[1]).
PMID- 18059515
TI - Surgical management of Centurion syndrome.
PMID- 18059516
TI - Meesmann's corneal dystrophy managed with an epithelial delaminator.
PMID- 18059517
TI - Alcohol as an alternative therapy in accommodative and convergence insufficiency.
PMID- 18059518
TI - Acute angle-closure glaucoma in a teenage girl.
PMID- 18059519
TI - Macular optical coherence tomography findings in progressive outer retinal
necrosis.
PMID- 18059520
TI - Iris atrophy in a patient with X-linked agammaglobulinemia.
PMID- 18059521
TI - Ocular tobramycin-induced bronchospasm in an adult without asthma or atopy.
PMID- 18059522
TI - Thelazia callipaeda in human vitreous.
PMID- 18059524
TI - Acyl carrier protein: structure-function relationships in a conserved
multifunctional protein family.
AB - Acyl carrier protein (ACP) is a universal and highly conserved carrier of acyl
intermediates during fatty acid synthesis. In yeast and mammals, ACP exists as a
separate domain within a large multifunctional fatty acid synthase polyprotein
(type I FAS), whereas it is a small monomeric protein in bacteria and plastids
(type II FAS). Bacterial ACPs are also acyl donors for synthesis of a variety of
products, including endotoxin and acylated homoserine lactones involved in quorum
sensing; the distinct and essential nature of these processes in growth and
pathogenesis make ACP-dependent enzymes attractive antimicrobial drug targets.
Additionally, ACP homologues are key components in the production of secondary
metabolites such as polyketides and nonribosomal peptides. Many ACPs exhibit
characteristic structural features of natively unfolded proteins in vitro, with a
dynamic and flexible conformation dominated by 3 parallel alpha helices that
enclose the thioester-linked acyl group attached to a phosphopantetheine
prosthetic group. ACP conformation may also be influenced by divalent cations and
interaction with partner enzymes through its "recognition" helix II, properties
that are key to its ability to alternately sequester acyl groups and deliver them
to the active sites of ACP-dependent enzymes. This review highlights recent
progress in defining how the structural features of ACP are related to its
multiple carrier roles in fatty acid metabolism.
PMID- 18059525
TI - Regulation of the cellular DNA double-strand break response.
AB - DNA double-strand breaks occur frequently in cycling cells, and are also induced
by exogenous sources, including ionizing radiation. Cells have developed
integrated double-strand break response pathways to cope with these lesions,
including pathways that initiate DNA repair (either via homologous recombination
or nonhomologous end joining), the cell-cycle checkpoints (G1-S, intra-S phase,
and G2-M) that provide time for repair, and apoptosis. However, before any of
these pathways can be activated, the damage must first be recognized. In this
review, we will discuss how the response of mammalian cells to DNA double-strand
breaks is regulated, beginning with the activation of ATM, the pinnacle kinase of
the double-strand break signalling cascade.
PMID- 18059526
TI - Osmotic water permeability of rat intestinal brush border membrane vesicles:
involvement of aquaporin-7 and aquaporin-8 and effect of metal ions.
AB - Water channels AQP7 and AQP8 may be involved in transcellular water movement in
the small intestine. We show that both AQP7 and AQP8 mRNA are expressed in rat
small intestine. Immunoblot and immunohistochemistry experiments demonstrate that
AQP7 and AQP8 proteins are present in the apical brush border membrane of
intestinal epithelial cells. We investigated the effect of several metals and pH
on the osmotic water permeability (Pf) of brush border membrane vesicles (BBMVs)
and of AQP7 and AQP8 expressed in a cell line. Hg2+, Cu2+, and Zn2+ caused a
significant decrease in the BBMV Pf, whereas Ni2+ and Li+ had no effect. AQP8
transfected cells showed a reduction in Pf in the presence of Hg2+ and Cu2+,
whereas AQP7-transfected cells were insensitive to all tested metals. The Pf of
both BBMVs and cells transfected with AQP7 and AQP8 was not affected by pH
changes within the physiological range, and the Pf of BBMVs alone was not
affected by phlorizin or amiloride. Our results indicate that AQP7 and AQP8 may
play a role in water movement via the apical domain of small intestine epithelial
cells. AQP8 may contribute to the water-imbalance-related clinical symptoms
apparent after ingestion of high doses of Hg2+ and Cu2+.
PMID- 18059527
TI - Expression of fusion IL2-B7.1(IgV+C) and effects on T lymphocytes.
AB - The search for an effective immunotherapeutic treatment for tumors is an
important area of cancer research. To prepare a more effective form of the
bifunctional fusion protein IL2-B7.1(IgV+C) and analyze its effect on the
stimulation of T lymphocyte proliferation, we used DNAStar 5.03 software to
predict the structural diversity and biochemical character of IL2-B7.1(IgV+C). We
then prepared fusion protein IL2-B7.1(IgV+C) by establishing its prokaryotic
expression system, and tested its effect on the stimulation of T lymphocytes in
vitro. The results indicated that IL2-B7.1(IgV+C) correctly formed a secondary
structure in which both IL2 and B7.1(IgV+C) maintained their original
hydrophilicity and epitopes. Western blot analysis revealed that IL2-B7.1(IgV+C)
was efficiently expressed. Our analysis of CTLL-2 and T-cell proliferation showed
that recombinant human (rh) IL2-B7.1(IgV+C) exerted the combined stimulating
effects of both rhIL2 and rh B7.1(IgV+C) on cell proliferation, and that these
effects could be blocked by adding either anti-IL2 or anti-B7.1 monoclonal
antibodies. A >2-fold increase in [3H]TdR incorporation compared with that of
cells treated with recombinant protein IL2, or B7.1(IgV+C) alone, revealed that
rhIL2-B7.1(IgV+C) had dose-dependent synergetic effects on T-cell activation in
the presence of anti-CD3 monoclonal antibody. We concluded that the augmented
potency of rhIL2-B7.1(IgV+C) resulted in a stronger stimulation of T-cell
proliferation than either rhB7.1(IgV+C) or rhIL2 alone.
PMID- 18059528
TI - Lipoprotein electrostatic properties regulate hepatic lipase association and
activity.
AB - The effect of lipoprotein electrostatic properties on the catalytic regulation of
hepatic lipase (HL) was investigated. Enrichment of serum or very low density
lipoprotein (VLDL) with oleic acid increased lipoprotein negative charge and
stimulated lipid hydrolysis by HL. Similarly, enrichment of serum or isolated
lipoproteins with the anionic phospholipids phosphatidylinositol (PI),
phosphatidic acid, or phosphatidylserine also increased lipoprotein negative
charge and stimulated hydrolysis by HL. Anionic lipids had a small effect on
phospholipid hydrolysis, but significantly stimulated triacylglyceride (TG)
hydrolysis. High density lipoprotein (HDL) charge appears to have a specific
effect on lipolysis. Enrichment of HDL with PI significantly stimulated VLDL-TG
hydrolysis by HL. To determine whether HDL charge affects the association of HL
with HDL and VLDL, HL-lipoprotein interactions were probed immunochemically.
Under normal circumstances, HL associates with HDL particles, and only small
amounts bind to VLDL. PI enrichment of HDL blocked the binding of HL with HDL.
These data indicate that increasing the negative charge of HDL stimulates VLDL-TG
hydrolysis by reducing the association of HL with HDL. Therefore, HDL controls
the hydrolysis of VLDL by affecting the interlipoprotein association of HL.
Lipoprotein electrostatic properties regulate lipase association and are an
important regulator of the binding and activity of lipolytic enzymes.
PMID- 18059529
TI - Activated pericyte attenuates endothelial functions: nitric oxide-cGMP rescues
activated pericyte-associated endothelial dysfunctions.
AB - Hepatic stellate cells are liver-specific pericytes and exist in close proximity
with endothelial cells. The activation of liver pericytes is intrinsic to liver
pathogenesis, and leads to endothelial dysfunction, including the low
bioavailability of nitric oxide (NO). However, the role of nitric oxide in
pericyte-endothelium cross-talk has not yet been elucidated. This work examines
the cellular mechanism of action of NO in pericyte-mediated endothelial
dysfunction. We used in vitro coculture and conditioned medium systems to study
the effects of activated liver pericytes on endothelial function, and an egg yolk
vascular bed model was used to study the effects of activated pericytes on
angiogenesis. This study also demonstrates that activated pericytes attenuate the
migration, proliferation, permeability, and NO production of endothelial cells.
Our results demonstrate that activated pericytes restrict angiogenesis in egg
yolk vascular bed models, and NO supplementation recovers 70% of the inhibition.
Our results also demonstrate that supplementation with NO, sildenafil citrate
(phosphodiesterase inhibitor), and 8-bromo-cGMP (cGMP analog) partially recovers
activated-pericyte-mediated endothelium dysfunction. We conclude that NO-cGMP
alleviates activated-pericyte-associated endothelial dysfunction, including
angiogenesis, in a cGMP-dependent manner.
PMID- 18059530
TI - Excitation pressure regulates the activation energy for recombination events in
the photosystem II reaction centres of Chlamydomonas reinhardtii.
AB - Using in vivo thermoluminescence, we examined the effects of growth irradiance
and growth temperature on charge recombination events in photosystem II reaction
centres of the model green alga Chlamydomonas reinhardtii. We report that growth
at increasing irradiance at either 29 or 15 degrees C resulted in comparable
downward shifts in the temperature peak maxima (T(M)) for S2QB- charge pair
recombination events, with minimal changes in S2QA- recombination events. This
indicates that such growth conditions decrease the activation energy required for
S2QB- charge pair recombination events with no concomitant change in the
activation energy for S2QA- recombination events. This resulted in a decrease in
the DeltaT(M) between S2QA- and S2QB- recombination events, which was reversible
when shifting cells from low to high irradiance and back to low irradiance at 29
degrees C. We interpret these results to indicate that the redox potential of QB
was modulated independently of QA, which consequently narrowed the redox
potential gap between QA and QB in photosystem II reaction centres. Since a
decrease in the DeltaT(M) between S2QA- and S2QB- recombination events correlated
with growth at increasing excitation pressure, we conclude that acclimation to
growth under high excitation pressure narrows the redox potential gap between QA
and QB in photosystem II reaction centres, enhancing the probability for reaction
center quenching in C. reinhardtii. We discuss the molecular basis for the
modulation of the redox state of QB, and suggest that the potential for reaction
center quenching complements antenna quenching via the xanthophyll cycle in the
photoprotection of C. reinhardtii from excess light.
PMID- 18059531
TI - PAK: an essential motif for forming beta-turn structures and exhibiting the
thrombolytic effect of P6A and its analogs.
AB - Ala-Arg-Pro-Ala-Lys (ARPAK; also known as P6A) and 19 of its analogs were
synthesized, and their thrombolytic activities were assessed in vitro and in
vivo. The solution structures of 12 of the P6A analogs were determined using
nuclear magnetic resonance (NMR) spectroscopy. The thrombolytic activity and
conformational structure relationship was analyzed. We found that the Pro-Ala-Lys
(PAK) sequence was essential for thrombolytic activity and was also responsible
for the beta-turn structure found in the P6A analogs studied. The well defined
beta turn may act as a binding head with the protruding lysine side-chain
(positively charged) found at the target site for target recognition.
Additionally, the N-terminal residue may be critical for thrombolytic activity,
which for PAK-containing peptides, is likely achieved via a plasminogen-dependent
pathway.
PMID- 18059532
TI - A new apoptosis inhibitor, CIAPIN1 (cytokine-induced apoptosis inhibitor 1),
mediates multidrug resistance in leukemia cells by regulating MDR-1, Bcl-2, and
Bax.
AB - We investigated the role of cytokine-induced apoptosis inhibitor 1 (CIAPIN1), a
newly identified apoptosis inhibitor, in leukemia cell multidrug resistance (MDR)
and its possible underlying mechanisms. CIAPIN1 was found to be overexpressed at
the mRNA and protein levels in the vincristine-induced multidrug-resistant
leukemia cell line HL-60/VCR, compared with HL-60, its parental cell line. In
this study, we transfected HL-60 with a eukaryotic expression vector of CIAPIN1.
In vitro drug sensitivity assays suggested that HL-60-CIAPIN1 cells conferred
resistance to both P-glycoprotein (P-gp)-related and -unrelated drugs. Blocking
CIAPIN1 expression in HL-60/VCR cells by CIAPIN1-specific small interfering RNA
increased the cells' sensitivity to various chemotherapeutic drugs. Flow
cytometry results suggested that CIAPIN1 expression could suppress adriamycin
induced apoptosis, accompanied by a decreased accumulation and increased release
of adriamycin. Semiquantitative RT-PCR, Western blot analysis, and luciferase
reporter assays suggested that CIAPIN1 could significantly upregulate the
expression of MDR-1 and Bcl-2, the transcription of the MDR-1 gene, as well as
downregulate the expression of Bax. Additionally, the inhibition of CIAPIN1
expression by RNA interference or P-gp inhibitor could partially reverse CIAPIN1
mediated MDR. Taken together, our findings suggest that downregulating CIAPIN1
could sensitize leukemia cells to chemotherapeutic drugs by downregulating MDR-1
and Bcl-2 and by upregulating Bax, yet not altering either glutathione-S
transferase activity or intracellular glutathione content in leukemia cells.
Further study of CIAPIN1's function may reveal more of the mechanisms of leukemia
MDR and result in the development of strategies to treat leukemia.
PMID- 18059533
TI - Competitive inhibition of histone deacetylase activity by trichostatin A and
butyrate.
AB - Histone deacetylases (HDACs) play a pivotal role in gene expression through their
involvement in chromatin remodeling. The abnormal targeting or retention of HDACs
to DNA regulatory regions is observed in many cancers, and hence HDAC inhibitors
are being tested as promising anti-tumor agents. The results of previous kinetic
studies, characterizing trichostatin A (TSA), as well as butyrate, as HDAC
noncompetitive inhibitors, conflict with crystallographic and homology modeling
data suggesting that TSA should act as a competitive inhibitor. Our results
demonstrate that each of the HDAC inhibitors TSA and butyrate inhibits HDAC
activity in a competitive fashion. Co-immunoprecipitation studies show that the
inhibition of HDAC1 and HDAC2 activity by TSA does not disturb the extensive
level of their association in the human breast cancer cell line MCF-7. Moreover,
the inhibition of HDAC activity by TSA does not interfere with the interaction of
HDAC1 and HDAC2 with Sin3A, a core component of the Sin3 complex. Thus, repressor
complexes such as Sin3, appear to be stable in the presence of TSA. The
association of HDAC2 with transcription factor Sp1 is also not affected by TSA.
PMID- 18059535
TI - Quantitative trait loci underlying the development of seed composition in soybean
(Glycine max L. Merr.).
AB - One hundred and forty-three F2:7 recombinant inbred lines (RILs) developed from
the cross of soybean cultivars 'Charleston' and 'Dongnong 594' were analyzed for
the quantitative trait loci (QTLs) underlying protein or oil content at 6
different developmental stages by composite interval mapping with a mixed genetic
model. The genotype x environment (GxE) interactions of the QTLs were also
evaluated. Nineteen (2004) and 33 (2005) unconditional QTLs underlying seed
protein or oil content at the different developmental stages were mapped onto 8
and 9 linkage groups, respectively. The proportion of phenotypic variation
explained by these QTLs ranged from 6.26% to 30.52% and from 5.38% to 28.47%,
respectively. Fourteen (2004) and 21 (2005) conditional QTLs underlying seed
protein or oil content were mapped onto 5 and 8 linkage groups, respectively. The
proportion of phenotypic variation explained by these QTLs ranged from 2.97% to
29.68% and from 5.42% to 31.96%, respectively. The numbers and types of QTLs and
the genetic effect for the two traits were different at each developmental stage.
However, several genomic regions that simultaneously control the development of
both traits were detected. The genetic effect on protein content and oil content
was opposite for loci in the marker interval Satt335-SSatt334, reflecting a
negative correlation of protein content and oil content. A G x E interaction
effect of some QTLs underlying protein or oil content at different growth periods
was observed.
PMID- 18059536
TI - Mapping EST-derived SSRs and ESTs involved in resistance to bacterial blight in
Manihot esculenta.
AB - Cassava (Manihot esculenta Crantz) is a major root crop widely grown in the
tropics. Cassava bacterial blight, caused by Xanthomonas axonopodis pv. manihotis
(Xam), is an important disease in Latin America and Africa resulting in
significant losses. The preferred control method is the use of resistant
genotypes. Mapping expressed sequence tags (ESTs) and determining their co
localization with quantitative trait loci (QTLs) may give additional evidence of
the role of the corresponding genes in resistance or defense. Twenty-one EST
derived simple sequence repeats (SSRs) were mapped in 16 linkage groups. ESTs
showing similarities with candidate resistance genes or defense genes were also
mapped using strategies such as restriction fragment length polymorphisms,
cleaved amplified polymorphic sequences, and allele-specific primers. In total,
10 defense-related genes and 2 bacterial artificial chromosomes (BACs) containing
resistance gene candidates (RGCs) were mapped in 11 linkage groups. Two new QTLs
associated with resistance to Xam strains CIO121 and CIO151 were detected in
linkage groups A and U, respectively. The QTL in linkage group U explained 61.6%
of the phenotypic variance and was associated with an RGC-containing BAC. No
correlation was found between the new EST-derived SSRs or other mapped ESTs and
the new or previously reported QTLs.
PMID- 18059537
TI - Microsatellite-based characterization of the Castanea sativa cultivar heritage of
southern Switzerland.
AB - Southern Switzerland has a long tradition of chestnut cultivation as a staple
food. Local inhabitants constantly selected varieties according to the ripening
period, the type of use, and the adaptability to the territory. As a result, the
panorama of chestnut varieties is very complex, as reflected by more than 120
different variety names in an area of 26,000 ha. Since 1994, 47 varieties have
been conserved in the chestnut germplasm of southern Switzerland (CSS), including
Marroni, Euro-Japanese, and French varieties. A selection of 164 individuals from
the CSS was analysed by 8 SSR markers (4 of which were developed in this study).
Microsatellite analysis indicated that the CSS was accurately established, as 86%
of the individuals grafted were correctly labeled. The identification of 98
genotypes, 10 clonal chestnut groups, 4 synonym groups, and 12 homonym groups
reflected the complex ethnogeographical structure of the chestnut distribution.
The 17 Marroni individuals considered clustered in 2 differentiated genetic
groups instead of only 1 as expected. The fundamental problem of the frequent
cases of homonymy and synonymy is discussed, as is the need for criteria for
discriminating between polyclonal varieties and distinct homonymous varieties.
PMID- 18059538
TI - SSR marker diversity of soybean aphid resistance sources in North America.
AB - The soybean aphid (Aphis glycines Matsumura) has become a major pest of soybean
in North America since 2000. Seven aphid resistance sources, PI 71506, Dowling,
Jackson, PI 567541B, PI 567598B, PI 567543C, and PI 567597C, have been
identified. Knowledge of genetic relationships among these sources and their
ancestral parents will help breeders develop new cultivars with different
resistance genes. The objective of this research was to examine the genetic
relationships among these resistance sources. Sixty-one lines were tested with 86
simple sequence repeat (SSR) markers from 20 linkage groups. Non-hierarchical
(VARCLUS) and hierarchical (Ward's) clustering and multidimensional scaling (MDS)
were used to determine relationships among the 61 lines. Two hundred and sixty
two alleles of the 86 SSR loci were detected with a mean polymorphism information
content of 0.36. The 61 lines were grouped into 4 clusters by both clustering
methods and the MDS results consistently corresponded to the assigned clusters.
The 7 resistance sources were clustered into 3 different groups corresponding to
their geographical origins and known pedigree information, indicating genetic
differences among these sources. The largest variation was found among
individuals within different clusters by analysis of molecular variance.
PMID- 18059539
TI - Characterization of Coffea chloroplast microsatellites and evidence for the
recent divergence of C. arabica and C. eugenioides chloroplast genomes.
AB - Comparative sequencing of >7 kb of highly variable chloroplast genome regions
(atpB-rbcL, trnS-trnG, rpl22-rps19, and rps19-rpl2 spacers; introns in atpF,
trnG, trnK, and rpl16) with microsatellites known from other angiosperms was
carried out in Coffea. Samples comprised 8 diploid species of Coffea, 5
individuals of tetraploid C. arabica representing geographically distant wild
populations from Ethiopia, 2 commercial cultivars of C. arabica, and Psilanthus
leroyi and Ixora coccinea as outgroups. Phylogeny reconstruction using maximum
parsimony and Bayesian inference resulted in congruent topologies with high
support for C. arabica and C. eugenioides being sisters. Partitioned analyses
showed that all regions except the atpB-rbcL spacer resolved this sister-group,
although this was often unsupported. The large sequence data set further shows
that chloroplast genomes of C. arabica and C. eugenioides each possess
apomorphies, indicating that not C. eugenioides but an ancestor or close relative
of C. eugenioides is the maternal parent of C. arabica. Seven variable
chloroplast microsatellites were characterized in Coffea. Most microsatellites
are poly(A/T) stretches, whereas one in the trnS-trnG spacer has an (AT)n motif.
Most strikingly, all individuals of C. arabica possess identical sequences,
suggesting a single chloroplast haplotype. This can be explained by a recent
origin of C. arabica in a unique allopolyploidization event, or by severe
bottleneck effects in the evolutionary history of the species. Reconstruction of
the evolution of microstructural mutations shows much higher levels of homoplasy
in microsatellite loci than in other parts of spacers and introns.
Microsatellites are inferred to evolve by insertion and deletion of 1 to 3 motif
copies in one step.
PMID- 18059540
TI - Molecular cloning, heterologous expression, and phylogenetic analysis of a novel
y-type HMW glutenin subunit gene from the G genome of Triticum timopheevi.
AB - A novel y-type high molecular weight (HMW) glutenin subunit gene from the G
genome of Triticum timopheevi (2n=4x=28, AAGG) was isolated and characterized.
Genomic DNA from accession CWI17006 was amplified and a 2200 bp fragment was
obtained. Sequence analysis revealed a complete open reading frame including N-
and C-terminal ends and a central repetitive domain encoding 565 amino acid
residues. The molecular weight of the deduced subunit was 77,031, close to that
of the x-type glutenin subunits. Its mature protein structure, however,
demonstrated that it was a typical y-type HMW subunit. To our knowledge, this is
the largest y-type subunit gene among Triticum genomes. The molecular structure
and phylogenetic analysis assigned it to the G genome and it is the first
characterized y-type HMW glutenin subunit gene from T. timopheevi. Comparative
analysis and secondary structure prediction showed that the subunit possessed
some unique characters, especially 2 large insertions of 45 (6 hexapeptides and a
nonapeptide) and 12 (2 hexapeptides) amino acid residues that mainly contributed
to its higher molecular weight and allowed more coils to be formed in its
tertiary structure. Additionally, more alpha-helixes in the repeat domain of the
subunit were found when compared with 3 other y-type subunits. We speculate that
these structural characteristics improve the formation of gluten polymer. The
novel subunit, expressed as a fusion protein in E. coli, moved more slowly in SDS
PAGE than the subunit Bx7, so it was designated Gy7*. As indicated in previous
studies, increased size and more numerous coils and alpha-helixes of the
repetitive domain might enhance the functional properties of HMW glutenins.
Consequently, the novel Gy7* gene could have greater potential for improving
wheat quality.
PMID- 18059541
TI - Identification and analysis of differentially expressed genes in differentiating
xylem of Chinese fir (Cunninghamia lanceolata) by suppression subtractive
hybridization.
AB - Wood is an important raw material for global industries with rapidly increasing
demand. To isolate the genes differentially expressed during xylogenesis of
Chinese fir (Cunninghamia lanceolata (Lamb.) Hook.), we used a novel system.
Forward and reverse subtracted cDNA libraries were constructed using the
suppression subtractive hybridization method; for the forward library we used
cDNA from the mutant Dugansha as the tester and cDNA from the wild-type clone
Jurong 0 as the driver, and for the reverse library we used Jurong 0 cDNA as the
tester and Dugansha cDNA as the driver. Transcriptional profiling was performed
using a macroarray with 4 digoxigenin-labeled probes. We obtained 618 and 409
clones from the forward and the reverse subtracted library, respectively. A total
of 405 unique expressed sequence tags (ESTs) were obtained. Forty percent of the
ESTs exhibited homologies with proteins of known function and fell into 4 major
classes: metabolism, cell wall biogenesis and remodeling, signal transduction,
and stress. Real-time PCR was performed to confirm the results. The expression
levels of 11 selected ESTs were consistent with both macroarray and real-time PCR
results. The systematic analysis of genes involved in wood formation in Chinese
fir provides valuable insights into the molecular mechanisms involved in xylem
differentiation and is an important resource for forest research that can be
directed toward understanding the genetic control of wood formation and future
endeavors to modify wood and fiber properties for industrial use.
PMID- 18059543
TI - Ectopic gene conversions in bacterial genomes.
AB - We characterized the gene conversions found between the duplicated genes of 75
bacterial genomes from five species groups (archaea, nonpathogenic and pathogenic
firmicutes, and nonpathogenic and pathogenic proteobacteria). The number of gene
conversions is positively correlated with the size of multigene families and the
size of multigene families is not significantly different between pathogenic and
nonpathogenic taxa. However, gene conversions occur twice as frequently in
pathogenic species as in nonpathogenic species. Comparisons between closely
related species also indicate a trend towards increased gene conversion in
pathogenic species. Whereas the length of the conversions is positively
correlated with flanking sequence similarity in all five groups, these
correlations are smaller for pathogenic firmicutes and proteobacteria than for
nonpathogenic firmicutes and proteobacteria. These results are consistent with
our previous work on E. coli genomes and suggest that pathogenic bacteria allow
recombination between more divergent gene sequences. This higher permissiveness
is likely adaptive because it allows them to generate more genetic variability.
PMID- 18059542
TI - Development of genomic microsatellite markers in Coffea canephora and their
transferability to other coffee species.
AB - Of the 103 accepted Coffea species, 70% are threatened with extinction but only a
few of them have been studied. A set of 40 polymorphic microsatellite markers was
developed using a GA/GT-enriched Coffea canephora genomic library. Amplification
of these markers was tested in accessions of C. heterocalyx (a Critically
Endangered species) and C. pseudozanguebariae (a Vulnerable species) belonging to
different African geographical clades. All microsatellites were polymorphic in C.
canephora, with a mean allele number per polymorphic locus of more than 3 (at
least 9 genotypes were tested). Observed and expected heterozygosities calculated
for C. canephora and C. pseudozanguebariae varied from 0.10 to 0.91 and from 0.20
to 0.77, respectively. In total, 38 primer pairs (95%) were amplified in C.
heterocalyx and C. pseudozanguebariae, indicating their high level of
transferability across the genus Coffea. This large marker set will be useful for
more extensive genetic studies of threatened Coffea species.
PMID- 18059544
TI - Genome doubling and chromosome elimination with fragment recombination leading to
the formation of Brassica rapa-type plants with genomic alterations in crosses
with Orychophragmus violaceus.
AB - In distant hybridization of plants, nonclassical hybrids with unexpected
chromosome complements, chromosome elimination, and genetic introgression have
been well documented. We obtained intergeneric hybrids between Brassica rapa, B.
rapa var. chinensis, and another cruciferous species, Orychophragmus violaceus,
following embryo rescue. Hybrids mainly displayed phenotypes of B. rapa, although
certain O. violaceus or novel characteristics also appeared. Variable numbers of
chromosomes were observed in somatic cells in the roots of plantlets on medium
and in ovaries and pollen mother cells (PMCs). However, higher numbers were
recorded in the roots. GISH revealed that the majority of ovary cells and PMCs
contained 20 chromosomes of B. rapa with or without individual O. violaceus
chromosomes or fragments added or introgressed. AFLP analysis showed that
fragments deleted from the B. rapa genome were much more frequent than novel and
O. violaceus fragments. The mechanisms involved genome doubling and successive
elimination of O. violaceus chromosomes accompanied by fragment recombination and
introgression, producing B. rapa-type plants with modified genetic constitutions
and phenotypes.
PMID- 18059545
TI - Introgression of wheat chromosome 2D or 5D into tritordeum leads to free
threshing habit.
AB - Hexaploid tritordeum is the amphiploid derived from the cross between the diploid
wild barley Hordeum chilense and durum wheat. The non-free-threshing habit is a
constraint to this species becoming a new crop. Three tritordeum lines (HT374,
HT376, and HT382) showing the free-threshing habit were selected from crosses
between tritordeum and bread wheat. All three lines were euploids, as revealed by
mitotic chromosome counting. Genomic in situ hybridization analysis made it
possible to distinguish differences among these lines. While the line HT382
carries only 10 chromosomes from H. chilense, the lines HT374 and HT376 have 12.
These results suggest that HT382 is a double chromosome substitution line between
H. chilense and the wheat D genome, while HT374 and HT376 each have one pair of
H. chilense (Hch) chromosomes substituted by wheat D chromosomes. Molecular
characterization revealed that HT382 is a 1D/(1Hch), 2D/(2Hch) chromosome
substitution line, whereas HT374 and HT376 have 5D/(5Hch) substitutions. On the
basis of previous knowledge, it seems that the absence of chromosome 2Hch or 5Hch
is more important for producing the free-threshing habit than the presence of
chromosome 2D or 5D, while chromosome 1Hch seems to be unrelated to the trait.
These free-threshing tritordeum lines constitute an important advance in the
tritordeum breeding program.
PMID- 18059546
TI - Structure, function, and evolution of plant O-methyltransferases.
AB - Plant O-methyltransferases (OMTs) constitute a large family of enzymes that
methylate the oxygen atom of a variety of secondary metabolites including
phenylpropanoids, flavonoids, and alkaloids. O-Methylation plays a key role in
lignin biosynthesis, stress tolerance, and disease resistance in plants. To gain
insights into the evolution of the extraordinary diversity of plant O
methyltransferases, and to develop a framework phylogenetic tree for improved
prediction of the putative function of newly identified OMT-like gene sequences,
we performed a comparative and phylogenetic analysis of 61 biochemically
characterized plant OMT protein sequences. The resulting phylogenetic tree
revealed two major groups. One of the groups included two sister clades, one
comprising the caffeoyl CoA OMTs (CCoA OMTs) that methylate phenolic hydroxyl
groups of hydroxycinnamoyl CoA esters, and the other containing the carboxylic
acid OMTs that methylate aliphatic carboxyl groups. The other group comprised the
remaining OMTs, which act on a diverse group of metabolites including
hydroxycinnamic acids, flavonoids, and alkaloids. The results suggest that some
OMTs may have undergone convergent evolution, while others show divergent
evolution. The high number of unique conserved regions within the CCoA OMTs and
carboxylic acid OMTs provide an opportunity to design oligonucleotide primers to
selectively amplify and characterize similar OMT genes from many plant species.
PMID- 18059547
TI - Estimating meiotic chromosome pairing and recombination parameters in telocentric
trisomics.
AB - Telocentric trisomics (telotrisomics; one arm of a metacentric chromosome present
in addition to two complete genomes) are used in theoretical studies of pairing
affinities and chiasma formation in competitive situations and applied in genome
analysis, gene localization, gene transfer, and breakage of close linkages. These
applications require knowledge of the recombination characteristics of
telotrisomics. Appropriate cytological and molecular markers and favorable
chromosome morphology are not always available or applicable for quantitative
analyses. We developed new mathematical models for extracting the maximum
information from simple metaphase I observations. Two types of telotrisomics of
the short arm of chromosome 1R of rye (Secale cereale), including several
genotypes, were used as test material. In simple telotrisomics, pairing between
morphologically identical complete chromosomes was more frequent than pairing
between the telocentric and either of the normal chromosomes. In the telocentric
substitution, morphologically identical telocentrics paired less frequently with
each other than either one with the normal chromosome. Pairing partner switch was
significant. Interaction between the two arms was variable. Variation within
plants was considerable. Telotrisomics without markers are suitable for analyzing
pairing preferences, for gene localization and gene transfer, and for breaking
tight linkages, but less so for genome analysis.
PMID- 18059548
TI - Genome size and genome evolution in diploid Triticeae species.
AB - One of the intriguing issues concerning the dynamics of plant genomes is the
occurrence of intraspecific variation in nuclear DNA amount. The aim of this work
was to assess the ranges of intraspecific, interspecific, and intergeneric
variation in nuclear DNA content of diploid species of the tribe Triticeae
(Poaceae) and to examine the relation between life form or habitat and genome
size. Altogether, 438 plants representing 272 lines that belong to 22 species
were analyzed. Nuclear DNA content was estimated by flow cytometry. Very small
intraspecific variation in DNA amount was found between lines of Triticeae
diploid species collected from different habitats or between different morphs. In
contrast to the constancy in nuclear DNA amount at the intraspecific level, there
are significant differences in genome size between the various diploid species.
Within the genus Aegilops, the 1C DNA amount ranged from 4.84 pg in A. caudata to
7.52 pg in A. sharonensis; among genera, the 1C DNA amount ranged from 4.18 pg in
Heteranthelium piliferum to 9.45 pg in Secale montanum. No evidence was found for
a smaller genome size in annual, self-pollinating species relative to perennial,
cross-pollinating ones. Diploids that grow in the southern part of the group's
distribution have larger genomes than those growing in other parts of the
distribution. The contrast between the low variation at the intraspecific level
and the high variation at the interspecific one suggests that changes in genome
size originated in close temporal proximity to the speciation event, i.e.,
before, during, or immediately after it. The possible effects of sudden changes
in genome size on speciation processes are discussed.
PMID- 18059549
TI - Expression analysis of defense-related genes in wheat in response to infection by
Fusarium graminearum.
AB - Fusarium head blight (FHB), caused by the fungi Fusarium graminearum and Fusarium
culmorum, is a worldwide disease of wheat (Triticum aestivum L.). The Chinese
cultivar Ning 7840 is one of a few wheat cultivars with resistance to FHB.
GeneCalling, an open-architecture mRNA-profiling technology, was used to identify
differentially expressed genes induced or suppressed in spikes of Ning 7840 after
infection by F. graminearum. One hundred and twenty-five cDNA fragments
representing transcripts differentially expressed in wheat spikes were
identified. Based on BLASTN and BLASTX analyses, putative functions were assigned
to some of the genes: 28 were assigned functions in primary metabolism and
photosynthesis, 7 were involved in defense response, 14 were involved in gene
expression and regulation, 24 encoded proteins associated with structure and
protein synthesis, 42 lacked homology to sequences in the database, and 3 were
similar to cloned multidrug resistance or disease resistance proteins. Of
particular interest in this study were genes associated with resistance and
defense against pathogen infection. Real-time quantitative reverse-transcription
PCR indicated that of 51 genes tested, 19 showed 2-fold or greater induction or
suppression in infected Ning 7840 in comparison with the water-treated control.
The remaining 32 genes were not significantly induced or suppressed in infected
Ning 7840 compared with the control. Subsequently, these 19 induced or suppressed
genes were examined in the wheat line KS24-1, containing FHB resistance derived
from Lophopyrum elongatum, and Len, an FHB-susceptible wheat cultivar. The
temporal expression of some of these sequences encoding resistance proteins or
defense-related proteins showed FHB (resistance specific) induction, suggesting
that these genes play a role in protection against toxic compounds in plant
fungus interactions. On the basis of comprehensive expression profiling of
various biotic or abiotic stress response genes revealed by quantitative PCR in
this study and other supporting data, we hypothesized that the plant-pathogen
interactions may be highly integrated into a network of diverse biosynthetic
pathways.
PMID- 18059550
TI - The orphan nuclear receptor DHR38 influences transcription of the DOPA
decarboxylase gene in epidermal and neural tissues of Drosophila melanogaster.
AB - The DOPA decarboxylase gene (Ddc) belongs to the "early-late" class of ecdysone
inducible genes in Drosophila melanogaster. Its expression is up-regulated in
epidermal tissues by the ecdysone receptor acting through a response element,
EcRE. In this paper, we show that another member of the nuclear receptor
superfamily, DHR38, may act as a repressor of epidermal Ddc while inducing Ddc
expression in neuronal cells. DHR38 does not behave as a classical co-repressor
of the ecdysone receptor though, since the site through which DHR38 acts is
distinct from the EcRE. Ectopic expression of a Dhr38 cDNA from a heat-shock
promoter completely repressed transcription from the endogenous Ddc promoter and
from an intact reporter construct in the hypoderm and in imaginal discs. Ectopic
DHR38 had no effect on the transcription of a reporter driven by a Ddc fragment
missing the DHR38 binding site. Neither reporter expression nor endogenous Ddc
transcript levels were affected in a Dhr38 mutant background. Because most mutant
organisms pupariate apparently normally and many of these survive to eclose, we
believe that some functional redundancy exists within the Dhr38 regulatory
network operating in epidermal tissues. In contrast to its apparent repressor
function in epidermal tissues, DHR38 may act as a positive regulator of neural
Ddc expression. Ectopic expression of DHR38 throughout the CNS induced as much as
a 20-fold increase in Ddc transcripts in the set of neurons in which DDC normally
appears.
PMID- 18059551
TI - Conserved synteny of genes between chromosome 15 of Bombyx mori and a chromosome
of Manduca sexta shown by five-color BAC-FISH.
AB - The successful assignment of the existing genetic linkage groups (LGs) to
individual chromosomes and the second-generation linkage map obtained by mapping
a large number of bacterial artificial chromosome (BAC) contigs in the silkworm,
Bombyx mori, together with public nucleotide sequence databases, offer a powerful
tool for the study of synteny between karyotypes of B. mori and other
lepidopteran species. Conserved synteny of genes between particular chromosomes
can be identified by comparatively mapping orthologous genes of the corresponding
linkage groups with the help of BAC-FISH (fluorescent in situ hybridization).
This technique was established in B. mori for 2 differently labeled BAC probes
simultaneously hybridized to pachytene bivalents. To achieve higher-throughput
comparative mapping using BAC-FISH in Lepidoptera, we developed a protocol for
five-color BAC-FISH, which allowed us to map simultaneously 6 different BAC
probes to chromosome 15 in B. mori. We identified orthologs of 6 B. mori LG15
genes (RpP0, RpS8, eIF3, RpL7A, RpS23, and Hsc70) for the tobacco hornworm,
Manduca sexta, and selected the ortholog-containing BAC clones from an M. sexta
BAC library. All 6 M. sexta BAC clones hybridized to a single M. sexta bivalent
in pachytene spermatocytes. Thus, we have confirmed the conserved synteny between
the B. mori chromosome 15 and the corresponding M. sexta chromosome (hence
provisionally termed chromosome 15).
PMID- 18059552
TI - Analysis of behavior using genetical genomics in mice as a model: from alcohol
preferences to gene expression differences.
AB - Most familial behavioral phenotypes result from the complex interaction of
multiple genes. Studies of such phenotypes involving human subjects are often
inconclusive owing to complexity of causation and experimental limitations.
Studies of animal models argue for the use of established genetic strains as a
powerful tool for genetic dissection of behavioral disorders and have led to the
identification of rare genes and genetic mechanisms implicated in such
phenotypes. We have used microarrays to study global gene expression in adult
brains of four genetic strains of mice (C57BL/6J, DBA/2J, A/J, and BALB/c). Our
results demonstrate that different strains show expression differences for a
number of genes in the brain, and that closely related strains have similar
patterns of gene expression as compared with distantly related strains. In
addition, among the 24 000 genes and ESTs on the microarray, 77 showed at least a
1.5-fold increase in the brains of C57BL/6J mice as compared with those of DBA/2J
mice. These genes fall into such functional categories as gene regulation,
metabolism, cell signaling, neurotransmitter transport, and DNA/RNA binding. The
importance of these findings as a novel genetic resource and their use and
application in the genetic analysis of complex behavioral phenotypes,
susceptibilities, and responses to drugs and chemicals are discussed.
PMID- 18059553
TI - Mapping barley genes to chromosome arms by transcript profiling of wheat-barley
ditelosomic chromosome addition lines.
AB - Wheat-barley disomic and ditelosomic chromosome addition lines have been used as
genetic tools for a range of applications since their development in the 1980s.
In the present study, we used the Affymetrix Barley1 GeneChip for comparative
transcript analysis of the barley cultivar Betzes, the wheat cultivar Chinese
Spring, and Chinese Spring - Betzes ditelosomic chromosome addition lines to
physically map barley genes to their respective chromosome arm locations. We
mapped 1257 barley genes to chromosome arms 1HS, 2HS, 2HL, 3HS, 3HL, 4HS, 4HL,
5HS, 5HL, 7HS, and 7HL based on their transcript levels in the ditelosomic
addition lines. The number of genes assigned to individual chromosome arms ranged
from 24 to 197. We validated the physical locations of the genes through
comparison with our previous chromosome-based physical mapping, comparative in
silico mapping with rice and wheat, and single feature polymorphism (SFP)
analysis. We found our physical mapping of barley genes to chromosome arms to be
consistent with our previous physical mapping to whole chromosomes. In silico
comparative mapping of barley genes assigned to chromosome arms revealed that the
average genomic synteny to wheat and rice chromosome arms was 63.2% and 65.5%,
respectively. In the 1257 mapped genes, we identified SFPs in 924 genes between
the appropriate ditelosomic line and Chinese Spring that supported physical map
placements. We also identified a single small rearrangement event between rice
chromosome 9 and barley chromosome 4H that accounts for the loss of synteny for
several genes.
PMID- 18059554
TI - Chromosomal rearrangements in wheat: their types and distribution.
AB - Four hundred and sixty polyploid wheat accessions and 39 triticale forms from 37
countries of Europe, Asia, and USA were scored by C-banding for the presence of
translocations. Chromosomal rearrangements were detected in 70 of 208 accessions
of tetraploid wheat, 69 of 252 accessions of hexaploid wheat, and 3 of 39
triticale forms. Altogether, 58 types of major chromosomal rearrangements were
identified in the studied material; they are discussed relative to 11 additional
translocation types described by other authors. Six chromosome modifications of
unknown origin were also observed. Among all chromosomal aberrations identified
in wheat, single translocations were the most frequent type (39), followed by
multiple rearrangements (9 types), pericentric inversions (9 types), and
paracentric inversions (3 types). According to C-banding analyses, the
breakpoints were located at or near the centromere in 60 rearranged chromosomes,
while in 52 cases they were in interstitial chromosome regions. In the latter
case, translocation breakpoints were often located at the border of C-bands and
the euchromatin region or between two adjacent C-bands; some of these regions
seem to be translocation "hotspots". Our results and data published by other
authors indicate that the B-genome chromosomes are involved in translocations
most frequently, followed by the A- and D-genome chromosomes; individual
chromosomes also differ in the frequencies of translocations. Most translocations
were detected in 1 or 2 accessions, and only 11 variants showed relatively high
frequencies or were detected in wheat varieties of different origins or from
different species. High frequencies of some translocations with a very restricted
distribution could be due to a "bottleneck effect". Other types seem to occur
independently and their broad distribution can result from selective advantages
of rearranged genotypes in diverse environmental conditions. We found significant
geographic variation in the spectra and frequencies of translocation in wheat:
the highest proportions of rearranged genotypes were found in Central Asia, the
Middle East, Northern Africa, and France. A low proportion of aberrant genotypes
was characteristic of tetraploid wheat from Transcaucasia and hexaploid wheat
from Middle Asia and Eastern Europe.
PMID- 18059555
TI - Investigations of 5S rDNA of Vitis vinifera L.: sequence analysis and physical
mapping.
AB - Here we report the first results of a study of 5S rDNA of Vitis vinifera. 5S rDNA
sequences from seven genotypes were amplified by PCR, cloned, and sequenced.
Three types of repeats were found. Two variants, denominated long repeat and
short repeat, appeared to be the main components of the 5S rDNA of this species,
since they were found in all genotypes analyzed. They differed markedly from each
other in both the length and the nucleotide composition of the spacers. The third
variant, classified as DEL short repeat, differs from the short repeat owing to a
large deletion in the spacer region. It appears to be the most recent repeat
type, since it was identified in only one genotype. The organization of the 5S
rDNA repeat unit variants was investigated by amplifying the genomic DNA with
primers designed on the sequence of the long and short spacers. The PCR-amplified
fragments showed that the long repeat is associated with the other two repeats,
indicating that in V. vinifera different repeat units coexist within the same
tandem array. FISH analysis demonstrated that 5S rRNA genes are localized at a
single locus. The variability of 5S rDNA repeats is discussed in relation to the
putative allopolyploid origin of V. vinifera.
PMID- 18059556
TI - Corticotropin releasing hormone is a promising candidate gene for marbling and
subcutaneous fat depth in beef cattle.
AB - The gene corticotropin releasing hormone (CRH) is mapped on bovine chromosome 14
(BTA14), where more than 30 fat-related quantitative trait loci (QTLs) have been
reported in dairy and beef cattle. The gene product regulates secretion of
adrenocorticotrophin hormone, the hypothalamic-pituitary-adrenal axis, and
multiple hypothalamic functions; therefore, we hypothesized that CRH is a
promising candidate gene for beef marbling score (BMS) and subcutaneous fat depth
(SFD) in a Wagyu x Limousin F2 population. Two pairs of primers were designed and
a total of 5 single nucleotide polymorphisms (SNPs) were identified: g.9657C>T,
c.10718G>C, c.10841G>A, c.10893A>C, and c.10936G>C (AAFC03076794.1). Among the 4
cSNPs, c.10718G>C, c.10841G>A, and c.10936G>C are missense mutations leading to
amino acid changes from arginine to proline, from serine to asparagine, and from
aspartic acid to histidine, respectively. These 5 SNPs were genotyped on ~250 F2
progeny, but only 4 were selected as tagging SNPs for association analysis
because no historical recombination was observed between c.10718G>C and
c.10893A>C. Statistical analysis showed that g.9657C>T, c.10718G>C, and
c.10936G>C and their haplotypes had significant effects on SFD, but only
c.10936G>C was significantly associated with BMS. The SNP in the promoter
(g.9657C>T) led to gain/loss of a CpG site and 4 potential regulatory binding
sites. Different haplotypes among the 4 cSNPs significantly affected mRNA
secondary structures but were not associated with phenotypes. Overall, our
results provide further evidence that CRH is a promising candidate gene for a
concordant QTL related to lipid metabolism in mammals.
PMID- 18059557
TI - Evidence of the modulation of mRNA splicing fidelity in humans by oxidative
stress and p53.
AB - The majority of human genes generate mRNA splice variants and while there is
little doubt that alternative splicing is an important biological phenomenon, it
has also become apparent that some splice variants are associated with disease.
To elucidate the molecular mechanisms responsible for generating aberrant splice
variants, we have investigated alternative splicing of the human genes HPRT and
POLB following oxidative stress in different genetic backgrounds. Our study
revealed that splicing fidelity is sensitive to oxidative stress. Following
treatment of cells with H2O2, the overall frequency of aberrant, unproductive
splice variants increased in both loci. At least in POLB, splicing fidelity is
p53 dependent. In the absence of p53, the frequency of POLB splice variants is
elevated but oxidative stress does not further increase the frequency of splice
variants. Our data indicate that mis-splicing following oxidative stress
represents a novel and significant genotoxic outcome and that it is not simply
DNA lesions induced by oxidative stress that lead to mis-splicing but changes in
the alternative splicing machinery itself.
PMID- 18059558
TI - Bacterial artificial chromosome-based physical map of Gibberella zeae (Fusarium
graminearum).
AB - Fusarium graminearum is the primary causal pathogen of Fusarium head blight of
wheat and barley. To accelerate genomic analysis of F. graminearum, we developed
a bacterial artificial chromosome (BAC)-based physical map and integrated it with
the genome sequence and genetic map. One BAC library, developed in the HindIII
restriction enzyme site, consists of 4608 clones with an insert size of
approximately 107 kb and covers about 13.5 genome equivalents. The other library,
developed in the BamHI restriction enzyme site, consists of 3072 clones with an
insert size of approximately 95 kb and covers about 8.0 genome equivalents. We
fingerprinted 2688 clones from the HindIII library and 1536 clones from the BamHI
library and developed a physical map of F. graminearum consisting of 26 contigs
covering 39.2 Mb. Comparison of our map with the F. graminearum genome sequence
showed that the size of our physical map is equivalent to the 36.1 Mb of the
genome sequence. We used 31 sequence-based genetic markers, randomly spaced
throughout the genome, to integrate the physical map with the genetic map. We
also end-sequenced 17 BamHI BAC clones and identified 4 clones that spanned gaps
in the genome sequence. Our new integrated map is highly reliable and useful for
a variety of genomics studies.
PMID- 18059559
TI - Association mapping of leaf traits, flowering time, and phytate content in
Brassica rapa.
AB - Association mapping was used to investigate the genetic basis of variation within
Brassica rapa, which is an important vegetable and oil crop. We analyzed the
variation of phytate and phosphate levels in seeds and leaves and additional
developmental and morphological traits in a set of diverse B. rapa accessions and
tested association of these traits with AFLP markers. The analysis of population
structure revealed four subgroups in the population. Trait values differed
between these subgroups, thus defining associations between population structure
and trait values, even for traits such as phytate and phosphate levels. Marker
trait associations were investigated both with and without taking population
structure into account. One hundred and seventy markers were found to be
associated with the observed traits without correction for population structure.
Association analysis with correction for population structure led to the
identification of 27 markers, 6 of which had known map positions; 3 of these were
confirmed in additional QTL mapping studies.
PMID- 18059560
TI - Detection of Bacillus cereus virulence factors in commercial products of Bacillus
thuringiensis and expression of diarrheal enterotoxins in a target insect.
AB - We examined isolates from 4 commercial bioinsecticides based on different strains
of Bacillus thuringiensis subspecies (kurstaki, israelensis, aizawai, and
tenebrionis) for the presence of genes encoding proteins with known
enterotoxigenicity (nhe, hbl, cytk, ces) and various other putative virulence
genes (piplc, sph, bceT, entFM, entS, entT). The piplc and bceT sequences were
present in all the isolates; sph was found in aizawai and israelensis; entFM only
in israelensis; and entS in kurstaki, israelensis, and tenebrionis. Our results
corroborate previous findings that isolates used in commercial products contain
all nhe and hbl component genes but not the ces gene. We ascertained that the
cytK gene present in the kurstaki-, israelensis-, and aizawai-based products
belongs to the cytK-2 type and not the more toxigenic cytK-1 variant originally
isolated from enterotoxic Bacillus cereus. We provide the first evidence that
hemolytic (hblA) and nonhemolytic (nheA, nheB, nheC) enterotoxin genes are
expressed during septicemia in a target insect. This opens the door for their
possible participation in pathogenesis in target insects. If enterotoxins do not
contribute to bacterial pathogenesis in target insects, their genes could be
deleted from commercial production strains to pre-empt perceptions of public
health risks.
PMID- 18059561
TI - ACC deaminase from plant growth-promoting bacteria affects crown gall
development.
AB - In addition to the well-known roles of indoleacetic acid and cytokinin in crown
gall formation, the plant hormone ethylene also plays an important role in this
process. Many plant growth-promoting bacteria (PGPB) encode the enzyme 1
aminocyclopropane-1-carboxylate (ACC) deaminase, which can degrade ACC, the
immediate precursor of ethylene in plants, to alpha-ketobutyrate and ammonia and
thereby lower plant ethylene levels. To study the effect of ACC deaminase on
crown gall development, an ACC deaminase gene from the PGPB Pseudomonas putida
UW4 was introduced into Agrobacterium tumefaciens C58, so that the effect of ACC
deaminase activity on tumour formation in tomato and castor bean plants could be
assessed. Plants were also coinoculated with A. tumefaciens C58 and P. putida UW4
or P. putida UW4-acdS- (an ACC deaminase minus mutant strain). In both types of
experiments, it was observed that the presence of ACC deaminase generally
inhibited tumour development on both tomato and castor bean plants.
PMID- 18059562
TI - Antifungal susceptibility of bloodstream yeasts isolated at a public children's
hospital in Brazil: comparison of the Etest and the AFST-EUCAST microdilution
method.
AB - This study compared the minimum inhibitory concentration (MIC) results from the
proposed standard methods of the Antifungal Susceptibility Testing Subcommittee
of the European Committee on Antibiotic Susceptibility Testing (AFST-EUCAST) with
the commercial system Etest(R) in the evaluation of susceptibility to
flucytosine, fluconazole, itraconazole, voriconazole, and amphotericin B of 136
Candida spp. isolated from the blood of hospitalized children. The results
presented a greater agreement among Etest(R) MICs +/-2 log2 dilutions of AFST
EUCAST for fluconazole (98.1% and 96.3%) and voriconazole (100% and 100%) for
Candida albicans and Candida parapsilosis. For Candida glabrata, the agreement
was greater only for fluconazole (81.8%) and voriconazole (100%). For
amphotericin B, the agreement between the methods was low for all species. The
agreement percentage among the Etest(R) and AFST-EUCAST susceptibility profiles
was high according to the MIC breakpoints recommended by the M27-A2 protocol for
the majority of the yeasts, except for fluconazole and itraconazole against
Candida tropicalis and for itraconazole against C. glabrata and Candida krusei.
According to both methodologies, a great number of Candida spp. isolates showed
an in vitro susceptibility to all evaluated antifungal agents. Overall, both
procedures can be reliable techniques for susceptibility tests of yeasts, but the
assessment of interlaboratory agreement and correlation of MICs by different
methods with in vivo response are of great importance.
PMID- 18059563
TI - Diversity of tet resistance genes in tetracycline-resistant bacteria isolated
from a swine lagoon with low antibiotic impact.
AB - Tetracycline resistance has been extensively studied and shown to be widespread.
A number of previous studies have clearly demonstrated that a variety of
tetracycline resistance genes are present in swine fecal material, treatment
lagoons, and the environments surrounding concentrated animal feeding operations
(CAFOs). The diversity of tetracycline resistance within a swine lagoon located
at a CAFO that used only bacitricin methylene disalicylate as an antibiotic was
evaluated by screening 85 tetracycline-resistant isolates for the presence of 18
different genes by performing PCR with primers that target tetracycline efflux
genes of Gram-negative bacteria and ribosomal protection proteins. In addition,
partial 16S rRNA sequences from each of these isolates were sequenced to
determine the identity of these isolates. Of the 85 isolates examined, 17 may
represent potential novel species based on BLAST results. Greater than 50% of the
isolates (48 out of 85) were found to not contain targeted tet efflux genes.
Though minimum inhibitory concentrations ranged widely (16 - >256 mg/L), these
values did not give an indication of the tet genes present. Ten new genera were
identified that contain at least one tet efflux gene. Five other genera possessed
tet efflux genes that were not found in these organisms previously.
Interestingly, none of the isolates possessed any of the selected ribosomal
protection protein genes. Though tetracycline resistance was found in bacteria
isolated from a swine CAFO lagoon, it appears that the limited antibiotic use at
this CAFO might have impacted the presence and diversity of tetracycline
resistance genes.
PMID- 18059564
TI - Induction of oxalate decarboxylase by oxalate in a newly isolated Pandoraea sp.
OXJ-11 and its ability to protect against Sclerotinia sclerotiorum infection.
AB - Pandoraea sp. OXJ-11 has been shown to produce an oxalate decarboxylase. The
enzyme could be induced by increasing the oxalate in the medium. An increasing
concentration of yeast extract was able to stimulate the cell growth but could
not increase the specific oxalate decarboxylase activity. The oxalate
decarboxylase was produced maximally at 25-35 degrees C and pH 4.0-9.0, favoring
its potential application in protection of host plants from oxalate-producing
phytopathogens. The influence of glucose on the induction of oxalate
decarboxylase by oxalate was examined, and it was found that glucose inhibited
the production of the oxalate decarboxylase. Resistance results showed that
Pandoraea sp. OXJ-11 was capable of suppressing Sclerotinia sclerotiorum
infection on detached leaflets of Brassica napus plants.
PMID- 18059565
TI - Identification of iron-regulated cellular proteins, Fe3+-reducing and -chelating
compounds, in the white-rot fungus Perenniporia medulla-panis.
AB - In this paper, we present the responses of the white-rot fungus Perenniporia
medulla-panis to iron availability with regard to alterations in growth,
expression of cellular proteins, Fe3+-reducing activity, and Fe3+ chelators
production. Iron supplementation stimulated fungal growth but did not result in a
significant increase in biomass production. Catechol and hydroxamate derivatives
were produced mainly under iron deficiency, and their productions were repressed
under iron supplementation conditions. Perenniporia medulla-panis showed several
cellular proteins in the range of 10-90 kDa. Some of them showed negative iron
regulation. Iron-supplemented medium also repressed both cell surface and
extracellular Fe3+-reducing activities; however, the highest cell surface
activity was detected at the initial growth phase, whereas extracellular activity
increased throughout the incubation period. No significant production of
chelators and extracellular Fe3+-reducing activity were observed within the
initial growth phase, suggesting that the reduction of Fe3+ to Fe2+ is performed
by ferrireductases.
PMID- 18059566
TI - The antioxidants dimethylsulfoxide and dimethylthiourea affect the immediate
adhesion responses of larval haemocytes from 3 lepidopteran insect species.
AB - Antioxidants, dimethylsulfoxide (DMSO) and dimethylthiourea (DMTU), at
concentrations not affecting the viability of blood cells (haemocytes) from the
larval stage of 3 lepidopteran insects - Galleria mellonella, Lymantria dispar,
and Malacosoma disstria - differed in their influence on the innate binding of
haemocytes to glass, bacteria to haemocytes, and on humoral responses to alien
materials. In vitro DMSO had little effect, whereas DMTU substantially impaired
the adhesion of the haemocyte types, the plasmatocytes and granular cells, to
slides as well as the attachment of Bacillus subtilis to these haemocytes.
Although both antioxidants increased lysozyme and phenoloxidase activities, there
was no correlation of enzyme activity and haemocyte adhesion responses, possibly
reflecting sequestered radicals. Nitric oxide and hydroxyl radicals offset the
DMTU effect. In the absence of antioxidants, inactivate protein kinases A (PKA)
and C (PKC) enhanced haemocyte aggregation. In general, DMSO, as opposed to DMTU,
did not alter the effects of PKA and PKC activators and inhibitors on haemocyte
aggregation or of PKC and PKA activities. High concentrations of DMSO and all
levels of DMTU, although inhibiting PKA and PKC, inhibited haemocyte adhesion to
slides. Comparable results occurred for DMTU-treated haemocytes incubated with B.
subtilis. In vivo DMSO, unlike DMTU, did not impair plasmatocyte or granular cell
responses to foreign materials, including bacterial removal from the haemolymph
and nodulation.
PMID- 18059567
TI - Genetic and phenotypic diversity of Bifidobacterium thermacidophilum fecal
isolates from newborns.
AB - This study was undertaken to genetically identify and phenotypically characterize
14 bifidobacteria isolated from 20 breast-fed newborns. These isolates showed 98%
99% similarity to Bifidobacterium thermacidophilum subsp. suis based on 16S rDNA.
Further analysis by pulsed-field gel electrophoresis of chromosomal DNA digested
with XbaI revealed 4 distinct restriction patterns. The predominant pattern,
shared by 8 (57%) isolates, produced a macro-restriction profile with about 13
large fragments ranging in size from >242.5 to 23.1 kb, whereas the other 6
displayed 3 distinct restriction profiles all characterized by more micro- than
macro-restriction, with fragments ranging in size from 97 to 9.4 kb. Phenotypic
characteristics, including carbohydrate fermentation profile, maximal growth
temperature, and antibiotic susceptibility, varied widely even among strains
showing the same restriction profile. The presence of B. thermacidophilum in
stools of newborn infants may indicate the potential of these bacteria for aiding
the development of the intestinal ecosystem.
PMID- 18059568
TI - Serological profiling with Chlamycheck, a commercial multiplex recombinant
antigen Western blot assay of chlamydial infections.
AB - A new chlamydial test system, the Chlamycheck assay, which uses 4 purified
recombinant antigens of Chlamydia trachomatis and Chlamydophila pneumoniae and
one antigen of Chlamydophila psittaci, has been developed and commercialized. We
investigated the reactivities of the recombinant antigens with sera from a group
of 30 patients with acute Chlamydia trachomatis infection, 88 patients consulting
for sexually transmitted infections, and 46 patients with serological evidence of
Chlamydophila pneumoniae infection. The results obtained from human and infected
mouse sera suggest that Chlamycheck serology against multiple proteins may
provide additional useful information that is not available by conventional whole
elementary body microimmunofluorescence or single-antigen enzyme-linked
immunosorbent assay serology. Specific serological profiles were associated with
acute versus past Chlamydia trachomatis infection or with Chlamydia trachomatis
primo-infection versus infection in a Chlamydophila pneumoniae history context.
PMID- 18059569
TI - Soil properties that impact yeast and actinomycete numbers in sandy low nutrient
soils.
AB - To explore the beneficial qualities or detrimental consequences of cultureable
soil yeasts, it is important to understand which physicochemical soil properties
most impact populations of these unicellular fungi in their natural habitat. The
goal of this study was to determine which soil properties dictate yeast numbers
in pristine sandy, low nutrient soils within a semi-arid region. A correlation
matrix of the data obtained for 19 different environmental variables indicated a
negative correlation between soil pH and yeast numbers. Using general regression
models, it was demonstrated that soil pH and copper concentration were the 2
variables that correlated best with soil yeast counts in these soils. However,
soil moisture content was found to be the environmental factor with the most
impact on cultureable actinomycetes and heterotrophic microbes. The study also
demonstrated that divalent cation availability might impact the size of both
yeast and prokaryote populations in these soils.
PMID- 18059570
TI - Immune complexes in early Lyme disease.
AB - The study investigated the presence of Borrelia-specific antibodies captured in
immune complexes (ICs) in patients with early Lyme disease manifested by erythema
migrans. Out of 18 patients, 15 (83.3%) tested positive for polyethylene glycol
precipitated ICs containing IgM antibodies, while only 4 (22.2%) were IgG
positive. These results are in accordance with our findings obtained by standard
ELISA and recombinant blot, which indicated that ICs might be used for
serological diagnosis of the early disease.
PMID- 18059571
TI - Promoting food intake in older adults living in the community: a review.
AB - Older adults (>65 y) living in the community have the potential to have
significant nutrition concerns and deficits owing to the physiological, social,
and psychological changes that occur with ageing. However, poor nutrition should
not be considered an inevitable consequence of ageing. Older adults are quite
heterogenous, including those ageing "successfully", "usually", and at
"accelerated" rates. By improving the nutritional status of older adults,
significant health and quality of life gains can be realized. These three
subgroups of older adults have different concerns and risk factors and thus need
different interventions. A substantial amount of research on older adult
nutrition needs, determinants of food intake, risk of poor nutrition, and
interventions has occurred in the past decade in Canada. The purpose of this
review is to summarize this research and identify how nutrition promotion for
older adults can be improved. Research to date indicates that the need for
nutrition promotion is substantial. A variety of interventions have improved food
intake and health of participants, although most programs are provided at a
tertiary prevention level. Nutrition screening can help to identify in a timely
manner those older adults who may benefit from limited services and which
secondary prevention activities need to be increased. Nutrition promotion policy
is currently lacking for older adults in Canada and is an important barrier to
improving food intake in this growing segment of the population.
PMID- 18059572
TI - Exercise, aging, and cancer.
AB - Cancer is a common disease that affects over 150 000 Canadians every year. About
78% of all cancers are diagnosed in adults aged 60 years and older. Improved
survival rates for cancer survivors have brought lifestyle and quality of life
issues to the forefront. In other chronic disease populations, exercise is
considered a foundational health behavior; however, the benefits of exercise in
cancer survivors are only beginning to be described. Moreover, what little is
known about exercise in cancer survivors has been derived largely from research
on middle-aged survivors. In the present article, we review the literature on
exercise, aging, and cancer. Our review shows that very few studies have examined
exercise in older cancer survivors or have approached the topic from an aging
perspective. The limited research that is available suggests that, compared with
middle-aged cancer survivors, older cancer survivors: (i) derive similar benefits
from exercise, (ii) have lower exercise participation rates, (iii) have more
difficulty adhering to an exercise program, and (iv) have different determinants
of exercise motivation and behavior. We end by offering some future research
directions that may help generate important new exercise knowledge in this
underserved cancer survivor population.
PMID- 18059573
TI - Inflammation, obesity, and fatty acid metabolism: influence of n-3
polyunsaturated fatty acids on factors contributing to metabolic syndrome.
AB - Metabolic syndrome (MetS) comprises an array of metabolic risk factors including
abdominal obesity, dyslipidemia, hypertension, and glucose intolerance.
Individuals with MetS are at elevated risk for diabetes and cardiovascular
disease. Central to the etiology of MetS is an interrelated triad comprising
inflammation, abdominal obesity, and aberrations in fatty acid metabolism,
coupled with the more recently recognized changes in metabolism during the
postprandial period. We review herein preliminary evidence regarding the role of
dietary n-3 polyunsaturated fatty acids in modulating each of the components of
the triad of adiposity, inflammation, and fatty acid metabolism, with particular
attention to the role of the postprandial period as a contributor to the
pathophysiology of MetS.
PMID- 18059574
TI - Type 2 diabetes mellitus, resistance training, and innate immunity: is there a
common link?
AB - Type 2 diabetes mellitus is a serious chronic disease that is very prevalent in
the developed world. The etiology of this disease is not well understood.
Recently, the role of the innate immune system in the pathogenesis of type 2
diabetes and its complications has received a great deal of attention. Cytokines,
acute phase proteins, and phagocytes have been implicated in this model.
Resistance training has known benefits in type 2 diabetic patients and older
adults, such as improved insulin action, insulin sensitivity, fasting blood
glucose and insulin, and glucose tolerance levels. Actions of pro-inflammatory
mediators linked to dysregulated innate immune activity have been associated with
type 2 diabetes. The immunomodulatory effects of exercise, and in particular
approaches such as resistance training, may provide a strategy to counter these
pro-inflammatory effectors. However, the effects of resistance training on innate
immunity have not been studied extensively in adults with type 2 diabetes or in
older adults who are at increased risk for development of type 2 diabetes. This
review discusses the possibility that resistance training may have positive
effects on innate immunity in this population and so may provide benefits in
addition to improving strength and functional abilities. In particular, the
potential of resistance training to modulate pro-inflammatory parameters
associated with type 2 diabetes, as a strategy that could provide multiple
beneficial health outcomes, is addressed.
PMID- 18059575
TI - Differences in efficiency between trained and recreational cyclists.
AB - Controversy still exists in the literature as to whether cycling experience
affects gross mechanical efficiency (GME). The aim of this study was to identify
differences in efficiency between trained and untrained cyclists. Thirty-two
participants, 16 trained (mean+/-SD: age, 33+/-4 y; height, 1.76+/-0.05 m; mass
75+/-10 kg; Wmax, 421+/-38 W; maximal oxygen uptake, 62.6+/-7.30 mL.kg(-1).min(
1)) and 16 untrained (22+/-3 y, 175+/-0.06 m, 76+/-10 kg, 292+/-34 W, 42.6+/-7.80
mL.kg(-1).min(-1)), performed two tests of cycling efficiency. One was at the
relative workloads of 50% and 60% Wmax and the other was at a fixed workload of
150 W using an electrically braked cycle ergometer. Cadence was maintained at the
cyclist's preferred rate throughout. All workloads lasted 10 min with data
sampling in the final 3 min. GME was calculated from the gas data. GME was found
to be significantly higher in the trained cyclists across all workloads (+1.4%;
p=0.03). At workloads of 60% Wmax GME was significantly lower than work at 150 W
(-0.8%; p=0.04), but not significantly different from 50% Wmax. These results
show that differences do exist between trained and untrained cyclists,
illustrating that training experience is a factor that warrants further
investigation.
PMID- 18059576
TI - Effects of 22 degrees C muscle temperature on voluntary and evoked muscle
properties during and after high-intensity exercise.
AB - The purpose of this study was to investigate the effect of 22 degrees C local
muscle temperature of intact human plantar flexors performing fatiguing
contractions on evoked and voluntary contractile properties before and after
fatigue. Twelve subjects were tested on plantar flexor voluntary torque, percent
muscle activation derived from twitch interpolation, integrated electromyographic
(iEMG) activity, and evoked torque and temporal characteristics of maximal twitch
and tetanic stimulations before fatigue and 1, 5, and 10 min after intermittent,
high-intensity, isometric fatigue under both normothermic and hypothermic
conditions. Hypothermic and normothermic changes between time points were
analysed by repeated-measures analysis of variance. Normothermic fatigue induced
small to large effects (Cohen's d: 0.29-3.06) on voluntary and evoked contractile
properties, whereas most effects of unfatigued hypothermia were limited to rate
dependent processes (Cohen's d: 0.78-1.70). Most tetanic properties were
potentiated 1 min after normothermic fatigue, but remained unchanged by
hypothermic fatigue, resulting in significant differences between the two
conditions. Soleus iEMG significantly declined 1 min after normothermic fatigue (
29%), but not after hypothermic fatigue. Twitch torque was potentiated by 29% one
minute after fatigue while normothermic, but was potentiated by 46% while
hypothermic; rate of twitch torque development and time to peak twitch were
potentiated by 39% and 10% while normothermic, but 89% and 28% while hypothermic.
Although voluntary contractile properties are generally impaired soon after
normothermic fatigue, most were not after hypothermic fatigue. Furthermore,
evoked contractile properties were generally higher 1 min after hypothermic
fatigue. We conclude that the hypothermic condition slows the recovery of
potentiated evoked contractile properties back to baseline values.
PMID- 18059577
TI - Effect of in-season creatine supplementation on body composition and performance
in rugby union football players.
AB - Rugby union football requires muscular strength and endurance, as well as aerobic
endurance. Creatine supplementation may enhance muscular performance, but it is
unclear if it would interfere with aerobic endurance during running because of
increased body mass. The purpose of this study was to determine if creatine
supplementation during 8 weeks of a season of rugby union football can increase
muscular performance, without negatively affecting aerobic endurance. Rugby union
football players were randomized to receive 0.1 g.kg(-1).d(-1) creatine
monohydrate (n=9) or placebo (n=9) during 8 weeks of the rugby season. Players
practiced twice per week for approximately 2 h per session and played one 80 min
game per week. Before and after the 8 weeks, players were measured for body
composition (air displacement plethysmography), muscular endurance (number of
repetitions at 75% of one repetition maximum (1 RM) for bench press and leg
press), and aerobic endurance (Leger shuttle-run test with 1 min stages of
progressively increasing speed). There were time main effects for body mass (
0.7+/-0.4 kg; p=0.05), fat mass (-1.9+/-0.8 kg; p<0.05), and a trend for an
increase in lean tissue mass (+1.2+/-0.5 kg; p=0.07), with no differences between
groups. The group receiving creatine supplementation had a greater increase in
the number of repetitions for combined bench press and leg press tests compared
with the placebo group (+5.8+/-1.4 vs. +0.9+/-2.0 repetitions; p<0.05). There
were no changes in either group for aerobic endurance. Creatine supplementation
during a rugby union football season is effective for increasing muscular
endurance, but has no effect on body composition or aerobic endurance.
PMID- 18059578
TI - The effect of pre-test carbohydrate ingestion on the anaerobic threshold, as
determined by the lactate-minimum test.
AB - The purpose of this study was to investigate the effect of pre-test carbohydrate
(CHO) ingestion on anaerobic-threshold assessment using the lactate-minimum test
(LMT). Fifteen competitive male distance runners capable of running 10 km in 33.5
43 min were used as subjects. LMT was performed following CHO (2x300 mL, 7%
solution) or comparable placebo (Pl) ingestion, in a double-blind, randomized
order. The LMT consisted of two high-intensity 1 min treadmill runs (17-21 km.h(
1)), followed by an 8 min recovery period. Subsequently, subjects performed 5 min
running stages, incremented by 0.6 km.h(-1) and separated by 1 min blood-sampling
intervals. Tests were terminated after 3 consecutive increases in blood-lactate
concentration ([La]) had been observed. Finger-tip capillary blood was sampled
for [La] and blood-glucose determination 30 min before the test's onset, during
the recovery phase following the 2 high-intensity runs, and following each of the
subsequent 5 min stages. Heart rate (HR) and rating of perceived exertion (RPE)
were recorded after each stage. The lactate-minimum speed (LMS) was determined
from the individual [La]-velocity plots and was considered reflective of the
anaerobic threshold. Pre-test CHO ingestion had no effect on LMS (13.19+/-1.12
km.h(-1) vs. 13.17+/-1.08 km.h(-1) in CHO and Pl, respectively), nor on [La] and
glucose concentration at that speed, or on HR and RPE responses. Pre-test CHO
ingestion therefore does not affect LMS or the LMT-estimated anaerobic threshold.
PMID- 18059579
TI - Ethnic differences in adiposity and body composition: the First Nations bone
health study.
AB - The purpose of this study was to compare patterns of adiposity and soft tissue
composition in First Nations and white Canadian women. A population-based cross
sectional study was performed. A random age-stratified sample of 206 urban First
Nations women and 177 white women was recruited. Soft tissue composition was
analyzed with dual-energy X-ray absorptiometry. Analysis of covariance (ANCOVA)
models were used to assess ethnicity in models that adjusted for body mass, body
mass index (BMI), and socio-demographic factors. Obesity (BMI>or=30.0 kg/m2) was
more common in First Nations women (48.1%) than in white women (36.2%, Fisher's
exact test p=0.012). Mean trunk fat tissue mass fraction and total body fat mass
fraction (as a percent of soft tissue) were greater in First Nations women than
in white women (p<0.0001). Trunk lean tissue was also greater in First Nations
women (p=0.027), but total body lean tissue was similar. The mean trunk adiposity
index was strongly related to ethnicity (First Nations +0.5%+/-2.5% versus white
1.7%+/-2.6%, p<0.0001). Preferential fat accumulation in the trunk of First
Nations women persisted after adjustment for body mass, BMI, and other socio
demographic variables (p<0.0001). First Nations women differ from white women in
terms of fat and lean tissue mass and distribution. First Nations women had a
preferential increase in trunk fat and this may contribute to high reported rates
of diabetes, metabolic syndrome, and cardiovascular events.
PMID- 18059580
TI - New oral fat tolerance tests feature tailoring of the polyunsaturated/saturated
fatty acid ratio to elicit a specific postprandial response.
AB - The impact of dietary fat on postprandial metabolic biomarkers for obesity
related chronic diseases, such as type-2 diabetes and cardiovascular disease, has
received significant recent attention. However, there is no standard method to
evaluate the postprandial response to dietary fat alone. Our goals were to
develop a novel oral fat tolerance test (OFTT) consisting solely of emulsified
lipids tailored for specific fatty acid compositions and to evaluate the
functionality of specific ratios of polyunsaturated/saturated fatty acid (P/S)
loading on postprandial triacylglyceride (TAG) concentrations. Two OFTTs of
emulsified lipids were prepared with specific P/S ratios of 0.2 and 2.0. Physical
characteristics of the fat blends, including TAG composition, melting point, and
emulsion droplet size were quantified. Healthy, older (age>45 y) men (n=8)
underwent an 8 h postprandial study wherein they received the OFTT treatment
(either the P/S ratio of 0.2 or 2.0), with a total lipid load of 1 g/kg subject
body mass. All subjects received both treatments separated by at least 1 week.
Both the P/S 0.2 and 2.0 OFTT significantly elevated (p<0.05) blood TAG and free
fatty acid concentrations for 8 h without increasing blood glucose or serum
insulin concentrations. The predominant fatty acids contained in the P/S 0.2
(palmitic acid, 16:0) and 2.0 (linoleic acid, 18:2(n-6)) OFTT blends were
significantly elevated in the blood (p<0.05) during their respective postprandial
periods. We concluded that blood TAGs are elevated in a specific pattern through
the administration of novel OFTTs with specific P/S blends without eliciting an
insulin or glucose response.
PMID- 18059581
TI - Specific inspiratory muscle warm-up enhances badminton footwork performance.
AB - The effects of inspiratory muscle (IM) warm-up on IM function and on the maximum
distance covered in a subsequent incremental badminton-footwork test (FWmax) were
examined. Ten male badminton players were recruited to perform identical tests in
three different trials in a random order. The control trial did not involve an IM
warm-up, whereas the placebo and experimental trials did involve an IM warm-up
consisting of two sets of 30-breath manoeuvres with an inspiratory pressure
threshold load equivalent to 15% (PLA) and 40% (IMW) maximum inspiratory mouth
pressure, respectively. In the IMW trial, IM function was improved with 7.8%+/
4.0% and 6.9%+/-3.5% increases from control found in maximal inspiratory pressure
at zero flow (P0) and maximal rate of P0 development (MRPD), respectively
(p<0.05). FWmax was enhanced 6.8%+/-3.7%, whereas the slope of the linear
relationship of the increase in the rating of perceived breathlessness for every
minute (RPB/min) was reduced (p<0.05). Reduction in blood lactate ([La-]b)
accumulation was observed when the test duration was identical to that of the
control trial (P<0.05). In the PLA trial, no parameter was changed from control.
For the changes (Delta) in parameters in IMW (n=10), negative correlations were
found between DeltaP0 and DeltaRPB/min (r2=0.58), DeltaMRPD and DeltaRPB/min
(r2=0.48), DeltaRPB/min, and DeltaFWmax (r2=0.55), but not between Delta[La-]b
accumulation and DeltaFWmax. Such findings suggest that the IM-specific warm-up
improved footwork performance in the subsequent maximum incremental badminton
footwork test. The improved footwork was partly attributable to the reduced
breathless sensation resulting from the enhanced IM function, whereas the
contribution of the concomitant reduction in [La-]b accumulation was relatively
minor.
PMID- 18059582
TI - Relationship between insulin sensitivity and the triglyceride-HDL-C ratio in
overweight and obese postmenopausal women: a MONET study.
AB - The objective of this cross-sectional study was to examine the relationship
between the triglyceride-HDL-cholesterol ratio (TG:HDL-C) and insulin sensitivity
in overweight and obese sedentary postmenopausal women. The study population
consisted of 131 non-diabetic overweight and obese sedentary postmenopausal women
(age; 57.7+/-5.0 y; body mass index (BMI), 32.2+/-4.3 kg/m2). Subjects were
characterized by dividing the entire cohort into tertiles based on the TG:HDL-C
(T1<0.86 vs. T2=0.86 to 1.35 vs. T3>1.35, respectively). We measured (i) insulin
sensitivity (using the hyperinsulinenic-euglycemic clamp and homeostasis model
assessment (HOMA)), (ii) body composition (using dual-energy X-ray
absorptiometry), (iii) visceral fat (using computed tomography), (iv) plasma
lipids, C-reactive protein, 2 h glucose concentration during an oral glucose
tolerance test (2 h glucose), as well as fasting glucose and insulin, (v) peak
oxygen consumption, and (vi) lower-body muscle strength (using weight training
equipment). Significant correlations were observed between the TG:HDL-C and the
hyperinsulinemic-euglycemic clamp (r=-0.45; p<0.0001), as well as with HOMA
(r=0.42; p<0.0001). Moreover, the TG:HDL-C significantly correlated with lean
body mass, visceral fat, 2 h glucose, C-reactive protein, and muscle strength.
Stepwise regression analysis showed that the TG:HDL-C explained 16.4% of the
variation in glucose disposal in our cohort, which accounted for the greatest
source of unique variance. Other independent predictors of glucose disposal were
2 h glucose (10.1%), C-reactive protein (CRP; 7.6%), and peak oxygen consumption
(5.8%), collectively (including the TG:HDL-C) explaining 39.9% of the unique
variance. In addition, the TG:HDL-C was the second predictor for HOMA, accounting
for 11.7% of the variation. High levels of insulin sensitivity were associated
with low levels of the TG:HDL-C. In addition, the TG:HDL-C was a predictor for
glucose disposal rates and HOMA values in our cohort of overweight and obese
postmenopausal women.
PMID- 18059583
TI - Proanthocyanidin promotes free radical-scavenging activity in muscle tissues and
plasma.
AB - The present study was carried out to clarify the effect of oral administration of
proanthocyanidin (PA) on radical-scavenging activity in muscle and plasma using
electron spin resonance (ESR). Eight-week-old male Wistar rats were orally
administered with 3 doses per day of 1 mL of 0.05% (PA0.05), 0.5% (PA0.5) or 5%
(PA5) PA for 1 week. Control animals received the same volume of distilled water.
We also examined the effect of a single dose of 0.5% PA. Blood and muscle were
collected from rats 1 h after the final administration. Scavenging activity
against superoxide anions in the plasma and m. soleus (Sol), m. plantaris (Pla),
deep and surface areas of the m. gastrocnemius (GasD and GasS, respectively) and
myocardium (Hrt) was determined using ESR with the spin trap, 5,5-dimethyl-1
pyrroline-N-oxide The scavenging activity in plasma for all groups given PA was
34%-44% higher than the control (p<0.05). The scavenging activity in Hrt, Sol and
GasD increased by up to 50% compared with the control and tended to increase
depending on the dose of PA (p<0.05). The impact of a single dose of PA was
undetectable in all tissues. These results suggested that 1 week of oral PA
improves the radical-scavenging activity in both plasma and muscle, especially in
highly oxidative muscle. A single dose of PA was insufficient to improve the
antioxidative capacity of muscle tissues.
PMID- 18059584
TI - Dietary intake, capillary blood glucose, and activity level of activity
restricted, hospitalized, pregnant women in the third trimester: a pilot study.
AB - Activity restriction in hospital is commonly prescribed by care providers to
decrease the incidence of maternal or fetal morbidity in high-risk pregnancies.
The purpose of this pilot descriptive study was to collect preliminary data on
dietary intake, capillary blood glucose concentrations, and activity level in
high-risk, activity-restricted, pregnant women in the third trimester of
pregnancy. Dietary food intake records, capillary blood glucose, and daily
pedometer step totals were investigated in 20 activity-restricted women over 7
consecutive days in hospital. Subjects were asked to collect hospital meal
tickets, as well as record any additional items not provided by the hospital in a
dietary log each day. Capillary blood glucose was collected every morning
(fasting) as well as 1 h after breakfast (post-prandial) using a glucometer.
Subjects wore a pedometer 24 h/d, and recorded step totals 4 times daily in a
pedometer log. In the analysis, average energy and macronutrient intakes met
dietary reference intake (DRI) recommendations, as did average intakes of all
micronutrients, including maternal supplementation. Without supplementation,
vitamin E and iron intakes were lower (p<0.05) than the DRI recommendations.
Average fasting (4.6+/-0.5 mmol/L) and post-prandial (7.1+/-1.0 mmol/L) blood
glucose concentrations in subjects without gestational diabetes (GDM) did not
exceed Canadian Diabetes Association cut-off values for screening of GDM. The
mean daily step total of 1579+/-936 was lower than ambulatory third-trimester
women (6495+/-2282 steps; p<0.001). Results from this pilot study suggest that
with maternal supplementation, these activity-restricted, hospitalized, pregnant
women were meeting dietary recommendations, and did not have elevated capillary
blood glucose. However, given the severity of activity restriction, these women
may be at risk for consequences of extreme inactivity.
PMID- 18059585
TI - Rat hindlimb unloading down-regulates insulin like growth factor-1 signaling and
AMP-activated protein kinase, and leads to severe atrophy of the soleus muscle.
AB - Inactivity is known to induce muscle atrophy, which is associated with insulin
and insulin-like growth factor-1 (IGF-1) resistance, but the associated
mechanisms remain poorly defined. The hindlimb unloading model has been used to
reduce muscle activity. The objective of this study was to show the effect of
hindlimb unloading on IGF-1 signaling and AMP-activated protein kinase (AMPK)
activity in rat soleus and extensor digitorum longus (EDL) muscles. Twelve 7-week
old male Sprague-Dawley rats were assigned to 2 treatments: (i) rats without
hindlimb unloading (Con) and (ii) rats with hindlimb unloading (Unload). After 2
weeks of treatment, the soleus and EDL muscles were dissected and used for
biochemical analyses. Hindlimb unloading induced severe muscle atrophy in soleus
muscle (0.122+/-0.007 g for Con vs. 0.031+/-0.004 g for Unload, p<0.01), but only
slight atrophy in EDL muscle. The phosphorylation of AMPK (p<0.05) and its
downstream substrate, acetyl-CoA carboxylase (ACC) (p<0.01) were reduced in
soleus muscle due to unloading. The concentration of insulin receptor substrate-1
(IRS-1) and phosphorylation of IRS-1 at Ser636-639 and Ser789 were also reduced.
Downstream IGF-1 signaling was downregulated in Unload rats. A reduction in IGF-1
concentration in unloaded soleus muscle was also observed. A slight reduction in
AMPK activity and IGF-1 signaling were observed in EDL muscle. Since AMPK
controls the sensitivity of IGF-1 signaling through phosphorylation at Ser789,
the reduction in AMPK activity is expected to reduce the response of downstream
IGF-1 signaling to IGF-1; this, in combination with reduced IGF-1 concentration,
might be responsible for the severe muscle atrophy observed in unloaded soleus
muscle.
PMID- 18059586
TI - Gender comparisons of exercise-induced oxidative stress: influence of antioxidant
supplementation.
AB - The purpose of this study was to determine the influence of gender and
antioxidant supplementation on exercise-induced oxidative stress. Twenty-five men
and 23 women ran for 30 min at 80% VO2 max, once before and once after 2 weeks of
supplementation, and again after a 1-week wash-out period. Subjects were randomly
assigned to either placebo (P), antioxidant (A: 400 IU vitamin E+1 g vitamin C),
or a fruit and vegetable powder (FV) treatment. Blood was obtained at rest and
immediately after exercise. Before supplementation, women had higher resting
reduced glutathione, total glutathione, and plasma vitamin E compared with men.
With both A and FV supplementations, plasma vitamin E gender differences
disappeared. Protein carbonyls, oxidized glutathione, and malondialdehyde all
increased similarly for both genders in response to exercise. Both A and FV
attenuated the reduced glutathione decrease and the oxidized glutathione and
protein carbonyls increase compared with P, with no gender differences. 8
hydroxydeoxyguanosine was lower with treatment A compared with FV and P only for
men. Plasma vitamin C increased 39% (A) and 21% (FV) compared with P. These data
indicate that women have higher resting antioxidant levels than men. Markers of
oxidative stress increased similarly in both genders in response to exercise of
similar intensity and duration. Two weeks of antioxidant supplementation can
attenuate exercise-induced oxidative stress equally in both genders.
PMID- 18059587
TI - Minimal whey protein with carbohydrate stimulates muscle protein synthesis
following resistance exercise in trained young men.
AB - Whey protein is a supplemental protein source often used by athletes,
particularly those aiming to gain muscle mass; however, direct evidence for its
efficacy in stimulating muscle protein synthesis (MPS) is lacking. We aimed to
determine the impact of consuming whey protein on skeletal muscle protein
turnover in the post-exercise period. Eight healthy resistance-trained young men
(age=21+/-1 .0 years; BMI=26.8+/-0.9 kg/m2 (means+/-SE)) participated in a double
blind randomized crossover trial in which they performed a unilateral leg
resistance exercise workout (EX: 4 sets of knee extensions and 4 sets of leg
press; 8-10 repetitions/set; 80% of maximal), such that one leg was not exercised
and acted as a rested (RE) comparator. After exercise, subjects consumed either
an isoenergetic whey protein plus carbohydrate beverage (WHEY: 10 g protein and
21 g fructose) or a carbohydrate-only beverage (CHO: 21 g fructose and 10 g
maltodextran). Subjects received pulse-tracer injections of L-[ring
2H5]phenylalanine and L-[15N]phenylalanine to measure MPS. Exercise stimulated a
rise in MPS in the WHEY-EX and CHO-EX legs, which were greater than MPS in the
WHEY-RE leg and the CHO-RE leg (all p<0.05), respectively. The rate of MPS in the
WHEY-EX leg was greater than in the CHO-EX leg (p<0.001). We conclude that a
small dose (10 g) of whey protein with carbohydrate (21 g) can stimulate a rise
in MPS after resistance exercise in trained young men that would be supportive of
a positive net protein balance, which, over time, would lead to hypertrophy.
PMID- 18059588
TI - Effect of timing of energy and carbohydrate replacement on post-exercise insulin
action.
AB - The nutritional environment surrounding an exercise bout modulates post-exercise
insulin action. The purpose of this study was to determine how timing energy and
carbohydrate replacement proximate to an exercise bout influences exercise
enhanced insulin action. To create an appropriate baseline, sensitivity to
insulin was reduced in 9 healthy young men (n=6) and women (n=3) by 2 days of
energy surplus and detraining. Then, insulin action (glucose uptake per unit
plasma insulin) was assessed by stable isotope dilution during a continuous
glucose infusion 12 h after a standardized meal under 4 conditions. In 3
conditions, the meal replaced the energy and carbohydrate expended during an
exercise bout (62.9+/-2.8 min cycle ergometry at 65% VO2 peak followed by ten 30
s sprints). The meal was given before (Pre), immediately after (ImmPost), or 3 h
after exercise (Delay). The 4th condition was a no-exercise control (Control).
Data were analyzed using linear mixed-effects models with planned contrasts.
Relative to Control, insulin action increased by 22% in Pre (p=0.05), 44% in
ImmPost (p<0.01), and 19% in Delay (p=0.09). Non-oxidative disposal was higher,
and oxidative disposal was lower in ImmPost relative to Control and Pre (p<0.05).
Hepatic glucose production was suppressed by the infusion to a greater extent in
Pre and Delay (76.9%+/-8.8% and 81.2%+/-4.7%) compared with ImmPost (64.7%+/
10.0%). A bout of exercise enhances insulin action even when expended energy and
carbohydrate are replaced. Further, timing of energy and carbohydrate consumption
subtly modulates the effectiveness of exercise to enhance insulin action.
PMID- 18059589
TI - Effect of pharmacological lowering of plasma urate on exercise-induced oxidative
stress.
AB - Urate is a metabolic end product of purine metabolism that contributes about 66%
of the antioxidant capacity of plasma. The objective of this study was to
evaluate the importance of plasma urate as an antioxidant using pharmacological
lowering and examining the impact on plasma antioxidant capacity and oxidative
stress after intense exercise. Fifteen subjects ran for 45 min at approximately
80% VO2 max under the influence of probenecid (1 g/d) (PRO) or placebo (PLA) in a
double-blind, crossover design. Blood samples obtained at baseline, pre-exercise,
and immediately post-exercise were analyzed for F2-isoprostanes, lipid
hydroperoxides (LHs), ferric-reducing ability of plasma (FRAP), urate, ascorbate
(AA), and nitrite. A 2 (group)x2 (time) repeated-measures analysis of variance
(ANOVA), one-way ANOVA, Tukey-Kramer multiple comparison tests, and Student's t
tests were used for statistical analysis. PRO exhibited lowered urate and FRAP
compared with baseline (p0.05) for the first 60 min, but for the second hour it was lower
than in the control (p<0.05). Supplementation in high-level, endurance athletes
reduced the accumulation of blood ammonia during prolonged, strenuous exercise in
a field situation.
PMID- 18059594
TI - Revised clearance for participation in physical activity: greater screening
responsibility for qualified university-educated fitness professionals.
AB - For many individuals, pre-participation clearance using the PAR-Q and the PARmed
X has become a barrier to adopting a physically active lifestyle. An extensive
project is therefore planned to reduce the number of medical referrals from the
PAR-Q and to revise the PARmed-X so that it becomes more effective, user
friendly, and evidence based. The entire process will likely require 3 years to
complete; therefore, as an interim solution, we propose giving greater pre
participation screening responsibility to qualified university-educated fitness
professionals. The highest level of professional fitness qualification in Canada
is the Canadian Society for Exercise Physiology Certified Exercise Physiologist;
the requirements for this certification are such that it could serve as a model
allowing other countries that use the PAR-Q to develop similarly qualified
university-educated fitness professionals who could also be entrusted with
greater pre-participation screening responsibility.
PMID- 18059595
TI - Resistance exercise: good for more than just Grandma and Grandpa's muscles.
AB - Progressive resistance training promotes strength gains in both the young and the
aged. Importantly, gains in strength in aged persons are, with the appropriate
duration, intensity, and progression, not simply due to neuromuscular mechanisms,
but also encompass muscle fibre hypertrophy. Critically, the resistance exercise
induced changes in aged skeletal muscle are associated with numerous health
benefits, the most obvious of which are the gains in strength and, with the
correct training program, power; as a result, functional independence is improved
and the risk for falls is apparently reduced. Aside from the well-documented
effects of resistance training on strength and power, a body of research is now
beginning to emerge that shows resistance exercise also promotes metabolic
health. This is crucial information, since it effectively highlights an
underappreciated aspect of resistance exercise. Specifically, resistance exercise
not only promotes strength gains, but also reduces risk for diabetes and
cardiovascular disease. The benefits of resistance exercise do not end at
metabolic health, however, and "spill over" into many other realms. In fact,
resistance exercise programs have been shown to reduce participants' use of the
health care system. Viewed collectively, the multiple benefits of resistance
exercise represent an attractive option for our aging population to enhance and
maintain their health from a number of perspectives that are not achievable
through pharmacological intervention or with solely aerobic-based exercise.
PMID- 18059596
TI - Prohibition of artificial hypoxic environments in sports: health risks rather
than ethics.
AB - There is actual debate on a recent position of the World Anti-Doping Agency
(WADA), which has cautiously refrained from banning hypoxic tents and intends to
monitor their health risk. Regardless of teleological and deontological concepts,
we highlight that the health risks inherent to the widespread use of these
artificial performance-enhancing devices would make them as unsafe as other forms
of blood doping.
PMID- 18059601
TI - Ventilatory constraints and dyspnea during exercise in chronic obstructive
pulmonary disease.
AB - Dyspnea (respiratory difficulty) and activity limitation are the primary symptoms
of chronic obstructive pulmonary disease (COPD) and progress relentlessly as the
disease advances, contributing to reduced quality of life. In COPD, the
mechanisms of dyspnea are multifactorial, but abnormal dynamic ventilatory
mechanics are believed to play a central role. In flow-limited patients with
COPD, dynamic lung hyperinflation (DH) occurs during exercise and has serious
sensory and mechanical consequences. In several studies, indices of DH strongly
correlate with ratings of dyspnea intensity during exercise, and strategies that
reduce resting hyperinflation (either pharmacological or surgical) consistently
result in reduced exertional dyspnea. The mechanisms by which DH gives rise to
exertional dyspnea and exercise intolerance are complex, but recent mechanistic
studies suggest that DH-induced inspiratory muscle loading, restriction of tidal
volume expansion during exercise, and consequent neuromechanical uncoupling of
the respiratory system are key components. This review examines the specific
derangements of ventilatory mechanics that occur in COPD during exercise and
attempts to provide a mechanistic rationale for the attendant respiratory
discomfort and activity limitation.
PMID- 18059602
TI - Chemical and mechanical adaptations of the respiratory system at rest and during
exercise in human pregnancy.
AB - Human pregnancy is characterized by significant increases in ventilatory drive
both at rest and during exercise. The increased ventilation and attendant
hypocapnia of pregnancy has been attributed primarily to the stimulatory effects
of female sex hormones (progesterone and estrogen) on central and peripheral
chemoreflex drives to breathe. However, recent research from our laboratory
suggests that hormone-mediated increases in neural (or non-chemoreflex) drives to
breathe may contribute importantly to the hyperventilation of pregnancy. This
review challenges traditional views of ventilatory control, and outlines an
alternative hypothesis of the control of breathing during human pregnancy that is
currently being tested in our laboratory. Conventional wisdom suggests that
pregnancy-induced increases in central respiratory motor output command in
combination with progressive thoraco-abdominal distortion may compromise the
normal mechanical response of the respiratory system to exercise, increase the
perception of exertional breathlessness, and curtail aerobic exercise performance
in otherwise healthy pregnant women. The majority of available evidence suggests,
however, that neither pregnancy nor advancing gestation are associated with
reduced aerobic working capacity or increased breathlessness at any given work
rate or ventilation during exhaustive weight-supported exercise.
PMID- 18059603
TI - Effects of ageing on muscle O2 utilization and muscle oxygenation during the
transition to moderate-intensity exercise.
AB - At the onset of exercise, an increase in muscle and pulmonary O2 consumption is
met by increases in muscle O2 delivery and muscle O2 extraction. Thus, the study
of pulmonary O2 uptake kinetics reflects the integrated response between the
convective and diffusive O2 delivery systems and the muscle metabolic machinery
(i.e., mitochondrial enzyme activation and provision of acetyl groups to the
tricarboxcylic acid cycle) to increase muscle O2 consumption. Pulmonary O2 uptake
kinetics are slowed in older adults compared with young adults and previous
studies suggest that the slower O2 uptake kinetics may be the result of an age
associated decline in the ability of older adults to increase O2 delivery to
active muscles. However, an inherent limitation to understanding the control of
and limitations to pulmonary O2 uptake kinetics is that it is methodologically
difficult to examine the adaptation of muscle perfusion and O2 delivery and
muscle O2 utilization in the muscle microcirculation of active muscles in the
dynamically exercising human. In this review, we provide an overview of the
effect of ageing on pulmonary O2 uptake kinetics (reflecting the activation of
muscle O2 consumption) during the transition to moderate-intensity exercise. Age
related changes in O2 delivery systems and muscle oxidative capacity are examined
as potential limitations to pulmonary O2 uptake kinetics. We then review recent
studies from our laboratory that have investigated the control of pulmonary O2
uptake kinetics at the level of the muscle microcirculation by examining the
adaptation of muscle O2 delivery and muscle O2 utilization using near-infrared
spectroscopy during the transition to exercise in healthy young and older adults.
PMID- 18059604
TI - Exercise-induced arterial hypoxaemia in active young women.
AB - Studies examining pulmonary gas exchange during exercise have primarily focused
on young healthy men, whereas the female response to exercise has received
limited attention. Evidence is accumulating that the response of the lungs,
airways, and (or) respiratory muscles to exercise is less than ideal and this may
significantly compromise oxygen transport in certain groups of otherwise healthy,
fit, active, male subjects. Women may be even more susceptible to exercise
induced pulmonary limitations than height-matched men, by virtue of their smaller
lung volumes, lower maximal expiratory flow rates, and smaller diffusion surface
areas. We have recently shown that exercise-induced arterial hypoxaemia (EIAH) is
more prevalent and occurs at relatively lower fitness levels in females than in
males. Despite this finding, few physiologically based mechanisms have been
identified to explain why women may be more susceptible to EIAH than men.
Potential mechanisms of EIAH include relative alveolar hypoventilation,
ventilation-perfusion inequality, and diffusion limitation. Whether these
mechanisms are different between sexes remains controversial. The primary purpose
of this review is to summarize the available data on EIAH in women and to discuss
potential sex-based mechanisms for gas exchange impairment. Furthermore, we
discuss unresolved questions dealing with pulmonary system limitations during
exercise in women.
PMID- 18059606
TI - The biological roles of exercise-induced cytokines: IL-6, IL-8, and IL-15.
AB - Skeletal muscle fibers express several cytokines, including interleukin (IL)-6,
IL-8, and IL-15. Solid evidence exists that muscular IL-6 and IL-8 are regulated
by muscle contractions, at both the mRNA and the protein levels. IL-6 increases
insulin-stimulated glucose disposal and fatty acid oxidation in humans in vivo.
Both IL-6 and IL-8 are released from working skeletal muscle, but because IL-6
contributes to the systemic circulation only a small transient net release of IL
8 is found from working muscle, suggesting that IL-8 may exert its effects
locally in the muscle. IL-15 is a recently discovered growth factor, which is
highly expressed in skeletal muscle. Interestingly, although IL-15 has been
demonstrated as having anabolic effects on skeletal muscle in vitro and in vivo,
it seems to play a role in reducing adipose tissue mass, and a role for IL-15 in
muscle-fat cross-talk has been hypothesized. In conclusion, muscle-derived
cytokines appear to have important roles in metabolism, and exercise plays a role
in orchestrating the interplay between cytokines and metabolism.
PMID- 18059607
TI - Mechanisms of calcium-induced mitochondrial biogenesis and GLUT4 synthesis.
AB - Regularly performed aerobic exercise leads to increases in skeletal muscle
mitochondria and glucose transporter 4 (GLUT4) protein content, resulting in an
enhanced capacity to oxidize substrates and improvements in insulin- and
contraction-mediated glucose uptake. Although the specific mechanisms governing
these adaptive responses have not been fully elucidated, accumulating evidence
suggests that the increase in cytosolic Ca2+ that occurs with each wave of
sacrolemmal depolarization is a key component of these processes. Treating L6
muscle cells with agents that increase Ca2+ without causing reductions in ~P or
the activation of 5'-AMP-activated protein kinase leads to increases in GLUT4 and
mitochondrial protein contents. This effect is likely controlled through
calcium/calmodulin-dependent protein kinase (CaMK), since KN93, a specific CaMK
inhibitor, blocks these adaptive responses. Recent findings provide evidence that
the activation of p38 mitogen-activated protein kinase (MAPK) is involved in the
pathway through which Ca2+/CaMK mediates mitochondrial and GLUT4 biogenesis. p38
MAPK initiates GLUT4 and mitochondrial biogenesis through the activation of
transcription factors and transcriptional coactivators such as myocyte enhancer
factor 2 (MEF2) and peroxisome proliferator-activated receptor gamma coactivator
1 alpha (PGC-1 alpha). Subsequent increases in the content of these proteins
further enhance Ca2+-induced GLUT4 and mitochondrial biogenesis. Since decreases
in mitochondrial and GLUT4 contents are associated with skeletal muscle insulin
resistance, an understanding of the mechanisms by which these processes can be
normalized will aid in the prevention and treatment of type 2 diabetes.
PMID- 18059608
TI - Changes in interstitial K+ and pH during exercise: implications for blood flow
regulation.
AB - The analysis of blood samples has clearly demonstrated that exercise is
associated with the release of K+ and H+ from muscle. However, blood samples give
only incomplete information about the ion changes in the muscle interstitium.
Interstitial changes in ion composition may affect the transport properties of
the sarcolemmal membrane, may affect fibre excitability and induce fatigue, and
may affect sensory nerve endings. Therefore, to better understand muscle
function, it is important to quantify the exercise-induced interstitial ion
changes. Both interstitial K+ and H+ changes have been quantified with the
microdialysis technique. Interstitial K+ accumulation is dependent on the
intensity and duration of muscle activity and may reach 10 mmol/L during intense
exercise, and the concentration in T-tubules may be even higher. Thus,
interstitial K+ can reach a level that affects fibre excitability and the
development of fatigue. It has also been demonstrated with microdialysis that the
interstitial decrease in pH during muscle activity is larger than the reduction
in blood pH. Ion changes in the interstitium may affect blood flow directly or
indirectly. Infusion of K+ into the femoral artery in humans has demonstrated
that blood flow is affected by changes in K+ as low as 0.1 mmol/L. The
vasodilatory effect of K+ can be inhibited with simultaneous barium infusion,
indicating that inward rectifier potassium (Kir)channels are involved. Acidosis
has a direct effect on blood flow and an indirect effect, mediated by changes in
other vasoactive compounds.
PMID- 18059609
TI - Exercise and MEF2-HDAC interactions.
AB - Exercise increases the metabolic capacity of skeletal muscle, which improves
whole-body energy homeostasis and contributes to the positive health benefits of
exercise. This is, in part, mediated by increases in the expression of a number
of metabolic enzymes, regulated largely at the level of transcription. At a
molecular level, many of these genes are regulated by the class II histone
deacetylase (HDAC) family of transcriptional repressors, in particular HDAC5,
through their interaction with myocyte enhancer factor 2 transcription factors.
HDAC5 kinases, including 5'-AMP-activated protein kinase and protein kinase D,
appear to regulate skeletal muscle metabolic gene transcription by inactivating
HDAC5 and inducing HDAC5 nuclear export. These mechanisms appear to participate
in exercise-induced gene expression and could be important for skeletal muscle
adaptations to exercise.
PMID- 18059610
TI - Brain neurotransmitters in fatigue and overtraining.
AB - Since the publication of the serotonin hypothesis, numerous theories involving
the accumulation or depletion of different substances in the brain have been
proposed to explain central fatigue. Although the theoretical rationale for the
"serotonin-fatigue hypothesis" is clear, several seemingly well-conducted studies
have failed to support a significant role for 5-hydroxytryptamine in the
development of fatigue. As brain function appears to be dependent upon the
interaction of a number of systems, it is unlikely that a single neurotransmitter
system is responsible for central fatigue. Several other mechanisms are involved,
with evidence supporting a role for the brain catecholamines. Fatigue is
therefore probably an integrated phenomenon, with complex interaction among
central and peripheral factors. When prolonged and excessive training happens,
concurrent with other stressors and insufficient recovery, performance decrements
can result in chronic maladaptations that can lead to the overtraining syndrome
(OTS). The mechanism of the OTS could be difficult to examine in detail, perhaps
because the stress caused by excessive training load, in combination with other
stressors, might trigger different "defence mechanisms" such as the
immunological, neuroendocrine, and other physiological systems that all interact
and probably therefore cannot be pinpointed as the "sole" cause of the OTS. It
might be that, as in other syndromes, the psychoneuroimmunology (study of brain
behavior-immune interrelationships) might shed a light on the possible mechanisms
of the OTS, but until there is a definite diagnostic tool, it is of utmost
importance to standardize measures that are now thought to provide a good
inventory of the training status of the athlete. It is very important to
emphasize the need to distinguish the OTS from overreaching and other potential
causes of temporary underperformance such as anemia, acute infection, muscle
damage, and insufficient carbohydrate intake.
PMID- 18059611
TI - Protein-mediated fatty acid uptake: regulation by contraction, AMP-activated
protein kinase, and endocrine signals.
AB - Fatty acid transport into heart and skeletal muscle occurs largely through a
highly regulated protein-mediated mechanism involving a number of fatty acid
transporters. Chronically altered muscle activity (chronic muscle stimulation,
denervation) alters fatty acid transport by altering the expression of fatty acid
transporters and (or) their subcellular location. Chronic exposure to leptin
downregulates while insulin upregulates fatty acid transport by altering
concomitantly the expression of fatty acid transporters. Fatty acid transport can
also be regulated within minutes, by muscle contraction, AMP-activated protein
kinase activation, leptin, and insulin, through induction of the translocation of
fatty acid translocase (FAT)/CD36 from its intracellular depot to the plasma
membrane. In insulin-resistant muscle, a permanent relocation of FAT/CD36 to the
sarcolemma appears to account for the excess accretion of intracellular lipids
that interfere with insulin signaling. Recent work has also shown that FAT/ CD36,
but not plasma membrane associated fatty acid binding protein, is involved, along
with carnitine palmitoyltransferase, in regulating mitochondrial fatty acid
oxidation. Finally, studies in FAT/CD36 null mice indicate that this transporter
has a key role in regulating fatty acid metabolism in muscle.
PMID- 18059612
TI - Skeletal muscle adaptation to fatty acid depends on coordinated actions of the
PPARs and PGC1 alpha: implications for metabolic disease.
AB - Dyslipidemia and intramuscular accumulation of fatty acid metabolites are
increasingly recognized as core features of obesity and type 2 diabetes. Emerging
evidence suggests that normal physiological adaptations to a heavy lipid load
depend on the coordinated actions of broad transcriptional regulators such as the
peroxisome proliferator activated receptors (PPARs) and PPAR gamma coactivator 1
alpha (PGC1 alpha). The application of transcriptomics and targeted metabolic
profiling tools based on mass spectrometry has led to our finding that lipid
induced insulin resistance is a condition in which upregulation of PPAR-targeted
genes and high rates of beta-oxidation are not supported by a commensurate
upregulation of tricarboxylic acid (TCA) cycle activity. In contrast, exercise
training enhances mitochondrial performance, favoring tighter coupling between
beta-oxidation and the TCA cycle, and concomitantly restores insulin sensitivity
in animals fed a chronic high-fat diet. The exercise-activated transcriptional
coactivator, PGC1 alpha, plays a key role in coordinating metabolic flux through
these 2 intersecting metabolic pathways, and its suppression by overfeeding may
contribute to diet-induced mitochondrial dysfunction. Our emerging model predicts
that muscle insulin resistance arises from a mitochondrial disconnect between
beta-oxidation and TCA cycle activity. Understanding of this "disconnect" and its
molecular basis may lead to new therapeutic approaches to combatting metabolic
disease.
PMID- 18059614
TI - Cortisol and GH: odd and controversial ideas.
AB - Activation of the hypothalamo-pituitary-adrenal (HPA) axis and of the growth
hormone/insulin-like growth factor-1 (GH/IGF-1) axis represents a physiological
response to the energetic, metabolic, vascular, and sometimes neurophysiologic or
psychological needs of exercise. Long-lasting increased and (or) decreased
secretion of cortisol (the end-product of the HPA axis) or of GH is detrimental
to health. This suggests that the activity of these hormonal axes is finely tuned
toward homeostasia, tolerating limited prolonged homeostatic disruption. However,
the relationships between exercise training and cortisol and GH secretion are
full of odd and controversial ideas. In this review, the relationships between
HPA axis adaptation to exercise training or disadaptation with overtraining will
be discussed, with an emphasis on the limitation on the current measures used to
profile hormonal activity. Knowledge of these relationships between cortisol and
GH responses to exercise is an important tool to fight against doping with
glucocorticoids and GH, and their health-damaging consequences.
PMID- 18059613
TI - The energetic implications of uncoupling protein-3 in skeletal muscle.
AB - Despite almost a decade of research since the identification of uncoupling
protein-3 (UCP3), the molecular mechanisms and physiological functions of this
mitochondrial anion carrier protein are not well understood. Because of its
highly selective expression in skeletal muscle and the existence of mitochondrial
proton leak in this tissue, early reports proposed that UCP3 caused a basal
proton leak and increased thermogenesis. However, gene expression data and
results from knockout and overexpression studies indicated that UCP3 does not
cause basal proton leak or physiological thermogenesis. UCP3 expression is
associated with increases in circulating fatty acids and in fatty acid oxidation
(FAO) in muscle. Fatty acids are also well recognized as activators of the
prototypic UCP1 in brown adipose tissue. This has led to hypotheses implicating
UCP3 in mitochondrial fatty acid translocation. The corresponding hypothesized
physiological roles include facilitated FAO and protection from the lipotoxic
effects of fatty acids. Recent in vitro studies of physiological increases in
UCP3 in muscle cells demonstrate increased FAO, and decreased reactive oxygen
species (ROS) production. Detailed mechanistic studies indicate that ROS or lipid
by-products of ROS can activate a UCP3-mediated proton leak, which in turn acts
in a negative feedback loop to mitigate ROS production. Altogether, UCP3 appears
to play roles in muscle FAO and mitigated ROS production. Future studies will
need to elucidate the molecular mechanisms underlying increased FAO, as well as
the physiological relevance of ROS-activated proton leak.
PMID- 18059615
TI - Role of AMPK in skeletal muscle gene adaptation in relation to exercise.
AB - The 5'-AMP-activated protein kinase (AMPK) functions as an intracellular fuel
sensor that affects metabolism and gene expression. AMPK is activated in skeletal
muscle in response to exercise and is therefore believed to be an important
signalling molecule in regulating adaptation of skeletal muscle to exercise
training. This review first focuses on mechanisms regulating AMPK activity during
muscle contraction. We then discuss the role of AMPK in regulating expression of
genes encoding various enzymes in muscle in the basal state and in relation to
exercise training. Although decreased AMPK activity in muscle causes reduced
protein expression of mitochondrial enzymes in the basal state, AMPK does not
appear to be indispensable for exercise-training induced increase in
mitochondrial enzyme expression.
PMID- 18059616
TI - Non-obese patients with type 2 diabetes and prediabetic subjects: distinct
phenotypes requiring special diabetes treatment and (or) prevention?
AB - A major reason for the increased incidence of type 2 diabetes mellitus (T2DM)
across the world is the so-called obesity epidemic, which occurs both in
developed and developing countries. However, a large proportion of patients with
T2DM in European and, in particular, Asian countries are non-obese. The non-obese
T2DM phenotype is characterized by disproportionally reduced insulin secretion
and less insulin resistance, as compared with obese patients with T2DM.
Importantly, non-obese patients with T2DM have a similar increased risk of
cardiovascular disease as obese T2DM patients. The risk of T2DM in non-obese
patients is influenced by genetics as well as factors operating in utero
indicated by low birth weight. Furthermore, this phenotype is slightly more
prevalent among patients with latent autoimmune diabetes in adults, characterized
by positive anti-GAD antibodies. The recently identified TCF7L2 gene polymorphism
resulting in low insulin secretion influences the risk of T2DM in both obese and
non-obese subjects, but is relatively more prevalent among non-obese patients
with T2DM. Furthermore, the Pro12Ala polymorphism of the PPAR gamma gene
influencing insulin action increases the risk of T2DM in non-obese subjects.
Despite a "normal" body mass index, non-obese patients with T2DM are generally
characterized by a higher degree of both abdominal and total fat masses
(adiposity). Prevention of T2DM with lifestyle intervention is at least as
effective in non-obese as in obese prediabetic subjects, and recent data suggest
that metformin treatment targeting insulin resistance and non-glycemic
cardiovascular disease risk factors is as beneficial in non-obese as in obese
patients with T2DM. Nevertheless, non-obese patients with T2DM may progress to
insulin treatment more rapidly as compared with obese patients with T2DM.
PMID- 18059617
TI - Ca2+/calmodulin-based signalling in the regulation of the muscle fibre phenotype
and its therapeutic potential via modulation of utrophin A and myostatin
expression.
AB - Ca2+ signalling plays an important role in excitation-contraction coupling and
the resultant force output of skeletal muscle. It is also known to play a crucial
role in modulating both short- and long-term muscle cellular phenotypic
adaptations associated with these events. Ca2+ signalling via the Ca2+/calmodulin
(CaM)-dependent phosphatase calcineurin (CnA) and via Ca2+/CaM-dependent kinases,
such as CaMKI and CaMKII, is known to regulate hypertrophic growth in response to
overload, to direct slow versus fast fibre gene expression, and to contribute to
mitochondrial biogenesis. The CnA- and CaMK-dependent regulation of the
downstream transcription factors nuclear factor of activated T cells (NFAT) and
myocyte-specific enhancer factor 2 are known to activate muscle-specific genes
associated with a slower, more oxidative fibre phenotype. We have also recently
shown the expression of utrophin A, a cytoskeletal protein that accumulates at
the neuromuscular junction and plays a role in maturation of the postsynaptic
apparatus, to be regulated by CnA-NFAT and Ca2+/CaM signalling. This regulation
is fibre-type specific and potentiated by interactions with the transcriptional
regulators and coactivators GA binding protein (also known as nuclear respiratory
factor 2) and peroxisome proliferator-activated receptor-gamma coactivator 1
alpha. Another downstream target of CnA signalling may be myostatin, a
transforming growth factor-beta family member that is a negative regulator of
muscle growth. While the list of the downstream targets of CnA/NFAT- and Ca2+/CaM
dependent signalling is emerging, the precise interaction of these pathways with
the Ca2+-independent pathways p38 mitogen-activated protein kinase, extracellular
signal-regulated kinases 1 and 2, phosphoinositide-3 kinase, and protein kinase B
(Akt/PKB) must also be considered when deciphering fibre responses and plasticity
to altered contractile load.
PMID- 18059618
TI - Role of nuclear factor kappaB and mitogen-activated protein kinase signaling in
exercise-induced antioxidant enzyme adaptation.
AB - Activation of nuclear factor (NF) kappaB and mitogen-activated protein kinase
(MAPK) pathways in skeletal muscle has been shown to enhance the gene expression
of several enzymes that play an important role in maintaining oxidant-antioxidant
homeostasis, such as mitochondrial superoxide dismutase (MnSOD) and inducible
nitric oxide synthase (iNOS). While an acute bout of exercise activates NF kappaB
and MAPK signaling and upregulates MnSOD and iNOS, administration of chemical
agents that suppress reactive oxygen species (ROS) production can cause
attenuation of exercise-induced MnSOD and iNOS expression. Thus, ROS generation
during exercise may have duel effects: the infliction of oxidative stress and
damage, and the stimulation of adaptive responses favoring long-term protection.
This scenario explains why animals and humans involved in exercise training have
demonstrated increased resistance to oxidative damage under a wide range of
physiological and pathological stresses.
PMID- 18059619
TI - Effects of physical training on endothelial function and limb blood flow in type
2 diabetes.
AB - The term "endothelial dysfunction" refers to the inability or attenuated effect
of the endothelial cells in participating in the relaxation of the adjacent
smooth muscle, thus causing less vasodilation. Although endothelial dysfunction
is often seen in patients with type 2 diabetes, it does not necessarily follow
that insulin resistance and (or) hyperglycemia is causing the inability to
respond properly to vasodilatory stimuli. Rather, this could be related to the
impact of concomitant cardiovascular risk factors that are almost invariably
present in patients with type 2 diabetes. The impact of physical training - or
the opposite, inactivity - on endothelial function is not fully elucidated. Some
studies have shown positive effects of physical training, whereas others have
not. In general, physical training can improve endothelial function when this is
impaired. However, physical training does not seem to have any effect on
endothelial function when this is normal.
PMID- 18059620
TI - Effects of exercise on brain function: role of free radicals.
AB - Reactive oxygen species (ROS) are continuously generated during aerobic
metabolism. Certain levels of ROS, which could be dependent on the type of cell,
cell age, history of ROS exposure, etc., could facilitate specific cell
functions. Indeed, ROS stimulate a number of stress responses and activate gene
expression for a wide range of proteins. It is well known that increased levels
of ROS are involved in the aging process and the pathogenesis of a number of
neurodegenerative diseases. Because of the enhanced sensitivity of the central
nervous system to ROS, it is especially important to maintain the normal redox
state in different types of neuro cells. In the last decade it became clear that
regular exercise beneficially affects brain function as well, and can play an
important preventive and therapeutic role in stroke and in Alzheimer's and
Parkinson's diseases. The effects of exercise appear to be very complex and could
include neurogenesis via neurotrophic factors, increased capillarization,
decreased oxidative damage, and increased proteolytic degradation by proteasome
and neprilysin. Data from our and other laboratories indicate that exercise
induced modulation of ROS levels plays a role in the protein content and
expression of brain-derived neurotrophic factor, tyrosine recepetor kinase B, and
cAMP response element binding protein, resulting in better function and increased
neurogenesis. The enhanced activities of proteasome and neprilysin result in
decreased accumulation of carbonyls and amyloid beta-proteins, as well as
improved memory. It appears that exercise-induced modulation of the redox state
is an important means by which exercise benefits brain function, increases the
resistance against oxidative stress, and facilitates recovery from oxidative
stress.
PMID- 18059621
TI - Hormetic effects of regular exercise in aging: correlation with oxidative stress.
AB - To explore mechanisms of the beneficial consequences of regular exercise, we
studied the effects of regular swimming and treadmill exercise on oxidative
stress in the brain and liver of rats. Protein carbonyl was significantly reduced
and the activity of proteasome was upregulated in the brain extracts of young and
middle-aged animals after 9 weeks of swimming training. Furthermore, their
cognitive functions were significantly improved. In separate experiments, the
activation of transcription nuclear factor kappaB was attenuated in the liver of
old rats after 8 weeks of regular treadmill exercise and the DNA binding activity
of glucocorticoid receptor reduced with age was restored, suggesting that
inflammatory reactions are alleviated by the regimen. This was accompanied by
upregulation of the glutathione level and reduced reactive oxygen species
generation. Similar training reduced the 8-oxodeoxyguanosine content in the
nuclear and mitochondrial DNA of the liver of old rats. Thus, these findings,
together with reports of other investigators, suggest that moderate regular
exercise attenuates oxidative stress. The mild oxidative stress possibly elicited
by regular exercise appears to manifest a hormesis-like effect in nonmuscular
tissues, constituting beneficial mechanisms of exercise by adaptively
upregulating various antioxidant mechanisms, including antioxidative and repair
degradation enzymes for damaged molecules. Importantly, the adaptation induced by
regular exercise was effective even if initiated late in life.
PMID- 18059622
TI - Molecular mechanisms of life- and health-span extension: role of calorie
restriction and exercise intervention.
AB - The aging process results in a gradual and progressive structural deterioration
of biomolecular and cellular compartments and is associated with many
pathological conditions, including cardiovascular disease, stroke, Alzheimer's
disease, osteoporosis, sarcopenia, and liver dysfunction. Concomitantly, each of
these conditions is associated with progressive functional decline, loss of
independence, and ultimately disability. Because disabled individuals require
care in outpatient or home care settings, and in light of the social, emotional,
and fiscal burden associated with caring for an ever-increasing elderly
population, research in geriatric medicine has recently focused on the biological
mechanisms that are involved in the progression towards functional decline and
disability to better design treatment and intervention strategies. Although not
completely understood, the mechanisms underlying the aging process may partly
involve inflammatory processes, oxidative damage, mitochondrial dysfunction, and
apoptotic tissue degeneration. These hypotheses are based on epidemiological
evidence and data from animal models of aging, as well as interventional studies.
Findings from these studies have identified possible strategies to decrease the
incidence of age-related diseases and delay the aging process. For example,
lifelong exercise is known to extend mean life-span, whereas calorie restriction
(CR) increases both mean and maximum life-span in a variety of species. Optimal
application of these intervention strategies in the elderly may positively affect
health-related outcomes and possibly longevity. Therefore, the scope of this
article is to (i) provide an interpretation of various theories of aging from a
"health-span" perspective; (ii) describe interventional testing in animals (CR
and exercise); and (iii) provide a translational interpretation of these data.
PMID- 18059623
TI - Gene regulation by mechanotransduction in fibroblasts.
AB - Mechanical forces are important for connective tissue homeostasis. How do
fibroblasts sense mechanical stress and how do they translate this information
into an adaptive remodeling of the extracellular matrix (ECM)? Tenascin-C is
rapidly induced in vivo by loading muscles and in vitro by stretching
fibroblasts. Regulation of tenascin-C expression by mechanical signals occurs at
the transcriptional level. Integrin receptors physically link the ECM to the
cytoskeleton and act as force transducers: intracellular signals are triggered
when integrins engage with ECM, and later when forces are applied. We found that
cyclic strain does not induce tenascin-C messenger ribonucleic acid (mRNA) in
fibroblasts lacking the beta1-integrin chain. An important link in integrin
dependent mechanotransduction is the small guanosine 5'-triphosphatase. RhoA and
its target kinase, ROCK. In fibroblasts, cyclic strain activates RhoA and thereby
induces ROCK-dependent actin assembly. Interestingly, tenascin-C mRNA induction
by cyclic strain was suppressed by relaxing the cytoskeleton with a ROCK
inhibitor or by actin depolymerization. Conversely, chemical activators of RhoA
enhanced the effect of strain both on actin dynamics and on tenascin-C
expression. Thus, RhoA/ROCK-controlled actin dynamics are required for the
induction of specific ECM genes by mechanical stress. These findings have
implications for the understanding of regeneration and for tissue engineering.
PMID- 18059624
TI - Regulation of Na+-K+ homeostasis and excitability in contracting muscles:
implications for fatigue.
AB - The performance of skeletal muscles depends on their ability to initiate and
propagate action potentials along their outer membranes in response to motor
signals from the central nervous system. This excitability of muscle fibres is
related to the function of Na+ and K+ and Cl- channels and to steep chemical
gradients for the ions across the cell membranes, i.e., the sarcolemma and T
tubular membranes. At rest, the chemical gradients for Na+ and K+ are maintained
within close limits by the action of the Na+-K+ pump. During contractile
activity, however, the muscles lose K+, which causes an increase in the
concentration of K+ in the extracellular compartments of the body, the magnitude
of which depends on the intensity of the exercise and the size of the muscle
groups involved. Since the ensuing reduction in the chemical K+ gradient can have
adverse effects on muscle excitability, it has repeatedly been suggested that,
during intense exercise, the loss of K+ from muscle fibres can contribute to the
complex set of mechanisms that leads to the development of muscle fatigue. In
this review, aspects of the regulation of Na+-K+ homeostasis and excitability in
contracting muscles is discussed within this context, together with the
implications for the contractile function of skeletal muscles.
PMID- 18059626
TI - Mapping the epitopes of antibodies.
PMID- 18059627
TI - Cellulose-bound peptide arrays: preparation and applications.
PMID- 18059628
TI - The SIGEX scheme: high throughput screening of environmental metagenomes for the
isolation of novel catabolic genes.
PMID- 18059629
TI - Dynamic light scattering as a relative tool for assessing the molecular integrity
and stability of monoclonal antibodies.
PMID- 18059630
TI - Protein ligation: applications in NMR studies of proteins.
PMID- 18059631
TI - Mass spectrometry-based quantitative proteomics.
PMID- 18059632
TI - Expanding adeno-associated viral vector capacity: a tale of two vectors.
PMID- 18059633
TI - Modulation of immunoglobulin gene conversion in chicken DT40 by enhancing histone
acetylation, and its application to antibody engineering.
PMID- 18059634
TI - Using homologous recombination to manipulate the genome of human somatic cells.
PMID- 18059635
TI - Practical applications of bacterial functional genomics.
PMID- 18059636
TI - Engineering human embryonic stem cell differentiation.
PMID- 18059637
TI - Production of polyunsaturated fatty acids in transgenic plants.
PMID- 18059638
TI - Current cell-based approaches for the treatment of diabetes mellitus.
PMID- 18059640
TI - RNA as a versatile and powerful platform for engineering genetic regulatory
tools.
PMID- 18059641
TI - Advances in animal disease surveillance and biosecurity.
PMID- 18059639
TI - Genetic modification of human embryonic stem cells.
PMID- 18059642
TI - Advances in biosecurity to 2010 and beyond: towards integrated detection,
analysis and response to exotic pest invasions.
AB - In order to limit the number and impact of exotic pest invasions, leading-edge
technologies must be embraced and embedded within integrated national and
international biosecurity systems. Outlined here are recent advances in the
detection of exotic pests, and prospects for the early recognition of disease.
Applications of new tools are described, using our understanding of the genomes
of pathogens and vectors. In addition, the role of mathematical and simulation
models to aid both biosecurity planning, and decision making in the face of an
epidemic, are discussed, and recent attempts to unify epidemiology and
evolutionary dynamics are outlined. Given the importance of emerging diseases and
zoonoses, the need to align human and veterinary surveillance within fully
integrated systems is underlined.
PMID- 18059643
TI - Decision support systems for monitoring and maintaining health in food animal
populations.
AB - To mitigate the effects of risks to food safety and infectious disease outbreaks
in farmed animals, animal health authorities need to have systems in place to
identify and trace the source of identified problems in a timely manner. In the
event of emergencies, these systems will allow infected or contaminated premises
(and/or animals) to be identified and contained, and will allow the extent of
problems to be communicated to consumers and trading partners in a clear and
unambiguous manner. The key to achieving these goals is the presence of an
effective animal health decision support system that will provide the facilities
to record and store detailed information about cases and the population at risk,
allowing information to be reported back to decision makers when it is required.
Described here are the components of an animal health decision support system,
and the ways these components can be used to enhance food safety, responses to
infectious disease incursions, and animal health and productivity. Examples are
provided to illustrate the benefit these systems can return, using data derived
from countries that have such systems (or parts of systems) in place. Emphasis is
placed on the features that make particular system components effective, and
strategies to ensure that these are kept up to date.
PMID- 18059644
TI - Simulation modelling as a tool for evaluating surveillance programmes for
detection of the Asian honeybee mite ( Varroa destructor ) in the South Island of
New Zealand.
AB - AIM: To use a simulation model of the spread of the Asian honeybee mite (Varroa
destructor) amongst apiaries, to evaluate a series of detection surveillance
programmes for the South Island of New Zealand. METHODS: Five potential incursion
sites into the South Island were selected. A stochastic spatial simulation model,
Varroa_ sim, was adapted to simulate spread of the mite from these sites as a
series of silent-phase propagating epidemics. The study population comprised all
apiaries in the South Island registered in the Ministry of Agriculture and
Forestry's (MAF's) apiary database in 2003. Six different surveillance programmes
were simulated to try and detect the mite. Three of these were the actual multi
stage sampling plans conducted during the autumn (March-May) of 2001, 2002 and
2003, and the other three involved simple random sampling with sampling fractions
equivalent to the actual numbers of apiaries tested in each of those years. The
relative performances of the different surveillance plans were evaluated in terms
of their ability to detect the mite early before it had spread too far and whilst
there might still be a chance of eradication. RESULTS: There were 13,798
registered apiaries in the South Island with valid map coordinates in the apiary
database at the time of the study. The model generated 50 epidemics against which
the various surveillance programmes were evaluated. The actual surveillance
programmes conducted during the autumn of 2001 and 2002 generally performed
fairly well in detecting the mite. The programme conducted in autumn 2003
detected the mite reasonably well in high-risk areas, but was very poor in low
risk areas. The simple random sampling strategies performed surprisingly well,
and their relative rankings were proportional to the sampling fractions employed.
CONCLUSIONS: This study showed the value in using a spatial simulation model to
generate plausible silent-phase epidemics, against which detection surveillance
programmes could be evaluated, in ways that would otherwise not be possible.
PMID- 18059646
TI - Application of modelling to determine the absence of foot-and-mouth disease in
the face of a suspected incursion.
AB - AIM: To use disease modelling to inform a response team about the number of
animals per herd/flock to be examined, and the start date and duration of
clinical surveillance required to be confident that foot-and-mouth disease (FMD)
was not present on an island in New Zealand with a population of approximately
1,600 cattle, 10,000 sheep and a small number of pigs, goats and alpacas.
METHODS: Because the probability of detecting clinical disease in (the) primary
case(s) in larger herds and flocks was extremely low, deterministic and
stochastic mathematical SLIR (susceptible, latent, infectious, recovered) models
for the transmission of infection were constructed to estimate the date when
clinical lesions in herds and flocks would be detected with 95% confidence.
Surveillance targeted the first wave of infections following a suspect index
case. RESULTS: If 70 cattle in herds of about 400 cattle were examined it was
estimated it would take approximately 13 (90% stochastic range 9-19) days from
first exposure before it would be possible to achieve 95% confidence for
detecting clinical signs for a low-virulence virus, and 9 (7-14) days for a high
virulence virus. The duration of sufficiently accurate clinical detection was 17
(15-19) days and 13 (12-14) days for low- and high-virulence viruses,
respectively. A sample of 70 sheep from flocks of >1,000 would be required to
achieve clinical detection at about the same time but with a shorter period of
detection than for cattle. The duration of effective detection could be increased
by examining a larger sample in most sheep flocks, however the small size of many
cattle herds in the study population limited the confidence of detecting group
level disease in cattle, therefore necessitating repeated herd inspections. The
model suggested that group-level detection was not feasible if it was based on
elevated body temperature alone because of short durations of fever in infected
animals. CONCLUSION AND CLINICAL RELEVANCE: Simulation modelling is a useful and
powerful tool for informing ongoing surveillance activities in the face of an
exotic disease incursion. Results of modelling suggested to start clinical
inspection activities at 4 days and to continue regular inspection twice a week
for about 35 days after the date of first exposure, to satisfy the required 95%
confidence threshold of clinical detection of FMD in cattle herds and sheep
flocks.
PMID- 18059645
TI - A comparison of predictions made by three simulation models of foot-and-mouth
disease.
AB - AIMS: To describe results of a relative validation exercise using the three
simulation models of foot-and-mouth disease (FMD) in use by the quadrilateral
countries (QUADS; Australia, Canada, New Zealand, and United States of America;
USA). METHODS: A hypothetical population of farms was constructed and, following
the introduction of an FMD-like disease into a single farm, spread of disease was
simulated using each of the three FMD simulation models used by the QUADS
countries. A series of 11 scenarios was developed to systematically evaluate the
key processes of disease transmission and control used by each of the three
models. The predicted number of infected units and the size of predicted outbreak
areas for each scenario and each model were compared using the Kruskal-Wallis
test. Agreement among the three models in terms of geographical areas predicted
to become infected were quantified using Fleiss' Kappa statistic. RESULTS:
Although there were statistically significant differences in model outputs in
terms of the numbers of units predicted to become infected, the temporal onset of
infection throughout the simulation period, and the spatial distribution of
infected units, these differences were generally small and would have resulted in
the same (or similar) management decisions being adopted in each case.
CONCLUSIONS: Agreement among the three models in terms of the numbers of premises
predicted to become infected, the temporal onset of infection throughout the
simulation period, and the spatial distribution of infected premises provides
evidence that each of the model developers are consistent in their approach to
simulating the spread of disease throughout a population of susceptible
individuals. This consistency implies that the assumptions taken by each
development team are appropriate, which in turn serves to increase end-user
confidence in model predictions. CLINICAL RELEVANCE: Relative validation is one
of a number of steps that can be undertaken to increase end-user confidence in
predictions made by infectious disease models.
PMID- 18059647
TI - Severity and distribution of ventral thoracolumbar spondylosis and histological
assessment of associated intervertebral disc degeneration in cull rams.
AB - AIM: To conduct a pilot study investigating the incidence and pathology of
spondylosis in a sample of cull rams in New Zealand. METHODS: Vertebral columns
from 51 cull rams from different properties were assessed radiographically for
evidence of spondylosis. They were graded according to severity, using a four
point grading system. Samples of intervertebral discs representing different
grades of spondylosis were examined histopathologically. RESULTS: Forty (78%)
rams had spondylosis in at least one intervertebral space. Of these, 11 had
ankylosis involving one or more intervertebral spaces. The frequency of
spondylosis was greater in the thoracic than lumbar region (p<0.001), and was
most frequent between T10-T11. Histopathological examination of intervertebral
discs associated with all grades of spondylosis revealed degenerative changes in
the annulus fibrosis. CONCLUSIONS: Spondylosis appeared to be common in cull rams
and affected thoracic and lumbar vertebrae. It often involved ankylosis of
adjacent vertebrae, and was associated with degeneration of the intervertebral
disc. CLINICAL RELEVANCE: Spondylosis may reduce the serving ability of rams and
be an important underlying reason for culling. The pathogenesis of spondylosis is
unknown but may involve high mating frequencies during the breeding season.
PMID- 18059648
TI - A cross-sectional survey of Thoroughbred stud farm management in the North Island
of New Zealand.
AB - AIM: To obtain initial baseline data on the management of Thoroughbred stud farms
in the North Island of New Zealand. METHODS: Data on the management of
Thoroughbred stud farms were collected from a sample of 22 stud farms located in
the south Auckland/Waikato region (n=15) and lower North Island (n=7) of New
Zealand, using a face-to-face survey. The studmaster provided information on the
size, scope and management of the farms during the 2004/2005 breeding season.
Analysis was based on the location of the farm and size of the breeding operation
(number of resident mares). RESULTS: Effective farm size ranged from 20 to 526 ha
and averaged 167 (standard error (SE) 36) and 88 (SE 49) ha in the south
Auckland/Waikato and lower North Island areas, respectively. Some farms in the
Auckland/Waikato region stood shuttle stallions. The median number of stallions
per farm was three (range 0-9), and the median mare-to-stallion ratio was 43
(range 10-250). The farms had a mean of 50 (range 7-180) wet mares and 21 (range
0-100) dry mares. The number of mares per breeding stallion increased with
increasing size of breeding operation (p=0.04), being 28 (95% confidence interval
(CI) = 10-56) vs 40 (95% CI=16-74) vs 74 (95% CI=44-113) for moderate (or=200 mares in total) operations,
respectively. Seventy-one percent of farms aimed to breed dry mares early in the
breeding season, and used a combination of lights, hormone therapy, and rising
plane of nutrition to achieve this. Foaling took place in foaling paddocks
monitored using a night foaling attendant (17/22) or with foaling alarms (5/22).
At birth, 17/22 studmasters routinely administered antibiotics, 14/22
administered tetanus antitoxin, 9/22 administered an enema to foals, and 2/22 did
not routinely administer prophylactic treatments. Weaning occurred at 5 (range
3.7-7) months of age, and foals were confined to a box for 1-2 weeks on 16/22
farms. Weaned foals were drenched with anthelmintics every 7 (range 4-9) weeks,
and were fed 2.9 (range 1-6) kg of concentrate feed while at pasture until
intensive management associated with preparation of the horses for auction began
13 (range 6-20) weeks before the yearling sales. Eight farms weighed the
weanlings, at least monthly, to monitor growth. CONCLUSIONS AND CLINICAL
RELEVANCE: The management of Thoroughbred horses was relatively consistent
throughout the regions surveyed. Utilisation of breeding stallions tended to be
more efficient on the larger stud farms in the south Auckland/Waikato region.
Even though foals are grown at pasture they are often provided with large
quantities of concentrate feed.
PMID- 18059649
TI - Intra-uterine transmission of Mycobacterium avium subsp paratuberculosis in
subclinically affected red deer (Cervus elaphus).
AB - AIM: To determine the rate of transmission of Mycobacterium avium subsp
paratuberculosis (M. ptb) from hind to fetus in utero, and the risk of
transmission from dam to fawn via infected colostrum and milk in subclinically
affected red deer hinds. METHODS: Hinds were sourced from farms in Otago or
Southland and selected for the study if they were positive to the immunoglobulin
G1 (IgG1) modified enzyme-linked immunosorbent assay (ELISA) (Paralisa) and
exhibited no clinical signs of Johne's disease. The hinds (n=35) were sent to a
deer slaughter premises (DSP; n=31) or were killed on-farm (n=4). All post-mortem
samples were collected from the fetus first and then from the dam, taking care to
avoid cross contamination between samples. Fresh samples (n=185) were collected
for culture, and tissue samples (n=72) were collected from 24 hinds and their
fetuses for histopathological examination. RESULTS: A total of 24/35 hinds
selected were suitable for inclusion in the study. Eighteen of these pregnant
hinds were culture-positive for M. ptb, and 14 of these had culture-positive
fetuses, representing a transmission rate of 78% (95% confidence interval (CI)
=0.58-0.98) from dam to fetus. Of the 16 mammary glands sampled, 11 (69%) were
culture-positive for M. ptb while 12/15 (80%) mammary lymph nodes sampled were
also culture-positive. CONCLUSIONS: This study demonstrated a high rate of
transmission of M. ptb from dam to fetus in red deer, and a potential risk of
transmission to fawns suckling from mothers that are subclinically affected with
Johne's disease.
PMID- 18059650
TI - A practical approach to managing the risks of iodine deficiency in flocks using
thyroid - weight: birthweight ratios of lambs.
AB - AIM: To establish a protocol for determining the iodine status of a flock and
managing the risks of iodine deficiency, using thyroid-weight:birthweight ratios
of newborn lambs and serum iodine concentrations of ewes. METHODS: Data were
collected from iodine supplementation studies in Southland and Rangitikei, of
sheep fed exclusively pasture or pasture plus brassica during the latter half of
gestation (n=350, or 89 per treatment group). The ewes were supplemented pre
mating or at the time of pregnancy scanning with an injection of long-acting
iodised oil. Serum iodine concentrations were measured in ewes prepartum in
Rangitikei and postpartum at both locations. The thyroid-weight:birthweight
ratios (as g/ kg) in 229 newborn lambs were determined at post-mortem examination
and compared between iodine supplemented vs unsupplemented flocks using probit
analysis. Samples of pasture and kale were analysed monthly for determination of
iodine and selenium. RESULTS: Initial mean serum iodine concentration of all ewes
was 41 mug iodine/L. Supplementation increased serum iodine concentrations
regardless of forage fed, and concentrations remained high for between 127 and
206 days. The range of thyroid-weight:birthweight ratios in lambs from
supplemented ewes was 0.09-0.70 (mean 0.35, standard deviation (SD) 0.147) g/kg.
Among lambs from unsupplemented ewes, the range was much wider (0.21-8.5; mean
1.61, SD 1.95 g/kg). About half of those ratios were >0.8 g/kg and clearly
indicative of goitre, including 62% from the groups on brassica and 18% from the
Rangitikei group fed exclusively pasture. Probit calculations showed that a ratio
of 0.40 (95% confidence interval (CI)=0.29-0.47) g/kg predicted with 35%
probability, and a ratio of 0.80 (95% CI=0.70-0.99) g/kg predicted with 90%
probability that a lamb came from an unsupplemented flock. CONCLUSIONS: Compared
to iodine concentrations in forages, thyroid-weight:birthweight ratios more
accurately reflected the iodine status of the flock and could be used to identify
which flocks to supplement the following year. Serum iodine concentrations of
ewes measured before or after lambing did not reflect forage fed, but values near
40 mug/L were associated with goitrous lambs. CLINICAL RELEVANCE: Thyroid
weight:birthweight ratios >0.8 g/kg were indicative of iodine deficiency, and
ewes should be supplemented pre-mating or during pregnancy to prevent goitre the
following year. Ratios <0.4 g/kg rarely occurred among deficient flocks, so the
probability of benefit from supplementation was low. Intermediate ratios were
ambiguous, and the iodine status of the flock could not be determined from
biomarkers. In such cases individual-farm supplementation trials might be
required to detect and manage the risks of marginal deficiency.
PMID- 18059651
TI - Growth curves from birth to weaning for Thoroughbred foals raised on pasture.
AB - AIM: To mathematically describe the growth of a population of Thoroughbred foals
reared on pasture in New Zealand from birth to weaning. METHODS: Twice-monthly
liveweight data (including birthweight; BW) from 218 foals (98 colts and 120
fillies) born over a 6-year period, and reared on four different pasture types,
were available for analysis. Data truncated to the time of weaning (107-217 days
old) provided 3,200 data points. After testing for non-linearity of the
relationship of age and liveweight (LW), five non-linear regression equations
were tested for goodness of fit. RESULTS: Colts and fillies had similar BW,
weaning ages, weaning weights (WW) and average daily gain (ADG) between birth and
weaning (ADG b-w). Weaning age explained 48% (p<0.001) of the variation in WW and
11% (p<0.001) of the variation in ADGb-w. After correction for weaning age, BW
explained 16% (p<0.001) of the variation in WW and 6% (p<0.001) of the variation
in ADG b-w. The best fit of age and LW was the polynomial equations based on
Akaike's information criteria (AIC), residual variance (RV), residual standard
deviation (RSD), and coefficient of determination (R2). The most accurate,
biologically sound representation of growth was provided by Brody's type
equation: LW = [b0- (b0-BW) x e(-b1 x age)], and an equation including BW: LW =
[((b0 x BW x age) + BWb1)b2], that was developed in this study (b0 is an
intercept, b1 and b2 are regression coefficients, and e is the base of natural
logarithms). The advantage of the Brody's equation is that ADG at a given LW can
simply be calculated as: ADG (kg/day) = (b0 x b1)-b1 x LW. CONCLUSIONS AND
CLINICAL RELEVANCE: The similarity of the present data with growth data from the
Northern Hemisphere provides further recognition that foals reared on pasture can
grow as well as foals reared in grain-based systems in the Northern Hemisphere.
BW provides a logical set point for the explanation of growth of the foal up to
weaning, rather than the use of polynomial equations, which do not fully describe
the biology of growth, even though they provide an accurate mathematical
description.
PMID- 18059652
TI - Analysis of the risk of introduction and spread of porcine reproductive and
respiratory syndrome virus through importation of raw pigmeat into New Zealand.
AB - AIMS: To determine the frequency with which porcine reproductive and respiratory
syndrome (PRRS) virus (PRRSv) would become established in a non-commercial pig
herd in New Zealand due to illegal feeding of uncooked food waste containing
virus-contaminated pigmeat. To determine the likelihood of a single incursion
resulting in a multi-farm outbreak of the disease, and describe the spatio
temporal characteristics of such an outbreak. METHODS: A Monte Carlo simulation
model was constructed to determine the expected annual frequency of PRRSv
infection being initiated in a non-commercial pig herd as a result of inadvertent
feeding of pigmeat imported from countries endemically infected with the disease.
Once the likelihood of PRRSv becoming established in a single pig herd was
determined, stochastic spatially explicit infectious disease modelling software
was utilised to model the temporal and spatial characteristics of the resulting
epidemic. RESULTS: Assuming the proportion of imported pigmeat remained at
current levels, consumption patterns of pigmeat in households in New Zealand
remained steady, and limited compliance with recently reintroduced regulations to
prevent feeding of uncooked food waste, at least 4.3 pig herds per year were
predicted to become infected with PRRSv. Simulation modelling of PRRSv epidemics
related to initial infection of a non-commercial farm produced an estimate that
36% of these incursions would spread from the initial herd, and that these
outbreaks would involve 93 herds on average in the first year. By increasing the
estimated persistence of PRRSv infection in small herds, an average of 205 herds
became infected in the first year. CONCLUSIONS: Given a mean of 4.3 infected
premises per year and a 36% probability of infection spreading beyond the initial
infected herd, there was a 95% likelihood of a multi-farm PRRS outbreak occurring
within 3 years. CLINICAL RELEVANCE: Introduction of PRRSv through importation of
virus-contaminated pigmeat presents a high risk for establishment of the disease
in the pig industry in New Zealand.
PMID- 18059653
TI - A survey of feeding , management and faecal pH of Thoroughbred racehorses in the
North Island of New Zealand.
AB - AIM: To identify feeding and management variables associated with variation in
faecal pH within a population of intensively managed Thoroughbred racehorses in
New Zealand. METHODS: A cross-sectional survey was conducted of 16 racehorse
trainers in the North Island of New Zealand. Interviews were conducted at the
trainers' stables to obtain information on feeding and management of horses, and
faecal samples were collected and faecal pH measured. RESULTS: Ninety-seven
percent of the horses surveyed were confined in an area or=12
h/day. Trainer's age, number of years they had trained horses, age and gender of
horses, weeks in race training, racing class, frequency of feeding, bedding type,
and exercise workload had no effect on mean faecal pH. Acidic faecal pH (pH
12
horses. Acidic faecal pH was associated with trainers who offered 4 kg of grain
as the only form of concentrate fed, or offered 12 horses. Irrespective of management system, it
appears important to provide at least 2.25 kg of hay/day ad libitum, to buffer
hindgut acidosis associated with diets high in soluble carbohydrate.
PMID- 18059654
TI - Effect of the ratio of teaser rams used prior to breeding on the reproductive
performance of ewe hoggets.
AB - AIM: To determine the effects of the ratio of vasectomised (teaser) rams to ewe
hoggets used prior to breeding on the breeding performance and pregnancy rate of
ewe hoggets. METHODS: Romney-composite ewe hoggets (n=1,180), 7-8 months of age,
were randomly assigned to one of five treatment groups (Day 1) and either exposed
to harnessed teaser rams at a teaser-ram:ewe-hogget ratio of 1:32 (n=193
hoggets), 1:66 (n=199), 1:98 (n=197), or 1:197 (n=395), for 17 days prior to the
breeding period, or not exposed to teasers (unteased; n=196). Harnessed entire
rams were then introduced for the duration of two oestrous cycles (34 days).
Using crayon marks, ewe hoggets were identified as having been mated during the
first 17 days only, during the second 17 days only, during both 17-day periods,
or not mated. Hoggets were weighed on Days 1 and 18. All ewe hoggets were scanned
for pregnancy using ultrasound, 57 days after the end of the breeding period.
RESULTS: Hogget groups did not differ in liveweight at Day 1, but at Day 18
hoggets exposed to teasers at a ratio of 1:66 were lighter, and those exposed to
teasers at a ratio of 1:32 were heavier than all other groups by up 3.0 kg
(p<0.05). Unteased ewe hoggets were less likely to be pregnant to the first 17
days of breeding compared with all teased groups, by at least 16.4% (p<0.05).
Over the entire 34-day breeding period, however, only those teased at a ratio of
1:32 were more likely to be pregnant, by 11.9%, than unteased hoggets (p<0.05).
Within the teased hogget groups, there were no differences between groups for
either the percentage mated in the first 17 days of breeding only, or those
pregnant to either the first 17 days of breeding only or the entire breeding
period. CONCLUSIONS: The results of this study indicate that teaser-ram:ewe
hogget ratios as high as 1:197 can increase the percentage of ewe hoggets bred in
the first 17 days of mating by at least 16.4%. Across the range of ratios used
there was little difference in breeding pattern or pregnancy rate, although
results might suggest ratios 1:98.
PMID- 18059655
TI - Descriptive summary of an outbreak of porcine post-weaning multisystemic wasting
syndrome (PMWS ) in New Zealand.
AB - CASE HISTORY: Investigations were conducted to determine the cause of an acute,
multi-farm outbreak of porcine respiratory disease that included diarrhoea and
subsequent loss of body condition in affected pigs. A definition for post-weaning
multisystemic wasting syndrome (PMWS) including both clinical and pathological
features, previously developed for the pig industry in New Zealand, was applied
to the current outbreak. In addition to self-reporting by owners of affected
farms, local veterinarians, disease and epidemiology consultants, and animal
health officials from the Ministry of Agriculture and Forestry (MAF) were
involved in conducting farm visits and submission of diagnostic specimens.
CLINICAL FINDINGS AND DIAGNOSIS: Pathogens known to be endemic in the pig
industry in New Zealand as well as likely exotic diseases were excluded as
causative agents of the outbreak. Clinical signs including dyspnoea, diarrhoea,
and rapid loss of body condition were consistent with the New Zealand case
definition for PMWS. Interstitial pneumonia, pulmonary oedema, generalised lymph
node enlargement, and presence of porcine circovirus type 2 (PCV2) inclusion
bodies were consistently identified in affected pigs. Classical swine fever virus
(CSFv), Porcine reproductive and respiratory syndrome virus (PRRSv), and
Influenza virus were ruled out, using molecular and traditional virological
techniques. Spread of the disease between farms was hypothesised to be
facilitated by locally migrating flocks of black-backed seagulls. The original
source of the disease incursion was not identified. DIAGNOSIS: Based on the
consistent presence of circovirus-associated lesions in lymphoid tissues in
combination with generalised enlargement of lymph nodes, histiocytic interstitial
pneumonia, clinical wasting, and poor response to antibiotic therapy, a diagnosis
of PMWS was made. CLINICAL RELEVANCE: PMWS should be considered in the
differential diagnoses of sudden onset of respiratory dyspnoea, diarrhoea, and
rapid loss of body condition in young pigs in New Zealand pig herds.
PMID- 18059656
TI - Failure of zinc supplementation to prevent severe facial eczema in cattle fed
excess copper.
AB - CASE HISTORY: A 400-cow dairy unit in the Waikato suffered a severe outbreak of
facial eczema (FE) despite consistent zinc supplementation and significantly
elevated serum zinc concentrations. CLINICAL FINDINGS: FE prevention had begun in
mid-January 2006, via zinc sulphate supplementation in the water.
Photosensitisation was reported on 06 April, contemporaneous with a marked
increase in the number of Pithomyces chartarum spores in pasture samples. Within
10 days of this first clinical case, 100 affected cows had been dried off, eight
of which subsequently died or were culled. Blood samples were collected from ten
affected cows; all had serum zinc concentrations >17 micromol/L, and eight had
gamma-glutamyl transferase (GGT) activities >200 U/ml, indicating that the
photosensitisation was secondary to liver damage, i.e. probably FE. Further
investigation identified that this herd had been receiving excess copper
supplementation; 4/6 culled cows had liver copper concentrations within or above
the marginal range for copper toxicity. DIAGNOSIS: Severe FE despite zinc
supplementation that increased serum zinc concentrations above recommended
levels. CLINICAL RELEVANCE: As zinc supplementation significantly reduces
apparent copper status, copper supplementation is often used to counteract this.
Previous excess copper intake may reduce the efficacy of zinc in preventing FE,
thus copper intake should be assessed prior to the start of zinc supplementation.
PMID- 18059657
TI - Abortions in sheep caused by Salmonella Brandenburg: pathological findings.
PMID- 18059658
TI - Feline immunodeficiency virus subtypes in domestic cats in New Zealand.
PMID- 18059660
TI - Wavelength-division-multiplexing optical packet switch with heterogeneous
wavelength conversion capabilities.
AB - Among the wavelength-division-multiplexing (WDM) optical packet switches (OPSs)
using wavelength converters (WCs), a shared-per-node switch architecture has been
considered as a way to utilize WCs efficiently. We propose a new switch control
algorithm for the architecture. The proposed algorithm, different from previous
algorithms, focuses on using the heterogeneous WC blocks (HeWCBs), where a HeWCB
consists of WCs with different wavelength conversion degrees (WCDs). The results
show that the WDM OPS architecture using HeWCBs reduces the number of WCs with a
higher WCD, while minimizing the packet loss from wavelength contention at
outbound links.
PMID- 18059662
TI - Rapid characterization of the ultraviolet induced fiber Bragg grating complex
coupling coefficient as a function of irradiance and exposure time.
AB - We report the application of optical frequency domain reflectometry and a
discrete-layer-peeling inverse scattering algorithm to the spatial
characterization of the UV induced complex coupling coefficient during fiber
Bragg grating growth. The fiber grating is rapidly characterized using this
technique to give irradiance dependent growth as a function of exposure time,
thereby providing the complete characterization of the coupling coefficient in
the form of a "growth surface," which is related to the fiber's photosensitivity.
We compare measurements of fiber Bragg grating growth in SMF-28 when exposed to
continuous wave 244 nm irradiation from 0 to 90 W cm(-2) for exposure times up to
3230 s with a selection of other fibers including high germanium concentration
fiber and erbium doped fiber.
PMID- 18059661
TI - Multispectral diffuse optical tomography with absorption and scattering spectral
constraints.
AB - We present a new method to simultaneously reconstruct the images of
oxyhemoglobin, deoxyhemoglobin, and water concentrations, as well as the volume
fraction images of the scattering particles using continuous wave multispectral
diffuse optical tomography with the absorption and scattering spectral prior
constraints. In this method, the nonlinear relationship between the reduced
scattering coefficient and the volume fraction and the size of the particles is
linearized, allowing direct reconstruction of the volume fraction of scattering
particles in tissues. The method is validated by a series of numerical
simulations, phantom experiments, and in vivo clinical experiments. The initial
clinical results indicate that the volume fraction of scattering particles in a
malignant tumor is higher than that in a benign tumor.
PMID- 18059663
TI - Spectrally variable two-beam coupling nonlinear deconvolution.
AB - In previous work, we introduced a dynamic range compression-based technique for
image correction using nonlinear deconvolution; the impulse response of the
distortion function and the distorted image are jointly transformed to pump a
clean reference beam in a photorefractive two-beam coupling arrangement. The
Fourier transform of the pumped reference beam contains the deconvolved image and
its conjugate. Here we extend our work to spectrally variable dynamic range
compression. This approach allows the retrieval of distorted signals embedded in
a very high noise environment and does not require one to work with a very high
beam ratio as in our previous work. Resolution recovery of blurred noisy images
is demonstrated for several different types of image blur.
PMID- 18059664
TI - Resonant optical transmission from a one-dimensional relief metalized
subwavelength grating.
AB - We have studied one-dimensional (1D) relief metalized subwavelength gratings,
which support resonant optical transmission. We have used pregrooved DVD
stampers, metalized with a thin Al layer. The sensitivity of resonant
transmission of the gratings to the cladding environment was investigated by the
help of matching fluids. We have shown that the shift of the spectral position of
the resonance peak can be used for sensor applications, e.g., for determination
of very low concentrations of nanosized dielectric particles in distilled water.
PMID- 18059665
TI - Multipass pumped Nd-based thin-disk lasers: continuous-wave laser operation at
1.06 and 0.9 microm with intracavity frequency doubling.
AB - The laser performances of the 1.06 microm (4)F(3/2) --> (4)I(11/2) four-level
transition and of the 0.9 microm (4)F(3/2) --> I(9/2)4 quasi-three-level
transition were investigated using multipass pumped Nd-based media in thin-disk
geometry. When pumping at 0.81 microm into the (4)F(5/2) level, continuous-wave
laser operation was obtained with powers in excess of 10 W at 1.06 microm, in the
multiwatt region at 0.91 microm in Nd:YVO(4) and Nd:GdVO(4), and at 0.95 microm
in Nd:YAG. Intracavity frequency-doubled Nd:YVO(4) thin-disk lasers with output
powers of 6.4 W at 532 nm and of 1.6 W at 457 nm were realized at this pumping
wavelength. The pumping at 0.88 microm, which is directed into the (4)F(3/2)
emitting level, was also employed, and Nd:YVO(4) and Nd:GdVO(4) thin-disk lasers
with ~9 W output power at 1.06 microm and visible laser radiation at 0.53 microm
with output power in excess of 4 W were realized. Frequency-doubled Nd:vanadate
thin-disk lasers with deep blue emission at 0.46 microm were obtained under
pumping directly into the (4)F(3/2) emitting level.
PMID- 18059666
TI - Applications of a microlens array and a photomask to the laser microfabrication
of a periodic photopolymer rod array.
AB - We report the simultaneous multipoint fabrication of polymer rods by the
femtosecond laser processing of a negative photoresist using a microlens array
(MLA). The rods were periodically arranged in the form of an array corresponding
to the MLA and free-standing on a glass substrate. The use of a photomask enabled
us to define the contour of the rod array. Furthermore, sample translation
techniques were demonstrated for the effective fabrication of large-area
structures.
PMID- 18059667
TI - Foam-based optical absorber for high-power laser radiometry.
AB - We report damage threshold measurements of novel absorbers comprised of either
liquid-cooled silicon carbide or vitreous carbon foams. The measurements
demonstrate damage thresholds up to 1.6x10(4) W/cm(2) at an incident circular
spot size of 2 mm with an absorbance of 96% at 1.064 microm. We present a summary
of the damage threshold as a function of the water flow velocity and the
absorbance measurements. We also present a qualitative description of a damage
mechanism based on a two-phase heat transfer between the foam and the flowing
water.
PMID- 18059668
TI - Three-dimensional photon migration through voidlike regions and channels.
AB - Steady-state form of the Boltzmann transport equation is solved for the
investigation of photon propagation through three-dimensional regions and
channels that contain a photon absorption and scattering-free fluid. Transport
and diffusion solutions are obtained by a finite-element-spherical harmonics
radiation transport method. Results calculated with two theories are presented in
order to show the influence of voidlike regions and channels on the transport of
photons.
PMID- 18059669
TI - Detection performance of wavelet-based joint transform correlation.
AB - The detection performance of a wavelet-based joint transform correlator (JTC) is
studied by use of two types of images with different spatial-frequency contents
and contrast. The simulation results show that, in comparison with an amplitude
modulated JTC, the performance for intraclass pattern recognition can be
optimized by using a single wavelet filter.
PMID- 18059670
TI - Self-healing property of a caustic optical beam.
AB - It is well known that Bessel beams and the other families of propagation
invariant optical fields have the property of self-healing when obstructed by an
opaque object. Here it is shown that there exists another kind of field
distribution that can have an analog property. In particular, we demonstrate that
a class of caustic wave fields, whose transverse intensity patterns change on
propagation, when perturbed by an opaque object can reappear at a further plane
as if they had not been obstructed. The physics of the phenomenon is fully
explained and shown to be related to that of self-healing propagation invariant
optical fields.
PMID- 18059671
TI - Parallel optical coherence tomography system.
AB - We present the design and procedures for implementing a parallel optical
coherence tomography (POCT) imaging system that can be adapted to an endoscopic
format. The POCT system consists of a single mode fiber (SMF) array with multiple
reduced diameter (15 microm) SMFs in the sample arm with 15 microm center spacing
between fibers. The size of the array determines the size of the transverse
imaging field. Electronic scanning eliminates the need for mechanically scanning
in the lateral direction. Experimental image data obtained with this system show
the capability for parallel axial scan acquisition with lateral resolution
comparable to mechanically scanned optical coherence tomography systems.
PMID- 18059672
TI - Quantitative hydrogen analysis of zircaloy-4 in laser-induced breakdown
spectroscopy with ambient helium gas.
AB - This experiment was carried out to address the need for overcoming the
difficulties encountered in hydrogen analysis by means of plasma emission
spectroscopy in atmospheric ambient gas. The result of this study on zircaloy-4
samples from a nuclear power plant demonstrates the possibility of attaining a
very sharp emission line from impure hydrogen with a very low background and
practical elimination of spectral contamination of hydrogen emission arising from
surface water and water vapor in atmospheric ambient gas. This was achieved by
employing ultrapure ambient helium gas as well as the proper defocusing of the
laser irradiation and a large number of repeated precleaning laser shots at the
same spot of the sample surface. Further adjustment of the gating time has led to
significant reduction of spectral width and improvement of detection sensitivity
to ~50 ppm. Finally, a linear calibration curve was also obtained for the
zircaloy-4 samples with zero intercept. These results demonstrate the feasibility
of this technique for practical in situ and quantitative analysis of hydrogen
impurity in zircaloy-4 tubes used in a light water nuclear power plant.
PMID- 18059673
TI - Real time diagnosis of transient pulse laser with high repetition by radial
shearing interferometer.
AB - Transient, high repetition pulse laser can be applied to test numerous physical
parameters, where in situ, real time measurement and isolation of vibration is
highly demanded. Because of its short half-width, high power, high repetition,
and even large distortion, the laser presents unique challenges to conventional
diagnosing methods. A system based on a novel cyclic radial shearing
interferometer is proposed to diagnose the transient, high repetition pulse laser
with common path, no reference plane, and high precision. With the spatial
carrier methods, the system needs only one interferogram to reconstruct amplitude
and wavefront of the laser. The theories of amplitude and wavefront
reconstruction have been validated by computer simulation, and errors less than
1/1000lambda are obtained for both. Comparing with the results of the ZYGO
interferometer, an error less than 1/20lambda for both peak-valley and root-mean
square values is gained with good repeatability for the wavefront. The
calibration process and real time diagnosis of a high repetition pulse laser are
presented then. Finally, the error consideration and system optimization are
discussed in detail.
PMID- 18059674
TI - Noninvasive monitoring of estrogen effects against ischemic stroke in rats by
near-infrared spectroscopy.
AB - The aim of this study was to assess hemodynamic changes by near-infrared
spectroscopy (NIRS) during acute focal cerebral ischemia and reperfusion. The
study also has evaluated the therapeutic effects of estrogen against vascular
dysfunction. Focal cerebral ischemia was induced in nine bilaterally
ovariectomized rats, using an endovascular occlusion technique of the middle
cerebral artery (MCA). Four out of nine rats had estrogen pretreatment before MCA
occlusion (MCAO). The other five rats had MCAO with no pretreatment. The
occlusion time was 60 min, followed by 40-60 min of reperfusion. Real-time
monitoring of changes in hemoglobin concentrations was performed by a steady
state, two-channel, NIRS system through the period of occlusion and reperfusion.
Both changes in total and oxygenated hemoglobin concentrations (D[HbT] and
D[HbO(2)]) display apparent periodic fluctuations during occlusion for the rats
without estrogen pretreatment, while no rhythmic fluctuation was observed in the
rats with the pretreatment. This rhythmic fluctuation is a microvascular
dysfunction. Fourier power spectral analysis was performed on the D[HbO(2)]
profiles in both rat groups. The results show that the cumulative frequency power
of D[HbO(2)] in the range of 0.0025-0.01 Hz for the rats without pretreatment is
significantly higher than that with pretreatment. The study implies that the
dysfunctional fluctuations disappear in the rats with estrogen pretreatment,
demonstrating a new application of NIRS, i.e., to detect focal cerebral ischemia
and to monitor cerebral responses to therapy against vascular dysfunction in
animal models.
PMID- 18059675
TI - Quantification of the evaporative cooling in an ethanol spray created by a
gasoline direct-injection system measured by multiline NO-LIF gas-temperature
imaging.
AB - Two-dimensional gas-phase temperature fields were quantitatively measured in an
evaporating ethanol spray with multiline excitation thermometry based on laser
induced fluorescence of nitric oxide (NO-LIF). This technique yields absolute
temperature fields without calibration and simultaneously detects the spray
position. The accuracy of the presented temperature measurements is +/-1 K.
Systematic errors of the scanned multiline thermometry approach due to time
averaging in turbulent systems were investigated and found to be negligible. The
pulsed spray was generated by a gasoline direct-injection nozzle with swirl
injecting ethanol into air in a flow cell at room temperature and atmospheric
pressure. The gas temperature inside the spray cloud was found to decrease by 10
K at approximately 5-10 ms after injection. Different injection pressures
influence the evaporation behavior.
PMID- 18059676
TI - Automated tongue segmentation in hyperspectral images for medicine.
AB - Automatic tongue area segmentation is crucial for computer aided tongue
diagnosis, but traditional intensity-based segmentation methods that make use of
monochromatic images cannot provide accurate and robust results. We propose a
novel tongue segmentation method that uses hyperspectral images and the support
vector machine. This method combines spatial and spectral information to analyze
the medical tongue image and can provide much better tongue segmentation results.
The promising experimental results and quantitative evaluations demonstrate that
our method can provide much better performance than the traditional method.
PMID- 18059677
TI - Binary phase-only filtering for turbulence compensation in fiber-coupled free
space laser communication systems.
AB - Binary wavefront control in the focal plane (i.e., binary phase-only filtering)
for partial compensation of atmospheric turbulence in fiber-coupled free-space
laser communication systems is investigated. Numerical results from wave-optics
simulations show that in an air-to-air scenario, the combination of binary phase
only filtering and centroid tracking provides mean fiber coupling efficiency
close to that resulting from ideal least-squares adaptive optics, but without the
requirement for direct wavefront sensing. This result suggests a simpler and less
computationally demanding turbulence mitigation system that is more readily
applied to tactical applications.
PMID- 18059678
TI - Effective formation method for an aspherical microlens array based on an
aperiodic moving mask during exposure.
AB - An aperiodic mask design method for fabricating a microlens array with an
aspherical profile is proposed. The nonlinear relationship between exposure doses
and lens profile is considered, and the select criteria of quantization interval
and fabrication range of the method are given. The mask function of a quadrangle
microlens array with a hyperboloid profile used in the infrared was constructed
by using this method. The microlens array can be effectively fabricated during a
one time exposure process using the mask. Reactive ion etching was carried out to
transfer the structure into the substrate of germanium. The measurement results
indicate that the roughness is less than 10 nm (pv), and the profile error is
less than 40 nm (rms).
PMID- 18059679
TI - Optical anisotropy of the human cornea determined with a polarizing microscope.
AB - We have investigated the optical anisotropy of the human cornea using a
polarizing microscope normally used for optical mineralogy studies. The central
part of the cornea was removed from 14 eyes (seven donors). With the sample
placed on the microscope stage, we consistently observed hyperbolic isogyres
characteristic of a negative biaxial material. The angle between the optic axes,
generally similar in both eyes, ranged from 12 degrees to 40 degrees (mean+/
SD=31 degrees +/-8 degrees ). The optic axial plane always inclined downward in
the nasal direction at 1 degrees -45 degrees below the horizontal (mean+/-SD=22+/
13 degrees ). The retardance produced by the corneas was estimated to be less
than 200 nm. In conclusion, the human cornea possesses the anisotropy of a
negative biaxial material. Both the angle between the optic axes and the
retardance were fairly constant among the majority of samples, suggestive of
uniformity in corneal structure.
PMID- 18059680
TI - Injection seeded frequency stabilized Nd:YAG ring oscillator following a Pound
Drever-Hall scheme.
AB - An injection seeded Nd:YAG laser oscillator has been set up and frequency
stabilized following an rf-sideband scheme. This dual rod oscillator emits pulses
with 23 ns duration and 20 mJ energy. The beam quality is almost diffraction
limited (M(2)=1.2). The frequency stability was characterized with a heterodyne
method to 1.0 MHz root mean square (rms). This oscillator will serve as the front
end for a series of lidar devices for spectrally sensitive measurements.
PMID- 18059681
TI - Polarization components analysis for invariant discrimination.
AB - Linear and nonlinear components analysis of data from a monostatic laser
polarimeter is developed and applied to the task of remote, nonimaging
discrimination among different textures on paint and polymer coupons independent
of their spatial orientations. Both principal-components analysis and nonlinear
components analysis are applied to multidimensional laser data in measured
Mueller matrices, with discrimination via cluster segmentation in derived linear
and nonlinear constant channels. Textures on the discriminated coupons are
generated by heating and illustrated in optical micrographs.
PMID- 18059682
TI - Temperature distribution in dental tissue after interaction with femtosecond
laser pulses.
AB - Algebraic and numerical solutions are presented of the temperature rise in dental
tissue due to interaction with ultrashort optical radiation. Results of the
studies with femtosecond laser pulses show agreement between theory and
experiment. A temperature rise of typically 5 K is found for a 40 millisecond
train of 7 nJ, 70 fs laser pulses at a repetition rate of 80 MHz. The peak
irradiance in our experimental studies was limited to 3x10(6) W/cm(2).
Applications include photoacoustic imaging and tomography of dental tissue.
PMID- 18059683
TI - Optical system for tablet variety discrimination using visible/near-infrared
spectroscopy.
AB - An optical system based on visible/near-infrared spectroscopy (Vis/NIRS) for
variety discrimination of ginkgo (Ginkgo biloba L.) tablets was developed. This
system consisted of a light source, beam splitter system, sample chamber, optical
detector (diffuse reflection detector), and data collection. The tablet varieties
used in the research include Da na kang, Xin bang, Tian bao ning, Yi kang, Hua na
xing, Dou le, Lv yuan, Hai wang, and Ji yao. All samples (n=270) were scanned in
the Vis/NIR region between 325 and 1075 nm using a spectrograph. The chemometrics
method of principal component artificial neural network (PC-ANN) was used to
establish discrimination models of them. In PC-ANN models, the scores of the
principal components were chosen as the input nodes for the input layer of ANN,
and the best discrimination rate of 91.1% was reached. Principal component
analysis was also executed to select several optimal wavelengths based on loading
values. Wavelengths at 481, 458, 466, 570, 1000, 662, and 400 nm were then used
as the input data of stepwise multiple linear regression, the regression equation
of ginkgo tablets was obtained, and the discrimination rate was researched 84.4%.
The results indicated that this optical system could be applied to discriminating
ginkgo (Ginkgo biloba L.) tablets, and it supplied a new method for fast ginkgo
tablet variety discrimination.
PMID- 18059685
TI - Beam analysis by fractional Fourier transform.
AB - A method of spatial modal decomposition for optical beams by fractional Fourier
transform, and its practical implementation with reduced complexity by use of
modal interleavers, are discussed.
PMID- 18059684
TI - Sequence of phase correction in multiconjugate adaptive optics.
AB - The way in which the sequence of phase correction impinges on performance in
multiconjugate adaptive optics systems is described. When multiple phase
modulators with different conjugate ranges are used, the conjugate images of the
phase modulators in the atmosphere must be reimaged in the reverse order post
focus, and adaptive phase correction applied in this sequence for perfect
amplitude and phase cancellation. Performing the correction without relay optics
results in residual amplitude and phase aberrations. It is shown, by Monte Carlo
simulations of Fresnel propagation, that the effects of wave optical propagation
become nonnegligible at visible wavelengths and for large air masses.
PMID- 18059686
TI - Interference approach applied to dual-grating dielectric resonant grating
reflection filters.
AB - The resonant mechanisms associated with dual-grating dielectric resonant grating
reflection filters are described by use of an interference approach. These
structures consist of two modulated regions of equal period separated by a higher
index film region. We show that the spectral linewidth is dependent on the
separation between the modulated regions and can range from theoretically zero to
approximately four times what would be obtained by use of a single-grating
geometry.
PMID- 18059687
TI - Transfer of continuous-relief diffractive structures into diamond by use of
inductively coupled plasma dry etching.
AB - The transfer of continuous-relief diffractive structures from resist into diamond
by use of direct-write electron-beam lithography followed by dry etching in an
inductively coupled plasma is demonstrated. The gases used for the diamond
etching are O(2) and Ar. The chemical-vapor-deposited diamond substrate is of
optical quality. Our results show that the transfer process generates fairly
smooth etched structures. Blazed gratings with periods of 45mum and Fresnel
lenses have been manufactured. The blazed gratings have been optically evaluated
with a femtosecond laser operating at 400 nm. The diffraction efficiency was 68%
in the first order, with a theoretical value of 100%. We intend to investigate
the transfer process further and then to fabricate diffractive and refractive
elements for use with Nd:YAG high-power lasers.
PMID- 18059688
TI - Sensitivity characteristics near the dispersion turning points of long-period
fiber gratings in B/Ge codoped fiber.
AB - We report a theoretical and experimental investigation of the dispersion property
of long-period fiber gratings in B/Ge codoped fiber. A novel concept of a mode
ultrasensitive zone defined by a region in which the phase-matching conditions
are close to the dispersion turning points is proposed. The transition from a
dual-resonance state to a dispersion turning point is revealed for a set of
ultrasensitive-zone gratings during the UV-writing process. We explore the
sensitivity characteristics near the dispersion turning points, with the aim of
implementing two types of temperature sensor with sensitivity 2 orders of
magnitude higher than that of the conventional long-period gratings.
PMID- 18059689
TI - Ultrashort-pulse investigation of the propagation properties of the LP(11) mode
in 1.55-mum communication fibers.
AB - The coupling of an ultrashort laser pulse into a single-mode optical
communication fiber gives rise to two propagating pulses as a result of the
excitation of two guided modes, the fundamental, LP(01) , and the leaky, LP(11) .
Such a phenomenon provides a new approach to the study of the propagation
properties of the LP(11) mode. An experiment with tunable 110-fs pulses at a
wavelength near 1550 nm is described. Information about the group velocity, the
polarization-rotation length, the attenuation coefficient, and the cutoff
wavelength of the LP(11) mode is obtained in a simple and direct way for various
fibers.
PMID- 18059690
TI - Nonlinear chirp of dispersion-managed return-to-zero pulses.
AB - Applying variational methods, we derive a reduced system of equations from the
nonlocal equation that governs the average dynamics in dispersion-managed
systems. These equations, which apply for any type of return-to-zero pulse,
describe the stroboscopic evolution of the pulse parameters and bypass the fast
variations inside each dispersion map. In the limit of large map strength we
integrate the equations to obtain explicitly formulas for the parameters of a
chirped return-to-zero pulse as well as the amount of post-transmission
compensation needed to restore the initial pulse width.
PMID- 18059691
TI - Multiple beam interference in a quadrupolar glass fiber.
AB - Motivated by the recent observation of periodic filter characteristics of an oval
shaped microcavity, we study the possible interference of multiple beams in the
far field of a laser-illuminated quadrupolar glass fiber. From numerical ray
tracing simulations we obtain the interference-relevant length-difference
spectrum and compare it with data extracted from the experimental filter results.
Our analysis reveals that different polygonal cavity modes that are refractively
output coupled in the high-curvature region of the fiber contribute to the
observed far-field interference.
PMID- 18059692
TI - Dynamic studies of holographic gratings in dye-doped liquid-crystal films.
AB - The dynamic behavior of a holographic grating induced in a homeotropically
aligned dye-doped liquid-crystal film is investigated. In the presence of an
applied dc voltage, photoexcited azo dyes induce a photorefractive grating and
then diffuse and are adsorbed onto cell substrates. The reorientation of liquid
crystals as a result of adsorbed dyes leads to a phase grating that is phase
shifted 90 degrees from the photorefractive grating. Competition of these two
gratings induces two-beam coupling of the writing beams, initially transferring
energy from beam 1 to beam 2 and then, after a pause, from beam 2 to beam 1.
PMID- 18059693
TI - Power-excitation diffraction tomography with partially coherent light.
AB - Some consequences of using partially coherent fields in the recently proposed
method of power-extinction diffraction tomography are analyzed. It is found that
the method is very tolerant of short spectral coherence lengths. The spectral
coherence length of the field is shown to set the scale of a low-pass filter that
acts on the subject. The implications of these results for implementation of the
method are discussed.
PMID- 18059694
TI - Arrays of silicon microdischarge devices with multicomponent dielectrics.
AB - Arrays as large as 15x15 of microdischarge devices having inverted pyramidal
silicon cathodes (50mumx50mum) and SiO(2)- Si(3)N(4)- polymer composite
dielectrics have been fabricated and characterized with Ne gas. The lifetimes,
reliability, and ignition characteristics of the arrays are superior to those of
earlier designs having a single polymer dielectric. Operating voltages as low as
150 V for a 10x10 pixel array and 1000 Torr of Ne have been measured. Single
(50mumx50mum) pyramidal cathode devices operate at voltages as low as 113 V when
the Ne pressure is 900 Torr.
PMID- 18059695
TI - Simple ABCD matrix treatment for transversely varying saturable gain.
AB - We have developed an ABCD matrix that, for the first time to our knowledge,
accurately describes the transformation of a Gaussian beam by a medium with
transversely varying saturable gain. In contrast with the conventional ABCD
matrix, the newly developed matrix is shown to be in excellent agreement with a
full beam propagation code over a wide parameter range. Accurate treatment of
transversely varying saturable gain in laser resonators is important for the
optimization of end-pumped lasers, particularly for efficient diode-pumped solid
state and Kerr-lens mode-locked systems.
PMID- 18059696
TI - Measurement of pulse width and amplitude jitter noises of gigahertz optical pulse
trains by time-domain demodulation.
AB - We propose a technique for measuring both pulse width and amplitude jitter noises
of high-repetition-rate optical pulse trains and the cross correlation between
these noises as well. The technique is based on time-domain amplitude
demodulation of three harmonic components of the detected pulse train. We applied
this technique to characterize noises of a gigahertz optical pulse train
generated by an actively mode-locked Er-doped fiber laser. Correlation between
pulse width jitter and pulse amplitude jitter was observed at low frequencies in
this laser. Unlike relaxation oscillation noise, low-frequency noise is free from
pulse energy jitter. Owing to its ability to measure pulse width jitter in
addition to amplitude and phase jitters, this technique is of great interest for
characterizing noises of a wide variety of optical pulse train sources.
PMID- 18059697
TI - Rapid near-infrared Raman spectroscopy system for real-time in vivo skin
measurements.
AB - A rapid dispersive-type near-infrared (NIR) Raman spectroscopy system and a Raman
probe were developed to facilitate real-time, noninvasive, in vivo human skin
measurements. Spectrograph image aberration was corrected by a parabolic-line
fiber array, permitting complete CCD vertical binning, thereby yielding a 3.3-16
fold improvement in signal-to-noise ratio. Good quality in vivo cutaneous NIR
Raman spectra free of interference from fiber fluorescence and silica Raman
scattering can be acquired in less than 1 s, which greatly facilitates practical
noninvasive tissue characterization and clinical diagnosis.
PMID- 18059698
TI - Efficient second-harmonic generation in birefringently phase-matched
GaAs/Al(2)O(3) waveguides.
AB - We report efficient second-harmonic generation of femtosecond pulses in
birefringently phase-matched GaAs/Al(2)O(3) waveguides pumped at 2.01mum. By use
of pump pulses of ~200-fs duration and type I interaction, practical second
harmonic average powers of up to ~650muW were obtained, with an average input
power of ~50muW. Waveguides of four different widths and two different lengths
were investigated, and a normalized conversion efficiency of greater than 1000%W(
1)cm(-2) was obtained for a 1-mm waveguide. Measurements of pump and second
harmonic spectra provided clear evidence of phase matching and depletion of the
pump spectrum. The measured bandwidth of the second harmonic was ~1.3nm. From the
measurements of transmitted pump power at the phase-matching wavelength, pump
depletions of more than 80% were recorded.
PMID- 18059699
TI - Nonlinear response and optical limiting in SrBi(2)Ta(2)O(9) thin films.
AB - SrBi(2)Ta(2)O(9) (SBT) thin films on quartz substrates were prepared by use of
the pulsed-laser deposition technique. The nonlinear refractive indices, n(2) ,
of the SBT films were measured by use of z-scan techniques with picosecond
pulses. Large negative nonlinear refractive indices of 3.84 and 3.58cm(2)/GW were
obtained for the wavelengths 532 nm and 1.064mum , respectively. The two-photon
absorption coefficient was determined to be 7.3 cm/GW for 532 nm. The limiting
behavior of SBT thin film on a quartz substrate was investigated in an f/5
defocusing geometry by use of 38-ps-duration, 532-nm, 1.064mum laser excitation.
PMID- 18059700
TI - Incoherent spatial solitary waves in nematic liquid crystals.
AB - (2+1) -dimensional spatial solitary waves are generated by launching of milliwatt
power linearly polarized light beams in voltage-biased planar cells with undoped
nematic liquid crystals, regardless of the degree of spatial coherence of the
input. Coherent and incoherent self-trapping, as well as guidance of a weaker
copolarized signal, is demonstrated.
PMID- 18059701
TI - Single-frequency mid-infrared optical parametric oscillator source for coherent
laser radar.
AB - We report on the design and characterization of a highly coherent mid-IR source
at 3.57mum based on a single-frequency optical parametric oscillator. Detailed
frequency and amplitude noise spectra have been measured. The rms intensity noise
from 1.2 to 1000 Hz was 0.03%, and a rms frequency drift of 8 kHz in 1 ms was
observed. We have also demonstrated the utility of this source for coherent laser
radar applications by measuring micro-Doppler spectra from vibrating targets.
PMID- 18059702
TI - Optical representation of binary data based on both intensity and phase
modulation with a twisted-nematic liquid-crystal display for holographic digital
data storage.
AB - We propose a method of representing binary data by modulating both the intensity
and the phase of beams with a twisted-nematic liquid-crystal display. With our
method it is possible to reduce the dc component of the data image and thus
improve the beam-intensity uniformity at the holographic recording plane when one
is recording Fourier-plane holograms to obtain maximal areal storage density in
disk-shaped storage media. The feasibility of our method is demonstrated
experimentally.
PMID- 18059703
TI - Recording of optical near fields in remote locations by near-field holography.
AB - We propose a method of near-field recording in a space that is quite apart from
the original source (generator) of optical near fields. The method is based on
the recently developed technique of near-field holography. Experiments based on
our method have shown that near fields that originate from sub-diffraction-limit
sized objects can be stored in a photorefractive crystal 2 mm apart from the
crystal surface, resulting in the retrieval of sub-diffraction-limit-sized spots.
This means that our scheme can provide a method for multilayer (stackwise) near
field storage and, thus, contribute to a significant enhancement of the storage
capacity of near-field optical memory.
PMID- 18059704
TI - Elliptic vortices of electromagnetic wave fields.
AB - We demonstrate the existence of elliptic vortices of electromagnetic scalar wave
fields. The corresponding intensity profiles are formed by propagation-invariant
confocal elliptic rings. We have found that copropagation of this kind of vortex
occurs without interaction. The results presented here also apply for physical
systems described by the (2+1) -dimensional Schrodinger equation.
PMID- 18059705
TI - Generation of two-color femtosecond pulses by self-synchronizing Ti:sapphire and
Cr:forsterite lasers.
AB - We report a novel technique for the synchronization of two different femtosecond
solid-state lasers by crossing of both laser pulses in a Kerr medium. Stable dual
wavelength femtosecond pulses at central wavelengths of 820 and 1250 nm have been
obtained. The tolerance of cavity-length mismatch is ~0.6mum , where the pulse
widths of the Ti:sapphire and the Cr:forsterite lasers are 18 and 40 fs,
respectively, at average powers of 600 and 110 mW. The typical timing jitter
derived from the cross correlation is less than 3 fs.
PMID- 18059706
TI - Self-starting stretched-pulse fiber laser mode locked and stabilized with slow
and fast semiconductor saturable absorbers.
AB - A self-starting stretched-pulse mode-locked erbium-doped fiber laser that uses
fast and slow semiconductor saturable absorbers is described. By using two
absorbers, we obtained reliable operation at a fundamental repetition rate with
250 pJ of pulse energy without multiple-pulse breakup. External chirp
compensation was used to compress the highly chirped pulses to durations of 135
fs.
PMID- 18059707
TI - Generation and amplification of ultrashort shaped pulses in the visible by a two
stage noncollinear optical parametric process.
AB - We report the generation and amplification of ultrashort shaped pulses in the
visible by a two-stage noncollinear optical parametric amplification process.
Phase and amplitude profiles of the shaped pulses are conserved in our
amplification scheme. The energy losses normally associated with the production
of complex shaped pulses are eliminated.
PMID- 18059708
TI - Effective noise in thresholded intensity distribution: influence on centroid
statistics.
AB - It is usual to preprocess data before reduction, but it is not so common to study
how this operation affects the final results. Determination of the centroid is a
relevant task for many optical measurement devices, and the centroid is very
often calculated over thresholded data. The influence of preprocessing
thresholding algorithms on the statistical properties of intensity data affected
by additive Gaussian noise is described as a different effective additive signal
perturbation. Theoretical, simulated, and experimental analyses of the model of
the effective noise were performed, and good agreement among the analyses was
obtained. Direct extension of the analyses from the influence of preprocessing to
centroid determination is also presented.
PMID- 18059709
TI - Harnessing caustics for wave-front sensing.
AB - Scintillation in measured wave fronts adds spurious dislocations and deformations
to their reconstruction. The source of the problem is caustics formed by
aberrations in intermediate planes. I propose to use intentional caustics to
measure wave fronts under severe conditions such as low light level, fast scale
variations, large aberrations, and discontinuities in the wave front. A simple
realization is based on the Hartmann-Shack sensor, which samples the wave front
with a lenslet array. Movement of the lenslets' foci is linear with slope
changes. Here the lenslets are effectively formed in an acousto-optic device: Two
standing waves are launched perpendicularly to the light beam and to each other.
At some distance down the beam, each wave creates a comb of caustics, and the two
orthogonal combs add up to an array of caustic spots. The spatial frequency of
the array is linear with the temporal frequency of the standing sound waves. A
simple Fourier demodulation scheme supplies the two wave-front gradients.
PMID- 18059710
TI - Resonator-enhanced optical dipole trap for fermionic lithium atoms.
AB - We demonstrate a novel optical dipole trap that is based on enhancement of the
optical power density of a Nd:YAG laser beam in a resonator. The trap is
particularly suited for experiments with ultracold gases, as it combines a
potential depth of the order of 1 mK with storage times of several tens of
seconds. We study the interactions in a gas of fermionic lithium atoms in our
trap and observe the influence of spin-changing collisions and off-resonant
photon scattering. A key element in reaching long storage times is the use of an
ultralow-noise laser. The dependence of storage time on laser noise is
investigated.
PMID- 18059711
TI - Submicrometer fiber-optic Fabry-Perot interferometer formed by use of the
Langmuir-Blodgett technique.
AB - The fabrication of an optical cavity at the distal end of an optical fiber has
been achieved by Langmuir-Blodgett (LB) deposition of tricosanoic acid. This
technique allows nanometer-scale control over the cavity length to a total
thickness of ~0.5 microm . The cavity has been shown to act interferometrically
and, thus, has potential sensing applications.
PMID- 18059712
TI - Message encoding-decoding at 2.5 Gbits/s through synchronization of chaotic
pulsing semiconductor lasers.
AB - Chaotic optical communication with fast chaotic pulsing semiconductor lasers is
experimentally demonstrated. Both a pulse stream at a 500-MHz repetition rate and
a pseudorandom bit sequence at a 2.5-Gbit/s bit rate are successfully
transmitted. The quality of synchronization in a chaos-modulation scheme is
examined. The quality of message recovery is correlated to the quality of chaos
synchronization.
PMID- 18059713
TI - Intrachannel pulse interactions in dispersion-managed transmission systems:
timing shifts.
AB - Nonlinear intrachannel interactions responsible for timing shifts in a
transmission system with strong periodic dispersion management are investigated.
Formulas describing the evolution of the timing shift are obtained for general
bit patterns. When average dispersion is negligible, the timing shift is shown to
grow linearly in distance in a system with loss and lumped amplification, whereas
in a lossless system the timing shift is sufficiently small at every chirp-free
point. The analysis agrees with direct numerical simulations.
PMID- 18059714
TI - High-average-power picosecond Yb-doped fiber amplifier.
AB - We report on a cladding-pumped, ytterbium-doped large-core-area fiber amplifier
that is capable of generating 51.2 W of average power at a 1064-nm center
wavelength, an 80-MHz repetition rate, and a 10-ps pulse duration. In an
ytterbium-doped large-mode-area fiber these pulses could be amplified up to 43.2
W with diffraction-limited beam quality (M(2)~1.3) . Power scaling limitations
that arise from nonlinear distortions such as self-phase modulation and
stimulated Raman scattering are discussed.
PMID- 18059715
TI - Optical snake-based segmentation processor with a shadow-casting incoherent
correlator.
AB - What is believed to be the first incoherent snake-based optoelectronic processor
that is able to segment an object in a real image is described. The process,
based on active contours (snakes), consists of correlating adaptive binary
references with the scene image. The proposed optical implementation of
algorithms that are already operational numerically opens attractive
possibilities for faster processing. Furthermore, this experiment has yielded a
new, versatile application for optical processors.
PMID- 18059716
TI - Hypersensitization of rare-earth-doped waveguides for distributed-feedback
amplifier and laser applications.
AB - Deterioration of the gain coefficient as a result of UV processing of hydrogen
loaded Er(3+) - and Er(3+)/Yb (3+) -doped silicate optical waveguides can be
reduced by initial hypersensitization of the waveguide prior to bulk irradiation.
This allows improved performance in active-grating devices such as distributed
feedback fiber amplifiers and lasers.
PMID- 18059717
TI - Formation of a microfiber bundle by interference of three noncoplanar beams.
AB - A systematic analysis of interference of three noncoplanar plane waves with
identical frequency is provided. This analysis shows that a fiber bundle with
spacing of the order of the wavelength, which one may conveniently control by
changing the recording geometry, can be formed by this means. The relation
between the incident light-wave vectors and the resultant pattern is analyzed.
The concept of uniform contrast for an interference pattern is introduced, and
the polarization optimization approach for each beam that ensures maximum uniform
contrast for each beam is also given.
PMID- 18059718
TI - One-dimensional iterative algorithm for three-dimensional point-spread function
engineering.
AB - We present a new method with which to binarize pupil filters designed to control
the three-dimensional irradiance distribution in the focal volume of an optical
system. The method is based on a one-dimensional iterative algorithm, which
results in efficient use of computation time and in simple, easy to fabricate
binary filters. An acceptable degree of resemblance between the point-spread
function of the annular binary filter and that of its gray-tone counterpart is
obtained.
PMID- 18059719
TI - Differential phase measurements in low-coherence interferometry without 2pi
ambiguity.
AB - Quantitative phase measurements by low-coherence interferometry and optical
coherence tomography are restricted by the well-known 2pi ambiguity to path
length differences smaller than lambda/2 . We present a method that overcomes
this ambiguity. Introducing a slight dispersion imbalance between reference and
sample arms of the interferometer causes the short and long wavelengths of the
source spectrum to separate within the interferometric signal. This causes the
phase slope to vary within the signal. The phase-difference function between two
adjacent sample beam components is calculated by subtraction of their phase
functions obtained from phase-sensitive interferometric signal recording. Because
of the dispersive effect, the phase difference varies across the interferometric
signal. The slope of that phase difference is proportional to the optical path
difference, without 2pi ambiguity.
PMID- 18059720
TI - Measurement of fiber-cladding diameter uniformity by use of whispering-gallery
modes: nanometer resolution in diameter variations along millimeter to centimeter
lengths.
AB - Variations in fiber-cladding diameter on a nanometer scale were measured along
millimeter to centimeter lengths by use of whispering-gallery modes (WGMs) in the
elastic scattering of the fiber. The fiber was side coupled with a wavelength
tunable Gaussian beam. The scattered light was imaged approximately 1:1 onto a
multichannel photodiode array detector. Based on the WGM wavelength shifts along
the fiber, the taper of the fiber cladding's diameter was measured on a
nanometer/millimeter scale. The fiber's surface roughness amplitude (in
nanometers) and granular size ( approximately 100 microm) along centimeter-length
fibers could also be revealed by use of higher- Q resonances.
PMID- 18059721
TI - OH sensor based on ultraviolet, continuous-wave absorption spectroscopy utilizing
a frequency-quadrupled, fiber-amplified external-cavity diode laser.
AB - The development of an all-solid-state cw laser system for optical absorption
measurements of the OH radical in the UV spectral range is described. The tunable
output of a 1064-nm external-cavity diode laser is amplified by use of a
Nd:doped, double-clad fiber amplifier. The amplified near-IR radiation is
frequency doubled by a periodically poled lithium niobate crystal and then
quadrupled in a beta-barium borate crystal. The design and operation of the
system and measurements of OH absorption in the (2, 0) band of the A(2)?(+)-
X(2)? electronic transition are discussed.
PMID- 18059722
TI - Sinusoidally phase-modulated interference microscope for high-speed high
resolution topographic imagery.
AB - We describe an interference microscope that produces topographic images with a
minimum acquisition time of 20 ms. The system is based on phase-shifting
interferometry with sinusoidal phase modulation induced by the oscillation of an
interferometric objective (Michelson or Mirau). A CCD camera captures four images
per oscillation period to produce a phase map in real time. The system is
installed on a commercial microscope.
PMID- 18059723
TI - Minimizing bending losses in two-dimensional discrete soliton networks.
AB - We show that reflection losses suffered by discrete solitons along sharp bends in
two-dimensional waveguide-array networks can be almost eliminated. Analysis
indicates that this can be accomplished by appropriately engineering the corner
site of the bend. Our analytical results are verified by numerical simulations.
PMID- 18059724
TI - Spatial modulation instability in a Kerr slab waveguide.
AB - We report the observation of noise-initiated modulational instability in AlGaAs
slab waveguides at 1.55 microm . Experiments were performed with the local,
ultrafast Kerr nonlinearity at half the bandgap. The agreement between experiment
and theory for the periodicity versus intensity was good.
PMID- 18059725
TI - Widely and continuously tunable optical parametric oscillator based on a
cylindrical periodically poled KTiOPO(4) crystal.
AB - We report on what is to our knowledge the first realization of a quasi-phase
matched optical parametric oscillator (OPO) based on a crystal with a cylindrical
shape. The main reason for interest in this device is its broad, continuous
tuning. In experiments with a 1064-nm pump, the signal tuning range was equal to
525 nm (1515-2040 nm), and the corresponding idler was continuously tuned over
1340 nm (2220-3560 nm). The angular tuning was 26 degrees , with only a minor
variation of the OPO threshold over the entire tuning range.
PMID- 18059726
TI - High-average-power (15-W) 255-nm source based on second-harmonic generation of a
copper laser master oscillator power amplifier system in cesium lithium borate.
AB - We have generated 15 W of UV (255-nm) radiation with an optical conversion
efficiency of 28% by frequency doubling the 510.6-nm output of a high-beam
quality, high-power copper laser system in cesium borate lithium (CLBO). We found
that the superior performance of CLBO relative to beta-barium borate is
attributable largely to the small UV absorption and wide temperature acceptance
bandwidth of CLBO, which reduces thermal dephasing during high-power UV
generation.
PMID- 18059727
TI - Fabrication of ultralow-loss Si/SiO(2) waveguides by roughness reduction.
AB - We demonstrate 0.8-dB/cm transmission loss for a single-mode, strip Si/SiO(2)
waveguide with submicrometer cross-sectional dimensions. We compare the
conventional waveguide-fabrication method with two smoothing technologies that we
have developed, oxidation smoothing and anisotropic etching. We observe
significant reduction of sidewall roughness with our smoothing technologies,
which directly results in reduced scattering losses. The rapid increase in the
scattering losses as the waveguide dimension is miniaturized, as seen in
conventionally fabricated waveguides, is effectively suppressed in the waveguides
made with our smoothing technologies. In the oxidation smoothing case, the loss
is reduced from 32 dB/cm for the conventional fabrication method to 0.8 dB/cm for
the single-mode waveguide width of 0.5 microm . This is to our knowledge the
smallest reported loss for a high-index-difference system such as a Si/SiO(2)
strip waveguide.
PMID- 18059728
TI - Bunching and antibunching in the fluorescence of semiconductor nanocrystals.
AB - The fluorescence of single-colloidal CdSe quantum dots is investigated at room
temperature by means of the autocorrelation function over a time scale of almost
12 orders of magnitude. Over a short time scale, the autocorrelation function
shows complete antibunching, indicating single-photon emission and atomiclike
behavior. Over longer time scales (up to tens of seconds), we measure a bunching
effect that is due to fluorescence intermittency and that cannot be described by
fluctuations between two states with constant rates. The autocorrelation function
also exhibits nonstationary behavior related to power-law distributions of On and
Off times.
PMID- 18059729
TI - High-spectral-resolution stimulated Rayleigh-Brillouin scattering at 1 microm.
AB - We have demonstrated stimulated Rayleigh-Brillouin scattering at a wavelength of
1.064 microm , using an injection-seeded Nd:YAG laser as a pump laser and a
tunable diode laser as a probe laser. Spectra with a good signal-to-noise ratio
are obtained despite the low probe-beam power and small gain coefficient in the
infrared. Stimulated Rayleigh scattering is readily observable in organic and
many other liquids because of absorption by the OH and CH overtone or combination
bands. The absorption also causes an asymmetry in the stimulated Brillouin peak.
A Rayleigh linewidth of 8 MHz is measured with this approach.
PMID- 18059730
TI - Laser cooling of calcium ions by use of ultraviolet laser diodes: significant
induction of electron-shelving transitions.
AB - Calcium ions are laser cooled in a Paul trap by use of a grating-stabilized UV
laser diode. Unusual quantum jumps or fluctuations in the fluorescence signal are
observed without application of any shelving lasers. It has become clear that
such phenomena can be ascribed to shelving transitions induced by background 393
nm spontaneous photons emitted from the laser medium. Shelving transitions are
suppressed by use of an interference filter, and the ions are successfully cooled
to crystallization temperature with sufficient reproducibility.
PMID- 18059731
TI - Incidence-angle selection and spatial reshaping of terahertz pulses in optical
tunneling.
AB - We present spatially resolved measurements of the electric field of terahertz
pulses undergoing optical tunneling that show strong pulse reshaping in both time
and space. This reshaping is shown to be a result of frequency and incidence
angle filtering of the complex amplitude of the plane-wave basis set that makes
up the pulse. This filtering leads to spreading of the pulse in the time and
space dimensions, as expected from linear dispersion theory. Measurement of the
pulse shape after transmission through an optical tunneling barrier permits
direct determination of the complex system transfer function in two dimensions.
The transfer function, measured over both thin and thick barrier limits, contains
a complete description of the tunneling barrier system from which the phase and
loss times can be directly determined.
PMID- 18059732
TI - Direct measurement of the quality factor in a two-dimensional photonic-crystal
microcavity.
AB - A new microcavity design is proposed and structures are realized with a two
dimensional photonic-crystal slab. The cavity consists of seven defect holes that
encompass a hexagon and is designed to reduce vertical light leakage. From a
direct transmission measurement, a Q value of 816+/-30 is achieved at lambda
=1.55 mum . This high- Q cavity will permit the realistic realization of
spontaneous-emission modification and on-off optical switches.
PMID- 18059733
TI - Intraluminal fiber-optic Doppler imaging catheter for structural and functional
optical coherence tomography.
AB - We describe a miniature fiber-optic Doppler imaging catheter for integrated
functional and structural optical coherence tomography (OCT) imaging. The Doppler
catheter can map blood flow within a vessel as well as image vessel wall
structures. A prototype Doppler catheter has been developed and demonstrated for
measuring the intraluminal velocity profile in a vessel phantom (conduit). A
simple mathematical model is demonstrated to estimate the total flow rate. This
estimation technique also enables the spatial range of flow measurements to be
extended by approximately two times the normal OCT image-penetration depth. The
Doppler OCT catheter could be a powerful device for cardiovascular imaging.
PMID- 18059734
TI - Multimodal nonlinear spectral microscopy based on a femtosecond Cr:forsterite
laser.
AB - We demonstrate a novel multimodal nonlinear spectral microscopy based on a
femtosecond Cr:forsterite laser at 1230 nm. By acquiring the whole nonlinear
spectrum in the visible and near-NIR region, this novel technique allows a
combination of different imaging modalities, including second-harmonic
generation, third-harmonic generation, and multiple-photon fluorescence. Combined
with the selected excitation wavelength, which is located in the IR transparency
window, this microscopic technique can provide high penetration depth with
reduced damage and is ideal for studying living cells.
PMID- 18059735
TI - Three-dimensional hole drilling of silica glass from the rear surface with
femtosecond laser pulses.
AB - By moving silica glass in a preprogrammed structure, we directly produced three
dimensional holes with femtosecond laser pulses in single step. When distilled
water was introduced into a hole drilled from the rear surface of the glass, the
effects of blocking and redeposition of ablated material were greatly reduced and
the aspect ratio of the depth of the hole was increased. Straight holes of 4-mu;m
diameter were more than 200 microm deep. Three-dimensional channels can be
micromachined inside transparent materials by use of this method, as we have
demonstrated by drilling a square-wave-shaped hole inside silica glass.
PMID- 18059736
TI - Spectral phase and amplitude interferometry for direct electric-field
reconstruction.
AB - An extension of the well-known technique for spectral phase interferometry for
direct electric-field reconstruction (SPIDER) has been developed that permits the
reconstruction of the spectral amplitude and the spectral phase of ultrashort
laser pulses from the acquisition of only one spectrum. Temporal intensity and
temporal phase can therefore easily be retrieved. The characterization of pulses
from a Ti:sapphire oscillator by use of an extended SPIDER is discussed.
Advantages and drawbacks of the modified technique are also discussed.
PMID- 18059737
TI - Quasi-optic terahertz imaging.
AB - We demonstrate quasi-optical, diffraction-limited two-dimensional image
production by means of reflected pulses of terahertz (THz) radiation. A spherical
mirror is used to form a real one-to-one THz image of two 1-mm-diameter steel
spheres, which is then scanned over a THz receiver. Diffraction-limited spatial
(cross-range) resolution and THz pulse range resolution are simultaneously
observed.
PMID- 18059738
TI - Optical parametric amplification of a shaped white-light continuum.
AB - Phase-locked two-color sub-40-fs double pulses in the visible are produced by
noncollinear parametric amplification of white light tailored in a pulse shaper
with a liquid-crystal mask. The carrier phase between the pulses is conserved
during the amplification process and can be adjusted, as can the temporal
separation and the center of wavelengths of the pulses.
PMID- 18059739
TI - Directional emission from whispering-gallery modes in deformed fused-silica
microspheres.
AB - Highly directional emissions from whispering-gallery modes are demonstrated in
deformed nonaxisymmetric fused-silica microspheres. Ray trajectory analysis
indicates that ray dynamics in a three-dimensional reso-nator differs
qualitatively from that of a two-dimensional system, with Arnol'd diffusion
playing an essential role in the three-dimensional system.
PMID- 18059740
TI - Tunable resonance fluorescence monochromator with sub-Doppler spectral
resolution.
AB - A cesium-based resonance fluorescence monochromator with a spectral resolution of
200 MHz and a tunable response over the D(2) absorption line of cesium (380 MHz)
is described. The narrow spectral response is achieved through excitation of a
monokinetic population of the 6(2)P(3/2)( degrees ) state by arrangement of the
excitation lasers in either a copropagating or a counterpropagating orientation.
The narrow spectral response of the detector allows for excitation of specific
hyperfine components involved in the 6(2)P(3/2)( degrees ) (F=3-5) to 6(2)D(5/2)
(F=2-6) transition (917.23 nm). The selectivity gained through resolving specific
hyperfine transitions allows for a photon detector that is both spectrally
tunable and narrow. We report the sub-Doppler linewidths achieved through various
laser beam orientations. We also describe how these beam geometries can be
applied to spectrally narrow and tunable image detection.
PMID- 18059741
TI - Simultaneous measurement of axial strain, temperature, and transverse load by a
superstructure fiber grating.
AB - A novel and simple fiber-optic sensor based on a superstructure fiber grating for
simultaneous measurement of temperature, axial strain, and transverse load is
proposed and demonstrated. By measurement of the shift and split of broadband and
narrow-band loss peaks, one can determine the temperature, axial strain, and
transverse load simultaneously over the ranges 0-140 degrees , 0-1200muepsilon,
and 0-0.3 kg/mm, respectively.
PMID- 18059742
TI - Blue amplified spontaneous emission from a stilbenoid-compound-doped polymer
optical fiber.
AB - We report on the fabrication and characteristics of a step-index glass-clad
polymer optical fiber that uses a novel fluorescent stilbenoid compound for
lasing and amplification applications. The compound, 1, 4-bis(4-diphenyl-amino
styryl)-benzene, is specifically designed for the blue region of the spectrum and
has a very high quantum yield of 0.85 in a solid-state polymer host and a large
Stokes shift. Significant spectral narrowing and superlinear increase of output
intensity are observed under photoexcitation at 355 nm, which are indicative of
the occurrence of amplified spontaneous emission. By means of gain spectroscopy,
a large optical gain of up to 36 cm(-1) at 494 nm has been obtained for the fiber
when it is transversely photoexcited at 12 mJ/cm(2) . The waveguide loss has been
measured to be 0.7 cm(-1) at 494 nm. The demonstration of high gain and low
waveguide loss has favorable implications for the construction of a very compact,
tunable coherent light source.
PMID- 18059743
TI - Relaxation of the alignment tolerances of a 1.55-mum extended-cavity
semiconductor laser by use of an intracavity photorefractive filter.
AB - Commercial grating-tuned single-mode extended-cavity semiconductor lasers (ECLDs)
can be tuned over 100 nm near 1.55mum . This continuous tuning with no mode
hopping requires delicate factory adjustments and high mechanical stability so
that the wavelength precision is kept as high as possible and the mismatch
between the lasing wavelength and the wavelength of minimum loss remains as small
as possible. The addition of a photorefractive crystal inside the cavity creates
an adaptive spectral filter that decreases the loss of the lasing mode and thus
enhances its stability. For what is to our knowledge the first time, we
demonstrate the extension of the available wavelength-mismatch range without mode
hopping by the addition of a CdTe photorefractive crystal inside the cavity of a
single-mode grating-tuned ECLD.
PMID- 18059744
TI - Spatial mode control of a diode-pumped Nd:YAG laser by an intracavity liquid
crystal light valve.
AB - We present a new beam-shaping technique with an intracavity optically addressed
liquid-crystal spatial light modulator. The Nd:YAG resonator is able to deliver
beams with various spatial profiles such as flat-topped super-Gaussian and square
shaped beams.
PMID- 18059745
TI - Efficient frequency doubling of a pulsed laser diode by use of a periodically
poled KTP waveguide crystal with Bragg gratings.
AB - Blue light with an average power of as much as 7.5 mW in picosecond pulses has
been generated at 486, 488, and 491 nm from a frequency-doubled, nonresonant
injection seeded, gain-switched InGaAs/GaAs diode laser by use of a periodically
poled KTP waveguide crystal that incorporates a Bragg grating section.
PMID- 18059746
TI - Reconstruction of absorber concentrations in a two-layer structure by use of
multidistance time-resolved reflectance spectroscopy.
AB - The characterization of a two-layer structure was investigated by use of time
resolved reflectance over a wide spectral range. We exploited the nonlinear
dependence of the measured spectra on the upper-and lower-layer properties to
formulate an algorithm for the recovery of absorber concentrations in both
layers. The method assumes that the spectral features of the key absorbers are
known, but it does not rely on a priori knowledge of the layer thickness. Phantom
tests confirmed the accuracy of the estimate of the absorber concentrations to
within 10% for thickness values ranging from 0.3 to 1.2 cm. Multidistance
absorption spectra from 610 to 1000 nm were obtained in vivo from the forearms of
human subjects, allowing us to estimate the concentration of key tissue
constituents in a two-layer approximation. Good agreement between the
reconstructed spectra and the experimental data taken from two volunteers with
opposite predominance of adipose and muscular tissues demonstrated the validity
of this approach.
PMID- 18059747
TI - Endoscopic optical coherence tomography based on a microelectromechanical mirror.
AB - An endoscopic optical coherence tomography (OCT) system based on a
microelectromechanical mirror to facilitate lateral light scanning is described.
The front-view OCT scope, adapted to the instrument channel of a commercial
endoscopic sheath, allows real-time cross-sectional imaging of living biological
tissue via direct endoscopic visual guidance. The transverse and axial
resolutions of the OCT scope are roughly 20 and 10.2mum, respectively. Cross
sectional images of 500x1000 pixels covering an area of 2.9 mmx2.8 mm can be
acquired at ~5 frames/s and with nearly 100-dB dynamic range. Applications in
thickness measurement and bladder tissue imaging are demonstrated.
PMID- 18059748
TI - High-resolution optical correlation imaging in a scattering medium.
AB - An optical correlation setup is used to image transparent objects through
scattering media, and 10-mum longitudinal and 2.5-mum transverse resolution are
achieved. Spectral-bandwidth sampling of the light source is made possible by a
tunable dye laser and leads to signal enhancement as a result of sampling
interferogram filtering. An optical system allows observation of sample slices
without the need for a translation stage.
PMID- 18059749
TI - Enhanced light transmission through a single subwavelength aperture.
AB - The optical transmission through a subwavelength aperture in a metal film is
strongly enhanced when the incident light is resonant with surface plasmons at
the corrugated metal surface surrounding the aperture. Conversely, the aperture
acts as a novel probe of the surface plasmons, yielding useful insights for
optimizing the transmission enhancement. For the optimal corrugation geometry, a
set of concentric circular grooves, three times more light is transmitted through
the central subwavelength aperture than directly impinges upon it. This effect is
useful in the fabrication of near-field optical devices with extremely high
optical throughput.
PMID- 18059750
TI - Imaging of laser-induced reactions of individual defect nanoclusters.
AB - The response of individual defect nanoclusters located in the bulk of a
dielectric material following exposure to 355-nm, 3-ns high-power laser
irradiation is investigated by use of microscopic fluorescence imaging.
Experiments were carried out on KH(2)PO(4) crystals. We provide direct imaging of
the reaction to an external stimulus of individual defect clusters and
demonstrate a novel method of studying the dynamic behavior of bulk defects.
PMID- 18059751
TI - Design of switching junctions for two-dimensional discrete soliton networks.
AB - The performance of switching junctions in two-dimensional discrete-soliton
networks is analyzed theoretically by coupled-mode theory. Our analysis can be
used for the design of routing junctions with specified operational
characteristics. Appropriately engineering the intersection site can further
improve the switching efficiency of these junctions. Our analytical results are
verified by numerical simulations.
PMID- 18059752
TI - Transverse instability of strongly coupled dark-bright Manakov vector solitons.
AB - We show, by performing linear stability analysis and direct numerical
simulations, that dark-soliton transverse instability is significantly reduced in
Kerr media by strong coupling to a bright soliton. High instability suppression
can be achieved by use of large-amplitude bright solitons.
PMID- 18059754
TI - High-performance readout and recording by a combination aperture.
AB - A solid immersion lens combined with a conical dielectric tip exhibits good
resolution and efficiency in reading and recording data marks on optical storage
media. We demonstrate a combination aperture that produces ~200-nm full-width
1/e(2) spot size and achieves 50% optical efficiency in an edge-scan experiment.
A comparison of recording with the combination aperture, with an unmodified solid
immersion lens, and with a far-field system is made.
PMID- 18059753
TI - Second-harmonic generation from a first-order quasi-phase-matched GaAs/AlGaAs
waveguide crystal.
AB - We demonstrate, for the first time to our knowledge, the generation of second
harmonic pulses by use of a novel methodology for achieving first-order quasi
phase matching in a semiconductor waveguide crystal. This methodology is based on
a periodic modulation of the susceptibility coefficient along the direction of
light-beam propagation in which advantage is taken of the fact that
chi((2))(GaAs)>chi((2))(Al(x)Ga(1-x)As) . Efficient second-harmonic generation at
975 nm of a pump wavelength of 1950 nm has been demonstrated for a crystal with a
nonuniform domain dimension (duty cycle, ~39/61).
PMID- 18059755
TI - Optical implementation of orthogonal phase-code multiplexing.
AB - We propose a new optical implementation of orthogonal phase-code multiplexing in
which an arbitrary phase shift of theta or theta +pi is used in the reference
beam instead of 0 or pi as in the conventional method. To compare the new and the
conventional methods, we employ a 2-bit orthogonal phase code and store two
binary-data images in a BaTiO(3) crystal with each method. We also employ
numerical methods to simulate the 2-bit phase-code multiplexing and show that the
signal-to-noise ratio in the restored images is improved by more than one order
of magnitude in the new method in our experimental conditions.
PMID- 18059756
TI - Formation of a planar optical waveguide by mega-electron-volt He+ and P+ ions
implanted in a BiB(3)O(6) crystal.
AB - What is believed to be the first planar optical waveguide was formed in
BiB(3)O(6) (BIBO) crystal by 2.8-MeV He(+)-ion implantation with a dose of
2x10(16)ions/cm (2) and 2.8-MeV P(+)-ion implantation with a dose of
1x10(14)ions/cm (2) at room temperature. We observed 21 darks modes for the He(+)
ion-implanted BIBO waveguides and four dark modes for the P(+)-ion-implanted
waveguides. The refractive-index profile of the He(+)-implanted BIBO waveguide
was analyzed. The data also suggest that the BIBO waveguides formed by MeV He(+)
ion and P(+)-ion implantation differ in their developing mechanisms.
PMID- 18059757
TI - Poincare vortices.
AB - Traditional interferometric methods for measuring the vortex structure of complex
wave fields suffer from many intrinsic problems and seldom yield results of any
accuracy. Using the unique properties of what I call Poincare vortices, I develop
a radically different method based on Stokes parameters that offers many
practical advantages. The theory of this new method is discussed, and its unique
capabilities are illustrated by reconstruction with high accuracy of the vortex
structure of a simulated random field containing numerous vortices, including
several closely spaced vortex pairs that would be difficult, if not impossible,
to resolve by traditional means.
PMID- 18059758
TI - High-energy self-starting femtosecond Cr(4+):Mg(2)SiO(4) oscillator operating at
a low repetition rate.
AB - We describe a self-starting high-power femtosecond laser based on the
Cr(4+):Mg(2)SiO(4) crystal that produces 17-nJ pulses of 40-fs duration at 26.5
MHz repetition rate. This low repetition rate is achieved by employment of a one
to-one telescope in the cavity. The pulse energy is five times greater than with
a short-resonator laser. To our knowledge, the laser produces the highest energy
ever achieved from this type of laser directly from the resonator without cavity
dumping or external amplification. We believe that this laser source can be used
for many applications, including nonlinear optics, microscopic imaging, and
micromachining of silicon and other semiconductor materials.
PMID- 18059759
TI - Propagation of single-cycle terahertz pulses in random media.
AB - We describe what are to our knowledge the first measurements of the propagation
of coherent, single-cycle pulses of terahertz radiation in a scattering medium.
By measuring the transmission as a function of the length L of the medium, we
extract the scattering mean free path l(s)(omega) over a broad bandwidth. We
observe variations in l(s) ranging over nearly 2 orders of magnitude and covering
the entire thin sample regime from L/l(s)<<1 to L/l(s)~10 . We also observe
scattering-induced dispersive effects, which can be attributed to the additional
path traveled by photons scattered at small angles.
PMID- 18059760
TI - Efficient intracavity generation of visible pulses in a femtosecond near-infrared
optical parametric oscillator.
AB - We have achieved efficient simultaneous intracavity doubling of the signal
frequency and sum-frequency generation between the signal and the pump in a
periodically poled LiNbO(3) femtosecond optical parametric oscillator pumped by a
Ti:sapphire laser. The responsible mechanisms are second-, third-, and fourth
order quasi-phase matching (QPM). An ~56% poling duty cycle permits efficient
even-order QPM. Femtosecond pulses tunable in the visible were generated with a
total efficiency of more than 8% for only 480-mW pump power at 80 MHz.
Optimization of the poling duty cycle can improve the efficiency further.
PMID- 18059761
TI - Time-domain differentiation of terahertz pulses.
AB - We report on the time-domain differentiation of light waves by metallic
transmission gratings. Time-resolved terahertz experiments show that the first
time derivative of an arbitrary waveform can be achieved by use of gratings of
subwavelength period. The results are in accord with classical diffraction theory
and may permit novel applications for tailoring few-cycle light pulses and
ultrahigh-frequency optoelectronics.
PMID- 18059762
TI - Diffractive Alvarez lens.
AB - A diffractive Alvarez lens is demonstrated that consists of two separate phase
plates, each having complementary 16-level surface-relief profiles that contain
cubic phase delays. Translation of these two components in the plane of the phase
plates is shown to produce a variable astigmatic focus. Both spherical and
cylindrical phase profiles are demonstrated with good accuracy, and the discrete
surface-relief features are shown to cause less than lambda/10 wave-front
aberration in the transmitted wave front over a 40 mm x 80 mm region.
PMID- 18059763
TI - Characterization and imaging in optically scattering media by use of laser
speckle and a variable-coherence source.
AB - We demonstrate the application of laser-speckle statistics formed by a variable
coherence source illuminating a scattering medium, for determining the scattering
parameter mu;(s)>(?) of a diffusion model for the medium. Furthermore, we apply
this technique to visualize laterally localized inhomogeneities embedded within a
highly scattering sample.
PMID- 18059764
TI - Frequency-domain technique for optical property measurements in moderately
scattering media.
AB - We demonstrate a reflection geometry frequency-domain technique that is suitable
for measurement of the optical properties of a medium with moderate scatter and
absorption (mu(s) and mu(a) ~ 1 m(-1)). Variations in absorption and scatter
produce opposing tends in the amplitude signal and common trends in the phase
signal, allowing unique determination of absorption and scattering coefficients
for a given phase function. An analytical model based on single-scattering events
gives good agreement with experimental measurements.
PMID- 18059765
TI - Optical guidance of terrawatt laser pulses by the implosion phase of a fast Z
pinch discharge in a gas-filled capillary.
AB - A new method of optical guidance by the implosion phase of a fast Z-pinch
discharge in a gas-filled capillary is proposed. An imploding plasma column has a
concave electron-density profile in the radial direction, just before a
stagnation phase driven by a converging current sheet and a shock wave. The
feasibility of optical guidance of a high-intensity (>1 x 10(17) W/cm(2))
Ti:sapphire laser pulse by use of this method over a distance of 2 cm,
corresponding to 12.5 times the Rayleigh length, has been experimentally
demonstrated. The guiding-channel formation process was directly probed with a He
Ne laser beam. The electron density in the fully ionized channel was estimated to
be 2.0 x 10(17) cm(-3) on the axis and 7.0 x 10(17) cm(-3) on the peaks of the
channel edge, with a diameter of 70 mum, as indicated by the experimental
results, which were corroborated by a magnetohydrodynamics simulation.
PMID- 18059766
TI - Photoexcited GaAs surfaces studied by transient terahertz time-domain
spectroscopy.
AB - The transmission characteristics of an air-GaAs interface and the transient
absorption and index spectra of the thin, photoexcited surface layer are
investigated subsequent to excitation by a femtosecond laser pulse. We find that
the total phase change and transmission of a terahertz (THz) probe pulse are
dominated by interface effects. This observation has important implications in
the interpretation of THz time-domain spectroscopy data of absorbing media. We
also observe that the THz pulse apparently arrives at the detector as much as 60
fs earlier when it is transmitted through an optically excited GaAs wafer. This
effect is fully explained in terms of a frequency-dependent transmission and
phase shift at the air-GaAs interface and is not associated with superluminal
propagation.
PMID- 18059767
TI - Determining the absolute carrier phase of a few-cycle laser pulse.
AB - In a strong laser field, electrons tunnel from an atom at a rate determined by
the instantaneous field. If the pulse is only a few cycles in duration, the
highly nonlinear nature of tunnel ionization ensures that the resultant electron
wave packet is primarily formed in less than one period. Measuring the direction
of above-threshold-ionization electrons produced by circularly polarized light
provides a direct method of measuring the absolute carrier phase of a single
pulse. The method is robust, surviving spatial and temporal integration as well
as intensity fluctuations.
PMID- 18059768
TI - Jones matrix for second-order polarization mode dispersion.
AB - A Jones matrix is constructed for a fiber that exhibits first- and second-order
polarization mode dispersion (PMD). It permits the modeling of pulse transmission
for fibers whose PMD vectors have been measured or whose statistics have been
determined by established PMD theory. The central portion of our model is a
correction to the Bruyere model.
PMID- 18059769
TI - Spectral interference measurement of nonlinear pulse propagation dynamics in
optical fibers.
AB - Ultrafast pulse shaping and ultrafast pulse spectral phase-retrieval techniques
are used in the spectral interference measurement of nonlinear pulse propagation
dynamics in dispersion-shifted optical fiber. Nonlinear responses in both
amplitude profile and phase profile of the pulses at zero-dispersion wavelength
as well as at nonzero-dispersion wavelength are directly measured. A numerical
simulation that uses a third-oder-dispersion-included nonlinear Schrodinger
equation gives excellent agreement with the experimental data.
PMID- 18059770
TI - Visible continuum generation in air-silica microstructure optical fibers with
anomalous dispersion at 800 nm.
AB - We demonstrate experimentally for what is to our knowledge the first time that
air-silica microstructure optical fibers can exhibit anomalous dispersion at
visible wavelengths. We exploit this feature to generate an optical continuum 550
THz in width, extending from the violet to the infrared, by propagating pulses of
100-fs duration and kilowatt peak powers through a microstructure fiber near the
zero-dispersion wavelength.
PMID- 18059771
TI - Securing information by use of digital holography.
AB - An information security method that uses a digital holographic technique is
presented. An encrypted image is stored as a digital hologram. The decryption key
is also stored as a digital hologram. The encrypted image can be electrically
decrypted by use of the digital hologram of the key. This security technique
provides secure storage and data transmission. Experimental results are presented
to demonstrate the proposed method.
PMID- 18059772
TI - Method for designing arbitrary two-dimensional continuous phase elements.
AB - We propose a method for designing arbitrary two-dimensional continuous phase
elements. The phase anomalies that are due to amplitude zeros that occur in
conventional design methods can be avoided completely with this method. Therefore
the method is also useful for designing holographic elements that are free from
amplitude zeros. The method is based on an iterative Fourier-transform algorithm.
In the iteration process the method operates on the unwrapped phase.
Consequently, a subsequent continuation step is not needed.
PMID- 18059773
TI - Efficient, multiwatt, continuous-wave laser operation on the (4)F((3/2))
(4)I((9/2)) transitions of Nd:YVO(4) and Nd:YAG.
AB - We report multiwatt, diode-pumped cw operation on the (4)F(3/2)-(4)I(9/2) laser
transition at 914.5 nm in Nd:YVO(4), for which an output power of 3.0 W and a
slope of efficiency of 22.8% were achieved. For the corresponding laser
transition of Nd:YAG at 946 nm an output power of 5.35 W and a slope efficiency
of 40.2% were measured. By intracavity frequency doubling, an output power of 1.5
W at 473 nm was generated.
PMID- 18059774
TI - High-energy, high-power ytterbium-doped Q-switched fiber laser.
AB - We report on a Q -switched, cladding-pumped, ytterbium-doped large-mode-area
fiber laser operating at 1090 nm that is capable of generating 2.3 mJ of output
pulse energy at a 500-Hz repetition rate and more than 5 W of average output
power at higher repetition rates in a high-brightness beam (M(2) = 3) . Using a
similar fiber with a smaller core, we generated >0.5-mJ pulses in a diffraction
limited beam. Our results represent a threefold increase in pulse energy over
previously published values for Q-switched fiber lasers and firmly establish
fiber lasers as compact, multiwatt, multimillijoule pulse sources with large
scope for both industrial and scientific applications.
PMID- 18059775
TI - Analysis of pulse dropout in harmonically mode-locked fiber lasers by use of the
Lyapunov method.
AB - Using a stability analysis based on the Lyapunov method, we study pulse dropout
in an actively mode-locked fiber laser. The analysis gives a limit on the maximum
pulse duration and the minimum laser power that are needed for stable operation
without pulse dropout. The stability of pulse trains was studied analytically and
validated numerically for different pulse shapes.
PMID- 18059776
TI - Diffusion coefficient in photon diffusion theory.
AB - The choice of the diffusion coefficient to be used in photon diffusion theory has
been a subject of discussion in recent publications on tissue optics. We compared
several diffusion coefficients with the apparent diffusion coefficient from the
more fundamental transport theory, D(app). Application to point sources in turbid
media, for which exact solutions are available, showed that D(app) has to be
preferred. We give a simple equation to approximate D(app) for several phase
functions that apply to tissue optics. Reasons for the remaining discrepancies in
diffusion coefficients applied to time-resolved and time-averaged descriptions of
photon propagation in homogeneous turbid media are discussed.
PMID- 18059777
TI - Lateral resolution enhancement with standing evanescent waves.
AB - A high-resolution fluorescence microscopy technique has been developed that
achieves a lateral resolution of better than one sixth of the emission wavelength
(FWHM). By use of a total-internal-reflection geometry, standing evanescent waves
are generated that spatially modulate the excitation of the sample. An enhanced
two-dimensional image is formed from a weighted sum of images taken at different
phases and directions of the standing wave. The performance of such a system is
examined through theoretical calculations of both the point-spread function and
the optical transfer function.
PMID- 18059778
TI - Vacuum-cored hollow waveguide for transmission of high-energy, nanosecond Nd:YAG
laser pulses and its application to biological tissue ablation.
AB - A vacuum-cored hollow waveguide has been found to transmit 1064-nm, Q-switched
Nd:YAG laser pulses. With this scheme, laser-induced air breakdown was completely
suppressed, and the laser-induced damage threshold of the waveguide's inner
coating was significantly increased. With a 1-m-long, 1-mm inner-diameter, cyclic
olefin polymer-coated silver hollow waveguide, the maximum transmitted laser
energy was as great as 158 mJ/pulse (20.1 J/cm(2)), at a repetition rate of 10 Hz
in a 90 degrees -bent waveguide condition. The corresponding transmitted peak
laser power was 17.6 MW. With the transmitted laser pulses, deep ablation of
myocardium tissues was demonstrated in vitro.
PMID- 18059779
TI - Smart microscope: an adaptive optics learning system for aberration correction in
multiphoton confocal microscopy.
AB - Off-axis aberrations in a beam-scanning multiphoton confocal microscope are
corrected with a deformable mirror. The optimal mirror shape for each pixel is
determined by a genetic learning algorithm, in which the second-harmonic or two
photon fluorescence signal from a reference sample is maximized. The speed of the
convergence is improved by use of a Zernike polynomial basis for the deformable
mirror shape. This adaptive optical correction scheme is implemented in an all
reflective system by use of extremely short (10-fs) optical pulses, and it is
shown that the scanning area of an f:1 off-axis parabola can be increased by nine
times with this technique.
PMID- 18059780
TI - Waveguiding properties of optical vortex solitons.
AB - An optical vortex soliton induces a graded-index waveguide over an extended
propagation distance in a self-defocusing nonlinear optical medium. Using
numerical techniques, we determine the waveguide dispersion and optimal size of
the guided beam.
PMID- 18059782
TI - Multicomponent two-dimensional solitons carrying topological charges.
AB - We propose multihump N-component two-dimensional vector solitons for which each
constituent carries a different topological charge. These new structures exhibit
a unique triple-point phase diagram that is completely absent in the two
component limit.
PMID- 18059781
TI - 20-W ultraviolet-beam generation by fourth-harmonic generation of an all-solid
state laser.
AB - We have obtained UV power of 20.5 W with a repetition rate of 10 kHz by the use
of a high-brightness high-power all-solid-state green laser and a high-quality
CsLiB(6)O(10) crystal. This power is, to our knowledge, the highest UV power
achieved so far in all-solid-state lasers.
PMID- 18059783
TI - Graphic tool to produce tailored symmetrical phase-shifting algorithms.
AB - We employ the Fourier-transform graphic representation of a set of
antisymmetrical and symmetrical sampling reference harmonics to obtain new
symmetrical phase-shifting algorithms tailored to specific characteristics. As an
example, a new detuning-insensitive seven-point algorithm, with a third signal
harmonic insensitivity and which is detuning insensitive at this frequency, is
derived.
PMID- 18059784
TI - 7.5-MHz data-transfer rate with a planar aperture mounted upon a near-field
optical slider.
AB - We fabricated a planar aperture-mounted (PAM) slider by use of a focused ion beam
to demonstrate fast data acquisition for near-field optical data storage. The
aperture (200 nm x 500 nm) was formed upon the Ti-coated air-bearing surface of
the slider and was directly illuminated with a laser (lambda = 532 nm) beam spot
by use of an objective lens (N.A., 0.55). The light transmitted through the
aperture was modulated by a Ti-coated SiO(2) disk with 200- and 400-nm-wide line
and-space (L&S) patterns engraved by electron-beam lithography. The optical
throughput of the taperless aperture was greater than 0.02. By use of the PAM
slider, 400- and 200-nm L&S signals were detected at linear velocities of 6 and 3
m/s, respectively, corresponding to a data-transfer rate of 7.5 MHz.
PMID- 18059785
TI - Ultrabroad-bandwidth electro-optic modulator based on a cascaded Bragg grating.
AB - It is shown that the bandwidth of a traveling-wave electro-optical modulator can
be greatly increased by matching of the group velocities of the optical and rf
waves in the waveguides with cascaded Bragg gratings. A LiNbO>(3) Mach-Zehnder
modulator with 1-V half-wave voltage and a bandwidth in excess of 100 GHz is
proposed and its performance evaluated.
PMID- 18059786
TI - Pedestal antiresonant reflecting waveguides for robust coupling to microsphere
resonators and for microphotonic circuits.
AB - Strip-line pedestal antiresonant reflecting waveguides are high-confinement,
silica integrated optical waveguides in which the optical modes are completely
isolated from the substrate by thin high-index layers. These waveguides are
particularly well suited for whispering-gallery mode excitation in high-Q
microspheres. They can also be used in microphotonic circuits, such as for
microring resonators. The theory and design of these structures are highlighted.
Experiments that show high coupling efficiency to microspheres are also
demonstrated.
PMID- 18059787
TI - Quantum limits in the measurement of very small displacements in optical images.
AB - We consider the problem of the measurement of very small displacements in the
transverse plane of an optical image with a split photodetector. We show that the
standard quantum limit for such a measurement, which is equal to the diffraction
limit divided by the square root of the number of photons used in the
measurement, cannot be overcome by use of ordinary single-mode squeezed light. We
give the form of possible multimode nonclassical states of light, enabling us to
enhance by orders of magnitude the resolution of such a measurement beyond the
standard quantum limit.
PMID- 18059788
TI - Comment on "Interference of traveling nondiffracting beams".
PMID- 18059789
TI - Reply to comment on "Interference of traveling nondiffracting beams".
PMID- 18059790
TI - Spatial-spectral holographic correlator at 1536 nm using 30-symbol quadriphase-
and binary-phase-shift keyed codes.
AB - Optical 30-symbol quadriphase-shift keyed (QPSK) and binary-phase-shift keyed
(BPSK) codes were processed in a spatial-spectral holographic correlator with the
Er(3+): Y(2)SiO(5) spectral hole-burning material operating at 1536 nm in the
important 1550-nm communications band. The results demonstrate the ability of
spatial-spectral holographic correlators to process QPSK codes and BPSK codes
with the same apparatus. The high-fidelity correlations produced by this optical
coherent transient device exhibit the low sidelobe characteristics expected for
the codes used.
PMID- 18059791
TI - Fabrication of long-period optical fiber gratings by use of ion implantation.
AB - We report the fabrication of long-period optical fiber gratings by use of a
refractive-index increase induced by ion implantation. Helium ions were implanted
in an optical fiber core through a metal mask that had a 170-microm -pitch
grating with spacing of 60 microm . We obtained a wavelength-dependent effective
transmission loss by use of the grating.
PMID- 18059792
TI - Distributed Bragg grating sensing with a direct group-delay measurement
technique.
AB - A new method of distributed in-fiber Bragg grating sensing is proposed. A method
is outlined by which the strain field imposed upon a Bragg grating sensor is
obtained by measurement of the sensor's reflectivity and delay characteristics.
The proposal is demonstrated by interrogation of two loaded samples. Strain
distributions from these experiments are compared against a theoretical estimate.
The data treatment is also discussed. Strain resolution is found to be +/-24
microepsilon, with a spatial resolution defined by a minimum spatial wavelength
component of the coupling distribution of 1.65 mm.
PMID- 18059793
TI - Disintegration of a soliton in a dispersion-managed optical communication line
with random parameters.
AB - The propagation of dispersion-managed solitons in optical fiber links with a
random dispersion map has been studied. Two types of randomness are
considered:random dispersion magnitudes and random lengths of the spans. By
numerical simulations, disintegration of a soliton propagating in such an optical
communication line is shown to occur. It is observed that the stability of the
soliton propagation is affected more by modulations of the dispersion magnitudes
of the spans than by modulations of the span lengths. Results of numerical
simulations of the soliton breakup distance confirm theoretical predictions in
the averaged dynamics limit.
PMID- 18059794
TI - Analysis of air-guiding photonic bandgap fibers.
AB - We present what is to our knowledge the first theoretical analysis of air-guiding
photonic bandgap fibers. The fibers are characterized by a large hollow core and
a microstructured cladding exhibiting photonic bandgap effects. Using an
efficient, full-vectorial numerical method, we explain the operational principle
of the fibers and obtain detailed information about the properties of the air
guided modes. This information includes accurate determination of the modes'
spectral extent, cutoff properties, and mode-field distributions.
PMID- 18059795
TI - Image processing with the radial Hilbert transform: theory and experiments.
AB - The Hilbert transform is useful for image processing because it can select which
edges of an input image are enhanced and to what degree the edge enhancement
occurs. However, the transform operation is one dimensional and is not applicable
for arbitrarily shaped two-dimensional objects. We introduce a radially symmetric
Hilbert transform that permits two-dimensional edge enhancement. We implement one
dimensional, two-dimensional, and radial Hilbert transforms with a programmable
phase-only liquid-crystal spatial light modulator. Experimental results are
presented.
PMID- 18059796
TI - Video-rate optical low-coherence reflectometry based on a linear smart detector
array.
AB - A low-coherence reflectometer based on a conventional Michelson interferometer
and a novel silicon detector chip that allows parallel heterodyne detection is
presented. Cross-sectional images of 64x256 pixels covering an area of 1.92 mm x
1.3 mm are acquired at video rate and with a sensitivity close to the shot-noise
limit. Applications in surface profiling and thickness measurement are
demonstrated.
PMID- 18059797
TI - High-brightness 138-W green laser based on an intracavity-frequency-doubled diode
side-pumped Q-switched Nd:YAG laser.
AB - Green power of 138 W was generated at an estimated beam quality of M(2) = 11 by
intracavity frequency doubling of a diode-pumped Nd:YAG laser. The laser employs
a diffusive close-coupled diode-pumping design and a bifocusing-compensation
resonator design to ensure stable operation.
PMID- 18059798
TI - Semiconductor laser with curved deep-etched distributed Bragg reflectors
supporting a planar Gaussian mode.
AB - A compact integration-compatible semiconductor laser supporting a planar Gaussian
mode is demonstrated. Curved deep-etched distributed Bragg reflectors (DBR's),
contouring the phase front of the Gaussian wave, act as feedback elements. The
DBR's are 0.5 microm deep and have two first-order air gaps separated by a third
order semiconductor spacer. Low-threshold current (10 mA) is achieved for a 90
microm-long laser with a waist of 2 microm. Lasing in a planar Gaussian mode is
observed up to 1.7 times the threshold current, whereas at higher currents the
mode behavior degrades because of spatial hole burning.
PMID- 18059799
TI - Spectroscopic optical coherence tomography.
AB - Spectroscopic optical coherence tomography (OCT), an extension of conventional
OCT, is demonstrated for performing cross-sectional tomographic and spectroscopic
imaging. Information on the spectral content of backscattered light is obtained
by detection and processing of the interferometric OCT signal. This method allows
the spectrum of backscattered light to be measured over the entire available
optical bandwidth simultaneously in a single measurement. Specific spectral
features can be extracted by use of digital signal processing without changing
the measurement apparatus. An ultrabroadband femtosecond Ti:Al(2)O(3) laser was
used to achieve spectroscopic imaging over the wavelength range from 650 to 1000
nm in a simple model as well as in vivo in the Xenopus laevis (African frog)
tadpole. Multidimensional spectroscopic data are displayed by use of a novel hue
saturation false-color mapping.
PMID- 18059800
TI - Phase-resolved optical coherence tomography and optical Doppler tomography for
imaging blood flow in human skin with fast scanning speed and high velocity
sensitivity.
AB - We have developed a novel phase-resolved optical coherence tomography (OCT) and
optical Doppler tomography (ODT) system that uses phase information derived from
a Hilbert transformation to image blood flow in human skin with fast scanning
speed and high velocity sensitivity. Using the phase change between sequential
scans to construct flow-velocity imaging, this technique decouples spatial
resolution and velocity sensitivity in flow images and increases imaging speed by
more than 2 orders of magnitude without compromising spatial resolution or
velocity sensitivity. The minimum flow velocity that can be detected with an
axial-line scanning speed of 400 Hz and an average phase change over eight
sequential scans is as low as 10 microm/s, while a spatial resolution of 10
microm is maintained. Using this technique, we present what are to our knowledge
the first phase-resolved OCT/ODT images of blood flow in human skin.
PMID- 18059801
TI - Third-harmonic generation by cascading second-order nonlinear processes in a
cerium-doped KTiOPO4 crystal.
AB - We have achieved effective third-harmonic generation (THG) by cascading second
harmonic and sum-frequency generation in a single cerium-doped KTiOPO (4) crystal
by using femtosecond laser pulses at 1.32 microm. A conversion efficiency of
0.17% was achieved with an average pump power of 35 mW.Furthermore, a unique
quadratic power dependence for this type of THG was confirmed. We developed a
theory and obtained an analytical solution for the THG.The solution exactly
describes the measured characteristics of the THG.
PMID- 18059802
TI - Effect of counterintuitive time delays in nonlinear mixing.
AB - The effect of varying the relative arrival time of the two laser pulses employed
in doubly resonant four-wave sum mixing, enhanced by induced transparency, is
studied with the aim of optimizing the efficiency of vacuum-ultraviolet
generation. With atomic hydrogen as the nonlinear medium, pulsed radiation with
wavelengths of 243 and 656 nm and durations of 8 and 14 ns, respectively, is
mixed to generate 103-nm coherent radiation. It is shown that by delaying the
arrival time of the ground-state pump beam (243 nm) by 2.5-3.5 ns relative to the
arrival of the upper-state coupling beam (656 nm), it is possible to enhance the
generated intensity by a factor of 2 or more.
PMID- 18059803
TI - Vortex-stripe soliton interactions.
AB - We study the interaction of an optical vortex soliton with a dark-soliton stripe
in a bulk nonlinear defocusing medium. We develop a multiscale asymptotic theory
to predict the main effect of the interaction and then study it experimentally,
observing vortex-induced stripe bending, development of the transverse
instability, and stripe breakup.
PMID- 18059804
TI - Observed rotational enhancement of nonlinear optical vortices.
AB - The propagation dynamics of an optical vortex pair is experimentally confirmed to
experience enhanced rotation in a self-defocusing medium. We measured this effect
to be 3.5 times larger than in linear media. The enhancement is attributed to
nonlinear refraction within the dark vortex cores, permitting the vortices to
propagate as vortex filaments.
PMID- 18059806
TI - Time reversal of ultrafast waveforms by wave mixing of spectrally decomposed
waves.
AB - Two different realizations of time-reversal experiments of ultrafast waveforms
are carried out in real time by use of four-wave mixing arrangements of
spectrally decomposed waves. The first, conventional, method is based on phase
conjugation of the waveform's spectrum and achieves time reversal of real
amplitude waveforms. The second arrangement of the spectrally decomposed waves
spatially inverts the waveform's spectrum with respect to the optical axis of the
processor and achieves true time reversal for complex-amplitude ultrafast
waveforms. We compare and contrast these two real-time techniques.
PMID- 18059805
TI - Enhancement of ultraviolet photorefraction in highly magnesium-doped lithium
niobate crystals.
AB - We investigate UV photorefraction in Mg-doped LiNbO(3) crystals. Strong UV
photorefraction is achieved in highly Mg-doped LiNbO(3) crystals with high two
wave mixing gain, fast response, and low noise. It is also demonstrated
experimentally that so-called damage-resistant dopants such as Mg are damage
resistant only in the visible and that they will enhance photorefraction in the
UV.
PMID- 18059807
TI - Extreme ultraviolet interferometry measurements with high-order harmonics.
AB - We demonstrate that high-order harmonics generated by short, intense laser pulses
in gases provide an interesting radiation source for extreme ultraviolet
interferometry, since they are tunable, coherent, of short pulse duration, and
simple to manipulate. Harmonics from the 9th to the 15th are used to measure the
thickness of an aluminum layer. The 11th harmonic is used to determine the
spatial distribution of the electron density of a plasma produced by a 300-ps
laser. Electronic densities higher than 2-10(20)electrons/cm>(3) are measured.
PMID- 18059808
TI - Full-field characterization of femtosecond pulses by spectrum and cross
correlation measurements: addenda.
PMID- 18059809
TI - Partially coherent conical beams.
AB - We introduce a new class of partially coherent beams that can propagate over
large distances without changing their transverse profiles and their coherence
properties. Such beams are generated by an incoherent superposition of identical
fully coherent beams of arbitrary form, whose axes lie on a cone.
PMID- 18059810
TI - Role of adjacent-pulse overlap in the interaction between dispersion-managed
solitons.
AB - Periodic breathing of pulse width owing to strong dispersion management leads to
significant overlap between adjacent pulses in long-distance fiber-optic
transmissions. A striking result is that beyond a critical degree of overlap the
interaction forces are no longer increased and are even reduced as the overlap is
enhanced.
PMID- 18059811
TI - All-optical noise-subtraction scheme for a fiber-optic gyroscope.
AB - A new intensity-noise-subtraction scheme for an interferometric fiber-optic
gyroscope is demonstrated. Together with the light circulating through the gyro
coil, an appropriately attenuated light beam from the source coupler dead end of
the gyro is directed to the gyro photodetector. When the gyro is unmodulated, or
modulated with a square wave at the proper frequency, the intensity noises of the
two beams bear opposite phases and interfere destructively on the detector. For
an unmodulated gyro, we demonstrate an intensity noise-reduction factor of ~35,
and for the same gyro modulated with a square wave at the proper frequency, we
demonstrate a reduction factor of ~15.
PMID- 18059812
TI - Flexible small-bore hollow fibers with an inner polymer coating.
AB - Flexible hollow-glass fibers with small-bore diameters of 250 and 320 mum have
been developed for Er:YAG laser delivery. The fibers consist of a glass capillary
tube and an inner coating of silver and polymer thin films that are deposited by
use of a simple liquid-phase technique. The 250-mum-bore fiber exhibits a
straight loss of 0.8 dB/m and a bending loss of 1.2 dB when it is bent 180
degrees at the output end, with a bending radius of 2 cm. The maximum energy
delivered by the fibers is ~100 mJ.
PMID- 18059813
TI - Dispersion management in a harmonically mode-locked fiber soliton laser.
AB - Harmonically mode-locked Er-fiber soliton lasers have become a reliable source of
high-repetition-rate picosecond pulses in high-speed communications and photonic
analog-to-digital conversion systems because of their low-noise, dropout-free
operation. We have fabricated such a laser with a strongly dispersion-managed
cavity and modeled its operation, and we have found that dispersion management
significantly extends the power range over which uninterrupted single-pulse
production is attained and dramatically decreases the effects of amplified
spontaneous emission on the phase noise of the laser.
PMID- 18059814
TI - Transient response in high-resolution Brillouin-based distributed sensing using
probe pulses shorter than the acoustic relaxation time.
AB - We perform numerical simulations on a model describing a Brillouin-based
temperature and strain sensor, testing its response when it is probed with
relatively short pulses. Experimental results were recently published [e.g., Opt.
Lett. 24, 510 (1999)] that showed a broadening of the Brillouin loss curve when
the probe pulse duration is reduced, followed by a sudden and rather surprising
reduction of the linewidth when the pulse duration gets shorter than the acoustic
relaxation time. Our study reveals the processes responsible for this behavior.
We give a clear physical insight into the problem, allowing us to define the best
experimental conditions required for one to take the advantage of this effect.
PMID- 18059815
TI - Stable multisoliton pulses in dispersion management with fiber Bragg gratings.
AB - We have studied the propagation of prechirped Gaussian pulse pairs in a fiber
Bragg grating dispersion-managed system. We discovered that, under quite general
conditions, a number of individual pulses evolve to a stable bound multisoliton
solution, with fixed values for the phase difference and the distance between
adjacent pulses. These stable multisoliton solutions may propagate for long
distances without deformation, with the ultimate distance limitation imposed by
the noise amplification.
PMID- 18059816
TI - Localized holographic recording in doubly doped lithium niobate.
AB - Persistent holograms are recorded locally with red light in a LiNbO>(3) crystal
doped with Mg and Fe. Selective erasure is realized by use of a focused UV
sensitizing light. We demonstrate the recording of 50 localized images as well as
selective erasure in a 4 mm x 4 mm x 4 mm crystal. A comparison of the total
recording time for M holograms obtained with the conventional distributed-volume
recording and the localized methods is presented.
PMID- 18059817
TI - Laser phase noise to intensity noise conversion by lowest-order group-velocity
dispersion in optical fiber: exact theory.
AB - An exact result for the spectral density of intensity variations that occur after
propagation of ergodic light in a medium having lowest-order-only group-velocity
dispersion is obtained and applied to the problem of semiconductor laser phase
noise to intensity noise conversion in a single-mode optical fiber. It is shown
that the intensity spectrum after propagation formally approaches, for a large
laser linewidth or a long (or high-dispersion) fiber, the intensity spectrum of a
thermal source having the same line shape as the laser.
PMID- 18059818
TI - Mode-locked Cr(2+):ZnSe laser.
AB - We describe active mode locking of a Cr(2+):ZnSe laser operating near 2.5 mum.
The laser produces 4.4-ps transform-limited Gaussian-shaped pulses and produces
82 mW of average power.
PMID- 18059819
TI - Multipole analysis of the radiation from near-field optical probes.
AB - We experimentally and theoretically analyze the radiation emitted from
subwavelength-sized apertures in near-field optical probes. By decomposing the
experimentally obtained radiation patterns into vector spherical waves, we
describe the fields in terms of a series of multipole sources. We fit
polarization-resolved angular intensity distributions, measured as far as 150
degrees from the normal, with dipole, quadrupole, and octupole radiation. We find
that the magnetic and the electric dipole components are dominant but that the
interference terms between dipoles and higher-order poles are not negligible.
This result can be used as the basis for understanding near-field optical
interactions and images.
PMID- 18059820
TI - High-power optical parametric oscillation in large-aperture periodically poled
KTiOPO(4).
AB - Electric field poling has been employed to fabricate 3-mm-thick periodically
poled KTiOPO>(4) crystal for a high-power optical parametric oscillator. The
maximum output power of the singly resonant optical parametric oscillator reached
13 mJ with 45% efficiency when the resonator was pumped with a 2.2-mm-diameter
beam from a Q-switched Nd:YAG laser. The influence of the cavity design on the
spectral and spatial qualities of the output parametric radiation is also
discussed.
PMID- 18059821
TI - Raman gain measurement in solid parahydrogen.
AB - We report a steady-state Raman gain measurement of the Q(1)(0) transition (v = 1
? 0, J = 0 ? 0) in solid parahydrogen. We carry out measurements by pumping with
a continuous-wave frequency-doubled YAG laser at 532 nm and observing the direct
amplification of a probe-laser beam for the first Stokes transition at 683 nm. A
large single-pass amplification coefficient of 2.3 +/- 0.2 is obtained at a pump
intensity of 46 kW/cm(2), with an interaction length of 1 cm, giving a steady
state Raman gain coefficient of 18 +/- 3 cm/MW.
PMID- 18059822
TI - 20-50-fs pulses tunable across the near infrared from a blue-pumped noncollinear
parametric amplifier.
AB - A two-stage blue-pumped noncollinearly phase matched optical parametric amplifier
was used to generate near-infrared pulses that were continuously tunable from 865
to 1600 nm. The pulse lengths scaled from 20 fs at the shorter wavelengths to
below 50 fs at 1600 nm, with a nearly Fourier-transform-limited bandwidth. From
200 muJ of 775-nm pump light at a 1-kHz repetition rate and a 130-fs duration, 7
2.5-muJ pulse energies were generated, corresponding to a typical quantum
efficiency of 25% from blue to near-infrared light.
PMID- 18059823
TI - Generation of intense ultrabroadband optical pulses by induced phase modulation
in an argon-filled single-mode hollow waveguide.
AB - We experimentally demonstrate the generation of intense ultrabroadband optical
pulses whose spectrum ranges from 300 to 1000 nm (700-THz bandwidth) with a well
behaved spectral phase and 23-muJ pulse energy by a novel, simple setup utilizing
induced phase modulation (IPM) in an argon-filled single-mode hollow waveguide.
Fundamental as well as second-harmonic pulses produced by one common femtosecond
pulse from a Ti:sapphire laser-amplifier system are copropagated in the hollow
waveguide. The effect of the delay time between the two input pulses on the IPM
spectral broadening is clarified and confirmed to agree with the theoretical
result. It is found that the compressed pulse duration from this pulse is 1.51 fs
if its phase is completely compensated for.
PMID- 18059824
TI - Optical frequency measurement across a 104-THz gap with a femtosecond laser
frequency comb.
AB - The frequency-domain mode comb of a Ti:sapphire femtosecond laser centered at 350
THz is broadened to 150 THz (full width at -30 dBc) by self-phase modulation in a
single-mode optical fiber. By phase locking continuous-wave lasers to elements of
the comb near 1064 and 778 nm, we measure the 104-THz frequency gap between these
two lasers with a relative uncertainty of 2.7 x 10(-11) in 1 s.
PMID- 18059825
TI - Generation of a beam with a dark focus surrounded by regions of higher intensity:
the optical bottle beam.
AB - A computer-generated hologram is used to form an optical beam with a localized
intensity null at its focus. The beam is a superposition of two Laguerre-Gaussian
modes that are phased so that they interfere destructively to give a beam focus
that is surrounded in all directions by regions of higher intensity. Beams of
this kind will have applications in the optical trapping of macroscopic objects
or atoms; hence the term optical bottle beam.
PMID- 18059826
TI - Enhanced diffraction efficiency of gratings in multilayers.
AB - Computations with the rigorous differential method show that single gratings made
by ion implantation have a diffraction efficiency in the +1 transmitted order
under TE illumination of only 0.78%. The insertion of such gratings into
multilayer dielectric Fabry-Perot cavities leads to an enhancement of the free
space diffraction efficiency. Different designs for the multilayer are
considered. An 18.8% efficiency is reached with 11-layer mirrors. This result is
obtained by optimization of the thickness of the spacer of the Fabry-Perot cavity
that contains the grating and centering of the wavelength of the mirrors. The
dependence of optical properties of the structure on the various optogeometrical
parameters of the structure is discussed.
PMID- 18059827
TI - Optical carrier-suppression technique with a Brillouin-erbium fiber laser.
AB - We demonstrate a new concept in optical carrier control that uses a simple
arrangement based on a hybrid Brillouin-erbium fiber laser. The system offers
precise tunable control of the optical carrier amplitude independently of the
characteristics of the transmitter or the optical modulation format. As much as
55 dB of carrier attenuation is demonstrated, which to our knowledge is the
highest reported attenuation for a carrier-suppression system.
PMID- 18059828
TI - Carbon dioxide laser-assisted poling of silicate-based optical fibers.
AB - A novel poling method, carbon dioxide laser-assisted poling (CLAP), is
demonstrated. Localized heating during CLAP is achieved through silicate
absorption of the CO>(2) laser radiation. Electro-optic coefficients of 0.2 pm/V
are achieved within a 55-s scan of a twin-hole fiber. It is shown that there is a
range of CO>(2) laser powers for which the residual electro-optic coefficient is
maximized.
PMID- 18059829
TI - Long-period fiber gratings with variable coupling for real-time sensing
applications.
AB - We demonstrate a long-period grating whose resonance varies in strength but
remains fixed in wavelength with either temperature or strain. Using this fiber
grating sensor, we resolved a change of 1 muepsilon of strain or 0.04 degrees C
in temperature. Such sensors require no spectrometer or other frequency-selective
components and can operate in real time.
PMID- 18059831
TI - Superresolution in far-field imaging.
AB - Based on the idea of the complex filters suggested by Toraldo di Francia in 1952
[Nuovo Cimento Suppl. 9, 426 (1952)], superresolved imaging has been achieved by
use of interferometric image multiplication. A resolution limit of 55% of the
Sparrow limit was achieved for incoherent objects.
PMID- 18059830
TI - Holey fibers with random cladding distributions.
AB - We provide what is to our knowledge the first direct confirmation that light can
be guided in a holey fiber with randomly distributed air holes in the cladding.
We also show that many of the features previously attributed to periodic holey
fibers, in particular, single-mode guidance at all wavelengths, can also be
obtained with random holey fibers. We provide insight into exactly how sensitive
a holey fiber's optical properties are to the details of the cladding profile.
PMID- 18059832
TI - Imaging through scattering media with depth resolution by use of low-coherence
gating in spatiotemporal digital holography.
AB - We demonstrate optical gating through scattering media based on low-coherence
spatiotemporal digital holography. The method combines the advantages of low
coherence gating, both temporal and spatial, with the advantages of methods using
heterodyning and phase-sensitive detection. Spatiotemporal data are captured on a
CCD detector in a single exposure, without mechanical scans, and processed
digitally. Examples of reconstructions and sectioning of objects hidden behind a
ground-glass diffuser are shown.
PMID- 18059834
TI - Sampled-speckle photography for measurement of deformation.
AB - Speckles usually are of two kinds: laser speckle and white-light speckle. An
additional kind, termed a sampled speckle, is proposed. Whereas laser speckles
arise from multiple interference of light scattered from an object illuminated by
a coherent laser beam and white-light speckles are a physically generated speckle
pattern on the surface of the object, sampled speckles are generated as a result
of the sampling of a digital image. The generation of these speckles and their
application to displacement measurement are demonstrated.
PMID- 18059833
TI - Twin-image elimination experiments for three-dimensional images in optical
scanning holography.
AB - Twin-image elimination in the context of optical scanning holography has recently
been proposed. The proposed technique involves simultaneously acquiring sine and
cosine Fresnel holograms. A complex hologram is then formed by complex addition
of the holograms, and twin-image rejection is predicted by computer simulations.
An experimental verification of the technique by optical acquisition of the two
holograms and subsequent reconstruction of the complex hologram digitally is
reported. Three-dimensional image reconstruction without twin-image noise is
demonstrated.
PMID- 18059835
TI - Synthetic-aperture radar autofocus by maximizing sharpness.
AB - To focus a synthetic-aperture radar image that is suffering from phase errors, a
phase-error estimate is found that, when it is applied, maximizes the sharpness
of the image. Closed-form expressions are derived for the gradients of a
sharpness metric with respect to phase-error parameters, including both a point
by-point (nonparametric) phase function and coefficients of a polynomial
expansion. Use of these expressions allows for a highly efficient gradient-search
algorithm for high-order phase errors. The effectiveness of the algorithm is
demonstrated with an example.
PMID- 18059836
TI - Laser-induced thermal-acoustic velocimetry with heterodyne detection.
AB - Laser-induced thermal acoustics (LITA) was used with heterodyne detection to
measure simultaneously and in a single laser pulse the sound speed and flow
velocity of NO>(2) -seeded air in a low-speed wind tunnel up to Mach number M
=0.1 . The uncertainties of the velocity and the sound speed measurements were
~0.2 m/s and 0.5%, respectively. Measurements were obtained through a nonlinear
least-squares fit to a general, analytic closed-form solution for heterodyne
detected LITA signals from thermal gratings. Agreement between theory and
experiment is exceptionally good.
PMID- 18059837
TI - Optical low-coherence reflectometer for differential phase measurement.
AB - A birefringent-fiber-based dual-channel optical low-coherence reflectometer
capable of differential phase measurement is described. Phase noise owing to
environmental perturbations that is common to both channels is canceled,
resulting in accurate measurement of the phase difference between light
backscattered from two spatially separated sites. Results are presented that
demonstrate the accuracy and sensitivity of the system.
PMID- 18059838
TI - High-power, continuous-wave, current-tunable, single-mode quantum-cascade
distributed-feedback lasers at lambda - 5.2 and lambda - 7.95 mum.
AB - Quantum-cascade distributed-feedback lasers with high-power, continuous-wave
(cw), tunable, single-mode emission are reported. The emission wavelengths are
near 5.2 and 7.95 mum. The lasers are operated at liquid-nitrogen temperature and
above. A maximum output power of >100 mW is obtained per facet at 80 K for both
wavelengths, which is the result of careful positioning of the peak gain with
respect to the Bragg wavelength. Continuous tuning with either heat-sink
temperature or cw current is demonstrated. The tuning coefficients are 0.35 nm/K
(5.2 mum) and 0.51 nm/K(7.95 mum) for thermal tuning and vary from 20 to 40 nm/A
for tuning with current. The lasers are being used in high-resolution and high
sensitivity gas-sensing applications.
PMID- 18059839
TI - Engineering large anisotropy in amorphous glass.
AB - By exploiting the strain-related rollover thresholds that occur between UV
induced positive and negative index regimes in germanosilica glass it is possible
to engineer large anisotropy into the structure. This is qualitatively analyzed
for a planar waveguide and has been confirmed experimentally. However, the
technique described here is not confined to waveguide devices and can in
principle be applied to any glass structure that is capable of undergoing strain
sensitive transitions.
PMID- 18059840
TI - Phase plates for wave-aberration compensation in the human eye.
AB - We present a method for manufacturing phase plates to compensate for the wave
aberration in the human eye. The wave aberration of the eye is measured in vivo
by a new laser ray-tracing method and then compensated for by a phase plate
placed in front of the eye. This plate is made from a gray-level single-mask
photosculpture in photoresist. Two experiments were carried out, first with an
artificial eye and then with a human eye: 80% compensation for the wave
aberration was achieved in both cases.
PMID- 18059841
TI - Polarized light propagation through tissue phantoms containing densely packed
scatterers.
AB - We demonstrate that polarized light is maintained differently in densely packed
versus dilute suspensions of polystyrene microspheres. The degrees of linear and
circular polarization were measured versus scatterer concentration in aqueous
suspensions of 0.48-, 0.99-, 2.092-, and 9.14-mum-diameter polystyrene
microspheres. The results indicate that, for dilute suspensions of microspheres
where independent scattering is assumed, the degrees of linear and circular
polarization decrease as the scatterer concentration increases. For dense
suspensions, however, the degree of polarization begins to increase as the
scatterer concentration increases. The preferential propagation of linear over
circular polarization states in dense suspensions is similar to results seen in
biological tissue.
PMID- 18059842
TI - Time-domain transillumination of biological tissues with terahertz pulses.
AB - We present time-domain transmission imaging of an opaque structure in pork-fat
tissue obtained with a terahertz (THz) field sampling technique. Compared with
imaging with near-infrared pulses, the terahertz sampling technique shows
significantly enhanced contrast, as a result of low scattering. For enhanced
spatial resolution, we show mid-infrared THz imaging of onion cells. Water
absorption of THz pulse in muscle tissues is discussed.
PMID- 18059843
TI - Optimized pupil-plane filters for confocal microscope point-spread function
engineering.
AB - We present a new method of superresolving pupil-plane filter design in confocal
microscopy in which we specify the properties of the desired point-spread
function and use an optimization procedure to determine a suitable pupil-plane
filter. A new, flexible method of filter implementation using reconfigurable
binary optical elements is described, and experimental results are presented.
PMID- 18059844
TI - Aperture scaling effects with monolithic periodically poled lithium niobate
optical parametric oscillators and generators.
AB - We used elliptical beams to demonstrate aperture scaling effects in nanosecond
single-grating and multigrating periodically poled lithium niobate (PPLN)
monolithic optical parametric oscillators and generators. Increasing the cavity
Fresnel number in single-grating crystals broadened both the beam divergence and
the spectral bandwidth. Both effects are explained in terms of the phase-matching
geometry. These effects are suppressed when a multigrating PPLN crystal is used
because the individual gratings provide small effective subapertures. A flood
pumped multigrating optical parametric generator displayed a low output beam
divergence and contained 19 pairs of signal and idler frequencies.
PMID- 18059845
TI - Large optical second-order nonlinearity of poled WO3-TeO2 glass.
AB - Second-harmonic generation, one of the second-order nonlinear optical properties
of thermally and electrically poled WO>(3)-TeO>(2) glasses, has been examined. We
poled glass samples with two thicknesses (0.60 and 0.86 mm) at various
temperatures to explore the effects of external electric field strength and
poling temperature on second-order nonlinearity. The dependence of second
harmonic intensity on the poling temperature is maximum at a specific poling
temperature. A second-order nonlinear susceptibility of 2.1 pm/V was attained for
the 0.60-mm-thick glass poled at 250 degrees C. This value is fairly large
compared with those for poled silica and tellurite glasses reported thus far. We
speculate that the large third-order nonlinear susceptibility of WO>(3)- TeO>(2)
glasses gives rise to the large second-order nonlinearity by means of a X((2)) =
3X((3)) E(dc) process.
PMID- 18059846
TI - Large Kerr effect in bulk Se-based chalcogenide glasses.
AB - High-speed optical communication requires ultrafast all-optical processing and
switching capabilities. The Kerr nonlinearity, an ultrafast optical nonlinearity,
is often used as the basic switching mechanism. A practical, small device that
can be switched with ~1-pJ energies requires a large Kerr effect with minimal
losses (both linear and nonlinear). We have investigated theoretically and
experimentally a number of Se-based chalcogenide glasses. We have found a number
of compounds with a Kerr nonlinearity hundreds of times larger than silica,
making them excellent candidates for ultrafast all-optical devices.
PMID- 18059847
TI - Conversion of unpolarized light to polarized light with greater than 50%
efficiency by photorefractive two-beam coupling.
AB - All known polarizers operate through a separation of orthogonal electric field
components, one of which is subsequently discarded. As a result, 50% of the
unpolarized incident light is wasted in the process of conversion to polarized
light. We demonstrate a new method by which we use the optical power in the
ordinarily discarded component as the pump to amplify the retained component
through photorefractive two-beam coupling to achieve greater than 50% throughput.
PMID- 18059848
TI - Highly efficient optical power transfer to whispering-gallery modes by use of a
symmetrical dual-coupling configuration.
AB - We report that greater than 99.8% optical power transfer to whispering-gallery
modes was achieved in fused-silica microspheres by use of a dual-tapered-fiber
coupling method. The intrinsic cavity loss and the taper-to-sphere coupling
coefficient are inferred from the experimental data. It is shown that the low
intrinsic cavity loss and the symmetrical dual-coupling structure are crucial for
obtaining the high coupling efficiency.
PMID- 18059849
TI - Er-Al-codoped silicate planar light waveguide-type amplifier fabricated by radio
frequency sputtering.
AB - A planar light waveguide-type optical amplifier was designed and fabricated. A
core layer of Er-Al-codoped SiO>(2) glass was deposited onto a silica cladding
layer by rf sputtering. In the Er-doped core layer the average Er and Al
concentrations were 0.77 and 11.37 wt.%, respectively. We achieved 5 dB of gain
with 20 mW of 980-nm pump power by using -20 dBm of 1546-nm input signal power.
PMID- 18059850
TI - Determination and optimization of mode matching into optical cavities by
heterodyne detection.
AB - We report on a novel high-sensitivity method to characterize and improve mode
matching into optical cavities. This method is based on heterodyne detection of
cylindrical transverse cavity modes. A specially designed annular-segmented
photodiode is used to measure the amplitude of nonresonant modes reflected by the
cavity. Our measurements allow us to optimize cavity mode matching to nearly
99.98% and will play an important diagnostic role in gravitational-wave
detectors.
PMID- 18059851
TI - Collinear type II second-harmonic-generation frequency-resolved optical gating
for the characterization of sub-10-fs optical pulses.
AB - For the first time to our knowledge, we demonstrate a collinear frequency
resolved optical gating (FROG) technique that is suitable for the
characterization of sub-10-fs pulses. This FROG variant does not suffer from
geometrical blurring effects, and a temporal resolution of 1 fs can be achieved
without the need for additional aperturing. The apparatus is suitable for
subnanojoule pulse energies. We apply this technique for the full
characterization of pulses from a Kerr-lens mode-locked Ti:sapphire laser.
PMID- 18059852
TI - Sampling a terahertz dipole transition with subcycle time resolution.
AB - We present a time-resolved technique to measure optical excitation processes with
a time resolution shorter than the oscillation period of the exciting light. Our
terahertz (THz) experiments fully resolve the polarization dynamics of electrons
in semiconductor heterostructures when they are excited by a THz pulse. The time
resolution of the polarization enables us to deduce the population dynamics of
the excited state, which includes the dynamics of a virtual population in the
case of off-resonant excitation.
PMID- 18059853
TI - Double Gires-Tournois interferometer negative-dispersion mirrors for use in
tunable mode-locked lasers.
AB - We report the implementation and operation of novel superhigh-reflectivity
negative-dispersion dielectric mirrors for use in tunable ultrafast laser
systems. The mirror structure is divided into two distinct regions: an underlying
superhigh-reflectivity dielectric quarter-wavelength stack and an overlying
negative-dispersion section consisting of only a few layers and forming simple
multiple Gires-Tournois interferometers. The example that we present was designed
for operation from 800 to 900 nm and has a near-constant group-delay dispersion
of -40 fs(2) and a peak reflectivity greater than 99.99%. We show a comparison of
the predicted and the measured mirror performance and application of these
mirrors in a mode-locked Ti:sapphire laser tunable from 805 to 915 nm.
PMID- 18059854
TI - Can the Wigner transform of a two-dimensional rotationally symmetric beam be
fully recovered from the Wigner transform of its one-dimensional approximation?
AB - It is shown that the full four-dimensional Wigner transform of a coherent,
rotationally symmetric light beam can be completely recovered by measurement, in
one step, of the Wigner transform of an equivalent one-dimensional light beam.
The method of generating this equivalent light beam from a two-dimensional
circular light beam is presented.
PMID- 18059856
TI - Efficient reduction of interactions in dispersion-managed links through in-line
filtering and synchronous intensity modulation.
AB - The effect of combined use of in-line filtering and synchronous intensity
modulation on interactions is investigated both analytically and numerically in a
40-Gbit/s dispersion-managed link. Numerical results confirm analytical
predictions and show that interactions are efficiently suppressed.
PMID- 18059855
TI - Conversion of bright nondiffracting beams into dark nondiffracting beams by use
of the topological properties of polarized light.
AB - We present a method for the generation of an axial phase dislocation on a wave
front, which is induced by topological properties of polarized light. This effect
is shown to be useful for conversion of bright nondiffracting beams into dark
nondiffracting beams. Experiments showing the generation of dark nondiffracting
beams have been performed.
PMID- 18059857
TI - Solitons and polarization mode dispersion.
AB - Analytical expressions are presented for Manakov solitons perturbed by
polarization mode dispersion (PMD). Comparison is made with computer simulations.
Dispersion-managed solitons are also studied. It is concluded that at high bit
rates solitons are superior to linear return-to-zero propagation with regard to
PMD.
PMID- 18059858
TI - Modulational instability in a transmission system with semiconductor optical
amplifiers and in-line filters.
AB - Modulational instability of continuous waves in transmission systems with
semiconductor optical amplifiers and in-line filters was theoretically and
experimentally analyzed. Stable and unstable domains were identified. Even in the
normal-dispersion region, modulationally unstable domains can exist for an
appropriate filter detuning.
PMID- 18059859
TI - Fourth-order dispersion suppression of ultrashort optical pulses by second-order
dispersion and cosine phase modulation.
AB - A technique for significantly suppressing severe fourth-order dispersion of
ultrashort optical pulses in long-distance transmission is analyzed by use of the
quadratic phase from the excess second-order dispersion of the fiber link in
conjunction with synchronously applied cosine phase modulation of temporally
stretched pulses. Numerical simulation predicts much improved transmission of 250
fs pulses at 5-10-GHz repetition rates over ~100 km of fiber by pi-3.5pi phase
modulation.
PMID- 18059860
TI - Measurement of the chromatic dispersion of an optical fiber by use of a Sagnac
interferometer employing asymmetric modulation.
AB - We describe a novel method, based on asymmetric modulation in a Sagnac
interferometer, that measures the chromatic dispersion of single-mode fibers. The
interferometer incorporates a phase modulator and a test fiber, so the dispersion
can be determined from the interference fringe seen when a sweep rf signal is
applied to the modulator. This technique provides picosecond temporal resolution
without the need for any fast diagnostic equipment and is capable of accurately
measuring the average dispersion of fibers several kilometers long.
PMID- 18059861
TI - Large increase in photosensitivity through massive hydroxyl formation.
AB - We report a large increase in photosensitivity of germanium-doped silicate fibers
by rapid heat treatment of hydrogen-loaded fibers at 1000 degrees C before
exposure of the fibers to 242-nm radiation. The increase in photosensitivity is
compared with thermally induced absorption caused by introduction of massive
amounts of hydroxyl species. The absorption loss was measured to be 0.02 dB/cm
mol.% OH at 1.55 mum. Strong gratings (Dn > 1 x 10(-4)) in germanium-free
phosphorous-doped fibers in the presence of 242-nm radiation have also been
manufactured by this technique.
PMID- 18059862
TI - Broadband monolithic acousto-optic tunable filter.
AB - Broadband monolithic acousto-optic tunable filters that combine a piezoelectric
transducer array and an acousto-optic interaction medium in a single crystal have
been investigated. A linearly chirped acoustic superlattice with an optical
tuning range of lambda = 1.3-1.6 mum was formed by domain inversion in LiNbO(3) .
X-propagating longitudinal acoustic waves are excited in a crossed-field scheme
by a rf E(y) field applied to the superlattice and couple collinearly propagating
e- and o-polarized optical modes. At mu = 1.319 mum and mu = 1.55 mum the
spectral bandwidths (FWHM) were 1.54 and 2.3 nm, respectively. A relative
conversion efficiency of 43%/W and a maximum conversion efficiency of 51% were
measured at 1.319 mum.
PMID- 18059863
TI - Frequency comb generation using femtosecond pulses and cross-phase modulation in
optical fiber at arbitrary center frequencies.
AB - A technique is presented for generating optical frequency combs centered at
arbitrary wavelengths by use of cross-phase modulation (XPM) between a
femtosecond pulse train and a cw laser beam by copropagating these signals
through an optical fiber. We report results from use of this method to place a 90
MHz frequency comb on an iodine-stabilized Nd:YAG laser at 1064 nm and on a
frequency-doubled Nd:YVO(4) laser at 532 nm. XPM is verified to be the comb
generating process, and the width of the frequency comb is measured and compared
with theory. The spacing of the frequency comb is compared with the femtosecond
source, and a frequency measurement with this comb is demonstrated.
PMID- 18059864
TI - Cancellation of laser dither modulation from optical frequency standards.
AB - We demonstrate the removal of the dither modulation from an iodine-stabilized He
Ne laser by using a frequency-modulated acousto-optic modulator and feed-forward
techniques. This procedure reduces the linewidth of the beat between this laser
and a flywheel He-Ne laser from 6 MHz to 8 kHz, the undithered beat linewidth
being ~7 kHz. Dither suppression greatly reduces counter errors during beat
measurements from stroboscopic effects between the counter's gate and the
frequency of the dither modulation and increases the utility of the already
formidable array of dithered laser frequency standards by making locking to them
an easier task.
PMID- 18059865
TI - Wide-range amplified spontaneous emission wavelength tuning in a solid-state dye
waveguide.
AB - Amplified spontaneous emission (ASE) in an organic-dye- (DCM-) doped poly(methyl
methacrylate)/silica-gel hybrid material planar waveguide was investigated. ASE
wavelength control and tuning in a wedge waveguide were realized. The tuning
range of the waveguide can reach as much as 30 nm. This method can be applied to
wide-range wavelength tuning in solid-state tunable lasers.
PMID- 18059866
TI - Optical vortices generated by dislocations in a cholesteric liquid crystal.
AB - We report the observation of optical vortices in a laser beam propagating through
the stripe pattern of a cholesteric liquid crystal. The liquid crystal is
confined in a cell with homogeneous boundary conditions and forms a diffraction
phase grating. Optical vortices are produced by edge dislocations of the
cholesteric grating. The vortices show up as spots of zero light intensity in the
diffraction maxima. There is one spot in each +1 and -1 diffraction maximum and
two spots in diffraction maxima +2 and -2.
PMID- 18059867
TI - Membrane imaging by simultaneous second-harmonic generation and two-photon
microscopy.
AB - We demonstrate that simultaneous second-harmonic generation (SHG) and two-photon
excited fluorescence (TPEF) can be used to rapidly image biological membranes
labeled with a styryl dye. The SHG power is made compatible with the TPEF power
by use of near-resonance excitation, in accord with a model based on the theory
of phased-array antennas, which shows that the SHG radiation is highly
structured. Because of its sensitivity to local asymmetry, SHG microscopy
promises to be a powerful tool for the study of membrane dynamics.
PMID- 18059868
TI - Exploitation of the Z-scan technique as a method to optically probe pK(a) in
organic materials: application to porphyrin derivatives.
AB - We demonstrate the use of the Z-scan technique as a method to measure a chemical
parameter, the pK(a) value, that characterizes the equilibrium constant in acid
base reactions. The measurements were performed with picosecond pulses at 532 nm
in Fe(III)-meso-tetrakis(4-N-methyl-pyridyl) porphyrin. The results were compared
with linear absorption measurements and electron paramagnetic resonance, the
usual techniques employed to determine pK(a) values in aqueous solutions, and
showed excellent agreement.
PMID- 18059869
TI - Pixellike parametric generator based on controlled spatial-soliton formation.
AB - We report the observation of a stable matrix of diffraction-limited solitary
beams in a monolithic, single-pass, parametric amplifier pumped by a spatially
modulated beam.
PMID- 18059870
TI - Modified theory of photoinduced molecular polar alignment in azo polymers.
AB - An improvement on the simplified theory of all-optical poling [Chem. Phys. Lett.
286, 415 (1998)] is proposed. In this improvement the influence of the cis isomer
is taken into account in the process of photoinduced molecular polar alignment.
An analytical expression for the induced polar order in the steady-state regime
is derived. This expression shows that, although the contribution to the
photoinduced second-order susceptibility from the cis state itself can be
neglected, the population of the cis state plays an important role. This result
suggests the possibility that one can improve the induced polar order by
increasing the poling temperature, so that thermal-assisted optical poling can be
explained.
PMID- 18059871
TI - Systematic behavior of electro-optic chromophore photostability.
AB - The wavelength dependence of the one-photon absorption-induced photodegradation
rate has been measured from the visible to the near IR for a variety of electro
optic chromophore-doped polymers. Systematic behavior is identified. The lifetime
of the electro-optic activity is found to increase exponentially over 4-6 orders
of magnitude for wavelengths ranging from peak of absorption, typically in the
visible, to ~1000 nm. Many popular chromophores developed for electro-optics over
the past 10 years are compared.
PMID- 18059872
TI - Critical power for self-focusing in bulk media and in hollow waveguides.
AB - We determine the threshold power for self-focusing collapse both in a bulk medium
and in a hollow-core waveguide for various spatial profiles. We find that the
threshold power for collapse in the waveguide is always equal to the lower-bound
prediction for a bulk medium.
PMID- 18059873
TI - Highly efficient infrared-to-visible energy upconversion in Er(3+):Y(2)O(3).
AB - Very intense green and red emission was observed at room temperature from the
(4)S(3/2) and (4)F(9/2) levels of Er(3+):Y(2)O(3). A cw diode laser at 975 nm was
used as a pump for resonant sequential excitation of the (4)I(11/2) and (4)F(7/2)
levels. The fluorescence was easily visible to the naked eye, even with 27 mW of
excitation power. It was found that at 850 mW of cw excitation power the total
luminance was 39,000 cd/m(2). This corresponds to ~100 muW of green emission and
270 muW of red emission.
PMID- 18059874
TI - Extended mode-hop-free tuning by use of a dual-cavity, pump-enhanced optical
parametric oscillator.
AB - We report extended mode-hop-free tuning in a continuous-wave, pump-enhanced
optical parametric oscillator (PE-OPO). We employ a dual-cavity configuration to
allow independent control of the resonant pump and signal fields, and so we can
suppress frequent mode hops in the signal as the pump is tuned in frequency. With
the signal field clamped in frequency by an uncoated etalon, the idler field can
be scanned smoothly through a range of 10.8 GHz. The PE-OPO outputs can also be
tuned coarsely from 1.01 to 1.18 mum in the signal and from 2.71 to 3.26 mum and
4.07 to 5.26 mum in the idler. We find that increased idler absorption only
slightly increases the oscillation threshold.
PMID- 18059875
TI - Filter synthesis for periodically coupled microring resonators.
AB - The theory of filter synthesis using periodically coupled microring resonators is
developed as a means to overcome the fabrication sensitivities inherent in
conventional higher-order filters, while still achieving desirable spectral
characteristics. Each resonator in the array can compensate for deficiencies in
any of the others. These filters exhibit a boxlike shape and very high extinction
ratios.
PMID- 18059876
TI - Experimental verification of Rayleigh scattering cross sections.
AB - The cavity-ringdown technique is applied to measure Rayleigh extinctions of Ar,
N(2), and SF(6) in the 560-650-nm region at 294 K. It is shown that experimental
and calculated Rayleigh scattering cross sections agree within an experimental
uncertainty of 1% (for SF(6), 3%).
PMID- 18059877
TI - Saturated-absorption spectroscopy with low-power difference-frequency radiation.
AB - We report high-resolution saturated-absorption spectra recorded by use of a few
microwatts of radiation generated in a single pass by difference-frequency
mixing. These results were obtained without the use of buildup cavities for the
nonlinear mixing or for the saturation spectroscopy. We show high-quality
saturated-absorption signals for the fundamental rovibrational band of CO(2) near
4.3 mum. Convenient sources and frequency-conversion devices open new
possibilities for sub-Doppler spectroscopy in the infrared.
PMID- 18059878
TI - Video rate depth-resolved two-dimensional imaging through turbid media by
femtosecond parametric amplification.
AB - We report two-dimensional imaging through a liquid scattering medium by
noncollinear femtosecond parametric amplification in a reflection configuration.
The experiment presented permits direct observation at video rate of two
dimensional images with 24-mum depth resolution and 90-mum transverse resolution
for an area with a 2.5-mm diameter on the object. These resolutions are
achievable through a turbid phantom with a depth near 12 scattering mean free
paths in double pass.
PMID- 18059879
TI - Dense plasma diagnostics with an amplitude-division soft-x-ray laser
interferometer based on diffraction gratings.
AB - We report the demonstration of an amplitude-division soft-x-ray interferometer
that can be used to generate high-contrast interferograms at the wavelength of
any of the saturated soft-x-ray lasers (5.6-46.9 nm) that are available at
present. The interferometer, which utilizes grazing-incidence diffraction
gratings as beam splitters in a modified Mach-Zehnder configuration, was used in
combination with a tabletop 46.9-nm laser to probe a large-scale (~2.7-mm-long)
laser-created plasma.
PMID- 18059880
TI - Optimal synthesis of three-dimensional complex amplitude distributions.
AB - The synthesis of three-dimensional (3-D) light distributions is important for
many applications. For example, in scanning applications it is preferable that
the scanning beam preserve its characteristics over a large distance to yield
elongated scanning range. It is evident that any 3-D light distribution must
satisfy the wave equation or, in second-order approximation, the Fresnel
diffraction formula. Thus many desirable 3-D light distributions may not be
realizable. We propose a single optical element (OE) that synthesizes a physical
beam within a certain 3-D region. The OE provides the optimal physical beam in
comparison with a desired one in the sense of minimal mean-square error.
PMID- 18059881
TI - A reciprocity inequality for Gaussian Schell-model beams and some of its
consequences.
AB - A reciprocity inequality is derived, involving the effective size of a planar,
secondary, Gaussian Schell-model source and the effective angular spread of the
beam that the source generates. The analysis is shown to imply that a fully
spatially coherent source of that class (which generates the lowest-order Hermite
Gaussian laser mode) has certain minimal properties.
PMID- 18059882
TI - Radiative transfer over small distances from a heated metal.
AB - Published works have predicted that the radiative transfer from a heated metal to
a lossless dielectric a short distance away is many orders of magnitude times the
free-space Planck density. It is shown analytically that the radiative transfer
from a heated metal to a lossless dielectric of index n(3) is n(3)(2)e(13) times
the free-space Planck density, where e(13) is the emissivity of the metal
radiating into the lossless dielectric. This radiative transfer is never larger
than n(3)(2) (approximately one order of magnitude for semiconductors in the
infrared) times the free=space Planck density. The expressions presented show
that the maximum radiative transfer from a lossy metallic heat source with a
dielectric function of imaginary part ?(I) must be proportional to n(3)(3)/
radical?(I), of which a factor of n(3)(2) arises from the power density within a
dielectric and a factor of n(3)/ radical?(I) arises from the emissivity of a
metal radiating directly into a dielectric.
PMID- 18059883
TI - Local plasmon sensor with gold colloid monolayers deposited upon glass
substrates.
AB - A new optical sensor that uses local plasmon resonance is proposed. A peak that
is due to the local plasmon resonance appears in the absorption spectrum of a
gold colloid suspension in the visible region, and its height and wavelength
depend on the refractive index of the suspension. These properties are used for
optical sensors. We used gold colloid monolayers in which colloidal gold
particles a few tens of nanometers in diameter were immobilized upon a glass
slide by a functional organic coupling agent. We measured the absorption spectra
of the the gold colloid monolayers, which were immersed in liquid samples or
coated with thin films. We observed increases of both the resonance wavelength
and the absorbance as the refractive indices of the sample liquids or the
thickness of the coated films increased. The proportional constants of the
resonance wavelength to the film thickness were 3.6 and 5.7 for a 13.9- and a
20.2-nm gold colloid monolayer, respectively.
PMID- 18059884
TI - Amplification of enhanced backscattering from a dye-doped polymer bounded by a
rough surface.
AB - We report the experimental study of the enhanced backscattering from a random
rough surface through a laser dye-doped polymer. The sample is a slice of
pyrromethene-doped polymer coupled with a two-dimensional rough gold layer with a
large slope. When the sample is illuminated with an s-polarized He-Ne laser and
pumped by a cw argon-ion laser, amplified backscattering is observed. The
enhanced backscattering peak increases sharply and its width narrows for a sample
with low dielectric constant |?(2)|.
PMID- 18059885
TI - Ultrasound tagged light imaging in turbid media in a reflectance geometry.
AB - A combination of light and focused ultrasound waves provides a unique way to
obtain directly three-dimensional absorption data in a turbid medium. We present
the combination of an ultrasound wave and light in which both the input and the
output optodes are on the same side of the sample (reflectance geometry). This
technique permits local detection in depth of the presence of a purely absorbing
object, without further mathematical processing. It is a promising technique for
medical imaging and monitoring of tissues.
PMID- 18059886
TI - Diffractive lens fabricated with binary features less than 60 nm.
AB - We designed, fabricated, and characterized a binary diffractive lens with
features less than 60 nm. The lens was designed for operation in the red portion
of the spectrum. Experimental measurements of lens performance agree with
predictions generated by rigorous models of diffraction.
PMID- 18059887
TI - Measurements of beat length and perturbation length in long single-mode fibers.
AB - Experimental results of measurement of the beat length and the differential group
delay of several types of long single-mode fiber are presented. The proposed
measurement technique is based on a polarization-sensitive analysis of the
backscattered signal and allows one to calculate the correlation length of the
random birefringence affecting the fiber.
PMID- 18059888
TI - Polarization-maintaining, double-clad fiber amplifier employing externally
applied stress-induced birefringence.
AB - We report a new approach to obtaining linear-polarization operation of a rare
earth-doped fiber amplifier in which the gain fiber is coiled under tension to
induce birefringence. We demonstrated this method by constructing an Er/Yb-doped,
double-clad, single-mode fiber amplifier with an output power of 530 mW and a
polarization extinction ratio of >17 dB (when seeded with linearly polarized
light) at a wavelength of ~1.5 microm . The technique is achromatic, permits
single- or multiple-pass operation of the amplifier, requires no additional
components in the optical path, leaves the fiber ends unobstructed, and is
inexpensive to implement.
PMID- 18059889
TI - Electrostrictive response in single-mode ring-index-profile fibers.
AB - We have theoretically investigated, for what is to our knowledge the first time,
the electrostrictive response in a single-mode ring-core fiber. We found that the
electrostrictive response function differs strongly from those of standard fibers
with a Gaussian light-intensity profile.
PMID- 18059890
TI - Wavelength conversion by use of four-wave mixing in a novel optical loop
configuration.
AB - A novel loop configuration for generation of four-wave mixing (FWM) and
suppression of input pump wave, signal wave, and amplified spontaneous emission
is proposed and experimentally demonstrated. The novel loop configuration is very
simple and functions independently of the wavelengths of the pump and the signal
waves. By use of the novel loop configuration, nonreturn-to-zero wavelength
conversion at 10 Gbits/s is achieved. The FWM-to-pump ratio, the FWM-to-signal
ratio, and the signal-to-noise ratio are improved by 17.9, 18.8, and 8.2 dB,
respectively. A principle experiment of wavelength conversion of four
simultaneous channels is demonstrated.
PMID- 18059891
TI - Phase-restricted heterogeneous correlation.
AB - We introduce a new phase-restricted algorithm for producing a heterogeneous
correlation filter that permits new in-class members to be added without changing
the phase of the filter. This heterogeneous correlation filter uses amplitude
modulation both to enhance in-class cross correlations and to suppress selected
out-of-class correlations. This new algorithm should substantially improve the
performance of existing class-associative correlators and improve their
operation.
PMID- 18059892
TI - Tunable stimulated-Brillouin-scattering resonator started by feedback provided by
Bragg scattering from the dynamic grating within an active medium.
AB - We report a tunable stimulated-Brillouin-scattering resonator that does not
require a starting mirror or a wavelength selector. The resonator is based on the
Bragg scattering from the dynamic grating within the active medium itself. The
laser dye (Rhodamine 6G dissolved in ethanol) was utilized as the active medium
and was pumped by a frequency-doubled Nd:YAG laser. The quality factor (M(2)) of
the output beam was 2.2. A single short pulse (<1 ns) with a pumping efficiency
of ~4% was observed.
PMID- 18059893
TI - Three-cascaded 1407-nm Raman laser based on phosphorus-doped silica fiber.
AB - We report a laser-diode-pumped 1407-nm Raman fiber laser with an output power of
1 W. In this three-cascaded cw Raman laser, based on a single active phosphorus
doped silica fiber, for the first time to the authors' knowledge successive
generation of Stokes components of essentially different frequency shifts (1330
and 490cm(-1)) has been realized. These Stokes components are associated with
both constituents (P(2)O(5) and SiO(2)) of the fiber core glass.
PMID- 18059894
TI - Spectral beam combining of a broad-stripe diode laser array in an external
cavity.
AB - The outputs from an 11-element, linear diode laser array with broad stripes have
been beam combined into a single beam with a beam quality of ~20x diffraction
limited in the plane of the junction. This beam combining was achieved by use of
a common external cavity containing a grating, which simultaneously forces each
array element to operate at a different, but controlled, wavelength and forces
the beams from all the elements to overlap and propagate in the same direction.
The power in the combined beam was 50% of the output from the bare laser array.
PMID- 18059895
TI - Space-selective growth of frequency-conversion crystals in glasses with
ultrashort infrared laser pulses.
AB - We report on space-selective growth of a second-harmonic-generation beta
BaB(2)O(4) (BBO) crystal inside a BaO-Al(2)O(3)-B(2)O(3) glass sample at the
focal point of an 800-nm femtosecond laser beam. A spherical heated region was
formed during the focused laser irradiation through observation with an optical
microscope. We moved the heated region by changing the position of the focal
point of the laser beam relative to the glass sample. We grew BBO crystal
continuously in the glass sample by adjusting the moving speed of the heated
zone. Our results demonstrate that functional crystals can be formed three
dimensionally in glasses by use of a nonresonant ultrashort pulsed laser.
PMID- 18059896
TI - Optical second-harmonic-generation probe of two-dimensional ferroelectricity.
AB - Optical second-harmonic generation (SHG) is used as a noninvasive probe of two
dimensional (2D) ferroelectricity in Langmuir-Blodgett (LB) films of the
copolymer vinylidene fluoride with trifluoroethylene. The surface 2D
ferroelectric-paraelectric phase transition in the topmost layer of the LB films
and a thickness-independent (almost 2D) transition in the bulk of these films are
observed in temperature studies of SHG.
PMID- 18059897
TI - Electrically controlled surface diffraction gratings in nematic liquid crystals.
AB - Photorefractive diffraction gratings were studied in cells of homeotropically
aligned pentyl-cyanobiphenyl liquid crystal. These holographic gratings were
induced by the simultaneous and nonsimultaneous application of dc and coherent
optical electric fields. The observed behavior was consistent with a
predominantly surface-mediated photorefractive effect. Beam coupling was observed
in all cases and led to a model involving screened and unscreened interfacial
trapped charges driving a modulation of the easy axis. Holographic gratings could
be switched on and off by the application of a small voltage.
PMID- 18059898
TI - Observation of bound states of interacting vector solitons.
AB - We report experimental observation of bound states formed by two well-separated
vector spatial solitons as the result of a force balance between vector-soliton
components. We also demonstrate a link between such soliton bound states and two
hump, two-mode solitons, along with the induced coherence effect observed for
incoherently interacting solitons.
PMID- 18059899
TI - Diffraction properties of highly birefringent liquid-crystal composite gratings.
AB - We have fabricated electrically switchable holographic gratings, using Polaroid
Corporation's DMP-128 photopolymer filled with the nematic liquid crystal E7. It
is shown that a coupled-wave theory that includes the effects of the
birefringence of the liquid crystal must be used to explain the diffraction
properties of these anisotropic volume gratings. Furthermore, a detailed
comparison of theory and experiment provides information about the alignment of
the liquid crystal within the polymer host.
PMID- 18059900
TI - Generation of 90-fs pulses from a mode-locked diode-pumped
Yb(3+):Ca(4)GdO(BO(3))(3) laser.
AB - A diode-pumped Yb>(3+):Ca(4)GdO(BO>(3))(3) (Yb:GdCOB) laser generating 90-fs
pulses at a center wavelength of 1045 nm is demonstrated. This is, to our
knowledge, the shortest pulse duration obtained from an ytterbium laser with a
crystalline host. This laser is mode locked with a high-finesse semiconductor
saturable-absorber mirror and emits 40 mW of average power at a repetition rate
of 100 MHz.
PMID- 18059901
TI - Single-shot measurement of terahertz electromagnetic pulses by use of electro
optic sampling.
AB - We demonstrate a simple scheme for capturing the temporal waveforms of a freely
propagating terahertz electromagnetic transient in a single shot. The method
relies on electro-optic sampling in a noncollinear geometry for the terahertz
radiation and the visible probe beam, coupled with multichannel detection. The
approach provides time resolution that is comparable to that of conventional
electro-optic sampling measurements.
PMID- 18059902
TI - Human linear template with mammographic backgrounds estimated with a genetic
algorithm.
AB - We estimated human observer linear templates underlying the detection of a
realistic, spherical mass signal with mammographic backgrounds. Five trained
naive observers participated in two-alternative forced-choice (2-AFC) detection
experiments with the signal superimposed on synthetic, clustered lumpy
backgrounds (CLBs) in one condition and on nonstationary real mammographic
backgrounds in another. Human observer linear templates were estimated using a
genetic algorithm. A variety of common model observer templates were computed,
and their shapes and associated performances were compared with those of the
human observer. The estimated linear templates are not significantly different
for stationary CLBs and real mammographic backgrounds. The estimated performance
of the linear template compared with that of the human observers is within 5% in
terms of percent correct (Pc) for the 2-AFC task. Channelized Hotelling models
can fit human performance, but the templates differ considerably from the human
linear template. Due to different local statistics, detection efficiency is
significantly higher on nonstationary real backgrounds than on globally
stationary synthetic CLBs. This finding emphasizes that nonstationary backgrounds
need to be described by their local statistics.
PMID- 18059903
TI - Classification images for simple detection and discrimination tasks in correlated
noise.
AB - We use the classification image technique to investigate the effect of white
noise and various correlated Gaussian noise textures (low-pass, high-pass, and
band-pass) on observer performance in detection and discrimination tasks. For
these tasks, performance is generally enhanced by an observer's ability to
"prewhiten" correlated noise as part of the formation of a decision variable. We
find that observer efficiency in these tasks is well represented by the measured
classification images and that human observers show strong evidence of adaptation
to different correlated noise textures. This adaptation is captured in the
frequency weighting of the classification images.
PMID- 18059904
TI - Selection of image fusion quality measures: objective, subjective, and metric
assessment.
AB - Accurate quality assessment of fused images, such as combined visible and
infrared radiation images, has become increasingly important with the rise in the
use of image fusion systems. We bring together three approaches, applying two
objective tasks (local target analysis and global target location) to two
scenarios, together with subjective quality ratings and three computational
metrics. Contrast pyramid, shift-invariant discrete wavelet transform, and dual
tree complex wavelet transform fusion are applied, as well as levels of JPEG2000
compression. The differing tasks are shown to be more or less appropriate for
differentiating among fusion methods, and future directions pertaining to the
creation of task-specific metrics are explored.
PMID- 18059905
TI - Application of the Hotelling and ideal observers to detection and localization of
exoplanets.
AB - The ideal linear discriminant or Hotelling observer is widely used for detection
tasks and image-quality assessment in medical imaging, but it has had little
application in other imaging fields. We apply it to detection of planets outside
of our solar system with long-exposure images obtained from ground-based or space
based telescopes. The statistical limitations in this problem include Poisson
noise arising mainly from the host star, electronic noise in the image detector,
randomness or uncertainty in the point-spread function (PSF) of the telescope,
and possibly a random background. PSF randomness is reduced but not eliminated by
the use of adaptive optics. We concentrate here on the effects of Poisson and
electronic noise, but we also show how to extend the calculation to include a
random PSF. For the case where the PSF is known exactly, we compare the Hotelling
observer to other observers commonly used for planet detection; comparison is
based on receiver operating characteristic (ROC) and localization ROC (LROC)
curves.
PMID- 18059906
TI - Channelized-ideal observer using Laguerre-Gauss channels in detection tasks
involving non-Gaussian distributed lumpy backgrounds and a Gaussian signal.
AB - We investigate a channelized-ideal observer (CIO) with Laguerre-Gauss (LG)
channels to approximate ideal-observer performance in detection tasks involving
non-Gaussian distributed lumpy backgrounds and a Gaussian signal. A Markov-chain
Monte Carlo approach is employed to determine the performance of both the ideal
observer and the CIO using a large number of LG channels. Our results indicate
that the CIO with LG channels can approximate ideal-observer performance within
error bars, depending on the imaging system, object, and channel parameters. The
CIO also outperforms a channelized-Hotelling observer using the same channels. In
addition, an alternative approach for estimating the CIO is investigated. This
approach makes use of the characteristic functions of channelized data and
employs an approximation method to the area under the receiver operating
characteristic curve. The alternative approach provides good estimates of the
performance of the CIO with five LG channels. However, for large channel cases,
more efficient computational methods need to be developed for the CIO to become
useful in practice.
PMID- 18059907
TI - Signal and noise transfer in spatiotemporal quantum-based imaging systems.
AB - Fourier-based transfer theory is extended into the temporal domain to describe
both spatial and temporal noise processes in quantum-based medical imaging
systems. Lag is represented as a temporal scatter in which the release of image
quanta is delayed according to a probability density function. Expressions
describing transfer of the spatiotemporal Wiener noise power spectrum through
quantum gain and scatter processes are derived. Lag introduces noise correlations
in the temporal domain in proportion to the correlated noise component only. The
effect of lag is therefore dependent on both spatial and temporal physical
processes. A simple model of a fluoroscopic system shows that image noise is
reduced by a factor that is similar to Wagner's information bandwidth integral,
which depends on the temporal modulation transfer function.
PMID- 18059908
TI - Performance of focus measures in the presence of nondefocus aberrations.
AB - The purposes of the study were to compare the performance of ten representative
focus measures in the presence of nondefocus aberrations and to evaluate their
applicability to the eye. For fixed amounts of nondefocus aberrations, the amount
of defocus was changed to generate a series of blurred images from which focus
measure curves were derived. In the presence of small amounts of nondefocus
aberrations, all focus measures showed unimodal and monotonic behavior, although
there were large differences in their sensitivity to defocus and effective
ranges. There were breakdowns in monotonicity and unimodality for some focus
measures when applied to data from human eyes, while other focus measures could
detect the shift in the best-focus plane in the blurred image series resulting
from spherical aberration.
PMID- 18059910
TI - Analytical-form model observers for decompressed images.
AB - We report a method for evaluating the performance of model observers for
decompressed images in analytical form using compression noise statistics. It
derives test statistics and detectabilities for the ideal observer, the
nonprewhitening observer, the Hotelling observer, and the channelized Hotelling
observer (CHO) on decompressed images. The derived CHO performance is validated
using the Joint Photographic Experts Group (JPEG) compression algorithm. The
validation results show that the derived CHO receiver operating characteristics
(ROCs) and areas under ROC curves predict accurately their corresponding
estimated values. These analytical-form quality measures of decompressed images
provide a way to optimize compression algorithms analytically, subject to a model
observer performance criterion. They also provide a theoretical foundation for
efforts to create a model observer for decompressed images.
PMID- 18059912
TI - Task-specific information for imaging system analysis.
AB - Imagery is often used to accomplish some computational task. In such cases there
are some aspects of the imagery that are relevant to the task and other aspects
that are not. In order to quantify the task-specific quality of such imagery, we
introduce the concept of task-specific information (TSI). A formal framework for
the computation of TSI is described and is applied to three common tasks: target
detection, classification, and localization. We demonstrate the utility of TSI as
a metric for evaluating the performance of three imaging systems: ideal
geometric, diffraction-limited, and projective. The TSI results obtained from the
simulation study quantify the degradation in the task-specific performance with
optical blur. We also demonstrate that projective imagers can provide higher TSI
than conventional imagers at small signal-to-noise ratios.
PMID- 18059909
TI - Measuring perceived video quality of MPEG enhancement by people with impaired
vision.
AB - We used a new method to measure the perceived quality of contrast-enhanced motion
video. Patients with impaired vision (n=24) and normally sighted subjects (n=6)
adjusted the level of MPEG-based enhancement of 8 videos (4 min each) drawn from
4 categories. They selected the level of enhancement that provided the preferred
view of the videos, using a decreasing-step-size staircase procedure. Most
patients made consistent selections of the preferred level of enhancement,
indicating an appreciation of and a perceived benefit from the MPEG-based
enhancement. The selections varied between patients and were correlated with
letter contrast sensitivity, but the selections were not affected by training,
experience, or video category. We measured just noticeable differences directly
for videos and mapped the image manipulation (enhancement in our case) onto an
approximately linear perceptual space. These tools and approaches will be of
value in other evaluations of the image quality of motion video manipulations.
PMID- 18059913
TI - Blind image quality assessment through anisotropy.
AB - We describe an innovative methodology for determining the quality of digital
images. The method is based on measuring the variance of the expected entropy of
a given image upon a set of predefined directions. Entropy can be calculated on a
local basis by using a spatial/spatial-frequency distribution as an approximation
for a probability density function. The generalized Renyi entropy and the
normalized pseudo-Wigner distribution (PWD) have been selected for this purpose.
As a consequence, a pixel-by-pixel entropy value can be calculated, and therefore
entropy histograms can be generated as well. The variance of the expected entropy
is measured as a function of the directionality, and it has been taken as an
anisotropy indicator. For this purpose, directional selectivity can be attained
by using an oriented 1-D PWD implementation. Our main purpose is to show how such
an anisotropy measure can be used as a metric to assess both the fidelity and
quality of images. Experimental results show that an index such as this presents
some desirable features that resemble those from an ideal image quality function,
constituting a suitable quality index for natural images. Namely, in-focus, noise
free natural images have shown a maximum of this metric in comparison with other
degraded, blurred, or noisy versions. This result provides a way of identifying
in-focus, noise-free images from other degraded versions, allowing an automatic
and nonreference classification of images according to their relative quality. It
is also shown that the new measure is well correlated with classical reference
metrics such as the peak signal-to-noise ratio.
PMID- 18059914
TI - Signal detection in power-law noise: effect of spectrum exponents.
AB - Many natural backgrounds have approximately isotropic power spectra of the power
law form, P(f)=K/f(beta), where f is radial frequency. For natural scenes and
mammograms, the values of the exponent, beta, range from 1.5 to 3.5. The ideal
observer model predicts that for signals with certain properties and backgrounds
that can be treated as random noise, a plot of log (contrast threshold) versus
log (signal size) will be linear with slope, m, given by: m=(beta-2)/2. This plot
is referred to as a contrast-detail (CD) diagram. It is interesting that this
predicts a detection threshold that is independent of signal size for beta equal
to 2. We present two-alternative forced-choice (2AFC) detection results for human
and channelized model observers of a simple signal in filtered noise with
exponents from 1.5 to 3.5. The CD diagram results are in good agreement with the
prediction of this equation.
PMID- 18059915
TI - Video quality assessment using a statistical model of human visual speed
perception.
AB - Motion is one of the most important types of information contained in natural
video, but direct use of motion information in the design of video quality
assessment algorithms has not been deeply investigated. Here we propose to
incorporate a recent model of human visual speed perception [Nat. Neurosci. 9,
578 (2006)] and model visual perception in an information communication
framework. This allows us to estimate both the motion information content and the
perceptual uncertainty in video signals. Improved video quality assessment
algorithms are obtained by incorporating the model as spatiotemporal weighting
factors, where the weight increases with the information content and decreases
with the perceptual uncertainty. Consistent improvement over existing video
quality assessment algorithms is observed in our validation with the video
quality experts group Phase I test data set.
PMID- 18059916
TI - Multireader multicase variance analysis for binary data.
AB - Multireader multicase (MRMC) variance analysis has become widely utilized to
analyze observer studies for which the summary measure is the area under the
receiver operating characteristic (ROC) curve. We extend MRMC variance analysis
to binary data and also to generic study designs in which every reader may not
interpret every case. A subset of the fundamental moments central to MRMC
variance analysis of the area under the ROC curve (AUC) is found to be required.
Through multiple simulation configurations, we compare our unbiased variance
estimates to naive estimates across a range of study designs, average percent
correct, and numbers of readers and cases.
PMID- 18059917
TI - Salience measure for assessing scale-based features in mammograms.
AB - This work assesses the usefulness of an objective, task-based image quality
measure that is correlated with perceived image quality; the measure uses the
most salient features contained within a medical image. Contributions include the
development of a perceptually correlated metric that is useful for quantifying
the salience of local, low-level visual cues and identifying those spatial
frequencies that are most distinct and perhaps most relied upon by radiologists
for decision making. A set of 40 mammograms and registered eye position data from
nine observers was used to evaluate the salience metric. A parsimonious analysis
of-variance model explained the variance in the salience results. This analysis
is generalized to a population of readers and cases. An analysis of salience
versus time of first eye fixation shows good correlation with true positive
lesions that were found by experienced readers in less than 2 s.
PMID- 18059918
TI - Estimation receiver operating characteristic curve and ideal observers for
combined detection/estimation tasks.
AB - The localization receiver operating characteristic (LROC) curve is a standard
method to quantify performance for the task of detecting and locating a signal.
This curve is generalized to arbitrary detection/estimation tasks to give the
estimation ROC (EROC) curve. For a two-alternative forced-choice study, where the
observer must decide which of a pair of images has the signal and then estimate
parameters pertaining to the signal, it is shown that the average value of the
utility on those image pairs where the observer chooses the correct image is an
estimate of the area under the EROC curve (AEROC). The ideal LROC observer is
generalized to the ideal EROC observer, whose EROC curve lies above those of all
other observers for the given detection/estimation task. When the utility
function is nonnegative, the ideal EROC observer is shown to share many
mathematical properties with the ideal observer for the pure detection task. When
the utility function is concave, the ideal EROC observer makes use of the
posterior mean estimator. Other estimators that arise as special cases include
maximum a posteriori estimators and maximum-likelihood estimators.
PMID- 18059919
TI - Analysis of observer performance in unknown-location tasks for tomographic image
reconstruction.
AB - Our goal is to optimize regularized image reconstruction for emission tomography
with respect to lesion detectability in the reconstructed images. We consider
model observers whose decision variable is the maximum value of a local test
statistic within a search area. Previous approaches have used simulations to
evaluate the performance of such observers. We propose an alternative approach,
where approximations of tail probabilities for the maximum of correlated Gaussian
random fields facilitate analytical evaluation of detection performance. We
illustrate how these approximations, which are reasonably accurate at low
probability of false alarm operating points, can be used to optimize
regularization with respect to lesion detectability.
PMID- 18059920
TI - Two-frequency radiative transfer: Maxwell equations in random dielectrics.
AB - The paper addresses the space-frequency correlations of electromagnetic waves in
general random, bianisotropic media whose constitutive tensors are complex
Hermitian matrices. The two-frequency Wigner distribution (2f-WD) for polarized
waves is introduced to describe the space-frequency correlations, and the closed
form Wigner-Moyal equation is derived from the Maxwell equations. Two-frequency
radiative transfer (2f-RT) equations are then derived from the Wigner-Moyal
equation by using the multiple-scale expansion. For the simplest isotropic
medium, the result coincides with Chandrasekhar's transfer equation. In
birefringent media, the 2f-RT equations take the scalar form due to the absence
of depolarization. A number of birefringent media such as chiral, uniaxial, and
gyrotropic media are examined. For the unpolarized wave in an isotropic medium
the 2f-RT equations reduces to the 2f-RT equation previously derived in part I of
this research [J. Opt. Soc. Am. A24, 2248 (2007)]. A similar Fokker-Planck-type
equation is derived from the scalar 2f-RT equation for the birefringent media.
PMID- 18059921
TI - Optical constants of Yb films in the 23-1700 eV range.
AB - The optical constants of Yb films have been determined in the 23-1700 eV spectral
range from transmittance measurements performed in situ on Yb films deposited by
evaporation in ultrahigh vacuum conditions. Yb films were deposited over grids
coated with a thin carbon film. Transmittance measurements were used to obtain
the extinction coefficient of Yb films at each individual photon energy
investigated. The energy range investigated encompasses Yb edges from M(4,5) to
O(2,3). The current results, along with data in the literature, show that Yb has
an interesting low-absorption band in the approximately 12-24 eV range, which may
be useful for the development of transmittance filters and multilayer coatings.
The current data along with literature data and extrapolations were used to
obtain n, the real part of the complex refractive index, using a Kramers-Kronig
analysis. The application of the sum rules showed a good consistency of the
results.
PMID- 18059922
TI - Characteristic functions of Hartmann-Shack wavefront sensors and laser-ray
tracing aberrometers.
AB - It is shown that the aberration estimated at any point of the pupil using
wavefront slope aberrometers such as Hartmann-Shack wavefront sensors or laser
ray tracers is a spatial average of the actual aberration weighted by a
characteristic function that depends on the aberrometer design and on the
estimation procedure. This characteristic function, whose explicit form is given
here for wavefront slope aberrometers using either modal or zonal estimators, may
be useful in analyzing some basic aspects of the aberrometer performance. It is
also instrumental in establishing the links between the statistical properties of
the actual and the estimated aberrations. Explicit formulas are given to show in
terms of this function how the bias arises in the first- and second-order
statistics of the retrieved aberrations. This approach is mathematically
equivalent to the analysis of the effects of modal coupling (cross-coupling and
aliasing). It may provide, however, some complementary insight.
PMID- 18059923
TI - Inverse problem approach in particle digital holography: out-of-field particle
detection made possible.
AB - We propose a microparticle detection scheme in digital holography. In our inverse
problem approach, we estimate the optimal particles set that best models the
observed hologram image. Such a method can deal with data that have missing
pixels. By considering the camera as a truncated version of a wider sensor, it
becomes possible to detect particles even out of the camera field of view. We
tested the performance of our algorithm against simulated and experimental data
for diluted particle conditions. With real data, our algorithm can detect
particles far from the detector edges in a working area as large as 16 times the
camera field of view. A study based on simulated data shows that, compared with
classical methods, our algorithm greatly improves the precision of the estimated
particle positions and radii. This precision does not depend on the particle's
size or location (i.e., whether inside or outside the detector field of view).
PMID- 18059924
TI - Generalized higher-order nonlinear energy operators.
AB - We extend and generalize the Teager-Kaiser [in Proceedings of IEEE International
Conference on Acoustics, Speech, and Signal Processing (1993), Vol. 3, p. 149]
and the higher-order differential energy operators [IEEE Signal Process. Lett.2,
152 (1995)] to a large class of operators called higher-order energy operators.
We show that for AM-FM signal demodulation, the introduced partial derivative
orders have to satisfy certain conditions. These operators are parameterized for
local processing of AM-FM signals. The operators are illustrated using synthetic
signals and a real signal from light scanning interferometry.
PMID- 18059925
TI - Quantitative temporal speckle contrast imaging for tissue mechanics.
AB - We demonstrate through a series of simulations that by parameterizing the
temporal speckle contrast statistic from a sequence of translating speckle images
on a number of experimental constants, the local temporal contrast can be used to
quantitatively assess local motion, provided that the spatial and temporal
Nyquist sampling criteria are both met. We develop a simple exponential model for
quantifying speckle motion for speckle patterns that display arbitrary intensity
statistics and provide suggestions for optimizing both the experimental
acquisition of speckle data and the temporal contrast analysis of the data. The
confounding effects of uncorrelated noise are also discussed. The model is
demonstrated by applying it to an optical coherence tomography image sequence of
an engineered tissue construct undergoing dynamic compression. Applications to
tissue mechanics are shown, although the discussion is equally relevant for fluid
motion studies.
PMID- 18059926
TI - Fourier transform profilometry based on a projecting-imaging model.
AB - In order to obtain the correct height reconstruction of a measured object, a
projection lens and a camera lens must be placed at equal heights above the
reference plane in the traditional Fourier transform profilometry (FTP) method.
We propose an improved phase-height mapping formula based on an improved
description of the reference fringe and the deformed fringe in FTP when the
projection lens and the camera lens are not placed at equal height. With our
method, it is easier to obtain the full-field fringe by moving either the
projector or the imaging device. In some cases, where the required parallel
condition cannot be met, the proposed method offers a flexible way to calculate
the height distribution.
PMID- 18059927
TI - Optical design of freeform two-mirror beam-shaping systems.
AB - The problem of design of a two-mirror optical system for reshaping the irradiance
distribution of a laser beam in a prescribed manner is considered in the
geometrical optics approximation. The presented design equations are derived in a
rigorous manner and are applicable to two-mirror optical systems not limited to
radiance profiles and beam cross sections that are rotational or rectangular
symmetric. The resulting mirrors are free-form surfaces not restricted by a
priori constraints. Moreover, the presented approach shows also that even in the
general case two different designs are available for the same data. In one of
these designs the first mirror is always concave and the second is convex, while
in the second design the resulting mirrors may be neither convex nor concave.
Since, in general, the surface mirrors are aspherical, the availability of a
design with convex and concave mirrors is particularly important for fabrication.
PMID- 18059928
TI - Generation of nondiffracting beams through an opaque disk.
AB - A new method of generating nondiffracting beams is presented. It consists of
focusing a Gaussian beam in the vicinity of an opaque disk. A beam is generated
whose central peak is surrounded by a wide number of bright rings (approximately
250). After collimation, the beam propagates without changing the rings' radii,
similar to a diffraction-free beam. The central peak can conserve its dimension
over more than 5 m. The diameter of the central peak is adjusted by choosing the
focal length of the collimating lens. Experimental results are well predicted by
our theoretical developments that simulate exactly the paraxial diffraction.
PMID- 18059929
TI - 4Pi spectral self-interference microscopy.
AB - Spectral self-interference microscopy (SSM) relies on the balanced collection of
light traveling two different paths from the sample to the detector, one direct
and the other indirect from a reflecting substrate. The resulting spectral
interference effects allow nanometer-scale axial localization of isolated
emitters. To produce spectral fringes the difference between the two optical
paths must be significant. Consequently, to ensure that both contributions are in
focus, a low-numerical-aperture objective lens must be used, giving poor lateral
resolution. Here this limitation is overcome using a 4Pi apparatus to produce the
requisite two paths to the detector. The resulting instrument generalizes both
SSM and 4Pi microscopy and allows a quantification of SSM resolution (rather than
localization precision). Specifically, SSM is shown to be subject to the same
resolution constraints as 4Pi microscopy.
PMID- 18059930
TI - Removal of the Gibbs phenomenon and its application to fast-Fourier-transform
based mode solvers.
AB - A simple strategy for accurately recovering discontinuous functions from their
Fourier series coefficients is presented. The aim of the proposed approach, named
spectrum splitting (SS), is to remove the Gibbs phenomenon by making use of
signal-filtering-based concepts and some properties of the Fourier series. While
the technique can be used in a vast range of situations, it is particularly
suitable for being incorporated into fast-Fourier-transform-based electromagnetic
mode solvers (FFT-MSs), which are known to suffer from very poor convergence
rates when applied to situations where the field distributions are highly
discontinuous (e.g., silicon-on-insulator photonic wires). The resultant method,
SS-FFT-MS, is exhaustively tested under the assumption of a simplified one
dimensional model, clearly showing a dramatic improvement of the convergence
rates with respect to the original FFT-based methods.
PMID- 18059931
TI - Modal analysis and suppression of the Fourier modal method instabilities in
highly conductive gratings.
AB - The Fourier modal method (FMM), often also referred to as rigorous coupled-wave
analysis (RCWA), is known to suffer from numerical instabilities when applied to
low-loss metallic gratings under TM incidence. This problem has so far been
attributed to the imperfect conditioning of the matrices to be diagonalized. The
present analysis based on a modal vision reveals that the so-called instabilities
are true features of the solution of the mathematical problem of a binary metal
grating dealt with by truncated Fourier representation of Maxwell's equations.
The extreme sensitivity of this solution to the optogeometrical parameters is the
result of the excitation, propagation, coupling, interference, and resonance of a
finite number of very slow propagating spurious modes. An astute management of
these modes permits a complete and safe removal of the numerical instabilities at
the price of an arbitrarily small and controllable reduction in accuracy as
compared with the referenced true-mode method.
PMID- 18059932
TI - Electro-optical investigations of holographic-polymer-dispersed ferroelectric
liquid crystals.
AB - Uniform alignment of ferroelectric liquid-crystal domains encapsulated by a
polymer binder was established through a holographic exposure process. The
refractive index modulation in these thin films is modeled as a phase grating
that can be electrically addressed to erase the optical diffractive properties. A
phenomenological model is developed to take into account a distribution of domain
sizes and an effective field that stabilizes the ferroelectric liquid-crystal
domains. A diffraction model successfully predicts changes in normalized
intensities for first-order diffraction with applied field. These gratings
demonstrate microsecond-scale response and relaxation times for various grating
pitch sizes between approximately 3 and approximately 12 microm.
PMID- 18059933
TI - Optical constants of electron-beam evaporated boron films in the 6.8-900 eV
photon energy range.
AB - The optical constants of electron-beam evaporated boron from 6.8 to 900 eV were
calculated through transmittance measurements of boron thin films deposited onto
carbon-coated microgrids or LiF substrates in ultrahigh-vacuum conditions. In the
low-energy part of the spectrum the measurements were performed in situ on
freshly deposited samples, whereas in the high-energy range the samples were
exposed to the atmosphere before the measurements. The extinction coefficient was
calculated directly from the transmittance data, and a Kramers-Kronig analysis
that combined the current data with data from the literature was performed to
determine the dispersive part of the index of refraction. Finally, two different
sum-rule tests were performed that indicated the good consistency of the data.
PMID- 18059934
TI - Minimization of geometric-beam broadening in a grating-based time-domain delay
line for optical coherence tomography application.
AB - This paper discusses a dispersion effect in a grating-based time-domain delay
line that is different from the second- or higher-order dispersion in a grating
based Fourier-domain delay line. When the lateral broadening of the beam profile
after grating dispersion exceeds the collection aperture of the reference fiber,
the peripheral spectrum is decoupled by the fiber. The loss of reference spectral
bandwidth by this geometric-beam broadening thus degrades the axial resolution.
The polarizing-beam reflector used in the Fourier-domain delay line for
suppression of lateral beam walk-off is implemented in this grating-based time
domain delay line to minimize geometric-beam broadening. Theoretical analysis and
experiments are given to validate the axial resolution improvement after
geometric-beam broadening is minimized. In vitro and in vivo imaging results are
presented to demonstrate the improvement. It is also shown that geometric-beam
broadening may exist in other optical coherence tomography reference arm
configurations.
PMID- 18059935
TI - Analysis of blazed diffractive optical elements formed with artificial
dielectrics.
AB - A new hybrid method for the analysis of diffractive optical elements, which
combines fully vectorial and scalar theories, is presented. It is suitable for
use with elements of arbitrary large zone, even when the local feature size is of
the order of the wavelength. To assess its applicability, we have performed cross
checking tests. The model is shown to accurately predict many optical properties
of diffractive optical elements based on two-dimensional artificial dielectrics,
like the useful energy diffracted into the order of interest or the deterministic
loss into high diffraction orders for an illumination with a wavelength different
from the design wavelength or for highly oblique incidence.
PMID- 18059936
TI - Open-loop control of a MEMS deformable mirror for large-amplitude wavefront
control.
AB - A method is introduced for predicting control voltages that will generate a
prescribed surface shape on a MEMS deformable mirror. The algorithm is based upon
an analytical elastic model of the mirror membrane and an empirical
electromechanical model of its actuators. It is computationally simple and
inherently fast. Shapes at the limit of achievable mirror spatial frequencies
with up to 1.5 microm amplitudes have been achieved with less than 15 nm rms
error.
PMID- 18059937
TI - Scaling of resonance frequency for strong injection-locked lasers.
AB - It has been shown that strong optical injection locking can significantly enhance
the resonance frequency of semiconductor lasers. In this Letter, we describe the
trade-off between the maximum resonance frequency enhancement and the quality
factor (Q) of the lossless laser cavity and show that the time-bandwidth product
(product of photon lifetime and maximum resonance frequency) is equal to one half
the square root of the external power injection ratio. The theoretical model
agrees well with our experimental data.
PMID- 18059938
TI - Design of wavelength tunable long-period grating couplers based on asymmetric
nonlinear dual-core fibers.
AB - We demonstrate a grating coupler using an asymmetric nonlinear dual-core fiber
with a pair of long-period gratings (LPGs). Simple formulas for the achievement
of 100% coupling efficiency and small sidelobes are derived. The passband
exhibits steep band transitions. By launching high-intensity optical pumps to
alter the refractive indices of the fiber cores through cross-phase modulation, a
wavelength tunable LPG dual-core coupler can be realized.
PMID- 18059939
TI - Programmable common-path vector field synthesizer for femtosecond pulses.
AB - We demonstrate a novel design for a femtosecond vector field synthesizer. Pulse
shaping of all four degrees of freedom of the electric field (amplitude, phase,
ellipticity, and orientation angle) is achieved with a single 1D double-layer
spatial light modulator in a zero-dispersion compressor by modulating the
amplitude and phase of the two transverse polarization components in separate
halves of the modulator. Being a common-path arrangement, it is
interferometrically stable and therefore usable for long-term measurements. The
method can be broadly applied in coherent control and nonlinear spectroscopy.
PMID- 18059940
TI - Diode-pumped sub-100 fs Kerr-lens mode-locked Yb3+:Sc2O3 ceramic laser.
AB - Diode-pumped Kerr-lens mode-locked laser operations of Yb3+:Sc2O3 ceramics have
been achieved. 92 fs pulses with the average power of 850 mW under 3.89 W
incident pump power were obtained at a center wavelength of 1042 nm. The optical
to-optical efficiency was 21.9%. 90 fs pulses with the average power of 160 mW
were also obtained at a center wavelength of 1092 nm. To our knowledge, this is
the first demonstration of a Kerr-lens mode-locked Yb3+:Sc2O3 laser.
PMID- 18059941
TI - Bandpass filter with adjustable bandwidth based on a press-induced long-period
twisted holey-fiber grating.
AB - A bandpass filter with adjustable bandwidth based on a press-induced long-period
grating in a twisted holey fiber is presented. By twisting the holey fiber prior
to the application of periodic pressure, each rejection band of the nontwisted
induced long-period grating is split into two shifted rejection bands that move
further apart as the twist ratio increases. This feature results in a wide
bandpass filter with controllable bandwidth. A bandpass filter at 1523 nm with
adjustable bandwidth from 15 to 65 nm with near-linear response and insertion
loss lower than 0.7 dB is demonstrated. Additionally, the bandpass filter can be
tuned over 100 nm.
PMID- 18059942
TI - Unequally spaced multiple mid-infrared wavelength generation using an engineered
quasi-phase-matching device.
AB - We propose a novel quasi-phase-matched (QPM) device that can generate unequally
spaced multiple wavelengths. Unequally spaced multiple QPM peaks can be obtained
by employing the optimized phase modulation of a periodic domain structure. We
fabricated a LiNbO3 waveguide device for 3.2-3.4 microm band difference frequency
generation based on the design. Using the multiple mid-infrared outputs, we
demonstrate the detection of multiple hydrocarbon gases, namely, methane,
ethylene, and ethane.
PMID- 18059943
TI - Homodyne fiber optic backscatter dynamic light scattering.
AB - Optical homodyne detection in the backscatter direction is achieved through a
pair of collinearly located fibers in a cylindrical probe body. One fiber
illuminates the scattering solution while the other fiber provides optical mixing
of the backscattered optical field with a local oscillator derived from the
Fresnel reflections at the glass interfaces of the sample container. Homodyne
detection is possible over a broad range of particle size and sample
concentration with a single probe design.
PMID- 18059944
TI - Controlling speckle using lenses and free space.
AB - The correlation properties of speckle fields are studied for general paraxial
systems. The previous studies on lateral and longitudinal speckle size for the
case of free-space propagation (Fresnel transform) are generalized to the case of
the linear canonical transform. These results have implications for the control
of speckle size, through appropriate design of optical systems, with particular
relevance for speckle interferometry.
PMID- 18059945
TI - High-quality lowest-loss-mode lasing in GaAs quasi-stadium laser diodes having
unstable resonators.
AB - We obtained high-quality lowest-loss-mode lasing in quasi-stadium laser diodes
having unstable resonators that consisted of two curved end mirrors and two
straight sidewall mirrors. The laser diodes were fabricated by applying a
reactive ion etching technique to a metal-organic chemical-vapor deposition-grown
graded-index separate-confinement heterostructure single-quantum-well GaAs/AlGaAs
structure. The electrode contact area of the laser diodes was formed along
unstable periodic orbits, along which the optical beams are localized. Highly
directional fan-out beams corresponding to the numerically obtained lowest loss
mode were emitted from the end mirrors under CW operation at room temperature.
PMID- 18059946
TI - Polarization invariance in beam propagation.
AB - It has been known for some time that the degree of polarization of a light beam
may change on propagation, even in free space. In this Letter we derive
sufficiency conditions for the degree of polarization of a beam generated by a
uniformly polarized stochastic, electromagnetic source of a wide class to be the
same throughout the far zone and in the source plane.
PMID- 18059947
TI - Design of a high-speed optical dark-soliton detector using a phase-shifted
waveguide Bragg grating in reflection.
AB - A theoretical study of a new application of a simple pi-phase-shifted waveguide
Bragg grating (PSWBG) in reflection mode as a high-speed optical dark-soliton
detector is presented. The PSWBG consists of two concatenated identical uniform
waveguide Bragg gratings with a pi phase shift between them. The reflective
PSWBG, with grating reflectivities equal to 0.9, a free spectral range of 1.91
THz, and a nonlinear phase response, can convert a 40 Gbit/s noisy dark-soliton
signal into a high-quality 40 Gbit/s return-to-zero signal with a peak power
level of approximately 17.5 dB greater than that by the existing Mach-Zehnder
interferometer with free spectral range of 1.91 THz and a linear phase response.
PMID- 18059948
TI - Surface plasmon-polariton waveguiding characteristics of metal/dielectric quasi
coplanar structures.
AB - A novel metal-dielectric structure for efficient confinement and guiding of
surface plasmon-polaritons is proposed. The proposed quasi-coplanar geometry
achieves a trenchlike mode confinement over a wide range of spectrum by
substituting a trench structure with two patterned metal layers, which will
simplify the fabrication steps significantly. Using the finite-element method,
the modal characteristics and the impact of waveguide structural parameters on
them were investigated.
PMID- 18059949
TI - Excitation-resolved hyperspectral fluorescence lifetime imaging using a UV
extended supercontinuum source.
AB - We present a time-gated, optically sectioned, hyperspectral fluorescence lifetime
imaging (FLIM) microscope incorporating a tunable supercontinuum excitation
source extending into the UV. The system is capable of resolving the excitation
spectrum, emission spectrum, and fluorescence decays in an optically sectioned
image.
PMID- 18059950
TI - Direct time-response measurement of high-speed optical modulators based on
stretched-pulse interferometry.
AB - A simple technique for the direct measurement of the complex temporal response of
a high-speed electro-optic (EO) modulator is proposed. This technique recovers
the amplitude and phase temporal profiles of an instantaneous modulation over the
duration of a chirped pulse (obtained by linear dispersion) using Fourier
transform interferometry, and it exploits the time-to-frequency mapping induced
by the pulse dispersion process. The method can be implemented by using either
time- or frequency-domain interferometric detection, allowing the
characterization of modulation bandwidths in the tens-of-gigahertz range. The
concept is demonstrated by accurately measuring the complex temporal response of
a 2.5 Gbps intensity EO modulator.
PMID- 18059951
TI - Polarization-tunable plasmon-enhanced extraordinary transmission through metallic
films using asymmetric cruciform apertures.
AB - Plasmon-enhanced transmission of light incident on a periodic array of nanoscale,
asymmetric cruciform patterns is demonstrated. The corresponding transmission
spectra are shown to be polarization dependent and possess unique properties,
such as the existence of isosbestic points for which the transmission is
polarization insensitive. Transmission peaks corresponding to localized surface
plasmon resonances and extended surface plasmons are also identified.
PMID- 18059952
TI - Tight focusing of radially polarized Gaussian and Bessel-Gauss beams.
AB - We examine the effects of tightly focusing a radially polarized beam with
uniform, Gaussian, or Bessel-Gauss pupil functions. The resulting FWHM is
smallest for the case of a uniform amplitude profile, while the Bessel-Gauss beam
results in the largest FWHM. The uniform amplitude profile also results in an
axial field component that increases fastest with increasing NA. The ratio of the
axial component to the transverse component is also the greatest for the uniform
pupil function. On the other hand, the Bessel-Gauss beam benefits the most from
the use of an annulus.
PMID- 18059953
TI - Infrared hollow fiber with a vitreous film as the dielectric inner coating layer.
AB - A vitreous material with an optimum reflective index of 1.41 for dielectric
metallic hollow fiber is used for what is believed to be the first time. A smooth
vitreous film is formed by the treatment of a hardener at room temperature by
using the liquid-phase coating technique. Low-loss properties were obtained in
both the infrared and visible wavelength regions, and the fibers are of high
durability when sterilized. This hollow fiber is promising for use in medical
applications or other harsh environments.
PMID- 18059954
TI - Use of a scanner to modulate spatial interferograms for in vivo full-range
Fourier-domain optical coherence tomography.
AB - We report a new yet simple method to achieve full-range complex Fourier-domain
optical coherence tomography (OCT) for in vivo imaging. The method utilizes a
scanner that is dedicated for lateral scanning in the system to introduce a
constant carrier frequency into the OCT spectral interferograms during the
scanning. This is achieved by simply offsetting the sampling beam spot away from
the pivot point of the scanning mirror. We demonstrate the method experimentally
for in vivo full-range imaging of the anterior segment of a human eye. The method
is free from complex conjugate mirror image and self-cross-correlation image
artifacts.
PMID- 18059955
TI - Quantitative measurement of timing and phase dynamics in a mode-locked laser.
AB - We present results of an experimental study of the timing and phase dynamics in a
mode-locked Ti:sapphire laser. By measuring the response of two widely spaced
comb lines to a sinusoidal modulation of the pump power, we determine
quantitatively the response of both the central pulse time and the phase. Because
of the distinct response of the pulse energy, central frequency, and gain to the
modulation, we are able to distinguish their contributions to the timing and
phase dynamics.
PMID- 18059956
TI - Subpixel smoothing for conductive and dispersive media in the finite-difference
time-domain method.
AB - Staircasing of media properties is one of the intrinsic problems of the finite
difference time-domain method, which reduces its accuracy. There are different
approaches for solving this problem, and the most successful of them are based on
correct approximation of inverse permittivity tensor epsilon(-1) at the material
interface. We report an application of this tensor method for conductive and
dispersive media. For validation, comparisons with analytical solutions and
various other subpixel smoothing methods are performed for the Mie scattering
from a small sphere.
PMID- 18059957
TI - Impedance-matched hyperlens.
AB - We propose an approach to optical imaging beyond the diffraction limit, based on
transformation optics in concentric circular cylinder domains. The resulting
systems allow image magnification and minimize reflection losses due to the
impedance matching at the input or output boundaries. While perfect impedance
matching at both surfaces can be obtained only in a system with radius-dependent
magnetic permeability, we demonstrate that comparable performance can be achieved
in an optimized nonmagnetic design.
PMID- 18059958
TI - Ultrafast optical nonlinearity enhancement in metallodielectric multilayer
stacks.
AB - Metal-dielectric multilayer films show high transmission at some specific
wavelengths of light due to multiple Bragg reflections. By designing the
multilayer structure, the high transmission position can be tuned to be on
resonance with the laser wavelength at which the light can penetrate into the
highly nonlinear metallic layers, leading to an enhanced nonlinear optical
response. By employing a femtosecond optical Kerr technique, we experimentally
investigated Ag-TiO2 multilayer stacks, and an enhanced nonlinear optical
response was observed.
PMID- 18059959
TI - Miniaturization of Fresnel phase matching using a side-coupled integrated spaced
sequence of resonators (SCISSOR).
AB - It is proposed that a side-coupled integrated spaced sequence of resonators
(SCISSOR) be used to adapt Fresnel phase matching to the case of highly confining
waveguides. As is the case for bulk media, this method of quasi-phase-matching
(QPM) allows resonant or nonresonant QPM. This property can be used to control
the spectral bandwidth of the phase-matching curve.
PMID- 18059960
TI - Mystery of the double limit in homogenization of finitely or perfectly conducting
periodic structures.
AB - We show that the difference in the effective medium properties of perfectly or
finitely conducting short-period gratings is because for finitely conducting
gratings it is possible to completely homogenize the electromagnetic field vector
components, contrary to perfectly conducting gratings. As a consequence, for
aluminum in the microwave domain, two possible effective media can be found,
depending on whether the feature dimensions are much larger or shorter than the
depth of field penetration inside the metal.
PMID- 18059961
TI - White light emission from blue and near ultraviolet light-emitting diodes
precoated with a Sr3SiO5:Ce3+,Li+ phosphor.
AB - White-light-emitting diodes (WLEDs) were fabricated by combining a yellow
Sr3SiO5:Ce3+, Li+ phosphor with a blue light-emitting diode (LED) (460 nm chip)
or a near ultraviolet (n-UV) LED (405 nm chip), respectively. Color temperature
(Tc) of Sr3SiO5:Ce3+, Li+-based WLEDs could be tuned from 6500 to 100,000 K (blue
LED pumping) and from 4900 to 50,000 K (n-UV LED pumping) without mixing with
other phosphors. The blue LED-pumped WLED showed excellent white light (luminous
efficiency=31.7 lm/W, Tc=6857 K) at 20 mA. This WLED showed a stable color
coordinates property against an increase of the forward current. An n-UV LED
pumped WLED also showed bright white light (25.0 lm/W, 5784 K) at 20 mA.
PMID- 18059962
TI - Purkinje imaging system to measure anterior segment scattering in the human eye.
AB - We present an instrument based on Purkinje imaging that permits the objective
measurement of the amount of scattering associated with the eye's anterior
segment, avoiding the contribution from the retina. The experimental system
records the fourth Purkinje image, and adequate processing is used to compute a
parameter that quantifies the scattering. The method was first tested in an
artificial eye and later in normal young eyes wearing customized contact lenses
that induced different amounts of scatter. We were able to detect scattering
increments, which indicates that this technique may be used as an objective tool
to quantify the level of scattering in the anterior segment of the living human
eye. The future use of this technique in clinical environments might help to
estimate the level of corneal haze in eyes undergoing refractive surgery or/and
scattering within the lens during cataract development.
PMID- 18059963
TI - Retinal flow cytometer.
AB - The in vivo flow cytometer is an instrument capable of continuous, real-time
monitoring of fluorescently labeled cells in the circulation without the need to
draw blood samples. However, the original system probes a single vessel in the
mouse ear; the small sample volume limits the sensitivity of the technique. We
describe an in vivo retinal flow cytometer that simultaneously probes five artery
vein pairs in the mouse eye by circularly scanning a small laser spot rapidly
around the optic nerve head. We demonstrate that the retinal flow cytometer
detects about five times more cells per minute than the original in vivo flow
cytometer does in the ear.
PMID- 18059964
TI - Complex ambiguity-free Fourier domain optical coherence tomography through
transverse scanning.
AB - We introduce a simple and cheap method for phase-shifting Fourier domain optical
coherence tomography (FDOCT) that does not need additional devices and can easily
be implemented. A small beam offset at the fast beam-scanning mirror introduces a
causal phase shift, which can be used for B-scan-based complex image
reconstruction. We derive the conditions for optimal conjugate suppression and
demonstrate the method on human skin in vivo for spectrometer-based FDOCT
operating at 1300 nm employing a handheld scanner.
PMID- 18059965
TI - Full-field and real-time surface plasmon resonance imaging thermometry.
AB - The feasibility of surface plasmon resonance (SPR) imaging thermometry is tested
as a potential tool for full-field and real-time temperature field mapping for
thermally transient liquid mediums. Using the well-known Kretschmann's analysis
[Physik 241, 313 (1971)]. parametric examinations are performed to delineate the
effects of important optical properties, including seven different prism
materials with different refractive index values and seven different measured
dielectric constants for thin gold (Au) films (approximately 47.5 nm in
thickness), on the temperature dependence of SPR reflectance intensity
variations. Furthermore, a laboratory-implemented real-time SPR thermometry
system demonstrates the full-field mapping capabilities for transient temperature
field developments in the near-wall region when a hot water droplet (80 degrees
C) contacts the Au metal surface (20 degrees C) and spreads either in an air- or
in a water-surrounded environment.
PMID- 18059966
TI - Cartesian beams.
AB - A new and very general beam solution of the paraxial wave equation in Cartesian
coordinates is presented. We call such a field a Cartesian beam. The complex
amplitude of the Cartesian beams is described by either the parabolic cylinder
functions or the confluent hypergeometric functions, and the beams are
characterized by three parameters that are complex in the most general situation.
The propagation through complex ABCD optical systems and the conditions for
square integration are studied in detail. Applying the general expression of the
Cartesian beams, we also derive two new and meaningful beam structures that, to
our knowledge, have not yet been reported in the literature. Special cases of the
Cartesian beams are the standard, elegant, and generalized Hermite-Gauss beams,
the cosine-Gauss beams, the Lorentz beams, and the fractional order beams.
PMID- 18059967
TI - Acceleration in vacuum of bare nuclei by tightly focused radially polarized laser
light.
AB - Fields of a radially polarized petawatt laser beam, represented by a truncated
series in the diffraction angle epsilon to order epsilon15 and focused to
subwavelength waist radius, are shown to accelerate protons and bare nuclei to
several hundred MeV per nucleon over a distance equivalent to a few laser
wavelengths.
PMID- 18059968
TI - Signal spatial filtering for co-phasing in seeing-limited conditions.
AB - We present the results of the co-phasing closed loop performed with a pyramid
wavefront sensor for what we believe to be the first time in the presence of
emulated atmospheric disturbance. The performance of the co-phasing loop is
significantly improved by applying two spatial filters in the sensor signal
space. The first is a zonal filter applied to the interaction matrix before the
computation of the reconstructor; the second is a modal filter applied to the
sensor signals before the correction command computation. The presented
laboratory results demonstrate that, applying both filters, the time requested to
average out the atmospheric disturbance in each loop step is reduced by 2 orders
of magnitude, improving the loop stability and accuracy.
PMID- 18059969
TI - Comment on "Wide-field coherent anti-Stokes Raman scattering microscopy with non
phase-matching illumination".
AB - We comment on a Letter by Toytman et al. [Opt. Lett.32, 1941 (2007)] in which a
novel setup for wide-field imaging in coherent anti-Stokes Raman scattering
(CARS) microscopy is demonstrated. There the authors state that our phase
matching implementation of a wide-field CARS system [Appl. Phys. Lett.84, 816
(2004); New J. Phys.8, 36 (2006)] suffers from a strong background from the bulk
medium. However, our results show quite the contrary, i.e., that our setup
provides a very good signal contrast, due to an almost vanishing background level
from the bulk solvent.
PMID- 18059971
TI - Coherent anti-Stokes Raman scattering microspectroscopy of silicon components
with a photonic-crystal fiber frequency shifter.
AB - Coherent anti-Stokes Raman scattering (CARS) microspectroscopy of silicon
components is demonstrated with pump and probe fields delivered by a mode-locked
Cr:forsterite laser and the frequency-shifted soliton output of a photonic
crystal fiber as a Stokes field. CARS microspectroscopy is shown to allow a
visualization of microscale features and defects on the surface of silicon
wafers, offering much promise for online diagnostics of electronic and photonic
silicon chip components.
PMID- 18059972
TI - Involvement of copper in female reproduction.
AB - Copper (Cu) is one of the essential trace metals which are necessary in
maintaining the functioning of living organisms. The current knowledge on the
role of copper in animal reproduction is presented in the article. Our studies
have shown that complexes of copper (Cu2+) with gonadotropin-releasing hormone
(GnRH) are even more effective in the release of LH than native GnRH. Moreover,
Cu-GnRH is more potent in inducing in vivo release of FSH than LH. Copper
complexes with GnRH interact with GnRH receptors (GnRHR) and modulate
intracellular signaling in the gonadotrope cells of the anterior pituitary.
Copper plays also a significant role in maintaining normal fetus development in
mammals.
PMID- 18059973
TI - Melatonin protects against experimental immune ovarian failure in mice.
AB - Experimental immune ovarian failure induced in CBA mice by either administration
of xenogenic anti-ovarian antibodies or immunization with allogenic ovarian
extracts impaired the meiotic maturation of oocytes and increased apoptosis of
follicular cells. Immunization was accompanied with the inflammation and active
immune reaction, as shown by the enlargement of regional lymph nodes, the
increase of apoptosis in cultured lymph node cells and the increase of band and
segmented neutrophil percentage in the blood. Triple injections of melatonin (5
mg/kg of the body weight) an hour before antibodies administration restored the
meiotic maturation of oocytes and supported the survival of follicular and lymph
node cells. In contrast, melatonin application upon immunization was not
effective to prevent the ovary impairment and cell death. It is concluded that
melatonin protects against immune ovary failure induced by xenogenic anti-ovarian
antibodies.
PMID- 18059974
TI - Characteristics of sperm motility in boar semen diluted in different extenders
and stored for seven days at 18 degrees C.
AB - Although numerous extenders exist for diluting boar semen, little research has
been conducted comparing commercial extenders with regard to maintaining sperm
motility during storage. The objective was to use a computer- assisted sperm
analysis system to assess motility of boar spermatozoa diluted in Beltsville
Thawing Solution, Merck-III, Androhep-lite, Sperm Aid, MR-A, Modena, X-Cell, VSP,
and Vital. Ejaculates from boars (n=10) were collected and sub-samples were
diluted (35x10(6) spermatozoa/ml) in the different extenders and stored for seven
days at 18 degrees. Extender by day interactions were detected (p<0.01) and on
each day post collection, there were numerically small, but statistically
significant differences in characteristics of sperm motility among extenders. For
example, on day 7, the percentages of motile and progressively motile spermatozoa
were highest (p<0.05) in X-Cell (90.7%) and Modena (63.9%), respectively. The
average velocity measured over the actual point-to-point track followed by the
sperm cell (VCL; 198.2 microm/s) and path velocity of the smoothed cell path
(VAP; 106.4 microm/s) were highest (p<0.05) in Vital and Modena, respectively.
Average velocity measured in a straight line from the beginning to the end of the
track (VSL; 78.3 microm/s), average value of the ratio VSL/VAP (straightness;
73.2) and average value of the ratio VSL/VCL (linearity; 44.1) on day 7 were
highest in Androhep-lite. In summary, changes in sperm motility during storage
were affected by the extender utilized, but with the exception of Sperm Aid, all
extenders maintained a high degree of sperm motility through 7 days of storage.
PMID- 18059975
TI - Genistein-induced pituitary prolactin gene expression and prolactin release in
ovariectomized ewes following a series of intracerebroventricular infusions.
AB - The aim of the study was to evaluate whether genistein, a phytoestrogen commonly
present in feed plants, affects prolactin release and its gene expression in the
pituitary gland. In the experimental model, genistein was infused into the third
ventricle (IIIv) of the brain in ewes during the short-daylight period (November
December), when the physiological plasma level of prolactin is low. Animals were
ovariectomized six weeks before the experiment, to remove the main source of
endogenous estrogens, and three weeks later a stainless steel guide cannula was
implanted into IIIv. Genistein (10 ng/100 microl/h, n=5) or vehicle (control,
n=5) were infused in a series of four one-hour infusions at 30-min intervals
(from 16:30 to 22:00). Plasma samples were collected at 15-min intervals from
14:00 to 22:00 through a catheter inserted into the jugular vein and after the
experiment ewes were slaughtered. Northern blot analysis revealed that pituitary
prolactin mRNA content increased significantly in response to genistein, compared
to the vehicle-infused ewes (p<0.05). Prolactin concentration in plasma rose
significantly during the periods of genistein infusion, as compared to the values
found before infusion (p<0.05-p<0.01) as well as to the values of the concomitant
periods in vehicle-infused ewes (p<0.001). Our results show an effective
estrogenic action of genistein on prolactin synthesis and release in
ovariectomized ewes that might in part be exerted at the central nervous system
level.
PMID- 18059976
TI - The effect of gabapentin and phenytoin on sperm-morphology in Wistar rats.
AB - The objective of the present study was to investigate the effects of the
antiepileptic drugs, gabapentin and phenytoin, on sperm morphology in Wistar
rats. Groups (n=5) of rats were treated with cyclophosphamide (20 mg/day),
gabapentin (16, 25, 32 mg/day) and phenytoin (3.5, 5.5, 7 mg/day) for five
consecutive days. 14 and 35 days after the last exposure, sperm morphology was
evaluated by standard procedure. Gabapentin and phenytoin did not induce
significant changes in sperm morphology. The results suggest that phenytoin and
gabapentin are not germ cell mutagens in males, and do not appear to adversely
affect male fertility.
PMID- 18059977
TI - Predicting the Length of Stay of Patients Admitted for Intensive Care Using a
First Step Analysis.
AB - For patients admitted to intensive care units (ICU), the length of stay in
different destinations after the first day of ICU admission, has not been
systematically studied. We aimed to estimate the average length of stay (LOS) of
such patients in Colombia, using a discrete time Markov process. We used the
maximum likelihood method and Markov chain modeling to estimate the average LOS
in the ICU and at each destination after discharge from intensive care. Six
Markov models were estimated, describing the LOS in each one of the
Cardiovascular, Neurological, Respiratory, Gastrointestinal, Trauma and Other
diagnostic groups from the ultimate primary reason for admission to ICU. Possible
destinations were: the intensive care unit, ward in the same hospital, the high
dependency unit/intermediate care area in the same hospital, ward in other
hospital, intensive care unit in other hospital, other hospital, other location
same hospital, discharge from same hospital and death. The stationary property
was tested and using a split-sample analysis, we provide indirect evidence about
the appropriateness of the Markov property. It is not possible to use a unique
Markov chain model for each diagnostic group. The length of stay varies across
the ultimate primary reason for admission to intensive care. Although our Markov
models shown to be predictive, the fact that current available statistical
methods do not allow us to verify the Markov property test is a limitation.
Clinicians may be able to provide information about the hospital LOS by
diagnostic groups for different hospital destinations.
PMID- 18059985
TI - "These old bones"--a growing public health problem.
PMID- 18059979
TI - Inflammation and Spinal Cord Injury: Infiltrating Leukocytes as Determinants of
Injury and Repair Processes.
AB - The immune response that accompanies spinal cord injury contributes to both
injury and reparative processes. It is this duality that is the focus of this
review. Here we consider the complex cellular and molecular immune responses that
lead to the infiltration of leukocytes and glial activation, promote oxidative
stress and tissue damage, influence wound healing, and subsequently modulate
locomotor recovery. Immunomodulatory strategies to improve outcomes are gaining
momentum as ongoing research carefully dissects those pathways, which likely
mediate cell injury from those, which favor recovery processes. Current
therapeutic strategies address divergent approaches including early
immunoblockade and vaccination with immune cells to prevent early tissue damage
and support a wound-healing environment that favors plasticity. Despite these
advances, there remain basic questions regarding how inflammatory cells interact
in the injured spinal cord. Such questions likely arise as a result of our
limited understanding of immune cell/neural interactions in a dynamic environment
that culminates in progressive cell injury, demyelination, and regenerative
failure.
PMID- 18059986
TI - Are room temperature and thermal neutral synonymous terms? An investigation of
common therapeutic modality control variables.
AB - CONTEXT: Therapeutic modality control variables are thought to be thermal
neutral, a term sometimes used interchangeably with room temperature. We question
this common assumption. OBJECTIVE: To determine thermal neutrality of common
therapeutic modality control variables. DESIGN: We performed 5 laboratory
experiments, including (1) water temperature over 3 weeks in 3 different
containers (glass, plastic, and polystyrene); (2) water temperature and volume of
4 beakers (2 insulated, 2 uninsulated) over 4 weeks, with 1 beaker of each type
covered by polyethylene; and skin interface temperature of (3) a dry, nonheated
hydrocollator pack held against the chest, (4) kitty litter applied to the knee,
and (5) room-temperature ultrasound gel to the forearm. SETTING: Therapeutic
modalities laboratory. PATIENTS OR OTHER PARTICIPANTS: College student volunteers
were subjects in experiments 3, 4, and 5. MAIN OUTCOME MEASURE(S): We measured
temperature and volume change. Data were evaluated using descriptive and
interferential statistics. RESULTS: Water temperature plateaued significantly
below room temperature. Temperatures significantly increased in all but the open,
insulated container. Open containers plateaued at approximately 2 degrees C below
room temperature and lost significant amounts of water; closed containers
plateaued at room temperature with negligible water loss. In experiments 3
through 5, skin temperatures rose significantly during hydrocollator pack, kitty
litter, and ultrasound gel application. CONCLUSIONS: Room-temperature water
baths, dry hydrocollator packs, kitty litter, and ultrasound gel were not
thermally neutral. Room temperature should not be used synonymously with thermal
neutral. Care must be taken to ensure that control variables truly are
controlled.
PMID- 18059988
TI - Cooling efficiency of 4 common cryotherapeutic agents.
AB - CONTEXT: Cryotherapy is the application of cold as a treatment. It is widely used
and accepted as beneficial in early management of soft tissue injury. However,
the most efficient cryotherapeutic agent remains unknown. OBJECTIVE: To compare 4
common cryotherapeutic agents including crushed ice (CI), gel pack (GP), frozen
peas (FP), and ice-water immersion (WI) and to determine which agent provided the
greatest cooling efficiency after a 20-minute application. DESIGN: Repeated
measures design. SETTING: University physiology laboratory. PATIENTS OR OTHER
PARTICIPANTS: Nine healthy volunteers participated (5 males, 4 females; age =
24.0 +/- 4.6 years, height = 1.73 +/- 0.24 m, mass = 79.9 +/- 24.1 kg).
INTERVENTION(S): The CI, GP, FP, and WI were applied to the right ankle for 20
minutes. Participants were required to attend 1 measurement session for each
agent. MAIN OUTCOME MEASURE(S): We recorded skin surface temperature of the right
ankle at a sampling rate of 1 image/min, using a thermal imaging camera during a
30-minute rewarming period. RESULTS: Application of CI produced a significantly
greater reduction in skin surface temperature (19.56 +/- 3.78 degrees C) than GP
(13.19 +/- 5.07 degrees C) and FP (14.59 +/- 4.22 degrees C) ( P < .001). The CI
and WI demonstrated significantly ( P < .001) greater cooling efficiency than GP
and FP. CONCLUSIONS: The CI and WI had the greatest cooling efficiency and
sustained decreased skin surface temperatures postapplication, indicating these
agents are potentially the most clinically beneficial.
PMID- 18059978
TI - Potentiation of Excitotoxicity in HIV-1 Associated Dementia and the Significance
of Glutaminase.
AB - HIV-1 Associated Dementia (HAD) is a significant consequence of HIV infection.
Although multiple inflammatory factors contribute to this chronic, progressive
dementia, excitotoxic damage appears to be an underlying mechanism in the
neurodegenerative process. Excitotoxicity is a cumulative effect of multiple
processes occurring in the CNS during HAD. The overstimulation of glutamate
receptors, an increased vulnerability of neurons, and disrupted astrocyte support
each potentiate excitotoxic damage to neurons. Recent evidence suggests that
poorly controlled generation of glutamate by phosphate-activated glutaminase may
contribute to the neurotoxic state typical of HAD as well as other
neurodegenerative disorders. Glutaminase converts glutamine, a widely available
substrate throughout the CNS to glutamate. Inflammatory conditions may
precipitate unregulated activity of glutaminase, a potentially important
mechanism in HAD pathogenesis.
PMID- 18059987
TI - Validity of devices that assess body temperature during outdoor exercise in the
heat.
AB - CONTEXT: Rectal temperature is recommended by the National Athletic Trainers'
Association as the criterion standard for recognizing exertional heat stroke, but
other body sites commonly are used to measure temperature. Few authors have
assessed the validity of the thermometers that measure body temperature at these
sites in athletic settings. OBJECTIVE: To assess the validity of commonly used
temperature devices at various body sites during outdoor exercise in the heat.
DESIGN: Observational field study. SETTING: Outdoor athletic facilities. PATIENTS
OR OTHER PARTICIPANTS: Fifteen men and 10 women (age = 26.5 +/- 5.3 years, height
= 174.3 +/- 11.1 cm, mass = 72.73 +/- 15.95 kg, body fat = 16.2 +/- 5.5%).
INTERVENTION(S): We simultaneously tested inexpensive and expensive devices
orally and in the axillary region, along with measures of aural,
gastrointestinal, forehead, temporal, and rectal temperatures. Temporal
temperature was measured according to the instruction manual and a modified
method observed in medical tents at local road races. We also measured forehead
temperatures directly on the athletic field (other measures occurred in a covered
pavilion) where solar radiation was greater. Rectal temperature was the criterion
standard used to assess the validity of all other devices. Subjects' temperatures
were measured before exercise, every 60 minutes during 180 minutes of exercise,
and every 20 minutes for 60 minutes of postexercise recovery. Temperature devices
were considered invalid if the mean bias (average difference between rectal
temperature and device temperature) was greater than +/-0.27 degrees C (+/-0.5
degrees F). MAIN OUTCOME MEASURE(S): Temperature from each device at each site
and time point. RESULTS: Mean bias for the following temperatures was greater
than the allowed limit of +/-0.27 degrees C (+/-0.5 degrees F): temperature
obtained via expensive oral device (-1.20 degrees C [-2.17 degrees F]),
inexpensive oral device (-1.67 degrees C [-3.00 degrees F]), expensive axillary
device (-2.58 degrees C [-4.65 degrees F]), inexpensive axillary device (-2.07
degrees C [-3.73 degrees F]), aural method (-1.00 degrees C [-1.80 degrees F]),
temporal method according to instruction manual (-1.46 degrees C [-2.64 degrees
F]), modified temporal method (-1.36 degrees C [-2.44 degrees F]), and forehead
temperature on the athletic field (0.60 degrees C [1.08 degrees F]). Mean bias
for gastrointestinal temperature (-0.19 degrees C [-0.34 degrees F]) and forehead
temperature in the pavillion (-0.14 degrees C [-0.25 degrees F]) was less than
the allowed limit of +/-0.27 degrees C (+/-0.5 degrees F). Forehead temperature
depended on the setting in which it was measured and showed greater variation
than other temperatures. CONCLUSIONS: Compared with rectal temperature (the
criterion standard), gastrointestinal temperature was the only measurement that
accurately assessed core body temperature. Oral, axillary, aural, temporal, and
field forehead temperatures were significantly different from rectal temperature
and, therefore, are considered invalid for assessing hyperthermia in individuals
exercising outdoors in the heat.
PMID- 18059989
TI - Phonophoresis and the absorption of dexamethasone in the presence of an occlusive
dressing.
AB - CONTEXT: Phonophoresis is purported to represent a method to apply topical
medications through the skin to treat soft tissue injuries and inflammatory
conditions. Few data are available to demonstrate the clinical effectiveness of
the treatment. OBJECTIVE: To determine the effect of ultrasound on the
transcutaneous absorption of dexamethasone when occluded with a dressing. DESIGN:
Crossover design. SETTING: University general clinical research center. PATIENTS
OR OTHER PARTICIPANTS: Ten healthy subjects (age = 29.2 +/- 8.8 years; height =
170.0 +/- 3.9 cm; mass = 67.5 +/- 18.4 kg). INTERVENTION(S): Two grams of 0.33%
dexamethasone cream were applied to a 10-cm (2) area on the anterior forearm. The
drug was applied to the skin and occluded with a dressing for 30 minutes before
the ultrasound and sham ultrasound treatments. The treatments were applied over
the drug and occlusive dressing. Ultrasound treatments were delivered at an
intensity of 1.0 W/cm (2) (50% pulsed) at an output frequency of 3 MHz for 5
minutes and compared with sham ultrasound treatments that were delivered at an
intensity of 0.0 W/cm (2) (50% pulsed) at an output frequency of 3 MHz for 5
minutes. All subjects received both the ultrasound and sham treatments, and the
order in which subjects received the treatments was counterbalanced. MAIN OUTCOME
MEASURE(S): Serum samples were drawn before treatment and immediately
posttreatment and at 2, 4, 6, 8, and 10 hours posttreatment. Using high
performance liquid chromatography, we analyzed serum to determine dexamethasone
concentrations. RESULTS: A 2-way repeated-measures analysis of variance
(condition x time) revealed a significant main effect for ultrasound treatment (
P = .047). The rate of appearance and the total concentration of dexamethasone in
the serum were greater in subjects after phonophoresis than after sham
ultrasound. The sham group had only trace amounts of dexamethasone in the serum,
indicating that drug absorption was negligible without the ultrasound energy. The
effect size of the phonophoresis condition fell within a 95% confidence interval
after the baseline measurement. CONCLUSIONS: We found that a phonophoretic effect
occurred with dexamethasone when its application saturated the skin.
PMID- 18059990
TI - Arthrogenic muscle response of the quadriceps and hamstrings with chronic ankle
instability.
AB - CONTEXT: An arthrogenic muscle response (AMR) of the soleus and peroneal muscles
has been previously demonstrated in individuals with chronic ankle instability
(CAI), but the presence of AMR in muscles acting on joints proximal to unstable
ankles has not been previously explored. OBJECTIVE: To determine if AMR is
present in the quadriceps and hamstrings muscles of those with and without
unilateral CAI. DESIGN: Case control. SETTING: University research laboratory.
PATIENTS OR OTHER PARTICIPANTS: Twenty subjects with unilateral CAI (12 males, 8
females: age = 19.9 +/- 3.7 years; height = 170.3 +/- 15.6 cm; mass = 78.0 +/-
23.1 kg) and 21 controls (16 males, 5 females: age = 23.2 +/- 5.4 years; height =
173.9 +/- 12.7 cm; mass = 87.2 +/- 24.6 kg) with no previous ankle injuries. MAIN
OUTCOME MEASURE(S): The central activation ratio (CAR), a measure of motoneuron
pool excitability during maximal voluntary isometric contraction, for the
hamstrings and quadriceps muscles was measured in both limbs using the
superimposed burst technique. RESULTS: The CAI group demonstrated quadriceps CARs
that were significantly larger in their involved limbs (.87 +/- .09), as compared
with their uninvolved limbs (.84 +/- .08), whereas no significant side-to-side
difference was seen in the control group (sham involved = .80 +/- .11, sham
uninvolved = .81 +/- .11). When values from both the involved and uninvolved
limbs were averaged, the hamstrings CAR was significantly lower for the CAI group
(.94 +/- .03) than for the control group (.96 +/- .03). CONCLUSIONS: Arthrogenic
inhibition of the hamstrings muscles bilaterally and facilitation of the
quadriceps muscle ipsilateral to the involved limb were noted in subjects with
unilateral CAI. Motoneuron pool excitability appears to be altered in muscles
that act on joints proximal to the ankle in those with unilateral CAI.
PMID- 18059991
TI - Correlations among multiple measures of functional and mechanical instability in
subjects with chronic ankle instability.
AB - CONTEXT: Although dozens of individual mechanical and functional insufficiencies
have been reported in those with chronic ankle instability (CAI), no authors to
date have examined the relationship of the insufficiencies to each other.
Therefore, studying both the functional and mechanical insufficiencies in the
same experimental design will provide valuable information. OBJECTIVE: To
determine the relationships among the numerous functional and mechanical
insufficiencies purported to cause CAI. DESIGN: Descriptive study with a
correlational design. SETTING: Medical center and research laboratory. PATIENTS
OR OTHER PARTICIPANTS: Thirty subjects with unilateral CAI (15 males and 15
females: age = 20.3 +/- 1.3 years; height = 172.5 +/- 10.7 cm; mass = 72.9 +/-
15.8 kg). MAIN OUTCOME MEASURE(S): Twenty-six measures of mechanical
insufficiencies (ankle laxity and hypomobility) and functional insufficiencies
(subjective level of function, static and dynamic balance, ankle and hip
strength) were taken on both limbs of all subjects. Bivariate correlations using
Pearson product moment coefficients were calculated between all dependent
variables measured in the unstable ankles. The level of significance was set a
priori at P < or = .05 for all analyses. RESULTS: A number (35) of significant
bivariate correlations were identified. Most (32) of these significant
relationships were found between functional instability measures ( r = .38 to
.96). Three significant relationships were noted between measures of functional
(balance, strength) and mechanical (laxity, hypomobility) instability ( r = .47
to .52). No significant relationships were found between the different mechanical
instability measures. CONCLUSIONS: Certain measures of functional instability
were significantly correlated and may be redundant. Additionally, the significant
correlations between measures of functional (balance, strength) and mechanical
instability (laxity, hypomobility) demonstrate that the 2 factors are not
completely dichotomous and need to be examined together.
PMID- 18059992
TI - Balance deficits in recreational athletes with chronic ankle instability.
AB - CONTEXT: Deficits in static and dynamic stability during single-leg stance have
been noted in individuals with chronic ankle instability (CAI), but few
investigators have tested subjects for subtle deficits in dynamic balance. Subtle
deficits in dynamic balance during a double-leg stance may reveal changes in the
sensorimotor system because of CAI. OBJECTIVE: To use a standardized tibial nerve
stimulation as a perturbation to test for dynamic balance deficits between a
group of recreational athletes with CAI and a group of recreational athletes with
stable ankles. DESIGN: Case-control study. SETTING: Laboratory. PATIENTS OR OTHER
PARTICIPANTS: Twenty recreational athletes with CAI and 20 recreational athletes
with stable ankles. INTERVENTION(S): Balance deficits were assessed for each
subject during static and dynamic trials. MAIN OUTCOME MEASURE(S): Time to
stabilization and center-of-pressure excursion path length, velocity, and area
from ground reaction forces during double-leg stance were collected through a
forceplate. We used an accelerometer to measure tibial acceleration. Data were
collected during static stance and during a bilateral perturbation using maximal
motor neuron recruitment elicited by electric stimulation of the tibial nerve.
RESULTS: Only time to stabilization in the anterior-posterior direction was
significantly different between groups ( P = .04), with the CAI group taking
longer to return to a stable range of ground reaction forces. We found no other
differences in stability measures between the groups. CONCLUSIONS: Dynamic
balance in double-leg stance as measured by time to stabilization appears to be
affected in individuals with CAI. Deficits in the response to external
perturbation may indicate subtle central sensorimotor changes.
PMID- 18059993
TI - Plantar foot pressures after the augmented low dye taping technique.
AB - CONTEXT: Taping and orthoses are frequently applied to control excessive foot
pronation to treat or prevent musculoskeletal pain and injury of the lower limb.
The mechanism(s) by which these devices bring about their clinical effects are at
best speculative and require systematic evaluation. OBJECTIVE: To determine the
initial effect of the augmented low Dye taping technique (ALD) on plantar foot
pressures during walking and jogging. DESIGN: Within-subjects, repeated-measures
randomized control trial. SETTING: Gait research laboratory. PATIENTS OR OTHER
PARTICIPANTS: Fifteen women and 7 men with an average age of 28.0 +/- 7.4 years
who were asymptomatic. INTERVENTION(S): Participants walked and jogged along a 12
m walkway before and after the application of ALD. The untaped side served as the
control. MAIN OUTCOME MEASURE(S): Peak and mean maximum plantar pressure data
were calculated for the medial and lateral areas of the rear and midfoot and the
medial, central, and lateral forefoot areas. Thus, a 3-factor model was tested:
condition (ALD, control) x time (preapplication, postapplication) x area (medial
and lateral rearfoot and midfoot and medial, central, and lateral forefoot).
RESULTS: Significant 3-way interactions were present for both peak and mean
maximum plantar pressure during walking (F (6,126) = 9.55, P = .006 and F (6,126)
= 11.36, P = .003, respectively) and jogging (F (6,126) = 5.76, P = .026 and F
(6,126) = 4.56, P = .045, respectively) tasks. The ALD predominantly increased
plantar pressures in the lateral midfoot during walking and jogging. In addition,
tape reduced mean maximum pressure at the medial forefoot and at the medial
rearfoot during walking. CONCLUSIONS: The ALD, which has previously been shown to
reduce excessive pronation, produced significant increases in lateral midfoot
plantar pressures, thereby providing additional information to be considered when
the mechanism(s) of action of such a treatment are modeled.
PMID- 18059994
TI - Ankle injuries among United States high school sports athletes, 2005-2006.
AB - CONTEXT: Ankle injuries are the most common sport-related injuries. To date, no
studies have been published that use national data to present a cross-sport,
cross-sex analysis of ankle injuries among US high school athletes. OBJECTIVE: To
investigate the incidence rates of ankle injuries by sex, type of exposure, and
sport. DESIGN: Descriptive epidemiologic study. SETTING: One hundred US high
schools. PATIENTS OR OTHER PARTICIPANTS: United States high school athletes. MAIN
OUTCOME MEASURE(S): We reviewed ankle injury data collected over the 2005-2006
school year from a nationally representative sample obtained by High School RIO,
an injury surveillance system. Specific sports studied were boys' football, boys'
and girls' soccer, girls' volleyball, boys' and girls' basketball, boys'
wrestling, boys' baseball, and girls' softball. RESULTS: An estimated 326 396
ankle injuries occurred nationally in 2005-2006, yielding an injury rate of 5.23
ankle injuries per 10 000 athlete-exposures. Ankle injuries occurred at a
significantly higher rate during competition (9.35 per 10 000 athlete-exposures)
than during practice (3.63) (risk ratio = 2.58; 95% confidence interval = 2.26,
2.94; P < .001). Boys' basketball had the highest rate of ankle injury (7.74 per
10 000 athlete-exposures), followed by girls' basketball (6.93) and boys'
football (6.52). In all sports except girls' volleyball, rates of ankle injury
were higher in competition than in practice. Overall, most ankle injuries were
diagnosed as ligament sprains with incomplete tears (83.4%). Ankle injuries most
commonly caused athletes to miss less than 7 days of activity (51.7%), followed
by 7 to 21 days of activity loss (33.9%) and more than 22 days of activity loss
(10.5%). CONCLUSIONS: Sports that combine jumping in close proximity to other
players and swift changes of direction while running are most often associated
with ankle injuries. Future research on ankle injuries is needed to drive the
development and implementation of more effective preventive interventions.
PMID- 18059995
TI - Ankle sprain injuries: a 2-year prospective cohort study in female Greek
professional basketball players.
AB - CONTEXT: Ankle sprains are a common basketball injury. Therefore, examination of
risk factors for injury in female professional basketball players is worthwhile.
OBJECTIVE: To examine rates of ankle sprains, associated time missed from
participation, and risk factors for injury during 2 consecutive seasons. DESIGN:
Prospective cohort study. SETTING: Eighteen professional basketball facilities.
PATIENTS OR OTHER PARTICIPANTS: We observed 204 players from 18 female
professional basketball teams for 2 consecutive seasons during a 2-year period.
MAIN OUTCOME MEASURE(S): Using questionnaires, we recorded the incidence of ankle
sprains, participation time missed, and mechanisms of injury in games and
practice sessions. Potential risk factors, such as age, body mass, height,
training experience, and history of ankle sprain, were examined using
multivariate logistic regression. RESULTS: Fifty of the 204 participants
sustained ankle injuries; injuries included 32 ankle sprains, which translated to
an ankle sprain rate of 1.12 per 1000 hours of exposure to injury. The 32 players
missed 224.4 training and game sessions and an average of 7.01 sessions per
injury. Most injuries occurred in the key area of the basketball court and were
the result of contact. Injury rates during games were higher than injury rates
during practice sessions. Centers, followed by guards and forwards, had the
highest rate of injury. Players who did not wear an external ankle support had an
odds ratio of 2.481 for sustaining an ankle sprain. CONCLUSIONS: Female
professional basketball athletes who did not wear an external ankle support, who
played in the key area, or who functioned as centers had a higher risk for ankle
sprain than did other players.
PMID- 18059996
TI - Time-loss and non-time-loss injuries in youth football players.
AB - CONTEXT: Estimates suggest that more than 5.5 million youths play football
annually, and 28% of youth football players (age range = 5 to 14 years) are
injured each year, resulting in more than 187 000 emergency room visits.
OBJECTIVE: To analyze time-loss (TL) and non-time-loss (NTL) injury patterns
across age groups in youth football players. DESIGN: Two-year observational
cohort. SETTING: Two midwestern communities, including players from the fourth
through eighth grades and between the ages of 9 and 14 years. PATIENTS OR OTHER
PARTICIPANTS: A total of 779 players participated, including 296 in grades 4 and
5; 203 in grade 6; 188 in grade 7; and 92 in grade 8. (Players in the fourth and
fifth grades participated on the same teams, so we considered them as a single
group.) MAIN OUTCOME MEASURE(S): Injury frequencies and exposures were collected
by certified athletic trainers present at each practice and game and used to
calculate injury rates with 95% confidence intervals (CIs) for both TL and NTL
injuries across age groups. RESULTS: A total of 474 injuries and 26 565 exposures
were identified. Injuries were reported by 36.5% of the players, with 14.4%
reporting more than 1 injury in a season. The overall injury rate per 1000
athlete-exposures (A-Es) was 17.8 (95% CI = 16.3, 19.5). The injury rate
increased with each succeeding grade from 14.3 per 1000 A-Es (95% CI = 12.1,
16.9) in grades 4 and 5 to 21.7 per 1000 A-Es (95% CI = 17.2, 27.3) in grade 8. A
total of 58.6% of all injuries were NTL. Non-time-loss injuries accounted for
70.1% of the injuries reported by fourth and fifth graders, 55.1% by sixth
graders, 64.0% by seventh graders, and 33.8% by eighth graders. The cumulative
NTL injury rate was 10.5 per 1000 A-Es (95% CI = 9.3, 11.8), and the TL injury
rate was 7.4 per 1000 A-Es (95% CI = 6.4, 8.5). CONCLUSIONS: Youth football
players sustained more NTL injuries than TL injuries. We recommend that a first
aid-certified coach or league official be present at all games and practices.
PMID- 18059997
TI - Bone mineral density in collegiate female athletes: comparisons among sports.
AB - CONTEXT: Some female athletes may have decreased bone mineral density (BMD),
which puts them at higher risk for stress fractures and future osteoporosis.
OBJECTIVE: To compare site-specific BMD among National Collegiate Athletic
Association Division I varsity female athletes and to determine predictor
variables of BMD measurements. DESIGN: Between-groups design. SETTING: University
health care system. PATIENTS OR OTHER PARTICIPANTS: All women varsity athletes
were invited to participate in a cross-sectional study. Of 12 sports, we obtained
complete data from 99 women (mean age = 20.2 +/- 1.3 years) representing
gymnastics, softball, cross-country, track, field hockey, soccer, crew, and
swimming/diving. MAIN OUTCOME MEASURE(S): Each participant was weighed, measured,
and questioned about her menstrual status. Using dual-energy x-ray
absorptiometry, we measured total-body BMD and region-of-interest scores for
lumbar spine, pelvis, and average leg (average from right and left leg
measurements) BMD. Using analyses of covariance, we compared BMD measurements
among sports at each site while controlling for menstrual status and mass, and we
performed a stepwise regression analysis to determine significant predictors of
BMD at each site. RESULTS: Twenty-three athletes were oligomenorrheic or
amenorrheic. Runners had the lowest total-body (1.079 +/- 0.055 g.cm (-2)) and
site-specific ( P < .01) BMD values for every site except average leg score when
compared with gymnasts and softball players. Swimmers and divers had
significantly lower average leg BMD (1.117 +/- 0.086 g.cm (-2)) than athletes in
every other sport except runners and rowers ( P < .01). Regression analysis
revealed only mass and sport as significant predictors of total-body BMD.
CONCLUSIONS: Runners and swimmers and divers demonstrated some deficits in site
specific BMD values when compared with athletes in other sports. When treating a
female varsity athlete, athletic trainers should consider her mass and sport type
with regard to her bone health.
PMID- 18059998
TI - Does eccentric exercise reduce pain and improve strength in physically active
adults with symptomatic lower extremity tendinosis? A systematic review.
AB - OBJECTIVE: To critically review evidence for the effectiveness of eccentric
exercise to treat lower extremity tendinoses. DATA SOURCES: Databases used to
locate randomized controlled trials (RCTs) included PubMed (1980-2006), CINAHL
(1982-2006), Web of Science (1995-2006), SPORT Discus (1980-2006), Physiotherapy
Evidence Database (PEDro), and the Cochrane Collaboration Database. Key words
included tendon, tendonitis, tendinosis, tendinopathy, exercise, eccentric,
rehabilitation, and therapy. STUDY SELECTION: The criteria for trial selection
were (1) the literature was written in English, (2) the research design was an
RCT, (3) the study participants were adults with a clinical diagnosis of
tendinosis, (4) the outcome measures included pain or strength, and (5) eccentric
exercise was used to treat lower extremity tendinosis. DATA EXTRACTION: Specific
data were abstracted from the RCTs, including eccentric exercise protocol,
adjunctive treatments, concurrent physical activity, and treatment outcome. DATA
SYNTHESIS: The calculated post hoc statistical power of the selected studies (n =
11) was low, and the average methodologic score was 5.3/10 based on PEDro
criteria. Eccentric exercise was compared with no treatment (n = 1), concentric
exercise (n = 5), an alternative eccentric exercise protocol (n = 1), stretching
(n = 2), night splinting (n = 1), and physical agents (n = 1). In most trials,
tendinosis-related pain was reduced with eccentric exercise over time, but only
in 3 studies did eccentric exercise decrease pain relative to the control
treatment. Similarly, the RCTs demonstrated that strength-related measures
improved over time, but none revealed significant differences relative to the
control treatment. Based on the best evidence available, it appears that
eccentric exercise may reduce pain and improve strength in lower extremity
tendinoses, but whether eccentric exercise is more effective than other forms of
therapeutic exercise for the resolution of tendinosis symptoms remains
questionable.
PMID- 18059999
TI - Management of superficial to partial-thickness wounds.
AB - REFERENCE/CITATION: Wiechula R. The use of moist wound-healing dressings in the
management of split-thickness skin graft donor sites: a systematic review. Int J
Nurs Pract. 2003; 9:S9-S17. CLINICAL QUESTION: Do rates of healing, infection,
and pain differ depending on whether nonmoist or moist dressings are used to
manage superficial to partial-thickness wounds? DATA SOURCES: Investigations were
identified by CINAHL, MEDLINE, Pre-MEDLINE, Cochrane Library, Current Contents,
Health STAR, EMBASE, Expanded Academic Index, and Dissertation Abstracts
International searches. The search terms included skin, graft, and donor.
Additional searches were performed with reference lists and bibliographies of
retrieved studies. STUDY SELECTION: To be included in the review, each study had
to fulfill the following criteria: it had to be an intraindividual or prospective
randomized controlled trial of human subjects; it had to include patients with
postharvest split-thickness skin graft donor sites; it had to evaluate the
effectiveness of primary and secondary wound dressings; and it had to have
outcome measures that included healing (objective), infection (subjective), and
pain (objective). DATA EXTRACTION: Data extraction and study quality assessment
procedures were developed specifically for this review based on Cochrane
Collaboration, Centre for Reviews and Dissemination, and Joanna Briggs Institute
protocols and were performed independently by the author. Details of the
procedures were not fully explained. The principal outcome measures were healing
(proportion of sites healed within the study period or time to complete healing),
rate of infection, and pain scores. The studies were grouped according to broad
dressing type (nonmoist and moist) and specific types of moist dressings
(hydrocolloids and polyurethane semipermeable transparent films). When
comparable, study results were pooled and analyzed with a fixed-effects model.
Data within broader dressing categories (nonmoist and moist) were analyzed with a
random-effects model. chi (2) analysis was used to determine heterogeneity among
the studies. RevMan software (version 4.04; Cochrane Centre, Oxford, UK) was used
for statistical analysis. MAIN RESULTS: The searches identified 111 studies and 1
integrative review, of which 58 studies met the inclusion and exclusion criteria.
Inconsistency and variation in outcome measures and incomplete reporting of
results prevented analysis of many studies. Wound healing was measured by days to
complete healing (when dressings could be removed without trauma and pain) and
wounds healed by day X (removal of dressings at regular intervals). Wound
infection was subjectively measured based on clinical signs of infection (edema,
heat, pain, or smell). Visual analog scales were used to measure pain levels.
Among the broad categories of nonmoist (sterile gauze, fine mesh gauze, Xerofoam
[Tyco Healthcare Group LP, Mansfield, MA]) and moist (DuoDERM hydrocolloid
[ConvaTec, Princeton, NJ], Tegaderm transparent film [3M Health Care, St Paul,
MN], Opsite transparent film [Smith & Nephew, London, UK]) dressings, the
outcomes of healing, infection, and pain were analyzed. In 6 studies, the
findings significantly favored moist dressings, compared with nonmoist dressings,
for days to complete healing (weighted mean difference [WMD] = -3.97, 95%
confidence interval [CI] = -5.91, -2.02). In 9 studies, wounds healed by day X
(day 7, 8, 9, 10, or 12) were analyzed. The results were varied and inconclusive
because of a small number of trials and subjects. Among 10 studies, no
significant difference was noted in infection rates between nonmoist and moist
dressings (odds ratio [OR] = 0.41, 95% CI = 0.14, 1.18). Three studies using
visual analog scales for the outcome of pain were converted into a uniform scale
of 1 to 10 (10 representing most painful). The findings significantly favored
moist dressings over nonmoist dressings (WMD = -1.75, 95% CI = -2.94, -0.56).
Among nonmoist and specific types of moist dressings, a subset analysis was
performed to examine the outcomes of healing, infection, and pain. For days to
complete healing, 2 investigations significantly favored hydrocolloid dressings
over nonmoist dressings (WMD = -2.19, 95% CI = -2.89, -1.49). Additionally, in 2
studies, hydrocolloid dressings were significantly favored over other moist
dressings (semiocclusive hydrocolloid and transparent film) for days to complete
healing (WMD = -1.45, 95% CI = -2.17, -0.74). In 3 studies, the data
significantly favored polyurethane semipermeable transparent film dressings over
nonmoist dressings for days to complete healing (WMD = -2.82, 95% CI = -3.58,
2.07). For infection rates, 4 studies significantly favored hydrocolloid
dressings over nonmoist dressings (OR = 0.21, 95% CI = 0.07, 0.65). In 4 other
studies, polyurethane semipermeable transparent film dressings were significantly
favored over nonmoist dressings with regard to infection rates (OR = 0.28, 95% CI
= 0.09, 0.91). For the outcome of pain, varied outcome measures and insufficient
data prevented analysis among specific types of moist dressings. CONCLUSIONS:
Moist dressings decreased the days to complete healing and pain scores when
compared with nonmoist dressings. Among the broad categories of nonmoist and
moist dressings, no differences were found in infection rates. The data on
specific types of moist dressings revealed that days to complete healing were
decreased with hydrocolloid dressings compared with nonmoist and other moist
dressings. Hydrocolloid dressings also decreased infection rates compared with
nonmoist dressings. Polyurethane semipermeable transparent film dressings also
decreased days to complete healing and infection rates compared with nonmoist
dressings. Overall, the data indicated that hydrocolloid dressings are more
effective than nonmoist dressings in terms of rates of healing, infection, and
pain in the management of superficial to partial-thickness wounds. The variations
in outcome measures among the included studies should be considered in
interpreting these findings.
PMID- 18060003
TI - Turning 50--look at what we have become!
PMID- 18060000
TI - Blisters on the anterior shin in 3 research subjects after a 1-MHz, 1.5-W/cm ,
continuous ultrasound treatment: a case series.
AB - CONTEXT: Clinicians should consider multiple factors when estimating tissue
heating rates. OBJECTIVE: To report 3 separate occurrences of blisters during an
ultrasound treatment experiment. BACKGROUND: While we were conducting a research
experiment comparing the measurement capabilities of 2 different intramuscular
temperature devices, 3 female participants (age = 26.33 +/- 3.79 years, height =
169.34 +/- 3.89 cm, mass = 63.39 +/- 3.81 kg) out of 16 healthy volunteers (7
men: age = 22.83 +/- 1.17 years, height = 170.61 +/- 7.77 cm, mass = 74.62 +/-
19.24 kg; 9 women: age = 24.22 +/- 2.73 years, height = 171.88 +/- 6.35 cm, mass
= 73.99 +/- 18.55 kg) developed blisters on the anterior shin after a 1-MHz, 1.5
W/cm (2) continuous ultrasound treatment delivered to the triceps surae muscle.
DIFFERENTIAL DIAGNOSIS: Allergies; chemical reaction with cleaning agents;
sunburn; negative interaction between the temperature measurement instruments and
the ultrasound field; the ultrasound transducer not being calibrated properly,
producing a nonuniform field and creating a hot spot or heating differently when
compared with other ultrasound devices; the smaller anatomy of our female
subjects; or a confounding interaction among these factors. TREATMENT:
Participants were given standard minor burn care by a physician. UNIQUENESS: (1)
The development of blisters on the anterior aspect of the shin as a result of an
ultrasound treatment to the posterior aspect of the triceps surae muscle and (2)
muscle tissue heating rates ranging from 0.19 degrees C to 1.1 degrees C/min,
when ultrasound researchers have suggested tissue heating in the range of 0.3
degrees C/min with these settings. CONCLUSIONS: These adverse events raise
important questions regarding treatment application and potential differences in
heating and quality control among different ultrasound devices from different
manufacturers.
PMID- 18060004
TI - In unity there is strength!
PMID- 18060001
TI - Hypopituitarism after multiple concussions: a retrospective case study in an
adolescent male.
AB - OBJECTIVE: To describe the development of hypopituitarism in an adolescent
athlete after multiple concussions and to raise awareness among sports medicine
clinicians concerning the growing concern of hypopituitarism in concussion injury
surveillance and management. BACKGROUND: A 14-year-old, previously healthy male
athlete suffered 4 head traumas over a 4-month period. The first 3 traumas were
considered by the athlete to be minor and were not reported to medical personnel.
The fourth trauma was a medically diagnosed concussion suffered during soccer
play. Over the next year, the patient noted a decline in strength and
conditioning and a failure to grow. DIFFERENTIAL DIAGNOSIS: After physical
examination and a full battery of endocrine tests, the patient, then 16.5 years
old, was diagnosed with hypopituitarism. Follow-up interviews provided evidence
that at least 2 of the 3 head injuries suffered before the last concussion could
also be considered concussions, which may have contributed to the severity of the
last head injury. TREATMENT: The patient is currently being treated with
physiologic replacement hormones (growth hormone, cortisol, and thyroxine), with
resumption of linear growth and strength. He is progressing well. UNIQUENESS: In
the past few years in the medical literature, increased attention has been drawn
to the occult occurrence of hypopituitarism after traumatic brain injury in
adults. Initial reports indicate that children are also at risk. To our
knowledge, this is the first reported case of hypopituitarism after mild
traumatic brain injury in the sports medicine literature. CONCLUSIONS: Symptoms
of hypopituitarism are often masked by trauma and postconcussion symptoms and may
not appear until months or years after the trauma incident, which can lead to
significant delay in proper diagnosis and treatment. We urge greater vigilance
by, and training of, sports medicine clinicians toward the goal of recognizing
the possibility of pituitary disorders after sports concussion.
PMID- 18060005
TI - Inventory time.
PMID- 18060007
TI - Diagnosis and management of "an apparent mechanical" femoral mononeuropathy: a
case study.
AB - This report describes an apparent case of femoral nerve mononeuropathy in a 58
year-old equestrian due to mechanical stress. A woman presented at a chiropractic
office complaining of right buttock pain radiating to the right groin and knee. A
treatment plan, consisting of chiropractic adjustments in addition to stretching
and myofascial therapy, was initiated. The goal was to reduce pain and
inflammation in the sacroiliac articulation by restoring normal biomechanical
function. A rehabilitation program to alleviate tension in the musculature was
initiated to reduce mechanical stresses exerted on the femoral nerve. The patient
received five treatments over a period of three weeks and became asymptomatic.
Even though peripheral nerve entrapment is an uncommon condition, clinicians must
not overlook the possibility of a femoral mononeuropathy as it can produce a
complex presentation and lead to ineffective patient management.
PMID- 18060008
TI - Allan M. Freedman, LLB: a lawyer's gift to Canadian chiropractors.
AB - This paper reviews the leadership role, contributions, accolades, and impact of
Professor Allan Freedman through a 30 year history of service to CMCC and the
chiropractic profession in Canada. Professor Freedman has served as an educator,
philanthropist and also as legal counsel. His influence on chiropractic
organizations and chiropractors during this significant period in the profession
is discussed.
PMID- 18060009
TI - Menorrhagia: a synopsis of management focusing on herbal and nutritional
supplements, and chiropractic.
AB - INTRODUCTION: To make chiropractors more aware of menorrhagia and how they can
serve a role in their patient's care and education since women make up 60% of the
population seeking chiropractic care. METHOD: A review of the biomedical
literature on menorrhagia was conducted. Items that were retrieved were
synthesized and interpreted in order to give the best information to practicing
chiropractors. DISCUSSION: Most of the information available relative to
menorrhagia is medically oriented. Other treatment options can include:
chiropractic, various types of herbs, and nutritional supplements. CONCLUSION:
Knowledge of medical treatment, nutritional supplements, along with chiropractic
treatment options may be beneficial to doctors in their practice.
PMID- 18060010
TI - From multidisciplinary to Interdisciplinary--it's all about patient outcomes.
PMID- 18060011
TI - Ankylosing spondylitis: recent breakthroughs in diagnosis and treatment.
AB - Ankylosing spondylitis (AS) is generally easy to diagnose when the characteristic
findings of the "bamboo" spine and fused sacroiliac joints are present on
radiographs. Unfortunately, these changes are usually seen late in the disease
after tremendous suffering has been incurred by the patient. Diagnostic delay
averages seven to ten years. Historically, once the diagnosis was made, the
treatment options were often inadequate or poorly tolerated in many individuals.
This condition most often starts in early adulthood when people are typically in
the earlier stages of their careers, resulting in diminished workforce
participation and decreased quality of life. If an individual has a family
physician, this might be the first encounter with a healthcare provider. Quite
often, the initial practitioner is sought at a public walk-in clinic or
chiropractic office. In recent years, there have been two major developments in
the management of AS that make earlier diagnosis possible and offer the hope of
alleviating pain and preventing structural changes that result in loss of
function. These developments include the use of magnetic resonance imaging (MRI)
to visualize the inflammatory changes in the sacroiliac joint and the axial
spine, and the demonstration that tumor necrosis factor (TNF) blocking agents are
highly efficacious in reducing spinal inflammation and possibly in slowing
radiographic progression. This review outlines diagnostic strategies that can
help identify AS in its earlier stages. Special attention is focused on treatment
advances, including the use of anti-TNF agents, and how these medications have
been incorporated into clinical recommendations for daily use.
PMID- 18060013
TI - Gene systems network inferred from expression profiles in hepatocellular
carcinogenesis by graphical Gaussian model.
AB - Hepatocellular carcinoma (HCC) in a liver with advanced-stage chronic hepatitis C
(CHC) is induced by hepatitis C virus, which chronically infects about 170
million people worldwide. To elucidate the associations between gene groups in
hepatocellular carcinogenesis, we analyzed the profiles of the genes
characteristically expressed in the CHC and HCC cell stages by a statistical
method for inferring the network between gene systems based on the graphical
Gaussian model. A systematic evaluation of the inferred network in terms of the
biological knowledge revealed that the inferred network was strongly involved in
the known gene-gene interactions with high significance (P < 10(-4)), and that
the clusters characterized by different cancer-related responses were associated
with those of the gene groups related to metabolic pathways and morphological
events. Although some relationships in the network remain to be interpreted, the
analyses revealed a snapshot of the orchestrated expression of cancer-related
groups and some pathways related with metabolisms and morphological events in
hepatocellular carcinogenesis, and thus provide possible clues on the disease
mechanism and insights that address the gap between molecular and clinical
assessments.
PMID- 18060012
TI - Stress and memory: behavioral effects and neurobiological mechanisms.
AB - Stress is a potent modulator of learning and memory processes. Although there
have been a few attempts in the literature to explain the diversity of effects
(including facilitating, impairing, and lack of effects) described for the impact
of stress on memory function according to single classification criterion, they
have proved insufficient to explain the whole complexity of effects. Here, we
review the literature in the field of stress and memory interactions according to
five selected classifying factors (source of stress, stressor duration, stressor
intensity, stressor timing with regard to memory phase, and learning type) in an
attempt to develop an integrative model to understand how stress affects memory
function. Summarizing on those conditions in which there was enough information,
we conclude that high stress levels, whether intrinsic (triggered by the
cognitive challenge) or extrinsic (induced by conditions completely unrelated to
the cognitive task), tend to facilitate Pavlovian conditioning (in a linear
asymptotic manner), while being deleterious for spatial/explicit information
processing (which with regard to intrinsic stress levels follows an inverted U
shape effect). Moreover, after reviewing the literature, we conclude that all
selected factors are essential to develop an integrative model that defines the
outcome of stress effects in memory processes. In parallel, we provide a brief
review of the main neurobiological mechanisms proposed to account for the
different effects of stress in memory function. Glucocorticoids were found as a
common mediating mechanism for both the facilitating and impairing actions of
stress in different memory processes and phases. Among the brain regions
implicated, the hippocampus, amygdala, and prefrontal cortex were highlighted as
critical for the mediation of stress effects.
PMID- 18060015
TI - Protection against malaria a real possibility.
PMID- 18060014
TI - RAR/RXR and PPAR/RXR Signaling in Spinal Cord Injury.
AB - The retinoid acid receptors (RAR) and peroxisome proliferator-activated receptors
(PPAR) have been implicated in the regulation of inflammatory reactions. Both
receptor families contain ligand-activated transcription factors which form
heterodimers with retinoid X receptors (RXR). We review data that imply RAR/RXR
and PPAR/RXR pathways in physiological reactions after spinal cord injury.
Experiments show how RAR signaling may improve axonal regeneration and modulate
reactions of glia cells. While anti-inflammatory properties of PPAR are well
documented in the periphery, their possible roles in the central nervous system
have only recently become evident. Due to its anti-inflammatory function this
transcription factor family promises to be a useful target after spinal cord or
brain lesions.
PMID- 18060016
TI - How to write a scientific masterpiece.
AB - I've been asked several times to give talks about various aspects of the
scientific publishing enterprise, and sometimes to comment specifically on how to
write a manuscript that will have maximal impact. While many in my audiences have
felt that my presentations are designed for students and trainees, I hope
everyone listens, as sometimes even established scientists are prone to making
mistakes. I hope here to outline a few pointers that will help your manuscripts
skate through the submission and peer review process. Some points may be
elementary, but all bear repeating.
PMID- 18060017
TI - Mutations in sodium-channel gene SCN9A cause a spectrum of human genetic pain
disorders.
AB - The voltage-gated sodium-channel type IX alpha subunit, known as Na(v)1.7 and
encoded by the gene SCN9A, is located in peripheral neurons and plays an
important role in action potential production in these cells. Recent genetic
studies have identified Na(v)1.7 dysfunction in three different human pain
disorders. Gain-of-function missense mutations in Na(v)1.7 have been shown to
cause primary erythermalgia and paroxysmal extreme pain disorder, while nonsense
mutations in Na(v)1.7 result in loss of Na(v)1.7 function and a condition known
as channelopathy-associated insensitivity to pain, a rare disorder in which
affected individuals are unable to feel physical pain. This review highlights
these recent developments and discusses the critical role of Na(v)1.7 in pain
sensation in humans.
PMID- 18060018
TI - On future's doorstep: RNA interference and the pharmacopeia of tomorrow.
AB - Small molecules and antibodies have revolutionized the treatment of malignant
diseases and appear promising for the treatment of many others. Nonetheless,
there are many candidate therapeutic targets that are not amenable to attack by
the current generation of targeted therapies, and in a small but growing number
of patients, resistance to initially successful treatments evolves. This Review
Series on the medicinal promise of posttranscriptional gene silencing with small
interfering RNA and other molecules capable of inducing RNA interference (RNAi)
is motivated by the hypothesis that effectors of RNAi can be developed into
effective drugs for treating malignancies as well as many other types of disease.
As this Review Series points out, there is still much to do, but many in the
field now hope that the time has finally arrived when "antisense" therapies will
finally come of age and fulfill their promise as the magic bullets of the 21st
century.
PMID- 18060019
TI - Chemical modification: the key to clinical application of RNA interference?
AB - RNA interference provides a potent and specific method for controlling gene
expression in human cells. To translate this potential into a broad new family of
therapeutics, it is necessary to optimize the efficacy of the RNA-based drugs. As
discussed in this Review, it might be possible to achieve this optimization using
chemical modifications that improve their in vivo stability, cellular delivery,
biodistribution, pharmacokinetics, potency, and specificity.
PMID- 18060020
TI - Nonviral delivery of synthetic siRNAs in vivo.
AB - Sequence-specific gene silencing using small interfering RNA (siRNA) is a Nobel
prize-winning technology that is now being evaluated in clinical trials as a
potentially novel therapeutic strategy. This article provides an overview of the
major pharmaceutical challenges facing siRNA therapeutics, focusing on the
delivery strategies for synthetic siRNA duplexes in vivo, as this remains one of
the most important issues to be resolved. This article also highlights the
importance of understanding the genocompatibility/toxicogenomics of siRNA
delivery reagents in terms of their impact on gene-silencing activity and
specificity. Collectively, this information is essential for the selection of
optimally acting siRNA delivery system combinations for the many proposed
applications of RNA interference.
PMID- 18060021
TI - Therapeutic application of RNAi: is mRNA targeting finally ready for prime time?
AB - With unprecedented speed, RNA interference (RNAi) has advanced from its basic
discovery in lower organisms to becoming a powerful genetic tool and perhaps our
single most promising biotherapeutic for a wide array of diseases. Numerous
studies document RNAi efficacy in laboratory animals, and the first clinical
trials are underway and thus far suggest that RNAi is safe to use in humans. Yet
substantial hurdles have also surfaced and must be surmounted before therapeutic
RNAi applications can become a standard therapy. Here we review the most critical
roadblocks and concerns for clinical RNAi transition, delivery, and safety. We
highlight emerging solutions and concurrently discuss novel therapeutic RNAi
based concepts. The current rapid advances create realistic optimism that the
establishment of RNAi as a new and potent clinical modality in humans is near.
PMID- 18060022
TI - B cell depletion: a novel therapy for autoimmune diabetes?
AB - Autoimmune diabetes is believed to be mediated primarily by T cells. However, B
cells have been implicated in the pathogenesis of the disease in NOD mice.
Although preclinical studies have been limited by the absence of anti-CD20
reagents that can induce B cell depletion in mice, a clinical trial using the B
cell-depleting anti-CD20 monoclonal antibody rituximab (Rituxan) is underway in
type 1 diabetes patients. In this issue of the JCI, Hu et al. describe the
generation of transgenic NOD mice that express human CD20 on B cells (see the
related article beginning on page 3857). They show that anti-CD20 therapy induces
B cell depletion in these mice and offers some level of protection against
diabetes. Although many questions remain unanswered, this mouse model represents
the first opportunity to evaluate the potential value of rituximab as a novel
therapy for autoimmune diabetes.
PMID- 18060023
TI - Every allograft needs a silver lining.
AB - The development of chronic allograft rejection is based on the hypothesis that
cumulative, time-dependent tissue injury eventually leads to a fibrotic response.
In this issue of the JCI, Babu and colleagues found that alloimmune-mediated
microvascular loss precedes tissue damage in murine orthotopic tracheal
allografts (see the related article beginning on page 3774). The concept that
injury to the endothelium may precede airway fibrosis suggests that interventions
to maintain vascular integrity may be important, especially in the case of lung
transplantation. Further, for all solid organ allografts, it is possible that the
key to long-term allograft survival is physiological vascular repair at early
times following transplantation.
PMID- 18060024
TI - Staying awake puts pressure on brain arousal systems.
AB - Many brain centers are involved in keeping us awake. One example is the recently
discovered hypocretin system located in the posterior hypothalamus. In this issue
of the JCI, Rao et al. show that, in mice, synapses targeting hypocretin neurons
become stronger when wakefulness is prolonged beyond its physiological duration
(see the related article beginning on page 4022). This increase in synaptic
strength may be one of the mechanisms that help us to stay awake when we are
sleep deprived, but it may also represent one of the signals telling the brain
that it is time to sleep.
PMID- 18060025
TI - Structural reengineering of imatinib to decrease cardiac risk in cancer therapy.
AB - Imatinib, a selective, small-molecule tyrosine kinase inhibitor, has life-saving
clinical activity in certain cancers, but questions have been raised about the
potential for cardiac toxicity through inhibition of its target, ABL kinase. In
this issue of the JCI, Fernandez et al. describe a novel method by which the ABL
inhibitory activity of imatinib was deleted by modifying its chemical structure
(see the related article beginning on page 4044). The anticancer activity of the
reengineered agent, called WBZ_4, was instead preserved against gastrointestinal
stromal tumors in both in vitro and in vivo models via inhibition of KIT tyrosine
kinase, and the desired safety was demonstrated with less cardiotoxicity of WBZ_4
compared with imatinib via the inhibition of JNK. The study shows that structural
reengineering of a kinase-inhibitory drug to improve tolerability while
preserving efficacy is feasible.
PMID- 18060026
TI - The weight of cell identity.
AB - Recent studies involving molecular modification of adult somatic cells have
pointed to a remarkable plasticity in cell identity. In this issue of the JCI,
Koh and colleagues assessed whether bone marrow-derived cells could alter their
fate under circumstances conducive to adipocyte generation in vivo (see the
related article beginning on page 3684). These cells remained true to their
roots, indicating how difficult it will be to exploit cell plasticity for
therapeutic purposes.
PMID- 18060027
TI - Targeting mutant p53 shows promise for sunscreens and skin cancer.
AB - Chronic exposure to UV light is a risk factor for skin cancer in which signature
mutations in the p53 tumor suppressor gene occur due to DNA damage and contribute
to cancer development. In this issue of the JCI, Tang et al. report on their
study of a nonimmunodeficient mouse model of UVB-induced skin cancer and human
skin carcinoma cells and show that the mutant p53 conformation-modifying drug CP
31398 not only treats these tumors but also prevents them (see the related
article beginning on page 3753). These studies have important implications for
chemoprevention as well as therapy of common, mutant p53-driven tumors.
PMID- 18060028
TI - IL-6 involvement in epithelial cancers.
AB - In this issue of the JCI, two reports provide intriguing new information on the
role of the inflammatory cytokine IL-6 in breast and lung cancer. The study by
Sansone et al. implicates IL-6 in the instigation of malignant properties in
breast cancer stem cells (see the related article beginning on page 3988). The
study by Gao et al. identifies mutant variants of EGFR as inducers of IL-6 in
lung adenocarcinomas (see the related article beginning on page 3846). These
studies add to our understanding of potential roles for IL-6 in cancer and
further motivate investigations of IL-6-targeted chemotherapeutics.
PMID- 18060029
TI - Bone marrow-derived circulating progenitor cells fail to transdifferentiate into
adipocytes in adult adipose tissues in mice.
AB - Little is known about whether bone marrow-derived circulating progenitor cells
(BMDCPCs) can transdifferentiate into adipocytes in adipose tissues or play a
role in expanding adipocyte number during adipose tissue growth. Using a mouse
bone marrow transplantation model, we addressed whether BMDCPCs can
transdifferentiate into adipocytes under standard conditions as well as in the
settings of diet-induced obesity, rosiglitazone treatment, and exposure to G-CSF.
We also addressed the possibility of transdifferentiation to adipocytes in a
murine parabiosis model. In each of these settings, our findings indicated that
BMDCPCs did not transdifferentiate into either unilocular or multilocular
adipocytes in adipose tissues. Most BMDCPCs became resident and phagocytic
macrophages in adipose tissues--which resembled transdifferentiated multilocular
adipocytes by appearance, but displayed cell surface markers characteristic for
macrophages - in the absence of adipocyte marker expression. When exposed to
adipogenic medium in vitro, bone marrow cells differentiated into multilocular,
but not unilocular, adipocytes, but transdifferentiation was not observed in
vivo, even in the contexts of adipose tissue regrowth or dermal wound healing.
Our results suggest that BMDCPCs do not transdifferentiate into adipocytes in
vivo and play little, if any, role in expanding the number of adipocytes during
the growth of adipose tissues.
PMID- 18060030
TI - CP-31398 restores mutant p53 tumor suppressor function and inhibits UVB-induced
skin carcinogenesis in mice.
AB - Mutations in the tumor suppressor p53 are detectable in over 50% of all human
malignancies. Mutant p53 protein is incapable of transactivating its downstream
target genes that are required for DNA repair and apoptosis. Chronic exposure to
UVB induces p53 mutations and is carcinogenic in both murine and human skin. CP
31398, a styrylquinazoline compound, restores the tumor suppressor functions of
mutant forms of p53 in tumor cells. However, its effectiveness in vivo remains
unclear. Here, we demonstrate that CP-31398 blocked UVB-induced skin
carcinogenesis and was associated with increases in p53, p21, and BclXs. CP-31398
downregulated Bcl2, proliferating nuclear cell antigen, and cyclin D1. Activation
of caspase-3 and cleavage of poly (ADP-ribose) polymerase also occurred in both
tumor and perilesional skin following treatment. CP-31398 induced the expression
of p53-dependent target proteins, and this was followed by apoptosis in UVB
irradiated wild-type mice but not in their p53-deficient littermates. Similar
effects were observed in human skin carcinoma A431 cells expressing mutant p53.
In addition, CP-31398 induced mitochondrial translocation of p53, leading to
changes in mitochondrial membrane permeability pore transition (MPT) and
consequent cytochrome c release in these cells. Blocking MPT diminished p53
translocation and apoptosis. These studies indicate that reconstituting p53 tumor
suppressor functions in vivo by small molecular weight compounds may block the
pathogenesis and progression of skin cancer.
PMID- 18060031
TI - Microvascular destruction identifies murine allografts that cannot be rescued
from airway fibrosis.
AB - Small airway fibrosis (bronchiolitis obliterans syndrome) is the primary obstacle
to long-term survival following lung transplantation. Here, we show the
importance of functional microvasculature in the prevention of epithelial loss
and fibrosis due to rejection and for the first time, relate allograft
microvascular injury and loss of tissue perfusion to immunotherapy-resistant
rejection. To explore the role of alloimmune rejection and airway ischemia in the
development of fibroproliferation, we used a murine orthotopic tracheal
transplant model. We determined that transplants were reperfused by connection of
recipient vessels to donor vessels at the surgical anastomosis site.
Microcirculation through the newly formed vascular anastomoses appeared partially
dependent on VEGFR2 and CXCR2 pathways. In the absence of immunosuppression, the
microvasculature in rejecting allografts exhibited vascular complement
deposition, diminished endothelial CD31 expression, and absent perfusion prior to
the onset of fibroproliferation. Rejecting grafts with extensive endothelial cell
injury were refractory to immunotherapy. After early microvascular loss,
neovascularization was eventually observed in the membranous trachea, indicating
a reestablishment of graft perfusion in established fibrosis. One implication of
this study is that bronchial artery revascularization at the time of lung
transplantation may decrease the risk of subsequent airway fibrosis.
PMID- 18060032
TI - Mutations in the EGFR kinase domain mediate STAT3 activation via IL-6 production
in human lung adenocarcinomas.
AB - Persistently activated or tyrosine-phosphorylated STAT3 (pSTAT3) is found in 50%
of lung adenocarcinomas. pSTAT3 is found in primary adenocarcinomas and cell
lines harboring somatic-activating mutations in the tyrosine kinase domain of
EGFR. Treatment of cell lines with either an EGFR inhibitor or an src kinase
inhibitor had no effect on pSTAT3 levels, whereas a pan-JAK inhibitor (P6)
blocked activation of STAT3 and inhibited tumorigenesis. Cell lines expressing
these persistently activated mutant EGFRs also produced high IL-6 levels, and
blockade of the IL-6/gp130/JAK pathway led to a decrease in pSTAT3 levels. In
addition, reduction of IL-6 levels by RNA interference led to a decrease in
tumorigenesis. Introduction of persistently activated EGFR into immortalized
breast epithelial cells led to tumorigenesis, IL-6 expression, and STAT3
activation, all of which could be inhibited with P6 or gp130 blockade.
Furthermore, inhibition of EGFR activity in multiple cell lines partially blocked
transcription of IL-6 and concurrently decreased production and release of IL-6.
Finally, immunohistochemical analysis revealed a positive correlation between
pSTAT3 and IL-6 positivity in primary lung adenocarcinomas. Therefore, mutant
EGFR could activate the gp130/JAK/STAT3 pathway by means of IL-6 upregulation in
primary human lung adenocarcinomas, making this pathway a potential target for
cancer treatment.
PMID- 18060033
TI - Treatment with CD20-specific antibody prevents and reverses autoimmune diabetes
in mice.
AB - The precise roles of B cells in promoting the pathogenesis of type 1 diabetes
remain undefined. Here, we demonstrate that B cell depletion in mice can prevent
or delay diabetes, reverse diabetes after frank hyperglycemia, and lead to the
development of cells that suppress disease. To determine the efficacy and
potential mechanism of therapeutic B cell depletion, we generated a transgenic
NOD mouse expressing human CD20 (hCD20) on B cells. A single cycle of treatment
with an antibody specific for hCD20 temporarily depleted B cells and
significantly delayed and/or reduced the onset of diabetes. Furthermore, disease
established to the point of clinical hyperglycemia could be reversed in over one
third of diabetic mice. Why B cell depletion is therapeutic for a variety of
autoimmune diseases is unclear, although effects on antibodies, cytokines, and
antigen presentation to T cells are thought to be important. In B cell-depleted
NOD mice, we identified what we believe is a novel mechanism by which B cell
depletion may lead to long-term remission through expansion of Tregs and
regulatory B cells. Our results demonstrate clinical efficacy even in established
disease and identify mechanisms for therapeutic action that will guide design and
evaluation of parallel studies in patients.
PMID- 18060034
TI - In vivo blockade of OX40 ligand inhibits thymic stromal lymphopoietin driven
atopic inflammation.
AB - Thymic stromal lymphopoietin (TSLP) potently induces deregulation of Th2
responses, a hallmark feature of allergic inflammatory diseases such as asthma,
atopic dermatitis, and allergic rhinitis. However, direct downstream in vivo
mediators in the TSLP-induced atopic immune cascade have not been identified. In
our current study, we have shown that OX40 ligand (OX40L) is a critical in vivo
mediator of TSLP-mediated Th2 responses. Treating mice with OX40L-blocking
antibodies substantially inhibited immune responses induced by TSLP in the lung
and skin, including Th2 inflammatory cell infiltration, cytokine secretion, and
IgE production. OX40L-blocking antibodies also inhibited antigen-driven Th2
inflammation in mouse and nonhuman primate models of asthma. This treatment
resulted in both blockade of the OX40-OX40L receptor-ligand interaction and
depletion of OX40L-positive cells. The use of a blocking, OX40L-specific mAb thus
presents a promising strategy for the treatment of allergic diseases associated
with pathologic Th2 immune responses.
PMID- 18060035
TI - STAT1 promotes megakaryopoiesis downstream of GATA-1 in mice.
AB - Thrombocytosis is associated with inflammation, and certain inflammatory
cytokines, including IFN-gamma, stimulate megakaryocyte and platelet production.
However, the roles of IFN-gamma and its downstream effector STAT1 in
megakaryocyte development are poorly understood. We previously reported that
STAT1 expression was significantly downregulated in Gata1-knockdown murine
megakaryocytes, which also have impaired terminal maturation. Here, we show that
ectopic expression of STAT1, or its target effector IRF-1, rescued multiple
defects in Gata1-deficient megakaryopoiesis in mice, inducing polyploidization
and expression of a subset of platelet-expressing genes. Enforced expression of
STAT1, IRF-1, or GATA-1 enhanced phosphorylation of STAT1, STAT3, and STAT5 in
cultured Gata1-deficient murine megakaryocytes, with concomitant megakaryocyte
maturation. In contrast, enhanced thrombopoietin signaling, conferred by enforced
expression of constitutively active JAK2 or c-MPL, induced phosphorylation of
STAT3 and STAT5, but not STAT1, and failed to rescue megakaryocyte maturation.
Finally, megakaryocytes from Stat1(-/-) mice were defective in polyploidization.
Together, these findings reveal a unique role for STAT1 in megakaryopoiesis and
provide new insights into how GATA-1 regulates this process. Our studies
elucidate potential mechanisms by which various inflammatory disorders can cause
elevated platelet counts.
PMID- 18060036
TI - IL-6 triggers malignant features in mammospheres from human ductal breast
carcinoma and normal mammary gland.
AB - High serum levels of IL-6 correlate with poor outcome in breast cancer patients.
However, no data are available on the relationship between IL-6 and mammary
stem/progenitor cells, which may fuel the genesis of breast cancer in vivo.
Herein, we address this issue in the MCF-7 breast cancer cell line and in primary
human mammospheres (MS), multicellular structures enriched in stem/progenitor
cells of the mammary gland. MS from node invasive breast carcinoma tissues
expressed IL-6 mRNA at higher levels than did MS from matched non-neoplastic
mammary glands. In addition, IL-6 mRNA was detected only in basal-like breast
carcinoma tissues, an aggressive breast carcinoma variant showing stem cell
features. IL-6 treatment triggered Notch-3-dependent upregulation of the Notch
ligand Jagged-1 and promotion of MS and MCF-7-derived spheroid growth. Moreover,
IL-6 induced Notch-3-dependent upregulation of the carbonic anhydrase IX gene and
promoted a hypoxia-resistant/invasive phenotype in MCF-7 cells and MS. Finally,
autocrine IL-6 signaling relied upon Notch-3 activity to sustain the aggressive
features of MCF-7-derived hypoxia-selected cells. In conclusion, these data
support the hypothesis that IL-6 induces malignant features in Notch-3-expressing
stem/progenitor cells from human ductal breast carcinoma and normal mammary
gland.
PMID- 18060037
TI - Prolonged wakefulness induces experience-dependent synaptic plasticity in mouse
hypocretin/orexin neurons.
AB - Sleep is a natural process that preserves energy, facilitates development, and
restores the nervous system in higher animals. Sleep loss resulting from
physiological and pathological conditions exerts tremendous pressure on neuronal
circuitry responsible for sleep-wake regulation. It is not yet clear how acute
and chronic sleep loss modify neuronal activities and lead to adaptive changes in
animals. Here, we show that acute and chronic prolonged wakefulness in mice
induced by modafinil treatment produced long-term potentiation (LTP) of
glutamatergic synapses on hypocretin/orexin neurons in the lateral hypothalamus,
a well-established arousal/wake-promoting center. A similar potentiation of
synaptic strength at glutamatergic synapses on hypocretin/orexin neurons was also
seen when mice were sleep deprived for 4 hours by gentle handling. Blockade of
dopamine D1 receptors attenuated prolonged wakefulness and synaptic plasticity in
these neurons, suggesting that modafinil functions through activation of the
dopamine system. Also, activation of the cAMP pathway was not able to further
induce LTP at glutamatergic synapses in brain slices from mice treated with
modafinil. These results indicate that synaptic plasticity due to prolonged
wakefulness occurs in circuits responsible for arousal and may contribute to
changes in the brain and body of animals experiencing sleep loss.
PMID- 18060038
TI - An anticancer C-Kit kinase inhibitor is reengineered to make it more active and
less cardiotoxic.
AB - Targeting kinases is central to drug-based cancer therapy but remains challenging
because the drugs often lack specificity, which may cause toxic side effects.
Modulating side effects is difficult because kinases are evolutionarily and hence
structurally related. The lack of specificity of the anticancer drug imatinib
enables it to be used to treat chronic myeloid leukemia, where its target is the
Bcr-Abl kinase, as well as a proportion of gastrointestinal stromal tumors
(GISTs), where its target is the C-Kit kinase. However, imatinib also has
cardiotoxic effects traceable to its impact on the C-Abl kinase. Motivated by
this finding, we made a modification to imatinib that hampers Bcr-Abl inhibition;
refocuses the impact on the C-Kit kinase; and promotes inhibition of an
additional target, JNK, a change that is required to reinforce prevention of
cardiotoxicity. We established the molecular blueprint for target discrimination
in vitro using spectrophotometric and colorimetric assays and through a phage
displayed kinase screening library. We demonstrated controlled inhibitory impact
on C-Kit kinase in human cell lines and established the therapeutic impact of the
engineered compound in a novel GIST mouse model, revealing a marked reduction of
cardiotoxicity. These findings identify the reengineered imatinib as an agent to
treat GISTs with curbed side effects and reveal a bottom-up approach to control
drug specificity.
PMID- 18060040
TI - Inhibition of apolipoprotein B100 secretion by lipid-induced hepatic endoplasmic
reticulum stress in rodents.
AB - ER stress can cause hepatic insulin resistance and steatosis. Increased VLDL
secretion could protect the liver from ER stress-induced steatosis, but the
effect of lipid-induced ER stress on the secretion of VLDL is unknown. To
determine the effect of lipids on hepatic ER stress and VLDL secretion, we
treated McA-RH7777 liver cells with free fatty acids. Prolonged exposure
increased cell triglycerides, induced steatosis, and increased ER stress. Effects
on apoB100 secretion, which is required for VLDL assembly, were parabolic, with
moderate free fatty acid exposure increasing apoB100 secretion, while greater
lipid loading inhibited apoB100 secretion. This decreased secretion at higher
lipid levels was due to increased protein degradation through both proteasomal
and nonproteasomal pathways and was dependent on the induction of ER stress.
These findings were supported in vivo, where intravenous infusion of oleic acid
(OA) in mice increased ER stress in a duration-dependent manner. apoB secretion
was again parabolic, stimulated by moderate, but not prolonged, OA infusion.
Inhibition of ER stress was able to restore OA-stimulated apoB secretion after
prolonged OA infusion. These results suggest that excessive ER stress in response
to increased hepatic lipids may decrease the ability of the liver to secrete
triglycerides by limiting apoB secretion, potentially worsening steatosis.
PMID- 18060039
TI - Neuroinflammation, Oxidative Stress and the Pathogenesis of Parkinson's Disease.
AB - Neuroinflammatory processes play a significant role in the pathogenesis of
Parkinson's disease (PD). Epidemiologic, animal, human, and therapeutic studies
all support the presence of an neuroinflammatory cascade in disease. This is
highlighted by the neurotoxic potential of microglia . In steady state, microglia
serve to protect the nervous system by acting as debris scavengers, killers of
microbial pathogens, and regulators of innate and adaptive immune responses. In
neurodegenerative diseases, activated microglia affect neuronal injury and death
through production of glutamate, pro-inflammatory factors, reactive oxygen
species, quinolinic acid amongst others and by mobilization of adaptive immune
responses and cell chemotaxis leading to transendothelial migration of
immunocytes across the blood-brain barrier and perpetuation of neural damage. As
disease progresses, inflammatory secretions engage neighboring glial cells,
including astrocytes and endothelial cells, resulting in a vicious cycle of
autocrine and paracrine amplification of inflammation perpetuating tissue injury.
Such pathogenic processes contribute to neurodegeneration in PD. Research from
others and our own laboratories seek to harness such inflammatory processes with
the singular goal of developing therapeutic interventions that positively affect
the tempo and progression of human disease.
PMID- 18060041
TI - Adoptive transfer of effector CD8+ T cells derived from central memory cells
establishes persistent T cell memory in primates.
AB - The adoptive transfer of antigen-specific T cells that have been expanded ex vivo
is being actively pursued to treat infections and malignancy in humans. The T
cell populations that are available for adoptive immunotherapy include both
effector memory and central memory cells, and these differ in phenotype,
function, and homing. The efficacy of adoptive immunotherapy requires that
transferred T cells persist in vivo, but identifying T cells that can
reproducibly survive in vivo after they have been numerically expanded by in
vitro culture has proven difficult. Here we show that in macaques, antigen
specific CD8(+) T cell clones derived from central memory T cells, but not
effector memory T cells, persisted long-term in vivo, reacquired phenotypic and
functional properties of memory T cells, and occupied memory T cell niches. These
results demonstrate that clonally derived CD8+ T cells isolated from central
memory T cells are distinct from those derived from effector memory T cells and
retain an intrinsic capacity that enables them to survive after adoptive transfer
and revert to the memory cell pool. These results could have significant
implications for the selection of T cells to expand or to engineer for adoptive
immunotherapy of human infections or malignancy.
PMID- 18060042
TI - Stimulation of TLR2 and TLR4 differentially skews the balance of T cells in a
mouse model of arthritis.
AB - TLRs may contribute to the progression of rheumatoid arthritis through
recognition of microbial or host-derived ligands found in arthritic joints. Here,
we show that TLR2 and TLR4, but not TLR9, are involved in the pathogenesis of
autoimmune arthritis and play distinct roles in the regulation of T cells and
cytokines. We investigated the involvement of TLR2, TLR4, and TLR9 in the
progression of arthritis using IL-1 receptor antagonist-knockout (IL1rn-/-) mice,
which spontaneously develop an autoimmune T cell-mediated arthritis. Spontaneous
onset of arthritis was dependent on TLR activation by microbial flora, as germ
free mice did not develop arthritis. Clinical and histopathological evaluation of
IL1rn-/-Tlr2-/- mice revealed more severe arthritis, characterized by reduced
suppressive function of Tregs and substantially increased IFN-gamma production by
T cells. IL1rn-/-Tlr4-/- mice were, in contrast, protected against severe
arthritis and had markedly lower numbers of Th17 cells and a reduced capacity to
produce IL-17. A lack of Tlr9 did not affect the progression of arthritis. While
any therapeutic intervention targeting TLR2 still seems complicated, the strict
position of TLR4 upstream of a number of pathogenic cytokines including IL-17
provides an interesting potential therapeutic target for rheumatoid arthritis.
PMID- 18060043
TI - A shed form of LDL receptor-related protein-1 regulates peripheral nerve injury
and neuropathic pain in rodents.
AB - Injury to the peripheral nervous system (PNS) initiates a response controlled by
multiple extracellular mediators, many of which contribute to the development of
neuropathic pain. Schwann cells in an injured nerve demonstrate increased
expression of LDL receptor-related protein-1 (LRP1), an endocytic receptor for
diverse ligands and a cell survival factor. Here we report that a fragment of
LRP1, in which a soluble or shed form of LRP1 with an intact alpha-chain (sLRP
alpha), was shed by Schwann cells in vitro and in the PNS after injury. Injection
of purified sLRP-alpha into mouse sciatic nerves prior to chronic constriction
injury (CCI) inhibited p38 MAPK activation (P-p38) and decreased expression of
TNF-alpha and IL-1beta locally. sLRP-alpha also inhibited CCI-induced spontaneous
neuropathic pain and decreased inflammatory cytokine expression in the spinal
dorsal horn, where neuropathic pain processing occurs. In cultures of Schwann
cells, astrocytes, and microglia, sLRP-alpha inhibited TNF-alpha-induced
activation of p38 MAPK and ERK/MAPK. The activity of sLRP-alpha did not involve
TNF-alpha binding, but rather glial cell preconditioning, so that the subsequent
response to TNF-alpha was inhibited. Our results show that sLRP-alpha is
biologically active and may attenuate neuropathic pain. In the PNS, the function
of LRP1 may reflect the integrated activities of the membrane-anchored and shed
forms of LRP1.
PMID- 18060044
TI - Parasympathetic response in chick myocytes and mouse heart is controlled by
SREBP.
AB - Parasympathetic stimulation of the heart, which provides protection from
arrhythmias and sudden death, involves activation of the G protein-coupled inward
rectifying K+ channel GIRK1/4 and results in an acetylcholine-sensitive K+
current, I KACh. We describe a unique relationship between lipid homeostasis, the
lipid-sensitive transcription factor SREBP-1, regulation of the cardiac
parasympathetic response, and the development of ventricular arrhythmia. In
embryonic chick atrial myocytes, lipid lowering by culture in lipoprotein
depleted serum increased SREBP-1 levels, GIRK1 expression, and I KACh activation.
Regulation of the GIRK1 promoter by SREBP-1 and lipid lowering was dependent on
interaction with 2 tandem sterol response elements and an upstream E-box motif.
Expression of dominant negative SREBP-1 (DN-SREBP-1) reversed the effect of lipid
lowering on I KACh and GIRK1. In SREBP-1 knockout mice, both the response of the
heart to parasympathetic stimulation and the expression of GIRK1 were reduced
compared with WT. I KACh, attenuated in atrial myocytes from SREBP-1 knockout
mice, was stimulated by SREBP-1 expression. Following myocardial infarction,
SREBP-1 knockout mice were twice as likely as WT mice to develop ventricular
tachycardia in response to programmed ventricular stimulation. These results
demonstrate a relationship between lipid metabolism and parasympathetic response
that may play a role in arrhythmogenesis.
PMID- 18060045
TI - Multivalent 4-1BB binding aptamers costimulate CD8+ T cells and inhibit tumor
growth in mice.
AB - 4-1BB is a major costimulatory receptor that promotes the survival and expansion
of activated T cells. Administration of agonistic anti-4-1BB Abs has been
previously shown to enhance tumor immunity in mice. Abs are cell-based products
posing significant cost, manufacturing, and regulatory challenges. Aptamers are
oligonucleotide-based ligands that exhibit specificity and avidity comparable to,
or exceeding, that of Abs. To date, various aptamers have been shown to inhibit
the function of their cognate target. Here, we have described the development of
an aptamer that binds 4-1BB expressed on the surface of activated mouse T cells
and shown that multivalent configurations of the aptamer costimulated T cell
activation in vitro and mediated tumor rejection in mice. Because aptamers can be
chemically synthesized, manufacturing and the regulatory approval process should
be substantially simpler and less costly than for Abs. Agonistic aptamers could
therefore represent a superior alternative to Abs for the therapeutic
manipulation of the immune system.
PMID- 18060046
TI - Tumor escape in a Wnt1-dependent mouse breast cancer model is enabled by
p19Arf/p53 pathway lesions but not p16 Ink4a loss.
AB - Breast cancers frequently progress or relapse during targeted therapy, but the
molecular mechanisms that enable escape remain poorly understood. We elucidated
genetic determinants underlying tumor escape in a transgenic mouse model of Wnt
pathway-driven breast cancer, wherein targeted therapy is simulated by abrogating
doxycycline-dependent Wnt1 transgene expression within established tumors. In
mice with intact tumor suppressor pathways, tumors typically circumvented
doxycycline withdrawal by reactivating Wnt signaling, either via aberrant
(doxycycline-independent) Wnt1 transgene expression or via acquired somatic
mutations in the gene encoding beta-catenin. Germline introduction of mutant
tumor suppressor alleles into the model altered the timing and mode of tumor
escape. Relapses occurring in the context of null Ink4a/Arf alleles (disrupting
both the p16 Ink4a and p19 Arf tumor suppressors) arose quickly and rarely
reactivated the Wnt pathway. In addition, Ink4a/Arf-deficient relapses resembled
p53-deficient relapses in that both displayed morphologic and molecular hallmarks
of an epithelial-to-mesenchymal transition (EMT). Notably, Ink4a/Arf deficiency
promoted relapse in the absence of gross genomic instability. Moreover, Ink4a/Arf
encoded proteins differed in their capacity to suppress oncogene independence.
Isolated p19 Arf deficiency mirrored p53 deficiency in that both promoted rapid,
EMT-associated mammary tumor escape, whereas isolated p16 Ink4a deficiency failed
to accelerate relapse. Thus, p19 Arf/p53 pathway lesions may promote mammary
cancer relapse even when inhibition of a targeted oncogenic signaling pathway
remains in force.
PMID- 18060047
TI - Wnt5a-treated midbrain neural stem cells improve dopamine cell replacement
therapy in parkinsonian mice.
AB - Dopamine (DA) cell replacement therapy in Parkinson disease (PD) can be achieved
using human fetal mesencephalic tissue; however, limited tissue availability has
hindered further developments. Embryonic stem cells provide a promising
alternative, but poor survival and risk of teratoma formation have prevented
their clinical application. We present here a method for generating large numbers
of DA neurons based on expanding and differentiating ventral midbrain (VM) neural
stem cells/progenitors in the presence of key signals necessary for VM DA neuron
development. Mouse VM neurospheres (VMNs) expanded with FGF2, differentiated with
sonic hedgehog and FGF8, and transfected with Wnt5a (VMN-Wnt5a) generated 10-fold
more DA neurons than did conventional FGF2-treated VMNs. VMN-Wnt5a cells
exhibited the transcriptional and biochemical profiles and intrinsic
electrophysiological properties of midbrain DA cells. Transplantation of these
cells into parkinsonian mice resulted in significant cellular and functional
recovery. Importantly, no tumors were detected and only a few transplanted grafts
contained sporadic nestin-expressing progenitors. Our findings show that Wnt5a
improves the differentiation and functional integration of stem cell-derived DA
neurons in vivo and define Wnt5a-treated neural stem cells as an efficient and
safe source of DA neurons for cell replacement therapy in PD.
PMID- 18060048
TI - Heme oxygenase-1-derived carbon monoxide enhances the host defense response to
microbial sepsis in mice.
AB - Sepsis is characterized by a systemic response to severe infection. Although the
inflammatory phase of sepsis helps eradicate the infection, it can have
detrimental consequences if left unchecked. Therapy directed against inflammatory
mediators of sepsis has shown little success and has the potential to impair
innate antimicrobial defenses. Heme oxygenase-1 (HO-1) and the product of its
enzymatic reaction, CO, have beneficial antiinflammatory properties, but little
is known about their effects on microbial sepsis. Here, we have demonstrated that
during microbial sepsis, HO-1-derived CO plays an important role in the
antimicrobial process without inhibiting the inflammatory response. HO-1
deficient mice suffered exaggerated lethality from polymicrobial sepsis.
Targeting HO-1 to SMCs and myofibroblasts of blood vessels and bowel ameliorated
sepsis-induced death associated with Enterococcus faecalis, but not Escherichia
coli, infection. The increase in HO-1 expression did not suppress circulating
inflammatory cells or their accumulation at the site of injury but did enhance
bacterial clearance by increasing phagocytosis and the endogenous antimicrobial
response. Furthermore, injection of a CO-releasing molecule into WT mice
increased phagocytosis and rescued HO-1-deficient mice from sepsis-induced
lethality. These data advocate HO-1-derived CO as an important mediator of the
host defense response to sepsis and suggest CO administration as a possible
treatment for the disease.
PMID- 18060049
TI - High pro-inflammatory cytokine secretion and loss of high avidity cross-reactive
cytotoxic T-cells during the course of secondary dengue virus infection.
AB - BACKGROUND: Dengue is one of the most important human diseases transmitted by an
arthropod vector and the incidence of dengue virus infection has been increasing
over half the world's population now live in areas at risk of infection. Most
infections are asymptomatic, but a subset of patients experience a potentially
fatal shock syndrome characterised by plasma leakage. Severe forms of dengue are
epidemiologically associated with repeated infection by more than one of the four
dengue virus serotypes. Generally attributed to the phenomenon of antibody
dependent enhancement, recent observations indicate that T-cells may also
influence disease phenotype. METHODS AND FINDINGS: Virus-specific cytotoxic T
lymphocytes (CTL) showing high level cross reactivity between dengue serotypes
could be expanded from blood samples taken during the acute phase of secondary
dengue infection. These could not be detected in convalescence when only CTL
populations demonstrating significant serotype specificity were identified.
Dengue cross-reactive CTL clones derived from these patients were of higher
avidity than serotype-specific clones and produced much higher levels of both
type 1 and certain type 2 cytokines, many previously implicated in dengue
pathogenesis. CONCLUSION: Dengue serotype cross-reactive CTL clones showing high
avidity for antigen produce higher levels of inflammatory cytokines than serotype
specific clones. That such cells cannot be expanded from convalescent samples
suggests that they may be depleted, perhaps as a consequence of activation
induced cell death. Such high avidity cross-reactive memory CTL may produce
inflammatory cytokines during the course of secondary infection, contributing to
the pathogenesis of vascular leak. These cells appear to be subsequently deleted
leaving a more serotype-specific memory CTL pool. Further studies are needed to
relate these cellular observations to disease phenotype in a large group of
patients. If confirmed they have significant implications for understanding the
role of virus-specific CTL in pathogenesis of dengue disease.
PMID- 18060050
TI - Dynamic perceptual changes in audiovisual simultaneity.
AB - BACKGROUND: The timing at which sensory input reaches the level of conscious
perception is an intriguing question still awaiting an answer. It is often
assumed that both visual and auditory percepts have a modality specific
processing delay and their difference determines perceptual temporal offset.
METHODOLOGY/PRINCIPAL FINDINGS: Here, we show that the perception of audiovisual
simultaneity can change flexibly and fluctuates over a short period of time while
subjects observe a constant stimulus. We investigated the mechanisms underlying
the spontaneous alternations in this audiovisual illusion and found that
attention plays a crucial role. When attention was distracted from the stimulus,
the perceptual transitions disappeared. When attention was directed to a visual
event, the perceived timing of an auditory event was attracted towards that
event. CONCLUSIONS/SIGNIFICANCE: This multistable display illustrates how
flexible perceived timing can be, and at the same time offers a paradigm to
dissociate perceptual from stimulus-driven factors in crossmodal feature binding.
Our findings suggest that the perception of crossmodal synchrony depends on
perceptual binding of audiovisual stimuli as a common event.
PMID- 18060052
TI - On testing dependence between time to failure and cause of failure when causes of
failure are missing.
AB - The hypothesis of independence between the failure time and the cause of failure
is studied by using the conditional probabilities of failure due to a specific
cause given that there is no failure up to certain fixed time. In practice, there
are situations when the failure times are available for all units but the causes
of failures might be missing for some units. We propose tests based on U
statistics to test for independence of the failure time and the cause of failure
in the competing risks model when all the causes of failure cannot be observed.
The asymptotic distribution is normal in each case. Simulation studies look at
power comparisons for the proposed tests for two families of distributions. The
one-sided and the two-sided tests based on Kendall type statistic perform
exceedingly well in detecting departures from independence.
PMID- 18060053
TI - Functional ablation of pRb activates Cdk2 and causes antiestrogen resistance in
human breast cancer cells.
AB - Estrogens are required for the proliferation of hormone dependent breast cancer
cells, making estrogen receptor (ER) positive tumors amenable to endocrine
therapies such as antiestrogens. However, resistance to these agents remains a
significant cause of treatment failure. We previously demonstrated that
inactivation of the retinoblastoma protein (pRb) family tumor suppressors causes
antiestrogen resistance in MCF-7 cells, a widely studied model of estrogen
responsive human breast cancers. In this study, we investigate the mechanism by
which pRb inactivation leads to antiestrogen resistance. Cdk4 and cdk2 are two
key cell cycle regulators that can phosphorylate and inactivate pRb, therefore we
tested whether these kinases are required in cells lacking pRb function. pRb
family members were inactivated in MCF-7 cells by expressing polyomavirus large
tumor antigen (PyLT), and cdk activity was inhibited using the cdk inhibitors
p16(INK4A) and p21(Waf1/Cip1). Cdk4 activity was no longer required in cells
lacking functional pRb, while cdk2 activity was required for proliferation in
both the presence and absence of pRb function. Using inducible PyLT cell lines,
we further demonstrated that pRb inactivation leads to increased cyclin A
expression, cdk2 activation and proliferation in antiestrogen arrested cells.
These results demonstrate that antiestrogens do not inhibit cdk2 activity or
proliferation of MCF-7 cells in the absence of pRb family function, and suggest
that antiestrogen resistant breast cancer cells resulting from pRb pathway
inactivation would be susceptible to therapies that target cdk2.
PMID- 18060051
TI - Amyotrophic lateral sclerosis: an emerging era of collaborative gene discovery.
AB - Amyotrophic lateral sclerosis (ALS) is the most common form of motor neuron
disease (MND). It is currently incurable and treatment is largely limited to
supportive care. Family history is associated with an increased risk of ALS, and
many Mendelian causes have been discovered. However, most forms of the disease
are not obviously familial. Recent advances in human genetics have enabled genome
wide analyses of single nucleotide polymorphisms (SNPs) that make it possible to
study complex genetic contributions to human disease. Genome-wide SNP analyses
require a large sample size and thus depend upon collaborative efforts to collect
and manage the biological samples and corresponding data. Public availability of
biological samples (such as DNA), phenotypic and genotypic data further enhances
research endeavors. Here we discuss a large collaboration among academic
investigators, government, and non-government organizations which has created a
public repository of human DNA, immortalized cell lines, and clinical data to
further gene discovery in ALS. This resource currently maintains samples and
associated phenotypic data from 2332 MND subjects and 4692 controls. This
resource should facilitate genetic discoveries which we anticipate will
ultimately provide a better understanding of the biological mechanisms of
neurodegeneration in ALS.
PMID- 18060054
TI - A novel and lethal de novo LQT-3 mutation in a newborn with distinct molecular
pharmacology and therapeutic response.
AB - BACKGROUND: SCN5A encodes the alpha-subunit (Na(v)1.5) of the principle Na(+)
channel in the human heart. Genetic lesions in SCN5A can cause congenital long QT
syndrome (LQTS) variant 3 (LQT-3) in adults by disrupting inactivation of the
Na(v)1.5 channel. Pharmacological targeting of mutation-altered Na(+) channels
has proven promising in developing a gene-specific therapeutic strategy to manage
specifically this LQTS variant. SCN5A mutations that cause similar channel
dysfunction may also contribute to sudden infant death syndrome (SIDS) and other
arrhythmias in newborns, but the prevalence, impact, and therapeutic management
of SCN5A mutations may be distinct in infants compared with adults. METHODS AND
RESULTS: Here, in a multidisciplinary approach, we report a de novo SCN5A
mutation (F1473C) discovered in a newborn presenting with extreme QT prolongation
and differential responses to the Na(+) channel blockers flecainide and
mexiletine. Our goal was to determine the Na(+) channel phenotype caused by this
severe mutation and to determine whether distinct effects of different Na(+)
channel blockers on mutant channel activity provide a mechanistic understanding
of the distinct therapeutic responsiveness of the mutation carrier. Sequence
analysis of the proband revealed the novel missense SCN5A mutation (F1473C) and a
common variant in KCNH2 (K897T). Patch clamp analysis of HEK 293 cells
transiently transfected with wild-type or mutant Na(+) channels revealed
significant changes in channel biophysics, all contributing to the proband's
phenotype as predicted by in silico modeling. Furthermore, subtle differences in
drug action were detected in correcting mutant channel activity that, together
with both the known genetic background and age of the patient, contribute to the
distinct therapeutic responses observed clinically. SIGNIFICANCE: The results of
our study provide further evidence of the grave vulnerability of newborns to
Na(+) channel defects and suggest that both genetic background and age are
particularly important in developing a mutation-specific therapeutic personalized
approach to manage disorders in the young.
PMID- 18060055
TI - Effects of genetic mutations and chemical exposures on Caenorhabditis elegans
feeding: evaluation of a novel, high-throughput screening assay.
AB - BACKGROUND: Government agencies have defined a need to reduce, refine or replace
current mammalian-based bioassays with testing methods that use alternative
species. Invertebrate species, such as Caenorhabditis elegans, provide an
attractive option because of their short life cycles, inexpensive maintenance,
and high degree of evolutionary conservation with higher eukaryotes. The C.
elegans pharynx is a favorable model for studying neuromuscular function, and the
effects of chemicals on neuromuscular activity, i.e., feeding. Current feeding
methodologies, however, are labor intensive and only semi-quantitative.
METHODOLOGY/PRINCIPAL FINDINGS: Here a high-throughput assay is described that
uses flow cytometry to measure C. elegans feeding by determining the size and
intestinal fluorescence of hundreds of nematodes after exposure to fluorescent
labeled microspheres. This assay was validated by quantifying fluorescence in
feeding-defective C. elegans (eat mutants), and by exposing wild-type nematodes
to the neuroactive compounds, serotonin and arecoline. The eat mutations
previously determined to cause slow pumping rates exhibited the lowest feeding
levels with our assay. Concentration-dependent increases in feeding levels after
serotonin exposures were dependent on food availability, while feeding levels
decreased in arecoline-exposed nematodes regardless of the presence of food. The
effects of the environmental contaminants, cadmium chloride and chlorpyrifos, on
wild-type C. elegans feeding were then used to demonstrate an application of the
feeding assay. Cadmium exposures above 200 microM led to a sharp drop in feeding
levels. Feeding of chlorpyrifos-exposed nematodes decreased in a concentration
dependent fashion with an EC(50) of 2 microM. CONCLUSIONS/SIGNIFICANCE: The C.
elegans fluorescence microsphere feeding assay is a rapid, reliable method for
the assessment of neurotoxic effects of pharmaceutical drugs, industrial
chemicals or environmental agents. This assay may also be applicable to large
scale genetic or RNAi screens used to identify genes that are necessary for the
development or function of the pharynx or other neuromuscular systems.
PMID- 18060057
TI - Determinants of RNA quality from FFPE samples.
AB - The large archives of formalin-fixed paraffin-embedded (FFPE) tissue specimens
that exist are a highly valuable source of sample material for molecular
biological analysis, including gene expression profiling. However, current data
on adverse effects of standard pathological practice on the usefulness of
biomolecular analytes obtained from such archived specimens is largely anecdotal.
Here, we present a systematic examination of the most relevant parameters for
integrity and useability of RNA obtained from FFPE samples, including storage
time and conditions, fixation time, and specimen size. The results are
particularly relevant for any application relying on cDNA synthesis as an initial
step of the procedure, such as RT-PCR, and microarray analysis.
PMID- 18060056
TI - HIV-infected children living in Central Africa have low persistence of antibodies
to vaccines used in the Expanded Program on Immunization.
AB - BACKGROUND: The Expanded Program on Immunization (EPI) is the most cost-effective
measures to control vaccine-preventable diseases. Currently, the EPI schedule is
similar for HIV-infected children; the introduction of antiretroviral therapy
(ART) should considerably prolong their life expectancy. METHODS AND PRINCIPAL
FINDINGS: To evaluate the persistence of antibodies to the EPI vaccines in HIV
infected and HIV-exposed uninfected children who previously received these
vaccines in routine clinical practice, we conducted a cross-sectional study of
children, aged 18 to 36 months, born to HIV-infected mothers and living in
Central Africa. We tested blood samples for antibodies to the combined
diphtheria, tetanus, and whole-cell pertussis (DTwP), the measles and the oral
polio (OPV) vaccines. We enrolled 51 HIV-infected children of whom 33 were
receiving ART, and 78 HIV-uninfected children born to HIV-infected women. A lower
proportion of HIV-infected children than uninfected children had antibodies to
the tested antigens with the exception of the OPV types 1 and 2. This difference
was substantial for the measles vaccine (20% of the HIV-infected children and 56%
of the HIV-exposed uninfected children, p<0.0001). We observed a high risk of low
antibody levels for all EPI vaccines, except OPV types 1 and 2, in HIV-infected
children with severe immunodeficiency (CD4(+) T cells <25%). CONCLUSIONS AND
SIGNIFICANCE: Children were examined at a time when their antibody concentrations
to EPI vaccines would have still not undergone significant decay. However, we
showed that the antibody concentrations were lowered in HIV-infected children.
Moreover, antibody concentration after a single dose of the measles vaccine was
substantially lower than expected, particularly low in HIV-infected children with
low CD4(+) T cell counts. This study supports the need for a second dose of the
measles vaccine and for a booster dose of the DTwP and OPV vaccines to maintain
the antibody concentrations in HIV-infected and HIV-exposed uninfected children.
PMID- 18060058
TI - Gene-centric characteristics of genome-wide association studies.
AB - BACKGROUND: The high-throughput genotyping chips have contributed greatly to
genome-wide association (GWA) studies to identify novel disease susceptibility
single nucleotide polymorphisms (SNPs). The high-density chips are designed using
two different SNP selection approaches, the direct gene-centric approach, and the
indirect quasi-random SNPs or linkage disequilibrium (LD)-based tagSNPs
approaches. Although all these approaches can provide high genome coverage and
ascertain variants in genes, it is not clear to which extent these approaches
could capture the common genic variants. It is also important to characterize and
compare the differences between these approaches. METHODOLOGY/PRINCIPAL FINDINGS:
In our study, by using both the Phase II HapMap data and the disease variants
extracted from OMIM, a gene-centric evaluation was first performed to evaluate
the ability of the approaches in capturing the disease variants in Caucasian
population. Then the distribution patterns of SNPs were also characterized in
genic regions, evolutionarily conserved introns and nongenic regions, ontologies
and pathways. The results show that, no mater which SNP selection approach is
used, the current high-density SNP chips provide very high coverage in genic
regions and can capture most of known common disease variants under HapMap frame.
The results also show that the differences between the direct and the indirect
approaches are relatively small. Both have similar SNP distribution patterns in
these gene-centric characteristics. CONCLUSIONS/SIGNIFICANCE: This study suggests
that the indirect approaches not only have the advantage of high coverage but
also are useful for studies focusing on various functional SNPs either in genes
or in the conserved regions that the direct approach supports. The study and the
annotation of characteristics will be helpful for designing and analyzing GWA
studies that aim to identify genetic risk factors involved in common diseases,
especially variants in genes and conserved regions.
PMID- 18060059
TI - Time processing in Huntington's disease: a group-control study.
AB - BACKGROUND: "Timing" processes are mediated via a disturbed neuronal network
including the basal ganglia. Brain structures important for "timing" are also
discussed to be critical for the deterioration of movements in Huntington's
disease (HD). Changes in "timing processes" are found in HD, but no study has
varied the degree of motor demands in timing functions in parallel in HD. It may
be hypothesized that timing functions may be deteriorated to a different extent
in motor and non-motor timing, because in motor timing the underlying brain
structures may be more demanding than in non-motor timing. METHODOLOGY/PRINCIPLE
FINDINGS: WE ASSESSED TIMING IN TWO DIFFERENT EXPERIMENTS: a time-estimation (TE)
and a time-discrimination (TD) task. The demand on motor functions is high in the
TE-task and low in the TD-task. Furthermore, general motor ability was assessed
at different complexity levels. A presymptomatic (pHD), a symptomatic (HD) and a
control group were investigated. We found a decline in timing functions when
demands on the motor system were high (TE-task), in HD and even in pHD, compared
to controls. In non-motor timing (TD task) and in the assessment of general motor
ability, performance in the pHD-group was comparable to the controls and better
than in the symptomatic group. Performance in both timing tasks was related to
the duration until the estimated age of onset in pHDs. CONCLUSIONS/SIGNIFICANCE:
The study shows a selective deterioration of time-estimation processes in
symptomatic and even presymptomatic Huntington's disease. Time-discrimination
processes were not affected in both patient groups. The relation of timing
performance to the duration until the estimated age of onset in pHD is of
clinical importance.
PMID- 18060060
TI - Reporting science and conflicts of interest in the lay press.
AB - BACKGROUND: Forthright reporting of financial ties and conflicts of interest of
researchers is associated with public trust in and esteem for the scientific
enterprise. METHODS/PRINCIPAL FINDINGS: We searched Lexis/Nexis Academic News for
the top news stories in science published in 2004 and 2005. We conducted a
content analysis of 1152 newspaper stories. Funders of the research were
identified in 38% of stories, financial ties of the researchers were reported in
11% of stories, and 5% reported financial ties of sources quoted. Of 73 stories
not reporting on financial ties, 27% had financial ties publicly disclosed in
scholarly journals. CONCLUSIONS/SIGNIFICANCE: Because science journalists often
did not report conflict of interest information, adherence to gold-standard
recommendations for science journalism was low. Journalists work under many
different constraints, but nonetheless news reports of scientific research were
incomplete, potentially eroding public trust in science.
PMID- 18060061
TI - Oxygen reperfusion damage in an insect.
AB - The deleterious effects of anoxia followed by reperfusion with oxygen in higher
animals including mammals are well known. A convenient and genetically well
characterized small-animal model that exhibits reproducible, quantifiable oxygen
reperfusion damage is currently lacking. Here we describe the dynamics of whole
organism metabolic recovery from anoxia in an insect, Drosophila melanogaster,
and report that damage caused by oxygen reperfusion can be quantified in a novel
but straightforward way. We monitored CO(2) emission (an index of mitochondrial
activity) and water vapor output (an index of neuromuscular control of the
spiracles, which are valves between the outside air and the insect's tracheal
system) during entry into, and recovery from, rapid-onset anoxia exposure with
durations ranging from 7.5 to 120 minutes. Anoxia caused a brief peak of CO(2)
output followed by knock-out. Mitochondrial respiration ceased and the spiracle
constrictor muscles relaxed, but then re-contracted, presumably powered by
anaerobic processes. Reperfusion to sustained normoxia caused a bimodal re
activation of mitochondrial respiration, and in the case of the spiracle
constrictor muscles, slow inactivation followed by re-activation. After long
anoxia durations, both the bimodality of mitochondrial reactivation and the
recovery of spiracular control were impaired. Repeated reperfusion followed by
episodes of anoxia depressed mitochondrial respiratory flux rates and damaged the
integrity of the spiracular control system in a dose-dependent fashion. This is
the first time that physiological evidence of oxygen reperfusion damage has been
described in an insect or any invertebrate. We suggest that some of the
traditional approaches of insect respiratory biology, such as quantifying
respiratory water loss, may facilitate using D. melanogaster as a convenient,
well-characterized experimental model for studying the underlying biology and
mechanisms of ischemia and reperfusion damage and its possible mitigation.
PMID- 18060062
TI - Repeated exposure to media violence is associated with diminished response in an
inhibitory frontolimbic network.
AB - BACKGROUND: Media depictions of violence, although often claimed to induce viewer
aggression, have not been shown to affect the cortical networks that regulate
behavior. METHODOLOGY/PRINCIPAL FINDINGS: Using functional magnetic resonance
imaging (fMRI), we found that repeated exposure to violent media, but not to
other equally arousing media, led to both diminished response in right lateral
orbitofrontal cortex (right ltOFC) and a decrease in right ltOFC-amygdala
interaction. Reduced function in this network has been previously associated with
decreased control over a variety of behaviors, including reactive aggression.
Indeed, we found reduced right ltOFC responses to be characteristic of those
subjects that reported greater tendencies toward reactive aggression.
Furthermore, the violence-induced reduction in right ltOFC response coincided
with increased throughput to behavior planning regions. CONCLUSIONS: These novel
findings establish that even short-term exposure to violent media can result in
diminished responsiveness of a network associated with behaviors such as reactive
aggression.
PMID- 18060063
TI - The mutant form of lamin A that causes Hutchinson-Gilford progeria is a biomarker
of cellular aging in human skin.
AB - Hutchinson-Gilford progeria syndrome (HGPS, OMIM 176670) is a rare disorder
characterized by accelerated aging and early death, frequently from stroke or
coronary artery disease. 90% of HGPS cases carry the LMNA G608G (GGC>GGT)
mutation within exon 11 of LMNA, activating a splice donor site that results in
production of a dominant negative form of lamin A protein, denoted progerin.
Screening 150 skin biopsies from unaffected individuals (newborn to 97 years)
showed that a similar splicing event occurs in vivo at a low level in the skin at
all ages. While progerin mRNA remains low, the protein accumulates in the skin
with age in a subset of dermal fibroblasts and in a few terminally differentiated
keratinocytes. Progerin-positive fibroblasts localize near the basement membrane
and in the papillary dermis of young adult skin; however, their numbers increase
and their distribution reaches the deep reticular dermis in elderly skin. Our
findings demonstrate that progerin expression is a biomarker of normal cellular
aging and may potentially be linked to terminal differentiation and senescence in
elderly individuals.
PMID- 18060064
TI - Expression of pyruvate carboxylase mRNA variants in liver of dairy cattle at
calving.
AB - BACKGROUND: Bovine liver expresses six pyruvate carboxylase (PC) transcript
variants, bPC5'A, bPC5'B, bPC5'C, bPC5'D, bPC5'E, and bPC5'F, which only differ
at the 5' untranslated region (UTR) and contain a common coding region. The
objective of this experiment was to determine the profile and abundance of PC
transcripts in bovine liver at calving. METHODOLOGY/PRINCIPAL FINDINGS: A
ribonuclease protection assay (RPA) protocol was developed to simplify analysis
of these variants and investigate the changes in abundance of each 5' UTR
transcript relative to total PC mRNA. Liver biopsy samples collected from seven
cows on +1 d relative to calving were analyzed by RPA to determine the profile in
PC 5' UTR variants. Results show that all six bovine PC 5' UTR variants are
detected at calving. Data indicate that bovine PC 5' UTR variant A is the most
abundant, variants C and E are least abundant and expression of variants B, D and
F is intermediate at calving. CONCLUSIONS: This manuscript describes a simplified
RPA method that quantifies the abundance of six PC transcripts by using two
riboprobes. The lack of uniformity in the pattern of PC 5' UTR variants at
calving suggests an additional complexity for control of bovine PC mRNA
expression at calving that may be the result of transcriptional controls,
variation in mRNA processing, or a combination of these processes.
PMID- 18060065
TI - Analysis of the neurotoxin complex genes in Clostridium botulinum A1-A4 and B1
strains: BoNT/A3, /Ba4 and /B1 clusters are located within plasmids.
AB - BACKGROUND: Clostridium botulinum and related clostridial species express
extremely potent neurotoxins known as botulinum neurotoxins (BoNTs) that cause
long-lasting, potentially fatal intoxications in humans and other mammals. The
amino acid variation within the BoNT is used to categorize the species into seven
immunologically distinct BoNT serotypes (A-G) which are further divided into
subtypes. The BoNTs are located within two generally conserved gene arrangements
known as botulinum progenitor complexes which encode toxin-associated proteins
involved in toxin stability and expression. METHODOLOGY/PRINCIPAL FINDINGS:
Because serotype A and B strains are responsible for the vast majority of human
botulism cases worldwide, the location, arrangement and sequences of genes from
eight different toxin complexes representing four different BoNT/A subtypes
(BoNT/A1-Ba4) and one BoNT/B1 strain were examined. The bivalent Ba4 strain
contained both the BoNT/A4 and BoNT/bvB toxin clusters. The arrangements of the
BoNT/A3 and BoNT/A4 subtypes differed from the BoNT/A1 strains and were similar
to those of BoNT/A2. However, unlike the BoNT/A2 subtype, the toxin complex genes
of BoNT/A3 and BoNT/A4 were found within large plasmids and not within the
chromosome. In the Ba4 strain, both BoNT toxin clusters (A4 and bivalent B) were
located within the same 270 kb plasmid, separated by 97 kb. Complete genomic
sequencing of the BoNT/B1 strain also revealed that its toxin complex genes were
located within a 149 kb plasmid and the BoNT/A3 complex is within a 267 kb
plasmid. CONCLUSIONS/SIGNIFICANCE: Despite their size differences and the BoNT
genes they contain, the three plasmids containing these toxin cluster genes share
significant sequence identity. The presence of partial insertion sequence (IS)
elements, evidence of recombination/gene duplication events, and the discovery of
the BoNT/A3, BoNT/Ba4 and BoNT/B1 toxin complex genes within plasmids illustrate
the different mechanisms by which these genes move among diverse genetic
backgrounds of C. botulinum.
PMID- 18060066
TI - Multilineage potential of stable human mesenchymal stem cell line derived from
fetal marrow.
AB - Human bone marrow contains two major cell types, hematopoietic stem cells (HSCs)
and mesenchymal stem cells (MSCs). MSCs possess self-renewal capacity and
pluripotency defined by their ability to differentiate into osteoblasts,
chondrocytes, adipocytes and muscle cells. MSCs are also known to differentiate
into neurons and glial cells in vitro, and in vivo following transplantation into
the brain of animal models of neurological disorders including ischemia and
intracerebral hemorrhage (ICH) stroke. In order to obtain sufficient number and
homogeneous population of human MSCs, we have clonally isolated permanent and
stable human MSC lines by transfecting primary cell cultures of fetal human bone
marrow MSCs with a retroviral vector encoding v-myc gene. One of the cell lines,
HM3.B10 (B10), was found to differentiate into neural cell types including neural
stem cells, neurons, astrocytes and oligodendrocytes in vitro as shown by
expression of genetic markers for neural stem cells (nestin and Musashi1),
neurons (neurofilament protein, synapsin and MAP2), astrocytes (glial fibrillary
acidic protein, GFAP) and oligodendrocytes (myelin basic protein, MBP) as
determined by RT-PCR assay. In addition, B10 cells were found to differentiate
into neural cell types as shown by immunocytochical demonstration of nestin (for
neural stem cells), neurofilament protein and beta-tubulin III (neurons) GFAP
(astrocytes), and galactocerebroside (oligodendrocytes). Following brain
transplantation in mouse ICH stroke model, B10 human MSCs integrate into host
brain, survive, differentiate into neurons and astrocytes and induce behavioral
improvement in the ICH animals. B10 human MSC cell line is not only a useful tool
for the studies of organogenesis and specifically for the neurogenesis, but also
provides a valuable source of cells for cell therapy studies in animal models of
stroke and other neurological disorders.
PMID- 18060068
TI - A genomic background based method for association analysis in related
individuals.
AB - BACKGROUND: Feasibility of genotyping of hundreds and thousands of single
nucleotide polymorphisms (SNPs) in thousands of study subjects have triggered the
need for fast, powerful, and reliable methods for genome-wide association
analysis. Here we consider a situation when study participants are genetically
related (e.g. due to systematic sampling of families or because a study was
performed in a genetically isolated population). Of the available methods that
account for relatedness, the Measured Genotype (MG) approach is considered the
'gold standard'. However, MG is not efficient with respect to time taken for the
analysis of genome-wide data. In this context we proposed a fast two-step method
called Genome-wide Association using Mixed Model and Regression (GRAMMAR) for the
analysis of pedigree-based quantitative traits. This method certainly overcomes
the drawback of time limitation of the measured genotype (MG) approach, but pays
in power. One of the major drawbacks of both MG and GRAMMAR, is that they
crucially depend on the availability of complete and correct pedigree data, which
is rarely available. METHODOLOGY: In this study we first explore type 1 error and
relative power of MG, GRAMMAR, and Genomic Control (GC) approaches for genetic
association analysis. Secondly, we propose an extension to GRAMMAR i.e. GRAMMAR
GC. Finally, we propose application of GRAMMAR-GC using the kinship matrix
estimated through genomic marker data, instead of (possibly missing and/or
incorrect) genealogy. CONCLUSION: Through simulations we show that MG approach
maintains high power across a range of heritabilities and possible pedigree
structures, and always outperforms other contemporary methods. We also show that
the power of our proposed GRAMMAR-GC approaches to that of the 'gold standard' MG
for all models and pedigrees studied. We show that this method is both feasible
and powerful and has correct type 1 error in the context of genome-wide
association analysis in related individuals.
PMID- 18060067
TI - Depolarization-evoked secretion requires two vicinal transmembrane cysteines of
syntaxin 1A.
AB - BACKGROUND: The interactions of the voltage-gated Ca(2+) channel (VGCC) with
syntaxin 1A (Sx 1A), Synaptosome-associated protein of 25 kD (SNAP-25), and
synaptotagmin, couple electrical excitation to evoked secretion. Two vicinal Cys
residues, Cys 271 and Cys 272 in the Sx 1A transmembrane domain, are highly
conserved and participate in modulating channel kinetics. Each of the Sx1A Cys
mutants, differently modify the kinetics of Cav1.2, and neuronal Cav2.2 calcium
channel. METHODOLOGY/PRINCIPLE FINDINGS: We examined the effects of various Sx1A
Cys mutants and the syntaxin isoforms 2, 3, and 4 each of which lack vicinal Cys
residues, on evoked secretion, monitoring capacitance transients in a functional
release assay. Membrane capacitance in Xenopus oocytes co-expressing Cav1.2,
Sx1A, SNAP-25 and synaptotagmin, which is Bot C- and Bot A-sensitive, was
elicited by a double 500 ms depolarizing pulse to 0 mV. The evoked-release was
obliterated when a single Cys Sx1A mutant or either one of the Sx isoforms were
substituted for Sx 1A, demonstrating the essential role of vicinal Cys residues
in the depolarization mediated process. Protein expression and confocal imaging
established the level of the mutated proteins in the cell and their targeting to
the plasma membrane. CONCLUSIONS/SIGNIFICANCE: We propose a model whereby the two
adjacent transmembranal Cys residues of Sx 1A, lash two calcium channels.
Consistent with the necessity of a minimal fusion complex termed the excitosome,
each Sx1A is in a complex with SNAP-25, Syt1, and the Ca(2+) channel. A Hill
coefficient >2 imply that at least three excitosome complexes are required for
generating a secreting hetero-oligomer protein complex. This working model
suggests that a fusion pore that opens during membrane depolarization could be
lined by alternating transmembrane segments of Sx1A and VGCC. The functional
coupling of distinct amino acids of Sx 1A with VGCC appears to be essential for
depolarization-evoked secretion.
PMID- 18060069
TI - Structure of the scientific community modelling the evolution of resistance.
AB - Faced with the recurrent evolution of resistance to pesticides and drugs, the
scientific community has developed theoretical models aimed at identifying the
main factors of this evolution and predicting the efficiency of resistance
management strategies. The evolutionary forces considered by these models are
generally similar for viruses, bacteria, fungi, plants or arthropods facing drugs
or pesticides, so interaction between scientists working on different biological
organisms would be expected. We tested this by analysing co-authorship and co
citation networks using a database of 187 articles published from 1977 to 2006
concerning models of resistance evolution to all major classes of pesticides and
drugs. These analyses identified two main groups. One group, led by ecologists or
agronomists, is interested in agricultural crop or stock pests and diseases. It
mainly uses a population genetics approach to model the evolution of resistance
to insecticidal proteins, insecticides, herbicides, antihelminthic drugs and
miticides. By contrast, the other group, led by medical scientists, is interested
in human parasites and mostly uses epidemiological models to study the evolution
of resistance to antibiotic and antiviral drugs. Our analyses suggested that
there is also a small scientific group focusing on resistance to antimalaria
drugs, and which is only poorly connected with the two larger groups. The
analysis of cited references indicates that each of the two large communities
publishes its research in a different set of literature and has its own keystone
references: citations with a large impact in one group are almost never cited by
the other. We fear the lack of exchange between the two communities might slow
progress concerning resistance evolution which is currently a major issue for
society.
PMID- 18060070
TI - Infectious offspring: how birds acquire and transmit an avian polyomavirus in the
wild.
AB - Detailed patterns of primary virus acquisition and subsequent dispersal in wild
vertebrate populations are virtually absent. We show that nestlings of a songbird
acquire polyomavirus infections from larval blowflies, common nest ectoparasites
of cavity-nesting birds, while breeding adults acquire and renew the same viral
infections via cloacal shedding from their offspring. Infections by these DNA
viruses, known potential pathogens producing disease in some bird species,
therefore follow an 'upwards vertical' route of an environmental nature mimicking
horizontal transmission within families, as evidenced by patterns of viral
infection in adults and young of experimental, cross-fostered offspring. This
previously undescribed route of viral transmission from ectoparasites to
offspring to parent hosts may be a common mechanism of virus dispersal in many
taxa that display parental care.
PMID- 18060071
TI - Patterns of genome evolution among the microsporidian parasites Encephalitozoon
cuniculi, Antonospora locustae and Enterocytozoon bieneusi.
AB - BACKGROUND: Microsporidia are intracellular parasites that are highly-derived
relatives of fungi. They have compacted genomes and, despite a high rate of
sequence evolution, distantly related species can share high levels of gene order
conservation. To date, only two species have been analysed in detail, and data
from one of these largely consists of short genomic fragments. It is therefore
difficult to determine how conservation has been maintained through
microsporidian evolution, and impossible to identify whether certain regions are
more prone to genomic stasis. PRINCIPAL FINDINGS: Here, we analyse three large
fragments of the Enterocytozoon bieneusi genome (in total 429 kbp), a species of
medical significance. A total of 296 ORFs were identified, annotated and their
context compared with Encephalitozoon cuniculi and Antonospora locustae. Overall,
a high degree of conservation was found between all three species, and
interestingly the level of conservation was similar in all three pairwise
comparisons, despite the fact that A. locustae is more distantly related to E.
cuniculi and E. bieneusi than either are to each other. CONCLUSIONS/SIGNIFICANCE:
Any two genes that are found together in any pair of genomes are more likely to
be conserved in the third genome as well, suggesting that a core of genes tends
to be conserved across the entire group. The mechanisms of rearrangments
identified among microsporidian genomes were consistent with a very slow
evolution of their architecture, as opposed to the very rapid sequence evolution
reported for these parasites.
PMID- 18060072
TI - A virosomal malaria peptide vaccine elicits a long-lasting sporozoite-inhibitory
antibody response in a phase 1a clinical trial.
AB - OBJECTIVES: Peptides delivered on the surface of influenza virosomes have been
shown to induce solid humoral immune responses in experimental animals. High
titers of peptide-specific antibodies were also induced in a phase 1a clinical
trial in volunteers immunized with virosomal formulations of two peptides derived
from the circumsporozoite protein (CSP) and the apical membrane antigen 1 (AMA-1)
of Plasmodium falciparum. The main objective of this study was to perform a
detailed immunological and functional analysis of the CSP-specific antibodies
elicited in this phase 1a trial. METHODOLOGY/PRINCIPAL FINDINGS: 46 healthy
malaria-naive adults were immunized with virosomal formulations of two peptide
phosphatidylethanolamine conjugates, one derived from the NANP repeat region of
P. falciparum CSP (designated UK-39) the other from P. falciparum AMA-1
(designated AMA49-C1). The two antigens were delivered in two different
concentrations, alone and in combination. One group was immunized with empty
virosomes as control. In this report we show a detailed analysis of the antibody
response against UK-39. Three vaccinations with a 10 microg dose of UK-39 induced
high titers of sporozoite-binding antibodies in all volunteers. This IgG response
was affinity maturated and long-lived. Co-administration of UK-39 and AMA49-C1
loaded virosomes did not interfere with the immunogenicity of UK-39. Purified
total IgG from UK-39 immunized volunteers inhibited sporozoite migration and
invasion of hepatocytes in vitro. Sporozoite inhibition closely correlated with
titers measured in immunogenicity assays. CONCLUSIONS: Virosomal delivery of a
short, conformationally constrained peptide derived from P. falciparum CSP
induced a long-lived parasite-inhibitory antibody response in humans. Combination
with a second virosomally-formulated peptide derived from P. falciparum AMA-1 did
not interfere with the immunogenicity of either peptide, demonstrating the
potential of influenza virosomes as a versatile, human-compatible antigen
delivery platform for the development of multivalent subunit vaccines. TRIAL
REGISTRATION: ClinicalTrials.gov NCT00400101.
PMID- 18060073
TI - Mutation analysis of BRAF, MEK1 and MEK2 in 15 ovarian cancer cell lines:
implications for therapy.
AB - BACKGROUND: Among gynecologic cancers, ovarian cancer is the second most common
and has the highest death rate. Cancer is a genetic disorder and arises due to
the accumulation of somatic mutations in critical genes. An understanding of the
genetic basis of ovarian cancer has implications both for early detection and for
therapeutic intervention in this population of patients. METHODOLOGY/PRINCIPAL
FINDINGS: Fifteen ovarian cancer cell lines, commonly used for in vitro
experiments, were screened for mutations using bidirectional direct sequencing in
all coding regions of BRAF, MEK1 and MEK2. BRAF mutations were identified in four
of the fifteen ovarian cancer cell lines studied. Together, these four cell lines
contained four different BRAF mutations, two of which were novel. ES-2 had the
common B-Raf p.V600E mutation in exon 15 and Hey contained an exon 11 missense
mutation, p.G464E. The two novel B-Raf mutants identified were a 5 amino acid
heterozygous deletion p.N486-P490del in OV90, and an exon 4 missense substitution
p.Q201H in OVCAR 10. One of the cell lines, ES-2, contained a mutation in MEK1,
specifically, a novel heterozygous missense substitution, p.D67N which resulted
from a nt 199 G-->A transition. None of the cell lines contained coding region
mutations in MEK2. Functional characterization of the MEK1 mutant p.D67N by
transient transfection with subsequent Western blot analysis demonstrated
increased ERK phosphorylation as compared to controls. CONCLUSIONS/SIGNIFICANCE:
In this study, we report novel BRAF mutations in exon 4 and exon 12 and also
report the first mutation in MEK1 associated with human cancer. Functional data
indicate the MEK1 mutation may confer alteration of activation through the MAPK
pathway. The significance of these findings is that BRAF and MEK1/2 mutations may
be more common than anticipated in ovarian cancer which could have important
implications for treatment of patients with this disease and suggests potential
new therapeutic avenues.
PMID- 18060074
TI - The genotype specific competitive ability does not correlate with infection in
natural Daphnia magna populations.
AB - BACKGROUND: Different evolutionary hypotheses predict a correlation between the
fitness of a genotype in the absence of infection and the likelihood to become
infected. The cost of resistance hypothesis predicts that resistant genotypes pay
a cost of being resistant and are less fit in the absence of parasites. The
inbreeding-infection hypothesis predicts that the susceptible individuals are
less fit due to inbreeding depression. METHODS AND RESULTS: Here we tested if a
host's natural infection status was associated with its fitness. First, we
experimentally confirmed that cured but formerly infected Daphnia magna are
genetically more susceptible to reinfections with Octosporea bayeri than
naturally uninfected D. magna. We then collected from each of 22 populations both
uninfected and infected D. magna genotypes. All were treated against parasites
and kept in their asexual phase. We estimated their relative fitness in an
experiment against a tester genotype and in another experiment in direct
competition. Consistently, we found no difference in competitive abilities
between uninfected and cured but formerly infected genotypes. This was the case
both in the presence as well as in the absence of sympatric parasites during the
competition trials. CONCLUSIONS: Our data do not support the inbreeding-infection
hypothesis. They also do not support a cost of resistance, however ignoring other
parasite strains or parasite species. We suggest as a possible explanation for
our results that resistance genes might segregate largely independently of other
fitness associated genes in this system.
PMID- 18060075
TI - Effects of blood collection conditions on ovarian cancer serum markers.
AB - BACKGROUND: Evaluating diagnostic and early detection biomarkers requires
comparing serum protein concentrations among biosamples ascertained from subjects
with and without cancer. Efforts are generally made to standardize blood
processing and storage conditions for cases and controls, but blood sample
collection conditions cannot be completely controlled. For example, blood samples
from cases are often obtained from persons aware of their diagnoses, and
collected after fasting or in surgery, whereas blood samples from some controls
may be obtained in different conditions, such as a clinic visit. By measuring the
effects of differences in collection conditions on three different markers, we
investigated the potential of these effects to bias validation studies.
METHODOLOGY AND PRINCIPLE FINDINGS: We analyzed serum concentrations of three
previously studied putative ovarian cancer serum biomarkers-CA 125, Prolactin and
MIF-in healthy women, women with ovarian cancer undergoing gynecologic surgery,
women undergoing surgery for benign ovary pathology, and women undergoing surgery
with pathologically normal ovaries. For women undergoing surgery, a blood sample
was collected either in the clinic 1 to 39 days prior to surgery, or on the day
of surgery after anesthesia was administered but prior to the surgical procedure,
or both. We found that one marker, prolactin, was dramatically affected by
collection conditions, while CA 125 and MIF were unaffected. Prolactin levels
were not different between case and control groups after accounting for the
conditions of sample collection, suggesting that sample ascertainment could
explain some or all of the previously reported results about its potential as a
biomarker for ovarian cancer. CONCLUSIONS: Biomarker validation studies should
use standardized collection conditions, use multiple control groups, and/or
collect samples from cases prior to influence of diagnosis whenever feasible to
detect and correct for potential biases associated with sample collection.
PMID- 18060076
TI - Neglected tropical diseases, neglected data sources, and neglected issues.
AB - BACKGROUND: Lymphatic filariasis (LF) is a so-called neglected tropical disease,
currently overshadowed by higher-profile efforts to address malaria,
tuberculosis, and HIV/AIDS. Despite recent successes in arresting transmission,
some 40 million people who already have the disease have been largely neglected.
This study aims to increase understanding of how this vulnerable, neglected group
can be helped. METHODS: We used purposive sampling to select 60 men and women
with filarial lymphoedema (45 with filarial elephantiasis and 15 men with
filarial hydrocoele) from the south of Sri Lanka in 2004-2005. Participants were
selected to give a balance of men and women and poor and nonpoor, and a range of
stages of the disease. Participants' experiences and the consequences of their
disease for the household were explored with in-depth qualitative, semistructured
interviews. FINDINGS: LF was extremely debilitating to participants over long
periods of time. The stigma attached to the condition caused social isolation and
emotional distress, and delayed diagnosis and treatment, resulting in undue
advancement of the disease. Free treatment services at government clinics were
avoided because the participants' condition would be identifiable in public. Loss
of income due to the condition was reported by all households in the sample, not
just the poorest. Households that were already on low incomes were pushed into
near destitution, from which it was almost impossible to escape. Affected members
of low-income households also had less opportunity to obtain appropriate
treatment from distant clinics, and had living and working conditions that made
hygiene and compliance difficult. SIGNIFICANCE: This highly vulnerable category
of patients has low visibility, thus becoming marginalized and forgotten. With an
estimated 300,000 total cases of elephantiasis and/or oedema in Sri Lanka, and
around 300,000 men with filarial hydrocoele, the affected households will need
help and support for many years to come. These individuals should be specially
targeted for identification, outreach, and care. The global strategy for
elimination is aimed at the cessation of transmission, but there will remain some
40 million individuals with clinical manifestations whose needs and problems are
illustrated in this study.
PMID- 18060077
TI - Measuring the burden of neglected tropical diseases: the global burden of disease
framework.
AB - Reliable, comparable information about the main causes of disease and injury in
populations, and how these are changing, is a critical input for debates about
priorities in the health sector. Traditional sources of information about the
descriptive epidemiology of diseases, injuries, and risk factors are generally
incomplete, fragmented, and of uncertain reliability and comparability. The
Global Burden of Disease (GBD) study has provided a conceptual and methodological
framework to quantify and compare the health of populations using a summary
measure of both mortality and disability, the disability-adjusted life year
(DALY).This paper describes key features of the Global Burden of Disease analytic
approach, which provides a standardized measurement framework to permit
comparisons across diseases and injuries, as well as risk factors, and a
systematic approach to the evaluation of data. The paper describes the evolution
of the GBD, starting from the first study for the year 1990, summarizes the
methodological improvements incorporated into GBD revisions for the years 2000
2004 carried out by the World Health Organization, and examines priorities and
issues for the next major GBD study, funded by the Bill & Melinda Gates
Foundation, and commencing in 2007.The paper presents an overview of summary
results from the Global Burden of Disease study 2002, with a particular focus on
the neglected tropical diseases, and also an overview of the comparative risk
assessment for 26 global risk factors. Taken together, trypanosomiasis, Chagas
disease, schistosomiasis, leishmaniasis, lymphatic filariasis, onchocerciasis,
intestinal nematode infections, Japanese encephalitis, dengue, and leprosy
accounted for an estimated 177,000 deaths worldwide in 2002, mostly in sub
Saharan Africa, and about 20 million DALYs, or 1.3% of the global burden of
disease and injuries. Further research is currently underway to revise and update
these estimates.
PMID- 18060078
TI - Measuring neglect.
PMID- 18060079
TI - Sequence-based typing of leptospira: epidemiology in the genomic era.
PMID- 18060080
TI - Neglected patients with a neglected disease? A qualitative study of lymphatic
filariasis.
AB - BACKGROUND: Lymphatic filariasis (LF) is a so-called neglected tropical disease,
currently overshadowed by higher-profile efforts to address malaria,
tuberculosis, and HIV/AIDS. Despite recent successes in arresting transmission,
some 40 million people who already have the disease have been largely neglected.
This study aims to increase understanding of how this vulnerable, neglected group
can be helped. METHODS: We used purposive sampling to select 60 men and women
with filarial lymphoedema (45 with filarial elephantiasis and 15 men with
filarial hydrocoele) from the south of Sri Lanka in 2004-2005. Participants were
selected to give a balance of men and women and poor and nonpoor, and a range of
stages of the disease. Participants' experiences and the consequences of their
disease for the household were explored with in-depth qualitative, semistructured
interviews. FINDINGS: LF was extremely debilitating to participants over long
periods of time. The stigma attached to the condition caused social isolation and
emotional distress, and delayed diagnosis and treatment, resulting in undue
advancement of the disease. Free treatment services at government clinics were
avoided because the participants' condition would be identifiable in public. Loss
of income due to the condition was reported by all households in the sample, not
just the poorest. Households that were already on low incomes were pushed into
near destitution, from which it was almost impossible to escape. Affected members
of low-income households also had less opportunity to obtain appropriate
treatment from distant clinics, and had living and working conditions that made
hygiene and compliance difficult. SIGNIFICANCE: This highly vulnerable category
of patients has low visibility, thus becoming marginalized and forgotten. With an
estimated 300,000 total cases of elephantiasis and/or oedema in Sri Lanka, and
around 300,000 men with filarial hydrocoele, the affected households will need
help and support for many years to come. These individuals should be specially
targeted for identification, outreach, and care. The global strategy for
elimination is aimed at the cessation of transmission, but there will remain some
40 million individuals with clinical manifestations whose needs and problems are
illustrated in this study.
PMID- 18060081
TI - A schistosomiasis research agenda.
AB - There is a long and rich history of research and control in the field of
schistosomiasis that has resulted in major scientific and public health
accomplishments. Examples of such findings and accomplishments include
immunologic regulation in chronic infections, the association of helminth
infections with Th1-regulating Th2-type immune responses, the critical role of
interleukin-13 in fibrogenesis, and the development and validation of the "dose
pole" for determining praziquantel dosages in the field. Perhaps in part because
of this broad and successful history, those who work on schistosomiasis come from
a wide variety of backgrounds and interests. While such variety is enriching to
the field, it sometimes results in diverse opinions about which of the many
research opportunities should be pursued. Such diversity, we believe, has at
times led to a divisiveness that has harmed overall progress in the field. Partly
in response to such events, we have worked with as many of those interested in
schistosomiasis as we could identify to develop what we feel is a comprehensive
and cohesive agenda for schistosomiasis research.
PMID- 18060082
TI - Optimization of topical therapy for Leishmania major localized cutaneous
leishmaniasis using a reliable C57BL/6 Model.
AB - BACKGROUND: Because topical therapy is easy and usually painless, it is an
attractive first-line option for the treatment of localized cutaneous
leishmaniasis (LCL). Promising ointments are in the final stages of development.
One main objective was to help optimize the treatment modalities of human LCL
with WR279396, a topical formulation of aminoglycosides that was recently proven
to be efficient and safe for use in humans. METHODOLOGY/PRINCIPAL FINDINGS:
C57BL/6 mice were inoculated in the ear with luciferase transgenic L. major and
then treated with WR279396. The treatment period spanned lesion onset, and the
evolution of clinical signs and bioluminescent parasite loads could be followed
for several months without killing the mice. As judged by clinical healing and a
1.5-3 log parasite load decrease in less than 2 weeks, the 94% efficacy of 10
daily applications of WR279396 in mice was very similar to what had been
previously observed in clinical trials. When WR279396 was applied with an
occlusive dressing, parasitological and clinical efficacy was significantly
increased and no rebound of parasite load was observed. In addition, 5
applications under occlusion were more efficient when done every other day for 10
days than daily for 5 days, showing that length of therapy is a more important
determinant of treatment efficacy than the total dose topically applied.
CONCLUSIONS/SIGNIFICANCE: Occlusion has a significant adjuvant effect on
aminoglycoside ointment therapy of experimental cutaneaous leishmaniasis (CL), a
concept that might apply to other antileishmanial or antimicrobial ointments.
Generated in a laboratory mouse-based model that closely mimics the course of LCL
in humans, our results support a schedule based on discontinuous applications for
a few weeks rather than several daily applications for a few days.
PMID- 18060083
TI - Nifurtimox plus Eflornithine for late-stage sleeping sickness in Uganda: a case
series.
AB - BACKGROUND: We report efficacy and safety outcomes from a prospective case series
of 31 late-stage T.b. gambiense sleeping sickness (Human African Trypanosomiasis,
HAT) patients treated with a combination of nifurtimox and eflornithine (N+E) in
Yumbe, northwest Uganda in 2002-2003, following on a previously reported
terminated trial in nearby Omugo, in which 17 patients received the combination
under the same conditions. METHODOLOGY/PRINCIPAL FINDINGS: Eligible sequential
late-stage patients received 400 mg/Kg/day eflornithine (Ornidyl, Sanofi-Aventis)
for seven days plus 15 mg/Kg/day (20 mg for children <15 years old) nifurtimox
(Lampit, Bayer AG) for ten days. Efficacy (primary outcome) was monitored for 24
months post discharge. Clinical and laboratory adverse events (secondary outcome)
were monitored during treatment. All 31 patients were discharged alive, but two
died post-discharge of non-HAT and non-treatment causes, and one was lost to
follow-up. Efficacy ranged from 90.3% to 100.0% according to analysis approach.
Five patients experienced major adverse events during treatment, and neutropenia
was common (9/31 patients). CONCLUSIONS/SIGNIFICANCE: Combined with the previous
group of 17 trial patients, this case series yields a group of 48 patients
treated with N+E, among whom no deaths judged to be treatment- or HAT-related, no
treatment terminations and no relapses have been noted, a very favourable outcome
in the context of late-stage disease. N+E could be the most promising combination
regimen available for sleeping sickness, and deserves further evaluation.
PMID- 18060084
TI - Functional and structural insights revealed by molecular dynamics simulations of
an essential RNA editing ligase in Trypanosoma brucei.
AB - RNA editing ligase 1 (TbREL1) is required for the survival of both the insect and
bloodstream forms of Trypanosoma brucei, the parasite responsible for the
devastating tropical disease African sleeping sickness. The type of RNA editing
that TbREL1 is involved in is unique to the trypanosomes, and no close human
homolog is known to exist. In addition, the high-resolution crystal structure
revealed several unique features of the active site, making this enzyme a
promising target for structure-based drug design. In this work, two 20 ns
atomistic molecular dynamics (MD) simulations are employed to investigate the
dynamics of TbREL1, both with and without the ATP substrate present. The
flexibility of the active site, dynamics of conserved residues and crystallized
water molecules, and the interactions between TbREL1 and the ATP substrate are
investigated and discussed in the context of TbREL1's function. Differences in
local and global motion upon ATP binding suggest that two peripheral loops,
unique to the trypanosomes, may be involved in interdomain signaling events.
Notably, a significant structural rearrangement of the enzyme's active site
occurs during the apo simulations, opening an additional cavity adjacent to the
ATP binding site that could be exploited in the development of effective
inhibitors directed against this protozoan parasite. Finally, ensemble averaged
electrostatics calculations over the MD simulations reveal a novel putative RNA
binding site, a discovery that has previously eluded scientists. Ultimately, we
use the insights gained through the MD simulations to make several predictions
and recommendations, which we anticipate will help direct future experimental
studies and structure-based drug discovery efforts against this vital enzyme.
PMID- 18060085
TI - Eliminating lymphatic filariasis, onchocerciasis, and schistosomiasis from the
americas: breaking a historical legacy of slavery.
PMID- 18060086
TI - Detection of Schistosoma mansoni eggs in feces through their interaction with
paramagnetic beads in a magnetic field.
AB - BACKGROUND: Diagnosis of intestinal schistosomiasis in low endemic areas is a
problem because often control measures have reduced egg burdens in feces to below
the detection limits of classical coproparasitological methods. Evaluation of
molecular methods is hindered by the absence of an established standard with
maximum sensitivity and specificity. One strategy to optimize method performance,
where eggs are rare events, is to examine large amounts of feces. A novel
diagnostic method for isolation of Schistosoma mansoni eggs in feces, and an
initial evaluation of its performance is reported here. METHODOLOGY/PRINCIPAL
FINDINGS: Known amounts of S. mansoni eggs were seeded into 30 g of normal human
feces and subjected to a sequence of spontaneous sedimentation, sieving, Ritchie
method, incubation and isolation through interaction with paramagnetic beads.
Preliminary tests demonstrated the efficacy of lectins as ligands, but they also
indicated that the paramagnetic beads alone were sufficient to isolate the eggs
under a magnetic field through an unknown mechanism. Eggs were identified by
microscopic inspection, with a sensitivity of 100% at 1.3 eggs per gram of feces
(epg). Sensitivity gradually decreased to 25% at a concentration of 0.1 epg. In a
preliminary application of the new method to the investigation of a recently
established focus in southern Brazil, approximately 3 times more eggs were
detected than with the thick-smear Kato-Katz method. CONCLUSIONS/SIGNIFICANCE:
The novel S. mansoni detection method may significantly improve diagnosis of
infections with low burdens in areas of recent introduction of the parasite,
areas under successful control of transmission, or in infected travelers. It may
also improve the evaluation of new treatments and vaccines.
PMID- 18060087
TI - Cost-effectiveness of blood agar for isolation of mycobacteria.
AB - BACKGROUND: Mycobacterium species are grown using specific media that increase
laboratory cost, thus hampering their diffusion in resource-limited countries.
Preliminary data suggested that versatile blood agar may be also used for
mycobacterial culture. METHODOLOGY: We examined the growth of 41 different
Mycobacterium species on 5% blood agar. Over a 24-month period we analysed
isolation of mycobacteria after parallel inoculation of clinical specimens into
both a reference automated system (BACTEC 9000 MB broth) and 5% blood agar slant
tubes, after NaOH decontamination, and compared the cost of performing 1,000
analyses using these two techniques. CONCLUSIONS: Mycobacterium reference species
cultured on blood agar, with the exception of Mycobacterium ulcerans. Inoculation
of 1,634 specimens yielded 95 Mycobacterium isolates. Blood agar performed
significantly more efficiently than BACTEC 9000 MB broth (94 vs 88 isolates, P =
0.03). Decontamination of Candida albicans in 5 specimens by addition of
amphotericin B in blood agar yielded one more M. tuberculosis isolate that could
not be isolated in BACTEC broth. Uneven distribution of time to culture
positivity for M. tuberculosis had a median (range) of 19+/-5 days using blood
agar and 26+/-6 days using BACTEC 9000 MB broth. Cost for 1,000 analyses in
France was estimated to be of 1,913 euros using the blood agar method and 8,990
euros using the BACTEC 9000 MB method. Blood agar should be regarded as a first
line medium for culturing Mycobacterium species. It saves time, is cost
effective, is more sensitive than, and at least as rapid as the automated method.
This is of particular importance for resource-limited countries in which the
prevalence of tuberculosis is high.
PMID- 18060088
TI - Enhanced Leishmania braziliensis infection following pre-exposure to sandfly
saliva.
AB - BACKGROUND: Sand fly saliva has an array of pharmacological and immunomodulatory
components, and immunity to saliva protects against Leishmania infection. In the
present study, we have studied the immune response against Lutzomyia intermedia
saliva, the main vector of Leishmania braziliensis in Brazil, and the effects of
saliva pre-exposure on L. braziliensis infection employing an intradermal
experimental model. METHODOLOGY/PRINCIPAL FINDINGS: BALB/c mice immunized with L.
intermedia salivary gland sonicate (SGS) developed a saliva-specific antibody
response and a cellular immune response with presence of both IFN-gamma and IL-4.
The inflammatory infiltrate observed in SGS-immunized mice was comprised of
numerous polymorphonuclear and few mononuclear cells. Mice challenged with live
L. braziliensis in the presence of saliva were not protected although lesion
development was delayed. The inoculation site and draining lymph node showed
continuous parasite replication and low IFN-gamma to IL-4 ratio, indicating that
pre-exposure to L. intermedia saliva leads to modulation of the immune response.
Furthermore, in an endemic area of cutaneous leishmaniasis, patients with active
lesions displayed higher levels of anti-L. intermedia saliva antibodies when
compared to individuals with a positive skin test result for Leishmania.
CONCLUSION: These results show that pre-exposure to sand fly saliva plays an
important role in the outcome of cutaneous leishmaniasis, in both mice and
humans. They emphasize possible hurdles in the development of vaccines based on
sand fly saliva and the need to identify and select the individual salivary
candidates instead of using whole salivary mixture that may favor a non
protective response.
PMID- 18060089
TI - Host gene expression profiling of dengue virus infection in cell lines and
patients.
AB - BACKGROUND: Despite the seriousness of dengue-related disease, with an estimated
50-100 million cases of dengue fever and 250,000-500,000 cases of dengue
hemorrhagic fever/dengue shock syndrome each year, a clear understanding of
dengue pathogenesis remains elusive. Because of the lack of a disease model in
animals and the complex immune interaction in dengue infection, the study of host
response and immunopathogenesis is difficult. The development of genomics
technology, microarray and high throughput quantitative PCR have allowed
researchers to study gene expression changes on a much broader scale. We
therefore used this approach to investigate the host response in dengue virus
infected cell lines and in patients developing dengue fever.
METHODOLOGY/PRINCIPAL FINDINGS: Using microarray and high throughput quantitative
PCR method to monitor the host response to dengue viral replication in cell line
infection models and in dengue patient blood samples, we identified
differentially expressed genes along three major pathways; NF-kappaB initiated
immune responses, type I interferon (IFN) and the ubiquitin proteasome pathway.
Among the most highly upregulated genes were the chemokines IP-10 and I-TAC, both
ligands of the CXCR3 receptor. Increased expression of IP-10 and I-TAC in the
peripheral blood of ten patients at the early onset of fever was confirmed by
ELISA. A highly upregulated gene in the IFN pathway, viperin, was overexpressed
in A549 cells resulting in a significant reduction in viral replication. The
upregulation of genes in the ubiquitin-proteasome pathway prompted the testing of
proteasome inhibitors MG-132 and ALLN, both of which reduced viral replication.
CONCLUSION/SIGNIFICANCE: Unbiased gene expression analysis has identified new
host genes associated with dengue infection, which we have validated in
functional studies. We showed that some parts of the host response can be used as
potential biomarkers for the disease while others can be used to control dengue
viral replication, thus representing viable targets for drug therapy.
PMID- 18060090
TI - Substance Abuse Treatment Providers' Referral to Self-Help: Review and Future
Empirical Directions.
AB - As duration and intensity of services decline, the treatment system's success in
engaging substance-using clients in self-help (SH) will increasingly influence
client outcomes. Clinicians play an important role in involving clients with SH,
yet little is known about how referral decisions are made or about the referral
process itself. This paper reviews clinicians' attitudes toward SH and their role
in referring clients to SH, and identifies types of research needed to elucidate
the referral process from both clinicians' and clients' perspectives. Such
research can help enhance the number and outcome of referrals to self-help
groups.
PMID- 18060091
TI - A randomized controlled trial to assess the efficacy of tiotropium in Canadian
patients with chronic obstructive pulmonary disease.
AB - BACKGROUND: Patients with chronic obstructive pulmonary disease (COPD) who smoke
have a greater annual rate of decline in forced expiratory volume in 1 s (FEV(1))
than those patients who have stopped smoking. OBJECTIVES: To assess the effect of
tiotropium on pre-dose (trough) FEV(1) in patients with COPD followed in Canada.
METHODS: A total of 913 patients were randomly assigned to receive either
tiotropium 18 mug once daily (n=608) or placebo (usual care minus inhaled
anticholinergics) (n=305) for 48 weeks in the present randomized, double-blind,
parallel-group study. The effect of tiotropium on measurements of lung function
(FEV(1), FEV(6) and forced vital capacity), symptoms, health-related quality of
life (St George's Respiratory Questionnaire) and exacerbations were examined.
RESULTS: Tiotropium improved trough FEV(1) in both current and ex-smokers
compared with placebo. Baseline FEV(1) in smokers and ex-smokers was 1.03 L and
0.93 L, respectively (P<0.001). At week 48, the mean difference between the
tiotropium and placebo groups was 0.14+/-0.04 L (P<0.001) in the smoker group and
0.08+/-0.02 L (P<0.0001) in the ex-smoker group. Tiotropium also significantly
improved trough forced vital capacity and FEV(6) compared with placebo throughout
the treatment period (P<0.05, for all). Furthermore, tiotropium significantly
improved the St George's Respiratory Questionnaire total score compared with
placebo at week 48 (40.9 versus 43.7 units, P<0.005). CONCLUSIONS: Compared with
the placebo group, tiotropium provides sustained improvements in lung function in
patients with COPD, with improvements for smokers and ex-smokers.
PMID- 18060092
TI - Comparative efficacy of two doses of nebulized colistimethate in the eradication
of Pseudomonas aeruginosa in children with cystic fibrosis.
AB - BACKGROUND: Cystic fibrosis (CF) affects the respiratory and digestive systems.
It evolves toward deterioration of pulmonary function through colonization with
Pseudomonas aeruginosa. There is no consensus with respect to its eradication.
Nebulized colistimethate is used for eradication treatment, but the optimal dose
and duration is yet to be determined. OBJECTIVES: To compare the efficacy of two
doses of nebulized colistimethate (30 mg versus 75 mg twice daily) for the
eradication of P aeruginosa in children with CF and intermittent colonization.
METHODS: A cohort study with both historical (30 mg) and prospective (75 mg) arms
was conducted from 1999 to 2003. Medical records were used to collect data.
RESULTS: Eighty-one patients were recruited in the retrospective group, for a
total of 111 treatment courses. Twenty patients were recruited in the prospective
group, for a total of 20 events. There was no statistically significant
difference in the rate of eradication of P aeruginosa at days 28 and 90, neither
when comparing the doses of colistimethate nor duration of treatment. There was a
statistically significant difference (P=0.004) between days 1 and 90 in all
analyzed subgroups (regardless of dose or duration of treatment) for forced vital
capacity only. In the group of patients in whom eradication was achieved at day
28 (after receiving a three-week treatment course of colistimethate), 50% of
patients developed a new infection 5.75 months later, on average, regardless of
the dose administered. In the group of patients who achieved eradication at day
90 (after receiving a 15-week treatment course of colistimethate), 50% of the 14
patients developed a new infection after an average period of 7.3 months
(P=0.28). CONCLUSIONS: There is no difference in the efficacy between a 30 mg
dose and a 75 mg dose of colistimethate for P aeruginosa eradication in children
with CF and intermittent colonization.
PMID- 18060093
TI - Playing cards on asthma management: a new interactive method for knowledge
transfer to primary care physicians.
AB - OBJECTIVES: To describe an interactive playing card workshop in the communication
of asthma guidelines recommendations, and to assess the initial evaluation of
this educational tool by family physicians. DESIGN: Family physicians were
invited to participate in the workshop by advertisements or personal contacts.
Each physician completed a standardized questionnaire on his or her perception of
the rules, content and properties of the card game. SETTING: A university-based
continuing medical education initiative. PARTICIPANTS: Primary care physicians.
MAIN OUTCOME MEASURES: Physicians' evaluation of the rules, content and
usefulness of the program. RESULTS: The game allowed the communication of
relevant asthma-related content, as well as experimentation with a different
learning format. It also stimulated interaction in a climate of friendly
competition. Participating physicians considered the method to be an innovative
tool that facilitated reflection, interaction and learning. It generated relevant
discussions on how to apply guideline recommendations to current asthma care.
CONCLUSIONS: This new, interactive, educational intervention, integrating play
and scientific components, was well received by participants. This method may be
of value to help integrate current guidelines into current practice, thus
facilitating knowledge transfer to caregivers.
PMID- 18060094
TI - Mortality of elderly patients in Ontario after hospital admission for chronic
obstructive pulmonary disease.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is associated with
significant mortality. It is currently the fourth leading cause of death in
Canada and the world. OBJECTIVES: To describe the mortality of elderly patients
in Ontario after hospital admission for COPD. METHODS: A retrospective cohort
study was conducted using the Discharge Abstract Database from the Canadian
Institute for Health Information. Patients aged 65 years and older who were
admitted to hospital between 2001 and 2004 with primary discharge diagnoses
labelled with International Classification of Diseases, Ninth Revision codes 491,
492 and 496 were included in the study. RESULTS: Mortality rates were 8.81,
12.10, 14.53 and 27.72 per 100 COPD hospital admissions at 30, 60, 90 and 365
days after hospital discharge, respectively. Mortality also increased with age,
and men had higher rates than women. No significant differences in mortality
rates were found between different socioeconomic groups (P>0.05). Patients with
shared care of a family physician or general practitioner and a specialist had
significantly lower mortality rates than the overall rate (P<0.05), and their
rates were approximately one-half the rate of patients with only one physician.
CONCLUSIONS: Hospitalization with COPD is associated with significant mortality.
Patients who were cared for by both a family physician or general practitioner
and a specialist had significantly lower mortality rates than those cared for by
only one physician, suggesting that continuous and coordinated care results in
better survival.
PMID- 18060095
TI - Two sisters with idiopathic pulmonary hemosiderosis.
AB - Idiopathic pulmonary hemosiderosis (IPH) is a rare cause of diffuse alveolar
hemorrhage with unknown etiology. In the present report, the presentations of two
sisters are described: one sister had IPH, eosinophilia and a high serum
immunoglobulin E (IgE) level; and the other had IPH, pneumothorax, eosinophilia
and a high serum IgE level. Both cases had quite unusual presentations. The first
patient was 23 years of age, and had suffered from dry cough and progressive
dyspnea for four years. Her hemoglobin level was 60 g/L, total serum IgE level
was 900 U/mL and eosinophilia was 9%. Her chest radiography revealed diffuse
infiltration. She died due to respiratory failure. The second patient was 18
years of age. She had also suffered from dry cough and gradually increasing
dyspnea for two years. She had partial pneumothorax in the right lung and diffuse
infiltration in other pulmonary fields on chest radiography. Her hemoglobin level
was 99 g/L, total serum IgE level was 1200 U/mL and eosinophilia was 8%. IPH was
diagnosed by open lung biopsy. All these findings suggested that familial or
allergic factors, as well as immunological factors, might have contributed to the
etiology of IPH.
PMID- 18060097
TI - Missed opportunities for the secondary prevention of cardiovascular disease in
Canada.
AB - BACKGROUND: Strong evidence supports the use of antithrombotic agents
(antiplatelets or oral anticoagulants), statins and angiotensin-converting enzyme
inhibitors in patients with atherosclerotic cardiovascular disease; beta-blockers
are additionally indicated in patients with coronary artery disease. OBJECTIVES:
The investigators sought to determine the extent to which guideline-recommended
treatments and target goals are adopted in ambulatory patients with
cardiovascular disease in Canada. METHODS: Two large, prospective, community
based registries (the Vascular Protection Registry and the Guideline Oriented
Approach to Lipid Lowering Registry) enrolled 9809 outpatients with coronary
artery disease, cerebrovascular disease, peripheral vascular disease or multiple
cardiovascular risk factors from primary care settings in nine provinces across
Canada between 2001 and 2004. This analysis focused primarily on patients with
cardiovascular disease (n=6296). RESULTS: At baseline, antithrombotics, statins
and angiotensin-converting enzyme inhibitors were used in 92%, 80% and 57% of
patients, respectively; beta-blockers were used in 59% of patients with coronary
artery disease. The dosing of most drug therapies was suboptimal compared with
guideline-recommended dosing derived from clinical trials. Treatment goals for
cardiovascular risk factors were suboptimally attained: low-density lipoprotein
cholesterol in 50% of patients, total to high-density lipoprotein cholesterol
ratio in 51% of patients, systolic and diastolic blood pressure in 58% and 78% of
patients, respectively, and waist circumference and body mass index in 45% and
19%, respectively. CONCLUSIONS: These data suggest specific opportunities for
improving the care of patients with cardiovascular disease in Canada. The focus
must now shift from awareness of treatment gaps to implementation of effective
solutions.
PMID- 18060098
TI - Cocaine-related acute aortic dissection: patient demographics and clinical
outcomes.
AB - BACKGROUND: To compare the demographics, inpatient mortality and short-term
survival following hospital discharge between cocaine-using and non-cocaine-using
patients presenting with acute aortic dissection. METHODS: Retrospective analysis
of 46 consecutive patients admitted with the diagnosis of acute aortic dissection
at the Mount Sinai Hospital (Chicago, USA) between 1996 and 2005. Among these 46
patients, cocaine use was temporally related to the presenting symptom in 13
patients (28%, group 1). Patients who were not cocaine users were grouped into
group 2 (33 patients [72%]). RESULTS: Patients in group 1 were younger than those
in group 2 (mean age 38+/-9 years versus 63+/-17 years, P=0.001), more likely to
be smokers (13 of 13 patients [100%] versus 15 of 33 patients [45%], P=0.001) and
had a higher prevalence of accelerated hypertension (mean blood pressure 210/130
mmHg) compared with group 2 (10 of 13 patients [77%] versus 11 of 33 patients
[33%]) (P=0.01). Group 1 patients had a higher prevalence of type B dissection
than group 2 (nine of 13 patients [69%] versus one of 33 patients [3%]). After
hospital discharge, eight of 13 patients (62%) in the cocaine group continued to
use cocaine. Mortality following hospital discharge was significantly higher in
cocaine users (nine of 13 patients [69%]) compared with the non-cocaine users
(four of 33 patients [12%], P=0.01). Recurrent dissection was the cause of death
in five of the 13 deaths (42%) in the cocaine group. CONCLUSIONS: Patients
presenting with acute aortic dissection temporally related to cocaine use are
more likely to be younger, smokers, have higher prevalence of hypertensive
crises, more likely to have type B aortic dissection and may have a higher
mortality following hospital discharge, possibly due to continued cocaine use and
recurrent aortic dissection.
PMID- 18060099
TI - Heart rate recovery--a potential marker of clinical outcomes in heart failure
patients receiving beta-blocker therapy.
AB - BACKGROUND: Heart rate recovery (HRR) within the first few minutes of graded
exercise has been associated with impaired clinical outcomes in patients being
evaluated for coronary artery disease. HRR is abnormal in patients with heart
failure (HF), but has not been associated with clinical outcomes in these
patients. The objective of the present study was to determine whether HRR
following cardiopulmonary exercise testing (CPET) correlates with peak oxygen
consumption (VO(2)), and whether it impacts clinical outcomes, including HF
hospitalizations and total mortality, or the need for cardiac transplantation.
METHODS: CPET was performed in 78 patients referred to the Montreal Heart
Institute (Montreal, Quebec) with congestive HF between January 2000 and December
2002. All patients had New York Heart Association class II or III HF with a left
ventricular ejection fraction of 45% or lower. Mean (+/- SD) age was 53+/-11
years and left ventricular ejection fraction was 27+/-9%. Forty-four per cent had
ischemic cardiomyopathy, 88% received beta-blockers and 79% received angiotensin
converting enzyme inhibitors. HRR was defined as the difference from peak
exercise HR to HR measured at specific time intervals. HRR was calculated 30 s,
60 s, 90 s and 120 s after exercise. RESULTS: Mean peak VO(2) was 18.0+/-5.3
mL/kg/min, resting HR was 74+/-13 beats/min and peak HR was 119+/-22 beats/min.
HRR measured was 10+/-9 beats/min after 30 s, 20+/-12 beats/min after 60 s, 25+/
15 beats/min after 90 s and 30+/-13 beats/min after 120 s. At 90 s, patients with
an HRR below 24 beats/min were more likely to have an HF hospitalization at five
year follow-up (eight hospitalizations [22.2%] versus two hospitalizations
[2.7%]; P=0.0134). There was a correlation between peak VO(2) and HRR 90 s and
120 s after completion of the exercise test (r=0.40 after 90 s, P=0.001, and
r=0.41 after 120 s, P=0.008). CONCLUSIONS: In patients with HF, blunted HRR 90 s
and 120 s after CPET correlate with peak VO(2) and are associated with increased
risk of worsening HF. HRR is easily measured and a useful marker for morbidity in
patients with HF.
PMID- 18060100
TI - Potential shift from coronary bypass surgery to percutaneous coronary
intervention for multivessel disease and its economic impact in the drug-eluting
stent era.
AB - BACKGROUND: Drug-eluting stents (DES) may promote percutaneous coronary
intervention (PCI) procedures in patients traditionally referred for coronary
artery bypass graft (CABG) surgery and may save money. OBJECTIVES: The purpose of
the present study was to quantify the potential shift from CABG surgery to
multivessel PCI in the DES era and to model the economic consequences. METHODS:
Based on predefined criteria, the feasibility of PCI was evaluated in patients
with multivessel coronary artery disease who underwent CABG surgery before the
availability of DES at the Centre Hospitalier de l'Universite de Montreal's Notre
Dame Hospital (Montreal, Quebec). Modelling was used to evaluate the potential
cost savings using multivessel PCI instead of CABG surgery. Equal one-year
outcomes in both groups were assumed, with the exception of a 10% repeat
revascularization (RR) rate in the DES group and a 4% RR rate in the CABG group.
The impact of those assumptions was evaluated using 1000 Monte Carlo simulations.
RESULTS: The authors retrospectively evaluated that, of 289 patients who
underwent CABG without concomitant valve surgery between January and December
2003, only 22 patients (8%) were good candidates for multivessel DES
implantation. The procedures would have involved an average of 3.6 DES per
patient. The average cost per revascularization procedure was $14,402 with
surgery and $11,220 for multivessel DES implantation (using $2,200 DES), leading
to a savings of $3,182 per patient. However, after including RR procedures, PCI
would only have been associated with savings of $812 per surgery avoided. Monte
Carlo analysis revealed that surgery may be less expensive than PCI in 36% of
patients. CONCLUSIONS: Most patients who underwent CABG surgery in 2003 were
retrospectively judged to be ineligible for multivessel PCI with DES. In the rare
eligible patient, multivessel PCI with DES is not expected to produce savings to
health care costs in Canada unless the DES purchase cost continues to decrease.
PMID- 18060102
TI - Acute pulmonary edema following pericardiocentesis for cardiac tamponade.
AB - Pericardiocentesis for therapeutic drainage of pericardial fluid may be
associated with a variety of complications, including laceration of the right
ventricle or coronary artery, arrhythmias, viscus perforation, hypotension,
pneumothorax, adult respiratory distress syndrome and death. Hemodynamic
derangements such as acute left ventricular failure, pulmonary edema and
cardiogenic shock are infrequent and, hence, less well recognized. The present
report describes a patient with pericardial effusion and tamponade who developed
cardiogenic shock requiring inotropic support shortly following uncomplicated
ultrasound-guided pericardial drainage.
PMID- 18060101
TI - Arterialization of a vein graft promotes cell cycle progression through Akt and
p38 mitogen-activated protein kinase pathways: impact of the preparation
procedure.
AB - BACKGROUND: Vein arterialization following bypass surgery often leads to graft
occlusion, but the underlying cellular mechanisms have been poorly studied.
OBJECTIVES: Cell cycle progression and the activation of proliferation signalling
were compared in arterialized grafts prepared either according to the
conventional procedure or using pharmacological relaxation with the native vein.
METHODS: Using the porcine carotid-jugular bilateral interposition graft model on
one side, a segment of porcine jugular vein was prepared for grafting using the
conventional procedure, with pressure distention at 300 mmHg; the segment grafted
on the other side was treated with a combination of pharmacological vasodilators.
Both veins were grafted into the carotid artery for two weeks. RESULTS: On the
immunolabelling of proliferation cell nuclear antigen, a greater number of
proliferating cells was found in the conventionally prepared grafts compared with
pharmacologically prepared grafts. Cyclin D1 expression and phosphorylation of
retinoblastoma increased after implantation, coinciding with nuclear accumulation
of beta-catenin, activation of the Akt and mitogen-activated protein kinase
cascades, and upregulated phosphatase and tensin homologue phosphorylation.
Replacement of distention with pharmacological relaxation reduced the increase in
cyclin D1 expression, phosphorylation of retinoblastoma, Akt-Thr(308), glycogen
synthase kinase 3 beta and p38, but not extracellular signal-regulated kinases.
This technique preserved the active phosphatase and tensin homologue, as well as
the expression of cyclin-dependent kinase inhibitor p21(Cip1), while elevating
the expression of p27(Kip1). CONCLUSIONS: It was concluded that two-week arterial
implantation stimulates proliferation signalling and promotes the cell cycle in
vein grafts. Replacement of the conventional preparation procedures with
pharmacological vasorelaxation restricts the activation of proliferation and cell
cycle progression, and can be beneficial for improving vein graft patency.
PMID- 18060103
TI - Pheochromocytoma associated with apical-sparing left ventricular dysfunction due
to acute afterload mismatch: a novel clinical entity?
AB - The case of a patient with pheochromocytoma who presented with an unusual pattern
of left ventricular dysfunction not previously described is reported. Although a
triad of headaches, sweating attacks and palpitations is generally recognized as
the classic mode of presentation of this pathology, this patient first presented
with shock and pulmonary edema. Moreover, both echocardiography and angiography
showed severe basal and mid-ventricular left ventricular dysfunction but
preserved apical contractility. This hitherto unidentified finding was
interpreted as being due to an afterload mismatch, rather than to an intrinsic
myocardial injury, as is usually reported in such cases.
PMID- 18060104
TI - Surgical strategies for severe calcification of the aorta (porcelain aorta) in
two patients with homozygous familial hypercholesterolemia.
AB - Homozygous familial hypercholesterolemia (HzFH) is a rare genetic defect caused
predominantly by mutations at the low-density lipoprotein receptor. Until recent
advances in the management of this complex disorder, patients affected by HzFH
rarely survived beyond 30 years of age. Two patients with HzFH who survived to
adulthood and developed cardiovascular complications requiring surgery are
reported. In these patients, a porcelain aorta complicated surgical management.
Lipid profile, mutational analysis and pathological assessment of the aorta were
performed in two patients referred for cardiac surgery. The first patient was a
46-year-old man with a history of coronary artery bypass grafting (CABG) and
recurrent severe angina who, because of a heavily calcified ascending aorta,
required a complex repeat CABG. The second patient was a 42-year-old woman who
underwent CAGB at 28 years of age and presented 13 years later with aortic
stenosis. The extensive calcifications of the whole aortic root required
performance of a modified Cabrol procedure. A porcelain aorta appears to be a
feature of HzFH. This has an important impact on surgical planning and management
and on possible pathophysiological processes related to the cardiovascular
complications of HzFH.
PMID- 18060105
TI - Hypertrophic cardiomyopathy involving the right ventricular apex.
PMID- 18060106
TI - Acute myocardial infarction caused by late stent thrombosis associated with
progressive incomplete stent apposition.
PMID- 18060107
TI - Design of organometallic group IV heteroallylic complexes and their catalytic
properties for polymerizations and olefin centered transformations.
AB - The use of metallocenes in many stoichiometric and catalytic processes has been
the impetus for the development of new organometallic complexes, especially those
containing early transition metals. The formation of coordinative unsaturated
complexes using allylic, benzamidinate and aminopyridine families is presented.
The synthesis and structural parameters of the new complexes, their activation
and use in the polymerization of alpha-olefins and dienes, in the dehydrogenative
coupling of silanes and in the hydroamination reactions comprise the objectives
of this review.
PMID- 18060108
TI - Templated assembly of a mu6-CO3 2- dodecanuclear lanthanum dibenzoylmethanide
hydroxido cluster with concomitant formation of phenylglyoxylate.
AB - Reaction of LaCl(3) and dibenzoylmethane (Ph(2)acacH) in methanol with an excess
of triethylamine resulted in the unexpected formation of a dodecanuclear hydroxo
bridged La cluster templated by CO(3)(2-) and phenylglyoxylate (Phgly), as
determined by X-ray crystallography.
PMID- 18060109
TI - Bis(di-2-pyridyl-methanediol)copper(II) dihydrogentrifluoride: a structural and
spectroscopic study of the H2F3 - anion in a complex salt.
AB - The H(2)F(3)(-) anion in mononuclear [Cu(dpd)(2)][(H(2)F(3))(2)] (dpd = di-2
pyridyl-methanediol) exists as a HF(2)(-)/HF adduct as evidenced by infrared
spectroscopy and X-ray crystallography.
PMID- 18060110
TI - Dendronized scorpionate complexes of molybdenum in low and high oxidation states.
AB - Tridentate (L(3)) and bidentate (L(2)) poly(pyrazolyl)methane ligands (Gn
dend)OCH(2)C(pz)(3) (1-4) and (Gn-dend)CH(3,5-Me(2)pz)(2) (pz = pyrazol-1-yl)
have been used to synthesize the molybdenum(0) complexes [Mo(CO)(3)(L(3))] (G0
G3, 5-8), [Mo(CO)(4)(L(2))] (G0-G1, 13-14), and [Mo(CO)(3)(NCMe)(L(2))] (G0, 15),
and the molybdenum(VI) complexes [MoCl(2)O(2)(L(2))] (9-12). The G0-G3 prefixes
represent the generation of poly(aryl ether) dendrons in which the metal
complexes are embedded. The molecular structures of compounds 13 and 15 have been
determined by X-ray diffraction studies and the hydrodynamic radii of tricarbonyl
complexes 5-8 calculated by diffusion-ordered NMR spectroscopy (DOSY).
Molybdenum(VI) compounds 9-12 have also been evaluated as catalysts for olefin
epoxidation, showing comparable but inferior performances than ligand-free
MoCl(2)O(2), probably because of the labile coordination of L(2).
PMID- 18060111
TI - Residual and exploitable fluorescence in micellar self-assembled ON-OFF sensors
for copper(II).
AB - A set of new ligands, L2H(2)-L5H(2), containing the 1,4,8,11-tetraaza-5,7-dione
framework has been prepared, The ligands feature lipophilic substituents either
on the carbon atom in the 6 position or on the amino groups, or on both. The
solution behaviour of the ligands when included in TritonX-100 micelles has been
investigated by means of potentiometric titrations and protonation and
complexation constants for the Cu(2+) cation have been determined in micellar
medium. Micellar assemblies containing the ligands and pyrene have been prepared,
and coupled pH-metric and fluorimetric titrations allowed the determination of
the response of the systems as ON-OFF fluorescent sensors for Cu(2+). A
correlation between the effective lipophilicity of the ligand and the residual
fluorescence (i.e. the fluorescence of the OFF state) was observed, and with the
more lipophilic ligand, L3H(2), we obtained a residual fluorescence as low as 8%,
with a significant improvement with respect to other published systems. On the
other hand, introduction of functionalities on the amino groups of 1,4,8,11
tetraaza-5,7-dione brings the drawback of a small but significant decrease of the
exploitable fluorescence, i.e. the fluorescence of the system in the absence of
added Cu(2+), at the pH value suitable for full metal complexation.
PMID- 18060112
TI - Oxidative cleavage of tetraaryltetraphosphane-1,4-diides by nickel(II) and
palladium(II): formation of unusual Ni(0) and Pd(0) diaryldiphosphene complexes.
AB - [Na(2)(thf)(4)(P(4)Mes(4))] (1) (Mes = 2,4,6-Me(3)C(6)H(2)) reacts with one
equivalent of [NiCl(2)(PEt(3))(2)], [NiCl(2)(PMe(2)Ph)(2)],
[PdCl(2)(PBu(n)(3))(2)] or [PdCl(2)(PMe(2)Ph)(2)] to give the corresponding
nickel(0) and palladium(0) dimesityldiphosphene complexes [Ni(eta(2)
P(2)Mes(2))(PEt(3))(2)] (2), [Ni(eta(2)-P(2)Mes(2))(PMe(2)Ph)(2)] (3), [Pd(eta(2)
P(2)Mes(2))(PBu(n)(3))(2)] (4) and [Pd(eta(2)-P(2)Mes(2))(PMe(2)Ph)(2)] (5),
respectively, via a redox reaction. The molecular structures of the diphosphene
complexes 2-5 are described.
PMID- 18060113
TI - Reaction and subsequent transformation of anionic acetylide-carbene complexes
using the Ph3PAu+ fragment.
AB - The metallated Fischer-type carbene complexes (CO)(5)M=C(NMe(2))C[triple bond]CLi
(M = Cr, W) react with Ph(3)PAuNO(3) to form the beta-substituted products
(CO)(5)M=C(NMe(2))C[triple bond]CAuPPh(3). In solution these products isomerise
by formal metal fragment exchange to furnish Ph(3)PAuC(=NMe(2))C[triple
bond]CM(CO)(5). A preliminary kinetic investigation by means of (1)H-NMR
spectroscopy indicates an unusual and complicated reaction mechanism. Model DFT
calculations predict small energy differences between the products before and
after the metal fragment exchange.
PMID- 18060114
TI - Reactivity and stability of platinum(II) formyl complexes based on PCP-type
ligands. The significance of sterics.
AB - The synthesis and characterization of several Pt(ii) complexes, including formyl
complexes, based on the PCP-type pincer ligands C(6)H(4)[CH(2)P(iPr)(2)](2)
((iPr)PCP) and C(6)H(4)[CH(2)P(tBu)(2)](2) ((tBu)PCP) are described. The chloride
complex ((iPr)PCP)PtCl (6) and the unsaturated cationic complexes [(PCP)Pt](+)X(
) (X = OTf(-), BF(4)(-)) (1, 7), based on both PCP ligands, were prepared and the
latter reacted with carbon monoxide to give the corresponding cationic carbonyl
complexes [(PCP)Pt(CO)](+)X(-) (X = OTf(-), BF(4)(-)) (2, 8a). Hydride
nucleophilic attack on both carbonyl complexes resulted in rare neutral platinum
formyl complexes ((iPr)PCP)Pt(CHO) (3) and ((tBu)PCP)Pt(CHO) (9). Complex 3
undergoes decarbonylation to the corresponding hydride complex within hours at
room temperature, while the bulkier complex 9 is more stable and undergoes
complete decarbonylation only after 3-4 d. This observation demonstrates the very
significant steric effect of the ligand on stabilization of the corresponding
formyl complexes. Reaction of complex 9 with triflic acid resulted in the
carbonyl complex [((tBu)PCP)Pt(CO)](+) OTf(-) (8b) with liberation of H(2), an
unusual transformation for a metal formyl. Reaction with methyl triflate resulted
in the Fischer carbene-type complex, the methoxy-methylidene
[((tBu)PCP)Pt(CHOCH(3))](+)OTf(-) (11). The X-ray structures of complexes 2, 6,
8a and 11 were determined.
PMID- 18060115
TI - Dinuclear PCP pincer complexes from Lewis acidic [Pd(OTf)(PCP)] and basic [Pd(4
Spy)(PCP)] (OTf = triflate; 4-Spy = 4-pyridinethiolate; PCP = (
)CH(CH(2)CH(2)PPh(2))(2)).
AB - The Lewis acidic pincer with a labile triflate ligand, viz. [Pd(OTf)(PCP)] (PCP =
(-)CH(CH(2)CH(2)PPh(2))(2)) was prepared from [PdCl(PCP)] with AgOTf. It reacts
readily with neutral bidentate ligands [L = 4,4'-bipyridine (4,4'-bpy) and 1,1'
bis(diphenylphosphino)ferrocene (dppf)] to give dinuclear PCP pincers
[{Pd(PCP)}(2)(micro-L)][OTf](2) (L = 4,4'-bpy, 2; dppf,3). [PdCl(PCP)] also
reacts with 4-mercaptopyridine in the presence of KOH to give a Lewis basic
pincer with a free pyridine functional group [Pd(4-Spy)(PCP)]4. Its metalloligand
character is exemplified by the isolation of an asymmetric dinuclear double
pincer complex [{Pd(PCP)}(2)(micro-4-Spy)][PF(6)] 6 bridged by an ambidentate
pyridinethiolato ligand. Complexes 1, 2, 3, 4 and 6 have been characterized by
single-crystal X-ray diffraction analyses.
PMID- 18060116
TI - The first 1D twofold interpenetrating metal-organic network generated by 1D
triple helical chains with nanosized cages.
AB - Reaction of AgPF(6) with the asymmetric ligand 1,6-dihydro-2-methyl-6-oxo-(3,4'
bipyridine)-5-carbonitrile (1), afforded a significant silver coordination
polymer {[Ag(2)(1)(3)](2).(CH(3)OH)(3).(PF(6))(4)}(n) (2) with unique 1D twofold
interpenetrating metal-organic frameworks constructed by 1D triple helical chains
with nanosized cages hosting counterions as guests. This compound exhibits high
thermal stability and blue-shift emission with large intensity enhancement
compared with that of the free ligand.
PMID- 18060117
TI - Methylated cyclodextrins: an efficient protective agent in water for zerovalent
ruthenium nanoparticles and a supramolecular shuttle in alkene and arene
hydrogenation reactions.
AB - Zerovalent ruthenium(0) nanoparticles in the size range of 2.5 nm were easily
prepared by chemical reduction of ruthenium salt with an excess amount of sodium
borohydride and were efficiently stabilized by methylated cyclodextrins. The
optimization of the catalytic system has been carried out in terms of stability
and catalytic activity, considering the hydrogenation of olefinic compounds under
biphasic liquid-liquid conditions. Efficient and controlled chemoselectivities
were obtained in the hydrogenation of arene derivatives by the relevant choice of
cavity and methylation degree of the cyclodextrins. Finally, the hydrogenation of
alpha- and beta-pinenes leads to the major formation of cis-pinanes, interesting
synthons for fine chemistry, with high diastereoisomeric excesses.
PMID- 18060118
TI - Highly selective metal mediated ortho-alkylation of phenol. First platinum
containing organometallic chromane analogues.
AB - We were able, for the first time, to synthesize and characterize Pt derivatives
with a structural shape similar to vitamin E, having a metalla-chromane core. The
formation reaction mechanism includes an unexpected highly selective ortho
aromatic electrophilic substitution on phenol, operated by [PtCl(eta(1)
C(2)H(4)OR)(N-N)], R = Me or Ph, and a final cyclization step. The X-ray
structure of one of the new metalla-chromane complexes [Pt(EtPh)(phen)],1a, (EtPh
= 2-(ethan-2'-yl-kC(1))-1-phenolato-k0(1), phen = 1,10-phenanthroline) is
reported. Cytotoxicity and Pt uptake measurements, performed on HeLa cancer
cells, show an interesting structure-activity correlation for the new metalla
chromane analogues 1a and [Pt(MeOEtPh)(phen)], 1b, (MeOEtPh = 2-(ethan-2'-yl
kC(1))-4-(methoxy)-1-phenolato-k0(1)), being the structurally closest to vitamin
E and also the most active.
PMID- 18060119
TI - Two-photon absorption and photoluminescence of europium based emissive probes for
bioactive systems.
AB - Observation of two-photon excitation (760 nm) and emission of two responsive
water soluble europium complexes is reported with cross-sections of up to 2 GM.
Two-photon excitation spectra have also been measured, acquisition being achieved
by the use of a cavity-dumped mode locked Ti-sapphire laser. Time-gated detection
is used to differentiate the ligand fluorescence and metal centred emission in
these europium complexes.
PMID- 18060120
TI - Metal mediated synthesis of substituted cyclooctatetraenes.
AB - Substituted cyclooctatetraenes are a class of interesting and important compounds
both theoretically and synthetically. Since Reppe first discovered the Ni
catalyzed tetramerization of ethyne affording cyclooctatetraene in 1948,
transition metal mediated synthesis of this type of compounds has become a
primary methodology. In this Feature Article, based on our own recent results and
other groups' related reports, we describe major achievements on transition metal
mediated or catalyzed synthetic methods for substituted cyclooctatetraenes, with
focus on reaction patterns, mechanisms, and structural diversity of products.
PMID- 18060121
TI - Catalytic hydrogenation of polar organic functionalities based on Ru-mediated
heterolytic dihydrogen cleavage.
AB - This article highlights Ru complexes, which effect catalytic hydrogenation of
polar organic functionalities containing C-O bonds other than aldehydes or
ketones. The unique ability of Ru complexes to undergo heterolytic dihydrogen
cleavage seems to play a key role in these catalyses.
PMID- 18060122
TI - Construction of stereodefined 1,1,2,2-tetrasubstituted cyclopropanes by acid
catalyzed reaction of aryldiazoacetates and alpha-substituted acroleins.
AB - Michael-initiated ring closure of aryldiazoacetates and alpha-substituted
acroleins under acid catalysis offers a unique opportunity for the
stereoselective formation of various tetrasubstituted cyclopropanes.
PMID- 18060123
TI - Rapid and controllable covalent functionalization of single-walled carbon
nanotubes at room temperature.
AB - We report a rapid and efficient procedure to functionalize SWNT where free
radicals generated at room temperature by a redox reaction between reduced SWNT
and diacyl peroxide derivatives were covalently attached to the SWNT wall.
PMID- 18060124
TI - Chlorodifluoromethyl phenyl sulfone: a novel non-ozone-depleting substance-based
difluorocarbene reagent for O- and N-difluoromethylations.
AB - Chlorodifluoromethyl phenyl sulfone, a previously unknown compound that can be
readily prepared from non-ODS-based precursors, was found to act as a robust
difluorocarbene reagent for O- and N-difluoromethylations.
PMID- 18060125
TI - The N-donor stabilised cyclotriphosphazene hexacation [P3N3(DMAP)6]6+.
AB - The cyclotriphosphazene P(3)N(3)Cl(6) reacts with six equivalents of DMAP (4
(dimethylamino)pyridine) in superheated chloroform to form crystals of
composition [P(3)N(3)(DMAP)(6)]Cl(6).19CHCl(3) comprising [P(3)N(3)(DMAP)(6)](6+)
ions, which host five chloride ions in basket-type cavities on either side of the
ring and at equatorial positions via tetradentate ortho-H-donor arrangements.
PMID- 18060126
TI - Enantioselective organocatalytic substitution of alpha-cyanoacetates on imidoyl
chlorides--synthesis of optically active ketimines.
AB - The enantioselective substitution of alpha-cyanoacetates on imidoyl chlorides
under phase-transfer catalytic conditions is presented; a simple quinidine
derived phase-transfer catalyst gives access to the products, highly substituted
ketimines, in generally good yields and up to 90% ee.
PMID- 18060127
TI - Picosecond infrared probing of the vibrational spectra of transients formed upon
UV excitation of stacked G-tetrad structures.
AB - The photophysical properties of stacked G-tetrads in diverse systems, including
concentrated solutions of 5'-guanosine monophosphate (5'-GMP), polyguanylic acid
(poly(G)) and the G-rich oligodeoxynucleotide sequence characteristic of human
telomeric DNA, are probed by ps-TRIR and compared to those of the monomeric 5'
GMP.
PMID- 18060128
TI - Large spin, magnetically anisotropic, octametallic vanadium(iii) clusters with
strong ferromagnetic coupling.
AB - Syntheses, structural and magnetic and EPR data are reported for two octametallic
V(III) clusters with anisotropic S = 4 ground states arising from strong
ferromagnetic exchange interactions.
PMID- 18060129
TI - Determination of the attenuation factor in fluorene-based molecular wires.
AB - Fluorene-based bridges exhibit a molecular wire-like behaviour in C(60)-wire
exTTF systems with a very low attenuation factor (beta = 0.09 A(-1)).
PMID- 18060130
TI - Versatile PEG-derivatized phosphine oxide ligands for water-dispersible metal
oxide nanocrystals.
AB - We report the simple synthesis of poly(ethylene glycol)(PEG)-derivatized
phosphine oxide ligands for water-dispersible metal oxide nanocrystals.
PMID- 18060131
TI - A photochromic porphyrin-perinaphthothioindigo conjugate and its two-photon
absorption properties.
AB - A porphyrin-perinaphthothioindigo conjugate having two-photon absorption cross
sections of approximately 2000 GM and approximately 700 GM for trans- and cis
isomers, respectively, was synthesized and exhibited clear photochromic behavior
upon one-photon and two-photon excitation.
PMID- 18060132
TI - Reversible switching of substrate activity of poly-N-isopropylacrylamide peptide
conjugates.
AB - The activity of smart polymer peptide conjugates towards chymotrypsin catalyzed
hydrolysis was reversibly switched on and off using temperature as the trigger.
PMID- 18060133
TI - Single-walled carbon nanotubes binding to human telomeric i-motif DNA:
significant acceleration of S1 nuclease cleavage rate.
AB - Single-walled carbon nanotubes (SWNTs) binding to human telomeric i-motif DNA can
significantly accelerate S1 nuclease cleavage rate by increasing the enzyme
turnover number.
PMID- 18060135
TI - Selective gas sorption property of an interdigitated 3-D metal-organic framework
with 1-D channels.
AB - An interdigitated 3-D metal-organic framework, [Cd(3)(OH)(2)L(4)(H(2)O)(2)], with
1-D channels was prepared using 4-aminophenyl-1H-tetrazole (HL) and Cd(II) ions,
where the host framework shows selective gas sorption behavior that is based on
the different nature of the interactions between the gas and the framework rather
than on the size-exclusion effect of the micropores.
PMID- 18060134
TI - Bowl-shaped Cu(I) metallamacrocyclic ethylene and carbonyl adducts as structural
analogues of organic calixarenes.
AB - Three novel Cu(I) metallacalixarenes with C(2)H(4) and CO legs, in which an anion
is accommodated in the inside cavity, were self-assembled by anion templation and
have been structurally characterized.
PMID- 18060136
TI - A series of nickel phosphonate-carboxylate cages.
AB - Three new polymetallic nickel(II) phosphonate complexes are reported; in one cage
the twelve nickel centres are arranged at the vertices of a truncated tetrahedron
in a similar manner to a Keggin ion.
PMID- 18060137
TI - Unique adsorption properties of organic-inorganic hybrid zeolite IEZ-1 with
dimethylsilylene moieties.
AB - The interlayer silylation of pure silica PLS-1 with dichlorodimethylsilane gave a
novel organic-inorganic hybrid zeolite IEZ-1, of which the micropores are able to
adsorb benzene molecules, in contrast to its purely inorganic analogue IEZ-2.
PMID- 18060138
TI - High-connectivity networks: characterization of the first uninodal 9-connected
net and two topologically novel 7-connected nets.
AB - Ring and cage aggregates containing the large alkali metals potassium or rubidium
have proven to be excellent building blocks for the creation of high-connectivity
nets, as demonstrated by their use as septahedral and nonahedral nodes in
synthesis of two new types of 7-connected nets and the first ever example of a 9
connected net.
PMID- 18060139
TI - Non-covalent interactions of a drug molecule encapsulated in a hybrid silica gel.
AB - The drug molecule Propranolol has been encapsulated by a sol-gel process in an
organic-inorganic hybrid matrix by in-situ self-assembly; the 2D HETCOR solid
state NMR spectroscopy provides direct proof of the intimate spatial relationship
between the host matrix and guest drug molecules.
PMID- 18060140
TI - A facile solution-chemistry method for Cu(OH)2 nanoribbon arrays with noticeable
electrochemical hydrogen storage ability at room temperature.
AB - Cu(OH)(2) nanoribbon arrays were synthesized by a simple room-temperature
solution reaction for the first time, which exhibited noticeable hydrogen storage
capacity and large BET surface area.
PMID- 18060141
TI - Heat-set gels and egg-like vesicles using two component gel system based on
chiral calix[4]arenes.
AB - Chiral calix[4]arenes bearing long tertiary alkyl groups at the upper rim and S-1
phenylethylamine groups at the lower rim can form heat-set gels and egg-like
vesicles enantioselectively with d-2,3-dibenzoyltartaric acid in cyclohexane,
which is the first example of heat-set gels resulting from difference in
interactions between two component gelators: in addition, the diameter of
vesicles decreased with the increase in length of alkyl groups, which could be
used to control the size of the vesicles.
PMID- 18060142
TI - Supramolecular double helical Cu(I) complexes for asymmetric cyclopropanation.
AB - Chiral double-stranded helicates, formed between Cu(I) ion and C(2)-symmetric
oligopyridines, were used for catalytic asymmetric cyclopropanation of alkenes;
low catalyst loadings (0.2 mol%), high TONs (up to 404) and short reaction times
(30-60 min) were achieved with [Cu(2)L(2)]OTf(2)(L = chiral C(2)-symmetric
terpyridine).
PMID- 18060143
TI - A fluorescent photochromic compound for labeling biomolecules.
AB - A fluorescent photochromic compound, composed of diarylethene, fluorescein and
succinimidyl ester units, was developed for the controllable fluorescent labeling
of biomolecules based on a small molecule.
PMID- 18060144
TI - Stable photoinduced charge separation in heptacene.
AB - Heptacene, generated in inert gas matrices by photobisdecarbonylation of a
bridged alpha-diketone precursor, undergoes ionization into radical anion and
radical cation upon UV irradiation.
PMID- 18060145
TI - A novel metal-organic ternary topology constructed from triangular, square and
tetrahedral molecular building blocks.
AB - A novel metal-organic network [Cu(4)(5-NH(2)-1,3
bdc)(4)(pyridine)(2)(H(2)O)(2)](n), displaying an unprecedented topology has been
constructed utilizing the different coordinating functional groups of 5-NH(2)-1,3
bdc to generate a ternary network based upon vertex-linked triangular, square and
tetrahedral molecular building blocks (MBBs).
PMID- 18060146
TI - Trapped inorganic phosphate dimer.
AB - Single crystal X-ray crystallographic signature of the pentafluorophenyl
substituted tripodal urea-based receptor shows formation of a pseudo dimeric cage
which also encapsulates a phosphate dimer via numerous hydrogen bonding and
anion[dot dot dot]pi interactions.
PMID- 18060147
TI - Multivalent polymer vesicles via surface functionalization.
AB - A new method was developed for the conjugation of multivalent dendritic groups to
polymer vesicle surfaces.
PMID- 18060148
TI - SERS opens a new way in aptasensor for protein recognition with high sensitivity
and selectivity.
AB - SERS aptasensors for protein recognition based on Au nanoparticles labeled with
aptamers and Raman reporters have been developed, which opens a new way for
protein recognition of high sensitivity and selectivity.
PMID- 18060149
TI - An unprecedented co-crystal including a cis-high-spin and a trans-low-spin FeII
complex molecule.
AB - Two structurally and magnetically nonequivalent isomeric molecules, a cis-high
spin and a trans-low-spin isomer constitute the unit cell of a new iron(II)
complex {cis-[FeL(B5)(NCS)(2)].trans-[FeL(B5)(NCS)(2)]}.CH(3)OH, , (L(B5) = N,N'
bis((2-N-methylimidazol-1-yl)methylene))-2,2-dimethylpropane-1,3-diamine); the
synthesis, X-ray structure, and magnetic and Mossbauer study of this unique
example of co-crystallised geometric, conformational and electronic isomers are
reported.
PMID- 18060150
TI - A new family of ionic liquids based on N,N-dialkyl-3-azabicyclo[3.2.2]nonanium
cations: organic plastic crystal behaviour and highly reversible lithium metal
electrodeposition.
AB - A new family of ionic liquids based on N,N-dialkyl-3-azabicyclo[3.2.2]nonanium
cations exhibits wide electrochemical windows, excellent lithium deposition
stripping behaviour and plastic crystal properties and therefore these cation
structures, which are extensions from pyrrolidinium- and piperidinium-based
cations, complement a set of related structures for a systematic study on the
physicochemical properties of ionic liquids.
PMID- 18060151
TI - A Lewis-basic, dionio-substituted phosphane.
AB - Bis{guanidine} H(2)C{hpp}(2)[hppH = 1,3,4,6,7,8-hexahydro-2H-pyrimido[1,2
a]pyrimidine] reacts with PPhCl(2) to generate the dionio-substituted phosphane,
[H(2)C{hpp}(2)PPh](2+)[Cl](-)(2) which, despite the formally dicationic
phosphorus centre, forms an unprecedented coordination compound with platinum.
PMID- 18060152
TI - Total syntheses of (+)-7-epi-goniofufurone, (+)-goniopypyrone and (+)
goniofufurone from a common precursor.
AB - Total syntheses of (+)-7-epi-goniofufurone, (+)-goniopypyrone and (+)
goniofufurone have been achieved from an advanced common precursor formed from D
(+)-mannitol by changing the carbinol protection profile.
PMID- 18060153
TI - Manganese and iron flavonolates as flavonol 2,4-dioxygenase mimics.
AB - Mononuclear manganese(II) and iron(III) flavonolates were synthesized as
synthetic enzyme-substrate complexes, and their oxygenation reactions as
biomimetic functional models with relevance to flavonol 2,4-dioxygenases are
briefly described.
PMID- 18060154
TI - Palladium nanoparticles captured onto spherical silica particles using a urea
cross-linked imidazolium molecular band.
AB - Palladium nanoparticles were captured onto spherical silica particles using a
molecular band composed of imidazolium chloride and urea moieties to form
raspberry-like Pd@SiO2 composites, which can be recovered and reused without any
loss of catalytic activity in Suzuki-Miyaura coupling.
PMID- 18060155
TI - Isolation and structural elucidation of a key aluminoaromatic intermediate and
evidence for dismutation phenomena in TMP-alumination chemistry.
AB - Lithium TMP-aluminate "(i)Bu(3)Al(TMP)Li" undergoes dismutation in THF solution
to precipitate the tetraalkylaluminate [{Li.(THF)(4)}(+){Al((i)Bu)(4)}(-)], but
reacts kinetically as a TMP base towards N,N-diisopropylbenzamide to afford the
crystalline ortho-aluminated species
[(THF)(3).Li{O([=C)N((i)Pr)(2)(C(6)H(4))}Al((i)Bu)(3)] and TMPH.
PMID- 18060156
TI - Decarboxylative reduction of free aliphatic carboxylic acids by photogenerated
cation radical.
AB - The decarboxylation of free carboxylic acids was effected by a photogenerated
cation radical of phenanthrene to yield the reduction product in the presence of
a thiol, which provides an alternative method to the Barton decarboxylation
procedure for aliphatic acids such as N-Boc amino acids.
PMID- 18060157
TI - Colloidal particles at liquid interfaces.
PMID- 18060158
TI - Fabrication of magnetically-functionalized lens- and donut-shaped microparticles
by a surface-formation technique.
AB - We report a simple method for the preparation of magnetically-functionalized lens
like and donut-shaped polymeric microparticles, based on spreading a magnetite
doped paraffin-polymer solution at the air/water interface in the presence of an
external magnetic field. We examine the parameters that affect the particle
morphology and interfacial aggregation behaviour.
PMID- 18060159
TI - Interstitial fractionalization and spherical crystallography.
AB - Finding the ground states of identical particles packed on spheres has relevance
for stabilizing emulsions and has a venerable history in the literature of
theoretical physics and mathematics. Theory and experiment have confirmed that
defects such as disclinations and dislocations are an intrinsic part of the
ground state. Here we discuss the remarkable behavior of vacancies and
interstitials in spherical crystals. The strain fields of isolated disclinations
forced in by the spherical topology literally rip interstitials and vacancies
apart, typically into dislocation fragments that combine with the disclinations
to create small grain-boundary scars. The fractionalization is often into three
charge-neutral dislocations, although dislocation pairs can be created as well.
We use a powerful, freely-available computer program to explore interstitial
fractionalization in some detail, for a variety of power-law pair potentials. We
investigate the dependence on initial conditions and the final state energies,
and compare the position dependence of interstitial energies with the predictions
of continuum elastic theory on the sphere. The theory predicts that, before
fragmentation, interstitials are repelled and vacancies are attracted from 5-fold
disclinations. We also use vacancies and interstitials to study low-energy states
in the vicinity of "magic numbers" that accommodate regular icosadeltahedral
tessellations.
PMID- 18060160
TI - Stepwise interfacial self-assembly of nanoparticles via specific DNA pairing.
AB - In the present work, we succeeded in alternatively depositing inorganic
nanoparticles and functionalized DNA bases onto the water/oil interface from the
water and oil bulk phases. The ligands used were functional thymines and
adenines. Their thiol and phosphate groups were used to cap inorganic
nanoparticles and their thymine and adenine groups to alter the surface
functionality of the nanoparticles, thus enabling a layer-by-layer growth fashion
of nanoparticles at the interface. The multiple particle ligation rendered the
resulting nanoparticle films rather mechanically robust. As results, the
freestanding asymmetric bilayer and trilayer films, composed of negatively
charged Au, positively-charged CdTe, and/or organic Ag nanoparticles were
constructed; their areas were as large as over several centimetres, depending on
the sizes of the containers used. Our work should bring up a novel methodology to
generate asymmetric multilayer films of nanoparticles with a defined control of
electron or charge across the films.
PMID- 18060161
TI - Size-selective photocatalytic reactions by titanium(IV) oxide coated with a
hollow silica shell in aqueous solutions.
AB - A novel core-shell composite photocatalyst, commercially available titanium(IV)
oxide (TiO(2)) particles directly incorporated into a hollow amorphous silica
shell, was fabricated by successive coating of TiO(2) with a carbon layer and a
silica layer followed by heat treatment to remove the carbon layer. The composite
induced efficient photocatalytic reactions when relatively small substrates were
used, such as methanol dehydration and decomposition of acetic acid, without any
reduction in the intrinsic activity of original TiO(2), but did not exhibit
efficient photocatalytic activity for decomposition of large substrates,
methylene blue and polyvinyl alcohol. The unique size-selective properties of the
composites are due to their structural characteristics, i.e., the presence of a
pore system and a void space in the silica shell and between the shell and medial
TiO(2) particles, respectively. The loading of alkylsilyl groups on the surface
of the composite led to highly photostable floatability: the floated sample also
induced efficient photocatalytic reaction for decomposition of acetic acid while
retaining floatation at the gas/water interface.
PMID- 18060162
TI - Kinetics of CO2 nanobubble formation at the solid/water interface.
AB - The kinetics of adsorption of CO(2) molecules dissolved in aqueous solution onto
a hydrophobised silica surface were investigated using a quartz crystal
microbalance (QCM). The results of this investigation were compared with those
obtained earlier from tapping mode atomic force microscopy (TMAFM) under the same
experimental conditions (J. Yang, J. Duan, D. Fornasiero, J. Ralston, J. Phys.
Chem. B., 2003, 107(25), 6139-6147; ref. 1). The QCM results represent the early
stage of CO(2) gas adsorption (<20 min), before CO(2) gas bubbles adsorbed on the
surface can be directly observed by TMAFM. The QCM results confirmed our
observation from TMAFM imaging: that CO(2) gas molecules present in solution only
adsorb on silica when its surface is hydrophobic. More importantly, the results
showed that gas adsorption/bubble growth undergoes two consecutive kinetic
processes: a slow and a fast adsorption process.
PMID- 18060163
TI - Water-in-carbon dioxide emulsions stabilized with hydrophobic silica particles.
AB - W/C emulsions were stabilized using hydrophobic silica particles adsorbed at the
interface, resulting in average droplet diameters as low as 7.5 microm. A porous
cross-linked shell was formed about a hydrophilic (colloidal and fumed) silica
core with a trifunctional silylating agent, (heptadecafluoro-1,1,2,2
tetrahydrodecyl)triethyoxysilane, to render the particles CO(2)-philic. The
stability of emulsions comprising equal weights of CO(2) and water was assessed
with visual observations of settling fronts and the degree of emulsion
coalescence, and the average drop size was measured by optical microscopy. The
effect of CO(2) density on both emulsion stability and droplet size was
determined quantitatively. The major destabilizing mechanism of the emulsions was
settling, whereas Ostwald ripening and coalescence were not visible at any
density, even over 7 days. Flocculation of the settling droplets did not occur,
although gelation of the emulsions through particle interactions resulted after
longer periods of time. CO(2)-philic particles offer a new route to highly stable
W/C emulsions, with particle energies of attachment on the order of 10(6)kT, even
at CO(2) densities as low as 0.78 g ml(-1). At these low densities, surfactants
rarely stabilize emulsions as the result of poor surfactant tail solvation.
PMID- 18060164
TI - Determining the mechanical response of particle-laden fluid interfaces using
surface pressure isotherms and bulk pressure measurements of droplets.
AB - The mechanical response of particle-laden fluid interfaces is determined by
measuring the internal pressures of particle-coated drops as a function of the
drop volume. The particle monolayers undergoing compression-expansion cycles
exhibit three distinct states: fluid state, jammed state, and buckled state. The
P-V curves are compared to the surface pressure isotherms Pi-A that are measured
using a Langmuir trough and a Wilhelmy plate on a flat water-decane interface
covered with the same particles. We find that in the fluid and jammed states, the
water drop in decane can be described by the Young-Laplace equation. Therefore in
these relatively low compression states, the bulk pressure measurements can be
used to deduce the interfacial tension of the droplets and yield similar surface
pressure isotherms to the ones measured with the Wilhelmy plate. In the buckled
state, the internal pressure of the drop yields a zero value, which is consistent
with the zero interfacial tension measured with the Wilhelmy plate. Moreover we
find that the compressibility in the jammed state does not depend on the particle
size.
PMID- 18060165
TI - On the kinetics of nanoparticle self-assembly at liquid/liquid interfaces.
AB - We investigate the concentration and size dependent self-assembly of cadmium
selenide nanoparticles at an oil/water interface. Using a pendant drop
tensiometer, we monitor the assembly kinetics and evaluate the effective
diffusion coefficients following changes in the interfacial tension for the early
and late stages of nanoparticle adsorption. Comparison with the coefficients for
free diffusion reveals the energy barrier for particle segregation to the
interface. The formation of a nanoparticle monolayer at the oil/water interface
is characterised by transmission electron microscopy.
PMID- 18060166
TI - Contact angle determination of nanoparticles: film balance and scanning angle
reflectometry studies.
AB - Stober silica nanoparticles of diameter about 45, 60 and 100 nm and different
hydrophobicity are used to produce monolayers at a water-air interface. Both the
surface pressure-area isotherms and the reflectivity angle of incidence curves of
the layers have been measured in a Wilhelmy film balance. The contact angle of
the as-prepared particles have been determined from the isotherms by two
different evaluation methods, and compared to those obtained from in situ
scanning angle reflectometry (SAR) measurements. SAR is proved to be an effective
tool for the estimation of contact angles on nanoparticles of different
wettability, using a modified version of the previously published gradient layer
model (E. Hild, T. Sesztak, D. Volgyes and Z. Horvolgyi, Prog. Colloid Polym.
Sci., 2004, 125, 61, ref. 1) for evaluation. The results are in fairly good
agreement with those determined from the non-dissipative part of the isotherms of
the as prepared particles, assuming a weakly cohesive film model (S. Bordacs, A.
Agod and Z. Horvolgyi, Langmuir, 2006, 22, 6944, ref. 2). It seems that the
traditional way to calculate the contact angle from the film balance experiments
(J.H. Clint and N. Quirke, Colloids Surf., A, 1993, 78, 277, ref. 3) results in
unreasonably high contact angles for the investigated systems and the homogeneous
layer optical model gives unrealistic film thickness values in the case of
hydrophobic particles.
PMID- 18060167
TI - Effect of electric-field-induced capillary attraction on the motion of particles
at an oil-water interface.
AB - Here, we investigate experimentally and theoretically the motion of spherical
glass particles of radii 240-310 microm attached to a tetradecane-water
interface. Pairs of particles, which are moving toward each other under the
action of lateral capillary force, are observed by optical microscopy. The
purpose is to check whether the particle electric charges influence the particle
motion, and whether an electric-field-induced capillary attraction could be
detected. The particles have been hydrophobized by using two different
procedures, which allow one to prepare charged and uncharged particles. To
quantify the hydrodynamic viscous effects, we developed a semiempirical
quantitative approach, whose validity was verified by control experiments with
uncharged particles. An appropriate trajectory function was defined, which should
increase linearly with time if the particle motion is driven solely by the
gravity-induced capillary force. The analysis of the experimental results
evidences for the existence of an additional attraction between two like-charged
particles at the oil-water interface. This attraction exceeds the direct
electrostatic repulsion between the two particles and leads to a noticeable
acceleration of their motion.
PMID- 18060168
TI - Langmuir-Blodgett films of micron-sized organic and inorganic colloids.
AB - Multilayered films starting with silica or polymer particles in the micron-size
range have been prepared using the Langmuir-Blodgett technique. The polymer
particles made of highly cross-linked cores and hydrophilic shells were
elaborated through a precipitation polymerization method that allows formation of
particles with a low polydispersity. The influence of the surface function, the
differences between organic and inorganic systems, and the characterization of
these materials by means of reflectance infrared spectroscopy are also discussed.
PMID- 18060169
TI - Contact angles in relation to emulsions stabilised solely by silica nanoparticles
including systems containing room temperature ionic liquids.
AB - We report measured and calculated oil-ionic liquid, water-ionic liquid and oil
water contact angles on silica surfaces which have been hydrophobised to
different extents by silanisation. Based on the idea that the contact angle
formed by a liquid-liquid interface with a particle adsorbed at that interface is
a key determinant of the strength of particle adsorption and the tendency of the
adsorbed particle film to curve, we correlate the contact angle data with the
phase inversion points and stabilities of the corresponding particle-stabilised
emulsions.
PMID- 18060170
TI - Effect of electrolyte in silicone oil-in-water emulsions stabilised by fumed
silica particles.
AB - Partially hydrophobised fumed silica particles are used to make silicone oil-in
water emulsions at natural pH of the aqueous phase. The stability and rheological
properties of the emulsions and suspensions are studied at NaCl concentrations in
the range 0-100 mM. It is found that all emulsions are very stable to coalescence
irrespective of the NaCl concentration. However, a strong effect of electrolyte
on the creaming and rheological properties is observed and linked to the particle
interactions in aqueous suspensions. The creaming rate and extent are large at
low electrolyte concentrations but both abruptly decrease at salt concentrations
exceeding the critical flocculation concentration of the suspension
(approximately 1 mM NaCl). The drastic improvement of the stability to creaming
is attributed to the formation of a visco-elastic three-dimensional network of
interconnected particles and emulsion droplets.
PMID- 18060171
TI - Ion partitioning at the oil-water interface as a source of tunable electrostatic
effects in emulsions with colloids.
AB - We present a combined experimental and theoretical investigation of the
surprisingly strong electrostatic effects that can occur in mixtures of low- and
high-polar liquids (e.g. oil-water emulsions), here in the presence of colloidal
particles. For our experiments, we used confocal microscopy imaging, supplemented
with electrophoresis and conductivity measurements. Theoretically, we studied our
systems by means of a modified Poisson-Boltzmann theory, which takes into account
image charge effects and the electrostatic self-energies of the micro-ions in the
different dielectric media. Our results show that the unequal partitioning of
micro-ions between the two liquid phases is the common driving force behind most
of the observed electrostatic effects. The structural signatures of these effects
typically develop on a time scale of hours to days and are qualitatively well
described by our theory. We demonstrate how the partitioning process and its
associated phenomena can be controlled by shifting the balance of the interlocked
ionic dissociation and partitioning equilibria. Moreover, we present strong
experimental proof that the two-dimensional colloidal crystals at the oil-water
interface are due to long-ranged Coulombic repulsion through the oil phase. The
acquired insight in the role of electrostatics in oil-water emulsions is
important for understanding the interactions in particle-stabilized ('Pickering')
and charge-stabilized emulsions, emulsion production, encapsulation and self
assembly.
PMID- 18060172
TI - Study on aluminium-based single films.
AB - In the present paper the authors studied isolated metallic films made from the
same material used for making metallic foams, and then characterised their
properties. Metal films were made from a liquid aluminium alloy reinforced with
ceramic particles of known concentration. Melts without such particles were also
investigated. It is shown that stable films could not be made from Al-Si alloy
having no particles, and just extremely thin and fragile films could be made from
commercially-pure Al. In contrast, aluminium alloys containing particles such as
SiC and TiB(2) allowed pulling thin, stable films, which did not rupture.
Significant thinning of films was observed when the particle concentration in the
melt decreased. By in situ X-ray monitoring of liquid films during pulling, film
thickness and drainage effects within the liquid film could be studied. The
morphology and microstructure of films was characterised after solidification.
Our work shows that the question of how foams are stabilised can be studied using
a simplified system such as a film, instead of having to deal with the multitude
of different structural elements present in a foam.
PMID- 18060173
TI - Synergistic effect of silica nanoparticles and charged surfactants in the
formation and stability of submicron oil-in-water emulsions.
AB - The influence of hydrophilic silica nanoparticles on the emulsification of a
triglyceride oil (Miglyol812) in the presence of charged surfactants (lecithin or
oleylamine) and the long term stability of the resultant oil-in-water emulsions
are reported. A synergistic effect of nanoparticles and surfactants in improving
emulsification and stability to coalescence is evident only when the silica
nanoparticles are initially added to the oil phase. When nanoparticles are
included from the water phase, no synergistic stabilisation was observed due to
electrostatic bridging or unfavourable attachment due to the repulsive
electrostatic and hydration forces. Free energies of adsorption for silica
nanoparticles at the oil-water interface calculated from experimentally
determined interfacial tensions and three phase contact angles can be correlated
to long-term emulsion stability only when silica is added from oil phase.
PMID- 18060174
TI - Guiding the location of nanoparticles into vesicular structures: a morphological
study.
AB - The present paper investigates the selective incorporation of preformed
nanoparticles (hydrophobic Au-NP (2 nm); hydrophilic Au-NP (12 nm); hydrophobic
CdSe-NP (1.9 nm); retrovirus-particles (approximately 30 nm)) into the interface
of lipid vesicles and polymersomes via TEM and DLS investigations. Lipid
membranes were made from N,N-dimethyl-N,N-dioctadecylammonium bromide (DODAB), di
oleoyl-phosphatidylcholine (DOPC), whereas polymersome-membranes were fabricated
from the diblock copolymer poly-(butadiene-block-ethylenoxide). Stabilization of
the final structures was achieved via sol/gel processes at the outside of the
membranes, thus stabilizing the structure by a silicate shell. Whereas
hydrophobic Au-NPs can be successfully embedded into the polymersome- and lipid
vesicle membranes, hydrophilic nanoparticles were found evenly distributed in the
inner- and outer compartments of the vesicles and polymersomes. Significant
effects such as size reduction, selective enrichment of all nanoparticles within
only few polymersomes as well as budding effects of larger entities (i.e., viral
particles) are described.
PMID- 18060175
TI - Permeability of silk microcapsules made by the interfacial adsorption of protein.
AB - The assembly of colloidal particles at a liquid/liquid interface is a useful
technique for the formation of a large variety of structures. Recently, we
created a new method which uses liquid/liquid interfaces to assemble recombinant
silk proteins into thin-shelled microcapsules. These microcapsules are
mechanically stable and well suited to applications such as enzyme therapy and
artificial cells. In this paper the permeability properties of these
microcapsules are investigated using a novel measurement technique. It is found
that the microcapsules are polydisperse in their permeabilities, but for all
measured microcapsules the permeability is in the range required to protect
encapsulants from immunoglobulin proteins, while allowing small molecules to
enter the capsule freely.
PMID- 18060176
TI - Contact angle determination of micro- and nanoparticles at fluid/fluid
interfaces: the excluded area concept.
AB - A novel and simple method for the determination of the contact angle of nano- and
microparticles at the liquid/air interface is proposed. The principle is based on
the consideration of differences between the pressure/area isotherms of a 2D
single-component system of a surfactant and those of binary systems comprised of
the same surfactant and the particles to be studied. The theoretical analysis of
the contact-angle behavior in this system upon compression allows the prediction
of direction of the particles' squeezing out of the surface layer and therefore
the distinction between the particles with high contact angle (Theta(p) > 90
degrees) and low (Theta(p) < 90 degrees) hydrophobicity. The application of this
method to microparticles of two different hydrophobicities demonstrates good
agreement between the obtained contact angles and the corresponding degrees of
hydrophobicity given by the particle provider.
PMID- 18060177
TI - Pickering emulsions with stimulable particles: from highly- to weakly-covered
interfaces.
AB - We study oil-in-water emulsions stabilised by pH-sensitive colloidal silica or
latex particles. Depending on the composition of the continuous phase, the same
type of particles and the same emulsification process lead to emulsions
characterised either by large drops densely covered by the particles, or to small
droplets which are weakly covered. The two kinetically stable states can be tuned
reversibly by using pH or salinity as compositional stimuli. We examine the
emulsions' behaviour in these two limiting cases and we discuss the possible
mechanisms allowing stabilisation, especially in the case of low surface
coverage.
PMID- 18060178
TI - Interfacial rheology of stable and weakly aggregated two-dimensional suspensions.
AB - The interfacial rheological properties of stable and weakly aggregated two
dimensional suspensions are studied experimentally using a magnetic rod
interfacial rheometer. Particle monolayers with well controlled structures were
prepared. Charged polystyrene particles create two-dimensional colloidal crystals
at the water-decane interface over a wide range of concentrations. Under similar
conditions a predominantly liquid structure is obtained at the water-air
interface for the same particles. The addition of appropriate combinations of the
anionic surfactant sodiumdodecylsulfate (SDS) and sodium chloride (NaCl) to the
aqueous subphase leads to a destabilization of these monolayers with the
formation of fractal aggregates at low concentrations and a heterogeneous gel
forming as the surface coverage is increased. After the structures have been
built up a reproducible structure can be obtained, of which the interfacial
rheological properties can be investigated using a magnetic rod stress rheometer.
In all cases, numerical calculations were used to assess the importance of
instrumental artifacts and the effect of the coupling between surface and
subphase flows. The rheology of aggregated suspensions was compared to the
reference case of a colloidal crystal. The two-dimensional aggregated suspensions
display rheological features which are similar to their three-dimensional
counterparts. These include an elastic response with small linearity limits, a
power law dependence on surface coverage and a dependence on the strength of
attraction. The results shed some light on the possible role of interfacial
rheology on the stability of particle laden high interface systems. Additionally,
the 2D suspensions could present fundamental insights in the rheological
properties of dense colloidal suspensions.
PMID- 18060179
TI - The effect of double-chain surfactants on armored bubbles: a surfactant
controlled route to colloidosomes.
AB - We find that the gas phases of air bubbles covered with anionic or cationic
polystyrene latex particles dissolve on exposure to cationic and catanionic
surfactants. The particles on the bubble interface are released as singlets or
aggregates when the surfactant has a single hydrophobic chain, while porous
colloidal capsules (colloidosomes) with the same aqueous phase inside as out are
obtained when the surfactant has two hydrophobic chains. The formation of
colloidosomes from the particle-covered bubbles does not appear to depend
significantly on the charge of the particles, which makes it unlikely that
bilayers of surfactant are stabilizing the colloidosome. While the exact
mechanism of formation remains an open question, our method is a simple one-step
process for obtaining colloidosomes from particle-covered bubbles.
PMID- 18060180
TI - Population distance between Dakshina Kannada (South India) and Gujarati (North
India) population using infracranial nonmetric traits.
AB - Infracranial nonmetric variations have been considered for determining population
distance between different regions and continents, in recent times. We studied
different infracranial nonmetric traits from the Dakshina Kannada (South India)
region to compare with the data available from the Gujarati (North India) region.
The mean measure of divergence between the two different geographic regions
showed significant variation. In our study, the incidence like i) Allen's fossa
of the femur, ii) plaque formation in the upper end of the femur, iii) exostosis
in trochanteric fosse of femur, iv) third trochanter of femur, v) os trigonum of
talus, vi) medial talar facet, vii) peroneal tubercle of calcaneus, viii)
bipartite transverse foramen in the cervical vertebra, too showed significant
side difference.
PMID- 18060181
TI - Biology of metalloproteinases.
AB - Matrix metalloproteinases (MMPs) occupy a central role in embryogenesis and in
normal physiological conditions, such as proliferation, cell motility,
remodeling, wound healing, angiogenesis, and key reproductive events. MMPs form a
multigenic family of proteolytic, zinc-dependent enzymes, with 26 members
described until present, displaying multidomain structures and substrate
specificities. MMPs are involved in both the turnover and degradation of
extracellular matrix (ECM) proteins and in the processing, activation, or
deactivation of a variety of soluble factors. They are regulated at the level of
transcription, activation of the precursor zymogens, and inhibition mainly by
tissue inhibitors of metalloproteinases (TIMPs). Any loss in activity control may
result in various diseases. This review provides an update of biological
functions of MMPs, facilitating the understanding of the complex pathogenic
mechanisms of medical conditions characterized by imbalance between MMP and TIMP
expression. The design of potent specific inhibitors for MMPs represents a
scientific challenge for the development of new therapies.
PMID- 18060182
TI - Hyperplasia, metaplasia, dysplasia and neoplasia lesions in chronic cholecystitis
- a morphologic study.
AB - The aim of the study was to analyze the association between chronic
cholecystitis, premalignant lesions and gallbladder cancer. The group consisted
in 3901 cases of cholecystectomies, diagnosed as acute cholecystitis (250 cases -
6.4%), chronic cholecystitis (3619 cases - 92.8%) and gallbladder carcinoma (32
cases - 0.8%). Chronic cholecystitis associated premalignant lesions as follows:
hyperplasia in 124 cases (7.8%), metaplasia in 86 cases (5%) and dysplasia in 10
cases (0.4%). Only in nine cases, the diagnosis of gallbladder carcinoma was
formulated presumptively, before surgery; for the other 23 cases this diagnosis
was established after the pathologic exam on the cholecystectomy piece. In the
areas adjacent to the neoplastic proliferation, premalignant lesions
(hyperplasia, metaplasia, dysplasia) were identified in 34.4% cases. The
identification of premalignant modifications in the morphologic background of
chronic cholecystitis is an argument in favor of the metaplasia-dysplasia
neoplasia sequence and justifies recent recommendations for the performing of
colecystectomy.
PMID- 18060183
TI - Apoptosis in cutaneous melanomas.
AB - Cutaneous melanomas has become one of the most discussed and studied tumor
because its particular immunologic development but also its increasing rate
worldwide in the last decades. Even thought many patients are diagnosed at an
early stage, the death rate continues to rise due to the increasing incidence of
more advanced lesions. The aim of this study is to detect apoptosis in 30 cases
of cutaneous melanomas using the in situ end-labeling technique (TUNEL) who
quantify apoptotic cell death at single cell level and tissues.
PMID- 18060184
TI - The aspects of angiogenesis in anal canal carcinomas compared with that in
colorectal carcinomas.
AB - AIM: To compare the angiogenesis in anal canal carcinomas (ACC) with that in
colorectal carcinomas (CRC). METHODS: A number of 507 CRC, surgical specimens,
were analyzed, 12 cases (1.97%) being ACC. In 20 cases from left and right colon
(CRC) and in the 12 ACC we analyzed the immunohistochemical parameters related to
angiogenesis, utilizing the following LabVision antibodies: CD31, CD105
(endoglin) and VEGF1. Morphometrical analysis and positive cell counting were
performed in the tumoral and peritumoral tissue. Immunoperoxidase method was
used. RESULTS: The average age was 63.17 +/- 10.87 years in CRC, respectively
57.9 +/- 10.05 years in the ACC (p<0.0001). Compared with CRC the ACC occur more
frequently at the females (58%). Angiogenesis was expressed in the majority of
cases. In CRC, the microvascular density (MVD) was higher than that from ACC. The
ratio CD31/CD105 was 1 in ACC and 3 in CRC. VEGF was positive in 25% of ACC and
80% of CRC. In CRC were more mature vessels, marked only with CD31 than immature
vessels or endothelial isolated cells marked with both CD31 and CD105. In ACC
prevailed the neoformed vessels marked with both CD31 and CD105. CONCLUSIONS: The
performed assessments have showed a higher incidence of ACC at females and at
younger ages. The angiogenesis in ACC was not so high like in CRC and the
immature neoformed vessels was more frequently. In ACC, the antiangiogenic
treatment that regards the VEGF inhibition seems to be not as efficient as in
CRC. The radiotherapy could stop the angiogenesis and could inhibit the vessels'
maturation.
PMID- 18060185
TI - The venous system of the lower limbs.
AB - The venous system anatomy of the lower limbs and especially its functionality
still presents half-lighted areas, fact easily qualified as incredible for the
third millennium. Our dissections on fresh amputation segments, methylene blue
injected in superficial veins or in deeper veins pointed out that venous
circulation is much more complex than it seemed, that there are subdermal
collectors connected to the saphene trunks which permit bidirectional transfer of
blood mass to saphene venous roots or to derm. The dermal plexus has also a
complex connection with the deep venous system by Delater perforators, by
perforators, which drain saphene systems after having previously received dermal
affluents, and by Delater equivalences (submillimetric perforators) that provide
blood mass transfer from deep to surface under the conditions of a moderate and
temporary venous hypertension. High- and long-term venous hypertension determines
the valvular device deterioration of classical perforators making possible a
pathological bi-directional flow.
PMID- 18060186
TI - Morphologic and histochemical changes in the skin of patients with scleroderma.
AB - BACKGROUND: Systemic sclerosis or scleroderma is a rare collagen disease,
characterized by insufficient angiogenesis. Few data are available about the
morphologic and histochemical peculiarities of the skin in these patients with
this condition. The purpose of the present work was to evaluate the histochemical
aspects of sclerodermic skin, obtained through biopsy of the typical lesions from
the forearm skin. PATIENTS AND METHODS: The study was conducted on 31 patients,
from which skin biopsies were obtained, after informed consent. The specimens
were fixed in buffer formalin, embedded in paraffin and processed for staining
with HE, Masson, orcein, Gordon-Sweet silver staining, and alcian blue-safranin,
in order to identify elastic fibers, reticular fibers, glycosaminoglycans and
mast cells. Results are partially similar to other studies: the constant
depletion of elastic fibers in the papillary dermis and disorders of the network
in the reticular dermis, such as their absence in the skin blood vessels walls.
The reticular fibers were absent in the papillary dermis, the reaction in the
reticular dermis structure was variable from a case to another. The staining with
Alcian blue-Safranin proved that there is a gathering of glycosaminoglycans in
the superficial papillary dermis, the heterogeneity of collagen fibers and the
decrease of mast cells in the dermis.
PMID- 18060187
TI - The endoscopic and morphological forms of early gastric cancer.
AB - The early gastric cancer is an endoscopic notion in which gastric cancer is
strictly placed to mucosis and submucosis without extensive manifestations. It is
the form with favorable prognosis and better survival at 5 and 10 years. Our
study tries to systematize the debut forms of early gastric cancer and their
association with the lesions with malignisation risk. We also try to evaluate the
incidence of endoscopic and histopathologic forms of early gastric cancer found
in an internal medicine division. Our study included 435 patients with gastric
cancer endoscopic and histologic diagnosed. Statistically, 64.36% were men and
35.64% were women, the mean age 48 +/- 7 years. The endoscopic forms of early
gastric cancer were type I: protruded in 19 cases, type II: superficially in
eight cases, type III: excavated in six cases. Early gastric cancer is diagnosed
with difficulty, it represents in 7.58% of the gastric cancer, being most
frequently asymptomatic. The endoscopic forms frequently found in early gastric
cancer in the population were type I: protruded and type IIa: superficially
elevated. The histopathological examination is compulsory at this form of gastric
cancer, while in advanced gastric cancer endoscopy is often sufficient for
diagnosis. Analysing the histopathological results of cases diagnosed with early
gastric cancer we found: 22 cases with intestinal type and 11 cases diffuse type.
Microscopically, 15 were intramucosal and 18 had submucosal invasion. I and IIa
lesions were predominantly located at the antrum and are histologically
differentiated adenocarcinoma. Differentiated carcinoma frequently produces an
elevated lesion and the border is well demarcated. There are frequent
opportunities to detect gastric cancer in the early phase and the patient can
expect a complete cure by the surgical operation or endoscopical mucosal
resection.
PMID- 18060188
TI - Morphological study of congenital megaureter.
AB - Congenital anomalies of the kidney and urinary tract are frequent. They can be
detected once every 500 ultrasonography fetal examinations. Causes that determine
a dilated ureter compared to the rest of the urinary tract are still partly
unknown. If concerning the exploration and the clinical diagnosis of these
anomalies important progresses have been made, the morphological research is
still able to bring forth data that, together with genetic researches, may help
reveal the pathogeny of the disease and may ease the planning of the screening
genetic tests for early diagnosis. Material and methods. During the surgical
operations realized in order to recalibrate the ureter, ureter fragments have
been harvested, colored with 1% tionin, in sections thinner then 1 micron and
examinated through immersion, ob. 100x. Other fragments have been prepared and
examinated through electronic microscope. We have followed the structural
modifications of the muscular tissue, nervous tissue, connective tissue and the
rapports between these components. Results. Congenital megaureter presents
qualitative and quantitative anomalies of the connective tissue and muscular
tissue, and also structural modifications of the nervous tissue. Connective
tissue. We have noticed the abundance of the connective tissue in congenital
megaureter. Connective tissue, with an important representation of typical
elements, such as fibroblasts, mastocytes and plasmocytes is partly hyalinated,
dissociating muscular fiber bundles and nervous fiber bundles. Connective
tissue/muscular tissue report is evidently increased. Muscular tissue. Muscular
fibers are hypoplasic, smooth endoplasmic reticulum is present in the tubular
form, rare mitochondria are vacuolized. Dense corps are increased in number,
plasmatic membranes are folded. Sarcoplasm contains vacuolized organites. The
nuclei are rigged with visible nucleoli. Nervous structures. We have noticed
varied alterations of the axons and myelin. In some axons there are multiple
cavities that may produce the opacifiation of the entire axonal structure. The
proliferation of the myelin sheath under the nodular form or vortex form produces
the destruction of the axonal structure. Mitochondria are condensed, partially
vacuolized. The modifications in the axonal structure and those of the myelin
sheath determine modifications of the nervous excitability and conductibility.
All the lesions we have pointed out in congenital megaureter participate in
compromising of the peristaltic. Nervous and muscular structures lesions indicate
a process of incomplete development of the ureter. They are structures that do
not achieve functional maturation. We may consider congenital megaureter as a
digenesis with hypoplasia.
PMID- 18060189
TI - Venous circulation of the bronchial wall.
AB - Bronchial supply plays an important role in both the protecting mechanisms and
the pathogenic ones of many chronic inflammatory, infections or ischemic diseases
of the lung. However, little is known regarding the bronchial supply development;
the appearance of the connections to the functional pulmonary supply; the
territory supplied by the bronchial veins. In this study, we follow the
distribution of the pulmonary veins branches at the level of the subcarinal
airways and their relationship to the bronchial veins in the human lungs. For
studying the venous supply of the airways, we used the corrosion and colored
gelatin injection methods followed by microdissection by means of an operator
microscope. Venous circulation of the intrapulmonary airways is mainly tributary
to the pulmonary vein branches. Venous circulation of the extrapulmonary airways
is tributary to both the pulmonary and the bronchial veins. Taking into account
the difference of sizes, we consider that, under physiologic conditions, the main
venous collector is represented by the pulmonary veins.
PMID- 18060190
TI - Mast cell reaction in malignant laryngeal neoplasm.
AB - INTRODUCTION: Mast cells are normal connective tissue residents. Their densities
vary from an organ to other, but are constantly well represented in respiratory
tract. Mast cell hyperplasia was found in many malignant tumors, but the
significance of this phenomenon is still unknown. In the literature, there are
few data about mast cell reaction in malignant laryngeal neoplasm. MATERIAL AND
METHODS: We studied archive blocks from 127 laryngeal carcinomas. For
histological diagnosis two sections were prepared for Hematoxylin-Eosin staining
and Alcian blue-Safranin histochemistry at pH 0.2 for identifying mast cells.
Examination has been performed with Nikon Eclipse 600 microscope. Microscopic
images were analyzed with Lucia G program. Microvessel density was calculated
using the hot spot method. RESULTS: Most of the cases were squamous cell
carcinoma G1 - 24.4%, G2 - 56.69%, G3 - 18.11%, and 0.78% adenoid cystic
carcinoma. Invasive squamous cell carcinoma mast cell microdensity was 2.19 and
4.66 in microinvasive squamous cell carcinoma. Mast cell microdensity in
malignant laryngeal papillomatosis was 9.33 and 46.66 in adenoid cystic
carcinoma. In carcinoma-associated mast cell hyperplasia, the large majority of
mast cells were Alcian blue positive. CONCLUSIONS: In early stages, the mast
cells are numerous (microinvasive squamous cell carcinoma mast cell microdensity
4.66) and rare or even absent in late stages (invasive squamous cell carcinoma
mast cell microdensity 2.19). Mast cell microdensity in malignant laryngeal
papillomatosis was 9.33 and 46.66 in cystic carcinoma. Alcianophil mast cells are
present in tumor area, and safraninophil mast cells are residents of connective
and muscular tissue, at a distance from the tumor.
PMID- 18060191
TI - Influencing vascular reactivity in vivo by histaminergic agonists and
antagonists.
AB - The eye is a target organ for the action of several topical or systemic drugs.
The aim of the present study is to analyze the differences in reactivity between
the iris and conjunctiva vessels after the topical administration of histamine
and histamine receptor blockers respectively. Using a novel non-invasive
technique for the quantification of the vascular diameters in the eye vessels,
the response of these vessels to histamine, to H1 receptor blocker promethazine,
and to H2 receptor blocker ranitidine versus vehicle (control) was analyzed. The
results show differences in reactivity between iris and conjunctiva vascular
territories. This data suggest that the population of histamine receptors differs
between these two vascular areas.
PMID- 18060192
TI - Hibernating myocardium, morphological studies on intraoperatory myocardial
biopsies and on chronic ischemia experimental model.
AB - Hibernating myocardium represent a prolonged but potentially reversible
myocardial contractile dysfunction, an incomplete adaptation caused by chronic
myocardial ischemia and persisting at least until blood flow restored. The
purpose of this study was to investigate the morphological changes and weather
relations exist among function, metabolism and structure in left ventricular
hibernating myocardium. Material and methods. Experimental study is making on 12
dogs incomplete coronary obstruction during six weeks for morphologic studies of
ischemic zones. On 48 patients with coronary stenosis myocardial biopsies was
effectuated during aorto-coronarian bypass graft. On 60 patients with valvular
disease associated with segmental coronary atherosclerotic obstructions during
surgical interventions on a effectuated repeatedly biopsies from ischemic zones.
Dyskinetic ischemic areas was identified by angiography, scintigraphy, low dose
dobutamine echography to identify the cells viability. On myocardial biopsies
various histological, histoenzymological, immunohistochemical and ultrastructural
methods were performed. RESULTS: The morphological cardiomyocytic changes can
summarized: loss of myofilaments, accumulation of glycogen, small mitochondria
with reversible lesions, decrease of smooth reticulum, absence of T tubules,
depression of titin in puncted pattern, loss of cardiotonin, disorganization of
cytoskeleton, dispersed nuclear heterochromatin, embryofetal dedifferentiation,
and persistence of viability. Extracellular matrix is enlarged with early matrix
protein such fibronectin, tenascin, fibroblasts. In experimental material the
morphological changes present similarities with the human biopsies, but
intermixed with postinfarction scar tissue. Redifferentiation of hibernanting
cells end remodeling of extracellular matrix is possible after quigle
revascularization through aorto-coronary bypass grafts.
PMID- 18060193
TI - The arthroscopy-histological criterion link in endoscopic "repair" treatment of
chondral and chondralbone lesions of the knee.
AB - The authors present the results of optical microscopic studies of some lesions of
cartilage and subchondral bone of the knee, the arthroscopy allowing this
structure's biopsy during the endoscopic procedures. These histological criteria
are very important estimation factors of long term results of these "repair"
techniques, the microstructure showing the real biological status of these
specific tissues.
PMID- 18060194
TI - Dermatofibrosarcoma protuberans is CD117 negative even after extreme antigen
retrieval conditions.
AB - Dermatofibrosarcoma protuberans (DFSP) is a malignant cutaneous tumor with a high
rate of recurrence. Nevertheless, it responds to the drug Imatinib. The
pathogenic mechanism why this drug works seems to be different to the inhibition
of the Kit receptor of tyrosine kinase, since DFSP has repeatedly failed to
express CD117 when immunohistochemically tested. Due to previous reports that
claimed the presence of CD117 in DFSP, we designed a model of antigen retrieval
under extreme conditions with two cases of DFSO from our archives. The slides
were kept in the pressure cooker during 15 minutes (pH9). Moreover, the tissue
was incubated with the primary antibody for 60 minutes. Three negative controls
were also used. Even after the "over-retrieval" process, no convincing positivity
was shown, although high background staining notably increased. We also discuss
several alternatives that have been given in literature to explain the mechanism
of how Imatinib works in DFSP.
PMID- 18060195
TI - Darier disease and Hailey-Hailey disease.
AB - Darier disease (DD) and Hailey-Hailey disease (HHD) are autosomal dominantly
inherited genodermatosis, caused by mutations in ATP2A2 gene and ATP2C1
respectively. We investigated clinical and laboratory two patients - a men with
Darier disease and a woman with Hailey-Hailey disease. The patient with Darier
disease has mucosal lesions and dental modifications associated with mild mental
retardation. At Hailey-Hailey case, the skin lesions are associated with
neuropsychiatric and endocrinologic disorders. In both cases, the mutation is
inherited from parents. Even if this diseases have similar features, clinical,
genetical and histopathological they are distinct entities.
PMID- 18060196
TI - Clinical significance of a mysterious clival canal.
AB - During routine osteology demonstration of the posterior cranial fossa we noticed
a transverse bony canal in the middle third of the clivus of an adult male skull.
The canal was situated 1.8 cm in front the anterior border of the foramen magnum.
The length of the canal was 0.6 cm long. The possible embryological basis and
clinical significance of the variation was discussed.
PMID- 18060197
TI - The uterine carcinosarcoma - a case report.
AB - The carcinosarcoma is a malignant mixed mullerian tumor with a highly malignant,
biphasic tumor consisting of both epithelial and mesenchymal components. The
presented case refers to a patient in climax with a vaginal bleeding. The Doppler
echography highlights a polypoid mass, which prolapses in the cervical channel.
The histopathological and immunohistochemical analysis of the surgically resected
piece allowed the carcinosarcoma diagnosis. The uterine carcinosarcoma's
incidence is rare, that is why this case is interesting taking in consideration
the biphasic pattern of the tumor.
PMID- 18060198
TI - Renal inflammatory myofibroblastic tumor - a new case report.
AB - Renal inflammatory pseudotumor is uncommon, benign tumor that has been classified
into separate group but there is a risk that this lesion could be misdiagnosed.
The aim of this work is to report a new case of 57-years-old man presented in our
hospital with hematuria, minimal grade fever and right flank pain. Magnetic
resonance imaging (MRI) and sonography revealed a tumor of the right mediorenal
parenchyma, 2.5 cm in diameter. The patient underwent right nephroureterectomy
under the diagnosis of renal cell carcinoma. Macroscopically examination carried
out on the removed kidney showed a 2/2/1.5 cm yellowish, gelatinous, well
circumscribed, mediorenal and pericaliceal mass. Fragments of the tumor were
fixed in 10% formaldehyde, included in paraffin, and the sections were stained
with HE, VG and immunohistochemically with vimentin (VIM), MNF116, SyN, smooth
muscle actin (ACT), desmin, CD68, S100, HMB45, and CD117. The histological
examination revealed a compact spindle cell proliferation, a hypocellular fibrous
area in an edematous myxoid background infiltrated by small lymphocytes,
histiocytes, some plasma cells and small bone area. The spindle cells were
diffuse positive for VIM, ACT, CD68 and negative for desmin, MNF116, SyN, S100,
HMB45, and CD117. The pathologic diagnosis was renal inflammatory pseudotumor,
raising the problem of differential diagnosis, as the clinical and imagistic
aspects are similar to those of a renal carcinoma and the problem in establishing
a preoperative correct diagnosis.
PMID- 18060199
TI - Erythrokeratodermia variabilis - variant with circumscribed variable erythema and
periorificial fixed Bazex Dupre erythema.
AB - Erythrokeratodermia represents a group of rare genetic diseases characterized
through disorders of keratinization. Clinically, they are presenting themselves
with erythematous and hyperkeratosic lesions that can be persistent or variable
as to their aspect and localization. They were classified in erythrokeratodermia
variabilis (EKV) and erythrokeratodermia symmetric progressive (EKSP). We are
presenting the case of a 9-years-old child which presents from birth facial and
perioral erythema; erythematous and hyperkeratosic lesions with circinate
character, extremely variable, localized especially on the anterior thorax (on
the chest and in the axillar and inguinal folds). The neonatal debut, the
clinical and histological aspect are suggestive elements for the EKV. In
addition, the child has a plan frontal angioma and a congenital horizontal
nistagmus. We realized a review of a literature data being different clinical
variants of presentation of EKV and the eventual possible associations. It is
considered the fact that the clinical presentation in the presented case
corresponds to the variant of EKV with variable circinate erythema described by
Bazex and Dupre. The case is also particular through the association of a plan
frontal angioma, particularly of a congenital horizontal nistagmus, associations
that we could not find in the literature.
PMID- 18060200
TI - Drug-induced hepatitis - morphological and ultrastructural aspects.
AB - Frequency of drug-induced liver diseases is increasingly, more than 200 different
drugs being incriminated in hepatic disorders. We performed a retrospective study
on 65 cases of deaths due to drug intoxications and an experimental model of
subacute hepatitis induced by acetaminophen. In our experimental model, we
observed various histological lesions: granular degeneration, vascular
congestion, lymphoplasmocyte infiltration, cytonecrosis. Histological criteria
are not specifically, but very usefully, because based on these criteria we can
suspect a drug etiology in hepatic disorders when any other cause is absent.
Ultrastructural study of hepatocytes revealed some modifications, in addition to
data provided by optical microscopy.
PMID- 18060202
TI - Islamic teachings of bioethics in relation to the practice of medical genetics.
PMID- 18060203
TI - Towards safer surgery in patients with sickle cell disease.
AB - Surgery in patients with sickle cell disease (SCD) has been associated with high
morbidity and mortality. In recent years, a marked improvement in the safety of
surgery and anesthesia in this high-risk group of patients has been witnessed;
owing to the improvements in surgical and anesthetic care, greater awareness of
pathophysiology of the disease, proper perioperative preparation, and attention
to factors predisposing to vasoocclusive crises. However, this is not paralleled
by similar improvement in countries where the disease is not prevalent. Greater
population mobility in recent years makes recognition of surgical manifestations
of the disease, and awareness of perioperative management of sickle cell patients
undergoing surgical interventions of paramount importance. This article aims to
summarize steps towards safer surgery in patients with SCD.
PMID- 18060204
TI - Effect of panaxatriol on hematogenesis and granulocyte-macrophage colony
stimulating factor in radiation injured mice.
AB - OBJECTIVE: To assess the recovery effect of panaxatriol (PT) on myeloid
hemopoiesis in radiation injured mice, and analyze the underlying mechanism.
METHODS: This study was carried out in the Animal Center of Shandong University,
Jinan, China, during March to September 2006. Forty-five inbred albino mice were
separated randomly into 3 groups: control group, radiation group, and radiation +
PT group (200 mg/kg/d, 3 weeks). Peripheral blood cells were detected by globuli
meter, CD34+ cells in bone marrow were detected by flow cytometry, and the
protein expression of granulocyte-macrophage colony stimulating factor (GM-CSF)
was detected by immunocytochemistry. RESULTS: The numbers of peripheral blood
cells and bone marrow CD34+ cells, and the expression of GM-CSF in the radiation
group were lower than in the control group. After treatment with PT, the numbers
of peripheral blood cells and CD34+ cells, and the expression of GM-CSF increased
significantly. CONCLUSION: Panaxatriol can relieve myelosuppression induced by
radiation injury. The abilities of regulating the expression of hemopoietic
growth factor GM-CSF and promoting the maturation of bone marrow cells may be
responsible for some of these beneficial effects.
PMID- 18060205
TI - Osteoarthritis models after anterior cruciate ligament resection and medial
meniscectomy in rats. A histological and immunohistochemical study.
AB - OBJECTIVE: To compare the amount of degeneration based on the time spent, using 2
different methods of surgically induced osteoarthritis (OA) that frequently used
in treating OA. METHODS: We undertook this research in Ondokuz Mayis University,
Surgical Research Center between April 2006 and July 2006. In this study, 55 rats
were used, 7 as the control group, and 12 in each of 4 groups. We compared the
amount of OA formed by the medial meniscectomy (MMx) and anterior cruciate
ligament transection (ACLT) at 8 and 16 weeks according to the Modified Mankin
Score and histologically and immunohistochemically due to their response to
Matrix metalloproteinase 13 expression (MMP13). RESULTS: We observed the highest
degeneration in the MMx model at 8 weeks, and this situation continued until 16
weeks. However, the degeneration in the ACLT model was lower at 8 weeks compared
with the MMx group, however, it reached the same amount as the MMX group at 16
weeks. CONCLUSION: The OA model formed by the ACLT method was better than the MMx
model when degeneration and time were taken into consideration and should be used
when researching drugs on an experimental basis in OA.
PMID- 18060206
TI - The potential anti-inflammatory effect of tetrahydrobiopterin administration in
renal mass reduction-induced chronic renal failure in rats.
AB - OBJECTIVE: To investigate the impact of tetrahydrobiopterin (BH4) supplementation
on the markers of inflammation, and on the histological picture of the kidney in
chronic renal failure C-reactive protein (CRF) induced in rats by subtotal
nephrectomy (SNx). METHODS: This study was performed at the Faculty of Medicine,
King Saud University, Riyadh, Saudi Arabia during the period from December 2005
to January 2007. Chronic renal failure was induced by 5/6 SNx in 20 male Wister
rats, and another 10 rats were sham operated by flank incision and served as
controls. Ten SNx rats received 10mg kg-1 BH4 intraperitoneally daily for 4
weeks. Plasma C-reactive protein (CRP), interlukin-6 (IL-6), malondialdehyde
(MDA), and kidney functions were measured in all rats. Histopathological
examination of the kidney tissues was also performed. RESULTS: Untreated CRF rats
showed significant elevation of plasma CRP, IL-6, and MDA levels, and significant
decrease in plasma albumin and total protein levels, tubuloglomerular fibrosis
and, interstitial tubular infiltration with inflammatory cells in comparison with
the sham-operated rats. Tetrahydrobiopterin treatment decreased CRP, IL-6, and
MDA levels, and decreased tubuloglomerular fibrosis and interstitial inflammation
in treated CRF rats. CONCLUSION: Supplementation with exogenous BH4 decreased
markers of inflammation and protected the kidney against post-renal mass
reduction histologic damage. Restoration of intracellular BH4 balance could
normalize nitrous oxide production. Therefore, BH4 might be a promising strategy
in attenuating inflammation in CRF. This may decrease endothelial dysfunction and
limit the associated cardiovascular morbidity and mortality of this disease.
PMID- 18060207
TI - Molecular testing of human papillomavirus in cervical specimens.
AB - OBJECTIVE: To improve the diagnosis of cervical neoplasia by early detection of
human papillomavirus (HPV) in uterine cervix, by adding molecular testing of HPV
using hybrid capture 2 (HC2) and polymerase chain reaction (PCR) tests to
Papanicolaou (Pap) test. METHODS: One hundred women were enrolled in this study.
The mean age (mean +/- SD) was 41.97 +/- 8.76 years and the range was 27-65
years. All women had undergone cervical cytological screening with cervical
cytology, HPV DNA testing by HC2 and PCR, during the period from January -
December 2006, at King Abdul-Aziz University Hospital (KAAUH) and King Fahd
Research Centre, Jeddah, Saudi Arabia. RESULTS: The results we obtained by HC2
for detection of HPV were 5 (5%) high-risk HPV, one low-risk HPV (1%) and 94
(94%) negative cases. The PCR detected only 4 (4%) cases. Using the HC2 test as a
reference, the sensitivity, specificity, positive predictive, negative predictive
values and accuracy of baseline Pap were 50, 85, 17.7, 96.4, and 83%; of final
Pap smear were 100, 96.8, 66.7, 100, 97%, and for PCR were 66.7, 100, 100, 97.9,
and 98%. The Pap test was repeated within a year for patients with abnormal Pap
or negative Pap test with positive HPV DNA. CONCLUSION: Combined screening by
cytology and HPV testing using both HC2 and PCR sensitively detects women with
existing disease. The absence of HPV DNA provides reassurance that patients are
unlikely to develop cancer for several years. We suggest using Pap with HC2 and
PCR in screening programs to ensure that women with the double negative result at
baseline might safely be screened at longer intervals.
PMID- 18060208
TI - Erythrocyte sedimentation rate in healthy first year medical students.
AB - OBJECTIVE: To determine the reference value of erythrocyte sedimentation rate
(ESR) in young healthy individuals following the standardized criteria of the
International Committee for Standardization in Hematology. METHODS: The ESR was
determined in a sample of 422 medical students of Karachi, Pakistan from the year
1998 to 2004. After considering exclusion criteria, the data of 311 students (132
males and 179 females) were analyzed. RESULTS: The reference values found in this
study are 0-13 in the 1st hour for healthy young males and 0-40 mm in the 1st
hour for healthy young female students. CONCLUSION: We suggest that the reference
values for ESR should be determined for various segments of the local population.
PMID- 18060209
TI - The role of ultrasonography and computed tomography in determining the etiology
of ascites.
AB - OBJECTIVE: To determine the role of ultrasonography (US) and computed tomography
(CT) in the evaluation of ascites etiology. METHODS: From 2000-2006, patients
admitted to the Radiology Department, Uludag University Medical School Hospital,
Bursa, Turkey, were studied to determine the etiology of ascites, or those in
whom ascites was determined during the course of other investigations were
evaluated using US and CT. Existence of septa-debris, accompanying organ
pathology, omental involvement, intestinal wall thickening, peritoneal implant,
lymph node, diameter of portal vein, thickening of gall bladder wall, pleural
effusion, collateral vascular structure, and cavernous transformation were also
investigated. RESULTS: A total of 30 cases were included. Causes were determined
to be malignant in 15 (50%) cases and 15 (50%) benign. The US was significantly
superior to CT in the evaluation of gall bladder thickening. Omental thickening,
thickening of intestinal wall, and peritoneal implant development were seen
significantly more frequently in malignant compared to benign cases, while
thickening of the gall bladder wall was seen more frequently in benign cases.
Ascites density of malignant cases detected in slices without contrast was higher
than in benign cases. The probability of malignancy was 98% when omental
thickening, thickening of intestinal wall, and peritoneal implant were present
together in the same case. CONCLUSION: Although CT and US may help to evaluate
ascites, however, the differential diagnosis of ascites etiology remains a
challenge.
PMID- 18060210
TI - Is abandoning routine peritoneal cultures during appendectomy justified?
AB - OBJECTIVE: To identify if there are any advantages of taking swab from the
peritoneal fluid during appendectomy, and if it has any clinical implication on
the progress of diseases. METHODS: Records of 160 patients who underwent
appendectomy in Saqr Hospital, Rak, United Arab Emirates, from 2003 - 2005, and
had culture and sensitivity from the peritoneal cavity were reviewed
retrospectively. The macroscopic picture of the appendix, microorganism in
peritoneal cultures, antibiotic, and the extent of using the result of the
culture and sensitivity were evaluated. Patients with normal appendix who
underwent laparoscopic appendectomy were excluded RESULTS: Patients age ranged
from 4-55 years with male to female ratio of 4:1, all had prophylactic
antibiotics and standard surgical procedures; 60% had perforated appendix, 13%
were gangrenous. The most common organisms cultured were, Escherichia coli and
bacteroids, rate of wound infection was 5%. None of the patients had their course
of antibiotics adjusted in response to the result of the swab. CONCLUSION: Swabs
from the peritoneal cavity during appendectomy do not have any clinical advantage
especially with the empiric use of antibiotics and the short hospital stay.
PMID- 18060211
TI - Infliximab "TNF-alpha antagonist" decreases intraabdominal adhesions.
AB - OBJECTIVE: To evaluate the effect of infliximab on adhesion formation and it's
associated morbidity and complications. METHODS: This study was performed in the
Faculty of Medicine, Gazi University, Turkey between July 2005 and October 2005.
Thirty-five rats were randomly divided into 4 groups. Laparotomy was performed in
the Sham group (n=5), whereas cecal abrasion was carried out in all other groups.
After cecal abrasion 0.9% sodium chloride was administered in the saline group
(n=10), infliximab was administered to the study group (n=10) and nothing was
administered to the last group (n=10). Adhesion formation was evaluated with
macroscopic and microscopic adhesion scoring systems. Peritoneal fluid samples
and mesenteric lymph node biopsies were taken to rule out bacterial peritonitis.
Blood and peritoneal irrigation fluid samples were taken to measure the Tumor
necrosis factor-alpha (TNF-alpha) levels. RESULTS: Macroscopic adhesion scores
showed fewer adhesions in the infliximab group. The infliximab group had
significantly fewer adhesions than the abrasion control and saline groups.
According to the histological findings, there were no statistically significant
differences between the groups. CONCLUSION: Early blocking of the activity of TNF
alpha after cecal abrasion resulted in lower rates of adhesion formation,
macroscopically. The TNF-alpha, a proinflammatory cytokine appears to be an
important mediator for postoperative adhesion formation.
PMID- 18060213
TI - Thorax deformity, joint hypermobility, and anxiety disorders.
AB - OBJECTIVE: To evaluate the association between thorax deformities, panic
disorder, and joint hypermobility METHODS: The study includes 52 males diagnosed
with thorax deformity, and 40 healthy male controls without thorax deformity, in
Tatvan Bitlis and Isparta, Turkey. The study was carried out from 2004 to 2006.
The teleradiographic and thoracic lateral images of the subjects were evaluated
to obtain the Beighton scores; subjects' psychiatric conditions were evaluated
using the Structured Clinical Interview for DSM-IV Axis I Disorders (SCID-1), and
the Hamilton Anxiety Scale (HAM-A) was applied in order to determine the anxiety
levels. Both the subjects and controls were compared in sociodemographic, anxiety
levels, and joint mobility levels. In addition, males with joint hypermobility
and thorax deformity were compared to the group with thorax deformity without
joint hypermobility. RESULTS: A significant difference in HAM-A scores was found
between the groups with thorax deformity and without. In addition, 21 subjects
with thorax deformity met the joint hypermobility criteria in the group with
thorax deformity, and 7 subjects without thorax deformity met the joint
hypermobility criteria in the group without thorax deformity, according to
Beighton scoring. The Beighton scores of the subjects with thorax deformity were
significantly different from those of the group without deformity. Additionally,
anxiety scores of the males with thorax deformity and joint hypermobility were
found higher than males with thorax deformity without joint hypermobility.
CONCLUSION: Anxiety disorders, particularly panic disorder, have a significantly
higher distribution in male subjects with thorax deformity compared to the
healthy control group. In addition, the anxiety level of males with thorax
deformity and joint hypermobility is higher than males with thorax deformity
without joint hypermobility.
PMID- 18060212
TI - The efficacy of paracetamol in the treatment of ankle sprains in comparison with
diclofenac sodium.
AB - OBJECTIVE: To assess the efficacy of paracetamol in comparison with diclofenac
sodium. METHODS: Between February - November 2006, a prospective, double blinded,
parallel group study of 100 patients suffering from first or second degree
lateral ankle sprain within 48-hours of admission in Tepecik Education and
Research Hospital, Izmir, Turkey. Patients with bilateral injury, ipsilateral
knee injury, third degree sprain, previous sprain within 6 months, and ankle pain
less than 45 according to visual analogue score (VAS) were excluded. Patients
rated pain on a 100 VAS, representing 0 no pain, 100 maximal pain. After
enrollment, patients were randomized (1:1) with diclofenac sodium 150 mg/day or
paracetamol 1500 mg/day for 5 days. Clinical assessments were carried out at
baseline; on second, tenth days, and sixth week (end of study). In each visit,
VAS and adverse effects of medication were questioned. RESULTS: The mean VAS of
the diclofenac group was 81 and 82.3 with paracetamol group at the first visit.
These scores decreased to 20.7, 9.9, 4.6 in diclofenac group and 11.9, 6.3, 3 in
paracetamol group at the second, tenth days and last examination. Similar
reductions in pain were observed at the end of study (p>0.05) in both groups.
However, cases treated by paracetamol group showed accelerated decrease in VAS at
day 2 and 10 in comparison with diclofenac group (p<0.05). Of the ankle range of
motion, there was a similar improvement in both groups (39.6 degrees, 37.5
degrees) (p>0.05). The incidence of gastrointestinal adverse effects on
diclofenac group was much more than the paracetamol group, however, there was no
significant difference (p>0.05). CONCLUSION: It was concluded that diclofenac
sodium and paracetamol are effective and well tolerated as a short term treatment
alternatives for acute ankle injuries.
PMID- 18060214
TI - Delivery outcomes at term after one previous cesarean section.
AB - OBJECTIVE: To determine the maternal and perinatal outcomes at term in women with
one previous cesarean delivery and with no history of vaginal birth. METHODS:
This is a case-control study conducted at King Abdul-Aziz University Hospital,
Jeddah, Kingdom of Saudi Arabia, between January 1, 1999 and December 31, 2002.
One hundred sixty-two women with one previous cesarean delivery and with no
previous vaginal birth were compared with 324 control women. RESULTS: The
cesarean section rate was higher in the study group 40 (24.7%) versus 23 (7.1%)
in the control group and was statistically significant (p<0.001). Seventeen
(10.5%) were induced with prostaglandin E2, and 33 (20.4%) required labor
augmentation with oxytocin in the study, versus 22 (6.8%) and 21 (6.5%) in the
control group. The duration of labor was longer in the study women compared to
the control group (7.6 +/- 3.0 hours versus 6.0 +/- 2.3 hours), and this was
statistically significant (p<0.001). There was one uterine dehiscence, and 2
uterine ruptures in the study women, and none in the control group. Eight (1.65%)
women had postpartum hemorrhage, 3 (0.62%) needed blood transfusion, and 7
(1.44%) developed postpartum pyrexia. CONCLUSION: Women with no a prior history
of vaginal delivery are considered less favorable, the vaginal birth after
cesarean section success rate may be even lower if the indication for previous
primary cesarean delivery was failure to progress, and may be associated with
increased risk of uterine rupture. Further study is required to confirm our
findings.
PMID- 18060215
TI - Antibiotic resistance in children with complicated urinary tract infection.
AB - OBJECTIVE: To determine the resistance of antibiotics for complicated urinary
tract infection (UTI), including urinary tract anomaly (UTA), for empirical
antibiotic therapy of complicated UTI. METHODS: Four hundred and twenty urine
isolates were obtained from 113 patients with recurrent UTI, who used
prophylactic antibiotics between February 1999 and November 2004 in the Eskisehir
Osmangazi University, Eskisehir, Turkey. RESULTS: Reflux was found to be the most
important predisposing factor for recurrent UTI (31.9%). Renal scar was detected
more in patients with UTA than without UTA (59.2% versus 12.4%, p<0.05). Gram
negative organisms were dominant in patients with and without UTA (91.5% and
79.2%). Enterococci and Candida spp. were more prevalent in children with UTA
than without UTA (p<0.001). Isolates were significantly more resistant to
ampicillin, trimethoprim-sulfamethoxazole, amikacin, co-amoxiclav, ticarcillin
clavulanate, and piperacillin-tazobactam in patients with UTA than without UTA.
We found low resistance to ciprofloxacin and nitrofurantoin in UTI with and
without UTA. Enterococci spp. was highly resistance to ampicillin and amikacin in
patients with UTA. CONCLUSION: Aztreonam, meropenem, and ciprofloxacin seemed to
be the best choice for treatment of UTI with UTA due to Escherichia coli and
Klebsiella spp. Nitrofurantoin and nalidixic acid may be first choice antibiotics
for prophylaxis in UTI with and without UTA. The UTI with UTA caused by
Enterococci spp. might not benefit from a combination of amikacin and ampicillin,
it could be treated with glycopeptides.
PMID- 18060216
TI - Early outcome of children with complex atrial isomerism undergoing uni
ventricular cardiac palliation.
AB - OBJECTIVE: To review the postoperative intensive care unit (ICU) course and early
outcome of children with complex atrial isomeric hearts undergoing cardiac
surgery for uni-ventricular heart repair. METHODS: A retrospective review and
analysis of ICU course of pediatric patients with atrial isomerism admitted from
January 2000 to December 2004 in King Abdul-Aziz Medical City, Riyadh, Kingdom of
Saudi Arabia, who underwent uni-ventricular repair. RESULTS: During the study
period, 18 (n=18) patients were identified to have complex congenital heart
disease (CHD) associated with atrial isomerism. They were in the form of right
atrial isomerism (n=12), and left atrial isomerism (n=6). Eight patients did not
meet the inclusion criteria of the study and were excluded. Ten of the 18
patients fulfilled the study criteria and underwent first stage uni-ventricular
heart repair with 8 survivals (80%). Three of the 8 operated survival cases
underwent second stage repair with 2 survivals (66%) and one patient completed
Fontan surgery with a good outcome. An uneventful ICU course was noted in 3 of 10
operated patients (30%). Complications were noted in (40%) of cases including
sepsis (n=4), tracheostomy (n=1), prolonged mechanical ventilation >7days (n=2).
Over 50% of the operated patients required prolonged ICU stay (>2 weeks).
CONCLUSION: Atrial isomerism is frequently associated with complex cardiac
defects that often present in the neonatal stage and requires multiple staged
cardiac surgeries. The surgical repair and peri-operative management of this
group of patients can be difficult, challenging, and with potential risk of
significant morbidity or mortality. In our setting, we found the outcome of
children with atrial isomerism undergoing uni-ventricular palliation comparable
to the literature.
PMID- 18060217
TI - Exhaled breath condensate pH and hydrogen peroxide as non-invasive markers for
asthma.
AB - OBJECTIVE: To estimate the predictive value of exhaled breath condensate (EBC)
hydrogen peroxide (H2O2) concentration and pH as non-invasive markers in asthma.
METHODS: Fifty patients with unstable, steroid naive atopic asthma were included
in the study, 25 with intermittent asthma and 25 with persistent asthma. Asthma
diagnosis was according to the National Heart Lung and Blood Institute guidelines
for the diagnosis and management of asthma. Forced expiratory volume in one
second (FEV1) was measured by computerized spirometry. The EBC H2O2 assay was
carried out using the colorimetric assay. The study was conducted from January to
December 2005 in the Asthma and Allergy Center, Tikrit, Iraq. RESULTS: The EBC
H2O2 concentration was higher in the asthmatic group (0.91 micromol) as compared
with the control (0.23 micromol). There was an inverse correlation between EBC
H2O2 concentration and FEV1 predicted percent for asthmatic patients. The mean
EBC pH was lower in the asthmatic than the control group. There was a positive
correlation between EBC pH and FEV1 predicted percent for asthmatic patients.
There was an inverse correlation between EBC H2O2 concentration and pH for all
asthmatic patients, intermittent, and persistent asthmatic groups. CONCLUSION:
Exhaled breath condensate hydrogen peroxide concentration and pH was a good non
invasive marker for asthma, whether it was with a persistent or intermittent
course.
PMID- 18060218
TI - Man-threatening viruses isolated from ticks in Saudi Arabia.
AB - OBJECTIVE: To determine tick viruses transmissible to man in Saudi Arabia.
METHODS: We collected tick samples for identification from different region of
Saudi Arabia during March 1992 - December 1992. Ticks collected from domestic
animals were washed with buffer containing antibiotics, macerated, triturated in
minimal essential media (MEM), centrifuged and the supernatant inoculated
intracerebrally in suckling mice. Brains of mice showing disease signs were
similarly treated and the supernatant inoculated on cell cultures. Antigen spot
slides were prepared from material of blind-passed cell cultures showing
cytopathic effects. The slides were overlaid by group-specific hyperimmune mouse
ascetic fluid (HMAF) to different virus groups and stained with antimouse
fluorescein isothiocyanate conjugate. Positive slides were retested with
monoclonal antibodies or HMAF to individual viruses within the positive group.
RESULTS: Sindbis virus was isolated from Hyalomma dromedarii (H. dromedarii) from
Al-Qasim and Jazan regions and Hyalomma impeltatum (H. impeltatum) from Al-Qasim,
Ar-Riyadh and Jazan regions. Chick Ross and Kadam viruses were isolated from H.
dromedarii from Al-Qasim region. Kadam virus was isolated from Hyalomma
anatolicum (H. anatolicum) from Ar-Riyadh region. Dhori virus was isolated from
H. impeltatum and Hyalomma schulzei (H. schulzei) from the Eastern region. Other
alphaviruses were isolated from H. dromedarii from Al-Qasim and Tabuk regions, H.
impeltatum from Jazan region, H. anatolicum from the Eastern region and
Rhipicephalus sanguineus from Ar-Riyadh and Eastern regions. CONCLUSION: In the
Kingdom, ticks harbor viral infections transmissible from wildlife to man and his
livestock. Local physicians should be aware of the symptoms and signs of these
infections.
PMID- 18060219
TI - Prevalence of dyslipidemia in the Iraqi adult population.
AB - OBJECTIVE: To establish the prevalence of dyslipidemia in the Iraqi adult
population in Mosul, Iraq, according to the different recommended criteria.
METHODS: The study was carried out from October 2003 to April 2004, with 871
apparently healthy volunteers (413 males, 458 females) aged 20-70 year ([mean +/-
SD] 41.2 +/- 13.8 year). Fasting blood specimens were collected from all subjects
for measurement of serum lipid profile including triglycerides (TG), total
cholesterol (TC), high density lipoprotein-cholesterol (HDL-C), low density
lipoprotein-cholesterol (LDL-C), and ratios of cholesterol such as TC:HDL-C, LDL
C:HDL-C, and TG:HDL-C. Classification was carried out according to the different
cut-off levels as recommended by the 2001 American National Cholesterol Education
Program (NCEP) III using thresholds of TG > or = 150 mg/dl (2.0 mmol/L), LDL-C >
or = 100 mg/dl (2.6 mmol/L), HDL-C<40 mg/dl (1.04 mmol/L), and non-HDL-C> or 130
mg/dl (3.37 mmol/L). The criteria of the 1998 British Hyperlipidemia Association
(BHA) were also followed using thresholds of TG > or =180 mg/dl (2.4 mmol/L), TC
> or 194 mg/dl (5.0 mmol/L), LDL-C > or = 116 mg/dl (3.0 mmol/L), HDL-C< or =45
mg/dl (1.15 mmol/L), TC:HDL-C> or =5.0, HDL-C:DL-C> or =2.5, and TG:HDL-C> or
=3.0. RESULTS: Based on the American NCEP III criteria, the dyslipidemic states
were noted with high TG (41.6%), high LDL-C (57.8%), low HDL-C (49.9%), and high
non-HDL-C (56.8%) from the subjects. Based on the BHA criteria, high TG (24.5%),
high TC (32.7%), high LDL-C (37.8%), high TC:HDL-C (30.9%), high LDL-C HDL-C
(55.7%), and high TG:HDL-C (58.3%) were noted. CONCLUSION: Dyslipidemia is common
in the Iraqi population, and this was identified at different recommendation
criteria.
PMID- 18060220
TI - The prevalence of abdominal obesity and its associated risk factors in married,
non-pregnant women born and living in high altitude, southwestern, Saudi Arabia.
AB - OBJECTIVE: To determine the prevalence of abdominal obesity and it's associated
risk factors in a married, non-pregnant, high altitude female population.
METHODS: A cross-sectional study conducted from January to March 2003, with 438
currently married non-pregnant women aged 18-60 years, born and permanent
residents in and around Abha, southwestern heights, Kingdom of Saudi Arabia. A
questionnaire describing the demographic, social, reproductive, physical
activity, and educational status was completed. The subjects were measured by
weight, height, and waist circumference (WC). Body mass index (BMI) was
calculated for each woman (BMI=weight [Kg]/height [m2]). Abdominal obesity was
defined as WC>88cm, and total obesity as BMI > or =30 according to the World
Health Organization criteria. RESULTS: The overall prevalence of abdominal
obesity was 41.1%. The prevalence was positively and significantly associated
with age, total obesity, and parity (p=0.0001 for all), negatively and
significantly with educational level (p=0.0001), and negatively and
insignificantly with strenuous physical activity (p=0.9). Results of multiple
logistic analyses showed that age, total obesity, and educational level were
independent risk factors for abdominal obesity. CONCLUSION: The study highlighted
the high prevalence of abdominal obesity and showed that in addition to total
obesity, intra-abdominal fat deposition is influenced by other lifestyle and
reproductive factors. Community health education programs, which provide
information on the high prevalence of abdominal obesity and its risk factor to
all women, will be certainly justifiable, and prevention strategies should be
implemented accordingly.
PMID- 18060221
TI - Regional variations in the prevalence of consanguinity in Saudi Arabia.
AB - OBJECTIVE: To report on the prevalence of consanguinity in each region of the
Kingdom of Saudi Arabia including the variation in prevalence between urban and
rural settlements. METHODS: The study was conducted over 2 years (2004-2005). A
cross-sectional sample determined by multistage random probability sampling of
Saudi households from each of the 13 regions of the Kingdom. As part of survey
questionnaire, the mother of each household was asked on the relationship to her
husband to choose one of 3 answers: first-degree cousin, more distant
relationship, or no relation. RESULTS: The overall prevalence of consanguinity
was 56% with the first-degree cousin (33.6%) being more common than all other
relations (22.4%). The overall prevalence was significantly more common in rural
(59.5%) than in urban settlements (54.7%) (p=0.000). There are regions with high
prevalence of 67.2% such as Madina, and regions with significantly lower
prevalence of 42.1% such as Al-Baha (p=0.000). CONCLUSION: The national
prevalence of consanguinity in the Kingdom of Saudi Arabia remains high. In
addition, there are significant variations in the prevalence of consanguinity
between certain regions as well as between rural and urban settlements that
should be taken into consideration in further studies.
PMID- 18060222
TI - Prescribing pattern of general practitioners for osteoarthritis in primary care
settings in Bolu, Turkey.
AB - OBJECTIVE: To assess the drug preferences of primary care physicians for
osteoarthritis (OA) in comparison with the current guidelines, and their
reflections in the cost of prescriptions. METHODS: Data were collected from all
primary health care centers in Bolu, Turkey, during November 2002 from patient
polyclinic logbooks. Drugs prescribed were classified according to the Anatomical
Therapeutic Chemical Classification system for comparison purposes. Gender, age,
and health insurance of patients were analyzed for drug preferences and costs.
RESULTS: Forty-eight primary care physicians prescribed 1,047 drugs for 507 OA
patients with total a cost of $10,254. Anti-inflammatory and antirheumatic
products were the leading group accounting for 59.6% of the prescribed drugs, and
84.1% of the total expenditure. Paracetamol, the most commonly recommended in the
guidelines, constituted 6.9% of all prescribed drugs and 0.9% of the total cost.
Drug preferences showed a statistical difference among the health insurance types
while drugs' cost showed statistical significance among the gender and health
insurance types. Cyclooxygenase-2-specific inhibitors were the most commonly
prescribed subgroup, constituting 23.2% of prescribed drugs and 62.6% of the
total expenditure. CONCLUSION: Paracetamol in practice was not the first-line
drug preferred by primary care physicians. Drug prescription data showed that the
preference of drugs was affected by health insurance types and the gender of
patients in favor of expensive, new drugs. There is a need for improvement of
drug prescriptions to reflect current recommendations and guidelines.
PMID- 18060223
TI - Serum resistin levels in Syrian obese patients with diabetes mellitus type II.
AB - OBJECTIVE: To determine serum resistin levels in obese patients with diabetes
mellitus type II. METHODS: We studied 87 subjects in an sectional study, divided
into 3 groups: obese, obese diabetic and normal subjects. Their age, gender and
body mass index were recorded. Serum resistin, insulin, glucose, cholesterol,
high-density lipoproteins, low-density lipoproteins, triglyceride, urea and
creatinine were measured. RESULTS: The mean +/- SD plasma resistin for the obese
diabetic group is 7.32 +/- 3.74 ug/ml versus 4.25 +/- 1.77 ug/ml in the control
group (p=0.021). Intro-group comparison of obese subjects (diabetics versus non
diabetics) revealed higher levels of resistin, glucose, triglyceride, cholesterol
and low density lipoproteins in diabetic subjects, but no statistically
significant difference of high density lipoproteins. Furthermore, resistin
correlated significantly and positively with body mass index (r = 0.375; p<0.05),
resistin correlated significantly and negatively with high-density lipoproteins
(r = -0. 363; p<0.05). CONCLUSION: Serum resistin levels are increased in obese
patients with type 2 diabetes compared with controls. Resistin appears to be a
possible link between obesity and type 2 diabetes in humans.
PMID- 18060224
TI - Urofacial syndrome.
AB - The urofacial or Ochoa syndrome is a rare disease. We report on 2 patients of
middle-eastern origin, with a review of the current literature to further
document the existence of this syndrome, and to increase the general awareness of
the classical facial characteristics, which facilitates diagnosis.
PMID- 18060225
TI - Gastrosplenic fistula in Hodgkin's lymphoma treated successfully by laparoscopic
surgery and chemotherapy.
AB - A gastrosplenic fistula is a rare complication of a gastric or splenic lesion. We
report a case of Hodgkin's lymphoma nodular sclerosis involving the spleen that
was complicated by spontaneous gastrosplenic fistula. The fistula was closed
laparoscopically, and the patient underwent partial gastrectomy and gastric wall
repair, followed by successful chemotherapy. This is also the first reported case
in published literature where closure of gastrosplenic fistula and partial
gastrectomy was carried out laparoscopically. We recommend that extensive open
surgical procedures including total gastrectomy, splenectomy, and pancreatectomy
may be avoided in the management of gastrosplenic fistula, and the patient could
be managed by less radical, simple laparoscopic fistulectomy, with partial
gastric resection. If the fistula is caused by a malignant process, the surgical
repair should be followed by definitive treatment with chemotherapy and
radiotherapy.
PMID- 18060226
TI - Minimally invasive retroperitoneal adrenalectomy.
AB - The minimally invasive MI approach has become the gold standard in removing the
adrenal gland. Both transperitoneal and retroperitoneal techniques were reported
safe and effective. The retroperitoneal approach has the advantage of direct
access to the gland, easy access to retrocaval tumors, carries no cardiovascular
or pulmonary risk of carbon dioxide insufflation to a high intra-abdominal
pressure, and is not affected by previous abdominal surgery or radiation. We
report a case of MI retroperitoneal adrenalectomy for right adrenal incidentaloma
in a recently diagnosed breast cancer patient with multiple medical problems, and
emphasize the advantage of this approach over the MI transperitoneal approach in
the presence of patient's co-morbidity.
PMID- 18060227
TI - Metastatic synovial sarcoma to the left atrium. A management dilemma.
AB - We present a rare case of synovial sarcoma with minimal symptoms that
metastasized and threatened to embolize the heart in a 26-year-old male admitted
to our hospital for evaluation of low back pain. The tumor made a direct
extension to the left atrium and moved freely in the left ventricle outflow
tract. We discuss the potential therapeutic modalities in this difficult
scenario.
PMID- 18060228
TI - Multiple schwannomas of cauda equina in the absence of von Recklinghausen's
disease.
AB - Multiple schwannomas in the absence of neurofibromatosis is rarely reported in
the literature. We present a 56-year-old female with a history of severe leg and
back pain on the left side for one year. Magnetic resonance imaging revealed 4
schwannomas located in the cauda equina in the absence of von Recklinghausen's
disease.
PMID- 18060229
TI - Pelvi-perineal myolipoma.
PMID- 18060230
TI - Echocardiographic approach of left ventricular dysfunctions in essential
hypertension.
PMID- 18060231
TI - Tuberculosis verrucosa cutis. Experience from eastern Turkey.
PMID- 18060232
TI - Constrictive pericarditis presenting as recurrent ascites for 10 years.
PMID- 18060233
TI - Ultrastructure study of postmenopausal endometria of tibolone, conjugated
estrogen + medroxyprogesterone acetate and tamoxifen users.
PMID- 18060234
TI - Metformin discontinuation rate among patients with type-2 diabetes mellitus in
Basrah, Iraq.
PMID- 18060235
TI - Beaus lines.
PMID- 18060236
TI - Study of the bacterial agents of blood stream infection in a Neonatal Intensive
Care Unit.
PMID- 18060239
TI - [Innovations in thrombolytic therapy in heart and coronary diseases].
PMID- 18060240
TI - [Antithrombotic therapy of acute coronary syndromes].
AB - Recent advances in the diagnosis and the treatment of acute coronary syndromes
(ACS) have led to a substantial reduction of major coronary events, to an
improvement in patient outcome and the definition of new guidelines. Current
strategies for the treatment of patients with non-ST-elevation ACS recommend a
combined antithrombotic therapy (including aspirin, clopidogrel, anticoagulation
with low-molecular weight or unfractionated heparins or FXa-inhibitors or direct
antithrombins and, eventually glycoprotein IIb/IIIa receptor antagonists). This
combined antithrombotic therapy allows to increase the benefit of an early
invasive strategy including coronary angiogram with stent percutaneous coronary
angioplasty. The purpose of this review is to discuss and highlight the
recommendations for the appropriate use of antithrombotic strategies in the
setting of angioplasty in ACS patients.
PMID- 18060241
TI - [Factor Xa-inhibition in interventional cardiology].
AB - The recently established correlation between bleeding events and clinical
outcomes in patients with coronary artery disease undergoing either non-invasive
or invasive treatment for acute coronary syndromes (ACS) highlights the unmet
need for safer anticoagulants that can be used in conjunction with dual or triple
antiplatelet therapy. The central position of the coagulation factors IIa and Xa
within the coagulation system account for their prominent role as targets for
anticoagulants. Unfractionated heparin (UFH) achieves a variable indirect
inhibition of both factors. The low molecular weight heparins (LMWH) show
favourable pharmacokinetics over UFH and have a more pronounced activity against
factor Xa as opposed to thrombin which may partially account for the benefits
observed with LMWH in clinical trials. New agents that have been developed allow
for a selective inhibition of factor Xa. Recently, exciting results have been
reported with an indirect selective inhibitor of factor Xa in patients with ST
elevation myocardial infarction (STEMI) -acute coronary syndromes (ACS) and non
STEMI-ACS. In this article the pharmacology of the indirect selective factor Xa
inhibitors Fondaparinux and Idraparinux will be discussed along with the direct
selective factor Xa inhibitors DX-9065a and Otamixaban in the setting of
interventional cardiology.
PMID- 18060242
TI - [Direct thrombin inhibition in interventional cardiology. The ACUITY trial].
AB - The direct thrombin inhibitor bivalirudin was tested in the ACUITY Trial 13 819
patients with acute non-ST-segment elevation coronary syndrome in a prospective
and randomized design. Three therapeutic regimens were compared in the context of
an early invasive strategy: heparin plus glycoprotein IIb/IIIa inhibitor (GPI),
bivalirudin plus GPI, or bivalirudin alone. Concerning the rate of ischaemic
events bivalirudin alone was comparable to heparin plus GPI at a significantly
lower rate of bleeding complications.
PMID- 18060243
TI - [Platelet activation in acute coronary syndrome and interventional therapy].
AB - Platelets play a critical role in formation of coronary thrombosis. An enhanced
systemic platelet activation plays a significant role in the acute coronary
syndrome. Despite better interventional techniques and better concomitant
pharmacological therapy, the degree of platelet activation contributes
significantly to prognosis and postinterventional event rate. Residual platelet
activation after intervention is often associated with an enhanced initial
platelet activation prior interventional treatment. An effective antiplatelet
therapy is of utmost importance for the acute therapy and for secondary
prevention in patients undergoing coronary interventions or with acute coronary
syndrome. The efficacy of the antithrombotic therapy determines the long term
prognosis in these patients.
PMID- 18060244
TI - [Is stent thrombosis the new Achilles heel of interventional cardiology? State of
the Art clinical trials, causes and approaches for prevention].
AB - Coronary stents are the mainstay of percutaneous coronary intervention. Stent
thrombosis is a potentially catastrophic and often life-threatening complication.
If it occurs it presents in up to 80% as myocardial infarction, about half of the
affected patients die from this complication. The dual antiplatelet therapy has
markedly reduced its occurrence. Today, stent thrombosis occurs in <1%, usually
as a delayed event; but compared to bare metal stents the overall incidence has
not increased in meta-analyses of randomized trials. The advent of drug-eluting
stents (DES) has raised concerns regarding the occurrence of delayed stent
thrombosis. Delayed arterial wall healing as well as prothrombotic
characteristics of the drug eluting stent itself may contribute to stent
thrombosis. In order to prevent stent thrombosis a standardized fixed dose
antiplatelet therapy with ASA and clopidogrel is recommended. But, their efficacy
depends on patient's individual characteristics such drug metabolism. Therefore,
individual determination of platelet function in each patient undergoing stent
implantation may help to avoid prothrombotic as well as bleeding complications.
PMID- 18060245
TI - [Prasugrel, a new thienopyridine].
AB - The introduction of thienopyridines, specifically of clopidogrel, offered for the
first time an orally active therapeutic alternative to acetylsalicylic acid (ASA)
as an antiplatelet agent. Despite of established clinical efficacy, it became
also evident with increasing clinical use that the antiplatelet actions of
clopidogrel are subject of considerable interindividual variations of its
antiplatelet efficacy (clopidogrel resistance) in laboratory tests which might
also be clinically relevant. Prasugrel is a new, orally active thienopyridine
with an expected spectrum of biological activities similar to clopidogrel.
Prasugrel, like clopidogrel, is also an inactive prodrug that has to be
transformed into the active metabolite by the liver cytochrome P450 system.
However, different cytochromes appear to be involved for different extent in the
generation of the active metabolite. The active metabolite of prasugrel, R
138727, inhibits irreversibly the platelet P2Y(12) ADP receptor. In contrast to
ASA, neither clopidogrel nor prasugrel inhibit the arachidonic acid metabolism.
This allows synergistic interactions with ASA as thromboxane inhibitor and PGI(2)
as stimulator of cAMP-formation in platelets. Prasugrel is orally more potent and
acts more rapidly than clopidogrel, allowing lower oral dosing despite of similar
in vitro activity of the active metabolites. These pharmacological advantages of
prasugrel are probably due to its improved pharmacokinetics, i.e. the higher
conversion rate of the prodrug into the active metabolite. In case of
clopidogrel, only about 10-15% of the prodrug is converted into the active
metabolite. This higher conversion rate possibly also explains the more rapid
onset of platelet inhibition, the lower interindividual variability and higher
oral potency with apparently less "resistance" than clopidogrel. Clinically, this
might result in an improved efficacy. Whether this is associated with an
increased risk of bleeding will be seen from the first phase III clinical trial
in PCI-patients. The first results are expected at the end of the year.
PMID- 18060246
TI - [Thrombolysis in cardiopulmonary resuscitation].
AB - The prognosis of patients suffering cardiac arrest is still poor. Until today, no
drug therapy has shown to improve longterm survival after cardiac arrest.
Thrombolysis has been shown to be an effective therapy in patients with acute
myocardial infarction (AMI) or massive pulmonary embolism (PE). Since 50-70% of
cardiac arrests are caused by AMI or massive PE, the combination of
cardiopulmonary resuscitation (CPR) and thrombolytic therapy appears to be
sensible. As experimental studies have shown, thrombolytic therapy during CPR may
not only be a causal treatment for coronary or pulmonary arterial obstruction by
thrombi, but may also improve microcirculatory reperfusion after cardiac arrest.
Although numerous small clinical studies have shown the efficacy of thrombolysis
during CPR in selected patients, the generalized treatment of patients suffering
cardiac arrest with thrombolytics can not be recommended based on current
clinical evidence. According to the recent CPR guidelines, thrombolysis may be
considered in cardiac arrest patients with suspected massive PE or as a so-called
rescue therapy after unsuccessful conventional CPR in patients with a suspected
thrombotic cause of cardiac arrest. The risk of severe bleeding complications
following thrombolysis during CPR seems to be outweighed by the potential benefit
of this therapy in selected patients.
PMID- 18060247
TI - [Helping antibodies. Targeted antithrombotic and fibrinolytic therapy].
AB - The development of monoclonal antibodies facilitated an enormous progress in
modern medicine in the last years. The targeted inhibition of defined molecular
structures allows therapeutic concepts, which before were inconceivable. There
are numerous antibodies in clinical use within the area of tumour therapy,
chronically inflammatory diseases, transplantation, infections and also in
cardiovascular medicine. Different antibody formats are used such as IgG
molecules, Fab fragments and single chain antibodies. Single chain antibodies
represent the smallest functional form of the antibody and are used
preferentially as recombinant antibodies. The therapeutic possibilities of
antibody technology are extended by fusion to radioactive or therapeutically
active substances. This review focuses on the application of antibodies and
fusion proteins as antithrombotic and fibrinolytic drugs. The use of antibodies
allows the development of inhibitory agents with clearly defined functional
properties, as for example for activation-specific GPIIb/IIIa-blockade. In
addition antibodies can be used for targeting antithrombotic and fibrinolytic
agents to the thrombus, allowing an effective local action with less bleeding
complications.
PMID- 18060248
TI - [Inducing collaterals in due time. Arteriogenesis as a preventive principle].
AB - A stimulation of collateral vessel growth is an attractive alternative
therapeutic tool especially for patients with diffuse occlusive vessel disease.
Extensive in vivo and in vitro studies in the preceding decades have led us to a
thorough understanding of basic arteriogenic principles. Due to the timeline of
naturally occurring arteriogenesis, a well-timed therapeutic induction appears to
be limiting for effective proarteriogenic therapies in high-risk patients.
Potential therapeutic approaches are based on a stimulation of monocyte function
through cytokine application. First clinical studies have, nevertheless,
demonstrated the limits of a unifactorial therapy. Therefore, a stimulation of
the mechanical inductor of arteriogenic proliferation, i. e. fluid shear stress
acting on the arteriolar endothelium, appears as a feasible therapeutic addition.
Current results show the feasibility of that principle not only through active
physical training, but also through passive application of an external
counterpulsation (EECP), a method showing promising first results in the clinical
setting.
PMID- 18060249
TI - Extracellular RNA. A new player in blood coagulation and vascular permeability.
AB - Upon vascular injury, locally controlled haemostasis prevents life threatening
blood loss and ensures wound healing. Intracellular material derived from damaged
cells at these sites will become exposed to cells and plasma proteins and could
thereby influence vascular homeostasis, blood coagulation and defense mechanisms.
Recently, this concept was documented by several studies indicating that
extracellular nucleic acids, and RNA in particular, serve as promoter of blood
coagulation in vivo and significantly increase the permeability across brain
endothelial cells in vitro and in vivo. As procoagulant cofactor and "natural
foreign material", RNA triggers the contactphase pathway of blood coagulation and
thereby contributes to pathological thrombus formation. Administration of RNase
significantly delayed occlusive thrombus formation and prevented edema formation
in different animal models. Thus, extracellular RNA derived from damaged and
necrotic cells may serve as a natural danger signal that contributes to
initiation of host defense mechanisms, while antagonizing RNase provides new
regimens for antithrombotic and vessel-protective therapies.
PMID- 18060250
TI - Nucleic acid aptamers and their complimentary antidotes. Entering an era of
antithrombotic pharmacobiologic therapy.
AB - The translation of fundamental science-based constructs to the preemptive
identification and optimal management of individuals with or those at risk for
thrombotic disorders of the cardiovascular system has taken a step closer to
being realized with the development of molecular technologies that include
nucleic acid aptamers and their complimentary oligonucleotide antidotes. Herein,
we summarize our experience with factor IX and von Willebrand factor aptamers,
and introduce the era of antithrombotic pharmacobiologic therapy.
PMID- 18060251
TI - Prevalence of bone mineral disease among adolescents with cystic fibrosis.
AB - OBJECTIVE: To evaluate the prevalence of bone mineral disease among adolescents
with cystic fibrosis and to relate the findings with the variables studied.
METHODS: The study enrolled 37 adolescents who were assessed for: nutritional
status according to height/age and body mass/age ratios; bone mineral density of
the lumbar spine and entire body by densitometry with dual emission X-ray; daily
dietary intake according to a 3-day dietary recall; and pulmonary function by the
forced expiratory volume in one second test. RESULTS: Mean age was 13.2 (+/-2.8)
years. Nutritional status was adequate in 70.3 and 75.7% of patients according to
the height/age and body mass/age indices, respectively; 54.1% of the patients
exhibited reduced lumbar spine bone mineral density and 32.5% for the whole body.
There was a positive correlation between bone mineral density and body mass index
(p = 0.04). Lung disease and pancreatic insufficiency exhibited a correlation
with altered bone mineral density. The dietary recall revealed adequate
percentages of calcium, phosphorous and calories, according to the nutritional
recommendations laid out in the European Cystic Fibrosis Consensus. The
multivariate analysis indicated that these variables were not statistically
significant. CONCLUSIONS: There is a high prevalence of bone mineral disease
among adolescents. Good nutritional status, pancreatic enzyme replacement and
control of lung disease may have a protective effect on bone mass.
PMID- 18060253
TI - Biochemical evaluation of glycemic levels of long-term tacrolimus therapy in
rats.
AB - One of the more serious complications following transplantation is the
development of post-transplantation diabetes mellitus (PTDM), which has a major
impact on the quality of life, with effects ranging from the control of glycemia
times to increased susceptibility to infections and cardiovascular complications.
It has been suggested that immunosuppressive therapy, mainly tacrolimus therapy,
may be an important factor in the development of PTDM. There is a lack of studies
that explore the effects of long-term tacrolimus on PTDM in animal protocols. The
objective of this study was therefore to evaluate the effects of long-term
therapy with tacrolimus in rats. One group was treated with tacrolimus, injected
subcutaneously, in a daily dose of 1 mg/kg of body weight. The chosen dose was
sufficient to achieve therapeutic tacrolimus serum levels. The experimental
periods were 60, 120, 180 and 240 days. One group was used as control and
received daily subcutaneous injections of saline solution during all periods. A
tendency towards increased glycemia levels during the initial periods (60 and 120
days) was observed. However, at 180 and 240 days, the glycemia levels were not
statistically different from that of the control group of the same period. It may
thus be concluded that the deleterious effects of tacrolimus therapy on glycemia
may be a time-related side effect.
PMID- 18060254
TI - Hypersensitivity to conventional and to nickel-free orthodontic brackets.
AB - The aim of this study was to evaluate the allergenic potential of orthodontic
brackets, comparing the cutaneous sensitivity provoked by metals present in
conventional metallic brackets to that provoked by brackets with a low
concentration of nickel, known as "nickel-free". A sample was selected from 400
patients undergoing treatment in the orthodontic clinic of the Pontifical
Catholic University of Minas Gerais (Belo Horizonte, MG, Brazil), in the period
from the beginning of 2002 to the end of 2003. A cutaneous sensitivity patch test
containing 5% nickel sulphate was used in 58 patients (30 males and 28 females),
aged between 11 and 30, which were using fixed appliances with Morelli brackets
in both arches. In a second phase, 30 days later, a comparative test of cutaneous
sensitivity was applied to the whole sample with two types of test specimens, in
the form of a disc. Two alloys were tested: discs composed of the alloy used in
the construction of conventional brackets and discs composed of a nickel-free
alloy. The internal part of the forearm was chosen for testing, and 20 test
specimens of each experiment (corresponding to the twenty brackets of a complete
fixed appliance) were applied. Of the 58 patients evaluated, 16 patients were
sensitive to the patch test with 5% nickel sulphate. Out of these 16 patients, 12
developed an allergic reaction to experiment 1 (test specimen with nickel), while
in experiment 2, only 5 patients showed sensitivity to that sample. The McNemar
test revealed that the nickel-free test specimens provoked less allergic reaction
when compared with the conventional alloy (p=0.016).
PMID- 18060255
TI - Dissolving efficacy of some organic solvents on gutta-percha.
AB - The aim of this study was to evaluate the solubility of gutta-percha in four
organic solvents used in endodontics. The solubility of gutta-percha (Dentsply)
was assessed in xylol, orange oil, eucalyptol, chloroform and distilled water. A
hundred and fifty samples of gutta-percha were prepared using a standardized
stainless steel mould and divided into five groups for immersion in the different
solvents tested and in distilled water (control group) for 2, 5 and 10 minutes.
The means of gutta-percha dissolution in the solvents were obtained by the
difference between the pre-immersion original weight and the post-immersion
weight in a digital analytical scale (Gehaka-AG2000). Data were statistically
analyzed by Analysis of Variance (ANOVA) and multiple comparisons with Scheffes
test (p<0.05). The best solvency capacity was obtained with xylol. Chloroform,
orange oil and eucalyptol presented similar results, and distilled water did not
promote alterations in the gutta-percha.
PMID- 18060256
TI - In vitro evaluation of the effectiveness of the chemomechanical preparation
against Enterococcus faecalis after single- or multiple-visit root canal
treatment.
AB - The purpose was to assess the elimination of Enterococcus faecalis in vitro in
human mandibular premolars after chemomechanical preparation with or without the
use of a calcium hydroxide dressing. After 60 days of contamination with E.
faecalis, the root canals were prepared using the Crown-Down technique combined
with 2% chlorhexidine gel irrigation. Then, the specimens were divided into two
experimental groups, treated in a single visit or in multiple visits, and two
control groups. The multiple-visit group received a dressing with calcium
hydroxide for 14 days (Calen) and the single-visit group did not receive any
medication. In the two control groups, the canals were filled with BHI after
chemomechanical preparation with 2% chlorhexidine gel or distilled water.
Microbial samples were taken from the root canals for colony forming unit count
for each phase of the treatment using sterile paper points inside the root canal
lumen. Data were ranked and analyzed by the Kruskal-Wallis statistical test. The
residual microbial colonies were then assessed. The results showed that
chemomechanical preparation using 2% chlorhexidine gel with no intra-canal
dressing reduced by 100% the E. faecalis contamination of the root canal lumen.
The calcium-hydroxide group that received the 14-day intra-canal dressing allowed
a small number of bacteria to grow between visits, but without statistical
differences between groups.
PMID- 18060257
TI - Prevalence of nonsyndromic oral clefts in a reference hospital in the state of
Minas Gerais, Brazil, between 2000-2005.
AB - The aim of the present study was to analyze the prevalence of nonsyndromic oral
clefts in children receiving treatment at the Center for the Rehabilitation of
Craniofacial Anomalies, Jose do Rosario Vellano University, Alfenas, MG, Brazil.
All the data for the epidemiological study was retrieved from the files of 126
pediatric patients with oral clefts without any additional malformation, who came
to the center for treatment between 2000 and 2005. A predominance of clefts was
observed in Caucasians, and the ratio of male to female was 1.3. Males were 2.57
times more affected by cleft lip and palate (CLP) than females. CLP with a
prevalence of 39.68% and isolated cleft lip (CL) with a prevalence of 38.09% were
the most common anomalies, followed by isolated cleft palate (CP; 22.23%).
Complete and unilateral CLP (26.19%) presented the highest prevalence, followed
by incomplete and unilateral CL (23.81%). The present study presents the
experience of a reference hospital in the state of Minas Gerais; however, the
real prevalence of oral clefts in Brazil is still unknown. Our findings differ
from those of a few previous Brazilian reports because they suggest similar
prevalences of CLP and CL, and a higher prevalence of CLP in Caucasian males.
PMID- 18060258
TI - Specific concentration evaluation of 16% carbamide peroxide compounded at
dispensing pharmacies.
AB - The aim of this work was to evaluate the concentration of carbamide peroxide
compounded at different dispensing pharmacies. Immediate concentration analysis
was made of bleaching gels dispensed by specialized pharmacies, and of a
commercially available gel (control group) (n=20). The carbamide peroxide
concentration was determined by titration and the results were analyzed
statistically by the Kruskal-Wallis test. The commercial bleaching agent (control
group) and one of the gels from the pharmacies presented the best mean
concentration values, close to 16%. In conclusion, the concentration of the
manipulated and industrialized carbamide peroxide gels presented concentration
values differing from 16%.
PMID- 18060259
TI - In vitro antimicrobial activity of irreversible hydrocolloid impressions against
12 oral microorganisms.
AB - This study evaluated in vitro the antimicrobial activity of irreversible
hydrocolloids (one containing an antimicrobial agent) prepared with water or with
a 0.2% chlorhexidine digluconate solution against 12 strains of the oral
microbiota. Twenty specimens (0.5x1.0 cm) for each group (1. Jeltrate mixed with
water; 2. Jeltrate mixed with 0.2% chlorhexidine digluconate solution; 3.
Greengel mixed with water; 4. Greengel mixed with 0.2% chlorhexidine digluconate
solution) were prepared under sterile conditions and placed in culture media
inoculated with the indicator strains. After incubation in aerobiosis or
microaerophilia, inhibition of the microbial growth was measured and the results
were interpreted. The normal adherence curve revealed a non-normal distribution
of the data, so the non-parametric Friedman Test was performed (p<0.05). The
antimicrobial activity of the groups was classified in the following order: 1, 3,
4, and 2. The results suggest that the method of preparing irreversible
hydrocolloids with a 0.2% digluconate chlorhexidine solution is more effective
than the incorporation of an antimicrobial agent in the powder to reduce cross
contamination caused by impressions.
PMID- 18060260
TI - New formula to objectively evaluate skeletal maturation using lateral
cephalometric radiographs.
AB - The aim of this study was to establish two new formulas for objectively
evaluating skeletal maturation of cervical vertebrae in female and male Brazilian
subjects using lateral cephalometric radiographs. The sample included 128 girls
and 110 boys, aged 7.0 to 15.9 years, from the files of the Oral Radiology
Clinic, Piracicaba Dental School, University of Campinas (Unicamp), SP, Brazil.
The cervical vertebral bodies of C3 and C4 were traced and measured and
regression formulas were developed in order to determine cervical vertebral bone
age. Another sample of lateral teleradiographs and hand-wrist radiographs of 55
girls and 54 boys (aged 7.0 to 15.9 years) was used to verify the reliability of
the developed regression formulas, as compared with bone age assessed using the
Tanner et al.15 (2001) Method (TW3) in hand-wrist radiographs. The analysis of
both the boys and girls data (ANOVA) showed no statistical difference between
cervical vertebral bone age, bone age, and chronological age, indicating that
these formulas can be used in this population (p=0.5721 and p=0.6007 for girls
and boys, respectively). Female cervical vertebral bodies of C3 and C4 increased
in an accelerated manner from 10 to 13 years. Analysis of the male sample showed
that C3 measurements increased in an accelerated manner from 12 to 15 years. The
C4 measurements, however, did not increase at all. Using cervical vertebral bone
age it is possible to evaluate skeletal maturation objectively in cephalometric
radiographs.
PMID- 18060261
TI - EBV-1 and HCMV in aggressive periodontitis in Brazilian patients.
AB - The purpose of the present investigation was to compare the presence of Epstein
Barr virus type 1 (EBV-1) and of Human Cytomegalovirus (HCMV) in crevicular fluid
samples from deep and shallow periodontal pocket sites of Brazilian patients with
aggressive periodontitis. A total of 30 systemically healthy patients with
aggressive periodontitis participated in the study. Paper points were inserted
into 2 gingivitis sites (<3 mm) and into 2 periodontitis sites (>5 mm) in each
patient. PCR assay was used to identify genomic copies of HCMV and EBV-1. Twenty
three patients (77%) were positive for EBV-1, while only 2 patients (6%) were
positive for HCMV. The McNemar test revealed a positive association between EBV-1
and periodontal lesions (p=0.043). Thirty-four (57%) out of 60 periodontitis
sites were positive for EBV-1, whereas 18 (30%) gingivitis sites were positive
(p=0.01). Only two sites (6.7%) were positive for HCMV. No positive association
was found between HCMV and periodontitis or gingivitis (p=0.479). The elevated
occurrence of EBV-1 DNA in periodontal pockets of patients with aggressive
periodontitis supports a possible periodontopathic role of this virus.
PMID- 18060262
TI - In vitro antimicrobial efficiency of a mouthwash containing triclosan/gantrez and
sodium bicarbonate.
AB - Several antiseptic substances have been used as adjuncts to routine mechanical
procedures of oral hygiene, based on their antimicrobial effects. The objective
of this study was to assess in vitro the antimicrobial efficiency of a mouthwash
containing Triclosan/Gantrez and sodium bicarbonate in comparison to both
positive and negative controls. Standard strain samples of Escherichia coli,
Pseudomonas aeruginosa, Actinomyces viscosus and Bacillus subtilis were used.
Samples of Streptococcus mutans and Gram-negative bacilli were collected from 20
volunteers (10 with a clinically healthy periodontium and 10 presenting biofilm
associated gingivitis). Evaluation of the antimicrobial activity was performed by
determining the minimal inhibitory concentration (MIC). The results indicated
that the test solution inhibited the growth of both Gram-negative and Gram
positive microorganisms from the volunteers saliva as well as that of the
standard strains at the MIC dilution of 1:20, whereas the MIC dilution of 0.12%
chlorhexidine against the same bacteria was 1:80. Thus, even though the tested
mouthrinse solution presented an in-vitro antimicrobial activity superior to that
of a placebo, it was inferior to that of chlorhexidine.
PMID- 18060263
TI - A retrospective clinical, radiographic and microbiological study of periodontal
conditions of teeth with and without crowns.
AB - The aim of this study was to evaluate retrospectively the periodontal conditions
of teeth with fixed crowns that had been in place from 3 to 5 years before the
study was conducted. Forty individuals were recalled for a follow-up visit. Full
mouth clinical examinations were carried out and Visible Plaque Index (VPI),
Gingival Bleeding Index (GBI), Probing Pocket Depth (PPD), and clinical
attachment level (CAL) were assessed in 6 sites per tooth. Parallel radiographs
were also taken and blindly analyzed by a digital caliper (distance between the
apex and the bone crest). BANA tests were performed. A contra-lateral sound tooth
was considered the control. Mean values were obtained and Wilcoxon and paired
sample t tests were used to compare the test and control sites. Crowns had a mean
VPI value of 30.42% as compared to 49.17% for sound teeth. The GBI was 33.33% and
26.25% for test and control teeth respectively. Assessment of PPD revealed values
of 2.30 and 2.14 mm, and assessment of CAL revealed averages of 2.02 and 1.89 mm
for test and control teeth respectively. The mean values for radiographic
distances were 12.73 and 13.67 mm, and for the BANA test, 67.50 and 50.00 for
sound and crowned teeth, respectively. Statistically significant differences were
observed for all parameters except for CAL and for the BANA test. It may be
concluded that, with the methods used in the present study, crowns may be
associated with more signs of inflammation, however not with periodontal
breakdown.
PMID- 18060264
TI - Electrognathographic evaluations of rehabilitated edentulous patients.
AB - This study investigated, through computerized electrognathographic evaluations
(K6-I Diagnostic System, Myotronics-Noromed Inc., Tukwila, USA), the mandibular
movement pattern of 16 patients rehabilitated with complete dentures presenting
no symptoms of stomatognathic functional alterations. The patients were
instructed to wear an intra-oral appliance for occlusal plane coverage over their
usual superior denture and were then rehabilitated with new dentures preserving a
free-way space of 3 mm. After sixty days, the occlusal vertical dimension was
increased and the modified inferior dentures were used for another 60 days. The
obtained results were submitted to the Tukey Test and to the Friedman test,
depending on which variable was under consideration, both at a significance level
of 5%. The data revealed a significant decrease in free-way space when the first
and the last evaluations were compared. No significant differences were found
during opening and closing. It was concluded that the presence of a free-way
space at the end of the treatment confirms the importance of its existence for
maintaining the balance of the masticatory system, assuming the occurrence of a
postural repositioning.
PMID- 18060265
TI - Influence of the power level of an ultra-sonic system on dental cavity
preparation.
AB - The aim of this study was to evaluate the shape of dental cavities made with the
CVDentus system using different ultrasound power levels. One standard cavity was
made on the buccal aspect of 15 bovine incisors with a CVDentus cylindrical bur
(82142). The sample was divided into three groups: G1-ultrasound with power II;
G2-ultrasound with power III; and G3-ultrasound with power IV. A standardizing
device was used to obtain standardized preparations and ultrasound was applied
during one minute in each dental preparation. The cavities were sectioned in the
middle, allowing observation of the cavity's profile with a magnifying glass, and
width and depth measurement using the Leica Qwin program. The Kruskal-Wallis
(p<0.05) and Dunn statistical analyses demonstrated differences between the
dental cavity shapes when powers III and IV were used. However, the cavities that
were made with power III presented dimensions similar to those of the bur used
for preparation. We concluded that the power recommended by the manufacturer
(III) is the most adequate for use with the CVDentus system.
PMID- 18060266
TI - Streptococcus mutans-induced secondary caries adjacent to glass ionomer cement,
composite resin and amalgam restorations in vitro.
AB - The aim of this study was to define, in vitro, the potential to inhibit secondary
caries of restorative materials currently used in dental practice. Standard
cavities were prepared on the buccal and lingual surfaces of fifty extracted
human third molars. The teeth were randomly divided into five groups, each one
restored with one of the following materials: glass ionomer cement (GIC);
amalgam; light-cured composite resin; ion-releasing composite; and light-cured,
fluoride-containing composite resin. The teeth were thermocycled, sterilized with
gamma irradiation, exposed to a cariogenic challenge using a bacterial system
using Streptococcus mutans, and then prepared for microscopic observation. The
following parameters were measured in each lesion formed: extension, depth, and
caries inhibition area. The outer lesions developed showed an intact surface
layer and had a rectangular shape. Wall lesions were not observed inside the
cavities. After Analysis of Variance and Component of Variance Models Analysis,
it was observed that the GIC group had the smallest lesions and the greatest
number of caries inhibition areas. The lesions developed around Amalgam and
Ariston pHc restorations had an intermediate size and the largest lesions were
observed around Z-100 and Heliomolar restorations. It may be concluded that the
restorative materials GIC, amalgam and ion-releasing composites may reduce
secondary caries formation.
PMID- 18060267
TI - Quality of life in patients submitted to surgical treatment for minor salivary
gland neoplasms.
AB - This study was aimed at assessing the quality of life in patients submitted to
surgical treatment for minor salivary gland neoplasms (MSGN). Twelve patients (10
women and 2 men, mean age: 49.4 years) with histopathologic diagnosis of
pleomorphic adenoma (PA, 3 cases), polymorphous low-grade adenocarcinoma (PLGA, 2
cases), cystic adenoid carcinoma (CAC, 4 cases), and muco-epidermoid carcinoma
(MEC, 3 cases) were evaluated. All of them were treated by surgical excision;
patients with CAC received radiotherapy as well. The patients quality of life was
evaluated through a self-administered questionnaire concerning their physical
well-being, emotional status, normal daily activities, and family relationships.
The results showed that patients with MEC--the youngest among all patients-
reported a significantly greater worsening of their physical well-being and
emotional status after treatment as compared with patients treated for PA
(P<0.05), and also of their functional activities as compared with those treated
for PA and PLGA (P<0.05). In conclusion, age of development of the neoplasm and
type of disease produce more impact on patients quality of life than does the
therapys degree of aggression.
PMID- 18060268
TI - MELD score, step forward to justice of liver graft allocation in Brazil.
PMID- 18060270
TI - [Response to hepatitis B vaccine in alcoholics without clinically evident liver
cirrhosis].
AB - BACKGROUND: Alcoholics have higher prevalence of hepatitis B virus (HBV)
infection than non-alcoholics and such fact may influence in the development of
liver cirrhosis and/or hepatocellular carcinoma. AIM: To evaluate the response to
hepatitis B vaccine in alcoholics without liver cirrhosis. METHODS: Twenty male
alcoholics with mean age of 46.6 +/- 10.9 years were vaccinated; they ingested
more than 80 g of ethanol/day for more than 10 years. As control group 40 male
non-alcoholics with mean age of 37.8 +/- 9.7 years were also vaccinated. No
serological evidence of contact with HBV, hepatitis C virus or human
immunodeficiency virus was found among the subjects of both groups. The vaccine
Euvax B (20 microg) was administered intramuscularly into the deltoid area at 0,
1 and 6 months. Serum anti-HBs were determined after one month of the last dose.
Levels <10 mUI/mL were considered as non-response, between 10 and 99 mUI/mL as
seroconversion, and > or = 100 mUI/mL as seroprotection. RESULTS: No significant
difference was found between alcoholics and controls, respectively, in the
frequency of non-response (35.0% vs 32.5%), seroconversion (15.0% vs 15.0%) and
seroprotection (50.0% vs 52.5%). Among responders, mean levels of anti-HBs in
alcoholics (511 +/- 448 mUI/mL) were similar to the controls (696 +/- 410
mUI/mL). No negative interference on the response was associated with the body
mass index, tabagism, being drinking or concurrent chronic pancreatitis without
pancreatic insufficiency. CONCLUSIONS: Male alcoholics without liver cirrhosis
had similar frequency and serum levels of anti-HBs to the non-alcoholics in
response to HBV vaccination.
PMID- 18060269
TI - [Hepatocellular carcinoma: impact of waiting list and pre-operative treatment
strategies on survival of cadaveric liver transplantation in pre-MELD era in one
center in Brazil].
AB - BACKGROUND: Liver transplantation is the main treatment option for hepatocellular
carcinoma in patients with cirrhosis. AIM: Three months and 3 years survival were
analysed in patients with cirrhosis and hepatocellular carcinoma and in patients
with only cirrhosis. METHODS: Charts of patients subjected to cadaveric liver
transplantation at the Clinical Hospital of the Federal University of Parana,
Curitiba, PR, Brazil, between January 5th of 2001 and February 17th of 2006 were
reviewed. Patients were divided into two groups for 3 months and 1 year survival
analysis: cirrhosis and hepatocellular carcinoma and cirrhosis only. The two
groups were also compared in relation to donor and recipient sex and age,
etiology of cirrhosis, Child-Pugh and MELD scores at the time of the
transplantation, warm isquemia time, cold isquemia time, units of red blood cells
transfused during the transplantation, intensive care unit stay and total
hospital stay. RESULTS: One hundred and forty six liver transplantation patients
were analysed: 75 were excluded because of incomplete data and 71 were included.
General 3 months and 1 year survivals were 77,4% and 74,6% respectively. Patients
with hepatocellular carcinoma (n = 12) presented 3 months and 1 year survivals of
100%. These rates were significantly higher than those of patients without
hepatocellular carcinoma (n = 59; 72,8% and 69,4%). Mean MELD score, mean Child
Pugh score and mean number of red blood cells transfused were significantly
higher in patients without hepatocellular carcinoma. In this group it was also
observed more Child-Pugh B and C patients and the diagnosis of cirrhosis because
other causes. The rate of Child-Pugh A and hepatitis C was higher in patients
with hepatocellular carcinoma. The two groups were identical in all other
parameters analysed. CONCLUSION: Patients with cirrhosis and hepatocellular
carcinoma presented better 3 months and 1 year survival rates than patients with
only cirrhosis. This is possibly due to an early stage of cirrhosis at
transplantation of patients with hepatocellular carcinoma.
PMID- 18060271
TI - [Platelet indices in chronic alcoholic liver disease patients with
thrombocytopenia].
AB - AIM: To detect alterations in platelet indices in patients with chronic alcoholic
liver disease and thrombocytopenia, and its correlation with other haematological
parameters. METHODS: We studied 65 individuals separated in two groups: controls
(n = 35) and chronic alcoholic liver disease patients with thrombocytopenia (n =
30). The control group was age and gender matched with patients group. In all,
controls and patients, a haematological evaluation was done, including platelets
indices. RESULTS: In the patients group we found a low number of erythrocytes,
leucocytes and platelet when we compare with controls. The same is true when we
compare haemoglobin, hematocrit and absolute count of lymphocyte and neutrophil.
The mean globular volume, mean globular haemoglobin and red cell distribution
width where significantly higher in patients group. Platelet indices showed a
statistical significant increased in platelet distribution width and decreased in
platelet crit in the patient group. No differences where found on mean platelet
volume between the two groups. Correlation between platelet number and other
haematological parameters was found. CONCLUSION: Chronic alcoholic liver disease
patients showed a decrease in all haematopoietic cell lines, probably associated
with hypersplenism found in those patients. Additionally to the numeric
alterations the erythrocyte and platelets showed morphologic alteration revelled
by respective indices.
PMID- 18060272
TI - [Relapse of alcohol consumption in liver transplant candidates. Risk factor
analysis].
AB - BACKGROUND: Alcohol relapse after transplantation is a serious concern in
transplant centers and is a subject of controversy and debate. AIM: To evaluate
the relapse of alcohol ingestion and the eventual associated factors in cirrhotic
patients referred for liver transplantation. METHODS: A retrospective study
comprised of 90 adult patients with liver cirrhosis, listed for transplant. The
exclusion criteria were: not having at least 6 months of abstinence and release
not approved by the psychology team. The diagnosis of relapse (ingestion of any
quantity of alcohol) was done based on the information in the patients histories
and those provided by telephone contact. RESULTS: The rate of relapse was of
18.9%. This corresponded to 14.6% of the total number of men and 62.5% of the
total number of women. Race, mean age, classification of hepatic dysfunction,
time of alcoholism, quantity of alcohol ingested and the execution of transplant
did not show significant correlation to alcohol relapse. The comparison between
time of abstinence and relapse had an inversely proportional correlation.
CONCLUSION: Relapse of alcohol consumption was low. Being of the female gender
and having less than 1 year of abstinence has an influence upon alcohol relapse.
PMID- 18060274
TI - [Crohn's disease small bowel strictureplasties: early and late results].
AB - BACKGROUND: Strictureplasty is an alternative surgical procedure for Crohn's
disease, particulary in patients with previous resections or many intestinal
stenosis. AIM: To analyze surgical complications and clinical follow-up in
patients submitted to strictureplasty secondary to Crohn's disease. METHODS:
Twenty-eight patients (57.1% male, mean age 33.3 years, range 16-54 years) with
Crohn's disease and intestinal stenosis (small bowel, ileocecal region and
ileocolic anastomosis) were submitted to strictureplasty, at one institution,
between September 1991 and May 2004. Thirteen patients had previous intestinal
resections. The mean follow-up was 58.1 months. A total of 116 strictureplasties
were done (94 Heineke-Mikulicz--81%, 15 Finney--13%, seven side-to-side ileocolic
strictureplasty--6%). Three patients were submitted to strictureplasty at two
different surgical procedures and two in three procedures. RESULTS: Regarding to
strictureplasty, postoperative complication rate was 25% and mortality was 3.6%.
Early local complication rate was 57.1%, with three suture leaks (10.7%) and late
complication was present in two patients, both with incisional hernial and
enterocutaneous fistulas (28.6%). Patients remained hospitalized during a medium
time of 12.4 days. Clinical and surgical recurrence rates were 63% and 41%,
respectively. Among the patients submitted to another surgery, two patients had
two more operations and one had three. Recurrence rate at strictureplasty site
was observed in 3.5%, being Finney technique the commonest one. Presently, 19
patients had been asymptomatic with the majority of them under medical therapy.
CONCLUSION: Strictureplasties have low complication rates, in spite of having
been done at compromised site, with long term pain relief. Considering the
clinical course of Crohn's disease, with many patients being submitted to
intestinal resections, strictureplasties should be considered as an effective
surgical treatment to spare long intestinal resections.
PMID- 18060273
TI - [Crohn's disease and kidney stones: much more than coincidence?].
AB - BACKGROUND: Crohn's disease is an inflammatory bowel disease associated with a
wide variety of complications and manifestations secondary to the effects of
underlie inflammatory process. In about 30% of the patients with Crohn's disease
can be found extra-intestinals symptoms. Nephrolithiasis is one of them and the
appearance of kidney stones, mainly of oxalate of calcium, is more common in
these patients than in general population. AIM: To evaluate urinary metabolic
factors potentially involved in renal stones formation on patients with Crohn's
disease. METHODS: We evaluated 29 patients with Crohn's disease followed in the
Outpatient Bowel Inflammatory Disease Clinics of State University Hospital,
Londrina, PR, Brazil, from January to December of 2004. The metabolic evaluation
included measured of blood and urine substances related to renal stones
formation, kidneys, ureters and bladder ultrasonography and calculation of
urinary supersaturation for calcium oxalate, uric acid and calcium phosphate.
RESULTS: Twenty-nine of the evaluated patients were female or 65.5% and 34.5%
were males. Among the metabolic urinary studied, we identified the following
potential disturbances associated with nephrolithiasis: hypocitraturia in 21
patients (72.4%), hypomagnesuria in 12 (41.4%), hyperoxaluria in 4 (13.6%) and
urinary volume low in 5 (17.2%). Renal stones were identified in 13 patients
(44.8%). Oxalate urinary excretion was higher in patients submitted to bowel
surgery and also in patients with ileum resection. The urinary supersaturation of
calcium oxalate and brushita in patients with bowels surgery was higher than the
other patients not submitted to any surgery. Data to compare patients who
underwent to surgery or not were analyzed by Mann-Whitney test (U test), and Qui
square test or the accurate test of Fisher have been used to determine variables
association. For all tests 5% of significance level was considered. CONCLUSION:
This study shows that the frequency of renal stones in patients suffering from
Crohns disease is higher than in general population and, also, higher than that
described elsewhere for this disease. We identified hypocitraturia and
hypomagnesiuria as the main factors which could explain our data. The impact of
these metabolic disturbances can be also evaluated by means of the high
supersaturation obtained for calcium oxalate and calcium phosphate which suggests
the way those factors could be influencing crystal nucleation and, consequently,
lead to renal stones formation in this group of patients.
PMID- 18060275
TI - [Mechanical-thermal stimulation of the palatoglossus pillars].
AB - BACKGROUND: The palatoglossus pillars were admitted as the main receptive
responsible area by the pharyngeal swallowing reflex produced by food and tongue
posterior progression. This concept sustain the mechanical-thermal maneuver used
to recovery the committed pharyngeal function. A pharyngeal motor answer by
pillar stimulation is common accepted but not unanimously. AIM: To verify the
possible pharyngeal motor answers by palatoglossus pillars mechanical thermal
stimulation. METHODS: Healthy volunteers are more able to provide effective
reflex answers by pillars stimuli than patients. In this way we evaluated 51
healthy adult volunteers from both sexes reproducing the pillars stimuli using
metallic probe. The cold condition was obtained by probe immersion in water
maintained to 10 degrees C. RESULTS AND CONSIDERATIONS: The study showed that the
mechanical thermal stimuli over pillars was not able to produce any active answer
linked to the swallowing pharyngeal phase. It is possible that literature
observed answers were due to the gag reflex inadequately interpreted or
unconscious voluntary contractions effort produced by the opening mouth and
tongue externalization during the pillars exposition to execution of the
mechanical thermal stimulation maneuvers.
PMID- 18060276
TI - Gender effect on the clinical measurement of swallowing.
AB - BACKGROUND: Swallowing coordination is affected by cortical and subcortical
inputs from the central nervous system. Our hypothesis is that the swallowing
dynamics may be influenced by gender. AIM: To evaluate the influence of gender on
water swallowing dynamics. METHODS: We studied 111 health subjects, 36 men, aged
24-77 years (mean: 46.3 +/- 16.1 years) and 75 women, aged 22-75 years (mean:
39.6 +/- 13.3 years). All volunteers swallowed in triplicate 50 mL of water at 4
degrees C while precisely timed, when we measured the time to swallow all the
volume and counted the number of swallows. We calculated the inter-swallow
interval: the time to complete the task, in seconds, divided by the number of
swallows; swallowing velocity: volume drunk (mL) by the time taken (s);
swallowing volume capacity: volume drunk (mL) divided by the number of swallows.
RESULTS: Women had a shorter inter-swallow interval, slower swallowing velocity
and lower volume capacity than men. CONCLUSION: Gender has an effect on water
swallowing dynamics, with women having a lower swallowing velocity and a lower
volume capacity in each swallow than men.
PMID- 18060277
TI - Quantitative and qualitative analysis of collagen types in the fascia
transversalis of inguinal hernia patients.
AB - BACKGROUND: Inguinal hernia is the second most common surgical case in our field.
The anatomical factors alone are not enough to explain the inguinal hernia.
Studies show changes in the proportion and quantity of collagen fibers in the
developing of inguinal hernia. The greater production of collagen type III
compared to the type I could justify the thinning of the fascia transversalis and
its weakness. AIM: To determine the quantitative and qualitative changes of
collagen in the fascia transversalis in inguinal hernia patients and compare them
to findings from corpses without inguinal hernia. METHOD: Prospective case
control study based on the biopsy of fascia transversalis of 27 patients and 24
corpses. The technique used was hematoxylin-eosin and picrosirius colorimetry.
RESULTS: The medium percent area of collagen (types I + III) and collagen type I,
in both groups, show no statistic difference. The quantity of collagen type III
was greater in the patients. Patients classified with Nyhus IIIa presented
greater quantity of collagen type III. CONCLUSION: There is no significant
difference in the quantity of collagen in the fascia transversalis of patients
compared to the controls. An increase in the quantity of collagen type III was
found in patients with inguinal hernia and a greater quantity in those patients
classified with Nyhus IIIa.
PMID- 18060278
TI - CA72-4 antigen levels in serum and peritoneal washing in gastric cancer.
Correlation with morphological aspects of neoplasia.
AB - BACKGROUND: Determining levels of tumor markers in peritoneal washing enables
likelihood of peritoneal recurrence to be ascertained in patients with high
marker levels, thereby allowing provision of more accurate adjuvant treatment and
postoperative follow up. AIM: To analyze the relationship between levels of tumor
marker CA72-4 in serum and peritoneal washing, and morphological aspects of
gastric carcinoma. METHOD: This study analyzed 32 consecutively-operated patients
with gastric carcinoma, who underwent subtotal, total or palliative gastrectomy.
The variables studied were CA72-4 levels in serum and peritoneal washing, lesion
site, stage, degree of cell differentiation, operation performed, and number of
extirpated and involvement lymph nodes. Of the 32 patient sample, 21 (65.6%) were
male and 11 (34.4%) female. Mean age was 62.6 +/- 14.2 years (29 to 91 years).
Following anesthetic induction, peripherical venous blood was collected through
percutaneous punction of an upper limb vein. After the procedure, 50 mL of
physiologic solution at 37 degrees C was introduced into the cul-de-sac. A 10 mL
volume of this liquid was aspirated from the cavity and the peritoneal washing
tested for CA72-4 levels. Normal values for CA72-4 levels in serum were
considered < or =7 U/mL and high levels as >7 U/mL, whilst for the peritoneal
washing normal levels were < or =0.61 U/mL, and abnormal >0.61 U/mL. RESULTS:
Mean pre-operative serum levels for CA72-4 were 6.55 U/mL +/- 15.30 (0.3 to 75.30
U/mL) whilst the mean level of CA72-4 in peritoneal washing was 8.50 U/mL +/-
26.72 (0.3 to 142.00 U/mL); correlation between these levels was significant.
Lymph nodes involvement by the gastric carcinoma correlated significantly with
higher CA72-4 levels in both serum and peritoneal wash. There was no
statistically significant correlation between serum level of CA72-4 and invasion
into serosa by the gastric carcinoma. There was however, significant correlation
between peritoneal washing levels of CA72-4 and involvement of serosa by gastric
carcinoma. There was also a significant correlation between more advanced stages
of gastric carcinoma and higher levels of CA72-4 in the peritoneal washing,
although serum levels of CA72-4 and more advanced stage of gastric neoplasia
showed no significant correlation. Degrees of cellular differentiation in the
gastric carcinoma did not differ significantly with CA72-4 levels in serum or
peritoneal washing. CONCLUSION: High levels of CA72-4 in peritoneal washing
correlated significantly with lymph node metastasis and serosa involvement by the
neoplasia, and also with more advanced stage of gastric carcinoma. The levels of
CA72-4 in the blood correlated significantly with lymph node involvement by the
gastric carcinoma, but not with serosa invasion or more advanced stage of
neoplasia.
PMID- 18060279
TI - Association between Helicobacter pylori concentration and the combining frequency
of histopathological findings in gastric biopsies specimens.
AB - BACKGROUND: Helicobacter pylori is the most prevalent infectious agent worldwide.
About 90% of patients with chronic gastritis are infected with this bacterium.
Some studies have shown a association between the H. pylori concentration and the
scores of gastritis activity and severity. AIM: To evaluate the association
between H. pylori concentration and the combining frequency of findings on
histopathological examination. METHODS: Two hundred consecutive gastric
endoscopic biopsies diagnosed as chronic gastritis were retrospectively
investigated. The Warthin-Starry silver stain was used to study H. pylori and the
following parameters were assessed (according to the Sydney system): 1.
infiltration by polymorphonuclear cells in chorio and epithelium (activity)
graded as mild, moderate and marked; 2. presence of lymphoid follicles; 3.
presence of intestinal metaplasia; 4. presence of regenerative cell atypias,
graded as mild, moderate and marked; and 5. H. pylori concentration on the mucous
covering the foveolar epithelium. RESULTS: The most frequent association was
chronic gastritis and activity, regardless of H. pylori concentration. The
association of five histopathological findings in the same biopsy has not
occurred in the cases of higher concentration of H. pylori. CONCLUSION: Our study
has not revealed any association between H. pylori concentration and an
increasing in the number of histopathological findings found in the gastric
mucosa. Since referring to its presence is much more important than to its
concentration.
PMID- 18060280
TI - Randomized clinical trial comparing sodium picosulfate with mannitol on the
preparation FOR colonoscopy in hospitalized patients.
AB - BACKGROUND: The cleansing of the colon for a colonoscopy exam must be complete so
as to allow the visualization and inspection of the intestinal lumen. The ideal
cleansing agent should be easily administered, have a low cost, and minimum
collateral effects. Sodium picosulfate together with the magnesium citrate is a
cathartic stimulant and mannitol is an osmotic laxative, both usually used for
this purpose. AIMS: Assess the colon cleanliness comparing the use of mannitol
and sodium picosulfate as well as evaluate the level of patient satisfaction, the
presence of foam, pain, and abdominal distension in hospitalized patients
undergoing colonoscopy. METHODS: A prospective, randomized, single-blind study
with 80 patients that compared two groups: mannitol (40) and sodium picosulfate
(40). Both groups received the same dietary orientation. The study was approved
by the hospital's Ethics and Research Committee. The endoscopist was blind to the
type of preparation. Outcomes evaluated: level of the colons cleanliness,
patients satisfaction, the presence of foam, abdominal pain and distension, and
the duration of the exam. The data was analyzed by means of the chi-squared test
for proportions and Mann-Whitney for independent samples. RESULTS: There were no
statistically significant differences between the groups in relation to the level
of the colon's cleanliness, patients satisfaction, the presence of foam,
abdominal pain, and the duration of the exam. Fifteen percent of the exams of the
mannitol group were interrupted while from the sodium picosulfate group it was
5%. The presence of foam was similar for both groups. The average duration for
carrying out the exam was 28.44 minutes for the mannitol group and 35.59 minutes
for the sodium picosulfate group. Abdominal distension was more frequent in the
mannitol group. If they would have to do the same exam, the answer was that 80%
said yes from the mannitol group and 92.5% from the sodium picosulfate group.
CONCLUSION: The quality of the colon preparation, foam formation, exam duration,
and the collateral effects (nauseas, vomiting, and abdominal pain) were similar
in both kinds of preparations. Abdominal distension was greater in the mannitol
group. Both methods of preparation were well accepted by the hospitalized
patients.
PMID- 18060282
TI - [Clinical profile of Santa Catarina members of Brazilian Celiac Association].
AB - BACKGROUND: Celiac disease is an enteropathy induced by gluten in genetically
predisposed individuals. AIM: To establish the demographic and clinical
characteristics of this disease in Santa Catarina State, Brazil. METHODS: A
descriptive transversal study was performed involving members of a regional
celiac association, to whom a questionnaire focusing various aspects of the
disease was sent. RESULTS: From a total of 506 members, 145 (28.7%) were enrolled
in the study--all of them biopsy-proven celiacs. Their mean age was 30.8 years
(range, 3.3-82.5 years). Female to male rate was 2.1:1. The mean age at diagnosis
was 16 years for men and 26.7 years for women. Most frequently reported symptoms
were: abdominal distention (71.8%), abdominal pain (71%) and diarrhea (65.5%).
Anemia, aphthous ulcers and constipation were more related by women, while
diarrhea and low weight were more frequent in men. Only 42.1% of the participants
had been submitted to biopsies compatible with a correct investigation of the
disease (44.2 % had been submitted to biopsy only after gluten exclusion of the
diet and 11.7% did not mentioned whether they were in a gluten-free diet when
biopsied). Only 61.4% had been submitted to serological tests for diagnostic or
dietary control purposes. Associated diseases were related by 65% of the
individuals, of which the most common was lactose intolerance (33%). Vitaminic or
mineral supplementation was indicated to 45% and only 32.5% have had bone mineral
density measured. Of these, 59% had altered results. CONCLUSIONS: The results
suggest a tendency of diagnosis of celiac disease in older ages, specially among
women. This may indicate the necessity of improving public and medical knowledge
in Santa Catarina concerning the diagnosis and treatment of this disease.
PMID- 18060281
TI - Endoscopic mucosal resection of early gastric cancer: initial experience with two
technical variants.
AB - BACKGROUND: When performed in carefully selected cases, the endoscopic treatment
of early gastric cancer yields results which are comparable to the conventional
surgical treatment, but with lower morbidity and mortality and better quality of
life. Several technical options to perform endoscopic mucosal resection have been
described and there is a large amount of accumulated experience with this
procedure in eastern countries. In western countries, particularly in Brazil,
technical limitations associated with the small number of cases of early gastric
cancer reflect the little experience with this therapeutic mode. AIM: This study
was carried out in order to assess the indications, pathological results and
morbidity of a series of endoscopic mucosal resections using two technical
variants in addition to investigating the safety and feasibility of the method.
METHODS: Individuals with well-differentiated early gastric adenocarcinomas with
up to 30 mm in diameter without scar or ulcer underwent endoscopic treatment. Two
variants of the strip biopsy technique were used. The pathological study assessed
the depth of the vertical invasion, lateral and basal margins as well as angio
lymphatic invasion. RESULTS: Thirteen tumors in 12 patients were resected between
June 2002 and August 2005. The most common macroscopic types were IIa and IIa +
IIc. Tumor size ranged from 10 to 30 mm (mean = 16.5 mm). En bloc resection was
carried out in nine patients. Angio-lymphatic invasion was not observed; however,
submucosal invasion was found in two cases. In four cases, the lateral margin was
involved. Perforation occurred in two patients who then received conservative
treatment. CONCLUSION: The relatively small series presented here suggests that
the method is safe and feasible. Appropriate patient selection is the most
important criteria. Long follow-up is required after treatment due to the risk of
relapse.
PMID- 18060283
TI - The presence of Helicobacter pylori in postmenopausal women is not a factor to
the decrease of bone mineral density.
AB - BACKGROUND: Osteoporosis affects approximately 30% of postmenopausal women.
Gastrectomy, pernicious anemia, and more recently Helicobacter pylori infection,
have all been implicated in the pathogenesis of osteoporosis. A reduced parietal
cell mass is a common feature in these conditions. AIM: To study a possible
relationship between chronic gastritis, parietal cell density of the oxyntic
mucosa and bone mineral density in postmenopausal women, as chronic gastritis,
Helicobacter pylori infection and osteoporosis are frequently observed in the
elderly. METHODS: Fifty postmenopausal women (61.7 +/- 7 years) were submitted to
gastroduodenal endoscopy and bone densitometry by dual energy X-ray
absorptiometry. Glandular atrophy was evaluated objectively by the determination
of parietal cell density. Helicobacter pylori infection was evaluated by
histology, urease test and breath test with 13C. RESULTS: Thirty-two patients
(64%) presented chronic multifocal gastritis, and 20 of them (40%) showed signs
of gastric mucosa atrophy. Lumbar spine osteoporosis was found in 18 patients
(36%). The parietal cell density in patients with and without osteoporosis was
948 +/- 188 and 804 +/- 203 cells/mm(2), respectively. Ten osteoporotic patients
(55%) and 24 non-osteoporotic patients (75%) were infected by Helicobacter
pylori. CONCLUSION: Postmenopausal women with osteoporosis presented a well
preserved parietal cell density in comparison with their counterparts without
osteoporosis. Helicobacter pylori infection was not different between the two
groups. We concluded that neither atrophic chronic gastritis nor Helicobacter
pylori seem to be a reliable risk factor to osteoporosis in postmenopausal women.
PMID- 18060284
TI - Perioperative fluorocholangiography with routine indication versus selective
indication in laparoscopic cholecystectomy.
AB - BACKGROUND: The use of routine or selective peroperatory cholangiography in
cholecystectomy is a matter of controversy in literature. AIM: To compare the
efficacy of selective or routine fluorocholangiography in diagnostic of common
bile duct stone in patients underwent to laparoscopic cholecystectomy based on
selective indication criteria. METHOD: Two hundred and fifty four patients with
cholelithiasis were prospectively studied. The patients were divided in two
groups: to the first 127 patients perioperative fluorocholangiography was
indicated as routine (group 1), and to the other 127 patients perioperative
fluorocholangiography indication followed clinical criteria (jaundice, choluria,
fecal acholia and history of pancreatitis), laboratory criteria (increase in
seric alkaline phosphatase, bilirubins, amylase) or ultra-sonographyc criteria
(less than 6 mm diameter calculi, common bile duct stone, common bile duct
diameter more than 6 mm). A comparative assessment of the difference in common
bile duct stone diagnosis, fluorocholangiography success index and reliability of
the selective criteria of indication for perioperative fluorocholangiography was
compared between the two groups. RESULTS: Perioperative fluorocholangiography was
successfully performed in 102 of the 127 patients from group 1 (a rate of 80.3%),
and in 59 of the 71 patients from group 2 (a rate of 83.1%). In the 102 patients
of group 1 who underwent perioperative fluorocholangiography, 11 (10.8%)
presented common bile duct stone, 4 (3.9%) presented common bile duct dilatation,
and 1 (1%) had a false-positive image. In the 59 patients from group 2, 7 (11.7%)
presented common bile duct stone and one (1.7%) presented a common bile duct
diatation. In another situation, when application of selective indication
criteria to perioperative fluorocholangiography was simulated in group 1
patients, we observed that only in one patient with common bile duct stone the
diagnostic would not have been made. Fluorocholangiography selective indication
criteria presented sensitivity of 90.9% and specificity of 46.2%. The main causes
of fluorocholangiography failure were biliary pedicle inflammation and cystic
duct size and caliber variations. CONCLUSION: There was not a significant
difference in common bile duct stone diagnostic through perioperative
fluorocholangiography between the groups of patients with selective and routine
indication, validating the examination selective indication criteria, with a
sensitivity of 90.9%, despite the specificity of 46.2%--43 patients were selected
to the flourocholangiography and common bile duct stone was not diagnosed.
PMID- 18060285
TI - [Protective effects of an inhibitor of glycoprotein IIb/IIIa in the hepatic and
pulmonary disturbances secondary to ischemia and reperfusion injury of rat's
liver].
AB - BACKGROUND Hepatic ischemia-reperfusion injury is responsible for a considerable
morbidity and mortality. AIM: To evaluate the effect of a platelet glycoprotein
IIb/IIIa receptor inhibitor (tirofiban) on hepatic and pulmonary disturbances
associated with hepatic ischemia-reperfusion injury. METHODS: Twenty-three Wistar
rats divided in three groups: rats sham-operated (n = 6), rats submitted to
ischemia-reperfusion that received saline solution (n = 8), and rats submitted to
ischemia-reperfusion treated with 0.7 mg/kg of tirofiban (n = 9). Serum
aminotransferases (AST and ALT) were also determined, and the study of hepatic
tissue histology was carried out. The evaluation of the pulmonary disturbances
was done using the Evans blue test and the tissular determination of
myeloperoxidase. Hepatic mitochondrial oxidation and phosphorylation were also
measured. RESULTS: There was an increase in the state 3 respiration, ADP/O ratio
and respiration control rate in the group treated with tirofiban. This group had
also lower levels of aminotransferases and the histological findings were
significantly less intense. Pulmonary evaluation demonstrated decrease of the
Evans blue test in the tirofiban group and an increase of its tissular
determination of myeloperoxidase. CONCLUSION: The inhibition of glycoprotein
IIb/IIIa receptor with tirofiban protected the hepatic disturbances and prevented
the increase of pulmonary vascular permeability secondary to the ischemia
reperfusion injury of the liver.
PMID- 18060286
TI - [Visual and olfactory factors interaction in resource-location by the blowfly,
Chrysomya megacephala (Fabricius) (Diptera: Calliphoridae), in natural
conditions].
AB - The interaction between olfactory and visual cues in the landing responses of
Chrysomya megacephala (Fabricius) was analyzed in a natural environment (grass)
using three plain cardboard circles with the colors white, black and other being
the own grass (control) with 30 cm in diameter. The circles were divided in four
quadrants and five sectors using as bait 80 mg of carcass of fish and minced
flesh put in the center. To check the interaction between visual and olfactory
factors, we analyzed the relation among the direction of wind and the sectors,
the quadrants and the color of circle where C. megacephala adults landed. In the
presence of the black and white circles, flies landed closer to the central
release point of the bait when the wind was present compared with the other
control circle. The results show that while odor cues may enhance the induction
of landing by C. megacephala, visual cues are important when selecting a final
landing site. Improved understanding of this interaction may allow the
development of more effective traps or targets, enhancing the control efficiency
of these control devices.
PMID- 18060287
TI - [Arthropod community associated with the canopy of Attalea phalerata Mart.
(Arecaceae) during the flood period of the Pantanal of Pocone, Mato Grosso,
Brazil].
AB - Six trees of the palm species Attalea phalerata Mart. were sampled during high
water (aquatic phase) of the Pantanal of Mato Grosso (February 2001), by canopy
fogging. The composition, structure, and biomass of the arthropod community
associated with their canopies were analysed, as well as the influence the flood
pulse renders on it. Each tree was fogged once, followed by three consecutive
collections. A total of 63,657 arthropods (643.0 +/-; 259.87 ind./m(2)) were
collected, representing 25 orders in the classes Insecta, Arachnida, Diplopoda
and Crustacea. The dominant groups were Acari (40.0%; 257.2 +/- 116.50
ind./m(2)), Coleoptera (12.0%; 77.5 +/- 64.93 ind./m(2)), Psocoptera (9.2%; 59.0
+/- 38.00 ind./m(2)), Diptera (8.4%; 54.1 +/- 18.72 ind./m(2)), Collembola (8.3%;
53.4 +/- 26.24 ind./m(2)) and Hymenoptera (7.9%; 50.6 +/- 21.40 ind./m(2)), the
latter mostly represented by Formicidae (49.2%). Arthropod biomass amounted to
8.86 g dry weight and 0.18 mg/m(2). Coleoptera, Blattodea, Orthoptera, Araneae
and Hymenoptera were the most representative taxa. The hydrological regime (flood
pulse), as well as seasonality, appear to strongly affect the composition and
structure of this canopy community.
PMID- 18060288
TI - [Foraging behavior of Xylocopa (Neoxylocopa) cearensis Ducke (Hymenoptera:
Apidae, Xylocopini) in a population of Cuphea brachiata Koehne (Lythraceae)].
AB - An analysis of the foraging behavior of the bee Xylocopa (Neoxylocopa) cearensis
Ducke among shrubs of Cuphea brachiata Koehne (Lythraceae), a key component in
the Abaete coastal sand dunes, Salvador, BA, Brazil, suggests that this bee is
very important for the maintenance of the plant population, performing the
pollination. This dispersal, however, is spatially restricted, so the populations
in the area are likely to be highly structured genetically.
PMID- 18060289
TI - [Thermal requirements of Galleria mellonella L. (Lepidoptera: Pyralidae) immature
stages].
AB - The rearing of Galleria mellonella L. in laboratory is important for
multiplication of entomopathogenic nematodes, mandatory for biological control
studies. The objective of this study was to evaluate the effect of three thermal
profiles on development stages of this insect, allowing synchronization of cycle
production. Two distinct rearing phases were done: firstly, using nucleous of
incubation for development of eggs and, secondly, using circular-aluminum
manifolds for development of larvae and pupae. The time necessary for development
of the immature stages decreased with higher temperatures. Incubation periods
lasted 13.4 days at 22 degrees C, 8.3 at 27 degrees C and 6.8 days at 32 degrees
C, while periods for larvae development lasted 40.4, 27.2, and 23.4 days,
respectively, for the same temperatures. Development to pupal stage was observed
18.2, 15.0, and 12.2 days, respectively, for the same temperatures. Larval
survival was higher at 32 degrees C, however embryonic stages and pupae survival
were higher at 27 degrees C. and 22 degrees C, respectively. The threshold
temperature was 11.209167 degrees C for the embryonic development stage, 7.695869
degrees C for larval stage, and 1.943050 degrees C for pupal stage of G.
mellonella. Thermal constants were 138.380533 DG (degree day) for egg, 554.968830
DG for larvae, and 369.054080 DG for pupae.
PMID- 18060290
TI - [Evidences of Moran effect in the population synchrony: a demonstration in
experimental microcosm].
AB - Three main causes to population synchrony are proposed: exogenous factors,
dispersal and inter-specific interactions. This paper had as main goal to test
the influence of the exogenous factors in the synchrony in spatially isolated
(i.e., no dispersal) populations of Sitophilus zeamais (Mots.) (Coleoptera:
Curculionidae), in microcosms with different environmental conditions (humidity,
temperature and light intensity). Twelve populations of 20 individuals each, were
randomly assigned between two treatment conditions: with or without light.
Population size and environmental factors (temperature and relative humidity)
were weekly assessed for seven months. Temporal trend in populations increase was
eliminated adjusting autoregressive models. Population synchrony, detected by
means of Pearson's and Spearman's correlation coefficients, was higher within
than between treatments, although the populations kept without lamp were more
synchronized than populations with lamp. Besides demonstrating the influence of
environment on population fluctuations, these results suggest that metabolism and
intra-specific interactions are important factors in population dynamic.
Organisms exposed to unsuitable environmental conditions may have abnormal
metabolic rates, which negatively influences the population grow. Thus, small
populations are more likely to suffer from demographic stochasticity, decreasing
the probability of the synchrony among populations. On the other hand, in more
suitable environments, individuals are expected to have normal metabolic
functions, and so, to achieve higher rates of population grow. In this case, the
demographic stochasticity has smaller influence, leading populations without lamp
to fluctuate synchronously.
PMID- 18060291
TI - [Influence of mulberry cultivars Morus spp. on the production and quality of
silkworm Bombyx mori L. (Lepidoptera: Bombycidae) cocoons].
AB - The success of sericiculture greatly depends on the availability of mulberry
cultivars with agronomic characteristics that maximize the production and quality
of silkworm, Bombyx mori L., cocoons. The effect of the cultivars Miura
(standard) and Korin, and the hybrids SM 14, SM 63, SK 1, SK 4 and FM 86 was
evaluated on silkworm development, and on cocoon production and quality, at the
Instituto Agronomico do Parana, IAPAR, in Londrina, PR, Brazil (23 +/- 3 degrees
C; 61 +/- 8% RH). The experiment was arranged in a randomized block design with
four replicates. Larvae feeding either on 'SK 4' or on 'Korin' showed a higher
weight compared to that of larvae feeding on 'Miura'. Cocoon weight (both sexes)
and pupae weight (male) obtained with larvae fed on SK 4 were higher compared
with that of insects that fed on Miura; there was no difference among the
remaining treatments. Silk net weight was independent of the cultivar used.
Cocoon shell weight was similar amongst insects raised on 'Miura' and on the
other mulberry materials. The percentage of cocoon spinning was higher than 90%
in all treatments, with the spinning concentrating in the first two days. The
proportion of first grade cocoons varied from 80% (SK4) to 90%. The results
obtained with the studied cultivars were either similar or superior to that of
the standard cultivar Miura with emphasis to 'SK 4', thus showing the potential
use of these cultivars in sericulture in the state of Parana.
PMID- 18060292
TI - Utilization of floral resources by bees of the genus Frieseomelitta von Ihering
(Hymenoptera: Apidae).
AB - The present study intended to verify which factors (phylogenetic relationship or
local ecological conditions) would determine how Frieseomelitta bees use floral
resources. The data obtained in the literature on biocenotic studies of Apoidea
visiting flowers in Brazil were analyzed, with identification and quantification
of floral resources used by Frieseomelitta species in different areas. The
phenogram of similarity on use of resources among Frieseomelitta species was
compared to the phylogenetic hypothesis proposed for the group. Among the eight
Frieseomelitta species registered in 19 studies, F. doederleini (Friese), F.
francoi (Moure), F. languida Moure, F. varia (Lepeletier) e Frieseomelitta sp.
nov., were collected using resources from 36 plant families. F. doederleini, F.
languida e F. varia centralized their activity in Caesalpiniaceae, Malpighiaceae
e Anacardiaceae. The similarities and disparities found among areas and
Frieseomelitta species reflected the similarities and differences of the
vegetation composition in each area. Frieseomelitta bees presented a forage
pattern similar to that one presented by other highly social bees, visiting
flowers of many plant species, but concentrating their activities in few plant
species. Despite the low phylogenetic relationship between F. languida and F.
doederleini, they showed a high similarity on the use of floral resource, while
F. varia e F. languida, species with high phylogenetic relationship, showed low
similarity in the use of resource. Although the data obtained are not conclusive,
it indicates that phylogenetic restrictions do not influence the pattern of use
of floral resource by Frieseomelitta bees.
PMID- 18060293
TI - Diversity of social wasps (Hymenoptera: Vespidae) in Cerrado fragments of
Uberlandia, Minas Gerais State, Brazil.
AB - Studies of species survey are important to know the available natural resources
and to get useful information about the ecological characteristics of a specific
area. There are not studies, on this issue, related to social wasps, in the
Triangulo Mineiro region. The present study describes the diversity of species
found in fragments of the cerrado region in Uberlandia, MG, and their temporal
distribution. The field study was conducted from October 2003 to September 2004,
and 43 samplings were carried out using active searching (24) and point sampling
(19) methodologies. Twenty-nine species were found in 10 genera, Polybia and
Polistes corresponding to 51.5% of the total listing. Mischocyttarus cerberus
styx Richards represented 26.5% of the total individuals recorded by active
searching and Agelaia pallipes (Olivier) corresponded to 57.6% by point sampling.
Two species had their first record for the state of Minas Gerais: Polybia striata
(Fabricius) and M. cerberus styx. The studied fragments presented a high level of
diversity (H' = 0.66 to 1.16), a large number of rare species and a few common
species. To collect the more common species the point sampling method was
satisfactory while active searching was better to collect the rarer species. The
active searching methodology was more efficient than point sampling, however some
species could only be collected by point sampling. These factors showed that in
order to carry out a wasp survey, a combination of different collection
methodologies seems to be more appropriate.
PMID- 18060294
TI - Biomass and population structure of Constrictotermes cyphergaster (Silvestri)
(Isoptera: termitidae) in the dry forest of caatinga, northeastern Brazil.
AB - Biomass and population structure of Constrictotermes cyphergaster (Silvestri)
were studied in an area of dry forest of caatinga in the State of Paraiba,
northeastern Brazil. Twelve nests of different sizes were randomly collected,
being six during the dry season (November 2004 and 2005) and six during the wet
season (March 2004). Soldier and worker populations varied between 4880 and
118800 individuals per nest. The ratio between soldiers and workers did not
significantly vary between seasons. Biomass (measured as fresh weight) of
individuals varied between 13.9 and 408.8 g per nest, and soldiers and workers
had significantly greater biomass during the wet season. The estimated density of
nests of C. cyphergaster was 59.0 +/- 22.53 active nests/ha. Quantitative data of
the study colonies and data on the nest abundance showed that C. cyphergaster
encompassed some 278.2 individuals/m(2), with approximately 0.9 g (fresh
weight)/m(2). These data suggest that C. cyphergaster is an important consumer of
vegetal matter and, therefore, an important species affecting the nutrient
cycling and energy flow in the caatinga vegetation.
PMID- 18060295
TI - [The neotropical genus Brachymyrmex Mayr (Hymenoptera: Formicidae) in Argentina.
III. redescription of species: B. aphidicola Forel, B. australis Forel B.
constrictus Santschi].
AB - Three species of the genus Brachymyrmex: B. aphidicola Forel, (n.stat.); B.
australis Forel y B. constrictus Santschi are redescribed hereafter. Descriptions
and drawings of main morphological characters of gynes, males and workers are
given. New characters are used to differentiate species, mainly based on male
head morphology and genitalia. New Argentine localities are added to the known
distribution.
PMID- 18060296
TI - Histochemical and ultrastructural evidence of lipid secretion by the silk gland
of the sugarcane borer Diatraea saccharalis (Fabricius) (Lepidoptera: Crambidae).
AB - The silk gland in Lepidoptera larvae is responsible for the silk production used
for shelter or cocoon construction. The secretion of fibroin and sericin by the
different silk gland regions are well established. There are few attempts to
detect lipid components in the insect silk secretion, although the presence of
such element may contribute to the resistance of the shelter to wet environment.
This study characterizes the glandular region and detects the presence of lipid
components in the secretion of the silk gland of Diatraea saccharalis(Fabricius).
The silk gland was submitted to histochemical procedure for lipid detection or
conventionally prepared for ultrastructural analyses. Lipid droplets were
histochemically detected in both the apical cytoplasm of cell of the anterior
region and in the lumen among the microvilli. Ultrastructural analyses of the
anterior region showed lipid material, visualized as myelin-like structures
within the vesicular Golgi complex and in the apical secretory globules, mixed up
with the sericin; similar material was observed into the lumen, adjacent to the
microvilli. Lipids were not detected in the cells neither in the lumen of the
posterior region. Our results suggest that the silk produced by D. saccharalis
has a minor lipid content that is secreted by the anterior region together with
the sericin.
PMID- 18060297
TI - Molecular identification of species of the genus Euglossa Latreille (Hymenoptera:
Apidae, Euglossini).
AB - Euglossine bees interact with more than 60 plant families of the Neotropical
region. The richness and abundance of these bees have been intensively studied in
different ecosystems using the methodology of capturing males with chemical
baits. Females are poorly known for most of the species and morphological
characters for their taxonomic classification have not yet been described. The
purpose of this study was to use allozymes and restriction patterns of the
mitochondrial regions 16S and Cyt b to identify species of Euglossa Latreille.
Bees were collected while visiting Thevetia peruviana (Apocynaceae) flowers in
five cities of the state of Sao Paulo, Brazil. Three Euglossa species were
identified among the 305 individuals collected. Euglossa cordata (L.) was the
only species found in all cities, while E. securigera Dressler and E. townsendi
Cockerell were restricted to two and one cities respectively. EST-3 was a
diagnostic marker, whereas ICD, MDH, ME and PGM were informative for species
identification when used in combination. Restriction by VspI of the amplified 16S
fragment differentiated the three species and showed intraspecific polymorphism
for E. cordata and E. securigera. The Cyt b region showed distinctive patterns
for E. townsendi but it was not possible to differentiate the other two species.
Our results describe potentially useful genetic markers for the identification of
Euglossa spp. at the species and group level.
PMID- 18060298
TI - Morphology and distribution of antennal sensilla of Gryon gallardoi (Brethes)
(Hymenoptera: Scelionidae) females.
AB - With the aid of scanning electron microscopy, the external morphology and
distribution of the antennal sensilla were described for females of Gryon
gallardoi (Brethes), an egg-parasitoid of coreids. The geniculated and clavated
antennae are composed of 12 antennomeres. Along the flagelum we registered dorsal
glands and seven types of sensilla: papillary, chaetica of two sizes--long and
short types, styloconic grooved-peg, sickle-shaped and trichodea with numerical
and spatial variation among the flagellomeres. Functional aspects of the sensilla
are discussed in the context of G. gallardoi antennal drumming behavior. Thus,
the determination and the description pattern of antennal sensilla in G.
gallardoi, in the present study, is an advance regarding the elucidation of the
mechanisms involved in the host selection behavior of this species.
PMID- 18060299
TI - A revision of the neotropical genus Anahi Martinez (Coleoptera: Scarabaeidae:
Melolonthinae) with the description of two new species.
AB - The southern Neotropical genus Anahi Martinez is comprehensively revised and now
includes three species: A. dentata Ocampo Ruiz-Manzanos new species, A.
guaraniticus Martinez, and A. oblivia Ocampo Ruiz-Manzanos new species. The new
species extend the distribution of the genus from Paraguay and Bolivia to
Argentina. A. guaraniticus is redescribed and the characters that define the
genus are extended to include A. dentata and A. oblivia. Descriptions, diagnosis
illustrations, distribution maps and a key to all species of the genus are
provided. The biogeography of the genus and its systematic placement within
Melolonthinae are here discussed.
PMID- 18060300
TI - [Association of bioassays and molecular characterization to select new Bacillus
thuringiensis isolates effective against Spodoptera frugiperda (J. E. Smith)
(Lepidoptera: Noctuidae)].
AB - The fall armyworm, Spodoptera frugiperda (J. E. Smith), is one of the main corn
pests and Bacillus thuringiensis is important in its control because of its
entomopathogenic property. The objective of this study was the molecular
characterization of B. thuringiensis isolates for cry1 locus presence and the
assessment of the efficiency of these isolates in controlling S. frugiperda
caterpillars. Gral-cry1 was used in the PCR analyses to confirm the presence of
the cry1 locus in 15 isolates. A 3 x 10(8) spore/ml suspension bathed the diet
used to feed 30 caterpillars per isolate, with three replications. The cry1 locus
type genes of the different isolates were identified for five gene subclasses;
linear regression analyses were carried out to ascertain possible associations
between the presence of an individual cry1 locus gene and high levels of
toxicity. All the DNAs amplified with Gral-cry1 presented an amplification
product with the expected size. Regarding the levels of insecticide efficiency
against the cob worm, 41 isolates presented 100% mortality and 16 presented an
index between 70% and 90%. The cry1Ab gene was present in 80 isolates, cryb in 69
isolates, cry1Ac in all the isolates and cryv and cry1E in 93 and 27 isolates,
respectively. The values regarding the individual effect of each gene on
caterpillar mortality were significant at 1% probability for the cry1Ac and cry1E
genes.
PMID- 18060301
TI - Structure and composition of the assemblage of parasitoids associated to
Phyllocnistis citrella Pupae Stainton (Lepidoptera: Gracillariidae) in citrus
orchards in Southern Brazil.
AB - The structure and composition of the assemblage of pupal parasitoids of
Phyllocnistis citrella Stainton, the citrus leafminer, were studied in two citrus
orchards (Citrus deliciosa Tenore cv. Montenegrina and Citrus sinensis (L.)
Osbeck x Citrus reticulata Blanco hybrid Murcott), in Montenegro County (29
degrees 68'S and 51 degrees 46'W), southern Brazil. At fortnightly samplings,
from July 2001 to June 2003, all the new shoots from 24 randomly selected trees
were inspected. The species richness reached five native species in the Murcott
orchard, and six in Montenegrina. In Murcott, the presence of Ageniaspis
citricola (Hymenoptera: Encyrtidae), an exotic species, was detected in the first
year of sampling, probably migrating from the nearby areas where it had been
released for the miner control. In Montenegrina, its presence was only registered
in the second year. A. citricola in both areas was dominant and changed the
community structure of parasitoid complex of P. citrella in both orchards.
PMID- 18060302
TI - [Effects of nitrogen fertilization in cotton crop on Aphis gossypii Glover
(Hemiptera: Aphididae) biology].
AB - The cotton aphid, Aphis gossypii Glove, is one of the pests of cotton crop and
its relation with the host seem to depend on the amount of nitrogen available to
the plant. The biology of A. gossypii using different cotton nitrogen fertility
regimes was studied under greenhouse conditions, in Dourados, MS. A completely
randomized design with nine replications in a factorial scheme (2x4x2)+1 was
used. Two nitrogen sources (sulphate of ammonium and urea), four doses of
nitrogen (50, 100, 150 and 200 kg ha-1), two different times of nitrogen
application and one additional treatment without nitrogen were taken as factors.
The nymphal phases, the pre-reproductive, reproductive and pos-reproductive
periods, longevity, the life cycle and fecundity of the cotton aphid were
evaluated. The doses of nitrogen influenced the cotton aphid biology in both
sources and times of application, favoring its development and fecundity.
PMID- 18060303
TI - Feeding and oviposition preference of Phyllophaga cuyabana (Moser) (Coleoptera:
Melolonthidae) on several crops.
AB - Laboratory and greenhouse experiments were carried out to study food and
oviposition preference by Phyllophaga cuyabana (Moser) on different plant species
as Cajanus cajan L. (pigeon pea), Crotalaria juncea L. (sun hemp), Crotalaria
spectabilis Roth (showy crotalaria), Crotalaria ochroleuca G. Don (slenderleaf
rattlebox), Glycine max [L.] Merrill (soybean), Gossypium hirsutum L. (cotton),
Helianthus annuus L. (sunflower), Stizolobium aterrimum [Mucuna aterrima] Piper
Tracey (velvetbean) and Zea mays L. (mayze). In no-choice experiments, the number
of eggs layed in sunflower, C. juncea and soybean was larger compared to cotton.
Despite the fact that the adults did not discriminate among plants, in dual
choice test, the proportion of eggs layed and leaf consumption by P. cuyabana
adults in soybean were significantly higher than in C. spectabilis. The larval
distribution in the soil was at random in multiple-choice, without any trend of
preference, but in dual-choice, when soybean was the control, larvae always
preferred to feed on its roots. P. cuyabana adults had preference for more
suitable hosts and that could stand their offspring survival. This behaviour can
be usefully exploited in an integrated management program for this pest.
PMID- 18060304
TI - [Entomofauna associated to horticultural crops under organic and conventional
practices in Cordoba, Argentina].
AB - Farming practices and the addition of chemical synthetic substances in
conventional agroecosystems are detrimental mainly to natural enemies of
phytophagous insects, diminishing the natural regulation of pest insects. On the
other hand, in organic agriculture, biological processes and care of the
environment are favoured, hence an increase in insect biodiversity is predicted
in this type of systems. In this work, abundance, richness of insects and
proportion of functional groups were compared through a single quantitative
sampling of insects in horticultural crop fields, three under organic and three
under conventional management practices. Insect species richness, total and for
guilds (phytophagous and entomophagous insects) were significantly higher in
organic orchards, and also was the abundance of entomophagous insects. Richness
and abundance of all insect orders (with exception of Homoptera abundance), were
higher in orchards under organic management, being significative the differences
for richness of Coleoptera and richness and abundance of Hymenoptera. Similar
tendencies were observed in data obtained through sweep net in weeds. These
results suggest that organic practices increase the diversity of species,
particularly that of natural enemies.
PMID- 18060305
TI - Mite diversity on plants of different families found in the Brazilian Atlantic
Forest.
AB - This work reports the occurrence of mites predominantly predatory, phytophagous
and with varied feeding habits on plants of the Atlantic Forest vegetation type
of the State of Sao Paulo. The objective was to estimate the possible role of the
Atlantic Forest vegetation as reservoir of these groups of mites which are also
found on plants of agricultural importance. Samples were taken from 187 plant
species belonging to 73 families in three vegetation types of the Atlantic Forest
from February of 2001 to October of 2002. A total of 2,887 mites belonging to 163
morpho-species of 16 families were collected. Mite diversity was high, especially
of predatory mites; these corresponded to 1,562 specimens of 92 morpho-species.
Within this group, Phytoseiidae comprised 71% of the specimens and 62% of the
morpho-species. Phytophagous mites comprised 836 specimens of 36 morpho-species.
Within this group, Tenuipalpidae comprised the larger proportion of specimens
(61%) whereas Tetranychidae corresponded to the larger proportion of morpho
species (64%). Mites with varied feeding habits corresponded to 491 specimens of
36 morpho-species. In this group, the larger proportion of specimens (52%)
consisted of Ascidae and the larger proportion of morpho-species (42%) consisted
of Tydeinae (family Tydeidae). High abundance and high morpho-species richness of
mites of predominantly predatory, phytophagous and variable feeding habits were
observed on 17, five and nine plant species, respectively. The results obtained
suggest the importance of plants of the studied vegetation as reservoirs of
predatory mites.
PMID- 18060306
TI - [Spatial distribution of phytophagous mites (Acari: Tetranychidae) on strawberry
plants].
AB - Many phytophagous mites can attack strawberry plants, Fragaria x ananassa, among
them the southern red mite, Oligonychus ilicis McGregor, and the two-spotted
spider mite, Tetranychus urticae Koch. They are found together feeding on the
same plant on the upper and underside of the leaves, respectively. Here we
studied the choice for feeding sites of O. ilicis and T. urticae on strawberry
plants. The first hypothesis tested whether the feeding site choice would be
related to the fitness of the species. The second hypothesis dealt whether the
feeding site would be determined by the presence of a heterospecific mite. We
evaluated the preference, biology and reproductive success of O. ilicis and T.
urticae on the under and upper side surface of strawberry leaves infested or not
by the heterospecific. O. ilicis preferred to stay on the upper side surface
while T. urticae preferred the underside. The preference for the leaf surface
correlated with the reproductive success of the species (measured by the
intrinsic growth rate). The choice pattern of feeding sites did not alter when
the choice test was applied using sites previously infested by heterospecific.
Although O. ilicis and T. urticae, apparently, do not interact directly for
feeding sites, there is a chance that the first species induces defenses in
strawberry plant enabling to reduce the fitness of the second species. The
possibility of those species stay together on strawberry plant increases the
damage capacity to the culture.
PMID- 18060307
TI - [Seasonality and diurnal activity of Tabanidae (Diptera: Insecta) of canopy in
the Adolpho Ducke Forested Reserve, Manaus, Amazonas State, Brazil].
AB - The seasonality and diurnal flight activity of tabanids from canopy was studied
at Ducke Reserve, in the county of Manaus, Amazonas State, Brazil, using a
suspended trap installed at 20 m above the soil, connected to the carbon dioxide
gas cylinder. During one day, twice monthly collects of Tabanidae were taken from
April 2000 to June 2001. The material was collected from the trap in intervals of
2h and placed in plastic tubes. A total of 955 individuals, corresponding to
thirty species were collected. Philipotabanus stigmaticalis (Krober) (37.9%) was
caught all over the year and showed higher flight activity between 12:00 p.m. and
4:00 p.m. Acanthocera marginalis Walker (16.3%) was more abundant in the less
rainy months (July to November) with a higher activity period between 10:00 a.m.
and 12:00 p.m. Acanthocera gorayebi Henriques Rafael (9.0%) was more abundant in
July with more activity between 10:00 a.m. and 12:00 p.m. Dichelacera damicornis
(Fabricius) (10.9%) was more abundant in the months of heavier rainfall (January,
February and April) and showed higher diurnal activity between 10:00 a.m. and
2:00 p.m. Diachlorus podagricus (Fabricius) (6.6%) was caught throughout the year
except in February and showed higher activity between 10:00 a.m. and 14:00 p.m.
The climatic factors when correlated to the tabanids richness just showed
significant correlation with precipitation, while the specimens abundance was
correlated to humidity and precipitation.
PMID- 18060309
TI - [Occurrence of Ceratitis capitata Wied. (Diptera: Tephritidae) on papaya fruits
in Minas Gerais State, Brazil].
AB - The objective of this work was to register the infestation of C. capitata on
papaya fruits in Minas Gerais State. Papaya fruits were collected, in a
commercial orchard, in Jaiba, north of the State of Minas Gerais. C. capitata was
the only specie collected (794 individuals). Doryctobracon areolatus (Szepligeti)
was collected parasitizing C. capitata larvae/pupae. The level of infestation was
of 7,7 pupae/kg of fruit or 1,4 pupae/fruit, in average. C. capitata is
registered for the first time on papaya fruits in the State of Minas Gerais.
PMID- 18060308
TI - [Morphological and histological study of eggs of six species of the Triatoma
genus (Hemiptera: Reduviidae)].
AB - The objective of this study was to analyze the morphological and histological
characteristics of eggs from six triatomine species in order to obtain a generic
and specific characterization of this group of Trypanosoma cruzi vectors and
better understand their phylogenetic and taxonomic aspects. The eggs of the
respective species came from the collection of the Laboratorio de Triatomineos e
Culicideos da Faculdade de Saude Publica/USP, from the Insetario do Servico
Especial de Saude de Araraquara - SESA and from the Triatomine insectary of the
Laboratorio Nacional e Internacional de Referencia em Taxonomia de Triatomineos
(FIOCRUZ), in Rio de Janeiro. Morphological studies were done with optical
microscopy and scanning electron microscopy. Histological analyses used only
optical microscopy. All of the species analyzed showed a predominance of
hexagonal cells. The exchorion of Triatoma breyeri Del Ponte, T. costalimai
Verano Galvao, and T. tibiamaculata (Pinto) consists of unornamented cells,
overlapping or slightly separated, with a smooth, padded appearance. Meanwhile,
in T. matogrossensis Leite Barbosa, T. sherlocki Papa, Juberg, Carcavallo,
Cerqueira Barata, and T. williami Galvao, Souza Lima, the exchorion cells are
ornamented on their entire surface with perforations and fissures. Egg histology
showed undulations, pores, and orifices. The study helps to expand the generic
and specific knowledge of the Triatominae subfamily. The characteristics
identified in the exchorion of the eggshells can help separate close species.
These new parameters will back the elaboration of future dichotomous keys, help
to determine each vector species role and expand knowledge of the various
species.
PMID- 18060310
TI - Occurrence of Toxorhynchites guadeloupensis (Dyar Knab) in oviposition trap of
Aedes aegypti (L.) (Diptera: Culicidae).
AB - Toxorhynchites guadeloupensis (Dyar Knab), a poorly known mosquito species, was
observed preying upon Aedes aegypti (L.) larvae, in an oviposition trap placed
for routine dengue entomological surveillance, during 2003-2004 in the urban area
of Boa Vista, Roraima, Brazil. This is the first report for Tx. guadeloupensis
using Ae. aegypti oviposition traps as breeding places. This finding may have
important consequences in the epidemiology and local dengue control since Ae.
aegypti density is a basic variable in dengue prediction. Whether predation of Ae
aegypti by Tx. guadeloupensis in the Amazon is of significance, is a question to
be examined. Also, larval predation may be a cause for underestimation of the
actual Ae aegypti numbers. Together these hypotheses need to be better
investigated as they are directly related to dengue epidemiology, to the success
of any outbreak prediction and surveillance program.
PMID- 18060311
TI - [Microhabitat selection by ant-lion larvae Myrmeleon brasiliensis (Navas)
(Neuroptera: Myrmeleontidae), in a Forest Reserve, Aquidauana, State of Mato
Grosso do Sul].
AB - The relative abundance, density, distribution pattern and relation among pit
diameter and larvae body size of Myrmeleon brasiliensis Navas were evaluated in
two microhabitats: sheltered and exposed. The total of 282 pits were found in
sheltered microhabitat and only 50 in the exposed. The density of M. brasiliensis
was between one and 43 individuals per m(2). The distribution pattern of larvae
tended from pooled to regular distribution as the density increased. In both
microhabitats the larvae body size was positively correlated with pit diameter.
PMID- 18060313
TI - RNA polymerase I promoter and splice acceptor site recognition affect gene
expression in non-pathogenic Leishmania species.
AB - Leishmania (Sauroleishmania) tarentolae has biotechnological potential for use as
live vaccine against visceral leishmaniasis and as a system for the over
expression of eukaryotic proteins that possess accurate post-translational
modifications. For both purposes, new systems for protein expression in this non
pathogenic protozoan are necessary. The ribosomal RNA promoter proved to be a
stronger transcription driver since its use yielded increased levels of
recombinant protein in organisms of both genera Trypanosoma or Leishmania. We
have evaluated heterologous expression systems using vectors with two different
polypyrimidine tracts in the splice acceptor site by measuring a reporter gene
transcribed from L. tarentolae RNA polymerase I promoter. Our data indicate that
the efficiency of chloramphenicol acetyl transferase expression changed
drastically with homologous or heterologous sequences, depending on the
polypyrimidine tract used in the construct and differences in size and/or
distance from the AG dinucleotide. In relation to the promoter sequence the
reporter expression was higher in heterologous lizard-infecting species than in
the homologous L. tarentolae or in the mammalian-infecting L. (Leishmania)
amazonensis.
PMID- 18060314
TI - High proportion of hepatitis C virus genotypes 1 and 3 in a large cohort of
patients from Southern Brazil.
AB - Hepatitis C virus (HCV) isolates have been divided into six genotypes (1 to 6).
The duration of hepatitis C standard treatment is 48 weeks for patients infected
with HCV genotype 1 vs 24 weeks for those infected with genotypes 2 and 3. A
total of 1544 HCV isolates from chronic patients living in the southern Brazilian
states of Rio Grande do Sul (RS, n=627) and Santa Catarina (SC, n=917) were
genotyped by restriction fragment length polymorphism (RFLP) of polymerase chain
reaction (PCR) products. In RS, 338 (53.9%; 95% CI 50.0-57.8%), 34 (5.4%; 95% CI
3.8-7.4%) and, 255 (40.7%; 95% CI 36.9-44.6%) samples were from genotypes 1, 2,
and 3, respectively. In SC, 468 (51%; 95% CI 47.8-54.2%), 26 (2.9%; 95% CI 1.9
4.1%) and, 423 (46.1%; 95% CI 42.9-49.3%) samples were from genotypes 1, 2, and
3, respectively. Genotyping results were confirmed by direct nucleotide
sequencing of PCR products derived from 68 samples, without any discrepancy
between PCR-RFLP and nucleotide sequencing methods. In conclusion, almost half of
the hepatitis C patients from South of Brazil are infected by genotypes 2 and 3
and, these results have important consequential therapeutic implications as they
can be treated for only 24 weeks, not 48.
PMID- 18060316
TI - Antimicrobial resistance profiles of enterococci isolated from poultry meat and
pasteurized milk in Rio de Janeiro, Brazil.
AB - The enterococci are important nosocomial pathogens with a remarkable capacity of
expressing resistance to several antimicrobial agents. Their ubiquitous nature
and resistance to adverse environmental conditions take account for their ability
to colonize different habitats and for their potential for easy spreading through
the food chain. In the present study we evaluated the distribution of species and
antimicrobial susceptibility among enterococcal isolates recovered from food
obtained in retail stores in Rio de Janeiro, Brazil. The following species were
identified among 167 isolates obtained from poultry meat and 127 from pasteurized
milk: Enterococcus faecalis (62.6%), E. casseliflavus (17.3%), E. durans (6.5%),
E. gallinarum (3.0%), E. gilvus (2.4%), E. faecium (2.0%), E. hirae (1.4%), and
E. sulfureus (1.0%). The overall percentages of antimicrobial resistant isolates
were: 31.2 % to tetracycline, 23.8% to erythromycin, 11.3% to streptomycin, 4.3%
to chloramphenicol, 3.9% to gentamicin, 1.4% to norfloxacin, 1.1% to imipenem,
0.7% to ciprofloxacin, nitrofurantoin, and penicillin, and 0.4% to ampicillin.
Intermediate resistance was detected in frequencies varying from 0.5% for
linezolid to 58.2% for erythromycin. None of the isolates showed resistance to
glycopeptides. High-level resistance to aminoglycosides was observed in 13.1% of
the isolates. Multiresistance was observed in E. faecalis, E. casseliflavus, E.
faecium, E. gallinarum, E. durans and E. gilvus.
PMID- 18060315
TI - The involvement of tetA and tetE tetracycline resistance genes in plasmid and
chromosomal resistance of Aeromonas in Brazilian strains.
AB - This study analyzed the involvement of tetA and tetE genes in the tetracycline
resistance of 16 strains of genus Aeromonas, isolated from clinical and food
sources. Polymerase chain reactions revealed that 37.5% of the samples were
positive for tetA, and also 37.5% were tetE positive. One isolate was positive
for both genes. Only the isolate A. caviae 5.2 had its resistance associated to
the presence of a plasmid, pSS2. The molecular characterization of pSS2 involved
the construction of its restriction map and the determination of its size. The
digestion of pSS2 with HindIII originated two fragments (A and B) that were
cloned separately into the pUC18 vector. The tetA gene was shown to be located on
the HindIII-A fragment by PCR. After transforming a tetracycline-sensitive strain
with pSS2, the transformants expressed the resistance phenotype and harbored a
plasmid whose size was identical to that of pSS2. The results confirmed the
association between pSS2 and the tetracycline resistance phenotype, and suggest a
feasible dissemination of tetA and tetE among strains of Aeromonas. This study
suggests the spreading tetA and tetE genes in Aeromonas in Brazil and describes a
resistance plasmid that probably contributes to the dissemination of the
resistance.
PMID- 18060317
TI - Anti-human immunodeficiency virus type 1 humoral immune response and highly
active antiretroviral treatment.
AB - Highly active antiretroviral treatment (HAART) of human immunodeficiency type 1
(HIV-1) infection is very effective in controlling infection, but elimination of
viral infection has not been achieved as yet, and upon treatment interruption an
immediate rebound of viremia is observed. A combination of HAART with an immune
stimulation might allow treatment interruption without this rebounding viremia,
as the very low viremias observed with successful HAART may be insufficient to
permit maintenance of a specific anti-HIV-1 immune response. The objective of
this study was to compare the humoral immune response of individuals undergoing
successful HAART (NF=no failure) with that of individuals with evidence of
failure of therapy (FT) and to verify if the viremia peaks observed in
individuals with therapy failure would act as a specific stimulus for the humoral
anti-HIV-1 immune response. Antibodies binding to gp120 V3 genotype consensus
peptides were more frequently observed for FT, mainly against peptides
corresponding to sequences of genotypes prevalent in the Rio de Janeiro city
area, B and F. HIV-1 neutralization of HIV-1 IIIB and of four primary isolates
from Rio de Janeiro was less frequently observed for plasma from the NF than the
FT group, but this difference was more expressive when plasma from individuals
with detectable viremia were compared to that of individuals with undetectable
viral loads in the year before sample collection. Although statistically
significant differences were observed only in some specific comparisons, the
study indicates that presence of detectable viremia may contribute to the
maintenance of a specific anti-HIV-1 humoral immune response.
PMID- 18060318
TI - Triatoma mexicana (Hemiptera: Reduviidae) in Guanajuato, Mexico: house
infestation and seasonal variation.
AB - Triatoma mexicana was described by Herrich-Schaeffer in 1848. In 1940, a male
specimen was found in Hidalgo. In 1970, this species was recorded in the state of
Queretaro. Later, it was registered in Guanajuato and San Luis Potosi. In the
present paper we performed an investigation in 545 dwellings from three counties
in the state of Guanajuato, Mexico, from March 2003 to May 2004. The search and
capture of triatomines were seasonally performed indoors and outdoors.
Entomological indexes were calculated. The risk and no risk relations between
triatomine presence and housing construction materials were analyzed. Fourteen
triatomines were collected indoors and 151 outdoors. The vectors were collected
in houses built with either risky and non-risky materials. Adults go indoors but
do not settle there, hence, no relationship was found between the building
materials and infestation of houses. Conventional interventions like house
improvement or insecticide spraying are not efficient for the control of T.
mexicana, because its developmental cycle is accomplished outdoors in the area
surrounding the houses.
PMID- 18060319
TI - Niemann-Pick type C1 protein influences the delivery of cholesterol to the
SREBP:SCAP complex.
AB - The proposed role of Niemann-Pick type C1 protein (NPC1) in the delivery of low
density lipoprotein (LDL) cholesterol to the sterol regulatory element binding
protein (SREBP):SREBP cleavage activation protein (SCAP) complex in the
endoplasmic reticulum has been largely based on indirect studies and remains
contentious. The major aim of the present study was to assess whether NPC1 is
involved in the delivery of LDL cholesterol to the SREBP:SCAP complex. A cell
line stably expressing green fluorescence protein-SCAP was cultured in the
presence of U18666A, which can induce a Niemann-Pick type C disease phenotype, in
order to locate the SREBP:SCAP complex by fluorescence microscopy. Our major
finding was that defective NPC1 caused a delay in the ability of LDL cholesterol
to suppress SREBP processing. This was shown in a time-course experiment by the
effect of LDL on green fluorescence protein-SCAP movement when cells were treated
with pharmacological agents to induce a Niemann-Pick type C disease phenotype. We
demonstrated directly by fluorescence microscopy that defective NPC1 causes a
delay in LDL cholesterol delivery to the endoplasmic reticulum where SCAP senses
cholesterol.
PMID- 18060320
TI - The MTR A2756G polymorphism is associated with an increase of plasma homocysteine
concentration in Brazilian individuals with Down syndrome.
AB - Individuals with Down syndrome (DS) present decreased homocysteine (Hcy)
concentration, reflecting a functional folate deficiency secondary to
overexpression of the cystathionine ss-synthase gene. Since plasma Hcy may be
influenced by genetic polymorphisms, we evaluated the influence of C677T and
A1298C polymorphisms in the methylenetetrahydrofolate reductase gene (MTHFR), of
A2756G polymorphism in the methionine synthase gene (MTR), and of A80G
polymorphism in the reduced folate carrier 1 gene on Hcy concentrations in
Brazilian DS patients. Fifty-six individuals with free trisomy 21 were included
in the study. Plasma Hcy concentrations were measured by liquid
chromatography_tandem mass spectrometry with linear regression coefficient r(2) =
0.9996, average recovery between 92.3 to 108.3% and quantification limits of 1.0
micromol/L. Hcy concentrations >15 micromol/L were considered to characterize
hyperhomocystinemia. Genotyping for the polymorphisms was carried out by
polymerase chain reaction followed by enzyme digestion and allele-specific
polymerase chain reaction. The mean Hcy concentration was 5.2 +/- 3.3 micromol/L.
There was no correlation between Hcy concentrations and age, gender or MTHFR
C677T, A1298C and reduced folate carrier 1 A80G genotype. However, Hcy
concentrations were significantly increased in the MTR 2756AG heterozygous
genotype compared to the MTR 2756AA wild-type genotype. The present results
suggest that the heterozygous genotype MTR 2756AG is associated with the increase
in plasma Hcy concentrations in this group of Brazilian patients with DS.
PMID- 18060321
TI - The reciprocal interaction between sleep and type 2 diabetes mellitus: facts and
perspectives.
AB - Type 2 diabetes mellitus is a systemic disease characterized by intolerance to
glucose and peripheral resistance to insulin. This endocrine disease affects
fundamental mechanisms of the central nervous system and jeopardizes the balance
of vital functions such as the cardiovascular and circadian rhythm. The increased
prevalence of metabolic disorders in our society is aggravated by endemic
voluntary postponement of bedtime and by the current sedentary lifestyle, leading
to epidemic proportions of obese people. Diabetes and chronic loss of sleep share
the fact that both affect millions and one is detrimental to the other. Indeed,
sleep deficits have marked modulatory effects on glucose metabolism and insulin
sensitivity and foster metabolic syndrome that culminates in sleep disorders like
restless syndrome and sleep apnea, which in turn lead to poor sleep quality. We
examine the hypothesis that these two worldwide emerging disorders are due to two
interlinked cycles. In our paradigm, we establish an intimate relationship
between diabetes and sleep disturbances and postulate possible mechanisms that
provide support for this conjecture. In addition, we propose some perspectives
about the development of the reciprocal interaction between predictor components
of metabolic syndrome and sleep disturbances that lead to poor sleep quality. The
ability to predict the development and identify or associate a given mode of
sleep disturbance to diabetes would be a valuable asset in the assessment of
both. Furthermore, major advances in care coupled with healthy lifestyles can
ensure a higher quality of life for people with diabetes.
PMID- 18060322
TI - Impairment of cytomegalovirus-specific cellular immune response as a risk factor
for cytomegalovirus disease in transplant recipients.
AB - Human cytomegalovirus (CMV) infection is common in most people but nearly
asymptomatic in immunocompetent individuals. After primary infection the virus
persists throughout life in a latent form in a variety of tissues, particularly
in precursor cells of the monocytic lineage. CMV reinfection and occurrence of
disease are associated with immunosuppressive conditions. Solid organ and bone
marrow transplant patients are at high risk for CMV disease as they undergo
immunosuppression. Antiviral treatment is effective in controlling viremia, but
10-15% of infected patients can experience CMV disease by the time the drug is
withdrawn. In addition, long-term antiviral treatment leads to bone marrow
ablation and renal toxicity. Furthermore, control of chronic CMV infection in
transplant recipients appears to be dependent on the proper recovery of cellular
immunity. Recent advances in the characterization of T-cell functions and
identification of distinct functional signatures of T-cell viral responses have
opened new perspectives for monitoring transplant individuals at risk of
developing CMV disease.
PMID- 18060323
TI - Human-specific endogenous retroviruses.
AB - This review focuses on a small family of human-specific genomic repetitive
elements, presented by 134 members that shaped approximately 330 kb of the human
DNA. Although modest in terms of its copy number, this group appeared to modify
the human genome activity by endogenizing approximately 50 functional copies of
viral genes that may have important implications in the immune response, cancer
progression, and antiretroviral host defense. A total of 134 potential promoters
and enhancers have been added to the human DNA, about 50% of them in the close
gene vicinity and 22% in gene introns. For 60 such human-specific promoters,
their activity was confirmed by in vivo assays, with the transcriptional level
varying approximately 1000-fold from hardly detectable to as high as
approximately 3% of a-actin transcript level. New polyadenylation signals have
been provided to four human RNAs, and a number of potential antisense regulators
of known human genes appeared due to human-specific retroviral insertional
activity. This information is given here in the context of other major genomic
changes underlining differences between human and chimpanzee DNAs. Finally, a
comprehensive database, is available for download, of human-specific and
polymorphic endogenous retroviruses is presented, which encompasses the data on
their genomic localization, primary structure, encoded viral genes, human gene
neighborhood, transcriptional activity, and methylation status.
PMID- 18060325
TI - Reproductive health care for women with spina bifida.
AB - Women with spina bifida have unique health care concerns and as the life
expectancy of this population increases, they are transitioning from adolescence
to womanhood and entering their reproductive years with little information about
what to expect. Likewise, their health care providers do not have the benefit of
evidence-based research that comprehensively addresses the issues these women may
face related to reproduction or aging. Few studies have focused on the effects
that spina bifida may have on these women's reproductive systems, nor has
attention been paid to the effects that possible reproductive endocrine changes
may have on their disability. Needless to say, concerns about sexuality, sexual
function, and pregnancy are just as important to these women as they are to their
able-bodied counterparts.
PMID- 18060324
TI - Can spinal bupivacaine analgesia treatment make a difference on urinary bladder
healing according to the intramuscular pethidine analgesia treatment in rats?
AB - We designed a study to compare the healing levels found with intramuscular
pethidine with those found with intrathecal local anesthetic treatments. The
urinary bladder is suggested to be the most useful tissue in the evaluation of
the effects of the drugs. Nineteen male, Sprague-Dawley rats weighing 200 C300 g
were used in this study. A sagittal section was made in the urinary bladder after
suitable anesthesia and laparotomy. Bladders were closed with 5-0 plain catguts 5
min later. There were nine rats in the control group and pethidine (0.5 g/kg) was
administered intramuscularly in the gluteal muscle region to treat pain after the
operations. There were 11 rats in the study group and each received a spinal
injection of 0.25% bupivacaine after the operation. Rats were followed for 7 days
to define pain. Specimens, particularly the incised region of the bladder, were
evaluated for inflammation and fibrosis. Grading scales were used for this
purpose. Statistical analyses of the data were performed using the Chi-square
test. Statistical analyses were nonsignificant for inflammation (p = 0.151) and
nonsignificant for fibrosis (p = 0.105). The treatments may have the same
effects on organ healing mechanisms. Statistical difference is not shown in this
study, but use of other combinations of pain treatments to evaluate the healing
may demonstrate which of these possibilities is true.
PMID- 18060326
TI - The role of the nurse coordinator in spina bifida clinics.
AB - There are numerous multidisciplinary spina bifida (SB) clinics (typically
including urology, orthopedics, neurosurgery, developmental pediatrics,
physiatry, nursing, social work, and physical and occupational therapy)
throughout the U.S. Many SB clinics have a nurse coordinator. The coordinator's
role is truly multifaceted. It goes far beyond coordinating the clinic visit in
which patients and families are seen for care. The frequency of clinical visits
varies from program to program, from a few hours once a month to a full day every
week. This role encompasses many aspects of care for this complex patient
population, which will be described.
PMID- 18060327
TI - Transition to adulthood in spina bifida: changing roles and expectations.
AB - Survival to adulthood for people with Spina Bifida now exceeds 85% due to
improvements in medical and surgical management. Rates remain lower than expected
for community participation, healthy lifestyle choices, employment and
independent living. The importance of transition programming to help adolescents
with disabilities prepare for adult life roles is now understood. Literature
currently is mainly conceptual or descriptive, but informs the process of
developing transition program models. The need for competent and effective adult
care providers is discussed. Both the transition to adulthood and the transfer of
care to adult care clinics are important and distinct components of spina bifida
lifespan care.
PMID- 18060328
TI - Nonsexual transmission of anogenital warts in children: a retrospective analysis.
AB - The purpose was to evaluate the prevalence of sexual abuse in patients who were
referred to a pediatric gynecologist for evaluation based on the clinical
findings of anogenital warts. A retrospective analysis was performed on 131
patients between the ages 6 month and 9 years referred to a pediatric
gynecologist after the finding of anogenital warts by a clinical provider, parent
or caregiver. A complete physical examination under colposcopy by a the same,
trained pediatric gynecologist was completed, and a complete medical and family
history including maternal and sibling history for evidence of Human
Papillomavirus (HPV) and anogenital warts. The legal system completed a full
investigation to examine the sexual abuse allegations. In 131 patients with
anogenital warts, a maternal history of warts, cervical dysplasia or both was
present in 66 (50%). The remaining patients had either a negative maternal
history for HPV clinical findings (54 patients or 41.2%), or maternal history was
unknown (11 patients, or 8.3%). Of 131 patients, 81 (61%) patients had a sibling.
Of those with siblings 40 (49.4%) had warts and 41 (50.6%) did not. Forty-five
(34%) of the cases had a positive maternal history for warts, dysplasia or both
but also had a sibling. In that cohort, 32 (71%) of the siblings also had
anogenital warts. Three of 131 patients were ruled suspicious for sexual abuse by
the legal authorities but not confirmed. Of those three patients two were female
and one was male. Two had no maternal history for HPV and both of these patients
had a sibling without anogenital warts. Most cases of anogenital warts in
children are likely to be the result of non-sexual transmission, namely prenatal
mode. Thus, these patients should be handled differently by the legal system
unless other reasons for suspicion exist. This study also showed the importance
of maternal gynecologic history.
PMID- 18060329
TI - Membranous dysmenorrhea: a case series.
AB - The purpose was to illustrate the variability of hormonal contraception of
patients that presented with membranous dysmenorrheal. A case analysis chart
review was completed on six patients referred to a Pediatric Gynecologist in an
academic setting. In each case the patient underwent a thorough pelvic and
bimanual exam. Following the initial presentation, each patient continued to be
followed on a regular visits. CASES: Two were using the transdermal contraceptive
patch and oral contraceptive, but following the expulsion of decidual cast, they
were both placed on depot medroxyprogesterone acetate (DMPA) without further
complications. Three of the six cases were on DMPA prior to the similar
occurrence of membranous dysmenorrheal and following this incident, continued on
DMPA without further problems. The final case was on the transdermal patch prior
to decidual cast expulsion and remained on this form of hormonal contraception
without further complications. These cases indicate that membranous dysmenorrheal
is not limited to the use of DMPA.
PMID- 18060330
TI - TENS to the lateral aspect of the knees during stance attenuates postural sway in
young adults.
AB - Somatosensory input is known to be essential for postural control. The present
study examined the effects on postural sway of sensory input delivered via
transcutaneous electrical nerve stimulation (TENS) applied to the knees during
stance. Electrodes from a dual-channel portable TENS unit were adhered to the
skin overlying the lateral and medial aspect of both knees of 20 young healthy
volunteers (mean age 24.0 years, standard deviation 4.0). Postural sway
parameters were obtained during static bipedal stance with an AMTI force
platform. Four stimulation conditions were tested with eyes open and with eyes
closed: no TENS; TENS applied bilaterally; and TENS applied to either the right
or the left knee. Participants underwent two eight-trial blocks, with each trial
lasting 30 seconds. The order of conditions was randomized for each participant.
Stimulation consisted of a biphasic symmetrical stimulus delivered at the sensory
detection level, with a pulse duration of 200microsec and a pulse frequency of
100Hz. The application of TENS induced significant reductions in mean sway
velocity and in the medio-lateral dispersion of the center of pressure, with no
corresponding effect on the anterior-posterior dispersion. These findings suggest
that electrical stimulation delivered at the sensory detection level to the
lateral aspects of the knees may be effective in improving balance control, and
that this effect may be directionally specific.
PMID- 18060331
TI - Can the intestine perform some functions of the kidney?
AB - The majority of patients in countries like India and Pakistan with end-stage
renal disease (ESRD) die without renal replacement therapy due to lack of
adequate resources. The use of the intestinal mucosa as a semipermeable membrane
for removal of urea and creatinine from the body has been previously studied
using various types of intestinal lavage for gut dialysis. This study was
undertaken in an animal model to assess the applicability, cost of therapy, and
acceptability of the method for potential application in humans. Renal failure
was induced in six dogs by bilateral ureteric ligation along with six healthy
controls. Dialysis fluid was introduced per rectum as an enema, which was
repeatedly administered. Clearances of serum creatinine and urea were assessed.
Mean recovery of creatinine and urea in dialysate in the present study was around
8.925 mmol/l and around 207.74 micromol//l, respectively. The mean clearances of
serum creatinine and urea were, respectively, 0.0683 and 0.0633 ml/sec. Enteral
dialysis was effective and, considering its minimal cost (monthly cost will be
around US$35-40) vis a vis available methods, it holds promise for the treatment
of patients with ESRD. The creation of an appendicostomy for repeated
introduction of antegrade enemas would be a consideration.
PMID- 18060332
TI - [Female patient with organic psychosyndrome and neurological focal signs after
immunosuppressant therapy].
AB - Cerebral toxoplasmosis nearly exclusively affects immunodeficient or
immunocompromised patients. Mostly, it is a reactivation of latent toxoplasmosis.
The pathogens, persisting in the reticuloendothelial system of heart and skeletal
muscle cells, are causing a multifocal necrotizing encephalitis. The
characteristic clinical features are organic psychosyndrome and focal
neurological signs such as monoparesis, hemiparesis, aphasia, or seizures. Here
we describe a 56-years-old patient who developed cerebral toxoplasmosis after
receiving stem-cell transplantation treatment for acute myeloic leukemia, and we
discuss the clinical features, differential diagnoses and therapeutic strategies.
PMID- 18060333
TI - [An unusual complication of chronic pancreatitis].
AB - Atraumatic rupture of the spleen is a rare, but life-threatening complication of
pancreatitis. We report a case of an atraumatic spleenic rupture in chronic
pancreatitis. A 41 year old man presented in the emergency room with abdominal
pain and typical signs of acute pancreatitis. His medical history showed a
chronic pancreatitis due to alcoholism with recurrent acute pancreatitic
episodes. He denied any trauma in the recent past. In the next few hours he
showed clinical signs of a severe hemorrhagic shock. The haemoglobin level fell
from 9.4 to 3.0 g/dl. Abdominal ultrasound and abdominal CT scan showed free
fluid. In the following laparotomy a splenectomy was performed due to splenic
rupture. A histological examination of the spleen revealed no reason, that could
explain the splenic rupture. Hence we assumed a spontaneous rupture. The reported
case demonstrates that in acute pancreatitis and signs of shock it is necessary
to rule out rupture of the spleen e.g. via ultrasound and abdominal CT scan. If
there are signs of spleenic rupture, the only therapy of this life-threatening
complication is instant operation to save patient's life.
PMID- 18060334
TI - [Pharmacotherapy of obesity].
AB - Diet, exercise and behavioral therapy are the basics for every treatment of
obesity. If lifestyle intervention does not result in a weight loss of 5% within
3 to 6 months, an additional pharmacotherapy can be considered. Treated patients
should have a BMI >/=30 kg/m(2) or at least a BMI >/=27 kg/m(2) plus accompanying
comorbidities, such as type 2 diabetes, dyslipidemia or hypertension. Current
guidelines list orlistat, sibutramine and rimonabant as possible options for the
pharmacotherapy of obesity. These compounds result in moderate weight reduction
and improvement of cardiovascular risk profile. Especially the improvement of
glucose metabolism can be considered as clinically relevant. Different side
effects of the various compounds need to be considered before their use.
Additional options for the pharmacotherapy of obesity are currently developed,
their approval, however, is unlikely to happen within the next couple of years.
PMID- 18060337
TI - [Preservation of hip prosthesis with local surgical revision and creation of a
fistula persistens : an option for palliative treatment of periprosthetic
infection in old, polymorbid patients?].
AB - BACKGROUND: The number of implanted hip prostheses is increasing constantly. At
the same time the patients are becoming older and older. Thus, also patients with
periprosthetic infections are older and therefore sicker. Uniform guidelines for
the treatment of infected arthroplasties are controversial. Empirical studies
show that the explantation of the original prosthesis and implantation of a
revision may be the option with the greatest chance of success. These very
aggressive procedures may overburden the old, polymorbid patient. The aim of this
study was to ascertain whether or not keeping the hip prosthesis in combination
with local debridement, formation of a permanent fistula and long-term
administration of antibiotics is a possible option for the treatment of infected
hip prostheses in old and polymorbid patients. PATIENTS: Between 01.01.2004 and
28.01.2007, 12 patients with periprosthetic infection after hip arthroplasty
(PIH) were treated. Their average age was 79.8 years. Eleven patients were rated
ASA III preoperatively. The prostheses were on average 23.8 weeks old when the
first signs of infection occurred. In 10 cases the infection was caused by
Staphylococcus (MRSA 3x). The main comorbidities were hypertension, diabetes,
coronary heart disease and thyroid malfunction. RESULTS: After a mean 8.83
months, six patients were deceased (average age 85.50 years). In five of the
remaining six patients the fistula worked without any problem. In one case the
fistula was occluded. None of the patients showed any sign of acute infection.
All were able to walk with full weight-bearing on the affected hip. CONCLUSION:
Restricting the indication to old, polymorbid patients, preservation of the
arthroplasty in combination with local surgical debridement, permanent fistula
and long-term systemic administration of antibiotics seems to be an alternative
to explantation of the prosthesis with consecutive revision arthroplasty or
resection arthroplasty.
PMID- 18060338
TI - Specific fears and phobias in the general population: results from the
Netherlands Mental Health Survey and Incidence Study (NEMESIS).
AB - OBJECTIVE: To examine the prevalence rate, impairment, comorbidity, course of
illness and determinants of eight specific phobia variants: animals (animal
subtype); heights, water, storms (natural environment subtype); flying, enclosed
spaces, being alone (situational subtype); and blood/injury (blood/injury
subtype). METHOD: Data were obtained from the Netherlands Mental Health Survey
and Incidence Study, a prospective study in the Dutch general population aged 18
65 (N = 7,076). RESULTS: The most prevalent condition was specific phobia with a
fear of heights (4.9%). On all parameters except duration, specific phobia with a
fear of being alone emerged as the most severe condition. Phobias with fear of
enclosed spaces and phobias with fear of blood showed a slightly greater
likelihood of impairment, comorbidity and personality problems than phobias with
fear of animals, heights, water or storms. CONCLUSION: The situational and
blood/injury phobia subtypes appear to be a more significant index for
impairments and for comorbid psychiatric disorders than the animal and natural
environment phobia subtypes.
PMID- 18060339
TI - The need for culture sensitive diagnostic procedures: a study among psychotic
patients in Morocco.
AB - OBJECTIVE: We examine the procedural validity of a standardized instrument for
the diagnosis of psychotic disorders in Morocco. METHOD: Twenty-nine patients
from Casablanca, Morocco, with a psychotic or mood disorder were examined using
the Comprehensive Assessment of Symptoms and History (CASH) an adapted version
using cultural formulation to make the instrument more culturally sensitive (CASH
CS). Chance corrected agreement was calculated between diagnoses based on these
two versions of CASH and independent clinical diagnoses according to local
psychiatrists. RESULTS: Agreement for traditional CASH versus clinical diagnosis
and for CASH versus CASH-CS was low (kappa = -0.19; SD 0.16 and kappa = 0.21; SD
0.16, respectively). De CASH-CS, showed good agreement with clinical diagnosis
(kappa = 0.79; SD 0.11). CONCLUSION: Standardized instruments for the assessment
of psychosis such as the CASH may be liable to cultural misinterpretations. This
may be relevant to the interpretation of the high incidence rates of
schizophrenia among immigrants. SIGNIFICANT OUTCOMES: Agreement between a
culturally naive version of a standardized diagnostic instrument for the
assessment of psychosis and clinical diagnosis by Moroccan psychiatrists is poor.
Adding additional probes and decision rules based on cultural formulation
improves agreement with clinical diagnosis significantly. LIMITATIONS: The study
was conducted in a small sample. Both versions of CASH were administered by the
same interviewer in a single interview session.
PMID- 18060340
TI - Mental health laws that require dangerousness for involuntary admission may delay
the initial treatment of schizophrenia.
AB - INTRODUCTION: A long duration of untreated psychosis (DUP) is associated with a
worse prognosis, an increased risk of suicide and may be linked to serious
violence. Mental health laws that require patients to be dangerous to themselves
or to others before they can receive involuntary psychiatric treatment may make
it more difficult to treat patients in their first episode of psychosis. METHODS:
The mean and median DUP reported in studies of schizophrenia related psychoses
were examined. A comparison was made between the DUP reported from jurisdictions
that had an obligatory dangerousness criterion (ODC) and those with other
criteria for involuntary treatment. RESULTS: The average mean DUP in samples from
jurisdictions with an ODC was 79.5 weeks, but was only 55.6 weeks in those
jurisdictions that did not have an ODC (P < 0.007). CONCLUSIONS: Mental health
laws that require the patient to be assessed as dangerous before they can receive
involuntary treatment are associated with significantly longer DUP. As reducing
DUP is an intervention that can improve the prognosis of schizophrenia, this
finding suggests that mental health laws should be amended to allow treatment on
grounds other than dangerousness, at least in the crucial first episode of
psychosis.
PMID- 18060341
TI - The effects of bilateral caudal epidural S2-4 neuromodulation on female sexual
function.
AB - This is a pilot study to evaluate the effects of caudal epidural S2-4
neuromodulation on female sexual function in a population of women with voiding
dysfunction. We prospectively studied 36 consecutive female patients who
underwent caudal epidural sacral neuromodulation. Patients received the Female
Sexual Function Index (FSFI) questionnaire preoperatively and 6 months
postoperatively. Six months after permanent implantation, the overall score on
the FSFI improved by 52% (p = 0.05). Results were better in patients who
underwent the treatment for voiding dysfunction compared to those who had pain as
their primary complaint. In this group, the overall score improved by 157% (p =
0.004). Stimulation of S2-4 by bilateral caudal epidural neuromodulation in this
small group of women with voiding dysfunction, retention, and/or pelvic pain
resulted in self-reported improvements in sexual function. Further studies are
needed to evaluate the potential role of S2-4 sacral stimulation in the treatment
of female sexual dysfunction.
PMID- 18060342
TI - Histological changes in bone marrow after treatment of infliximab for rheumatoid
arthritis.
AB - To investigate histological evidence of bone remodeling in response to infliximab
for rheumatoid arthritis (RA), bone marrow tissues were extracted from ten RA
patients at the time of total knee arthroplasty after treatment of infliximab for
an average of 16 months (range, 8-24 months). The patients had a mean age of 65.3
years (range, 57-76 years) with 4.8 mg/week of methotrexate (MTX; 4-6 mg) and 3.8
mg/day of prednisolone (2-5 mg). Control samples were obtained from ten RA
patients who did not undergo infliximab therapy. These patients had an average
age of 67.6 years (range, 59-78 years) and received 5.2 mg/week of MTX (4-6 mg)
and 4.0 mg/day of prednisolone (2-5 mg). Histological examination of structural
differences between the infliximab and control groups in bone marrow was
performed using hematoxylin and eosin (H & E) to evaluate differences. In
immunohistochemical examination, the expressions of tumor necrosis factor-alpha
(TNF-alpha), interleukin-6 (IL-6), receptor activator of nuclear (kappa) B ligand
(RANKL), osteoprotegerin (OPG), and osteopontin (OPN) were compared between both
groups. H & E staining revealed that the bone marrow tissues of the RA patients
who underwent infliximab therapy demonstrated newly formed thickness of
interstitial septum among the trabeculae as compared with the control group.
Moreover, immunohistochemical examinations revealed that TNF-alpha, IL-6, RANKL,
OPG, and OPN were expressed in this newly formed bone after infliximab therapy.
Therefore, treatment with infliximab improved the histological changes with
respect to bone metabolism in the newly formed bone marrow tissues.
PMID- 18060343
TI - Extracellular matrix of porcine pericardium: biochemistry and collagen
architecture.
AB - Pericardial tissue has been used to construct bioprostheses employed in the
repair of different kinds of injuries, mostly cardiac. However, calcification and
mechanical failure have been the main causes of the limited durability of cardiac
bioprostheses constructed with bovine pericardium. In the course of this work, a
study was conducted on porcine fibrous pericardium, its microscopic structure and
biochemical nature. The general morphology and architecture of collagen were
studied under conventional light and polarized light microscopy. The biochemical
study of the pericardial matrix was conducted according to the following
procedures: swelling test, hydroxyproline and collagen dosage, quantification of
amino acids in soluble collagen, component extraction of the extracellular matrix
of the right and left ventral regions of pericardium with different molarities of
guanidine chloride, protein and glycosaminoglycan (GAG) dosage, sodium dodecyl
sulfate-polyacrylamide gel electrophoresis and total GAG analysis. Microscopic
analysis showed collagen fibers arranged in multidirectionally oriented layers
forming a closely knit web, with a larger number of fibers obliquely oriented,
initiating at the lower central region toward the upper left lateral relative to
the heart. No qualitative differences were found between proteins extracted from
the right and left regions. Likewise, no differences were found between fresh and
frozen material. Protein dosages from left frontal and right frontal pericardium
regions showed no significant differences. The quantities of extracted GAGs were
too small for detection by the method used. Enzymatic digestion and
electrophoretic analysis showed that the GAG found is possibly dermatan sulfate.
The proteoglycan showed a running standard very similar to the small proteoglycan
decorin.
PMID- 18060344
TI - K+ channel expression in human breast cancer cells: involvement in cell cycle
regulation and carcinogenesis.
AB - K+ channels are a most diverse class of ion channels in the plasma membrane and
are distributed widely throughout a variety of cells including cancer cells.
Evidence has been accumulating from fundamental studies indicating that tumour
cells possess various types of K+ channels and that these K+ channels play
important roles in regulating tumor cell proliferation, cell cycle progression
and apoptosis. Moreover, a significant increase in K+ channel expression has been
correlated with tumorigenesis, suggesting the possibility of using these proteins
as transformation markers and perhaps reducing the tumor growth rate by
selectively inhibiting their functional activity. Significant progress has been
made in defining the properties of breast K+ channels, including their
biophysical and pharmacological properties and distribution throughout different
phases of the cell cycle in breast cell line MCF-7. This review aims to provide a
comprehensive overview of the current state of research into K+ channels/currents
in breast cancer cells. The possible mechanisms by which K+ channels affect tumor
cell proliferation and cell cycle progression are discussed.
PMID- 18060345
TI - Synthesis and characterization of novel organosoluble and optically active
aromatic polyesters containing L-methionine and phthalimide pendent groups.
AB - 5-(4-Methylthio-2-phthalimidylbutanoylamino)isophthalic acid (5) as a novel
diacid monomer containing phthalimide and flexible chiral groups was prepared by
dehydration of L: -methionine and phthalic anhydride followed by reacting with
thionyl chloride and then treating with 5-aminoisophthalic acid (5AIPA) in dry
N,N-dimethylacetamide (DMAc). A series of novel polyesters (PEs) containing
phthalimide group was prepared by the reaction of diacid monomer 5 with several
aromatic diols via direct polyesterification with tosyl
chloride/pyridine/dimethylformamide (DMF) system as condensing agent. The
resulting new polymers were obtained in good yields and inherent viscosities
ranging between 0.21 and 0.51 dLg(-1) were characterized with FT-IR, 1H NMR,
elemental and thermogravimetric analysis techniques. These polymers are readily
soluble in polar organic solvents such as DMAc, DMF, dimethyl sulfoxide and
protic solvents such as sulfuric acid. Specific rotation experiments demonstrate
the optical activity induction due to successful insertion of L-methionine in the
structure of pendent groups. Thermogravimetric analysis showed that the 10%
weight loss temperature in a nitrogen atmosphere were more than 315 degrees C,
which indicates that the resulting PEs have good thermal stability.
PMID- 18060346
TI - First characterisation of the active oligomer form of sulfur oxygenase reductase
from the bacterium Aquifex aeolicus.
AB - Sulfur oxygenase reductase (SOR) enzyme is responsible for the initial oxidation
step of elemental sulfur in archaea. Curiously, Aquifex aeolicus, a
hyperthermophilic, chemolithoautotrophic and microaerophilic bacterium, has the
SOR-encoding gene in its genome. We showed, for the first time the presence of
the SOR enzyme in A. aeolicus, its gene was cloned and recombinantly expressed in
Escherichia coli and the protein was purified and characterised. It is a 16 homo
oligomer of approximately 600 kDa that contains iron atoms indispensable for the
enzyme activity. The optimal temperature of SOR activity is 80 degrees C and it
is inactive at 20 degrees C. Studies of the factors involved in getting the fully
active molecule at high temperature show clearly that (1) incubation at high
temperature induces more homogeneous form of the enzyme, (2) conformational
changes observed at high temperature are required to get the fully active
molecule and (3) acquisition of an active conformation induced by the temperature
seems to be more important than the subunit number. Differences between A.
aeolicus SOR and the archaea SORs are described.
PMID- 18060347
TI - Salinicoccus salitudinis sp. nov., a new moderately halophilic bacterium isolated
from a saline soil sample.
AB - A novel pale-yellow-pigmented, moderately halophilic, facultatively alkaliphilic,
non-motile, non-spore-forming, catalase- and oxidase-positive, obligately aerobic
Gram-positive coccus, strain YIM-C678T was isolated from a saline soil sample
collected from a hypersaline habitat in the Qaidam basin, northwest China. The
organism grew at 4-37 degrees C and pH 6.0-11.0, with optimum growth at 25
degrees C and pH 8.0. Strain YIM-C678T grew optimally in the presence of 10-12%
(w/v) NaCl and growth was observed in 1-25% (w/v) NaCl. The cell wall murein type
was L: -Lys-Gly5. Major cellular fatty acids were anteiso-C15:0, iso-C15:0, iso
C16:0 and C16:0. Menaquinone 6 (MK-6) was the major respiratory quinone. The DNA
G + C content was 46.5 mol%. Phylogenetic analysis based on 16S rRNA gene
sequences indicated that the strain YIM-C678T belonged to the family
Staphylococcaceae and was most closely related to the eight described species of
the genus Salinicoccus with sequence similarities from 92.2 (S. luteus YIM
70202T) to 97.5% (S. kunmingensis YIM Y15T). The DNA-DNA relatedness between
strain YIM-C678T and S. kunmingensis YIM Y15T was 35.4%. Chemotaxonomic data and
16S rRNA gene sequence analysis supported the affiliation of strain YIM-C678T
with the genus Salinicoccus. The combination of phylogenetic analysis, phenotypic
characteristics, chemotaxonomic differences and DNA-DNA hybridization data
supported the view that the bacterium represents a novel species of the genus
Salinicoccus, for which the name Salinicoccus salitudinis sp. nov. is proposed,
with YIM-C678T (=DSM 17846 = CGMCC 1.6299) as the type strain.
PMID- 18060348
TI - Transient expression of AtNCED3 and AAO3 genes in guard cells causes stomatal
closure in Vicia faba.
AB - Abscisic acid (ABA) regulates stomatal closure in response to water loss. Here,
we examined the competence of guard cells to synthesize ABA, using two
Arabidopsis ABA biosynthetic enzymes. 35S pro::AtNCED3-GFP and AAO3-GFP were
introduced into guard cells of broad bean leaves. AtNCED3-GFP expression was
detected at the chloroplasts, whereas green fluorescent protein (GFP) and AAO3
GFP were in the cytosol. The stomatal aperture was decreased in AtNCED3-GFP- and
AAO3-GFP-transformed guard cells. This indicated that ABA biosynthesis is
stimulated by heterologous expression of AtNCED3 and Arabidopsis aldehyde oxidase
3 (AAO3) proteins, which both seem to be regulatory enzymes for ABA biosynthesis
in these cells. Furthermore, stomatal closure by the expression of AtNCED3 and
AAO3 suggested that the substrates of the enzymes are present and native ABA
biosynthesis enzymes are active in guard cells.
PMID- 18060350
TI - Effect of soil moisture on leaf ecophysiology of Parasenecio yatabei, a summer
green herb in a cool-temperate forest understory in Japan.
AB - Leaf physiological and gas-exchange traits of a summer-green herbaceous
perennial, Parasenecio yatabei, growing along a stream were examined in relation
to leaf age. In its vegetative phase, the aerial part of this plant consists of
only one leaf and provides an ideal system for the study of leaf longevity.
Volumetric soil water content (SWC) decreased with increasing distance from the
stream, whereas relative light intensity was nearly constant. The light-saturated
net CO2 assimilation rate (Asat) and leaf stomatal conductance (gs) were
approximately 1.5-fold and 1.4-fold higher, respectively, in the lower slope near
the mountain stream than in the upper slope far from the mountain stream. The
lifespan of aerial parts of vegetative plants significantly increased with
decreasing SWC. The leaf mass-based nitrogen content of the leaves (N mass) was
almost constant (ca. 2.2%); however, the maximum carboxylation rate by ribulose
1,5-biphosphate carboxylase/oxygenase (rubisco) (V cmax) and photosynthetic
nitrogen use efficiency (PNUE, A sat/N area) decreased more slowly in the upper
slope than in the lower slope. The higher leaf photosynthetic activity of P.
yatabei plants growing lower on the slope leads to a decrease in V (cmax) and
PNUE in the early growing season, and to a shorter leaf lifespan.
PMID- 18060349
TI - Salt-induced plasticity of root hair development is caused by ion disequilibrium
in Arabidopsis thaliana.
AB - Root hair development is controlled by environmental signals. Studies on root
hair plasticity in Arabidopsis thaliana have mainly focused on phosphate and iron
deficiency. Root hair growth and development and their physiological role in
response to salt stress are largely unknown. Here, we show that root epidermal
cell types and root hair development are highly regulated by salt stress. Root
hair length and density decreased significantly in a dose-dependent manner on
both primary roots and junction sites between roots and shoots. The root hair
growth and development were sensitive to inhibition by ions but not to osmotic
stress. High salinity also alters anatomical structure of roots, leading to a
decrease in cell number in N positions and enlargement of the cells. Moreover,
analysis of the salt overly sensitive mutants indicated that salt-induced root
hair response is caused by ion disequilibrium and appears to be an adaptive
mechanism that reduces excessive ion uptake. Finally, we show that genes WER,
GL3, EGL3, CPC, and GL2 might be involved in cell specification of root epidermis
in stressed plants. Taken together, data suggests that salt-induced root hair
plasticity represents a coordinated strategy for early stress avoidance and
tolerance as well as a morphological sign of stress adaptation.
PMID- 18060351
TI - Pediatric inguinal hernia repair-a critical appraisal.
AB - Inguinal hernia repair in infants and babies is a routine operation, but many
issues have not been addressed scientifically. Thus, it is not known, e.g., if
all children with a hernia should be operated on, what is the best timing of
surgery, or if the operation should be performed with an open approach or
laparoscopically. The review is a critical discussion of these and other issues
in pediatric herniorrhaphy pointing out the need for further research.
PMID- 18060352
TI - Postoperative hematoma following inguinal herniorrhaphy: patient characteristics
leading to increased risk.
AB - BACKGROUND: Although relatively infrequent, groin hematoma following inguinal
herniorrhaphy is a morbid complication with major ramifications of mesh infection
and hernia recurrence. We have sensed an increasing frequency of this
complication in our tertiary referral practice and sought to determine whether or
not significant risk factors could be identified. METHODS: In this matched case
control study (1995-2003), we identified 53 patients with groin hematomas and
paired them with 106 age- and gender-matched controls. Patient and procedure
characteristics were analyzed using chi-square and both univariate and
multivariable, conditional logistic regression analysis. RESULTS: The 53 patients
developing groin hematoma following inguinal hernia repair (mean age=65, range 22
87, 90% male) were well matched with 106 controls (mean age=65, range 22-87, 90%
male). There was no significant difference in the location (left, right,
bilateral), type (direct, indirect, pantaloon, first repair, or recurrent), or
technique of hernia repair (Bassini, Lichtenstein, mesh plug, endoscopic, or
McVay) between groups. While univariate analysis identified Coumadin usage
(P<0.001, hazard ratio 19.1), valvular disease (P<0.001, hazard ratio 10.9),
atrial fibrillation (P=0.02, hazard ratio 4.2), vascular disease (P=0.04, hazard
ratio 2.2), blood abnormalities (P=0.02, hazard ratio 3.2), and previous bleeding
episodes (P=0.02, hazard ratio 4.9) as significant factors, only preoperative
Coumadin usage was important in multivariate analysis. CONCLUSION: The crucial
risk factor for groin hematoma developing in patients undergoing inguinal hernia
repair is preoperative need for Coumadin therapy. Although the perioperative
management of anticoagulation in patients undergoing inguinal herniorrhaphy is
not clearly defined, meticulous management of patients requiring Coumadin therapy
seems prudent.
PMID- 18060353
TI - Management of enema tip-induced rectourethral fistula with gluteus maximus flap:
report of a case.
AB - Rectourethral fistulas are uncommon and pose a challenging treatment problem. We
report the case of 68-year-old man with rectourethral fistula following enema tip
induced rectal injury. We treated the patient with an alternative surgical method
used to close poorly healing defects between the urethra and rectum, by means of
a muscular flap of the gluteus maximus muscle. The postoperative course was
uneventful. He remained well and asymptomatic 6 months after the surgery. This
technique is superior to gracilis muscle transposition, regarding the richer
blood supply, anatomic neighborhood, technical simplicity, and avoidance of
unnecessary incisions. Gluteus maximus muscle flap seems to be a good alternative
technique in the treatment of iatrogenic rectourethral fistula.
PMID- 18060356
TI - When can local excision be considered adequate for treatment of non advanced low
rectal cancer (NALRC)?
PMID- 18060360
TI - Total rectal lumen obliteration after stapled haemorrhoidopexy: a cautionary
tale.
AB - We describe obliteration of the rectal lumen during stapled haemorrhoidopexy in a
patient with marked mucosal prolapse. The complication was recognised immediately
and continuity was restored by performing a limited Delorme's procedure. The
possible cause of this complication is explored and serves as a reminder that
meticulous technique is required when performing stapled haemorrhoidopexy to
prevent potentially disastrous complications.
PMID- 18060361
TI - Proctalgia in a patient with staples retained in the puborectalis muscle after
STARR operation.
AB - Stapled transanal rectal resection (STARR) is a novel surgical technique for the
treatment of intussusception and rectocele causing obstructed defecation. In this
procedure, a double full-thickness rectal resection is performed transanally
using two circular staplers. We describe the case of a patient complaining of
persistent pain, tenesmus and fecal urgency after STARR. The patient also had an
external rectal prolapse requiring an Altemeier rectosigmoid resection; during
this operation we found and removed several staples that had stuck to the
puborectalis muscle during STARR. Some degree of muscle inflammation was found at
histological analysis. The patient recovered fully after this reintervention.
Among the complications reported after STARR, the present one had not previously
been described. The retained staples might have caused proctalgia in this
patient.
PMID- 18060357
TI - Inflammatory bowel disease: the problems of dysplasia and surveillance.
AB - Patients with ulcerative colitis and colonic Crohn's disease are at an increased
risk of developing colorectal malignancy. The reporting of such cases in the
literature has led to the identification of a number of risk factors for the
development of mucosal dysplasia and cancer. The diagnosis of dysplasia and the
subsequent management, however, are not without controversy, as is the practice
of surveillance colonoscopy that is commonly performed in an attempt to reduce
the risk of cancer.
PMID- 18060362
TI - En bloc resection of abdominal aorta and paraaortic lymph node metastasis of
sigmoid cancer.
AB - The surgical management of colorectal metastasis is becoming a widespread
practice in oncology. Synchronous resection of metastasis together with invaded
major vessels (aorta) is still considered an extremely aggressive procedure. We
demonstrate that en bloc resection of invaded aorta and paraaortic lymph node
metastasis can be safely performed. The literature from experiences with similar
techniques is also discussed.
PMID- 18060363
TI - Implicating chromosomal aberrations with meningioma growth and recurrence:
results from FISH and MIB-I analysis of grades I and II meningioma tissue.
AB - The fluorescence in situ hybridization (FISH) technique was used in 111 WHO
grades I and II meningioma patients. Clinical, radiological, pathological, and
immunohistochemical data were compared to aberrations of chromosomes 1p, 14q, and
22q determined by FISH. Significant differences for MIB-1 labeling were found
between grades I and II tumors (p < 0.001), and between grade I tumors that
recurred and those that did not recur (p < 0.001). Chromosomal aberrations were
detected with FISH analysis in nearly 50% of grade I, and in 93% of grade II
meningiomas. The numbers of chromosomal aberrations correlated significantly to
MIB-1 (p < 0.001), with signs of grossly invasive tumor growth (p < 0.001), and
with tumor recurrence (p < 0.01). The findings suggest that adding FISH analysis
may allow better prediction of possible meningioma recurrence and may be a useful
adjunct for therapy decisions.
PMID- 18060364
TI - Compression stockings to prevent post-thrombotic syndrome: a role for
anticoagulation clinics?
AB - Anticoagulation clinics are increasingly used to manage oral anticoagulant
therapy in patients with venous thromboembolic disease (VTE). Such clinics may be
in a position to assume greater responsibility for other aspects of the long-term
management of VTE, including prevention of post-thrombotic syndrome (PTS).
Current guidelines suggest use of graduated elastic compression stockings with a
pressure of 30-40 mm Hg at the ankle for 2 years following the diagnosis of deep
vein thrombosis (DVT) to prevent PTS. A survey of anticoagulation clinic
providers was conducted to determine to what extent patients with DVT are
prescribed compression stockings and by whom, and the degree of compression and
duration of therapy prescribed. Survey results show a very low rate of use of
compression stockings in patients with DVT, and limited adherence to current
recommendations for strength and duration of use. We believe that healthcare
providers practicing in anticoagulation clinics should be encouraged to expand
their scope of practice into a more comprehensive model of antithrombosis care,
including prevention of PTS. By doing so, the extent of use of compression
stockings might be increased, and adherence to current guidelines for strength
and duration of compression might be improved.
PMID- 18060365
TI - Purification and bioactivity of exendin-4, a peptide analogue of GLP-1, expressed
in Pichia pastoris.
AB - Exendin-4, a peptide analogue of glucagon-like peptide-1 (GLP-1), has been
developed for treatment of type 2 diabetes. Herein, the secretive exendin-4
fusion protein, expressed by methanol induction in Pichia pastoris system, was
purified to homogeneity by chromatography followed by enterokinase cleavage of
the fusion protein and subsequent purification of the recombinant exendin-4.
Purity of the recombinant exendin-4 was 95.6%. Bioactivity assay revealed that it
had glucose-lowering and insulin-releasing action in vivo.
PMID- 18060366
TI - Total antioxidant status and 8-hydroxy-2'-deoxyguanosine levels in gingival and
peripheral blood of periodontitis patients.
AB - INTRODUCTION: The aim of this study was to determine 8-OHdG concentration as a
biomarker of oxidant-induced DNA damage and to assess total antioxidant status
(TAS) in gingival and peripheral blood during periodontal lesion. MATERIALS AND
METHODS: The study included 56 untreated periodontitis patients (26 with
aggressive periodontitis, and 30 with chronic periodontitis (CP). The control
group consisted of 25 healthy volunteers without pathological changes in the
periodontium. Competitive ELISA was used to measure 8-OHdG. A colorimetric method
based on the reduction of ABTSo+ radical cation generation was used to measure
TAS. RESULTS: Significantly higher 8-OHdG concentrations were detected in the
gingival blood in both groups of patients with periodontitis than in the control
group. Subjects with CP had significantly decreased TAS levels in the gingival
blood compared with the control group. A significantly decreased TAS level in the
peripheral blood in both patient groups compared with the control group was
found. Significant positive correlation between TAS levels in venous and gingival
blood in all the periodontitis patients and in the CP group was observed.
CONCLUSIONS: The oxidative burst in periodontitis may lead to significant local
damage to nucleic acids. The significantly decreased TAS level in the gingival
blood of CP patients compared with the healthy subjects suggests the possibility
of a significant decrease in local antioxidant system capacity during the course
of periodontitis. The decreased TAS level in the peripheral blood in the group of
all patients with periodontitis may be one of the pathogenic mechanisms
underlying the links between periodontal disease and several systemic diseases
for which periodontitis is regarded as a independent risk factor.
PMID- 18060367
TI - The influence of different culture microenvironments on the generation of
dendritic cells from non-small-cell lung cancer patients.
AB - INTRODUCTION: Monocyte-derived dendritic cells (DCs) are currently under
extensive evaluation as cell vaccines for cancer treatment. Many protocols
regarding DCs generation in vitro with different protein components, especially
autologous proteins, have been described. On the other hand, active tumor-derived
factors in patients' serum could impair monocytes, which might result in their
abrogated differentiation into DCs in vitro. MATERIALS AND METHODS: Autologous
DCs from non-small-cell lung cancer (NSCLC)-bearing patients were generated in
different culture microenvironments. Peripheral blood mononuclear cells (PBMCs)
were cultured in the presence of interleukin-4 and granulocyte-monocyte
stimulating factor with supplementation of 10% autologous serum, 10% allogenic
serum, or 2% human albumin. The course of apoptosis, phagocytic ability, and the
immunophenotype of the generated DCs were analyzed using flow cytometric methods.
RESULTS: After 48 h of culture, we found a lower percentage of CD1a+/CD14+ and a
higher percentage of CD1a+/CD14(-) cells in the culture supplemented with human
albumin than in the cultures supplemented with serums. The lowest CD14 antigen
expression was found in the human albumin-supplemented 48-h cultures. After 48 h
in the cultures carried out with human albumin we found significantly higher
percentages of AV+/PI+ cells and AV(-)/PI+ cells than in cultures supplemented
with autologous or allogenic serum. We also noted that the expression of FITC
dextran after 4 and 24 h of incubation was significantly higher in the cultures
supplemented with both serums than in the HA-SC. The percentage of semi-mature
DCs and of CD83 expression was lowest in the culture supplemented with 2% human
albumin. CONCLUSIONS: The kind of culture supplementation had a great impact on
the apoptosis of cultured PBMCs. It could also influence the yield of monocyte
derived DCs. It was also confirmed that autologous and allogenic serums provide
suitable microenvironments for the generation of autologous DCs from NSCLC
patients. The choice of culture supplementation for DC generation is still
unsolved and further studies should be undertaken.
PMID- 18060368
TI - Immunomodulation by alpha(1)-proteinase inhibitor: lack of chemotactic effects of
recombinant human alpha(1)-proteinase inhibitor from yeast on human peripheral
blood granulocytes.
AB - INTRODUCTION: Recombinant alpha(1)-proteinase inhibitor, clinically developed for
inhalative augmentation therapy in patients with alpha(1)-proteinase inhibitor
deficiency or cystic fibrosis, may directly contribute to leukocyte accumulation
as it may function as a chemoattractant. The migratory effects of yeast-derived
human recombinant alpha(1)-proteinase inhibitor on human peripheral blood
neutrophils and eosinophils were therefore tested in vitro. MATERIALS AND
METHODS: Human peripheral blood leukocytes were prepared from forearm venous
blood and tested for migration toward various preparations of yeast-derived
recombinant alpha(1)-proteinase inhibitor in modified Boyden-chamber micropore
filter assays. RESULTS: No direct effects of yeast-derived recombinant human
alpha(1)-proteinase inhibitor on in vitro migration of isolated neutrophils or
eosinophils were seen. CONCLUSIONS: The lack of direct chemotactic effects of
recombinant human alpha(1)-proteinase inhibitor despite anti-inflammatory effects
in other biological activities of leukocytes may contribute to the preserved
antibacterial defense mechanisms observed in patients under experimental
augmentation therapy with inhaled alpha(1)-proteinase inhibitor.
PMID- 18060369
TI - DNA vaccines: are they still just a powerful tool for the future?
AB - Vaccination is historically one of the most successful strategies for the
prevention of infectious diseases. For safety reasons, modern vaccinology tends
toward the usage of inactivated or attenuated microorganisms and uses
predominantly subunit vaccines. The antigens need to be clearly defined, pure,
stable, appropriately composed, and properly presented to the immune system of
the host. Differing ratios of various proportions between specific CD4+ and CD8+
T cell responses are essential for conferring the required protection in the case
of individual vaccines. To stimulate both CD4+ and CD8+ T cells, the antigens
must be processed and presented to both antigen-presentation pathways, MHC I and
MHC II. Protein antigens delivered by vaccination are processed as extracellular
antigens. However, extracellularly delivered antigen can be directed towards
intracellular presentation pathways in conjugation with molecules involved in
antigen cross-presentation, e.g. heat shock proteins, or by genomic-DNA
vaccination. In this overview, current knowledge of the host immune response to
DNA vaccines is summarized in the introduction. The subsequent sections discuss
techniques for enhancing DNA vaccine efficacy, such as DNA delivery to specific
tissues, delivery of DNA to the cell cytoplasm or nucleus, and enhancement of the
immune response using molecular adjuvants. Finally, the prospects of DNA
vaccination and ongoing clinical trials with various DNA vaccines are discussed.
PMID- 18060370
TI - Recent discoveries in the genetics of melanoma and their therapeutic
implications.
AB - The incidence of cutaneous malignant melanoma, tumors arising from melanocytes,
has increased markedly over the past few years in many countries. Although early
melanoma is curable through surgical excision, the prognosis of advanced melanoma
is very poor, this tumor being resistant to current therapies. Thus there is a
need for new therapies to improve the treatment of advanced melanoma. This review
provides an overview of recent discoveries in the genetics of melanoma which
could offer new therapeutic opportunities.
PMID- 18060371
TI - Alterations in the expression of signal-transducing CD3 zeta chain in T cells
from patients with chronic inflammatory/autoimmune diseases.
AB - The CD3 zeta chain, a component of the T cell receptor (TCR)/CD3 complex, is
considered to be a limiting factor in the assembly and transport of the TCR/CD3
complex to the cell surface and is crucial to receptor signaling function. Recent
studies have demonstrated altered expression and function of this signal
transduction molecule in T and natural killer cells in patients with chronic
inflammatory/autoimmune diseases. In this review, current knowledge concerning
the expression of CD3 zeta chain as well as the mechanisms responsible for
abnormal expression of this molecule in systemic lupus erythematosus, rheumatoid
arthritis, and childhood idiopathic nephrotic syndrome are summarized.
PMID- 18060372
TI - Regulation of local immunity by airway epithelial cells.
AB - Epithelial cells are the first line of defense against invading microbial
pathogens. They are important contributors to innate mucosal immunity and
generate various and sophisticated anti-microbial defense mechanisms, including
the formation of a tight barrier and secretion of anti-microbial substances as
well as inflammatory mediators. To provide these active defense mechanisms,
epithelial cells functionally express various pattern-recognition receptors. Toll
like receptors have been shown to recognize conserved microbial patterns
mediating inducible activation of innate immunity. Mucosal surfaces, however, are
prone to contact with pathogenic as well as non-pathogenic microbes and,
therefore, immune-recognition principles have to be strictly regulated to avoid
uncontrolled permanent activation. This review will focus on mechanisms by which
epithelial cells regulate mucosal immune responses, thus creating an organ
specific microenvironment. This includes local adaptations in microbial
recognition, regulation of local immune homeostasis, and modulation of antigen
presenting cells and adaptive immune responses. These regulatory mechanisms serve
the special needs of controlled microbial recognition in mucosal compartments.
PMID- 18060374
TI - Construction and characterization of a goat mammary gland cDNA library.
AB - A lactating goat mammary gland cDNA library was constructed by using a modified
commercially available cDNA library construction kit protocol. The resulting
clones were sequenced and functionally analyzed through cross-species genomic
comparison to assess (1) the capacity and functional quality of the constructed
library for subsequent research and (2) the efficiency of the procedural
modifications. The study resulted in the construction of a high-quality mammary
gland cDNA library, which was characterized by (1) the total recombinants number
of 1.4 x 10(7) colony-forming units (cfus) that was at least 10 times greater
than the number expected from the application of the standard kit protocol, (2)
the recombinants rate of 96%, and (3) the average insert size of 1,082 bp. BLAST
analysis of sequenced clones against GenBank databases determined 55.7% of clone
redundancy, 22 known function gene clusters, and 29 novel gene clusters. The
analysis of the primary gene expression profile showed that 59% of the tested
clones were genes that coded for milk proteins while 16% of the clones coded for
ribosomal, metabolism, immune response, and translation proteins. The remaining
25% of the tested clones were described as novel genes. Cross-species comparison
showed that 77% of characterized gene clusters were successfully identified by
using resources from other ruminants and unrelated species. This outcome is in
consonance with the common belief that the genomic resources that have been
generated across species are potentially powerful tools that could be used for
enhancing the molecular understanding of less genomically studied species, such
as goat.
PMID- 18060375
TI - Low HDL levels and the risk of death, sepsis and malignancy.
AB - BACKGROUND: High density lipoprotein (HDL) plays an important role as an anti
atherogenic molecule, but also possesses anti-inflammatory and anti-angiogenic
properties. The effect of extremely low levels of HDL on the risk of sepsis and
malignancy were therefore examined. METHODS: A retrospective analysis of patients
hospitalized at the Edith Wolfson Medical center was conducted. Patients were
divided into Group 1: 108 patients with serum HDL levels < or =20 mg/dl. Group 2:
96 patients with serum HDL levels > or =65 mg/dl. Medical history and laboratory
data was recorded. RESULTS: The mean HDL levels in Group 1 were 16.1 +/- 33 mg/dl
compared to 74.9 +/- 12.6 mg/dl in Group 2. Using a multivariate logistic
regression analysis, low HDL was inversely associated with death (OR 0.96, 95%
0.93-0.99, P = 0.02), 3.98 fold increase in odds of fever (OR 3.98, 95% CI 1.3
11.8, P = 0.01), and 6.7-fold increase in the risk of cancer (OR 6.68, 95% CI 1.8
24.5, P = 0.004). HDL serum levels were inversely associated with sepsis. For
each 1 mg/dl increase in HDL, a relative 11% decrease in odds of sepsis was
observed (OR 0.886, 95% CI 0.8-0.976, P = 0.01). CONCLUSIONS: Extremely low serum
HDL levels (< or =20 mg/dl) are associated with an increased risk of death,
sepsis and malignancy.
PMID- 18060376
TI - The case for statin therapy in chronic heart failure.
AB - Both primary and secondary prevention studies have provided a wealth of evidence
that statin therapy effectively reduces cardiovascular events. However, this
general statement on the efficacy and safety of statin treatment has not been
validated in patients with chronic heart failure (CHF). Recently, numerous statin
trials have reported analysis on CHF parameters and numerous CHF trials have
performed analysis on baseline statin use. In this article, we will review the
currently available evidence from a pathophysiological as well as clinical
perspective, building a case for and against the use of statins in CHF. From a
pathophysiological perspective, we will discuss the known association of
cholesterol and mortality, the ubiquinone, and the endotoxin-lipoprotein
hypothesis. From a clinical perspective, we will discuss the observational
studies, subgroup analysis of large randomized controlled trials, prospective
randomized trials in CHF patients, and the future perspectives of the large
European statin studies focussing on the statin therapy in CHF.
PMID- 18060377
TI - Productivity loss as a major component of disease-related costs in patients with
hypercholesterolemia in Germany.
AB - OBJECTIVE: Hypercholesterolemia is a common risk factor for cardiovascular
diseases; however, there are only few data available on associated costs. The
objective of this study is, therefore, to analyse direct and indirect costs in
patients with hypercholesterolemia and to determine predictors of costs. METHODS:
The ORBITAL Study is a randomised controlled trial evaluating the effectiveness
of a compliance-enhancing program in patients with statin therapy. Consecutive
patients eligible for statin therapy according to the Joint European Guidelines
were enrolled nationwide in 1961 primary care practices in Germany. For the
present cost-of-illness analysis, patients were asked retrospectively about
medical resource use and employment status in the 6 months preceding enrollment.
The perspective chosen was societal. Factors associated with costs were
determined using linear regression. RESULTS: A total of 7,640 patients (56% men,
mean age 60 +/- 10 years, and 44% women, 64 +/- 10 years) were included. Of these
patients, 32% were employed, 17% had a history of myocardial infarction, 7% a
history of stroke, 58% had hypertension, and 29% diabetes. Total disease-related
costs amounted to a mean of 2,498 +/- 4,898 Euros per patient over 6 months,
comprising direct (44%) and indirect (56%) costs. Disease-related early
retirement was responsible for 42% of costs, followed by hospital visits (19%),
medication (15%), workdays lost (14%), physician visits (5%), outpatient therapy
(2%), and rehabilitation (2%). In multivariable analyses, factors associated with
direct costs included coronary interventions, risk stratum, and medical history.
Factors associated with indirect costs included disease-related early retirement,
other socio-economic and lifestyle factors, coronary interventions, risk stratum,
and medical history. CONCLUSION: The considerable economic burden associated with
hypercholesterolemia indicates the need to assess long-term cost-effectiveness of
health care programs in patients with this disorder.
PMID- 18060378
TI - Both aluminum and polyphenols in green tea decoction (Camellia sinensis) affect
iron status and hematological parameters in rats.
AB - BACKGROUND: Green tea leaves naturally contain high levels of polyphenols and
aluminum (Al). Polyphenols in green tea decoction are considered to be one of the
major factors responsible of low iron status. However, the effects of Al from
green tea decoction on iron status and hematological parameters remained unclear.
AIM OF THE STUDY: The objective was to investigate the Al absorption from green
tea decoction and studied its influence on iron status and hematological
parameters in rats. METHODS: During the experiment period, rats were given the
experimental diet + a simple dose of Al sulfate with or without graded doses of
green tea decoction (25, 50 and 100 g/l). The Al absorption was evaluated in the
serum; however, iron status was evaluated by the iron concentration in the liver,
kidney, spleen and femur. In addition, the hemoglobin and hematocrit were
evaluated. RESULTS: Our results showed that the serum Al significantly increased
between 61.5 and 342%, as tea doses-dependant. The Al sulfate significantly
decreased the reserve of iron in all studied organs between 21.7 and 17% (P <
0.05). In groups receiving green tea decoction alone or Al + graded doses of tea,
the reserve of iron significantly decreased in all studied organs between 59.4
and 18.5% (P < 0.01). Al alone or associated with drinking doses of tea
significantly decreased hemoglobin concentration between 23.6 and 9% (P < 0.05)
and hematocrit between 12.7 and 7% (P < 0.01). CONCLUSION: Our data showed that
Al from green tea decoction was more absorbed in the serum than Al sulfate. Al
absorption was associated with low iron status and reduction of hemoglobin and
hematocrit. Considering that Al competes with iron in different stage of
erythropoiesis including transferrin binding, so we could assume that the
negative effect of tea on iron status arises not only from polyphenols iron
complexes but also from Al released in tea decoction.
PMID- 18060379
TI - [Trigeminocardiac reflex in pituitary surgery. A prospective pilot study].
AB - BACKGROUND: The trigeminocardiac reflex (TCR) is a relatively recently described
brainstem reflex in the fields of neurosurgery which leads to a simultaneous drop
in mean arterial pressure (MAP) and heart rate of at least 20% from baseline
levels after stimulation of a sensible branch of the trigeminal nerve. PATIENTS
AND METHODS: The purpose of this study was to register prospectively for the
first time the rate of TCR during trans-sphenoidal surgery and to describe
possible predispositional factors. This was examined by determining selected
biomarkers thought to correlate with possible intraoperative ischemic events
after occurrence of TCR and furthermore with neuroprotective mechanisms
("ischemic tolerance"). RESULTS: Three of the 40 patients included (7.5%)
demonstrated intraoperative occurrence of TCR after exposure of the cavernous
sinus. One (2.5%) demonstrated a TCR during preparation of the nasal mucosa.
Permanent cardiovascular damage or unfavorable postoperative outcome through the
appearance of TCR was not found. There was a trend to lower C-reactive protein
levels after occurrence of TCR (32 mg/dl vs 14 mg/dl) following normal values
before operations in all cases. Considering that no clinical clue of ischemia was
detected, this could mean that some neuroprotective cascades are initiated. There
was a correlation between tumor necrosis factor A and noradrenalin levels with
the size (invasivity) of the pituitary adenoma. The administration of atropine
was necessary in only one patient with intraoperative occurrence of TCR.
CONCLUSION: On the basis of this study, it cannot be said to what extent
neuroprotective mechanisms after TCR are activated, but a trend is still
apparent. Considering the adverse effects and the reflex arc, prophylactic or
therapeutic treatment with atropine is not justified.
PMID- 18060380
TI - Continuous Glucose Monitoring System in children with type 1 diabetes mellitus: a
systematic review and meta-analysis.
AB - AIMS/HYPOTHESIS: We investigated the potential effects of the Continuous Glucose
Monitoring System (CGMS), as compared with self-monitoring of blood glucose, on
glycaemic control in children with type 1 diabetes. METHODS: The following
electronic databases were searched throughout June 2007: MEDLINE, EMBASE and The
Cochrane Library. Additional references were obtained from reviewed articles.
Only randomised controlled trials were included. RESULTS: We included five trials
involving 131 type 1 diabetic patients in the study. Combined data from all
trials showed that the CGMS did not significantly reduce HbA1c levels compared
with control groups. The pooled weighted mean difference was -0.02% (95% CI -0.29
to 0.25) with a fixed model and remained insignificant in the random effect
model. Sensitivity analysis determined that the findings were stable. There was a
trend towards a longer time under the CGMS curve for glucose <3.89 mmol/l in the
CGMS group compared with the control group (mean difference 49.00 min, 95% CI
18.00 to 116.00). The CGMS significantly increased the number of insulin dose
changes per patient per month for those managed with CGMS compared with the
control groups (mean difference 6.3 changes, 95% CI 2.88-9.72).
CONCLUSIONS/INTERPRETATION: The Continuous Glucose Monitoring System is not
better than self-monitoring of blood glucose with regard to improvement of
metabolic control among type 1 diabetic children. However, due to the small
number of participants and methodological limitations of the studies included,
findings of this meta-analysis should be interpreted with caution.
PMID- 18060381
TI - Inhibition or deletion of the lipopolysaccharide receptor Toll-like receptor-4
confers partial protection against lipid-induced insulin resistance in rodent
skeletal muscle.
AB - AIMS/HYPOTHESIS: A role for increased activity of the innate immune system in the
pathogenesis of insulin resistance is supported by a number of studies. The
current study assessed the potential role of the lipopolysaccharide receptor
known as Toll-like receptor-4 (TLR-4), a component of the innate immune system,
in mediating lipid-induced insulin resistance in skeletal muscle. METHODS: The
effects of TLR-4 inhibition/deletion on lipid-induced insulin resistance was
determined in skeletal muscle of TLR-4 null mice in vivo and in rat L6 myotubes
in vitro. RESULTS: In mice, acute hyperlipidaemia induced skeletal muscle insulin
resistance, but a deletion of TLR-4 conferred significant protection against
these effects. In L6 myotubes, inhibition of TLR-4 activity substantially reduced
the capacity of the saturated fatty acid palmitate to induce insulin resistance.
Importantly, palmitate activated the nuclear factor kappaB (NFkappaB) pathway in
L6 myotubes and mouse skeletal muscle, and these effects were blocked by
inhibition of TLR-4 in L6 myotubes and absence of TLR-4 in skeletal muscle.
Furthermore, inhibition of the NFkappaB pathway downstream of TLR-4 in L6
myotubes also protected against the induction of insulin resistance by palmitate.
CONCLUSIONS/INTERPRETATION: Inhibition or absence of TLR-4 confers protection
against the detrimental effects of lipids on skeletal muscle insulin action, and
these effects are associated with a prevention of the activation of the NFkappaB
pathway by lipids. Importantly, inhibition of the NFkappaB pathway in myotubes
downstream of TLR-4 also protects against lipid-induced insulin resistance,
suggesting a mechanism by which reduced TLR-4 activity confers beneficial effects
on insulin action.
PMID- 18060382
TI - The effect of hypoxemic resuscitation from hemorrhagic shock on blood pressure
restoration and on oxidative and inflammatory responses.
AB - OBJECTIVE: We investigated whether hypoxemic resuscitation from hemorrhagic shock
prevents the late circulatory instability and attenuates the oxidative and
inflammatory responses associated with the standard strategy. DESIGN AND SETTING:
Prospective, randomized, controlled animal study in an experimental laboratory of
a university intensive care unit. SUBJECTS: Thirty-one New Zealand white rabbits
weighting 3.1-3.4 kg INTERVENTIONS: Anesthetized animals were subjected to
hemorrhagic shock by exsanguinations to a mean arterial pressure of 40 mmHg for
60 min. Resuscitation was performed by reinfusing the shed blood for 30 min under
normoxemia (PaO(2) 95-105 mmHg, control group, n=10) or hypoxemia (PaO(2) 35-40
mmHg, hypox-res group, n=10); Ringer's lactate was given from 30 to 60 min to
restore arterial pressure within baseline values. A sham group was assigned
(n=11). Animals were recorded for 120 min postresuscitation and for further 360
min to assess the early mortality rate. MEASUREMENTS AND RESULTS: Hypoxemic
resuscitation compared with normoxemic resuscitation from hemorrhagic shock was
associated with (a) a better hemodynamic condition assessed by the gradual
restoration of blood pressure, higher urinary output associated with less fluid
infusion; (b) lower reactive oxygen species production assessed by the reduced
blood geometric mean fluorescence intensity, lower malondialdehyde, and higher
ratio of reduced to total glutathione levels; (c) attenuation in the plasma
concentrations of IL-1beta, TNF-alpha, and IL-6; and (d) no difference in
mortality rate. CONCLUSIONS: Hypoxemic resuscitation from hemorrhagic shock is
more efficient than normoxemic in restoring the blood pressure and in attenuating
the excessive oxidative and inflammatory responses observed during normoxemic
resuscitation.
PMID- 18060383
TI - The role of PCL reconstruction in knees with combined PCL and posterolateral
corner deficiency.
AB - Although many PCL injuries are in combination with posterolateral corner (PLC)
injuries, there has been little work done on combined injury reconstruction; the
literature includes differing recommendations. It was hypothesised that a double
bundle PCL reconstruction would restore both posterior drawer and external
rotation laxities closer to normal than an isolated single-bundle reconstruction
in combined PCL plus PLC-deficient knees. However, it was also hypothesised that
an isolated PCL reconstruction would still leave abnormal rotation laxity. In
this controlled laboratory study, cadaver knee kinematics were measured
electromagnetically with posterior drawer, external rotation, varus rotation
loads applied, at sequential stages: intact; PCL-deficient; PCL plus PLC
deficient; double-bundle PCL reconstruction; single-bundle PCL reconstruction.
The grafts were tensed using a posterior drawer laxity matching protocol. There
was no significant difference between single- and double-bundle PCL
reconstructions at any angle of flexion: both reconstructions restored posterior
drawer to normal; neither reconstruction restored external rotation or varus
laxity to normal. We concluded that, in combined PCL plus PLC deficiency,
isolated PCL reconstruction only controls tibial posterior drawer, but is not
sufficient to restore rotational laxity to normal. Double-bundle PCL
reconstruction was not better than single-bundle, so the added complexity of
double-bundle reconstruction does not seem to be justified by these results.
PMID- 18060384
TI - Diverse endophytic nitrogen-fixing bacteria isolated from wild rice Oryza
rufipogon and description of Phytobacter diazotrophicus gen. nov. sp. nov.
AB - Twenty-three nitrogen-fixing bacteria were isolated from surface-sterilized stems
and roots of wild rice Oryza rufipogon. Four clusters were defined among these
bacteria by SDS-PAGE protein patterns and further confirmed by IS-PCR finger
printing analysis. Phylogenetic analysis of 16S rRNA gene sequences showed that
the representative strains LS 8 and LS 18 of cluster II formed a monophyletic
group sharing 94.0-97.3% similarities with defined enterobacterial species within
the genera Salmonella, Citrobacter, Pantoea, Klebsiella, and Enterobacter. DNA
DNA hybridization, physiological, biochemical tests, and cell morphology also
revealed that these strains could be differentiated from the related
enterobacterial species. Based upon these results, we propose Phytobacter
diazotrophicus gen. nov., sp. nov. to the bacterial group represented by strains
LS 8 and LS 18. The type strain is LS 8(T) (=DSM 17806(T) = LMG 23328(T) = CGMCC
1.5339(T)). The DNA G+C content of strain LS 8(T) is 58.6 +/- 0.5 mol%.
PMID- 18060385
TI - Curcumin attenuates indomethacin-induced oxidative stress and mitochondrial
dysfunction.
AB - Oxidative stress and mitochondrial dysfunction have been implicated in the
pathogenesis of indomethacin-induced enteropathy. We evaluated the potential of
curcumin, a known cytoprotectant, as an agent to protect against such effects.
Rats were pretreated with curcumin (40 mg/kg by intra-peritoneal injection)
before administration of indomethacin (20 mg/kg by gavage). One hour later, the
small intestine was isolated and used for assessment of parameters of oxidative
stress. Mitochondria, brush border membranes (BBM) and surfactant-like particles
(SLP) were also isolated from the tissue. Mitochondria were used for assessment
of functional integrity, estimation of products of lipid peroxidation and lipid
content. BBM were used for estimation of products of lipid peroxidation and lipid
content, while the SLP were used for measurement of lipid content. The results
showed that oxidative stress and mitochondrial dysfunction occurred in the small
intestine of indomethacin-treated rats. Pre-treatment with curcumin was found to
ameliorate these drug-induced changes. Significant changes were seen in some of
the lipids in the mitochondria, BBM and SLP in response to indomethacin. However,
curcumin did not have any significant effect on these drug-induced changes. We
conclude that curcumin, by attenuating oxidative stress and mitochondrial
dysfunction, holds promise as an agent that can potentially reduce NSAID-induced
adverse effects in the small intestine.
PMID- 18060386
TI - In vivo electrophysiological assessment of the putative antidepressant Wf-516 in
the rat raphe dorsalis, locus coeruleus and hippocampus.
AB - Wf-516 is a potential novel antidepressant. It has high affinity for serotonin (5
hydroxytryptamine; 5-HT) transporters, 5-HT(1A) and 5-HT(2A) receptors. In the
present study, the pharmacologic properties of Wf-516 were thus assessed using in
vivo electrophysiology in the rat dorsal raphe nucleus (DRN), locus coeruleus
(LC) and hippocampus. Glass microelectrodes were lowered into the DRN, LC or
hippocampus, and neurons were recorded and tested using systemic or
microiontophoretic injections of drugs. In the DRN, cumulative doses of 0.5 mg/kg
of Wf-516 were injected intravenously and total inhibition of 5-HT neurons firing
was obtained with 2.8 +/- 0.3 mg/kg. The administration of 1 mg/kg of Wf-516,
which by itself did not induce a change in the firing of 5-HT neurons, markedly
attenuated the inhibitory effect of the 5-HT(1A) autoreceptor agonist LSD,
indicating that Wf-516 is a 5-HT(1A) autoreceptor antagonist. In the LC, 1 mg/kg
of Wf-516 dampened the inhibitory effect of the preferential 5-HT(2A) agonist DOI
on norepinephrine (NE) neurons, indicating that Wf-516 is also a 5-HT(2A)
receptor antagonist. In the hippocampus, cumulative intravenous doses of Wf-516
significantly increased the recovery time of firing activity of CA(3) pyramidal
neurons after 5-HT applications, indicating an inhibitory effect on 5-HT
reuptake. Unlike the 5-HT(1A) antagonist WAY100635, Wf-516 did not block the
inhibitory effect of microiontophoretic application of 5-HT, indicating that this
drug is devoid of 5-HT(1A) receptor antagonistic activity in this postsynaptic
structure. These properties of WF-516 define the transporter/receptorial profile
of an antidepressant with superior effectiveness.
PMID- 18060388
TI - A picture is worth a thousand words: animations and simulations in the teaching
of analytical science.
PMID- 18060387
TI - Behavioral and neurochemical characterization of mice deficient in the
phosphodiesterase-4B (PDE4B) enzyme.
AB - RATIONALE: Phosphodiesterases (PDEs) belonging to the PDE4 family control
intracellular concentrations of cyclic adenosine monophosphate (cAMP) by
catalyzing its hydrolysis. Four separate PDE4 genes (PDE4A, PDE4B, PDE4C, and
PDE4D) have been identified. PDE4 has been reported to be involved in various
central nervous system (CNS) functions including depression, memory, and
schizophrenia, although the specific subtype mediating these effects remains
unclear. OBJECTIVE: To investigate the role of PDE4B in the CNS, PDE4B wild-type
and knockout mice (C57BL/6N background) were assessed in a variety of well
characterized behavioral tasks, and their brains were assayed for monoamine
content. RESULTS: Knockout mice showed a significant reduction in prepulse
inhibition. Spontaneous locomotor activity was decreased (16%) in knockout mice.
Furthermore, when challenged with amphetamine, both groups of mice responded
similarly to a low dose of d-amphetamine (1.0 mg/kg), but knockout mice showed an
enhanced response to a higher dose (1.78 mg/kg). Decreases in baseline levels of
monoamines and their metabolites within the striatum of knockout mice were also
observed. PDE4B knockout mice showed a modest decrease in immobility time in the
forced swim test that approached significance. In several other tests, including
the elevated plus maze, hot plate, passive avoidance, and Morris water maze, wild
type and knockout mice performed similarly. CONCLUSION: The present studies
demonstrate decreased striatal DA and 5-HT activity in the PDE4B knockout mice
associated with decreased prepulse inhibition, decreased baseline motor activity,
and an exaggerated locomotor response to amphetamine. These data further support
a role for PDE4B in psychiatric diseases and striatal function.
PMID- 18060389
TI - An amperometric hydrogen peroxide biosensor based on immobilization of
horseradish peroxidase on an electrode modified with magnetic dextran
microspheres.
AB - A new kind of magnetic dextran microsphere (MDMS) with uniform shape and narrow
diameter distribution has been prepared from magnetic iron nanoparticles and
dextran. Horseradish peroxidase (HRP) was successfully immobilized on the surface
of an MDMS-modified glassy-carbon electrode (GCE), and the immobilized HRP
displayed excellent electrocatalytic activity in the reduction of H(2)O(2) in the
presence of the mediator hydroquinone (HQ). The effects of experimental variables
such as the concentration of HQ, solution pH, and the working potential were
investigated for optimum analytical performance. This biosensor had a fast
response to H(2)O(2) of less than 10 s and an excellent linear relationship was
obtained in the concentration range 0.20 micromol L(-1)-0.68 mmol L(-1), with a
detection limit of 0.078 micromol L(-1) (S/N = 3) under the optimum conditions.
The response showed Michaelis-Menten behavior at larger H(2)O(2) concentrations,
and the apparent Michaelis-Menten constant K(M)(app) was estimated to be 1.38
mmol L(-1). Moreover, the selectivity, stability, and reproducibility of the
biosensor were evaluated, with satisfactory results.
PMID- 18060390
TI - High-throughput determination of pesticide residues in food commodities by use of
ultra-performance liquid chromatography-tandem mass spectrometry.
AB - A rapid, simple, and sensitive multiresidue method for analysis of 53 pesticides
in fruit and vegetables by ultra-performance liquid chromatography (UPLC) coupled
to triple-quadrupole tandem mass spectrometry (MS-MS) has been developed and
validated. Prior to analysis, analytes were extracted by use of buffered QuEChERS
(quick, easy, cheap, effective, rugged, safe) methodology without further cleanup
for non fatty matrices. Chromatographic conditions were optimised in order to
achieve a fast separation in multiple reaction monitoring (MRM) mode. Indeed,
more than 50 pesticides can be separated in less then 10 min. Four common
representative matrices (cucumber, orange, strawberry, and olive) were selected
to investigate the effect of different matrices on recovery and precision. Mean
recoveries ranged from 70 to 109% with relative standard deviations lower than
20% for all the pesticides assayed in the four selected matrices. The method has
been applied to the analysis of 200 vegetable samples, and imidacloprid was the
pesticide most frequently found, with concentrations ranging from 0.01 to 1.00 mg
kg(-1). This methodology combines the advantages of both QuEChERS and UPLC-MS-MS
producing a very rapid, sensitive, and reliable procedure which can be applied in
routine analytical laboratories.
PMID- 18060391
TI - A catalytic adsorptive stripping voltammetric procedure for trace determination
of Cr(VI) in natural samples containing high concentrations of humic substances.
AB - A simple and fast catalytic adsorptive stripping voltammetric procedure for trace
determination of Cr(VI) in natural samples containing high concentrations of
humic substances has been developed. The procedure for chromium determination in
the presence of DTPA and nitrates was employed as the initial method. In order to
enhance the selectivity vs. Cr(III) the measurements were performed at 40 degrees
C. Interference from dissolved organic matter such as humic and fulvic acids was
drastically decreased by adding Amberlite XAD-7 resin to the voltammetric cell
before the deaeration step. The whole procedure was applied to a single cell,
which allowed monitoring of the voltammetric scan. Optimum conditions for
removing humic and fulvic acids due to their adsorption on XAD-7 resin were
evaluated. The use of XAD-7 resin also minimize interferences from various
cationic, anionic, and nonionic surfactants. The calibration graph for Cr(VI) for
an accumulation time of 30 s was linear in the range 5 x 10(-10) to 5 x 10(-8)
mol L(-1). The relative standard deviation for determination of Cr(VI) at a
concentration of 1 x 10(-8) mol L(-1) was 3.5% (n = 5). The detection limit
estimated from 3 times the standard deviation for low Cr(VI) concentrations and
an accumulation time of 30 s was about 1.3 x 10(-10) mol L(-1). The proposed
method was successfully applied to Cr(VI) determination at trace levels in soil
samples.
PMID- 18060392
TI - Electrogeneration of polyluminol and chemiluminescence for new disposable
reagentless optical sensors.
AB - A performant reagentless electrochemiluminescent (ECL) detection system for
H(2)O(2) is presented, based on an electropolymerized polyluminol film prepared
under near-neutral conditions. Such an original polyluminol electrodeposition is
reported for the first time and on a screen-printed electrode (SPE) surface.
Electropolymerized luminol acts as an active luminophore of the
electrochemiluminescent reaction, as the monomer does. Polymerization conditions
have been optimized in order to obtain the best ECL responses to H(2)O(2). By
performing electrodeposition in a potentiostatic mode, at 425 mV vs. Ag|AgCl, in
0.1 mol L(-1) phosphate/0.1 mol L(-1) KCl pH 6 and 1 mmol L(-1) luminol, with a
total charge of 0.5 mC, the linear range for H(2)O(2) detection extends from 7.9
x 10(-8) mol L(-1) to 1.3 x 10(-3) mol L(-1). Such performant disposable
reagentless easy-to-use miniaturized systems based on SPEs should be applicable
to the electrochemiluminescent detection of many oxidase-substrate compounds.
PMID- 18060393
TI - Stable isotope dilution assays in mycotoxin analysis.
AB - The principle and applications of stable isotope dilution assays (SIDAs) in
mycotoxin analysis are critically reviewed. The general section includes
historical aspects of SIDAs, the prerequisites and limitations of the use of
stable isotopically labelled internal standards, and possible calibration
procedures. In the application section actual SIDAs for the analysis of
trichothecenes, zearalenone, fumonisins, patulin, and ochratoxin A are presented.
The syntheses and availability of labelled mycotoxins for use as internal
standards is reviewed and specific advances in food analysis and toxicology are
demonstrated. The review indicates that LC-MS applications, in particular,
require the use of stable isotopically labelled standards to compensate for
losses during clean-up and for discrimination due to ion suppression. As the
commercial availability of these compounds continues to increase, SIDAs can be
expected to find expanding use in mycotoxin analysis.
PMID- 18060394
TI - Study of traffic-emitted lead pollution of soil and plants using different fuzzy
clustering algorithms.
AB - We discuss the clustering of 234 environmental samples resulting from an
extensive monitoring program concerning soil lead content, plant lead content,
traffic density, and distance from the road at different sampling locations in
former East Germany. Considering the structure of data and the unsatisfactory
results obtained applying classical clustering and principal component analysis,
it appeared evident that fuzzy clustering could be one of the best solutions. In
the following order we used different fuzzy clustering algorithms, namely, the
fuzzy c-means (FCM) algorithm, the Gustafson-Kessel (GK) algorithm, which may
detect clusters of ellipsoidal shapes in data by introducing an adaptive distance
norm for each cluster, and the fuzzy c-varieties (FCV) algorithm, which was
developed for recognition of r-dimensional linear varieties in high-dimensional
data (lines, planes or hyperplanes). Fuzzy clustering with convex combination of
point prototypes and different multidimensional linear prototypes is also
discussed and applied for the first time in analytical chemistry
(environmetrics). The results obtained in this study show the advantages of the
FCV and GK algorithms over the FCM algorithm. The performance of each algorithm
is illustrated by graphs and evaluated by the values of some conventional cluster
validity indices. The values of the validity indices are in very good agreement
with the quality of the clustering results.
PMID- 18060395
TI - fMRI reliability in subjects with stroke.
AB - Functional MRI (fMRI) has become one of the most commonly used neuroimaging tools
to assess the cortical effects associated with rehabilitation, learning, or
disease recovery in subjects with stroke. Despite this, there has been no
systematic study of the reliability of the fMR signal in this population. The
purpose of this study was to examine the within- and between-session reliability
of fMRI in cortical and cerebellar structures in subjects with stroke during a
complex, continuous visual motor task performed with the less affected hand. Nine
subjects with stroke underwent four testing trials during two sessions separated
by three weeks. Subjects performed a drawing task using an MRI compatible
joystick while in the MRI. Methods of analysis evaluated included: percent signal
intensity change, active voxel count and a voxel by voxel stat value analysis
within and between testing sessions. Reliability was determined with Interclass
correlation coefficients (ICC) in the following regions of interest: primary
motor (M1), primary sensory (S1), premotor cortex (PMC), medial cerebellum (MCB),
and lateral cerebellum (LCB). Results indicate that intensity change has superior
reliability to the other methods of analysis (Average ICC across brain regions
and trials: intensity change: 0.73, voxel count: 0.58, voxel by voxel: 0.67) and
that generally with any analysis method, within-session reliability was higher
than between-session, as indicated by higher ICC values across brain regions.
Overall, when comparing between-session results, moderate to good reliability was
obtained with intensity change (ICC: M1: 0.52, S1: 0.80, SMA: 0.78, PMC: 0.94,
MCB: 0.86, and LCB: 0.59). These results show good reliability in subjects with
stroke when performing a continuous motor task. These findings give confidence
for interpreting fMRI test/retest research in subjects with stroke.
PMID- 18060396
TI - Prior outpatient antibiotic use as predictor for microbial aetiology of community
acquired pneumonia: hospital-based study.
AB - OBJECTIVE: The causative micro-organism in community-acquired pneumonia (CAP) is
often difficult to predict. Different studies have examined chronic morbidity and
clinical symptoms as predictors for microbial aetiology of pneumonia. The aim of
our study was to assess whether prior outpatient antimicrobial treatment is
predictive for determining the microbial aetiology of CAP. METHODS: This was a
hospital-based prospective observational study including all patients admitted
with CAP between 1 October 2004 and 1 August 2006. Microbial investigations
included sputum, blood culture, sputum PCR, antigen testing and serology.
Exposure to antimicrobial drugs prior to hospital admission was ascertained
through community pharmacy dispensing records. Multivariate logistic regression
analysis was conducted to assess whether prior outpatient antimicrobial treatment
is a predictor of microbial aetiology. Patient demographics, co-morbidities and
pneumonia severity were considered to be other potential predictors. RESULTS:
Overall, 201 patients were included in the study. The microbial aetiology was
determined in 64% of the patients. The five most prevalent pathogens were
Streptococcus pneumoniae, Heamophilus influenzae, Legionella spp., Mycoplasma
pneumoniae and Influenza virus A+B. Forty-seven of the patients (23%) had
received initial antimicrobial treatment as outpatients. Multivariate analyses
revealed that initial outpatient beta-lactam treatment was associated with a
threefold increased chance of finding atypical pathogens and a threefold
decreased probability of pneumococcal infection; the corresponding odds ratios
were 3.51 (95% CI 1.25-9.99) and 0.30 (95% CI 0.10-0.90), respectively. Patients
who received macrolides prior to hospitalisation had an increased probability of
viral pneumonia. CONCLUSION: Prior outpatient antimicrobial therapy has a
predictive value in the diagnostic workup aimed at identifying the causative
pathogen and planning corresponding antimicrobial treatment in patients
hospitalised for pneumonia.
PMID- 18060397
TI - Intracecal fetiform teratoma.
AB - Fetiform teratoma is a rare form of mature cystic teratoma that is highly
developed and organized, resembling a fetus-like structure. These are rare
entities that have been typically described in women of reproductive age and most
often present as ovarian masses. Only two cases of a fetiform teratoma have been
reported in a male. Although teratomas are a common benign entity, only five
prior cases of teratoma involving the cecum have been described. We present an
atypical case of an intracecal fetiform teratoma occurring in a 2(1/2)-year-old
Chinese boy.
PMID- 18060398
TI - Isolated fallopian tube torsion: sonographic and CT features.
AB - BACKGROUND: Isolated fallopian tube torsion (IFTT) rarely occurs in pediatric
patients and is difficult to diagnose preoperatively. OBJECTIVE: To determine the
common sonographic and CT findings in girls with IFTT. MATERIALS AND METHODS: We
retrospectively reviewed the hospital charts and imaging studies of the eight
girls diagnosed with and treated for IFTT at Columbus Children's Hospital between
January 1995 and June 2006 whose final diagnosis was IFTT. RESULTS: The mean age
was 13 years with a range of 12 to 15 years. Two girls were premenarcheal. The
most common presenting symptom was acute pelvic pain (n = 5). All patients were
imaged. Six girls were imaged first with US, and the most common finding was a
complex cystic pelvic mass in the midline (n = 5) and normal uterus and ovaries.
Three of the eight girls had imaging with CT. In two girls, the torsed tube could
be identified. In 63% of the girls, the uterus was deviated toward the side of
torsion. The correct preoperative diagnosis was made in only one girl. None of
the torsed fallopian tubes was salvaged at surgery. Pathological findings
included ipsilateral adnexal cysts associated with the torsed tube (n = 7).
CONCLUSION: IFTT should be considered in the differential diagnosis for
perimenarcheal girls who present with acute pelvic pain and who demonstrate a
cystic mass in a midline position (either in the cul-de-sac or superior to the
uterus) associated with a normal ipsilateral ovary.
PMID- 18060399
TI - Lycopene over-accumulation by disruption of the negative regulator gene crgA in
Mucor circinelloides.
AB - Lycopene has become one of the most interesting antioxidant compounds, especially
in relation to human health. This work describes a genetic strategy to modify the
carotenoid biosynthesis pathway to develop a lycopene-overproducing strain. The
crgA gene, a negative regulator of carotenogenesis, was disrupted in the Mucor
circinelloides strain MU202, which lacks the lycopene cyclase activity and
accumulates lycopene instead of beta-carotene. The resultant strain, MU224,
demonstrated increased transcriptional levels of the carotenogenesis structural
genes carRP and carB compared to the parental strain MU202. As a consequence,
strain MU224 accumulated 5 mg/g of dry weight of cells in liquid cultures, a
sevenfold increase with respect to the parental strain. Moreover, when lycopene
production was examined in a complex enriched medium, biomass increased tenfold
compared to that obtained in synthetic minimal medium. In this complex medium,
the production rate of lycopene by strain MU224 reached 54 g/l. These results
illustrate how a combination of genetic manipulation and optimized culture
conditions can be utilized to enhance the production of commercially desirable
compounds such as lycopene.
PMID- 18060400
TI - Substrate specificity of Stenotrophomonas nitritireducens in the hydroxylation of
unsaturated fatty acid.
AB - An isolated bacterium that converted unsaturated fatty acids to hydroxy fatty
acids was identified as Stenotrophomonas nitritireducens by API analysis,
cellular fatty acids compositions, sequencing the full 16S ribosomal ribonucleic
acid, and evaluating its nitrite reduction ability. S. nitritireducens has unique
regio-specificity for C16 and C18 cis-9 unsaturated fatty acids. These fatty
acids are converted to their 10-hydroxy fatty acids without detectable
byproducts. Among the cis-9-unsaturated fatty acids, S. nitritireducens showed
the highest specificity for linoleic acid. The cells converted 20 mM linoleic
acid to 13.5 mM 10-hydroxy-12(Z)-octadecenoic acid at 30 degrees C and pH 7.5
with a yield of 67.5% (mol/mol).
PMID- 18060401
TI - Rates of chilling to 0 degrees C: implications for the survival of microorganisms
and relationship with membrane fluidity modifications.
AB - The effects of slow chilling (2 degrees C min(-1)) and rapid chilling (2,000
degrees C min(-1)) were investigated on the survival and membrane fluidity of
Escherichia coli, of Bacillus subtilis, and of Saccharomyces cerevisiae. Cell
death was found to be dependent on the physiological state of cell cultures and
on the rate of temperature downshift. Slow temperature decrease allowed cell
stabilization, whereas the rapid chilling induced an immediate loss of viability
of up to more than 90 and 70% for the exponentially growing cells of E. coli and
B. subtilis, respectively. To relate the results of viability with changes in
membrane physical state, membrane anisotropy variation was monitored during
thermal stress using the fluorescence probe 1,6-diphenyl-1,3,5-hexatriene. No
variation in the membrane fluidity of all the three microorganisms was found
after the slow chilling. It is interesting to note that fluorescence measurements
showed an irreversible rigidification of the membrane of exponentially growing
cells of E. coli and B. subtilis after the instantaneous cold shock, which was
not observed with S. cerevisiae. This irreversible effect of the rapid cold shock
on the membrane correlated well with high rates of cell inactivation. Thus,
membrane alteration seems to be the principal cause of the cold shock injury.
PMID- 18060402
TI - Expression of Clostridium acetobutylicum butanol synthetic genes in Escherichia
coli.
AB - A recombinant butanol pathway composed of Clostridium acetobutylicum ATCC 824
genes, thiL, hbd, crt, bcd-etfB-etfA, and adhe1 (or adhe) coding for acetyl-CoA
acetyltransferase (THL), beta-hydroxybutyryl-CoA dehydrogenase (HBD), 3
hydroxybutyryl-CoA dehydratase (CRT), butyryl-CoA dehydrogenase (BCD),
butyraldehyde dehydrogenase (BYDH), and butanol dehydrogenase (BDH), under the
tac promoter control was constructed and was introduced into Escherichia coli.
The functional expression of these six enzymes was proved by demonstrating the
corresponding enzyme activities using spectrophotometric, high performance liquid
chromatography and gas chromatography analyses. The BCD activity, which was not
detected in E. coli previously, was shown in the present study by performing the
procedure from cell extract preparation to activity measurement under anaerobic
condition. Moreover, the etfA and etfB co-expression was found to be essential
for the BCD activity. In the case of BYDH activity, the adhe gene product was
shown to have higher specificity towards butyryl-CoA compared to the adhe1
product. Butanol production from glucose was achieved by the highly concentrated
cells of the butanologenic E. coli strains, BUT1 with adhe1 and BUT2 with adhe,
under anaerobic condition, and the BUT1 and BUT2 strains were shown to produce 4
and 16-mM butanol with 6- and 1-mM butyrate as a byproduct, respectively. This
study reports the novel butanol production by an aerobically pregrown
microorganism possessing the genes of a strict anaerobe, Clostridium
acetobutylicum.
PMID- 18060403
TI - Immune modulation by melanoma and ovarian tumor cells through expression of the
immunosuppressive molecule CD200.
AB - BACKGROUND AND OBJECTIVE: Immune escape by tumors can occur by multiple
mechanisms, each a significant barrier to immunotherapy. We previously
demonstrated that upregulation of the immunosuppressive molecule CD200 on chronic
lymphocytic leukemia cells inhibits Th1 cytokine production required for an
effective cytotoxic T cell response. CD200 expression on human tumor cells in
animal models prevents human lymphocytes from rejecting the tumor; treatment with
an antagonistic anti-CD200 antibody restored lymphocyte-mediated tumor growth
inhibition. The current study evaluated CD200 expression on solid cancers, and
its effect on immune response in vitro. METHODS AND RESULTS: CD200 protein was
expressed on the surface of 5/8 ovarian cancer, 2/4 melanoma, 2/2 neuroblastoma
and 2/3 renal carcinoma cell lines tested, but CD200 was absent on prostate,
lung, breast, astrocytoma, or glioblastoma cell lines. Evaluation of patient
samples by immunohistochemistry showed strong, membrane-associated CD200 staining
on malignant cells of melanoma (4/4), ovarian cancer (3/3) and clear cell renal
cell carcinoma (ccRCC) (2/3), but also on normal ovary and kidney. CD200
expression on melanoma metastases was determined by RT-QPCR, and was found to be
significantly higher in jejunum metastases (2/2) and lung metastases (2/6) than
in normal samples. Addition of CD200-expressing, but not CD200-negative solid
tumor cell lines to mixed lymphocyte reactions downregulated the production of
Th1 cytokines. Inclusion of antagonistic anti-CD200 antibody restored Th1
cytokine responses. CONCLUSION: These data suggest that melanoma, ccRCC and
ovarian tumor cells can express CD200, thereby potentially suppressing anti-tumor
immune responses. CD200 blockade with an antagonistic antibody may permit an
effective anti-tumor immune response in these solid tumor types.
PMID- 18060404
TI - Vaccination of colorectal cancer patients with TroVax given alongside
chemotherapy (5-fluorouracil, leukovorin and irinotecan) is safe and induces
potent immune responses.
AB - Modified vaccinia Ankara (MVA) encoding the tumor antigen 5T4 (TroVax) has been
evaluated in an open label phase II study in metastatic colorectal cancer
patients. The primary objective was to assess the safety and immunogenicity of
TroVax injected before, during and after treatment with 5-fluorouracil,
leukovorin and irinotecan. TroVax was administered to 19 patients with metastatic
colorectal cancer. Twelve patients had blood samples taken following each of the
six injections and were considered to be evaluable for assessment of
immunological responses. Both antibody and cellular responses specific for the
tumor antigen 5T4 and the viral vector MVA were monitored throughout the study.
Administration of TroVax alongside chemotherapy was safe and well tolerated with
no SAEs attributed to the vaccine and no enhancement of chemo-related toxicity.
Of the 12 patients who were evaluable for assessment of immune responses, ten
mounted 5T4-specific antibody responses with titers ranging from 10 to > 5,000.
IFNgamma ELISPOT responses specific for 5T4 were detected in 11 patients with
frequencies exceeding one in 1,000 PBMCs in five patients. Eight patients
presented with elevated circulating CEA concentrations, six of whom showed
decreases in excess of 50% during chemotherapy and four had CEA levels which
remained stable for > 1 month following completion of chemotherapy. Of the 19
intention to treat (ITT) patients, one had a CR, six had PRs and five had SD.
Potent 5T4-specific cellular and/or humoral immune responses were induced in all
12 evaluable patients and were detectable in most patients during the period in
which chemotherapy was administered. These data demonstrate that TroVax can be
layered on top of chemotherapy regimens without any evidence of enhanced toxicity
or reduced immunological or therapeutic efficacy.
PMID- 18060405
TI - Hallmarks of RNA silencing are found in the smut fungus Ustilago hordei but not
in its close relative Ustilago maydis.
AB - RNA interference (RNAi) acts through transcriptional and post-transcriptional
gene silencing of homologous sequences. With the goal of using RNAi as a tool for
studying gene function in the related basidiomycete cereal pathogens Ustilago
hordei and Ustilago maydis, we developed a general purpose RNAi expression
vector. Tandem, inverted fragments of the GUS gene were inserted into this vector
flanking an intron and used to transform engineered GUS-expressing haploid cells.
Down-regulation of the GUS gene and production of siRNAs were seen only in U.
hordei, even though corresponding GUS double-stranded RNA was detected in both
species. Similarly, when the endogenous bW mating-type gene was targeted by RNAi,
mating was reduced only in U. hordei. Our work demonstrates the feasibility of
using RNAi in U. hordei and provides experimental support for the observed lack
of RNAi components in the U. maydis genome. We hypothesize that the sharply
limited transposon complement in U. maydis is a biological consequence of this
absence.
PMID- 18060407
TI - Importance of co-cultivation medium pH for successful Agrobacterium-mediated
transformation of Lilium x formolongi.
AB - An efficient system for Agrobacterium-mediated transformation of Lilium x
formolongi was established by preventing the drastic drop of pH in the co
cultivation medium with MES. Meristematic nodular calli were inoculated with an
overnight culture of A. tumefaciens strain EHA101 containing the plasmid pIG121
Hm which harbored intron-containing beta-glucuronidase (GUS), hygromycin
phosphotransferase (HPT), and neomycin phosphotransfease II (NPTII) genes. After
three days of co-cultivation on 2 g/l gellan gum-solidified MS medium containing
100 microM acetosyringone, 30 g/l sucrose, 1 mg/l picloram and different
concentrations of MES, they were cultured on the same medium containing 12.5 mg/l
meropenem to eliminate Agrobacterium for 2 weeks and then transferred onto medium
containing the same concentration of meropenem and 25 mg/l hygromycin for
selecting putative transgenic calli. Transient GUS expression was only observed
by adding MES to co-cultivation medium. Hygromycin-resistant transgenic calli
were obtained only when MES was added to the co-cultivation medium especially at
10 mM. The hygromycin-resistant calli were successfully regenerated into
plantlets after transferring onto picloram-free medium. Transformation of plants
was confirmed by histochemical GUS assay, PCR analysis and Southern blot
analysis.
PMID- 18060408
TI - Use of 4-methylindole or 7-methyl-DL-tryptophan in a transformant selection
system based on the feedback-insensitive anthranilate synthase alpha-subunit of
tobacco (ASA2).
AB - Effective selectable markers are needed for basic research and commercial
applications that do not involve antibiotic or herbicide resistance. A novel
selection system based on a feedback-insensitive anthranilate synthase alpha
subunit of tobacco (ASA2) as selectable marker using either 4-methylindole (4MI)
or 7-methyl-DL-tryptophan (7MT) as the selection agent was developed. We found
that these two components were able to discriminate better between ASA2
expressing and untransformed lines than the most commonly used analog 5
methyltryptopan (5MT) in the seedling growth inhibition test. We successfully
integrated an expression cassette containing an ASA2 cDNA driven by a cauliflower
mosaic virus 35S promoter into tobacco leaf discs by A. tumefaciens and selected
transgenic plants on medium supplemented with 300 microM of 7MT or 4MI. Due to
the expression of the feedback-insensitive ASA2, the transgenic lines produced
showed higher free tryptophan (Trp) concentrations than the untransformed WT
control. These results demonstrate the feasibility of the selection system with
the ASA2 gene in combination with the use of Trp or indole analogs as selective
agent.
PMID- 18060409
TI - Flat panel computed tomography for non-invasive flow measurement: initial results
in in-vitro studies.
AB - The purpose was to evaluate the feasibility of flat panel computed tomography
(FPCT) for quantifying flow by analyzing contrast changes along the z-axis in an
in-vitro setting. Contrast material was injected in a 3-mm silicone tube at flow
rates of 0.1, 0.2, 0.5 and 1.0 ml/s using a commercially available injector pump.
FPCT scans of this phantom were performed with a gantry rotation time of 3 s.
From this data 41 phases were reconstructed at different points in time using a
full and a partial gantry rotation. The differences in the contrast material
arrival time and the contrast enhancement along the z-axis were recorded. Flow
was calculated from this data and compared to the injector settings. There was a
good agreement between the injector settings and the calculated flow rates, but
agreement decreased with increasing flow rates. Absolute (percent) mean deviation
between the injector settings and calculated flow values was 0.0230 +/-
0.0489ml/s (3.7243 +/- 4.7817%) using the full gantry rotation. Repeated
measurement ANOVA failed to show significant differences between the various
techniques (p = 0.9726). FPCT allows for computing flow. While preliminary
results indicate a good agreement at low flow rates, further studies are needed
to assess this technique for higher flow rates.
PMID- 18060410
TI - Using an electrode as a lever to increase the distance between renal cell
carcinoma and bowel during CT-guided radiofrequency ablation.
AB - The technique of using an electrode as a lever to increase the distance between
renal cell carcinoma (RCC) and bowel during CT-guided radiofrequency ablation
(RFA) is described. CT-guided percutaneous RFA was performed in two patients with
two RCCs, which were in close proximity to the adjacent bowel. A sterile drape
was placed on the electrode handle following appropriate electrode placement
within the tumor in order to displace the kidney upward and to widen the distance
to >5 mm between RCC and bowel (RCC-to-bowel distance). In patient 1, the RCC-to
bowel distance increased from 3 mm to 6 mm; in patient 2, from less than 2 mm to
6 mm. Follow-up CT performed 1 month after RFA demonstrated not only complete
ablation of the two RCCs but also no thermal injury to the bowel adjacent to the
tumors. In conclusion, an electrode might be used as a lever to increase RCC-to
bowel distance during CT-guided RFA by placing a sterile drape on it.
PMID- 18060406
TI - Early developmental and stress responsive ESTs from mungbean, Vigna radiata (L.)
Wilczek, seedlings.
AB - Although mungbean (Vigna radiata (L.) Wilczek) is commonly used as human food;
the genomic resources of this species available in databases are limited. This
study aims to develop expressed sequence tag (EST) resources for mungbean genes
informative to early seedling development and chilling response. Two mungbean
varieties that differ in disease resistance were found to also differ in their
susceptibility to chilling temperatures. A total of 1,198 ESTs were obtained from
one cDNA library and four PCR-select cDNA subtraction libraries; among these 523
were clustered into 136 contigs and 675 were singletons. The 811 non-redundant
uniESTs were compared to GenBank using the Basic Local Alignment Search Tool
(BLAST) and WU-BLAST algorithms, of these only 489 uniESTs had significant
sequence homology, which may be involved in resuming the metabolic activity of
seedlings, switching on photomorphogenesis, fuelling photosynthesis and/or
initiating the unique developmental programs. Their encoded proteins may
associate with regulatory proteins to trigger a direct stress response or
participate in acclimation to environmental stressors. The uniEST platform
reported will enrich the genomic resources of mungbean for functional genomic
research on seedling development and chilling response of tropical crops and
provide targets for improving the chilling tolerance of the tropical crops.
PMID- 18060411
TI - Walking on smooth or rough ground: passive control of pretarsal attachment in
ants.
AB - The hymenopteran tarsus is equipped with claws and a movable adhesive pad
(arolium). Even though both organs are specialised for substrates of different
roughness, they are moved by the same muscle, the claw flexor. Here we show that
despite this seemingly unfavourable design, the use of arolium and claws can be
adjusted according to surface roughness by mechanical control. Tendon pull
experiments in ants (Oecophylla smaragdina) revealed that the claw flexor elicits
rotary movements around several (pre-) tarsal joints. However, maximum angular
change of claws, arolium and fifth tarsomere occurred at different pulling
amplitudes, with arolium extension always being the last movement. This effect
indicates that arolium use is regulated non-neuronally. Arolium unfolding can be
suppressed on rough surfaces, when claw tips interlock and inhibit further
contraction of the claw flexor or prevent legs from sliding towards the body. To
test whether this hypothesised passive control operates in walking ants, we
manipulated ants by clipping claw tips. Consistent with the proposed control
mechanism, claw pruning resulted in stronger arolium extension on rough but not
on smooth substrates. The control of attachment by the insect claw flexor system
demonstrates how mechanical systems in the body periphery can simplify
centralised, neuro-muscular feedback control.
PMID- 18060412
TI - Hirschsprung's disease: 13 years' experience in 112 patients from a single
institution.
AB - Although Hirschsprung's disease is curable, a low mortality and a certain
morbidity have been described by several authors. We will present our experience
with the treatment of Hirschsprung's disease at Gaslini Children's Hospital. All
patients who underwent a pull-through procedure since January 1993 were included.
Data were obtained from a comprehensive questionnaire and from the revision of
the notes. The results were subsequently described and compared with regard to
age and length of aganglionosis. A total of 151 patients underwent a pull
through. One hundred and twelve of these patients completed the forms and were
reviewed. Eighty patients had a classic form, 22 an ultralong. Complications
occurred in 28 patients (25%). Postoperative enterocolitis was complained by 25
patients (13% colonic and 59% ultralong forms) and constipation by 15 (equally
distributed). Excellent to good continence was experienced by 84% of patients
with classic forms and by 68% of patients with ultralong forms. A clear improving
trend during growth was evident for patients with ultralong forms. Psychological
self-acceptance, patients' perspective and cosmetic results proved to be
significantly better for patients with classic forms of the disease. Our study
confirmed the complications and long-term sequelae that patients with
Hirschsprung's can experience. Early diagnosis can minimise morbidity and
mortality and prompt and adequate treatment can reduce the incidence of
postoperative complications. The parents should be acknowledged regarding the
progressive improvements of function that patients gain during growth,
particularly in case of ultralong forms, thus strengthening the need for
continuative care and close follow-up.
PMID- 18060413
TI - Enteric duplication cysts of the pancreas: a report of two cases and review of
the literature.
AB - Enteric duplication cysts are rare congenital malformations that are most
commonly diagnosed in children. Enteric duplications associated with the pancreas
are especially uncommon, and may present with specific clinical findings such as
severe pancreatitis. These cysts often pose unique surgical challenges. In
addition, the diagnosis of pancreatic duplication cysts is often difficult, and
may be confused with pancreatic pseudocysts or neoplasms. Herein we report two
cases of pancreatic duplication cysts, and present a complete tabulation of all
case reports of pancreatic-associated duplication cysts reported in the English
literature. We conclude that pancreatic duplication cysts are a rare entity, most
commonly found to occur in infants and children. We further find that although
severe complications may arise as a result of their presentation and treatment,
the rate of post-operative complications in patients between 3 and 21 years of
age is extremely low, with the highest complication rate occurring in a bimodal
distribution (<3-years and >21-years of age). Despite complications in the
youngest and older patient populations, surgical excision remains the mainstay of
therapy for pancreatic duplication cysts in all age groups.
PMID- 18060414
TI - The prognostic importance of serum IL-1beta, IL-6, IL-8 and TNF-alpha levels
compared to trauma scoring systems for early mortality in children with blunt
trauma.
AB - The purpose of the present study was to determine whether a correlation exits
between the main trauma scoring systems and the activation of inflammatory cells
and mediators such as interleukin-(IL-) 1beta, IL-6, IL-8 and tumor necrosis
factor alpha (TNF-alpha) after trauma, and moreover to assess if any of these can
be used to predict the outcome in patients under care at a trauma center. Forty
seven children (37 boys, 10 girls) presenting with blunt trauma, were evaluated
by an analysis of the relationship between overall mortality and potential risk
factors. Admission data, including serum IL-1beta, IL-6, IL-8, TNF-alpha,
pediatric trauma score (PTS), and injury severity score (ISS), were collected and
analyzed. In descriptive statistics for independent variables, some prognostic
factors such as IL-8 (P = 0.04), and ISS (P = 0.004) were significant in their
relationship to mortality. In the univariate statistical analysis some other risk
factors such as IL-8 (P = 0.004), >20 TNF-alpha (P = 0.04), and ISS (P = 0.007)
were significant in their relationship to mortality. The relative risk of
developing mortality was higher than two for each of the following risk factors:
>10 ages, >25 IL-6, 10-20 TNF-alpha, >20 TNF-alpha, PTS 15.
There was a positive correlation between IL-8 (r = 0.31, P = 0.33), ISS (r =
0.31, P = 0.0001), and mortality. There was also a correlation with ISS and IL-8
(r = 0.32, P = 0.02). ISS, and the serum IL-8 level are the most important
determinants of clinical outcome in critically injured patients. A correlation
exits between IL-8 and mortality and between ISS and IL-8.
PMID- 18060415
TI - Ischemia-reperfusion injury of rabbit ovary and protective effect of trapidil: an
experimental study.
AB - We aimed to detect the protective effect of trapidil in ischemia-reperfusion (IR)
injury due to ovarian torsion and detorsion. Thirty-two pubertal New Zealand
albino rabbits were used. Adnexal torsion was created by rotating the left adnexa
including the tubal and ovarian vessels in a 360 degrees clockwise direction.
Adnexal detorsion was done by untwisting the adnexa. In the IR group, left
oopherectomy was performed after 3 h of adnexal torsion and 3 h of adnexal
detorsion. In the study group, a 3-h adnexal torsion was performed and trapidil
was administered intraperitoneally as a single dose of 40 mg/kg, 1 h before
detorsion. The left oopherectomy was performed after a 3-h adnexal detorsion. In
the sham group, sham operation was performed followed by left oopherectomy. In
the control group, normal ovarian tissue was evaluated. Catalase, superoxide
dismutase (SOD), glutathione peroxidase (GSH-Px), and malondialdehyde (MDA)
levels of ovarian tissue were determined for each group. The values of SOD and
GSH-Px activities in the IR group were significantly decreased (P < 0.05). In
addition, the MDA level was significantly higher in the IR group (P < 0.01). The
trapidil-administered group showed significant increase in the levels of GSH-Px
(P < 0.05), catalase (P < 0.05), SOD (P < 0.05), and decreased MDA levels (P <
0.05) compared to those in the IR group. The study has shown that trapidil
treatment prevents ischemia induced oxidative damage in the ovarian tissues of
rabbits.
PMID- 18060416
TI - Comment on Kubota et al.: focal intestinal perforation in extremely-low-birth
weight neonates: etiological consideration from histological findings.
PMID- 18060417
TI - The 20th International Symposium on Paediatric Surgical Research.
PMID- 18060418
TI - Salvage plasmapheresis for small-for-size syndrome following hepatic resection
for colorectal liver metastases.
PMID- 18060419
TI - Treatment of osteonecrosis of the hip: comparison of extracorporeal shockwave
with shockwave and alendronate.
AB - BACKGROUND AND PURPOSE: Extracorporeal shockwave therapy (ESWT) and alendronate
are reported effective in early osteonecrosis of the femoral head (ONFH). We
hypothesized that joint effects of ESWT and alendronate may produce superior
results. This prospective study compared the results of ESWT and alendronate with
that of ESWT without alendronate in early ONFH. PATIENTS AND METHODS: Forty-eight
patients with 60 hips were randomly divided into tow groups. There were 25
patients with 30 hips in group A and 23 patients with 30 hips in group B. Both
groups showed similar demographic characteristics. All patients were treated with
6,000 impulses of ESWT at 28 KV (equivalent to 0.62 mJ/mm(2)) to the affected hip
as a single session. Patients in group B also received alendronate 70 mg per week
for 1 year, whereas patients in group A did not. The evaluations included
clinical assessment, radiograph and MR image of the affected hip. Both groups
were compared statistically using paired t, Mann-Whitney and Chi square tests
with statistical significance at P < 0.05. The primary end point is the need for
total hip arthroplasty (THA). The secondary end point is the improvement in pain
and function of the hip. The third end point is the progression or regression of
the lesion on image study. RESULTS: The overall clinical outcomes were improved
in 83%, unchanged in 7% and worsened in 10% for group A; and improved in 77%,
unchanged in 13% and worsened in 10% for group B. THA was performed in 10% of
group A and 10% of group B (P = 1.000). Significant improvements in pain and
function of the hip were noted in both groups (P < 0.001), however, the
differences between the two groups were not significant (P = 0.400, 0.313). On MR
images, the lesions showed progression in 10%, regression in 47% and unchanged in
43% in group A, and progression in 7%, regression in 53% and unchanged in 40% in
group B (P = 0.830). CONCLUSION: ESWT and alendronate produced comparable result
as compared with ESWT without alendronate in early ONFH. It appears that ESWT is
effective with or without the concurrent use of alendronate. The joint effects of
alendronate over ESWT in early ONFH are not realized in short-term.
PMID- 18060420
TI - Fermentable metabolite of Zymomonas mobilis controls collagen reduction in
photoaging skin by improving TGF-beta/Smad signaling suppression.
AB - Solar ultraviolet (UV) irradiation causes damages on human skin and premature
skin aging (photoaging). UV-induced reduction of type I collagen in dermis is
widely considered primarily induction of wrinkled appearance of photoaging skin.
Type I procollagen synthesis is reduced under UV irradiation by blocking
transforming growth factor-beta (TGF-beta)/Smad signaling; more specifically, it
is down-regulation of TGF-beta type II receptor (T beta RII). Therefore,
preventing UV-induced loss of T beta RII results decreased type I collagen
reduction in photoaging skin. Zymomonas mobilis is an alcohol fermentable, gram
negative facultative anaerobic bacterium whose effect on skin tissue is scarcely
studied. We investigated the protective effects of fermentable metabolite of Z.
mobilis (FM of Z. mobilis) against reduction of type I procollagen synthesis of
UV-induced down-regulation of T beta RII in human dermal fibroblasts FM of Z.
mobilis was obtained from lyophilization of bacterium culture supernatant. The
levels of T beta RII and type I procollagen mRNA in human dermal fibroblasts were
measured by quantitative real-time RT-PCR, and T beta RII protein levels were
assayed by western blotting. T beta RII, type I procollagen, and type I collagen
proteins in human dermal fibroblasts or hairless mouse skin were detected by
immunostaining. FM of Z. mobilis inhibited down regulation of T beta RII mRNA,
and protein levels in UVB irradiated human dermal fibroblasts consequently
recover reduced type I procollagen synthesis. These results indicate UVB
irradiation inhibits type I procollagen synthesis by suppression of TGF-beta/Smad
signaling pathway, and FM of Z. mobilis has inhibitory effect on UVB-induced
reduction of type I procollagen synthesis. While short period UVB irradiation
decreased both T beta RII and type I procollagen protein levels in hairless mouse
skin, topical application of FM of Z. mobilis prevented this decrease. Wrinkle
formation in hairless mouse skin surface was accelerated by continuous 5 month
UVB irradiation along with a reduction of type I collagen in the dermis, but this
change was prevented by topical application of FM of Z. mobilis. From this
experimental data, it is suggested that FM of Z. mobilis is effective for
suppression of wrinkle formation in photoaging skin by inhibition of type I
procollagen synthesis reduction.
PMID- 18060421
TI - Combined effects of radiotherapy and endostatin gene therapy in melanoma tumor
model.
AB - PEgr-Endostatin-EGFP plasmid was constructed to investigate its expression
properties induced by ionizing irradiation and the effect of pEgr-Endostatin-EGFP
gene-radiotherapy on melanoma tumor-bearing mice. The pEgr-Endostatin-EGFP
plasmid was transfected into B16 cell line with liposome. The expression property
of endostatin was investigated by RT-PCR and that of EGFP was detected by flow
cytometry. Tumor-bearing mice were treated by the plasmid injection and 2 Gy X
irradiation of three fractions. Tumor growth was observed for 18 days after
treatment. Change of tumor capillary formation was measured with histochemistry
assay at the end of the experiment. The expression of GFP in B16 melanoma cells
was detected after X-irradiation with 0.05-20 Gy. Time-course studies showed that
the expression of GFP in B16 cells reached its peak at 8 h after irradiation with
2 Gy. The injection of pEgr-Endostatin-EGFP recombinant plasmid into the
implanted B16 melanoma in C57BL/6J mice followed by local X-irradiation could
significantly inhibit tumor growth with inhibition of intratumor micro-vessel
density. The inhibitory effect of pEgr-Endostatin-EGFP gene-radiotherapy on the
growth of B16 melanoma is correlated with the marked decrease of intratumoral
vascularization. The present data point to the potential of an anti-angiogenic
approach in gene-radiotherapy of cancer.
PMID- 18060422
TI - Beyond the code: the mechanical properties of DNA as they relate to mitosis.
PMID- 18060423
TI - Assessment of the effects of environmental radiation on wind chill equivalent
temperatures.
AB - Combinations of wind-driven convection and environmental radiation in cold
weather, make the environment "feel" colder. The relative contributions of these
mechanisms, which form the basis for estimating wind chill equivalent
temperatures (WCETs), are studied over a wide range of environmental conditions.
Distinction is made between direct solar radiation and environmental radiation.
Solar radiation, which is not included in the analysis, has beneficial effects,
as it counters and offsets some of the effects due to wind and low air
temperatures. Environmental radiation effects, which are included, have
detrimental effects in enhancing heat loss from the human body, thus affecting
the overall thermal sensation due to the environment. The analysis is performed
by a simple, steady-state analytical model of human-environment thermal
interaction using upper and lower bounds of environmental radiation heat
exchange. It is shown that, over a wide range of relevant air temperatures and
reported wind speeds, convection heat losses dominate over environmental
radiation. At low wind speeds radiation contributes up to about 23% of the
overall heat loss from exposed skin areas. Its relative contributions reduce
considerably as the time of the exposure prolongs and exposed skin temperatures
drop. At still higher wind speeds, environmental radiation effects become much
smaller contributing about 5% of the total heat loss. These values fall well
within the uncertainties associated with the parameter values assumed in the
computation of WCETs. It is also shown that environmental radiation effects may
be accommodated by adjusting reported wind speeds slightly above their reported
values.
PMID- 18060424
TI - Survival benefits of pancreatoduodenectomy for gastric cancer: relationship to
the number of lymph node metastases.
AB - BACKGROUND AND AIMS: Pancreatoduodenectomy (PD) for advanced gastric cancer is
rarely performed because of the high frequency of associated morbidity and
mortality. The aim of this study was to determine the limited indication of PD
for advanced gastric cancer. MATERIALS AND METHODS: From January 1984 and
December 2005 patient's charts were reviewed and outcomes after PD for gastric
cancer were compared between two subgroups; those with a 'high' number (7or= group).
RESULTS: PD was intraoperatively selected because of direct tumor invasion into
the pancreas (52.2% of the 7or= group) or pancreatic
lymph node infiltration (47.8% of the 7or= group; P
= 0.058). The postoperative histological analysis showed 22 cases from 23 (95.7%)
in 7or=
group (P = 0.014). The 5-year survival rates were significantly better in the
6>or= group compared with the 7 or =1 dose) with PCV7, 7 were due by vaccine serotypes.
This study provides data on underlying conditions, penicillin susceptibility,
serotype evolution according to vaccination status and risk factors for mortality
for pneumococcal meningitis in children from 2001-2005 in France.
PMID- 18060441
TI - Effect of ramelteon, a selective MT(1)/MT (2)-receptor agonist, on respiration
during sleep in mild to moderate COPD.
AB - Ramelteon, a selective MT(1)/MT(2) melatonin receptor agonist, was evaluated in
subjects with mild to moderate chronic obstructive pulmonary disease (COPD) to
determine whether it would have a negative effect on measures of safety and
respiration. This randomized, double-blind, crossover study in 26 subjects with
mild to moderate COPD compared the effects of a single bedtime dose of ramelteon
16 mg and placebo on sleep, oxygenation, and sleep-related abnormal breathing
events. Compared with placebo, ramelteon had no statistically significant effect
on mean arterial oxygen percent saturation (SaO(2)) for the entire night (92.9 vs
92.9%; 95% confidence interval [CI], -0.6 to 0.6; P = 0.972), for each of the 8 h
of the night, for each sleep stage (awake, rapid eye movement, nonrapid eye
movement) or for the percentage of the night that SaO(2) was less than 85 and
90%. The mean apnea-hypopnea index was similar between ramelteon and placebo
groups (9.0 vs 8.3; 95% CI, -1.5 to 3.0; P = 0.515). Polysomnography documented a
significant increase in total sleep time (380.6 vs 353.6, P = 0.015), sleep
efficiency (79.3 vs 73.7, P = 0.017), and number of awakenings (11.1 vs 9.5, P =
0.036) with ramelteon vs placebo. Other polysomnography and subject-reported
sleep measures were comparable between groups. Only one adverse event was
reported; it was not considered treatment related. No clinically meaningful
changes in laboratory test results, vital signs, electrocardiogram, and physical
examination were observed. In this study, ramelteon 16 mg (two times the
recommended therapeutic dose) showed no clinically meaningful or statistically
significant effects on oxygenation or abnormal breathing events, was well
tolerated, and improved sleep duration and efficiency in subjects with mild to
moderate COPD.
PMID- 18060442
TI - [Minimally invasive implantation in unicondylar arthroplasty].
AB - Knee arthroplasty has become one of the most successful standard procedures in
orthopaedic surgery. With a more frequent use in young and active patients bone
saving procedures have become more important. The goal is to save good bone stock
for the revision procedure. Therefore, unicompartmental knee arthroplasty is a
good example. Instrumentation and minimally invasive surgical techniques have
been improved so very good long-term results and early functional results are
achieved. The paper describes the surgical technique with true capsule incision
and extramedullary alignment technique. In a prospective study, the early
functional results with the ZUK implant were excellent. The implant may not be
indicated for every knee situation and an exact differential indication and sound
surgical technique are necessary. Its use, however, in cases with
unicompartmental knee arthritis, contributes to excellent early rehabilitation
and to maintaining autologous bone. Therefore, the minimally invasive
unicompartmental knee arthroplasty is a sensible alternative to other options.
PMID- 18060443
TI - [Indications and techniques for wrist arthroscopy].
AB - After considering the anatomy of the wrist, the technical requirements for wrist
arthroscopy are discussed. This method is used as an additional diagnostic
procedure and staging procedure as well as a therapeutic technique.
Complications, which are rare, most commonly involve nerve irritation, damage to
cutaneous nerves and extensor tendons. Lose bodies can be removed
arthroscopically, however, arthroscopically assisted fracture treatment or the
removal of ganglions are uncommon. Approaches are lateral and oriented on the
extensor tendons or other anatomical landmarks. Arthroscopy is especially useful
by carpal instability as it offers many possibilities, not only diagnostically
but also therapeutically.
PMID- 18060440
TI - Serpins in plants and green algae.
AB - Control of proteolysis is important for plant growth, development, responses to
stress, and defence against insects and pathogens. Members of the serpin protein
family are likely to play a critical role in this control through irreversible
inhibition of endogenous and exogenous target proteinases. Serpins have been
found in diverse species of the plant kingdom and represent a distinct clade
among serpins in multicellular organisms. Serpins are also found in green algae,
but the evolutionary relationship between these serpins and those of plants
remains unknown. Plant serpins are potent inhibitors of mammalian serine
proteinases of the chymotrypsin family in vitro but, intriguingly, plants and
green algae lack endogenous members of this proteinase family, the most common
targets for animal serpins. An Arabidopsis serpin with a conserved reactive
centre is now known to be capable of inhibiting an endogenous cysteine
proteinase. Here, knowledge of plant serpins in terms of sequence diversity,
inhibitory specificity, gene expression and function is reviewed. This was
advanced through a phylogenetic analysis of amino acid sequences of expressed
plant serpins, delineation of plant serpin gene structures and prediction of
inhibitory specificities based on identification of reactive centres. The review
is intended to encourage elucidation of plant serpin functions.
PMID- 18060444
TI - Autofluorescence bronchoscopy: quantification of inter-patient variations of
fluorescence intensity.
AB - Autofluorescence (AF) from bronchial tissue is increasingly used for the
endoscopic detection of early bronchial neoplasia. Several imaging systems are
commercially available, all detecting the absolute or relative AF intensity
and/or spectral contrasts between normal tissue and early neoplastic lesions.
These devices have a high sensitivity for flat neoplasia, but the specificity
remains limited. Variations in the AF intensity between individuals (inter
patient variations) is considered one of the most limiting factors. In the
clinical study presented here, we quantified those variations using a non
invasive optical reference positioned in situ during AF bronchoscopy. The inter
patient variations in intensity on the main carina were in the order of 25- 30%.
The results of this study are quite useful for improving and defining the design
of the optical features (dynamic range, physical sensitivity) of AF detection
systems.
PMID- 18060445
TI - Congenital right atrial aneurysm in an infant.
AB - A 4-month-old infant with right atrial aneurysm, presenting with recurrent
episodes of lower respiratory tract infection is described. There was no history
of supraventricular tachyarrhythmias or embolic events. Transthorasic
echocardiography definitely showed an aneurysmal sac (3.7 A-4.2 cm) originating
from the right atrium with compressing effect on left side cardiac structures.
Surgical excision of aneurysm allowed removal of mass effect and clinical
improvement.
PMID- 18060446
TI - Midaortic syndrome presenting as neonatal hypertension.
AB - We describe a case of mid-aortic syndrome presenting as systemic hypertension in
infancy and early childhood. Angiography of the descending and abdominal aorta is
the diagnostic test of choice to confirm the diagnosis of mid-aortic syndrome.
Severity of hypertension is one of the major factors in determining the timing of
intervention. Because of variability in the anatomic extent of mid-aortic
syndrome, management options need to be individualized.
PMID- 18060447
TI - Acute coronary syndrome as a first presentation of systemic lupus erythematosus
in a teenager: revascularization by hybrid coronary artery bypass graft surgery
and percutaneous coronary intervention: case report.
AB - Patients with systemic lupus erythematosus (SLE) may present with acute coronary
syndrome (ACS) due to coronary vasculitis or premature atherosclerosis. There is
a paucity of data on invasive management strategies for young adults who present
with an ACS secondary to active vasculitis. This article describes the case of a
teenager who presented with an ACS secondary to lupus vasculitis as his first
presentation of active SLE. Coronary angiography showed a left main equivalent
lesion involving a proximal very large left anterior descending artery (LAD) and
diagonal stenosis (with a diminutive left circumflex artery). The boy underwent a
successful endoscopic coronary bypass surgery to his LAD followed by percutaneous
coronary intervention to his diagonal artery. This case demonstrates the
feasibility and safety of a hybrid coronary revascularization in a teenager with
acute coronary syndrome due to coronary vasculitis.
PMID- 18060448
TI - Diversity of rhizobial bacteria isolated from nodules of the Gypsophyte Ononis
tridentata L. growing in Spanish soils.
AB - The aim of this work is to describe the diversity and phylogeny of rhizobial
bacteria associated to nodules of Ononis tridentata L. in different geographical
regions of Spain. Twenty-two bacterial isolates were characterized using several
molecular techniques (16S amplified ribosomal deoxyribonucleic acid restriction
analysis, fingerprinting, and sequencing) and phylogenies were inferred from
their 16S and nodC gene sequences. Phylogenetically, the isolates grouped with
the genera Rhizobium, Mesorhizobium, Phylobacterium, and Bosea. The nodC gene,
essential for nodulation, was detected for the first time in isolates close to
the genera Bosea and Phyllobacterium. The bacteria isolated showed a high
diversity at the genus, species, and strain level regardless of the geographical
origin of the host plant. This is the first report describing bacteria associated
to nodules of O. tridentata. This shrub legume is highly prized for the
revegetation of gypsum soils in semiarid Mediterranean areas. Our molecular
description of bacteria associated to this legume improves the current
understanding of the ecology of this plant species. Our findings have
implications for formulating suitable bacterial inocula to recover gypsum
ecosystems.
PMID- 18060450
TI - Isolated spring wetlands in the Great Basin and Mojave deserts, USA: potential
response of vegetation to groundwater withdrawal.
AB - Desert springs, often the sole sources of water for wildlife and cattle, support
wetland and wetland/upland transition ecosystems including rare and endemic
species. In the basin and range province in Nevada, USA, springs in the Great
Basin and Mojave deserts are sustained by interconnected deep carbonate and
shallow basin-fill aquifers which are threatened by proposed groundwater
withdrawal to sustain rapidly expanding urban areas, a common problem in arid
regions worldwide. This paper draws on historic groundwater data, groundwater
modeling, and studies of environmental controls of spring ecosystems to speculate
on the potential effects of groundwater withdrawal and water table decline on
spring-supported vegetation. The focus is on springs in the Great Basin and
Mojave deserts representative of those that may be affected by future, planned
groundwater withdrawal. Groundwater withdrawal is expected to reduce spring
discharge directly through reduced flows from the shallow basin-fill aquifer or
through reduction of the hydraulic head of the deep carbonate aquifer. This flow
reduction will truncate the outflow stream, reducing the areal cover of wetland
and wetland/upland transition vegetation. Lowering the local water table may also
reduce the amount of upland phreatophytic vegetation by causing water levels to
drop below plant rooting depths. Percolation of salts to surface soils may be
reduced, eventually altering desert shrub cover from halophytes to nonhalophytes.
The extent of these effects will vary among springs, based on their distance from
extraction sites and location relative to regional groundwater flow paths. On
site monitoring of biotic variables (including cover of selected hygrophytes and
phreatophytes) should be a necessary complement to the planned monitoring of
local hydrologic conditions.
PMID- 18060449
TI - Sugar beet-associated bacterial and fungal communities show a high indigenous
antagonistic potential against plant pathogens.
AB - The aim of this study was to analyze microbial communities in/on sugar beet with
special focus on antagonists toward plant pathogens. For this purpose, the
composition of microorganisms isolated from the rhizosphere, phyllosphere,
endorhiza, and endosphere of field-grown sugar beet plants was analyzed by a
multiphasic approach at three different plant development stages at six locations
in Europe. The analysis of microbial communities by Single Strand Conformation
Polymorphism (SSCP) of 16S/18S rRNA clearly revealed the existence of discrete
microenvironment- and site-specific patterns. A total of 1952 bacterial and 1344
fungal isolates screened by dual testing for antagonism toward the pathogens
Aphanomyces cochlioides, Phoma betae, Pythium ultimum, and Rhizoctonia solani
resulted in 885 bacterial (=45%) and 437 fungal (=33%) antagonists. In general,
the indigenous antagonistic potential was very high and influenced by (a) the
location, (b) the plant developmental stage, and (3) the microenvironment.
Furthermore, we showed for the first time that the antagonistic potential was
highly specific for each target pathogen. The majority of antagonistic
microorganisms suppressed only one pathogen (bacteria: 664 = 75%; fungi: 256 =
59%), whereas the minority showed a broad host range (bacteria: 4 = 0.5%; fungi:
7 = 1.6%). The bacterial communities harbored the highest antagonistic potential
against P. ultimum, whereas the fungal communities contained more antagonists
against A. cochlioides and R. solani. In contrast to their high proportion, only
a low diversity of antagonists at genotypic and species level was found. Novel
antagonistic species, e.g., Subtercola pratensis or Microbacterium testaceum were
found in the internal part of the sugar beet body.
PMID- 18060451
TI - Surgery for body packing in the Caribbean: a retrospective study of 70 patients.
AB - BACKGROUND: Body packing is a way to deliver packages of drugs hidden in body
cavities, across international borders. This may cause mechanical bowel
obstruction and intoxication leading to emergency surgery. The objective of this
retrospective study is to evaluate pre-, per- and postoperative characteristics
of body packing and its complications and prognosis after surgery. METHODS:
Medical information was obtained from 70 patients diagnosed with Body Packer
syndrome who underwent surgery for body packing in the period of July 2001-July
2005 at the St. Elisabeth hospital, Curacao, the Netherlands Antilles. RESULTS:
Wound infection occurred in 32.9% and fascia dehiscence in 2.9%. Most procedures
were enterotomies. One patient had a re-laparotomy for Hartmann's procedure
because of an anastomotic leak and three other patients had a partial small bowel
resection. The creation of a stoma was only needed in one patient. One patient
died of postoperative DIC. In six patients packages were left behind, not
requiring re-operation. CONCLUSIONS: Bowel obstruction and symptoms of
intoxication are clear indications for surgery in body packing patients. In our
study there was a low mortality and stoma placement rate, we did find a
remarkable high amount of wound infection but no evidence for a higher incidence
of fascia dehiscence in comparison with elective gastrointestinal surgery. In
surgery for bodypacking a mandatory postoperative x-ray is indicated. A
prospective trial is needed to assess more information about possible predictive
factors of postoperative complications and mortality after surgery for body
packing.
PMID- 18060452
TI - Post-mastectomy breast reconstruction: pectoralis major myomammary flap versus
DIEP and MS-2 TRAM.
PMID- 18060453
TI - VR to OR: a review of the evidence that virtual reality simulation improves
operating room performance.
AB - The use of virtual reality (VR) simulation to train surgeons has been supported
by a body of experimental data derived from randomized trials of VR simulation
training versus no such training. These investigations have focused on the use of
VR devices to train surgeons in laparoscopic and flexible endoscopic skills, and
the studies have generally demonstrated that skills acquired through courses of
training in VR transfer to the clinical or animal laboratory setting, where
assessments of various types have been used to measure performance. These
studies, as well as the study model that describes them, and the future of
randomized trials of this type are reviewed.
PMID- 18060454
TI - Percutaneous injection of lidocaine within the carotid body area in carotid
artery stenting: an "old-new" technique.
AB - Severe bradycardia is a common untoward effect during balloon angioplasty when
performing carotid artery stenting. Therefore atropine injection even before
dilatation and the presence of an anesthesiologist are advocated in all patients.
In the surgical literature, injection of a local anesthetic agent into the
carotid sinus before carotid endarterectomy was performed in an attempt to
ameliorate perioperative hemodynamic instability. This study was undertaken to
test the hypothesis that percutaneous infiltration of the carotid sinus with
local anesthetic immediately before balloon dilatation reduces bradycardia and
ameliorates the need for atropine injection or the presence of an
anesthesiologist. Infiltration of the carotid sinus with 5 ml of 1% lidocaine, 3
min before dilatation, was performed in 30 consecutive patients. No one exhibited
any significant rhythm change that required atropine injection. The
anesthesiologist did not face any hemodynamic instability during the carotid
artery stenting procedure.
PMID- 18060455
TI - The Amplatzer vascular plug also for ovarian vein embolization.
PMID- 18060456
TI - Endovascular reconstruction of complex arterial obstructions in the mesenteric
arcade with "kissing stents": technical note.
AB - This report describes a technique for advanced endoluminal reconstruction of
complex bifurcational arterial obstructions located within the mesenteric arcade
deploying applications from percutaneous transluminal coronary artery
angioplasty. A patient was treated for persistent mesenteric ischemia during
prolonged recovery after initial small bowel resection due to acute mesenteric
ischemia. Following endovascular reconstruction of a complex arterial obstruction
within the mesenteric arcade, ischemic symptoms subsided quickly and the patient
recovered well. According to the literature, this seems to be the first case
where such distal reconstruction of the mesenteric arcade has successfully been
achieved percutaneously.
PMID- 18060457
TI - An intronic sequence mutated in flexed-tail mice regulates splicing of Smad5.
AB - Recent work has identified a growing body of evidence that subtle changes in
noncoding sequences can result in significant pathology. These mutations, which
would have been called silent polymorphisms in the past, affect gene
transcription and mRNA splicing and lead to drastic changes in gene expression.
Previous work from our lab has characterized the murine flexed-tail (f) mutation,
which encodes Smad5, a transcription factor that functions downstream of the
receptors for bone morphogenetic proteins (BMPs). f/f mice are unable to rapidly
respond to acute anemia. Our analysis of these mice led to the development of a
new model for stress erythropoiesis, where BMP4 expression in the spleen leads to
the Smad5-dependent expansion of a specialized population of stress erythroid
progenitors during the recovery from acute anemia. f/f mutant mice exhibit a
defect in Smad5 mRNA splicing in the spleen such that the majority of Smad5
transcripts are two misspliced mRNAs. One of these mRNAs encodes a truncated form
of Smad5 that inhibits BMP4 signaling when overexpressed. Here we show that a
mutation in a poly(T) element in intron 4 causes the splicing defect in f/f
mutant mice. This subtle mutation (loss of 1 or 2 Ts in a 16-T element) results
in defects in splicing throughout the Smad5 gene. Furthermore, we show that this
mutation results in tissue-specific splicing defects, which may explain why f/f
mice are viable when Smad5-/- mice are embryonic lethal.
PMID- 18060459
TI - Relationship between the endophyte Embellisia spp. and the toxic alkaloid
swainsonine in major locoweed species (Astragalus and Oxytropis).
AB - Locoweeds (Astragalus and Oxytropis spp. that contain the toxic alkaloid
swainsonine) cause widespread poisoning of livestock on western rangelands. There
are 354 species of Astragalus and 22 species of Oxytropis in the US and Canada.
Recently, a fungal endophyte, Embellisia spp., was isolated from Astragalus and
Oxytropis spp. and shown to produce swainsonine. We conducted a survey of the
major locoweeds from areas where locoweed poisoning has occurred to verify the
presence of the endophyte and to relate endophyte infection with swainsonine
concentrations. Species found to contain the fungal endophyte and produce
substantial amounts of swainsonine were A. wootoni, A. pubentissimus, A.
mollissimus, A. lentiginosus, and O. sericea. Astragalus species generally had
higher concentrations of swainsonine than Oxytropis. Swainsonine was not detected
in A. alpinus, A. cibarius, A. coltonii, A. filipes, or O. campestris. The
endophyte could not be cultured from A. mollissimus var. thompsonii or A.
amphioxys, but was detected by polymerase chain reaction, and only 30% of these
samples contained trace levels of swainsonine. Further research is necessary to
determine if the endophyte is able to colonize these and other species of
Astragalus and Oxytropis and determine environmental influences on its growth and
synthesis of swainsonine.
PMID- 18060460
TI - Modeling imatinib-treated chronic myelogenous leukemia: reducing the complexity
of agent-based models.
AB - We develop a model for describing the dynamics of imatinib-treated chronic
myelogenous leukemia. Our model is based on replacing the recent agent-based
model of Roeder et al. (Nat. Med. 12(10):1181-1184, 2006) by a system of
deterministic difference equations. These difference equations describe the time
evolution of clusters of individual agents that are grouped by discretizing the
state space. Hence, unlike standard agent-base models, the complexity of our
model is independent of the number of agents, which allows to conduct simulation
studies with a realistic number of cells. This approach also allows to directly
evaluate the expected steady states of the system. The results of our numerical
simulations show that our model replicates the averaged behavior of the original
Roeder model with a significantly reduced computational cost. Our general
approach can be used to simplify other similar agent-based models. In particular,
due to the reduced computational complexity of our technique, one can use it to
conduct sensitivity studies of the parameters in large agent-based systems.
PMID- 18060458
TI - Mammary cancer susceptibility: human genes and rodent models.
AB - Breast cancer is a complex disease, showing a strong genetic component. Several
human susceptibility genes have been identified, especially in the last few
months. Most of these genes are low-penetrance genes and it is clear that
numerous other susceptibility genes remain to be identified. The function of
several susceptibility genes indicates that one critical biological pathway is
the DNA damage response. However, other pathways certainly play a significant
role in breast cancer susceptibility. Rodent models of breast cancer are useful
models in two respects. They can help identify new mammary susceptibility genes
by taking advantage of the very divergent susceptibilities exhibited by different
mouse or rat strains and carrying out relevant genetic analyses. They also
provide investigators with experimental systems that can help decipher the
mechanism(s) of resistance to mammary cancer. Recent genetic and biological
results obtained with mouse and especially with rat strains indicate that (1)
numerous quantitative trait loci control mammary cancer susceptibility or
resistance, with distinct loci acting in different strains, and (2) distinct
resistance mechanisms operate in different rat resistant strains, precocious
mammary differentiation being one of these mechanisms.
PMID- 18060461
TI - Some elementary properties of SIR networks or, can i get sick because you got
vaccinated?
AB - We consider epidemics on social networks and address the question of whether
administering a safe vaccine to one or more individuals can raise another
individual's chances of becoming infected. Surprisingly, this can happen if
transmission probabilities vary over time. If transmission probabilities do not
vary with time, we show that in the discrete SIR model vaccination cannot cause
collateral damage. We phrase this question in terms of monotonicity properties
and answer it using bond percolation methods. By passing to a covering graph we
are able to extend these results to models with more complicated latent and
infective states.
PMID- 18060462
TI - Asymptotic analysis and analytical solutions of a model of cardiac excitation.
AB - We describe an asymptotic approach to gated ionic models of single-cell cardiac
excitability. It has a form essentially different from the Tikhonov fast-slow
form assumed in standard asymptotic reductions of excitable systems. This is of
interest since the standard approaches have been previously found inadequate to
describe phenomena such as the dissipation of cardiac wave fronts and the shape
of action potential at repolarization. The proposed asymptotic description
overcomes these deficiencies by allowing, among other non-Tikhonov features, that
a dynamical variable may change its character from fast to slow within a single
solution. The general asymptotic approach is best demonstrated on an example
which should be both simple and generic. The classical model of Purkinje fibers
(Noble in J. Physiol. 160:317-352, 1962) has the simplest functional form of all
cardiac models but according to the current understanding it assigns a
physiologically incorrect role to the Na current. This leads us to suggest an
"Archetypal Model" with the simplicity of the Noble model but with a structure
more typical to contemporary cardiac models. We demonstrate that the Archetypal
Model admits a complete asymptotic solution in quadratures. To validate our
asymptotic approach, we proceed to consider an exactly solvable "caricature" of
the Archetypal Model and demonstrate that the asymptotic of its exact solution
coincides with the solutions obtained by substituting the "caricature" right-hand
sides into the asymptotic solution of the generic Archetypal Model. This is
necessary, because, unlike in standard asymptotic descriptions, no general
results exist which can guarantee the proximity of the non-Tikhonov asymptotic
solutions to the solutions of the corresponding detailed ionic model.
PMID- 18060464
TI - Voluntary and involuntary ligature of the bile duct in iatrogenic injuries: a
nonadvisable approach.
AB - BACKGROUND: Bile duct injuries related to laparoscopic and/or open
cholecystectomy are a frequent finding and require surgical treatment. Complete
obstruction is due to either intentionally or unintentionally placed ligatures or
clips. The intentional application is usually performed to "facilitate
identification of the duct by bile duct dilation." Considering that we are a
national referral center for such injuries, we decided to analyze our cases of
voluntary and involuntary duct ligation after iatrogenic bile duct injury.
METHODS: We reviewed the files of patients with voluntary or involuntary bile
duct ligation. Results of preoperative evaluation of the ducts, operative
treatment, and postoperative results were analyzed. RESULTS: A total of 413
patients were included. Forty-five patients presented with complete obstruction.
In 15 cases, the ligature was intentional, and in 30 cases, occlusion was
involuntary. Bile duct dilation (>10 mm) was demonstrated in one case of
voluntary (6%) and three cases of involuntary ligations (10%). The remaining
cases in both groups had no duct dilation and developed necrosis at the blinded
duct and leakage proximal to the ligature, with different degrees of
bilioperitoneum and/or biloma. In all cases, a Roux-en-Y hepatojejunostomy was
performed. CONCLUSION: Bile duct ligature produces dilation in a very small
number of patients (less than 10%) and usually produces necrosis of the blinded
stump with subsequent bile leakage. Placement of a subhepatic drain and
transference of the patient to a qualified center for reconstruction is the best
approach if the primary surgeon is not able to do the repair.
PMID- 18060463
TI - Under utilization of surveillance mammography among older breast cancer
survivors.
AB - BACKGROUND: Annual surveillance mammography is recommended for follow-up of women
with a history of breast cancer. We examined surveillance mammography among
breast cancer survivors who were enrolled in integrated healthcare systems.
METHODS: Women in this study were 65 or older when diagnosed with early stage
invasive breast cancer (N = 1,762). We assessed mammography use during 4 years of
follow-up, using generalized estimating equations to account for repeated
measurements. RESULTS: Eighty-two percent had mammograms during the first year
after treatment; the percentage declined to 68.5% in the fourth year of follow
up. Controlling for age and comorbidity, women who were at higher risk of
recurrence by being diagnosed at stage II or receiving breast-conserving surgery
(BCS) without radiation therapy were less likely to have yearly mammograms
(compared to stage I, odds ratio [OR] for stage IIA 0.72, confidence interval
[CI] 0.59, 0.87, OR for stage IIB 0.75, CI 0.57, 1.0; compared to BCS with
radiation, OR 0.58, CI 0.43, 0.77). Women with visits to a breast cancer surgeon
or oncologist were more likely to receive mammograms (OR for breast cancer
surgeon 6.0, CI 4.9, 7.4, OR for oncologist 7.4, CI 6.1, 9.0). CONCLUSIONS:
Breast cancer survivors who are at greater risk of recurrence are less likely to
receive surveillance mammograms. Women without a visit to an oncologist or breast
cancer surgeon during a year have particularly low rates of mammography.
Improvements to surveillance care for breast cancer survivors may require active
participation by primary care physicians and improvements in cancer survivorship
programs by healthcare systems.
PMID- 18060465
TI - Malignant melanoma of the gallbladder: a report of two cases and review of the
literature.
AB - Melanoma metastatic to the gallbladder is rare. When present, it is often part of
a widespread complex of metastases. Primary gallbladder melanomas are also
extremely rare and can sometimes be difficult to distinguish from metastatic
lesions. The optimal treatment for malignant melanoma of the gallbladder remains
unclear, and prognosis is generally poor. We present here two cases of patients
with metastatic lesions to the gallbladder. One patient presented with
symptomatic cholelithiasis and was found incidentally to have a metastasis.
Another patient had known a metastasis, but underwent curative resection of the
only site of disease. We review the published literature for gallbladder
melanoma, both primary and metastatic to determine the role of surgery in this
disease.
PMID- 18060466
TI - Conservative management of adhesive small bowel obstructions in patients
previously operated on for primary colorectal cancer.
AB - This study aimed to determine the incidence of adhesive small bowel obstruction
(SBO) after primary colorectal cancer surgery and the outcomes of conservative
management using gastrointestinal tubes in such cases. Between October 2000 and
December 2005, 2,586 primary colorectal cancer patients underwent consecutive
operations and were followed up completely for a median of 38 months. During the
follow-up periods, 119 patients with 130 consecutive cases of adhesive SBO
underwent conservative management using nasogastric tubes and long intestinal
tubes. The overall adhesive SBO rate was 5.0% in 38 months of follow-up, and the
observed incidence rate was 0.0013 per patient-month. Of the 130 cases, 104 cases
(80%) were successfully treated by conservative management, and the symptoms of
SBO were resolved by the sixth day (range 1 to 22). Twenty-six cases (20%)
underwent surgery because of lack of clinical improvement (17) or signs of
strangulation (9). The high success rate indicates that initial conservative
management with intestinal decompression using gastrointestinal tubes is
recommended for patients with adhesive SBO after primary colorectal cancer
surgery.
PMID- 18060467
TI - Preoperative predictors for complications after pancreaticoduodenectomy: impact
of BMI and body fat distribution.
AB - BACKGROUND: The purpose of this study was to examine the preoperative patient and
radiographic factors that are associated with operative morbidity after
pancreaticoduodenectomy. MATERIAL AND METHODS: Patient characteristics and
preoperative radiographic findings and their association with postoperative
complications after pancreaticoduodenectomy were analyzed for 356 patients with
pancreatic adenocarcinoma who underwent resection between 2000 and 2005. RESULTS:
Postoperative complications developed in 135 patients (38%). The most common
complications were pancreatic fistula/abscess (15%), wound infection (14%), and
delayed gastric emptying (4%). On multivariate analysis, the only preoperative
radiographic factors associated with having any postoperative complication were
the absence of pancreatic atrophy and the extent of central obesity determined by
the thickness of retrorenal visceral fat (VF). Complications occurred in 51% of
patients with VF > or = 2 cm, compared to 31% of patients with VF < 2 cm, p <
0.001. Postoperatively, pancreatic fistula developed in 24% of patients with VF >
or = 2 cm and in only 10% of patients with VF < 2 cm, p = 0.01. Wound infections
occurred in 21% of the patients with body mass index greater than or equal to 30
kg/m(2) compared to 12% of the nonobese patients, p = 0.03. CONCLUSIONS:
Generalized obesity is associated with postoperative wound infections after
pancreaticoduodenectomy. The degree of visceral fat on preoperative cross
sectional imaging is associated with significantly higher rates of overall
complications and pancreatic fistula.
PMID- 18060468
TI - Right portal vein ligation is as efficient as portal vein embolization to induce
hypertrophy of the left liver remnant.
AB - BACKGROUND: Aim of this retrospective study was to compare induction of left
liver hypertrophy after right portal vein ligation (PVL) and right portal vein
embolization (PVE) before right hepatectomy for liver metastases. MATERIALS AND
METHODS: Between 1998 and 2005, 18 patients underwent a PVE, whereas 17 patients
underwent a PVL during a first stage laparotomy. RESULTS: There was no
complication related to PVE or PVL. After a similar interval time (7 +/- 3 vs 8
+/- 3 weeks), the increase of the left liver volume was similar between the two
groups (35 +/- 38 vs 38 +/- 26%). After PVE and PVL, right hepatectomy was
performed in 12 and 14 patients, respectively. Technical difficulties during the
right hepatectomy were similar according to duration of procedure (6.4 +/- 1 vs
6.7 +/- 1 h, p = 0.7) and transfusion rates (33 vs 28%, p = 0.7). Mortality was
nil in both groups, and morbidity rates were respectively 58% for the PVE group
and 36% for the PVL group (p = 0.6). CONCLUSION: Right PVL and PVE result in a
comparable hypertrophy of the left liver. During the first laparotomy of a two
step liver resection, PVL can be efficiently and safely performed.
PMID- 18060469
TI - Utility of divided omentum for preventing complications associated with
laparoscopic gastric bypass.
AB - BACKGROUND: Laparoscopic Roux-en-Y gastric bypass has emerged as a standard
surgical treatment for morbid obesity. However, prevention of postoperative
complications associated with bariatric surgery is an important consideration.
METHODS: To reduce postoperative complications and achieve adequate body weight
loss, we introduce a simple procedure using a divided omentum during laparoscopic
Roux-en-Y gastric bypass. The actual aim of this procedure is to prevent leakage
from the gastric pouch or anastomosis and the gastro-gastric fistula because of
reentry of the alimentary tract. Between February 2002 and April 2007, we
performed laparoscopic Roux-en-Y gastric bypass for morbid obesity in 94
patients. In the most recent 83 cases, our simple procedure using a divided
omentum was employed. RESULTS: These patients comprised 20 males and 63 females,
with a mean age of 38 years, and a mean body mass index of 44.1 kg/m(2). At
surgery, the omentum is routinely divided using laparoscopic coagulating shears
before performing gastrojejunostomy to reduce the tension on the anastomosis
caused by the route of reconstruction. After performing hand-sewn
gastrojejunostomy, the left side of the divided omentum is moved cranially and
interposed between the gastric pouch and the excluded stomach. The omentum is
then sutured from the posterior aspect of the gastric pouch to the anterior side
of the anastomosis. CONCLUSION: Our procedure using a divided omentum during
bariatric surgery is feasible and safe for obtaining better outcomes without
artificial materials. Although the long-term outcome of this technique is still
unclear, we believe that it will contribute to decreasing the particular
complications related to laparoscopic Roux-en-Y gastric bypass for morbid
obesity.
PMID- 18060470
TI - An attempt to measure the spread of emergency medicine internationally.
AB - OBJECTIVE: To determine the feasibility of using the internet to track the spread
of emergency medicine internationally. METHODS: OVERVIEW: This was an attempt to
perform a descriptive cross-sectional study employing a web-based survey.
SUBJECTS: Potential respondents were identified from multiple sources.
OBSERVATIONS: The primary outcome was the response to 16 questions about EM care
and the setting in which it was delivered for acute cardiac, paediatric,
obstetrical illnesses and trauma. The questions were divided into six general
areas and elicited for urban, semi-urban and rural settings. A series of four e
mails soliciting completion of the survey were sent to potential respondents.
ANALYSIS: Simple descriptive statistics. RESULTS: We identified 358 potential
respondents with valid e-mail addresses over a period of three years. Overall,
145 (41%) responded and 117 (33% 95% CI 28-38%) of them were complete and
interpretable. There was one response from 54 and two responses from 29
countries, representing an overall response rate by country surveyed of 65% (95%
CI 57-73%), but of all existing countries of only 43% (95% CI 36-50%). Based on
sparse data, it appears that in urban areas, 47% (obstetrics) to 65% (paediatric)
of acutely sick or injured patients are taken to an ED-equivalent. For rural
areas, this range was 19% (obstetrical) to 40% (trauma). CT scans are available
in 78% of urban ED-equivalents but 12% of rural ones. Haematocrits are available
in 72% of rural settings. In 60% of responding nations, some type of EM training
was available, and in 42% physicians went abroad to study EM. CONCLUSIONS: A
survey of international EM is challenging to achieve because of difficulty in
both identifying and in contacting potential respondents. Based on sparse data,
population density (urban, rural) appears to be related to both the location to
which acutely ill patients are taken for their care and to the level of
technology available. The specialty of EM is now recognised internationally and
education in EM is common.
PMID- 18060472
TI - Features of ceruloplasmin in the cerebrospinal fluid of Alzheimer's disease
patients.
AB - The level of the apo-form of the copper enzyme ceruloplasmin (CP) is an
established peripheral marker in diseases associated with copper imbalance. In
view of the proposal that disturbances of copper homeostasis may contribute to
neurodegeneration associated with Alzheimer's disease (AD), the present work
investigates, by Western blot and non-reducing SDS-PAGE followed by activity
staining, the features of CP protein, and the copper/CP relationship in
cerebrospinal fluid (CSF) and serum of AD patients. Results show that only a
fraction of total copper is associated with CP in the CSF, at variance with
serum, both in affected and in healthy individuals. Furthermore, a conspicuous
amount of apo-ceruloplasmin and a decrease of CP oxidase activity characterize
the CSF of the affected individuals, and confirm that an impairment of copper
metabolism occurs in their central nervous system. In the CSF of AD patients the
decrease of active CP, associated with the increase in the pool of copper not
sequestered by this protein, may play a role in the neurodegenerative process.
PMID- 18060471
TI - Epidemiology of colorectal cancer: the 21-year experience of a specialised
registry.
AB - Cancer registries can be viewed as one of the main strategies for improving our
understanding of cancer, as they may reveal the importance of specific trends in
cancer incidence and survival; in addition, the information obtained from the
registries can be translated into preventive measures that might lead to a better
control of neoplasms. A colorectal cancer registry was instituted in Northern
Italy in 1984. The purpose of this study is to provide a description of the main
findings observed in a 21-year period of continuous registration. RESULTS: A
total of 3951 malignancies of the large bowel were registered in 3817 patients,
for a crude incidence rate of 75.1/100 000/year in men and 59.0 in women. Overall
incidence (crude and age-adjusted) of colorectal tumours increased remarkably
throughout the registration period. This increase was mainly due to early (Stage
I and II) tumours and to lesions with lymph nodal involvement (Stage III). There
was a tendency over time towards a progressive increase of colonic tumours,
whereas the fraction of rectal neoplasms tended to decline. Colorectal cancer
specific survival increased significantly over time in each of the main TNM/Dukes
classes (p<0.006 and <0.001 for Stage II and III tumours). Finally, surgery for
colorectal tumours showed a tendency towards large operations (colectomy and
hemicolectomy), which was parallel to a definite improvement of pathological
staging. CONCLUSIONS: Despite the increasing incidence of colorectal cancer,
there are several reasons for cautious optimism. Most of the lesions are now
diagnosed at an early stage, and this is associated with a significant increase
of survival. The disease is undoubtedly cured better than in the past; the main
challenge for future years is to achieve a sustained reduction of mortality for
colorectal neoplasms.
PMID- 18060473
TI - Chelerythrine treatment influences the balance of pro- and anti-apoptotic
signaling pathways in the remote myocardium after infarction.
AB - OBJECTIVE: Apoptotic processes may be implicated in the molecular pathomechanisms
of ventricular remodeling after myocardial infarction (MI). The modulation of
apoptosis by pro- and anti-apoptotic pathways in the myocardium remote from the
infarction, including its link to protein kinase C (PKC), was focus of the
present study. METHODS: Rats were subjected to MI by LAD ligation in situ. Some
animals were pretreated with the PKC inhibitor chelerythrine. After 1 h up to 28
days, pro- and anti-apoptotic signals (caspase-3, Bcl-2/Bax ratio, Akt, Bad), and
marker of apoptosis execution (DNA laddering, TUNEL) were quantified in the
myocardium remote from the infarction. RESULTS: Activation of caspase-3, a pro
apoptotic shift of the Bcl-2/Bax ratio, and DNA fragmentation were observed as
early as 3 h after infarction and persisted up to 28 days. Akt- and Bad
phosphorylation was unchanged. Chelerythrine markedly reduced DNA fragmentation.
Caspase-3 activation was unchanged. Surprisingly, Bad and Akt phosphorylation
were highly increased (180% and 750% of control). CONCLUSION: Chelerythrine
influences the balance of pro- and anti-apoptotic pathways in the remote
myocardium after infarction, with an inhibition of proapoptotic and an activation
of anti-apoptotic signals.
PMID- 18060474
TI - Induction of apoptosis by hydrogen peroxide in HPV 16 positive human cervical
cancer cells: involvement of mitochondrial pathway.
AB - Cervical cancer is the second most common malignant neoplasm in women, in terms
of incidence and mortality rates worldwide, and is associated with excessive
inflammation. This involves the expression of both pro- and anti-apoptotic
proteins that have varied effect on tumor growth and metastasis. The objective of
the present study was to elucidate the effect of hydrogen peroxide (H2O2) on
apoptotic signal molecules in vitro in SiHa and CaSki cell lines expressing the
human papilloma virus 16 E6 protein, which causes the ubiquitin-mediated
degradation of p53 protein and is thus p53 deficient. The p53 is known to act as
a cellular stress sensor and triggers apoptosis. We demonstrate, here, that in
HPV 16 positive cell lines apoptosis is triggered by upregulation of p73, which
causes activation of pro-apoptotic Bax accompanied by down regulation of anti
apoptotic Bcl xl, release of cytochrome c from mitochondria and activation of
caspases-9 and -3.
PMID- 18060476
TI - Critical role of phosphoinositide 3-kinase cascade in adipogenesis of human
mesenchymal stem cells.
AB - Mesenchymal stem cells (MSCs) are multipotent stem cells capable of
differentiating into adipocytes in the presence of a hormone cocktail. These
cells thus provide a promising model for studying the early events of
adipogenesis. Here, we examine the involvement of the PI3K/Akt and mTOR/p70S6K
signaling pathways in human MSC adipogenesis. We found that the two pathways were
strongly activated with a similar temporal profile under the adipogenesis
inducing hormone cocktail and this activation could be blocked by LY294002, a
specific inhibitor of PI3K. Furthermore, rapamycin, a specific inhibitor of mTOR,
blocked the activation of mTOR/p70S6K but not PI3K/Akt. Both LY294002 and
rapamycin severely suppressed lipid accumulation, as well as the expression of
adipogenic markers, including PPAR gamma 2 and C/EBP alpha, two master adipogenic
transcription factors. Together, these data indicate that the mTOR/p70S6K pathway
acts downstream of the PI3K/Akt pathway in mediating the adipogenic conversion of
MSCs. In conclusion, our data suggest that the PI3K/Akt and mTOR/p70S6K signaling
pathways are essential for adipogenesis of human MSCs.
PMID- 18060475
TI - Caffeic acid phenethyl ester induces mitochondria-mediated apoptosis in human
myeloid leukemia U937 cells.
AB - Caffeic acid phenyl ester (CAPE), a biologically active ingredient of propolis,
has several interesting biological properties including antioxidant, anti
inflammatory, antiviral, immunostimulatory, anti-angiogenic, anti-invasive, anti
metastatic and carcinostatic activities. Recently, several groups have reported
that CAPE is cytotoxic to tumor cells but not to normal cells. In this study, we
investigated the mechanism of CAPE-induced apoptosis in human myeloid leukemia
U937 cells. Treatment of U937 cells with CAPE decreased cell viability in a dose
dependent and time-dependent manner. DNA fragmentation assay revealed the typical
ladder profile of oligonucleosomal fragments in CAPE-treated U937 cells. In
addition, as evidenced by the nuclear DAPI staining experiment, we observed that
the nuclear condensation, a typical phenotype of apoptosis, was found in U937
cells treated with 5 microg/ml of CAPE. Therefore, it was suggested that CAPE is
a potent agent inducing apoptosis in U937 cells. Apoptotic action of the CAPE was
accompanied by release of cytochrome C, reduction of Bcl-2 expression, increase
of Bax expression, activation/cleavage of caspase-3 and activation/cleavage of
PARP in U937 cells, but not by Fas protein, an initial mediator in the death
signaling, or by phospho-eIF2 alpha and CHOP, crucial mediators in ER-mediated
apoptosis. From the results, it was concluded that CAPE induces the mitochondria
mediated apoptosis but not death receptors- or ER-mediated apoptosis in U937
cells.
PMID- 18060477
TI - Injectability evaluation of tricalcium phosphate bone cement.
AB - Calcium phosphate cements are biomaterials made from a mixture of calcium
phosphate powder in aqueous solutions that forms a paste that reacts at the body
temperature and hardens as a result of precipitation reactions. These cements are
commonly used in dentistry and orthopedic bone filling surgeries, which require
extremely invasive procedures. The challenge consists in formulating an
injectable paste by additives incorporation. In this work, three different
additives (carboxymethylcellulose, agar polymer and sodium alginate) were
incorporated to tricalcium phosphate, in concentrations of 0.4, 0.8, 1.6, 3.2 and
6.4 wt.%. Injectability was evaluated through a new method developed for this
purpose. Results showed that it was possible to obtain injectable compositions of
alpha-tricalcium phosphate cement. It was verified that the injectability depends
on the rheological behavior of the pastes and injection time. In this study,
pastes with viscosity suitable for good homogenization and injection were
obtained.
PMID- 18060478
TI - The preparation of high conversion polymeric systems containing eugenol residues
and their rheological characterization.
AB - Copolymeric systems bearing eugenol covalently linked to the macromolecular
chains have been prepared and characterised in terms of thermal, rheological and
in vitro behaviour. Eugenyl methacrylate (EgMA) and ethoxyeugenyl methacrylate
(EEgMA) were polymerized and copolymerized with ethyl methacrylate (EMA) in a
wide range of feed composition by bulk at high conversion. Glass transition
temperature (Tg) increased with the content of EgMA in the copolymer whereas Tg
decreased with the content of EEgMA. The presence of any of the eugenyl monomers
in the copolymer increased the thermal stability of the materials. Rheological
analysis showed that EMA-co-EgMA copolymers present a higher density of
crosslinking or entanglements among the chains compared with EMA-co-EEgMA
materials, and copolymers containing more than 40% EgMA exhibited a pseudo-solid
like behaviour with G' relatively independent of the frequency. The average
molecular weight between cross-links (Mc) for the latter copolymers was in the
order of 10(4) Da. For EEgMA copolymers, tan delta was lower than unity and G'
showed a gradual and slight dependency with frequency over the whole range of
composition. In vitro behaviour showed a higher hydrophilicity of the EEgMA
containing copolymers manifested in higher water sorption values, lower water
contact angle values and higher surface free energy due to an increase in
polarity. All systems presented a good cytocompatibility with human fibroblasts
assessed through direct and indirect assays.
PMID- 18060479
TI - Processing, characterisation, and biocompatibility of zinc modified metaphosphate
based glasses for biomedical applications.
AB - Bulk and structural properties of zinc oxide (0 up to 20 mol%) containing
phosphate glasses, developed for biomedical applications, were investigated
throughout this study using differential thermal analysis (DTA), differential
scanning calorimetry, X-ray powder diffraction and 31P and 23Na MAS NMR. Surface
wettability and MG63 viability were also considered for surface characterisation
of these glasses. The results indicated that incorporation of zinc oxide as a
dopant into phosphate glasses produced a significant increase in density;
however, the thermal properties presented in glass transition, and melting
temperatures were reduced. NaZn(PO3)3 was detected in the X-Ray Powder
Diffraction Analysis (XRD) trace of zinc containing glasses, and the proportion
of this phase increased with increasing zinc oxide content. NaCa(PO3)3 as a
second main phase and CaP2O6 in minor amounts were also detected. The 31P and
23Na MAS NMR results suggested that the relative abundances of the Q1 and Q2
phosphorus sites, and the local sodium environment were unaffected as CaO was
replaced by ZnO in this system. The replacement of CaO with ZnO did seem to have
the effect of increasing the local disorder of the Q2 metaphosphate chains, but
less so for the Q1 chain-terminating sites which were already relatively
disordered due to the proximity of modifying cations. Glasses with zinc oxide
less than 5 mol% showed higher surface wettability, while those with 5 up to 20
mol% showed comparable wettability as zinc oxide free glasses. Regardless of the
high hydrophilicity and surface reactivity of these zinc oxide containing
glasses, they had lower biocompatibility, in particular 10-20 mol% ZnO, compared
to both zinc free glasses and Thermanox. This may be associated with the release
of significant amount of Zn2+ enough to be toxic to MG63.
PMID- 18060480
TI - Experimental investigations for mechanical joint strength following
ultrasonically welded pin osteosynthesis.
AB - The purpose of this study was to determine whether fixation of cranial bone
segments using ultrasonically welded pin osteosynthesis showed differences in
mechanical stability as compared to fixation of cranial bone segments using screw
osteosynthesis. Right and left cranial bone segments from each of 16 young sheep
were obtained by craniotomy and re-fixed: on the right with a mesh plate and
pins, and on the left with a mesh plate and screws. All osteosynthesis materials
consisted of PDLLA, fully amorphous polyactid. A total of 167 cranial bone / mesh
plate segments from 16 animals were investigated; 84 segments were pin-fixed and
83 segments were screw-fixed. The implantation time of the re-fixed segments
ranged from 1 day to 196 days. The mechanical methods chosen for simulation of
stress on the bone segment bonds were two bending tests (horizontal and vertical
directions) and a tensile test. The values obtained in the mechanical tests
indicate differences in the bond strength between the pin- and screw- fixation
methods over the length of in vivo implantation time. The mechanical stability of
the ultrasonically welded pin osteosynthesis bonds over the screw osteosynthesis
bonds proved to be statistically significant. The implication of these findings
should also be relevant in the field of medicine.
PMID- 18060481
TI - Toxicological protein biomarker analysis--an investigative one-week single dose
intravenous infusion toxicity and toxicokinetic study in cynomolgus monkeys using
an antibody-cytotoxic conjugate against ovarian cancer.
AB - INTRODUCTION: Antibody-cytotoxic conjugates are complex novel therapeutic agents
whose toxicological properties are not presently well understood. The objective
of this study was to identify toxicological markers in serum that correlate with
MLN8866 (an antibody-cytotoxic conjugate) exposure and related pathological
events in monkeys. MATERIALS AND METHODS: Cynomolgus monkeys were treated once
with 5, 15, or 30 mg/kg MLN8866 via a 20 min intravenous infusion. MLN8866
exposure (Cmax and AUCO-4 day) was determined by quantifying MLN8866 levels in
serum. RESULTS: The increase in MLN8866 exposure was approximately dose
proportional. Two acute phase proteins in serum (serum amyloid A and haptoglobin)
were correlated with MLN8866 exposure and toxicological outcomes (e.g.,
erythropoiesis and leucopoiesis).
PMID- 18060482
TI - Spectral properties of probes containing benzothioxanthene chromophore linked
with hindered amine in solution and in polymer matrices.
AB - Absorption and emission spectroscopy as well as laser flash photolysis was
employed in order to characterize the spectral properties of novel probes based
on benzothioxantheneimide chromophore covalently linked with different types of
sterically hindered amines. These were chosen as 2-(2,2,6,6-tetramethyl-4
piperidyl)-thioxantheno[2,1,9-dej]isoquinoline-1,3-dione (BTXINH), the equivalent
stable nitroxyl radical, i.e. 2-(1-oxo-2,2,6,6-tetramethyl-4
piperidyl)thioxantheno[2,1,9dej]isoquinoline 1,3-dione (BTXINO) and the alkoxy
derivative 2-(1-(1'-phenylethoxy)-2,2,6,6-tetramethyl-4-piperidyl)
thioxantheno[2,1,9-dej]isoquinoline-1,3-dione (BTXINOR). Spectral properties, in
solutions and in various polymer matrices such as polystyrene, polymethyl
methacrylate, polyvinyl chloride and polypropylene, were compared with the
compound 2-(1-dodecyl)-thioxantheno[2,1,9-dej]isoquinoline-1,3-dione (BTXID)
taken in the present study as a reference compound. By means of the fluorescence
decay and in the contrary to three other probes, BTXINO probe clearly showed a
biexponential decay while the three other probes led to monoexponential decay.
Two different singlet excited states with lifetimes of about 0.4 and 5 ns were
proposed. They correspond to two dispositions of the nitroxyl radical chain above
and along the fluorescent moiety of the molecule. Such behaviour depends on the
surrounding media. Moreover, an efficient intramolecular quenching of the
fluorescence emission was only observed with the short lived singlet excited
state. The ratio BTXID/BTXINO was found equal to about 4 and 9 in solutions and
polymer matrices respectively. Laser flash photolysis indicated that the novel
probes as well as the model compound yielded transient absorption with maximum at
530 nm, corresponding to the triplet states. The intermolecular quenching of such
species by molecular oxygen and by free N-oxyl, such as 1-oxy-2,2,6,6
teramethylpiperidine (TEMPO) and 1-oxy-2,2,6,6-teramethyl-4-hydroxypiperidine
(TEMPOL), and the intramolecular quenching was not efficient.
PMID- 18060483
TI - Under the microscope.
AB - This is a personal account of life in the United Kingdom National Health Service
by a pathologist who has worked there for 25 years. The principles of clinical
governance are embraced by the medical profession, but their application is often
insensitive, governmental and bureaucratic. A doctor's working life is now less
autonomous, less centred on the patient and less fun. Medical humanities are a
balm for the sore spots but not, I think, used consciously so by management,
likely suffering from the same bureaucratic governmentality that sees value in
nothing-unless it can be measured.
PMID- 18060485
TI - Maternal breastfeeding attitudes: association with breastfeeding intent and socio
demographics among urban primiparas.
AB - Breastfeeding is associated with improved developmental and social outcomes for
an infant. Despite these health benefits, only 54% of women breastfeed in the
early postpartum period. Although an understanding of socio-demographic
characteristics, breastfeeding intent, and breastfeeding attitudes can facilitate
breastfeeding initiatives, these factors have not been characterized particularly
for urban and immigrant mothers. The objectives of this study are to provide a
descriptive analysis of the socio-demographic characteristics, breastfeeding
intent, and breastfeeding attitudes of primiparas presenting to an inner city
prenatal clinic and determine if breastfeeding attitudes are associated with
breastfeeding intent and socio-demographic variables. Of 100 primiparas, 79
reported the intent to breastfeed. Breastfeeding intent was associated with 1)
positive breastfeeding attitudes, 2) higher household incomes, 3) being born
outside the US, 4) being Afro-Caribbean as opposed to African American, 5) having
family, peer, and partner support for breastfeeding, 6) attending breastfeeding
classes, and 7) greater years of education. These findings suggest that targeting
breastfeeding initiatives towards low-income, less educated, US born mothers who
lack breastfeeding support from their loved ones may improve breastfeeding rates
among urban primiparas.
PMID- 18060486
TI - Investigating investigators: examining the impact of eyewitness identification
evidence on student-investigators.
AB - This research examined the impact of eyewitness identification decisions on
student-investigators. Undergraduates played the role of police investigators and
interviewed student-witnesses who had been shown either a good or poor view of
the perpetrator in a videotaped crime. Based on information obtained from the
witness, student-investigators then chose a suspect from a database containing
information about potential suspects and rated the probability that their suspect
was the culprit. Investigators then administered a photo lineup to witnesses, and
re-rated the probability that their suspect was guilty. Student-investigators
were highly influenced by eyewitness identification decisions, typically
overestimating the information gained from the identification decision (except
under conditions that led witnesses to be very accurate), and were generally
unable to differentiate between accurate and inaccurate witnesses.
PMID- 18060487
TI - Analyzing the performance of risk assessment instruments: a response to Vrieze
and Grove (2007).
AB - In a recent article, Vrieze and Grove (Law Hum Behav, doi: 10.1007/s10979-007
9092-x , 2007) argue that, because of low recidivism base rates and limited
predictive accuracy, an actuarial risk assessment instrument (ARAI) may produce
decisions about sex offenders that are worse than simply predicting that no one
will commit another sex offense. This article examines: (1) the construction and
potential overfitting of ARAIs; (2) the meaning, value, and limitations of ROC
areas; and (3) the relationship between the operating point that maximizes an
ARAI's correct classifications and the legal criterion-"likely to reoffend"-used
for sex offender designations. Contrary to what Vrieze and Grove suggest, ARAIs
of modest accuracy yield probabilistic information that is more relevant to legal
decision-making than just "betting the base rate."
PMID- 18060488
TI - Impact of child disability on the family.
AB - Families with disabled children represent a sizeable share of all American
households. Living with a disabled child can have profound effects on the entire
family, which in turn can affect the health and well-being of the child who is
disabled. Much needs to be learned about how children's health affects their
parents, siblings, and other family members and about how family characteristics
and resources modify those associations. Numerous programs and organizations
provide resources for disabled children and their families, but the system is
extremely fragmented and difficult to navigate. This commentary reviews what is
known about the effects of child disability on the family, provides an overview
of the complex needs of and multitude of resources available to families of
disabled children, and concludes with suggested directions for practice,
research, and public policy.
PMID- 18060489
TI - Lateral dendritic shunt inhibition can regularize mitral cell spike patterning.
AB - Mitral cells, the principal output neurons of the olfactory bulb, receive direct
synaptic activation from primary sensory neurons. Shunting inhibitory inputs
delivered by granule cell interneurons onto mitral cell lateral dendrites, while
poorly positioned to prevent spike initiation, are believed to influence spike
timing and underlie coordinated field potential oscillations. We investigated
this phenomenon in a reduced compartmental mitral cell model suitable for
incorporation into network simulations. Lateral dendritic shunt conductances
delayed spiking to a degree dependent on both their electrotonic distance and
phase of onset. Moreover, when the afferent activation of mitral cells was
loosely coordinated in time, recurrent inhibition significantly narrowed the
distribution of mitral cell spike times, illustrating a tendency towards
coordinated synchronous activity. However, if mitral cell activity was initially
disorganized, recurrent inhibition actually increased the variance in spike
timing. This result suggests an essential role for early mechanisms of temporal
coordination in olfaction, such as sniffing and the initial synchronization of
mitral cell intrinsic oscillations by periglomerular cell-mediated inhibition.
PMID- 18060491
TI - Identification of a novel BRCA1 large genomic rearrangement in a Spanish
breast/ovarian cancer family.
AB - BACKGROUND: Alterations in BRCA1 gene are responsible for the majority of
hereditary breast and/or ovarian cancers. However, the frequency of detected
germline mutations is lower than expected by linkage analysis. Standard PCR-based
screening methods are mainly used for detecting mutations, but the large genomic
rearrangements are commonly overlooked. The purpose of this study was to confirm
and characterize a novel deletion identified in BRCA1 gene which has not yet been
reported to date. METHODS: Multiplex ligation-dependent probe amplification was
used to analyze BRCA1 rearrangements in 255 unrelated index patients with
familial breast and/or ovarian cancer negative for BRCA1/BRCA2 mutations studied
in Program of Genetic Counselling on Cancer of Valencia Community (Spain). The
breakpoints of detected novel rearrangement were characterized by sequencing.
RESULTS AND DISCUSSION: Five different rearrangements in the BRCA1 gene were
identified in five unrelated index patients out of the 225 (2%). We found four
large genomic rearrangements already described consisting in a 1A/1B and 2
deletion; deletion of exons 5-7; deletion of exons 8-13; exon 20 deletion.
Additionally, we found the novel g.8097_22733del14637 deletion that encompasses
exons 3-5. This deletion affects the RING domain of the BRCA1 protein and it is
suggestive of having a negative impact on its function. CONCLUSION: The new
mutation here reported broadens the mutational spectrum of large rearrangements.
Furthermore, the five large rearrangements found in patients non-carriers of
BRCA1/BRCA2 mutations reinforce the need of studying BRCA1 large genomic
rearrangements in genetic counselling programs.
PMID- 18060492
TI - Breast cancer cells with acquired resistance to the EGFR tyrosine kinase
inhibitor gefitinib show persistent activation of MAPK signaling.
AB - Although the epidermal growth factor receptor (EGFR) is frequently expressed in
human primary breast carcinoma, the majority of breast cancer patients do not
respond to treatment with EGFR tyrosine-kinase inhibitors such as gefitinib. We
isolated through a stepwise dose escalation of the drug two gefitinib-resistant
SK-Br-3 clones, ZD6 and ZD10 (ZD) cells, which showed, respectively, a three- to
five-fold increase in the IC50 for gefitinib as compared with parental cells. The
levels of expression of EGFR were increased in ZD cells as compared with wild
type SK-Br-3 cells. The phosphorylation of EGFR, ErbB-2, ErbB-3 and Akt was
significantly reduced in gefitinib-resistant cells. In contrast, ZD cells showed
levels of MAPK phosphorylation similar to untreated wild-type cells when cultured
in presence of gefitinib. Persistent activation of MAPK was also observed in
gefitinib-resistant clones isolated from MDA-MB-175 and MDA-MB-361 breast cancer
cell lines. ZD cells showed an increased sensitivity to the MEK inhibitor PD98059
as compared with SK-Br-3 cells, and a synergistic anti-tumor effect was observed
when ZD cells were treated with a combination of gefitinib and PD98059.
Overexpression of a constitutively activated form of p42-MAPK in SK-Br-3 cells
resulted in an approximately 50% increase in the IC50 to gefitinib. Finally,
culture of ZD10 resistant cells in absence of gefitinib led to reversion of the
resistant phenotype. These observations suggest that MAPK signaling might play a
role in the resistance that develops in breast cancer cells after long-term
exposure to gefitinib.
PMID- 18060493
TI - Routine prophylactic granulocyte colony stimulating factor (GCSF) is not
necessary with accelerated (dose dense) paclitaxel for early breast cancer.
AB - BACKGROUND: Prophylactic granulocyte colony stimulating factor (GCSF) is
recommended with accelerated adjuvant chemotherapy but is expensive and causes
bone pain. We have reviewed a series of patients with early breast cancer treated
with accelerated 2-weekly paclitaxel without routine GCSF to assess its need.
PATIENTS AND METHODS: Patients receiving accelerated (dose dense) paclitaxel 175
mg/m2 2 weekly for 4 courses as adjuvant/neoadjuvant treatment for early breast
cancer were treated electively without prophylactic GCSF, and monitored for
absolute neutrophil count (ANC) before each cycle, grade and duration of
neutropenia, incidence of neutropenic sepsis, treatment delays and requirements
for secondary GCSF. Results were compared with prior patients given prophylactic
GCSF. RESULTS: Of the 61 patients, 42 (69%) did not require prophylactic GCSF.
Mild/moderate neutropenia was more common than in the prior group given GCSF, but
secondary GCSF was required in only 6 (10%) patients due to grade 3 neutropenia
and in 12 (20%) with grade 2 neutropenia. There were no episodes of neutropenic
sepsis and no dose reduction or treatment delay was required. CONCLUSIONS:
Accelerated paclitaxel in breast cancer patients without prophylactic GCSF is
safe, avoids side effects, reduces costs and is not associated with an increase
risk of neutropenic sepsis or treatment delays.
PMID- 18060494
TI - Twenty-three novel BRCA1 and BRCA2 sequence alterations in breast and/or ovarian
cancer families of Eastern Spain.
AB - It is well established that mutations in BRCA1 and BRCA2 genes significantly
increase the risk of breast and ovarian cancer. We here report 23 novel genetic
variants of the BRCA1 and BRCA2 genes found in 349 cancer-prone unrelated
families from Eastern Spain detected during the first 2 years of performance of
the Program of Genetic Counseling of Valencia Community. Mutational screening was
performed by pre-screening the heteroduplex formed in the PCR products obtained
amplifying BRCA1 and BRCA2 genes by conformation sensitive electrophoresis. We
detected 10 deletereous mutations, four in BRCA1 (three frame-shift (FS) and one
nonsense mutation (NS)) and six in BRCA2 (four FS and one NS mutation). Moreover,
we detected 13 unclassified variants, four in BRCA1 (one missense (MS), two
synonymous (SYN) and one intronic (I) variant) and nine in BRCA2 (six MS, one SYN
and two I). The relevance of the novel mutations is discussed. Our contribution
broadens the BRCA1/2 world mutational spectra.
PMID- 18060495
TI - Is single-cell apoptosis sufficient for the diagnosis of graft-versus-host
disease in the colon?
AB - Low-grade lesions of graft-versus-host disease (GVHD) in the colon are not
uncommon. To determine if minimal diagnostic criteria can be established in such
biopsies, we correlated histologic findings with clinical history and
investigated the role of endoscopy and electron microscopy in establishing GVHD.
About 85 colonic biopsies that were histologically consistent with GVHD from 47
bone-marrow transplant recipients were reviewed retrospectively. Of nine cases
showing only a single apoptotic cell in the intestinal epithelium, only four
lacked any confounding factors of GVHD. These cases, while too few to assess the
utility of finding one apoptotic cell with statistical significance, appear to
support the idea that in the appropriate clinical setting, a single apoptotic
cell could be reported as possibly representing early GVHD. Endoscopic findings
did not reliably correlate with histology. Although electron microscopy can be a
useful adjunct, it does not contribute to the diagnosis of GVHD.
PMID- 18060496
TI - A unique presentation: dorsal agenesis of the pancreas manifesting as pancreatic
exocrine insufficiency in the absence of diabetes mellitus in an 8-year-old boy.
PMID- 18060497
TI - Abuse in women and men with and without functional gastrointestinal disorders.
AB - We aimed to investigate the history of abuse in childhood and adulthood and
health-related quality of life (HRQL) in women and men with FGID in the general
adult population. A cross-sectional study in a random population sample (n =
1,537, 20-87 years) living in Osthammar municipality, Sweden, in 1995 was
performed. Persons with FGID (n = 141) and a group of abdominal symptom-free
controls (SSF, n = 97) were selected by means of a validated questionnaire
assessing gastrointestinal symptoms (the ASQ). Abuse, anxiety and depression (the
HADS) and HRQL (the PGWB) were measured. Women with FGID had a higher risk of
having a history of some kind of abuse, as compared with the SSF controls (45%
vs.16%, OR = 2.0, 95% CI: 1.01-3.9; SSF = 1), in contrast to men (29% vs. 24%
n.s.). Women with a history of abuse and FGID had reduced HRQL 91 (95% CI 85-97)
as compared with women without abuse history 100 (95% CI 96-104, P = 0.01,
"healthy" = 102-105 on PGWB). Childhood emotional abuse was a predictor for
consulting with OR = 4.20 (95% CI: 1.12-15.7.7). Thus, previous abuse is common
in women with FGID and must be considered by the physician for diagnosis and
treatment of the disorder.
PMID- 18060498
TI - 'Real world' comparison of first- and second-generation antipsychotics in regard
to length of inpatient hospitalization and number of re-hospitalizations.
AB - The purpose of this study was to determine if Second-Generation Antipsychotics
(SGAs), relative to First-Generation Antipsychotics (FGAs), reduced either the
length of stay (LOS) or number of re-hospitalizations of schizophrenic patients
receiving treatment in a state psychiatric hospital. Medical records were
compared for the periods 1991-1994 and 2001-2004 for three inpatient groups:
those receiving FGAs, those receiving SGAs, and those switched from FGAs to SGAs.
During both time periods, patients on FGAs had significantly shorter LOS than
either those receiving SGAs or those switched from FGAs to SGAs. Therefore,
inpatients receiving SGAs were hospitalized longer than those receiving FGAs.
Conversely, once discharged, patients receiving SGAs were significantly less
likely to be re-admitted than patients discharged on FGAs. The data suggest that
some patients do benefit from SGAs and it may be cost-effective in the long-term,
to determine when to utilize FGAs first and when to switch from the older to the
newer agents.
PMID- 18060499
TI - The use of data to assist in the design of a new service system for homeless
veterans in New York City.
AB - OBJECTIVE: Operation Home is an agreement between the City of New York and the US
Department of Veterans Affairs to design a new service system to help end veteran
homelessness in New York City. The authors' task was to obtain data to inform the
design of this new system. METHODS: A variety of methods were used. The group
reviewed relevant literature and data from street homeless survey samples,
analyzed shelter data, and consulted with VA homeless program staff on the
findings. We then surveyed case managers at a veterans' shelter regarding their
clients and determined their housing eligibility using a standardized logic
model, and led two focus groups of veterans at this shelter regarding their views
of the current shelter system and services for homeless veterans and how these
might be improved. RESULTS: Among those resident in shelters during 2006, 37.2%
of self-identified veterans compared to 0.9% of others reported their prior
residence as supported housing, suggesting the need for more intensive case
management at veterans' supported housing sites to help them sustain their
tenure. The lack of interconnectedness among the various information systems made
it more difficult to collect and analyze pertinent data. To begin to address
this, a data match was undertaken to estimate the proportion of veterans resident
in the veterans' shelter who were not in receipt of VA benefits to which they may
be entitled. CONCLUSION: The data obtained through collaboration between staff
from NYC's Department of Homelessness Services, US Department of Veterans Affairs
facilities in the New York/New Jersey region and Common Ground Community led to
information that informed the evaluation design of the new system. The
identification of gaps in available data on homeless veterans will lead to
projects both to improve and share data.
PMID- 18060501
TI - The COP9 signalosome-mediated deneddylation is stimulated by caspases during
apoptosis.
AB - In concert with the ubiquitin (Ub) proteasome system (UPS) the COP9 signalosome
(CSN) controls the stability of cellular regulators. The CSN interacts with
cullin-RING Ub ligases (CRLs) consisting of a specific cullin, a RING protein as
Rbx1 and substrate recognition proteins. The Ub-like protein Nedd8 is covalently
linked to cullins and removed by the CSN-mediated deneddylation. Cycles of
neddylation and deneddylation regulate CRLs. Apoptotic stimuli cause caspase
dependent modifications of the UPS. However, little is known about the CSN during
apoptosis. We demonstrate in vitro and in vivo that CSN6 is cleaved most
effectively by caspase 3 at D23 after 2-3 h of apoptosis induced by anti-Fas-Ab
or etoposide. CSN6 processing occurs in CSN-CRL complexes and is followed by the
cleavage of Rbx1, the direct interaction partner of CSN6. Caspase-dependent
cutting of Rbx1 is accompanied by decrease of neddylated proteins in Jurkat T
cells. Another functional consequence of CSN6 cleavage is the enhancement of CSN
mediated deneddylating activity causing deneddylation of cullin 1 in cells. The
CSN-associated deubiquitinating as well as kinase activity remained unchanged in
presence of active caspase 3. The cleavage of Rbx1 and increased deneddylation of
cullins inactivate CRLs and presumably stabilize pro-apoptotic factors for final
apoptotic steps.
PMID- 18060500
TI - Health competence as predictor of access to care among Latinos in Baltimore.
AB - The goal of this study is to identify the underlying structure of Health
Competence and its value as a predictor of access to care among the Latino
population in Baltimore, Maryland. Data on a cross-sectional urban probability
sample were collected from 330 foreign-born Latino men and women aged 21-75 years
residing in Baltimore at the time of the survey. Principal components analysis
yielded a two-component solution: the first component comprised "factors
enabling" access to care; the second, "perceived barriers". When testing the
predictive power of the Health Competence construct using model building and the
log likelihood criteria the "enabling factors" added significantly (P < .005) to
the power of the socio-demographic variables to predict access to care, making it
an important tool for programs aiming to improve US Latinos' health.
PMID- 18060502
TI - RNA interference against HPV16 E7 oncogene leads to viral E6 and E7 suppression
in cervical cancer cells and apoptosis via upregulation of Rb and p53.
AB - The simultaneous expression of human papillomavirus type 16 (HPV16) E6 and E7
oncogenes is pivotal for malignant transformation and maintenance of malignant
phenotypes. Silencing these oncogenes is considered to be applicable in molecular
therapies of human cervical cancer. However, it remains to be determined whether
HPV16 E6 and E7 could be both silenced to obtain most efficient antitumor
activity by using RNA interference (RNAi) technology. Herein, we designed a small
interfering RNA (siRNA) targeting HPV16-E7 region to degrade either E6, or
truncated E6 (E6*) and E7 mRNAs and to simultaneously knockdown both E6 and E7
expression. Firstly, the sequence targeting HPV16-E7 region was inserted into the
shRNA packing vector pSIREN-DNR, yielding pSIREN-16E7 to stably express
corresponding shRNA. HPV16-transformed SiHa and CaSki cells were used as a model
system; RT-PCR, Western Blotting, MTT assay, TUNEL staining, Annexin V apoptosis
assay and flow cytometry were applied to examine the effects of pSIREN-16E7. Our
results indicated that HPV16-E7 specific shRNA (16E7-shRNA) induced selective
degradation of E6 and E7 mRNAs and proteins. E6 silencing induced accumulation of
cellular p53 and p21. In contrast, E7 silencing induced hypophosphorylation of
retinoblastoma (Rb) protein. The loss of E6 and E7 reduced cell growth and
ultimately resulted in massive apoptotic cell death selectively in HPV-positive
cancer cells, compared with the HPV-negative ones. We demonstrated that 16E7
shRNA can induce simultaneous E6 and E7 suppression and lead to striking
apoptosis in HPV16-related cancer cells by activating cellular p53, p21 and Rb.
Therefore, RNAi using E7 shRNA may have the gene-specific therapy potential for
HPV16-related cancers.
PMID- 18060503
TI - The novel phospholipase C activator, m-3M3FBS, induces apoptosis in tumor cells
through caspase activation, down-regulation of XIAP and intracellular calcium
signaling.
AB - We investigated the effect of the novel phospholipase C activator, m-3M3FBS, on
the apoptosis of human renal Caki cancer cells. Treatment with m-3M3FBS induced
apoptosis of Caki cells, which was accompanied by accumulation of sub-G1 phase
and DNA fragmentation. We found that induction of apoptosis is a common response
of several cancer cell types to m-3M3FBS treatment. Overexpression of Bcl-2 and c
FLIPs fails to block m-3M3FBS-induced apoptosis. However, ectopic expression of
XIAP partly inhibits m-3M3FBS-induced apoptosis in Caki cells. m-3M3FBS-induced
apoptosis appeared to involve the XIAP down-regulation and caspase activation. m
3M3FBS also induced the expression of a potential proapoptotic gene, C/EBP
homologous protein (CHOP), however, suppression of CHOP expression by small
interfering RNA did not abrogate the m-3M3FBS-induced apoptosis. In addition,
inhibition of phospholipase C (PLC) or chelation of intracellular calcium
prevented m-3M3FBS-induced apoptosis in Caki cells, suggesting that the
involvement of PLC pathway and intracellular calcium signaling on the apoptosis
in m-3M3FBS-treated Caki cells. Collectively, our present results suggest that m
3M3FBS-induced apoptosis in Caki cells may result from the activation of caspase,
down-regulation of XIAP and intracellular Ca(2+) release pathway and that m
3M3FBS treatment might overcome the anti-apoptotic effect of Bcl-2 or c-FLIPs in
cancer cells.
PMID- 18060504
TI - Apoptosis-associated antigens recognized by autoantibodies in patients with the
autoimmune liver disease primary biliary cirrhosis.
AB - There is growing evidence that the onset of autoimmune disorders can be linked to
the inefficient removal of apoptotic cells. Since defects in the elimination of
apoptotic cells lead to secondary necrosis and subsequent release of
intracellular components, this might explain the generation of autoantibodies
against intracellular antigens. Accordingly, we wanted to investigate, whether
antibodies from patients with the autoimmune liver disease primary biliary
cirrhosis (PBC) recognize self-proteins generated and released during apoptosis.
Using Western blot analyses we could detect intracellular antigens with serum IgG
from PBC patients but not with serum IgG from healthy donors in lysates of Jurkat
T-leukemia, HepG2 hepatoma, and HT-29 colon-carcinoma cells. Interestingly, PBC
serum IgG also recognized caspase substrates in cells undergoing apoptosis
induced by staurosporine or TRAIL (TNF-related apoptosis inducing ligand). In
addition to intracellular antigens, serum IgG from PBC patients detected caspase
dependent antigens in the supernatants of apoptotic (secondary necrotic) cells
and antigens on the surface of apoptotic Jurkat cells. Among the caspase
substrates recognized by PBC serum IgG we could identify the components PDC-E2
and -E1beta of the known autoantigen PDC (pyruvate dehydrogenase complex). Thus,
caspase-mediated processing of intracellular proteins might generate de novo
autoantigens that upon release contribute to the generation of autoantibodies and
autoimmune diseases as PBC.
PMID- 18060505
TI - Estimating the domain of applicability for machine learning QSAR models: a study
on aqueous solubility of drug discovery molecules.
AB - We investigate the use of different Machine Learning methods to construct models
for aqueous solubility. Models are based on about 4000 compounds, including an in
house set of 632 drug discovery molecules of Bayer Schering Pharma. For each
method, we also consider an appropriate method to obtain error bars, in order to
estimate the domain of applicability (DOA) for each model. Here, we investigate
error bars from a Bayesian model (Gaussian Process (GP)), an ensemble based
approach (Random Forest), and approaches based on the Mahalanobis distance to
training data (for Support Vector Machine and Ridge Regression models). We
evaluate all approaches in terms of their prediction accuracy (in cross
validation, and on an external validation set of 536 molecules) and in how far
the individual error bars can faithfully represent the actual prediction error.
PMID- 18060506
TI - An eleven amino acid residue deletion expands the substrate specificity of acetyl
xylan esterase II (AXE II) from Penicillium purpurogenum.
AB - The soft-rot fungus Penicillium purpurogenum secretes to the culture medium a
variety of enzymes related to xylan biodegradation, among them three acetyl xylan
esterases (AXE I, II and III). AXE II has 207 amino acids; it belongs to family 5
of the carbohydrate esterases and its structure has been determined by X-ray
crystallography at 0.9 A resolution (PDB 1G66). The enzyme possesses the
alpha/beta hydrolase fold and the catalytic triad typical of serine esterases
(Ser90, His187 and Asp175). AXE II can hydrolyze esters of a large variety of
alcohols, but it is restricted to short chain fatty acids. An analysis of its
three-dimensional structure shows that a loop that covers the active site may be
responsible for this strict specificity. Cutinase, an enzyme that hydrolyzes
esters of long chain fatty acids and shows a structure similar to AXE II, lacks
this loop. In order to generate an AXE II with this broader specificity, the
preparation of a mutant lacking residues involving this loop (Gly104 to Ala114)
was proposed. A set of molecular simulation experiments based on a comparative
model of the mutant enzyme predicted a stable structure. Using site-directed
mutagenesis, the loop's residues have been eliminated from the AXE II cDNA. The
mutant protein has been expressed in Aspergillus nidulans A722 and Pichia
pastoris, and it is active towards a range of fatty acid esters of up to at least
14 carbons. The availability of an esterase with broader specificity may have
biotechnological applications for the synthesis of sugar esters.
PMID- 18060507
TI - The impact of genetic parental distance on developmental stability and fitness in
Drosophila buzzatii.
AB - Measures of genetic parental distances (GPD) based on microsatellite loci (D(2)
and IR), have been suggested to be better correlated with fitness than individual
heterozygosity (H), as they contain information about past events of inbreeding
or admixture. We investigated if GPD increased with increasing genetic divergence
between parental populations in Drosophila buzzatii and if the measures indicate
past events of admixture. Further we evaluated the relationship between GPD,
fitness and fluctuating asymmetry (FA) of size and shape. We investigated three
populations of Drosophila buzzati, from Argentina, Europe and Australia. From
these populations two intraspecific hybridisation lines were made; one between
the Argentinean and European populations, which have been separated 200 years and
one between the populations from Argentina and Australia, which have been
separated 80 years. By doing this we obtained hybrid progeny having different
levels of GPD. We found that D(2) and H can be used as indicators of admixture
when comparing hybrid individuals with their parentals. IR was not informative.
Our results does not exclude the presence of genetic fitness correlations (GFC)
over individuals with a broad fitness range from populations in equilibrium, but
we doubt the presence of GFC using GPD measures in admixed populations. Shape FA
could be a relevant measure for fitness, however, only when comparing
populations, not at individual level.
PMID- 18060508
TI - Assessment of power and accuracy of methods for detection and frequency
estimation of null alleles.
AB - Null alleles represent a common artefact of microsatellite-based analyses. Rapid
methods for their detection and frequency estimation have been proposed to
replace the existing time-consuming laboratory methods. The objective of this
paper is to assess the power and accuracy of these statistical tools using both
simulated and real datasets. Our results revealed that none of the tests
developed to detect null alleles are perfect. However, combining tests allows the
detection of null alleles with high confidence. Comparison of the estimators of
null allele frequency indicated that those that account for unamplified
individuals, such as the Brookfield2 estimator, are more accurate than those that
do not. Altogether, the use of statistical tools appeared more appropriate than
testing with alternative primers as null alleles often remain undetected
following this laborious work. Based on these results, we propose recommendations
to detect and correct datasets with null alleles.
PMID- 18060509
TI - N,N-Dialkylaminostyryl dyes: specific and highly fluorescent substrates of
peroxidase and their application in histochemistry.
AB - Fluorescent labeling of immuno-bound or endogenous peroxidase (PO) activity has
been achieved to date by means of phenol derivatives with a low substitution
degree. Here it is demonstrated that N,N-dialkylamino-styryl dyes can also act as
fluorescent substrates of PO. They undergo enzymatically cross-linking reactions
to surrounding cell constituents in an analogous manner thus permitting highly
fluorescent and permanent labeling. This approach is narrowly related to the
catalyzed reporter deposition (CARD) technique based on tyramine conjugates and
the recently described catalytic cross-linking approach of hydroxystyryl
derivatives. The substitution patterns for optimal cross-linking capability and
the spectral properties of obtained specific reaction products were studied using
an iterative semi-empirical approach. The best staining performance is achieved
with N,N-dimethylaminoaryl derivatives. Their N,N-dialkyl homologues as well as
the primary aryl amine pendants failed as PO substrates. Due to their basic
character, novel substrates occasionally tend to unspecific interactions
(staining nuclei, mast cells, or keratin). Centering this side specificity and
repressing the staining capability of PO was achieved by chemical modification of
the respective dye leading to new specific probes for keratin and cytoplasmatic
RNA. In conclusion, catalytic cross-linking of heterocyclic 4-N,N-dimethylamino
styryl dyes represents a promising approach for the permanent fluorescent
staining of PO in fixed cells and tissues, complementing the CARD technique. In
contrast to CARD-related approaches, new substrates are characterized by a broad
excitation and emission range of fluorescence and the outstanding spatial
resolution of specific fluorescence signaling known so far from their 4
hydroxystyryl analogues. They currently represent the smallest fluorescent
substrates of PO. Histochemical and immuno-histochemical applications share
several outstanding features: High detection sensitivity, spatial resolution of
fluorescence signaling, and photo stability. 4-N,N-dimethylamino-styryl
substrates are compatible with their phenol and phenol-ester analogues. Their
combination facilitates the trichromatic immuno-histochemical demonstration of
three different targets simultaneously at one excitation wavelength in a
conventional epi-fluorescence microscope.
PMID- 18060510
TI - Differential gene expression analysis of tubule forming and non-tubule forming
endothelial cells: CDC42GAP as a counter-regulator in tubule formation.
AB - The formation of new tubular structures from a quiescent endothelial lining is
one of the hallmarks of sprouting angiogenesis. This process can be mimicked in
vitro by inducing capillary-like tubular structures in a three-dimensional (3D)
fibrin matrix. We aimed to analyze the differential mRNA expression in two
phenotypically distinct cell populations from the same culture, namely in tubule
forming endothelial cells and monolayer endothelial cells not participating in
tubule formation. A fibrin-rich 3D matrix derived from human plasma was used to
facilitate tubule formation by human foreskin microvascular endothelial cells
(hMVEC). After 7 days of stimulation with VEGF, bFGF, and TNF-alpha, the culture
consisted of a monolayer and capillary-like sprouts that had grown into the
fibrinous matrix. A method was developed to separate the monolayer and tubule
forming populations of hMVEC, keeping their cellular integrity intact to ensure
mRNA extraction and cDNA production. Subsequent array analysis resulted in an
inventory of differentially expressed genes that were associated with either tube
forming (angiogenic) or non-angiogenic capacity. Differential gene expression was
verified by real-time PCR on the original RNA samples as well as on RNA obtained
from laser-capture microdissected cross sections of monolayers and capillary
structures in the 3D fibrinous matrix. The expression of CDC42GAP, an inhibitor
of active-state small Rho GTPases, was reduced in tubular hMVEC. Overexpression
of CDC42GAP in hMVEC attenuated endothelial tubule formation, while its
suppression by siRNA slightly enhanced this process. Thus, CDC42GAP was
identified as a counter-regulatory mediator for tubule formation.
PMID- 18060512
TI - Determination of O(3), NO (2), SO (2), CO and PM (10) measured in Belgrade urban
area.
AB - O(3), NO(2), SO(2), CO and PM(10) concentrations, simultaneously determined for
the first time in Belgrade urban area in the autumnal period of 2005, are
presented. The obtained results display similar behaviour of SO(2), NO(2), CO,
PM(10) opposite from that of O(3). The weekend effect was also investigated
showing diminution of average daily concentrations of SO(2), NO(2), PM(10) and CO
for 72, 40, 37 and 42% respectively, and increase of the average daily
concentration of O(3) for 56%. Influence of meteorological conditions on observed
concentration levels was studied, too. The observed influence of wind speed on
the O(3) nightly concentration levels was analyzed pointing to the phenomena of
O(3) transport during episodic measurements. To make an identification of
possible pollution sources and analyse the influence of meteorological parameters
on pollution levels, air back trajectories for high level concentrations episodes
were calculated and analysed. A multivariate receptor modelling (Principal
Component Analysis, Cluster Analysis) has been applied to a set of data in order
to determine the contribution of different sources. It was found that the main
principal components, extracted from the air pollution data, were related to
gasoline combustion, oil combustion and ozone transport.
PMID- 18060513
TI - Hydrology, suspended sediment dynamics and nutrient loading in Lake Takkobu, a
degrading lake ecosystem in Kushiro Mire, northern Japan.
AB - Suspended sediment and nutrient loadings from agricultural watersheds have lead
to habitat degradation in Lake Takkobu. To examine their relationships with land
use activities, we monitored sediment, nutrient and water discharges into the
lake for a 1-year sampling period. The Takkobu River contributed the largest
portion of the annual water discharge into the lake, compared with the other
tributaries. During dry conditions, lake water flowed into the Kushiro River, and
conversely during flooding, Kushiro River water flowed into the lake. Inflows
from the Kushiro River had a high proportion of inorganic matter, with high
concentrations of total nitrogen and total phosphorus, attributed to agricultural
land-use development and stream channelization practiced since the 1960s in the
Kushiro Mire. Nutrient loadings from these two rivers were significantly higher
during flooding than in dry conditions. However, there was no clear correlation
between river discharge and nutrient concentrations. Since land-use activities in
the Kushiro River and Takkobu River watersheds were concentrated near rivers,
nutrients easily entered the drainage system under low flow conditions. In
contrast, water discharged from small, forest-dominated watersheds contained a
low proportion of inorganic matter, and low nutrient concentrations. The
suspended sediment delivered to the lake during the sample period was estimated
as approximately 607 tons, while the total nitrogen and total phosphorus inflows
were about 10,466 and 1,433 kg, respectively. Suspended sediment input into the
lake was 65%, and total nitrogen and total phosphorus were 40% and 48%,
respectively, being delivered by the Kushiro River.
PMID- 18060514
TI - Vitamin D receptor levels and binding are reduced in aged rat intestinal
subcellular fractions.
AB - The hormonal form of vitamin D, 1alpha,25(OH)(2)-vitaminD(3)
[1alpha,25(OH)(2)D(3)], stimulates signal transduction pathways in intestinal
cells. To gain insight into the relative importance of the vitamin D receptor
(VDR) in the rapid hormone responses, the amounts and localization of the VDR
were evaluated in young (3 months) and aged (24 months) rat intestinal cells.
Immune-fluorescence and Western blot studies showed that VDR levels are
diminished in aged enterocytes. Confocal microscopy assays revealed that the VDR
and other immune-reactive proteins have mitochondrial, membrane, cytosol and
perinuclear localization. Western blot analysis using specific antibodies
detected the 60 and 50 kDa bands expected for the VDR in the cytosol and
microsomes and, to a lesser extent, in the nucleus and mitochondria. Low
molecular weight immune-reactive proteins were also detected in young enterocytes
subcellular fractions. Since changes in hormone receptor levels appear to
constitute a common manifestation of the ageing process, we also analyzed
1alpha,25(OH)(2)D(3) binding properties and VDR levels in subcellular fractions
from young and aged rats. In competition binding assays, employing [(3)H]
1alpha,25(OH)(2)D(3) and 1alpha,25(OH)(2)D(3), we have detected specific binding
in all subcellular fractions, with maximum binding in mitochondrial and nuclear
fractions. Both, VDR protein levels and 1alpha,25(OH)(2)D(3) binding, were
diminished with ageing. Age-related declines in VDR may have important
consequences for correct receptor/effector coupling in the duodenal tissues and
may explain age-related declines in the hormonal regulation of signal
transduction pathways that we previously reported.
PMID- 18060515
TI - Energy metabolism in Desulfovibrio vulgaris Hildenborough: insights from
transcriptome analysis.
AB - Sulphate-reducing bacteria are important players in the global sulphur and carbon
cycles, with considerable economical and ecological impact. However, the process
of sulphate respiration is still incompletely understood. Several mechanisms of
energy conservation have been proposed, but it is unclear how the different
strategies contribute to the overall process. In order to obtain a deeper insight
into the energy metabolism of sulphate-reducers whole-genome microarrays were
used to compare the transcriptional response of Desulfovibrio vulgaris
Hildenborough grown with hydrogen/sulphate, pyruvate/sulphate, pyruvate with
limiting sulphate, and lactate/thiosulphate, relative to growth in
lactate/sulphate. Growth with hydrogen/sulphate showed the largest number of
differentially expressed genes and the largest changes in transcript levels. In
this condition the most up-regulated energy metabolism genes were those coding
for the periplasmic [NiFeSe] hydrogenase, followed by the Ech hydrogenase. The
results also provide evidence for the involvement of formate cycling and the
recently proposed ethanol pathway during growth in hydrogen. The pathway
involving CO cycling is relevant during growth on lactate and pyruvate, but not
during growth in hydrogen as the most down-regulated genes were those coding for
the CO-induced hydrogenase. Growth on lactate/thiosulphate reveals a down
regulation of several energy metabolism genes similar to what was observed in the
presence of nitrite. This study identifies the role of several proteins involved
in the energy metabolism of D. vulgaris and highlights several novel genes
related to this process, revealing a more complex bioenergetic metabolism than
previously considered.
PMID- 18060516
TI - Galleria mellonella and the study of fungal pathogenesis: making the case for
another genetically tractable model host.
PMID- 18060517
TI - Identification and characterization of cyclin X which activates transcriptional
activities of c-Myc.
AB - Cyclins are eukaryotic proteins that play an active role in controlling cell
division cycles and regulate cyclin dependent kinases (CDKs). In this study, we
identified and characterized a new member of the human cyclin family. Its cDNA
sequence contains an open reading frame of 864 bp, encoding a protein of 287
amino acids. This putative cyclin, designated cyclin X, contains a typical cyclin
box. Cyclin X shows the highest sequence similarity in cyclin box to cyclin B3
and the similarity between these two proteins also extends to the whole molecule.
The expression pattern of cyclin X showed that it was highly expressed in testis.
Cyclin X protein was located in nucleus of the H1299 cells and Overexpression of
cyclin X activated the transcriptional activities of c-Myc. These results
indicate that cyclin X is a novel member of the human cyclin family and positive
regulator of c-Myc-mediated gene transcription.
PMID- 18060518
TI - Ethical and philosophical consideration of the dual-use dilemma in the biological
sciences.
AB - The dual-use dilemma arises in the context of research in the biological and
other sciences as a consequence of the fact that one and the same piece of
scientific research sometimes has the potential to be used for bad as well as
good purposes. It is an ethical dilemma since it is about promoting good in the
context of the potential for also causing harm, e.g., the promotion of health in
the context of providing the wherewithal for the killing of innocents. It is an
ethical dilemma for the researcher because of the potential actions of others,
e.g., malevolent non-researchers who might steal dangerous biological agents, or
make use of the original researcher's work. And it is a dilemma for governments
concerned with the security of their citizens, as well as their health. In this
article we construct a taxonomy of types of "experiments of concern" in the
biological sciences, and thereby map the terrain of ethical risk. We then provide
a series of analyses of the ethical problems and considerations at issue in the
dual-use dilemma, including the impermissibility of certain kinds of research and
possible restrictions on dissemination of research results given the risks to
health and security. Finally, we explore the main available institutional
responses to some of the specific ethical problems posed by the dual-use dilemma
in the biological sciences.
PMID- 18060524
TI - Biological and chemical characterization of metal bioavailability in sediments
from Lake Roosevelt, Columbia River, Washington, USA.
AB - We studied the bioavailability and toxicity of copper, zinc, arsenic, cadmium,
and lead in sediments from Lake Roosevelt (LR), a reservoir on the Columbia River
in Washington, USA that receives inputs of metals from an upstream smelter
facility. We characterized chronic sediment toxicity, metal bioaccumulation, and
metal concentrations in sediment and pore water from eight study sites: one site
upstream in the Columbia River, six sites in the reservoir, and a reference site
in an uncontaminated tributary. Total recoverable metal concentrations in LR
sediments generally decreased from upstream to downstream in the study area, but
sediments from two sites in the reservoir had metal concentrations much lower
than adjacent reservoir sites and similar to the reference site, apparently due
to erosion of uncontaminated bank soils. Concentrations of acid-volatile sulfide
in LR sediments were too low to provide strong controls on metal bioavailability,
and selective sediment extractions indicated that metals in most LR sediments
were primarily associated with iron and manganese oxides. Oligochaetes
(Lumbriculus variegatus) accumulated greatest concentrations of copper from the
river sediment, and greatest concentrations of arsenic, cadmium, and lead from
reservoir sediments. Chronic toxic effects on amphipods (Hyalella azteca; reduced
survival) and midge larvae (Chironomus dilutus; reduced growth) in whole-sediment
exposures were generally consistent with predictions of metal toxicity based on
empirical and equilibrium partitioning-based sediment quality guidelines.
Elevated metal concentrations in pore waters of some LR sediments suggested that
metals released from iron and manganese oxides under anoxic conditions
contributed to metal bioaccumulation and toxicity. Results of both chemical and
biological assays indicate that metals in sediments from both riverine and
reservoir habitats of Lake Roosevelt are available to benthic invertebrates.
These findings will be used as part of an ongoing ecological risk assessment to
determine remedial actions for contaminated sediments in Lake Roosevelt.
PMID- 18060523
TI - Mental health of recently resettled refugees from the Middle East in Sweden: the
impact of pre-resettlement trauma, resettlement stress and capacity to handle
stress.
AB - The pathways to symptoms of common mental disorder and post-traumatic stress
symptoms among refugees during resettlement need to be better specified. We aim
to identify models of these different mental health outcomes among refugees
during resettlement, taking pre-migration, migration and post-migration stress
conditions, a person's capacity to handle such stress and socio-demographic
variables into consideration. A new questionnaire developed to better cover
resettlement stress, as well as pre-resettlement trauma exposures and different
measures of a person's capacity to handle stress, was administered to 124 Middle
Eastern refugees that had been granted permanent residency in Sweden only a few
months before responding. We found four dimensions of resettlement stress: social
and economic strain, alienation, discrimination and status loss and violence and
threats in Sweden, that account for 62% of the total variance in resettlement
stress. Social and economic strain and alienation are important for explaining
symptoms of common mental disorder. In the model of core post-traumatic stress
symptoms, pre-resettlement trauma exposure seems to have the strongest impact. A
person's capacity to handle stress plays significant, direct and mediating roles
in both models. The impact of resettlement stressors in the context of the whole
migration process for different mental health outcomes is discussed.
PMID- 18060525
TI - Automated multidetector row CT dataset segmentation with an interactive watershed
transform (IWT) algorithm: Part 1. Understanding the IWT technique.
AB - Segmentation of volumetric computed tomography (CT) datasets facilitates
evaluation of 3D CT angiography renderings, particularly with maximum intensity
projection displays. This manuscript describes a novel automated bone editing
program that uses an interactive watershed transform (IWT) technique to rapidly
extract the skeletal structures from the volume. Advantages of this tool include
efficient segmentation of large datasets with minimal need for correction. In the
first of this two-part series, the principles of the IWT technique are reviewed,
followed by a discussion of clinical utility based on our experience.
PMID- 18060526
TI - Taurine inhibits osteoblastic differentiation of vascular smooth muscle cells via
the ERK pathway.
AB - Vascular calcification develops within atherosclerotic lesions and results from a
process similar to osteogenesis. Taurine is a free beta-amino acid and plays an
important physiological role in mammals. We have recently demonstrated that
vascular smooth muscle cells (VSMCs) express a functional taurine transporter. To
evaluate the possible role of taurine in vascular calcification, we assessed its
effects on osteoblastic differentiation of VSMCs in vitro. The results showed
that taurine inhibited the beta-glycerophosphate-induced osteoblastic
differentiation of VSMCs as evidenced by both the decreasing alkaline phosphate
(ALP) activity and expression of the core binding factor alpha1 (Cbfalpha1).
Taurine also activated the extracellular signal-regulated protein kinase (ERK)
pathway. Inhibition of ERK pathway reversed the effect of taurine on ALP activity
and Cbfalpha1 expression. These results suggested that taurine inhibited
osteoblastic differentiation of vascular cells via the ERK pathway.
PMID- 18060527
TI - Glutamine enhances glucose-induced mesangial cell proliferation.
AB - The proliferation of mesangial cells (MC) in the presence of glutamine (0-20 mM)
was determined in both low (5 mM) and high (25 mM) glucose-containing medium.
Glutamine in a high glucose (HG) environment increased cell proliferation in a
dose-dependent manner. Inhibition of glutamine:fructose 6-phosphate
amidotransferase (GFAT) and of phosphodiesterase significantly reduced glutamine
induced proliferation. Supraphysiologic levels of glutamine increase MC
proliferation in a HG milieu via GFAT and cAMP-dependent pathways, suggesting
that glutamine could pose a risk for diabetic nephropathy.
PMID- 18060528
TI - Endoscopic lesions in low-to average-risk patients with minimal bright red
bleeding from midline anal fissures. How much should we go in?
AB - BACKGROUND: Anal fissure is a common condition in young patients, and the main
symptoms include anal pain and bleeding. Our aim was to determine the need to
perform lower gastrointestinal endoscopy on patients with midline anal fissure
who present with minimal bright red rectal bleeding and who are at low risk for
colorectal neoplasia. METHODS: Patients with midline anal fissure who reported
small amounts of red blood on toilet paper, toilet bowl or stool after defecation
were evaluated. Patients with alarm signs (recently altered bowel habit, weight
loss, anemia and family or personal history of colorectal neoplasms) were
excluded. A total of 134 patients (80 female and 54 male, aged 35.8+/-11.4 years)
were studied. Patients younger than 40 years underwent flexible sigmoidoscopy and
colonoscopy was used for older ones. RESULTS: Fissures were posterior in 106
cases (79.1%) and anterior in 27 cases (20.1%); one patient (0.7%) had both
anterior and posterior fissures. The lower gastrointestinal endoscopy was normal
in 120 patients (89.6%), and 36 patients (26.9%) had associated internal
hemorrhoids. Adenomatous polyps were found in 4 cases (3.0%), ulcerative colitis
in 8 (6.0%) and Crohn's disease in one patient (0.7%). There was no case of
adenocarcinoma. CONCLUSIONS: Clinical evaluation plus rectoscopy might be the
appropriate evaluation in this selected group of patients, if our results are
confirmed by further studies.
PMID- 18060530
TI - Anal dilatation versus left lateral sphincterotomy for chronic anal fissure: a
prospective randomized study.
AB - BACKGROUND: Several surgical methods are accepted for the treatment of chronic
anal fissure. The most popular are anal dilatation (AD) and left lateral
sphincterotomy (LLS). The objective of the current study was to prospectively
evaluate the results of these two procedures in terms of recurrence rate,
complications and patient satisfaction. METHODS: The study enrolled all patients
who required operation for chronic anal fissure in the Division of General
Surgery, Campus Golda, Rabin Medical Center, between the years 1997 and 2001.
Exclusion criteria were acute anal fissure or inflammatory bowel disease.
RESULTS: A total of 108 patients participated in the study, at an average age of
42.4 years (SD=12.5). The patients were randomly assigned to two groups; one for
LLS (53 patients, 49.1%) and one for AD (55 patients, 50.9%). The study protocol
included a questionnaire and a physical examination performed 1, 2, 3, 6 and 12
months after operation. The questionnaire contained questions about pain, bloody
stool, incontinence for gas, fluid or hard feces, during the day or night, and
soiling. The patients were also asked about their satisfaction on an analog scale
from 1 to 10. The average follow-up was 11.2 months (SD=4.1). Minor incontinence
occurred in 8 patients of AD group and in 2 patients of LLS group (p<0.005).
Recurrence occurred in 6 cases of the AD group and in one case of the LLS group
(p<0.003). Satisfaction score was insignificantly higher in the LLS group (9.1+/
0.8 in the LLS group and 7.4+/-2.0 in the AD group). CONCLUSIONS: These results
suggest that LLS is the preferred method for the treatment for chronic anal
fissure.
PMID- 18060529
TI - Transanal haemorrhoidal dearterialisation: nonexcisional surgery for the
treatment of haemorrhoidal disease.
AB - BACKGROUND: Transanal haemorrhoidal dearterialisation (THD) is a nonexcisional
surgical technique for the treatment of piles, consisting in the ligation of the
distal branches of the superior rectal artery, resulting in a reduction of blood
flow and decongestion of the haemorrhoidal plexus. The aim of this study was to
assess the long-term efficacy of this treatment. METHODS: The procedure was
carried out using a proctoscope with a Doppler probe. The terminal branches were
located with Doppler and then sutured. RESULTS: From January 2000 to May 2006, we
performed THD in 330 patients (180 men; mean age, 52.4 years), including 138
second-degree, 162 third-degree and 30 fourth-degree haemorrhoids. There were 23
postoperative complications (7 cases of bleeding, 5 thrombosed piles, 4 rectal
haematomas, 2 anal fissures, 2 cases of dysuria, 1 of haematuria and 2 needle
ruptures). The mean postoperative pain score was 1.32 on a visual analog scale.
219 patients were followed for a mean of 46 months (range, 22-79), including 100
patients with second-degree, 104 with third-degree and 15 with fourth-degree
haemorrhoids. The operation completely resolved the symptoms in 132 patients
(92.5%) with preoperative bleeding and in 110 patients (92%) with preoperative
prolapse. CONCLUSIONS: The efficacy and relapse rate of this procedure appears to
be similar to that of traditional surgery and stapled haemorrhoidopexy. The
technique was effective and safe for all degrees of haemorrhoids because of the
excellent results, low complication rate and minor postoperative pain.
PMID- 18060532
TI - Three-dimensional quantitative structure-activity relationship (3D QSAR) and
pharmacophore elucidation of tetrahydropyran derivatives as serotonin and
norepinephrine transporter inhibitors.
AB - Three-dimensional quantitative structure-activity relationship (3D QSAR) using
comparative molecular field analysis (CoMFA) was performed on a series of
substituted tetrahydropyran (THP) derivatives possessing serotonin (SERT) and
norepinephrine (NET) transporter inhibitory activities. The study aimed to
rationalize the potency of these inhibitors for SERT and NET as well as the
observed selectivity differences for NET over SERT. The dataset consisted of 29
molecules, of which 23 molecules were used as the training set for deriving CoMFA
models for SERT and NET uptake inhibitory activities. Superimpositions were
performed using atom-based fitting and 3-point pharmacophore-based alignment. Two
charge calculation methods, Gasteiger-Huckel and semiempirical PM3, were tried.
Both alignment methods were analyzed in terms of their predictive abilities and
produced comparable results with high internal and external predictivities. The
models obtained using the 3-point pharmacophore-based alignment outperformed the
models with atom-based fitting in terms of relevant statistics and
interpretability of the generated contour maps. Steric fields dominated
electrostatic fields in terms of contribution. The selectivity analysis (NET over
SERT), though yielded models with good internal predictivity, showed very poor
external test set predictions. The analysis was repeated with 24 molecules after
systematically excluding so-called outliers (5 out of 29) from the model
derivation process. The resulting CoMFA model using the atom-based fitting
exhibited good statistics and was able to explain most of the selectivity (NET
over SERT)-discriminating factors. The presence of -OH substituent on the THP
ring was found to be one of the most important factors governing the NET
selectivity over SERT. Thus, a 4-point NET-selective pharmacophore, after
introducing this newly found H-bond donor/acceptor feature in addition to the
initial 3-point pharmacophore, was proposed.
PMID- 18060531
TI - Colorectal stenting as an effective therapy for preoperative and palliative
treatment of large bowel obstruction: 9 years' experience.
AB - BACKGROUND: Since their introduction, selfextending metal stents (SEMS) have
established themselves as an option in the treatment of obstructive colorectal
cancer. Thanks to stenting, patients traditionally treated with emergency surgery
can now be converted to scheduled surgery with mechanical preparation of the
colon and primary anastomosis. Stenting represents a valid one-step surgical
alternative for intestinal obstruction of the colon. METHODS: We performed a
prospective study of 95 patients (mean age, 68 years; range 48-94) with large
bowel obstruction due to colorectal cancer treated with SEMS placed under
fluoroscopic guidance, some as a bridge to surgery (group A) and others with
palliative intent (group B). Computed tomography was performed for diagnostic
purposes and to study the extent of disease. RESULTS: Treatment was palliative in
28 cases (group B) and as a bridge to surgery in 67 (group A). The latter group
underwent mechanical preparation of the colon and elective surgery. No patients
died as a result of the procedure. In 90 cases (95%), treatment was effective and
the obstruction resolved. Complications were 4 cases of perforation, 1 of
tenesmus, 4 obstructions and 4 migrations. In 7 cases, a second stent was
inserted to allow subsequent scheduled surgery. CONCLUSIONS: Self-extending
stents resolve colorectal cancer obstruction and allow optimal patient staging
and scheduled surgical treatment. Stenting is also a useful option in advanced or
irresectable tumors, avoiding the need for surgery and offering good palliation.
PMID- 18060533
TI - Modulation of intracellular proline levels affects flowering time and
inflorescence architecture in Arabidopsis.
AB - We reported previously that the plant oncogene rolD anticipates and stimulates
flowering in Nicotiana tabacum, and encodes ornithine cyclodeaminase, an enzyme
catalysing the conversion of ornithine to proline. To investigate on the possible
role of proline in flowering, we altered the expression of AtP5CS1, encoding the
rate-limiting enzyme of proline biosynthesis in plants. Accordingly we
characterized a mutant line containing a T-DNA insertion into AtP5CS1 and
introduced in Arabidopsis thaliana AtP5CS1 under the control of the CaMV35S
promoter. As expected homozygous p5cs1 mutants behaved as late flowering. In
addition p5cs1 mutants exhibited a shorter size and contained lower levels of
proline, compared to wild type. 35S-P5CS1 plants, manifested, early in
development, overexpression of P5CS1 and accumulation of proline, leading to
early flowering, both under long- and short-day conditions. Later in development,
down-regulation of P5CS1 occurred in 35S-P5CS1 leaves, leading to proline
reduction, and, in turn, impaired bolting and stunted growth. Salt-stress
restored expression of P5CS1 and proline accumulation in P5CS1-transformed
plants, as well as rescuing growth. Our data suggest that proline plays a key
role in flower transition, bolting and coflorescence formation.
PMID- 18060534
TI - Assessment of hypercoagulability markers and lipid levels in postmenopausal women
undergoing either oral or transdermal hormone replacement therapy.
AB - BACKGROUND: This study investigated the effect of either oral or transdermal
hormone replacement therapy (HRT) on haemostatic, fibrinolytic and lipid profiles
in a group of Brazilian women 3 months after beginning treatment by comparing
these results with those obtained immediately before HRT. METHODS: Plasma levels
of TAT, DDi, F1+2, PC, PS, AT, PAI-1 and serum lipids were determined in blood
samples collected from 24 women undergoing oral HRT and from 11 women undergoing
transdermal HRT. RESULTS: Significant increases in DDi and F1+2 plasma levels
were observed after 3 months of oral HRT, while PS levels decreased. After
transdermal HRT, a significant decrease was observed only for AT levels.
CONCLUSION: After 3 months of oral HRT and in the absence of major genetic and
acquired risk factors, women displayed a predisposition for activation of blood
coagulation, and an increased activity of the fibrinolytic system. Oral HRT
seemed to be more effective in predisposing haemostatic changes as compared to
transdermal.
PMID- 18060537
TI - Anticoagulation for mechanical heart valves: a role for patient based therapy.
AB - Anticoagulation management issues following mechanical cardiac valve replacement
revolve around target levels for chronic oral anticoagulation. While these levels
are important, they are only one aspect of a follow-up process that should be
individualized to each patient with a mechanical cardiac valve and coupled with
patient education, risk factor modification, and long-term follow-up. It is
difficult to separate patient related risk factors, those traditional risk
factors that markedly increase the incidence of potential valve related events
(i.e., atrial fibrillation), and yet other more subtle non-traditional risk
factors for thromboembolism (i.e., smoking and hypertension) that contribute to
events. These also require management during the post-operative period and long
term follow-up. There are also different risk factors for anatomic valve
position. The aortic valve is the safest of all the anatomic positions regarding
valve related events. The mitral valve is at higher risk and the tricuspid valve
is the most risky anatomic position. Anticoagulation related hemorrhage is the
most dangerous event for mortality and morbidity in the aortic position,
thromboembolism in the mitral position, and valve thrombosis in the tricuspid
position. Each of these requires different degrees of patient modification and
target levels for anticoagulation. Additionally, low risk patients with aortic
valve replacement may not require anticoagulant therapy at all. Rather, treatment
with modern, highly potent platelet inhibiting drugs may be effective after a
period of sewing ring endothelialization under the protection of antithrombotic
therapy. Each of these aspects and risk factors is discussed, as well as the call
for prospective randomized trials treating low risk patients with anti-platelet
drugs versus warfarin anticoagulation.
PMID- 18060538
TI - Contributions of microorganisms to industrial biology.
AB - Life on earth is not possible without microorganisms. Microbes have contributed
to industrial science for over 100 years. They have given us diversity in
enzymatic content and metabolic pathways. The advent of recombinant DNA brought
many changes to industrial microbiology. New expression systems have been
developed, biosynthetic pathways have been modified by metabolic engineering to
give new metabolites, and directed evolution has provided enzymes with modified
selectability, improved catalytic activity and stability. More and more genomes
of industrial microorganisms are being sequenced giving valuable information
about the genetic and enzymatic makeup of these valuable forms of life. Major
tools such as functional genomics, proteomics, and metabolomics are being
exploited for the discovery of new valuable small molecules for medicine and
enzymes for catalysis.
PMID- 18060539
TI - The genetic diversity of UK, US and Australian cultivars of Triticum aestivum
measured by DArT markers and considered by genome.
AB - The genetic diversity of UK, US and Australian wheat varieties over the period of
modern plant breeding is estimated using diversity array technology markers.
Diversity is assessed by both genetic distance between varieties, by AMOVA and as
the volumes of multi-dimensional convex hulls estimated from principal co
ordinate analysis. At the whole genome level the three populations are
genetically distinct; this is also true of the B genome. However, the US and
Australian D genomes are found to occupy the same region of diversity space and
the A genomes for these countries are partially overlapping. The use of high
density genotyping with a common marker set allows an unprecedented direct
comparison between the diversities of the national populations, between
individual genomes and the fluctuation of diversity over time. The highest
genetic diversity amongst varieties is reported in the Australian population
followed by the US, which in turn is more diverse than the UK. However the
average diversity of loci is higher in the US set than in the Australian. Non
random fluctuations in genetic diversity over time are observed.
PMID- 18060540
TI - Resistance to Colletotrichum lindemuthianum in Phaseolus vulgaris: a case study
for mapping two independent genes.
AB - Anthracnose, caused by the hemibiotrophic fungal pathogen Colletotrichum
lindemuthianum is a devastating disease of common bean. Resistant cultivars are
economical means for defense against this pathogen. In the present study, we
mapped resistance specificities against 7 C. lindemuthianum strains of various
geographical origins revealing differential reactions on BAT93 and JaloEEP558,
two parents of a recombinant inbred lines (RILs) population, of Meso-american and
Andean origin, respectively. Six strains revealed the segregation of two
independent resistance genes. A specific numerical code calculating the LOD score
in the case of two independent segregating genes (i.e. genes with duplicate
effects) in a RILs population was developed in order to provide a recombination
value (r) between each of the two resistance genes and the tested marker. We
mapped two closely linked Andean resistance genes (Co-x, Co-w) at the end of
linkage group (LG) B1 and mapped one Meso-american resistance genes (Co-u) at the
end of LG B2. We also confirmed the complexity of the previously identified B4
resistance gene cluster, because four of the seven tested strains revealed a
resistance specificity near Co-y from JaloEEP558 and two strains identified a
resistance specificity near Co-9 from BAT93. Resistance genes found within the
same cluster confer resistance to different strains of a single pathogen such as
the two anthracnose specificities Co-x and Co-w clustered at the end of LG B1.
Clustering of resistance specificities to multiple pathogens such as fungi (Co-u)
and viruses (I) was also observed at the end of LG B2.
PMID- 18060541
TI - Sepsis mortality prediction based on predisposition, infection and response.
AB - OBJECTIVE: To empirically test, based on a large multicenter, multinational
database, whether a modified PIRO (predisposition, insult, response, and organ
dysfunction) concept could be applied to predict mortality in patients with
infection and sepsis. DESIGN: Substudy of a multicenter multinational cohort
study (SAPS 3). PATIENTS: A total of 2,628 patients with signs of infection or
sepsis who stayed in the ICU for >48 h. Three boxes of variables were defined,
according to the PIRO concept. Box 1 (Predisposition) contained information about
the patient's condition before ICU admission. Box 2 (Injury) contained
information about the infection at ICU admission. Box 3 (Response) was defined as
the response to the infection, expressed as a Sequential Organ Failure Assessment
score after 48 h. INTERVENTIONS: None. MAIN MEASUREMENTS AND RESULTS: Most of the
infections were community acquired (59.6%); 32.5% were hospital acquired. The
median age of the patients was 65 (50-75) years, and 41.1% were female. About 22%
(n=576) of the patients presented with infection only, 36.3% (n=953) with signs
of sepsis, 23.6% (n=619) with severe sepsis, and 18.3% (n=480) with septic shock.
Hospital mortality was 40.6% overall, greater in those with septic shock (52.5%)
than in those with infection (34.7%). Several factors related to predisposition,
infection and response were associated with hospital mortality. CONCLUSION: The
proposed three-level system, by using objectively defined criteria for risk of
mortality in sepsis, could be used by physicians to stratify patients at ICU
admission or shortly thereafter, contributing to a better selection of management
according to the risk of death.
PMID- 18060542
TI - The effects of sedation on gastric emptying and intra-gastric meal distribution
in critical illness.
AB - OBJECTIVE: To evaluate the effects of sedation with morphine and midazolam (M&M)
versus propofol on gastric emptying in critically ill patients. DESIGN:
Descriptive study. SETTING: Mixed medical and surgical intensive care unit.
PATIENTS: Thirty-six unselected, mechanically ventilated, critically ill
patients. INTERVENTIONS: Gastric scintigraphic data were analysed retrospectively
according to whether patients were receiving M&M (n=20; 14M, 6F) or propofol
(n=16; 7M, 9F). Measurements were performed over 4 h after administration of 100
ml of Ensure, labelled with 20 MBq Tc99m. MEASUREMENTS AND RESULTS: Gastric half
emptying time (t1/2) and total and regional (proximal and distal stomach) meal
retention (%) were assessed. The median t1/2 of patients receiving M&M (153 (IQR:
72-434) min) was significantly longer than that of patients receiving propofol
(58 (34-166) min, p=0.02). Total gastric retention was greater in patients
receiving M&M compared to those receiving propofol (p<0.01). Proximal (p=0.02)
but not distal (p=0.80) gastric retention was greater in patients who received
M&M. Patients who received M&M were more likely to have >or=5% meal retention at
240 min than those treated with propofol (95% (19/20) vs. 56% (9/16); p=0.01).
Changes in blood glucose concentrations during the study were similar in the two
groups. CONCLUSIONS: In critical illness, patients receiving M&M for sedation are
more likely to have slow gastric emptying, and proximal meal retention than those
receiving propofol. The apparent beneficial effects of propofol-based sedation
need confirmation by a prospective randomised controlled study.
PMID- 18060544
TI - Anticipatory postural adjustment: the role of motor cortex in the natural and
learned bimanual unloading.
AB - Anticipatory postural adjustment (APA) during bimanual action is observed when
participants hold an object in one hand and then lift that object with the other
hand. The decrease in activity of a forearm flexor muscle prior to an active
forearm unloading acts to stabilize the forearm position. Recent studies have
investigated the influence of the corticospinal system on muscle activity during
APA through transcranial magnetic stimulation. It was shown that at different
times during APA, the amplitude of motor-evoked potentials in the forearm flexors
decreased in conjunction with the decrease of muscle activity. If the unloading
is triggered via an electromagnet by lifting an equal weight by the other arm,
the anticipatory postural adjustment is learned through the repetition of
unloading (three series of 20 trials). Using the transcranial magnetic
stimulation, we examined changes in the motor-evoked potential in the forearm
flexors before and after APA learning. Motor-evoked potential amplitude did not
significantly change as forearm flexor activity decreased. The motor-evoked
potential/background electromyogram ratio, however, increased in the final
learning session in comparison to the initial learning session and stationary
loading. The present results corroborate a hypothesis on the fundamental role of
the motor cortex in the suppression of synergies that interfere with the
execution of the new coordination in the process of motor learning.
PMID- 18060545
TI - The coordination of eye, head, and arm movements during rapid gaze orienting and
arm pointing.
AB - This study aimed to investigate the coordination of multiple control actions
involved in human horizontal gaze orienting or arm pointing to a common visual
target. The subjects performed a visually triggered reaction time task in three
conditions: (1) gaze orienting with a combined eye saccade and head rotation
(EH), (2) arm pointing with gaze orienting by an eye saccade without head
rotation (EA), and (3) arm pointing with gaze orienting by a combined eye saccade
and head rotation (EHA). The subjects initiated eye movement first with nearly
constant latencies across all tasks, followed by head movement in the EH task, by
arm movement in the EA task, and by head and then arm movements in the EHA task.
The differences of onset times between eye and head movements in the EH task, and
between eye and arm movements in the EA task, were both preserved in the EHA
task, leading to an eye-to-head-to-arm sequence. The onset latencies of eye and
head in the EH task, eye and arm in the EA task, and eye, head and arm in the EHA
task, were all positively correlated on a trial-by-trial basis. In the EHA task,
however, the correlation coefficients of eye-head coupling and of eye-arm
coupling were reduced and increased, respectively, compared to those estimated in
the two-effector conditions (EH, EA). These results suggest that motor commands
for different motor effectors are linked differently to achieve coordination in a
task-dependent manner.
PMID- 18060543
TI - Signal transduction underlying the control of urinary bladder smooth muscle tone
by muscarinic receptors and beta-adrenoceptors.
AB - The normal physiological contraction of the urinary bladder, which is required
for voiding, is predominantly mediated by muscarinic receptors, primarily the M3
subtype, with the M2 subtype providing a secondary backup role. Bladder
relaxation, which is required for urine storage, is mediated by beta
adrenoceptors, in most species involving a strong beta3-component. An excessive
stimulation of contraction or a reduced relaxation of the detrusor smooth muscle
during the storage phase of the micturition cycle may contribute to bladder
dysfunction known as the overactive bladder. Therefore, interference with the
signal transduction of these receptors may be a viable approach to develop drugs
for the treatment of overactive bladder. The prototypical signaling pathway of M3
receptors is activation of phospholipase C (PLC), and this pathway is also
activated in the bladder. Nevertheless, PLC apparently contributes only in a very
minor way to bladder contraction. Rather, muscarinic-receptor-mediated bladder
contraction involves voltage-operated Ca2+ channels and Rho kinase. The
prototypical signaling pathway of beta-adrenoceptors is an activation of adenylyl
cyclase with the subsequent formation of cAMP. Nevertheless, cAMP apparently
contributes in a minor way only to beta-adrenoceptor-mediated bladder relaxation.
BKCa channels may play a greater role in beta-adrenoceptor-mediated bladder
relaxation. We conclude that apart from muscarinic receptor antagonists and beta
adrenoceptor agonists, inhibitors of Rho kinase and activators of BKCa channels
may have potential to treat an overactive bladder.
PMID- 18060546
TI - Ultrasound and MRI findings in appendicular and truncal fat necrosis.
AB - OBJECTIVE: The objective was to evaluate ultrasound and MRI in clinical
appendicular and truncal fat necrosis. MATERIALS AND METHODS: Thirty-three
patients (14 men, 19 women, median age 55, range 29-95) were retrospectively
evaluated. Histologically, three groups were seen: Group 1 (n = 18) consisted of
patients with subcutaneous masses with septal and extrinsic oedema; in Group 2 (n
= 11) necrosis occurred within lipomatous tumours and little oedema; and in Group
3 (n = 4) there were large complex masses consistent with Morel-Lavallee lesions.
Two experienced radiologists reviewed MR (n = 30) and ultrasound (n = 32) images
with consensus agreement. MRI was performed on a 1.5T system with T1-weighted, T2
weighted fat-suppressed and T1-weighted fat-suppressed post-intravenous
gadolinium sequences obtained in two orthogonal planes. Ultrasound (linear 5- to
13.5-MHz probe) was performed in the longitudinal and short axis. Anatomical
position, size, shape (oval, linear, ill-defined), internal architecture
(lobules, septi or stranding), intrinsic signal characteristics, presence of
surrounding pseudocapsule, extrinsic linear stranding and vascularity (gadolinium
enhancement or power Doppler) were recorded. RESULTS: Anatomical locations were
buttock/thigh (n = 17), leg (n = 6), upper limb (n = 5) and thoracic/abdominal
wall (n = 5) with the majority of lesions (30 out of 33) oval/linear in shape. On
ultrasound and MRI most lesions showed internal fat lobules, intervening septi
and a surrounding pseudocapsule. CONCLUSION: Fat necrosis can usually be
identified as containing multiple fat lobules on ultrasound and MRI despite a
varying degree of inflammatory change surrounding and within the mass.
PMID- 18060547
TI - Whole body [11C]-dihydrotetrabenazine imaging of baboons: biodistribution and
human radiation dosimetry estimates.
AB - PURPOSE: Vesicular monoamine transporter type 2 abundance quantified using the
radiotracer [(11)C]-dihydrotetrabenazine (DTBZ) has been used to study diagnosis
and pathogenesis of dementia and psychiatric disorders in humans. In addition, it
may be a surrogate marker for insulin-producing pancreatic beta cell mass, useful
for longitudinal measurements using positron emission tomography to track
progression of autoimmune diabetes. To support the feasibility of long-term
repeated administrations, we estimate the biodistribution and dosimetry of
[(11)C]-DTBZ in humans. METHODS: Five baboon studies were acquired using a
Siemens ECAT camera. After transmission scanning, 165-210 MBq of [(11)C]-DTBZ
were injected, and dynamic whole body emission scans were conducted. Time
activity data were used to obtain residence times and estimate absorbed radiation
dose according to the MIRD model. RESULTS: Most of the injected tracer localized
to the liver and the lungs, followed by the intestines, brain, and kidneys. The
highest estimated absorbed radiation dose was in the stomach wall. CONCLUSIONS:
The largest radiation dose from [(11)C]-DTBZ is to the stomach wall. This dose
estimate, as well as the radiation dose to other radiosensitive organs, must be
considered in evaluating the risks of multiple administrations.
PMID- 18060548
TI - Urinary markers in screening patients with hematuria.
AB - Hematuria is a common presenting symptom of urothelial malignancy. Although
conventional urine analysis is very sensitive in detecting the presence of
hematuria, it is not specific in detecting bladder cancer or other urinary-tract
cancers. The noninvasive urinary tests NMP22 and UroVysion have been approved by
the U.S. Food and Drug Administration for bladder cancer screening. These tests
have better sensitivity than cytology for detecting bladder cancer in patients
who present with hematuria. The positive predictive values of both tests increase
in individuals with hematuria who have risk factors for bladder cancer.
Evaluating hematuria with sensitive markers, such as NMP22 and UroVysion, in high
risk populations offers an opportunity to develop effective strategies for
bladder cancer screening.
PMID- 18060549
TI - Bladder cancer markers in patient management: the current perspective.
PMID- 18060551
TI - Mechanisms of neurodegeneration in mucopolysaccharidoses II and IIIB: analysis of
human brain tissue.
AB - Mucopolysaccharidoses (MPS) are inherited disorders caused by the deficiency of
lysosomal enzymes. Sanfilippo syndrome (MPS III) and Hunter syndrome (MPS II) are
characterized by severe and mild neurological disorders, respectively, in which
the neurodegenerative mechanisms remain to be clarified. We immunohistochemically
examined the involvement of tauopathy/synucleinopathy, cell death and oxidative
damage in the brains of three cases each of MPS IIIB and MPS II and age-matched
controls. In cases of MPS IIIB, the density of GABAergic interneurons in the
cerebral cortex immunoreactive for calbindin-D28K and parvalbumin was markedly
reduced when compared with age-matched controls. The swollen neurons showed
immunoreactivity for phosphorylated alpha-synuclein but not for phosphorylated
tau protein or beta-amyloid protein; those in the cerebral cortex demonstrated
nuclear immunoreactivity for TUNEL, single-stranded DNA and 8-OHdG. Neither lipid
peroxidation nor protein glycation was marked in MPS cases. The expression levels
of superoxide dismutases (Cu/ZnSOD and MnSOD) and glial glutamate transporters
(EAAT1 and EAAT2) were reduced in two MPS II cases. The disturbance of GABAergic
interneurons can be related to mental disturbance, while synucleinopathy and/or
DNA impairment may be implicated in the neurodegeneration of swelling neurons due
to storage materials in MPS IIIB cases. These findings suggest the possibility of
neuroprotective therapies other than enzyme replacement in MPS patients.
PMID- 18060550
TI - Object localization through the lateral line system of fish: theory and
experiment.
AB - Fish acquire information about their aquatic environment by means of their
mechanosensory lateral-line system. This system consists of superficial and canal
neuromasts that sense perturbations in the water surrounding them. Based on a
hydrodynamic model presented here, we propose a mechanism through which fish can
localize the source of these perturbations. In doing so we include the curvature
of the fish body, a realistic lateral line canal inter-pore distance for the
lateral-line canals, and the surface boundary layer. Using our model to explore
receptor behavior based on experimental data of responses to dipole stimuli we
suggest that superficial and canal neuromasts employ the same mechanism, hence
provide the same type of input to the central nervous system. The analytical
predictions agree well with spiking responses recorded experimentally from
primary lateral-line nerve fibers. From this, and taking into account the central
organization of the lateral-line system, we present a simple biophysical model
for determining the distance to a source.
PMID- 18060552
TI - Arthroscopic treatment of a large lateral femoral notch in acute anterior
cruciate ligament tear.
AB - A 24-year-old professional soccer player suffered an acute anterior cruciate
ligament tear associated with a radiologically evident impression fracture of the
lateral femoral condyle, the so-called "lateral femoral notch sign". Following
MRI validation of the injury with detection of an additional lateral meniscus
tear, arthroscopy was carried out 3 days after the injury. Due to the extended
impression of about 5 mm, arthroscopically assisted closed reduction of the
depression fracture was performed. A 3.2 mm tunnel was drilled at the lateral
femoral condyle in a supero-inferior direction using an ACL tibial guide and the
depressed area could be restored using an elevator. The resulting subchondral
bone defect in the femoral condyle was filled with freeze-dried human cancellous
bone allograft. As a one-stage procedure ACL reconstruction was carried out using
a hamstring tendon technique. At 1-year follow up the patient has returned to
full sporting function, including playing soccer with a radiographically reduced
lateral femoral notch sign.
PMID- 18060553
TI - Solitary fibrous tumor in the cervical spine with destructive vertebral
involvement: a case report and review of the literature.
AB - INTRODUCTION: Recently, solitary fibrous tumors occurring in spine-related
lesions have been reported. However, the destruction of vertebral bodies by this
type of tumor has not been reported. MATERIALS AND METHODS: A 71-year-old female
presented with pain from a mass on the right side of her neck. Plain radiographs
of the cervical spine showed collapse of the C5 vertebral body and dislocation of
the C4 vertebral body. The MRI image showed a large mass surrounding C4 and C5,
which had low signal intensity in the T1W image and high signal intensity in the
T2W image. At first, resection of the tumor and spinal fusion was performed by
anterior approach. RESULTS: Histology revealed a solitary fibrous tumor with
proliferating spindle cells. Immunohistochemistry showed positive stains for
vimentin and CD34. One year postoperatively, a local recurrence manifested
extensive destruction of the C4 and C5 vertebral bodies. Then, palliative surgery
with posterior cervical instrumentation and radiation therapy were performed.
Because the destruction proceeded and the rods were broken 2 years after, she
underwent additional occipito-cervical instrumentation. CONCLUSIONS: This is the
first report of a solitary fibrous tumor that involves the destruction of the
spinal structure. An extensive destruction of the vertebral body by the solitary
fibrous tumor needs to be aware in treating this tumor with spinal involvement.
PMID- 18060554
TI - Infectious granulomatous laryngitis: a retrospective study of 24 cases.
AB - The diagnostic and treatment of verrucous lesions of the larynx involves a high
level of suspicion by the physician attending the patient. The causes may go from
unspecific laryngitis to neoplasia and granulomatous diseases. This kind of
lesion is uncommon and the presentation aspects may vary broadly. The lesions in
larynx are significant source of morbidity. The onset of symptoms is insidious
and the diagnosis is usually delayed. Symptoms include dysphonia, dyspnea,
dysphagia and odynophagia. Proper treatment depends upon tissue biopsy,
identification of the causative organism, and the appropriate pharmacotherapy. As
there are few papers presenting the clinical features of infectious granulomatous
laryngitis (IGL) as leishmaniasis, tuberculosis and paracoccidiodomycosis
affecting the larynx, we considered important to show the experience of a big
Brazilian Laryngology Service in dealing with this potential worldwide problem.
We present a retrospective chart review showing our institution's experience with
IGL focusing in the diagnostic, treatment and prognosis aspects. Twenty-four
patients were identified. Mycobacterium tuberculosis and Paracoccidiodis
brasiliensis accounted for ten cases each, and Leishmania braziliensis the
remaining four. Hoarseness was the most common symptom of infection. Up to one
third of patients with laryngeal involvement lacked laryngeal symptoms. The
average delay from onset of symptoms to diagnosis was 7 months. All patients
underwent direct laryngoscopy and biopsies. Caseating granulomas was the key
histopathologic finding. Identification of the causative organism was uncommon.
No evidence of concomitant malignancy was seen on biopsy. Despite treatment,
almost 40% of patients had permanent sequelae of infection, including hoarseness,
dyspnea, and dysphagia. Mycobacterium tuberculosis, P. brasiliensis, and L.
braziliensis accounted for all cases of IGL. Patients may have laryngeal
infection but lack laryngeal symptoms. Prompt diagnosis relies upon a high index
of suspicion, especially when evaluating patients from endemic areas. Given the
degree of tissue destruction, which accompanies infection, timely intervention
may be important in the prevention of late sequelae. Despite appropriate therapy,
a significant number of patients may have permanent sequelae of infection.
PMID- 18060555
TI - Case report and surgical solution for nasal spine agenesis in a woman with Binder
syndrome.
AB - Binder syndrome is an uncommon disorder of unknown etiology. It is characterized
by hypoplasia of the nose and maxilla and altered morphology of the associated
soft tissue. We report a 19-year-old Binder syndrome patient with short-nose
deformity and anterior nasal spine agenesis. We present a surgical technique for
nasal spine agenesis treatment. We used a titanium screw without a graft, which
supported the nasal tip and increased tip projection. As there is good soft
tissue coverage over the screw, infection or extrusion was not encountered, and
the patient had no complaints 1 year after surgery.
PMID- 18060557
TI - Impact of a protective vest and spacer garment on exercise-heat strain.
AB - Protective vests worn by global security personnel, and weighted vests worn by
athletes, may increase physiological strain due to added load, increased clothing
insulation and vapor resistance. The impact of protective vest clothing
properties on physiological strain, and the potential of a spacer garment to
reduce physiological strain, was examined. Eleven men performed 3 trials of
intermittent treadmill walking over 4 h in a hot, dry environment (35 degrees C,
30% rh). Volunteers wore the US Army battledress uniform (trial B), B +
protective vest (trial P), and B + P + spacer garment (trial S). Biophysical
clothing properties were determined and found similar to many law enforcement,
industry, and sports ensembles. Physiological measurements included core (T (c)),
mean skin (T (sk)) and chest (T (chest)) temperatures, heart rate (HR), and
sweating rate (SR). The independent impact of clothing was determined by equating
metabolic rate in all trials. In trial P, HR was +7 b/min higher after 1 h of
exercise and +19 b/min by the fourth hour compared to B (P < 0.05). T (c) (+0.30
degrees C), T (sk) (+1.0 degrees C) and Physiological Strain Index were all
higher in P than B (P < 0.05). S did not abate these effects except to reduce T
(sk) (P > S) via a lower T (chest) (-0.40 degrees C) (P < 0.05). SR was higher (P
< 0.05) in P and S versus B, but the magnitude of differences was small. A
protective vest increases physiological strain independent of added load, while a
spacer garment does not alter this outcome.
PMID- 18060558
TI - Modulation of adrenergic receptors and adrenergic functions in cold adapted
humans.
AB - To specify the role of adrenoceptors in mediating adrenergic functions after
adaptation of humans to cold, effect of administration of increasing
concentrations of beta1 and beta2 adrenomimetics (Dobutamine, Bricanyl) on
resting metabolic rate, heart rate, systolic blood pressure, rectal and skin
temperatures of control humans and of cold adapted winter swimmers was studied.
Increase in metabolic rate, mediated by beta1 and beta2 adrenomimetics, was
attenuated after cold adaptation, indicating downregulation of beta1 and beta2
adrenoceptors. Since cold adapted humans have greater capacity of nonshivering
thermogenesis, than that mediated by both beta1 and beta2 adrenoceptors, the role
of other subtypes of adrenoceptors in mediating nonshivering thermogenesis is
anticipated. Heart rate increased after administration of the beta2 agonist, but
was not influenced by the beta1 agonist. The significance of beta2 adrenoceptors
in mediating heart rate was depressed after cold adaptation. Data indicate that
modifications of activity of beta adrenoceptors play crucial role in mechanisms
responsible for adaptation of humans to cold.
PMID- 18060559
TI - Blood pressure response to force-velocity properties of the knee-hip extension
movement.
AB - This study aimed to examine the effects of maximum static and dynamic forces
during and after knee-hip extension movement on blood pressure. Blood pressure
was measured with a combination of oscillometric and tonometry methods before,
during, immediately after and 30 s after knee-hip extension movements performed
under maximum isometric and various isotonic force conditions on the servo
controlled dynamometer. The force-velocity relation of knee-hip extension
movement was linear (r (2 ) = 0.9989), so that maximum isometric force (F (max))
and unloaded velocity (V (max)) were obtained by extrapolation. F (max) coincided
with measured maximum isometric force (F (0)) (F (0)/F (max) = 1.03 +/- 0.25).
During isometric contraction, mean arterial pressure (MAP) increased to a larger
extent and the increase was significantly higher than those during all controlled
load range of isotonic force measurements. The magnitude of MAP response during
maximum isometric exercise was positively correlated with both F (0) (r = 0.687,
P < 0.01) and V (max) (r = 0.586, P < 0.05). On the other hand, there was no
significant correlation between F (0) and V (max) (r = 0.451, P > 0.05). It is
suggested that measurements of muscular function with isotonic trials cause
smaller increase in blood pressure than isometric trials do. Also, it was
indicated that individuals with greater muscular strength and speed might respond
with larger changes in blood pressure to strenuous muscular exercises.
PMID- 18060556
TI - Assembly, organization, and function of the COPII coat.
AB - A full mechanistic understanding of how secretory cargo proteins are exported
from the endoplasmic reticulum for passage through the early secretory pathway is
essential for us to comprehend how cells are organized, maintain compartment
identity, as well as how they selectively secrete proteins and other
macromolecules to the extracellular space. This process depends on the function
of a multi-subunit complex, the COPII coat. Here we describe progress towards a
full mechanistic understanding of COPII coat function, including the latest
findings in this area. Much of our understanding of how COPII functions and is
regulated comes from studies of yeast genetics, biochemical reconstitution and
single cell microscopy. New developments arising from clinical cases and model
organism biology and genetics enable us to gain far greater insight in to the
role of membrane traffic in the context of a whole organism as well as during
embryogenesis and development. A significant outcome of such a full understanding
is to reveal how the machinery and processes of membrane trafficking through the
early secretory pathway fail in disease states.
PMID- 18060560
TI - Mechanisms behind inter-individual differences in lung diffusing capacity.
AB - We measured diffusing capacity (DLCO), alveolar membrane properties (D (m)),
capillary lung volume (V (c)), and alveolar volume (V (A) ) in 20 healthy
subjects (12 males; age 32.4 +/- 13 (SD); BMI 21.7 +/- 3; non smokers) at total
lung capacity (TLC) and at approximately 80, 60, and 40% TLC. In all subjects, D
(m) increased with lung volume, the increase being significantly greater for
higher values of D (m)(TLC): the inter-individual differences can be interpreted
by a greater number of alveolar units coupled to a lower thickness of the air
blood barrier (thus a higher alveolar surface to thickness ratio S (A)/tau). On
the average, the volume-dependent increase of D (m) from approximately 40 to 100%
TLC is less than expected based on geometrical increase of S (A) /tau. In fact,
up to approximately 80% TLC, the increase in D (m) closely reflects only the
increase of S (A), suggesting "unfolding" of the septa with no appreciable
decrease in tau. Conversely, above 80% TLC, the decrease in tau due to
parenchymal stretching becomes the main factor affecting D (m). In all subjects,
V (c) decreased with increasing lung volume, in line with an increase in
parenchymal stretching; the decrease was significantly larger for higher values
of V (c) (40% TLC). Possibly reflecting differences in alveolar capillary
density. No correlation was found between D (m)(TLC) and V (c)(40%TLC). The
individual specificity in the lung volume dependence of V (c) and D (m) can be
reasonably described by evaluating the V (c)/D (m) ratio at TLC and at
approximately 40%TLC.
PMID- 18060561
TI - Resolving the dynamics of EEG generators by multichannel recordings.
AB - The voltage recorded over the cortex (ECoG) or over the scalp (EEG) is generated
by currents derived from many sources called "generators". Different patterns and
amplitudes are observed in aroused, sleepy, epileptic or other brain states.
Differences in amplitude are generally attributed to differences in synchrony
among generators. The degree of EEG synchrony is measured by the correlation
between electrodes placed over different cortical regions. We present a new way
to quantitatively assess the degree of synchronization of these generators via
multichannel recordings. We illustrate how situations where there are several
groups of generators with different inter-group and intra-group synchronies can
be analyzed. Finally, we present a way to identify the organization of groups
exhibiting topographic organization. Although the model presented here is highly
simplified, several methods are based on averaging activity over increasingly
larger areas. These types of measurements may be applied as well to EEG and ECoG
recordings.
PMID- 18060562
TI - Atomic force microscopy visualises a hydrophobic meshwork in the central channel
of the nuclear pore.
AB - Nuclear pore complexes (NPCs) mediate and control the transport of virtually all
material between the cytosol and the nucleus. It is, therefore, unsurprising that
they have long taken centre stage in physiology. A precise understanding of the
NPC structure and function that remain to be thoroughly investigated yet is,
thus, of crucial importance. The NPC can mediate transport both actively and
passively. It remains to be clarified, however, whether transport of small
molecules and macromolecules proceeds through the same route in the NPC.
Furthermore, it has been shown that surface hydrophobicity represents a major
sorting criterion for the active transport through NPCs. Transport factors like
importin beta, which exhibit a rather large surface hydrophobicity, bind to their
cargo and are believed to interact with a supposedly hydrophobic meshwork that is
assumed to reside in the central channel of the NPC but has not yet been
visualised. This interaction is presumed to lead to a partial breakdown of the
meshwork, thereby, permitting the transport-cargo complexes to pass through. In
this study, by using the nano-imaging approach, atomic force microscopy, we
visualised under near-physiological conditions, for the first time, the presence
of a hydrophobic meshwork in the NPC central channel. Furthermore, our data lend
strong support for the existence of two segregated transport routes in the NPC.
PMID- 18060563
TI - DNA methylation in mouse embryonic stem cells and development.
AB - Mammalian development is associated with considerable changes in global DNA
methylation levels at times of genomic reprogramming. Normal DNA methylation is
essential for development but, despite considerable advances in our understanding
of the DNA methyltransferases, the reason that development fails when DNA
methylation is deficient remains unclear. Furthermore, although much is known
about the enzymes that cause DNA methylation, comparatively little is known about
the mechanisms or significance of active demethylation in early development. In
this review, we discuss the roles of the various DNA methyltransferases and their
likely functions in development.
PMID- 18060564
TI - Syntheses and characterization of vitamin B12-Pt(II) conjugates and their
adenosylation in an enzymatic assay.
AB - Aiming at the use of vitamin B12 as a drug delivery carrier for cytotoxic agents,
we have reacted vitamin B12 with trans-[PtCl(NH3)2(H2O)]+, [PtCl3(NH3)](-) and
[PtCl4](2-). These Pt(II) precursors coordinated directly to the Co(III)-bound
cyanide, giving the conjugates [(Co)-CN-(trans-PtCl(NH3)2)]+ (5), [(Co)-CN-(trans
PtCl2(NH3))] (6), [(Co)-CN-(cis-PtCl2(NH3))] (7) and [(Co)-CN-(PtCl3)](-) (8) in
good yields. Spectroscopic analyses for all compounds and X-ray structure
elucidation for 5 and 7 confirmed their authenticity and the presence of the
central "Co-CN-Pt" motif. Applicability of these heterodinuclear conjugates
depends primarily on serum stability. Whereas 6 and 8 transmetallated rapidly to
bovine serum albumin proteins, compounds 5 and 7 were reasonably stable. Around
20% of cyanocobalamin could be detected after 48 h, while the remaining 80% was
still the respective vitamin B12 conjugates. Release of the platinum complexes
from vitamin B12 is driven by intracellular reduction of Co(III) to Co(II) to
Co(I) and subsequent adenosylation by the adenosyltransferase CobA. Despite
bearing a rather large metal complex on the beta-axial position, the cobamides in
5 and 7 are recognized by the corrinoid adenosyltransferase enzyme that catalyzes
the formation of the organometallic C-Co bond present in adenosylcobalamin after
release of the Pt(II) complexes. Thus, vitamin B12 can potentially be used for
delivering metal-containing compounds into cells.
PMID- 18060565
TI - Comparative study on the effect of ultrasonic instruments on the root surface in
vivo.
AB - The present study was designed to investigate the effectiveness of different
ultrasonic instruments on the root surface. Fourteen patients with 35 single root
teeth designated for extraction were recruited to the present study. Teeth were
assigned to four experimental groups: group 1, piezoelectric ultrasonic device;
group 2, magnetostrictive ultrasonic device; group 3, hand instrumentation; and
group 4, untreated teeth (control). After instrumentation, the teeth were
extracted and the presence of residual deposits (roughness and root surfaces
characteristics) were analyzed. The results showed that residual deposits were
similar in all tested groups: piezoelectric, 8.7%; magnetostrictive, 9.7%; hand
instrumentation, 11.1% and control, 76.4%. There were statistically significant
differences between control and all the experimental groups (p < 0.0001). With
respect to roughness parameters evaluation, R(a) and R(z) of the roots treated
with the different instruments showed a similar pattern (p > 0.05), but for R(t)
and R(y), a significant difference was observed (p < 0.05) among hand
instrumentation and ultrasonic devices. SEM analysis revealed a similar root
surface pattern for the ultrasonic devices, but curettes showed many instrumental
scratches, deep gouges, and a relatively large amount of dentin was removed.
Within the limits of the study, although the instruments produced similar
results, root surfaces instrumentated with curettes were rougher and had more
root surface tissue removed than with the ultrasonic device.
PMID- 18060566
TI - Birth order and the genetics of amyotrophic lateral sclerosis.
AB - The cause of ALS remains largely unknown for the 90% with no known family
history, but spontaneous mutation to risk alleles of as yet unidentified genes is
possible. It has long been recognized that genetic diseases may be more likely to
occur in the last born children of a sibship because increased paternal age is
associated with an increased spontaneous point mutation rate in sperm. To test
the hypothesis that such a mechanism is responsible for sporadic ALS, we have
performed a retrospective analysis of birth order position. We have analyzed
sibships of size greater than four using a binomial test for birth position. The
478 pedigrees studied show no birth order effect, suggesting that any genetic
contributions to sporadic ALS are more likely to be through deletion in large
genes or interactions of common polymorphisms, rather than frequent spontaneous
point mutation. This is encouraging for the prospect of finding sporadic ALS
susceptibility genes using genome-wide association mapping.
PMID- 18060568
TI - SNP and haplotype identification of the wheat monomeric alpha-amylase inhibitor
genes.
AB - Seventy-three gene sequences encoding monomeric alpha-amylase inhibitors were
characterized from cultivated wheat "Chinese Spring", group 6 nullisomic
tetrasomic lines of "Chinese Spring" and diploid putative progenitors of common
wheat. The monomeric alpha-amylase inhibitors from the different sources shared
very high homology (99.54%). The different alpha-amylase inhibitors, which were
determined by the 24 single nucleotide polymorphisms (SNPs) of their gene
sequences, were investigated. A total of 15 haplotypes were defined by sequence
alignment, among which 9 haplotypes were found with only one single sequence
sample. Haplotype H02 was found to be the main haplotype occurring in 83 WMAI
sequence samples, followed by haplotype H11. The median-joining network for the
15 haplotypes of monomeric alpha-amylase inhibitor gene sequences from hexaploid
wheats was star like, and at least two subclusters emerged. Furthermore evidence
of homologous recombination was found between the haplotypes. The relationship
between nucleotide substitutions and the amino acid changes in WMAI of hexaploid
wheats was summarized. It was clear that only five polymorphic sites in the
nucleotide sequence of WMAI resulted in amino acid variations, and that should be
the reason for different structure and function of inhibitors. However, little
evidence could be found that there were WMAI genes in the A genome of hexaploid
wheat, whereas it could conclude from our results that the A genome diploid wheat
had WMAI genes. The overall information on the monomeric alpha-amylase inhibitors
from wheat and Aegilops strongly support the view that these inhibitors have
evolved from a common ancestral gene through duplication and mutation.
PMID- 18060569
TI - The DNA-repair Ku70 protein is located in the nucleus and tail of elongating
spermatids in grasshoppers.
AB - Fluorescence immunostaining for the phosphorylated H2AX histone (gammaH2AX) in
the grasshopper Eyprepocnemis plorans has shown abundance of gammaH2AX in the
nuclei of round and elongating spermatids, suggesting that DNA double-strand
breaks (DSBs) occur regularly during spermiogenesis. Immunofluorescence patterns
for Ku70, a DNA-repair protein participating in the non-homologous end-joining
(NHEJ) pathway, showed that this protein is present in round and elongating
spermatids, implying that the NHEJ DNA-repair pathway operates during chromatin
compaction in spermiogenesis. In addition, during the final stages of
spermiogenesis, the Ku70 protein concentrates on the region forming the sperm
tail. Since Ku70 was also abundant in spermatid tails, it is reasonable to assume
that Ku70 might play a novel function in sperm-tail formation. The analysis of
Ku70 immunofluorescence patterns in 13 other grasshopper species also showed the
presence of this protein in the nucleus and tail of elongating spermatids,
indicating that this is a general characteristic in grasshoppers.
PMID- 18060570
TI - Chromosome neighborhood composition determines translocation outcomes after
exposure to high-dose radiation in primary cells.
AB - Radiation exposure is an occupational hazard for military personnel, some health
care professionals, airport security screeners, and medical patients, with some
individuals at risk for acute, high-dose exposures. Therefore, the biological
effects of radiation, especially the potential for chromosome damage, are major
occupational and health concerns. However, the biophysical mechanisms of
chromosome instability subsequent to radiation-induced DNA damage are poorly
understood. It is clear that interphase chromosomes occupy discrete structural
and functional subnuclear domains, termed chromosome territories (CT), which may
be organized into 'neighborhoods' comprising groups of specific CTs. We directly
evaluated the relationship between chromosome positioning, neighborhood
composition, and translocation partner choice in primary lymphocytes, using a
cell-based system in which we could induce multiple, concentrated DNA breaks via
high-dose irradiation. We critically evaluated mis-rejoining profiles and tested
whether breaks occurring nearby were more likely to fuse than breaks occurring at
a distance. We show that CT neighborhoods comprise heterologous chromosomes,
within which inter-CT distances directly relate to translocation partner choice.
These findings demonstrate that interphase chromosome arrangement is a principal
factor in genomic instability outcomes in primary lymphocytes, providing a
structural context for understanding the biological effects of radiation
exposure, and the molecular etiology of tumor-specific translocation patterns.
PMID- 18060571
TI - Hepatic and extrahepatic expression of estrogen-responsive genes in male adult
zebrafish (Danio rerio) as biomarkers of short-term exposure to 17beta-estradiol.
AB - Growing concern over reproductive hormones in the environment demands sensitive
and efficient methods by use of molecular biomarkers to detect these contaminants
in oviparous vertebrates. In this study, a real-time quantitative RT-PCR was
adopted to investigate the expressions of three estrogen-responsive genes, Vtg I,
Vtg II and ERalpha, in hepatic and extrahepatic tissues of male adult zebrafish
exposed to varying concentrations of 17beta-estradiol (E2) for selected periods.
Without exposure to E2, all the genes were expressed in the tissues of male
controls with their levels being much lower than those in the respective tissues
of female controls. The expressions of hepatic Vtg I and II mRNAs were induced
significantly after 1-day exposure to E2 at as low as 0.25 microg L(-1).
Significant induction in the expression of hepatic ERalpha mRNA required a higher
E2 concentration (> or = 0.5 microg L(-1)) and a longer exposure (> or = 2 days),
suggesting that Vtg I and Vtg II are more sensitive to E2 exposure. The induction
of Vtg mRNA in the skin of zebrafish was also significant following a short
exposure (1 day) to low E2 concentration (0.25 microg L(-1)), with the levels of
Vtg I and Vtg II mRNA being increased by 25 and 5 times, respectively. These
results suggest that Vtg I mRNA is a highly sensitive biomarker for determining
the estrogenic effects of E2 and that the skin of zebrafish may be an appropriate
substitute for liver for such a determination.
PMID- 18060572
TI - Is medical education research 'hard' or 'soft' research?
PMID- 18060574
TI - 'Transforming' self and world: a phenomenological study of a changing lifeworld
following a cochlear implant.
AB - After 50 years of being profoundly deaf, Patricia (Pat) finds her world
'transformed'-literally and metaphorically-when she receives a cochlear implant.
Her sense of self and the taken-for-granted, comfortable world she knew before
surgery disappear and she is thrown into an alien, surreal existence full of
hyper-noise. Entry into this new world of sounds proves a mixed blessing as Pat
struggles to come to terms with her changing relationships, not only with others
(who now feel somehow 'different' to her) but also with herself. On good days,
she is exhilarated by all her sensory gains and her feeling of being more
connected with and to the world. On bad days she is distracted and overwhelmed by
the intrusive noise and she is forcibly confronted with the painful reality of
her own disability (past and present). The challenge she confronts is not simply
the cognitive-perceptual one of learning to discriminate between sounds. Pat must
also re-orientate herself and learn to cope with her transformed self and world.
She must undertake a journey to come to terms with her past, present and future
being. Pat shared her story with me and together we undertook collaborative
existential phenomenological research, co-creating a narrative of her journey
over the year and a half following her implant. This paper presents this
narrative followed by an existential analysis of Pat's disrupted, changing
lifeworld.
PMID- 18060573
TI - Evidence for positive Darwinian selection on the hepcidin gene of Perciform and
Pleuronectiform fishes.
AB - Hepcidin is a small cysteine-rich peptide that plays an important role in
antimicrobial activity and in maintaining iron homeostasis in vertebrates. Here
we report on the underlying mechanism that maintains high sequence diversities
among the hepcidin-like variants of perciform and pleuronectiform fishes. In
contrast to mammals, maximum likelihood-based codon substitution analyses
revealed that positive Darwinian selection (nonsynonymous to synonymous
substitution, omega > 1) is the likely cause of accelerated rate of amino acid
substitutions in the hepcidin mature peptide region of these fishes. Comparison
of models incorporating positive selection (omega > 1) at certain sites with
models not incorporating positive selection (omega < 1) failed to reject (p = 0)
the evidence of positive selection among the codon sites of percifom and
pleuronectiform hepcidin. The adaptive evolution of this peptide in perciform and
pleuronectiform fishes might be directed by pathogens when the host is exposed to
new habitats/environments.
PMID- 18060575
TI - Transient and sustained oxidative stress differentially activate the JNK1/2
pathway and apoptotic phenotype in H9c2 cells.
AB - The aim of this study was to investigate the activation of JNK1/2 signalling
pathway and the respective cellular phenotype of H9c2 cardiac myoblasts during
two distinct types of oxidative insult. We examined the dose- and time-dependent
activation of JNK1/2 pathway by exogenous H2O2, both under transient and
sustained stimulation. At 2 h of either sustained or transient treatment, maximal
phosphorylation of c-Jun was observed, coincidently with the activation of
nuclear JNK1/2; under sustained stress, these phosphorylation levels remained
elevated above basal for up to 6 h, whereas under transient stress they declined
to basal ones within 4 h of withdrawal. Furthermore, the JNK1/2 selective
inhibitor SP600125 abolished the c-jun phosphorylation induced by oxidative
stress. Our results using cell viability assays and light microscopy revealed
that sustained H2O2 stimulation significantly and time-dependently decreased H9c2
viability, in contrast to transient stimulation; SP600125 (10 microM) abolished
cell death induced by sustained as well as cell survival induced by transient
oxidative stress. Hoechst staining showed an increase in DNA condensation during
sustained, but not during transient stimulation. Moreover, from the antioxidants
tested, catalase and superoxide dismutase prevented oxidative stress-induced cell
death. Flow cytometry studies reconfirmed that sustained oxidative stress induced
apoptosis, whereas transient resulted in the recovery of cardiac myoblasts within
24 h. We conclude that in H9c2 myoblasts, sustained activation of JNK1/2
signalling pathway during oxidative stimulation is followed by an apoptotic
phenotype, while transient JNK1/2 activation correlates well with cell survival,
suggesting a dual role of this signalling pathway in cell fate determination.
PMID- 18060577
TI - Scoring system for predicting malignancy in patients diagnosed with atypical
ductal hyperplasia at ultrasound-guided core needle biopsy.
AB - BACKGROUND: The aim of this study was to determine factors that predict under
evaluation of malignancy in patients diagnosed with atypical ductal hyperplasia
(ADH) at ultrasound-guided core needle biopsy (CNB), and to develop a prediction
algorithm for scoring the possibility of a diagnosis upgrade to malignancy based
on clinical, radiological and pathological factors. METHODS: The study enrolled
patients diagnosed with ADH at ultrasound-guided CNB who subsequently underwent
surgical excision of the lesion. Multivariate analysis was used to identify
relevant clinical, radiological and pathological factors that may predict
malignancy. RESULTS: A total of 102 patients with ADH at CNB were identified. Of
the 74 patients who underwent subsequent surgical excision, 34 (45.8%) were
diagnosed with invasive or in situ malignant foci. Multivariate analysis revealed
that age>50 years, microcalcification on mammography, size on imaging>15 mm and a
palpable lesion were independent predictors of malignancy. Focal ADH was a
negative predictor. A scoring system was developed based on logistic regression
models and beta coefficients for each variable. The area under the ROC curve was
0.903 (95% CI: 0.82-0.94), and the negative predictive value was 100% for a
score10) were prospectively
entered into this 14-day study. Two quality of life questionnaires and a daily
log of bowel activity and incontinent episodes were completed before and during
the study. RESULTS: Currently, the study enrolled 17 patients and 11 patients (9
women, 2 men) with a mean age of 66 years (range, 46-85) completed the trial. In
these 11 subjects, there was an overall significant improvement in the quality of
life (p<0.05) and a significant reduction in incontinence scores (p<0.001) while
using ProTect compared to baseline. CONCLUSIONS: The ProTect is a safe non
surgical device that is able to prevent episodes of FI. It is unique because it
can be used according to a patient's needs without interfering with activities of
daily living.
PMID- 18060594
TI - Counterion vibrations in the DNA low-frequency spectra.
AB - The vibrations of univalent metal cations with respect to phosphate groups of the
DNA backbone are described using the four-mass model approach (S.N. Volkov, S.N.
Kosevich, J. Biomol. Struct. Dyn. 8, 1069 (1991)) extended in this paper. The
force constant of the counterion-phosphate interaction is determined by
considering the DNA with counterions as a lattice of ion crystal. For such ion
phosphate lattice the Madelung constant and the dielectric constant are
estimated. The obtained value of the Madelung constant is lower than for the NaCl
crystal, and its value is about 1.3. The dielectric constant is within 2.3-2.7
depending on the counterion type and form of the double helix. The calculations
of the low-frequency spectra show that for the DNA with metal cations Na(+) ,
K(+) , Rb(+) and Cs(+) the frequency of ion-phosphate vibrations decreases from
174 to 96 cm(-1) as the counterion mass increases. The obtained frequencies agree
well with the vibrational spectra of polynucleotides in a dry state which prove
our suggestion about the existence of the ion-phosphate lattice around the DNA
double helix. The amplitudes of conformational vibrations for DNA in B -form are
calculated as well. The results demonstrate that light counterions ( Na(+) do not
disturb the internal dynamics of the DNA. However, heavy counterions ( Cs(+) have
effect on the internal vibrations of the DNA structural elements.
PMID- 18060595
TI - Life and death of a fakir droplet: impalement transitions on superhydrophobic
surfaces.
AB - We show that the equilibrium state of a water drop deposited on a
superhydrophobic surface cannot be solely determined by its macroscopic contact
angle but also depends on the drop size. Following the evolution of the interface
of evaporating droplets, we demonstrate that the liquid can explore a succession
of equilibrium conformations which are neither of the usual fakir nor Wenzel
types. A comprehensive description of the transition between these wetting states
is provided. To do so, we have taken advantage of microfabrication techniques and
interference microscopy which allows for the "3D" imaging of the liquid
interface. In addition, we propose a simple theoretical description of the
interface geometry which goes beyond the standard two-state picture for
superhydrophobicity. This model accounts correctly for all our experimental
observations. Finally, guided by potential microfluidic applications we propose
an efficient design strategy to build robust liquid repellant surfaces.
PMID- 18060596
TI - Rotation of single crystals of chiral dopants at the top of a nematic droplet:
analogy with Lehmann effect.
AB - Detailed studies are reported of the Lehmann-type effect of rotation of small
single crystals of chiral substances during their dissolution at the top of a
nematic droplet, which was first noted in our previous works. The rotation effect
is shown to be essentially dependent on the dopant chirality. The angular
velocity of the rotation of the chiral dopant crystals is experimentally shown to
be dependent on the crystal dimensions, helical-twisting power of the dopant
(determined in independent measurements) and viscosity of the nematic solvent,
whereas no rotation was observed for non-chiral dopants or in isotropic solvents
under the same conditions. For systematic series of dopants (e.g., cholesterol
esters), the angular velocity was proportional to the helical-twisting power;
with different nematic matrices, the observed angular velocity was proportional
to the rotational-viscosity coefficient gamma(1) ; also, in a certain size range,
it was inversely proportional to the characteristic linear dimension of the
dissolved crystal. Basing on unit dimensions arguments, a semi-empirical equation
is proposed giving a common quantitative description of the whole set of
experimental data obtained. It is argued that the obtained results a) suggest a
novel method for the evaluation of the helical-twisting power, especially useful
for dopants of weak chirality, and b) that they are a direct evidence of
transformation of the energy of chiral interactions into the energy of the
molecular movement.
PMID- 18060597
TI - Food conditions, competitive regime, and female social relationships in Japanese
macaques: within-population variation on Yakushima.
AB - Feeding conditions, competitive regime, and female social relationships of
Japanese macaques (Macaca fuscata) on Yakushima were compared between the two
habitats at two different altitudes (coniferous forest, 1,000-1,200 m and coastal
forest, 0-200 m). Fruit availability was higher in the coastal forest. There was
no consistent difference in the frequency of agonistic interactions within a
group during feeding between the two habitats. The coastal forest evoked stronger
inter-group contest competition compared to the coniferous forest as evidenced by
a higher inter-group encounter rate and a higher proportion of aggressive
encounters to non-aggressive ones. Birth rate was higher in larger groups
compared to smaller ones in the coastal forest, but did not differ in the
coniferous forest. In spite of these differences in competitive regime, no
variation in female social relationships was observed, such as direction and
concentration on particular individuals in grooming, linearity in dominance rank,
counter-attack, and support of juvenile kin during agonistic interactions. The
present results indicate that the female social relationships of Japanese
macaques are robust and do not change according to changes in the current
environment.
PMID- 18060598
TI - A phase I-II study of oblimersen sodium (G3139, Genasense) in combination with
doxorubicin in advanced hepatocellular carcinoma (NCI # 5798).
PMID- 18060599
TI - The combination of gamma ionizing radiation and 8-Cl-cAMP induces synergistic
cell growth inhibition and induction of apoptosis in human prostate cancer cells.
AB - The antiproliferative and cytotoxic potential of the nucleotide analog 8-Cl-cAMP
was tested in PC-3 and DU145 metastatic human prostate cancer cells. The drug was
examined as the only therapeutic agent and in combination with ionizing
irradiation (IR). Highly synergistic effects of IR and 8-Cl-cAMP were observed in
both cell lines when examined by the MTT viability and BrdU proliferation assays.
The combination of IR and 8-Cl-cAMP at clinically relevant doses exerted
substantial growth inhibition. The combination of IR and 8-Cl-cAMP caused a
significant disturbance in the distribution of cell cycle phases. Cell cycle
arrest in the sub-G0/G1 phase predominated in both cell lines. The most striking
observation was a significant increase in apoptotic PC-3 and DU145 cells. The
DU145 cells were three times more sensitive to the combined treatment than PC-3
cells. The initial resistance to IR-induced apoptosis in these p53-deficient
prostate cancer cell lines was overcome through an alternative proapoptotic
pathway induced by 8-Cl-cAMP. Considering the low effective doses of treatments,
improved tumor eradication rates and minimal undesirable side effects, the
combination of IR and 8-Cl-cAMP could be the therapy of choice in treating
prostate cancer.
PMID- 18060600
TI - Response of preclinical medulloblastoma models to combination therapy with 13-cis
retinoic acid and suberoylanilide hydroxamic acid (SAHA).
AB - PURPOSE: Current medulloblastoma therapy, surgery, radiation, and chemotherapy,
is unacceptably toxic. However, 13-cis retinoic acid (RA) and SAHA, a histone
deacetylase inhibitor, have each been shown to induce apoptosis in
medulloblastoma cultures and mouse models. Both drugs cross the blood brain
barrier, have been given safely to children, and achieve brain concentrations
that are at or near therapeutic levels. Retinoic acid acts by transcriptionally
activating bone morphogenetic protein-2 (BMP-2) and SAHA facilitates
transcriptional activity through chromatin accessibility. We tested the
hypothesis that these drugs additively induce BMP-2 transcription and apoptosis.
EXPERIMENTAL DESIGN: RA + SAHA induction of BMP-2 transcription and apoptosis in
medulloblastoma cultures was evaluated. Subsequently the response of mouse
medulloblastomas to these two agents in the presence and absence of cisplatin was
evaluated. RESULTS: BMP-2 transcription multiplied 3-fold with addition of RA to
culture, and 7-fold with both agents. The IC50 of SAHA was reduced by 40% when
low dose RA was added. Interestingly, a p38 MAP kinase inhibitor that partially
blocks RA-induced apoptosis did not inhibit the activity of RA + SAHA. Flank D283
tumors in athymic mice had slower growth in the RA + SAHA arm than single drug or
control arms. Intracranial tumors in ND2:SmoA1 mice treated with RA + SAHA +
cisplatin showed a 4-fold increase in apoptosis over controls, and a 2-fold
increase over animals receiving only SAHA or RA + SAHA. CONCLUSIONS: RA + SAHA
additively induce BMP-2 transcription and medulloblastoma apoptosis. The
combination may act through a p38 MAPK independent mechanism. Efficacy increased
with cisplatin, which has implications for clinical trial design.
PMID- 18060601
TI - Spectroscopic investigations of U(VI) species sorbed by the green algae Chlorella
vulgaris.
AB - The green alga Chlorella vulgaris has the ability to bind high amounts of
uranium(VI) in the pH range from 3 to 6. At pH 3 up to 40% of the uranium are
bound by the algal cells. The uranium removal is almost complete at pH 5 and 6
under the given experimental conditions. Scanning electron microscopy and laser
induced fluorescence spectroscopy were used to characterize uranyl species formed
in the selected pH range. The micrographs show a regular distribution of U(VI) on
the cell surface. Fluorescence spectroscopic investigations of formed algal
uranyl complexes indicate that the binding of U(VI) to carboxyl groups plays a
dominating role at pH 3, whereas a minor impact of organic phosphate compounds on
the U(VI) sorption cannot be excluded. In contrast, at pH 5 and 6 the phosphate
groups are mainly responsible for the removal and binding of U(VI) by formation
of organic and/or inorganic uranyl phosphates.
PMID- 18060602
TI - Improvement of the anaerobic treatment of potato processing wastewater in a UASB
reactor by co-digestion with glycerol.
AB - The effect of three different types of glycerol on the performance of up-flow
anaerobic sludge blanket (UASB) reactors treating potato processing wastewater
was investigated. High COD removal efficiencies were obtained in both control and
supplemented UASB reactors (around 85%). By adding 2 ml glycerol product per
liter of raw wastewater, the biogas production could be increased by 0.74 l
biogas ml(-1) glycerol product, which leads to energy values in the range of 810
1270 kWh(electric) per m(3) product. Moreover, a better in-reactor biomass yield
was observed for the supplemented UASB reactor (0.012 g VSS g(-1) COD(removed))
compared to the UASB control (0.002 g VSS g(-1) COD(removed)), which suggests a
positive effect of glycerol on the sludge blanket growth.
PMID- 18060603
TI - Stereoselective oxidation of racemic 1-arylethanols by basil cultured cells of
Ocimum basilicum cv. Purpurascens.
AB - The biotransformation of racemic 1-phenylethanol (30 mg) with plant cultured
cells of basil (Ocimum basilicum cv. Purpurascens, 5 g wet wt) by shaking 120 rpm
at 25 degrees C for 7 days in the dark gave (R)-(+)-1-phenylethanol and
acetophenone in 34 and 24% yields, respectively. The biotransformation can be
applied to other 1-arylethanols and basil cells oxidized the (S)-alcohols to the
corresponding ketones remaining the (R)-alcohols in excellent ee.
PMID- 18060604
TI - [Integrated health care].
PMID- 18060605
TI - [Integrated care successfully optimizes the pathway of care. Positive outcomes
through consistent clinical pathways].
AB - The care of patients suffering from heart diseases has been embodied in the scope
of activities of the AOK Rheinland/Hamburg since the beginning of Integrated
Care, not least because of the relevant number of people suffering from
cardiovascular dis eases. There are many stations to pass from the idea via
conception to its implementation. However, this path seems to be worth treading.
Following initial, extensive negotiations -- particularly in terms of conception
-, the first data collected is clear. Realization of projects regarding
myocardial infarction, coronary heart disease/diabetes and acute coronary
syndrome shows that obligatory clinical pathways against the background of
guidelines can still save lives.
PMID- 18060606
TI - [Comprehensive care: CVD and diabetes mellitus].
AB - Diabetes and cardiovascular diseases (CVD) often appear as two sides of a coin.
Diabetologists and cardiologists join their forces in a supply grid to improve
the quality management in diagnosis and care in accordance with section 140a-d
SGB V in the version from January 1, 2004. An algorithm was developed to help
discover CVD in patients with diabetes and vice versa the metabolic diseases in
patients with CVD, setting the basis for appropriate joint therapy.
PMID- 18060607
TI - [New models to improve supply in patients with chronic heart failure].
AB - Frequency of chronic heart failure still increases. More and more resources have
been required for the diagnosis and therapy of this disease. This burden for
health-care systems will grow in the near future. In particular, clinical
treatment has a major impact. New approaches are essential to ensure an efficient
supply of patients with chronic heart failure in the next years. Models to
improve the supply give the opportunity to optimize the medical workflow and
involve the patient into the concept of care. Therefore, a variety of models have
been developed and up to now tested concerning benefit and applicability in
western industrial countries. The present article focuses on the fundamental
models to improve the supply of patients with chronic heart failure.
PMID- 18060608
TI - [Telemetric care of patients suffering from chronic heart failure with special
reference to the Telemetric Care and Education Program "Telemedizin furs Herz"
("Telemedicine for the Heart")].
AB - Chronic heart failure (CHF) is one of the most common diagnoses in internal
medicine. It frequently results in a considerable loss of patient quality of life
and is affected by improvable deficits regarding managed care, diagnosis, and
treatment. Integrated care conceptions with telemetric support for persons
concerned have proven to ameliorate the mentioned deficits. Apart from the
resulting medical benefits, another significant advantage of such approaches is a
reduction of costs of treatment and care for CHF patients due to a decrease in
hospitalizations, which account for the better part of total costs. In this
context the non-profit-making German foundation for chronic heart cases
("Deutsche Stiftung fur chronisch Herzkranke") has, in cooperation with the
statutory health insurance "Techniker Krankenkasse", developed an integrated,
telemetric care and education program for patients suffering from CHF. Since
January 2006, the conception stands open to all insurants of the participating
health insurance. A growing number of patients, momentarily 431, has been
inscribed and takes advantage of this program. The 27-month program seeks for
integration of all participating health-care providers. Patients are, by frequent
nurse-mediated telephone contact under supervision of physicians, intensely and
individually cared for. Throughout the program all patients take advantage of
repetitive teaching regarding individually relevant aspects of CHF. Subject to
the severity of their condition, the patients are, with telemetric support,
motivated to implement daily self-measurements of weight, blood pressure and
pulse into their everyday life. In the course of every telephone contact greatest
care is given to convey knowledge about limit values of measured parameters and
symptoms of decompensation on the basis of the acquired data. Participating
practicing physicians are regularly informed about the progress of their patients
and, if necessary, encouraged to enhance adequate, guideline- and evidence-based
pharmacological therapy. By means of interaction of all these program features
and subject matters, patients are, beyond the end of the program, empowered to
enduringly and self-dependently deal with their chronic disease. Intermediate
data suggest that participation of CHF patients in the depicted program is, among
other effects, able to decrease hospitalizations, optimize treatment, increase
quality of life, and decrease mortality.
PMID- 18060609
TI - [New health care delivery model in cardiology -- Myocardial Infarction Network
Essen].
AB - Current guidelines favor primary percutaneous coronary intervention (PCI) as the
strategy of choice for the treatment of acute ST segment elevation myocardial
infarction (STEMI). Already in its 1st year, the myocardial infarction network
"Herzinfarktverbund Essen" demonstrates with 489 patients the feasibility of an
exhaustive urban STEMI network with preference to patient transfer to high-volume
PCI centers. Furthermore, integrated health care as an optional part of the
"Herzinfarktverbund" offers reimbursement for modern and innovative diagnostic
procedures, therapies, and rehabilitation.
PMID- 18060610
TI - [Treatment of acute ST Elevation myocardial infarction in a regional network
("Drip & Ship Network Rostock")].
AB - Management of acute ST elevation myocardial infarction (STEMI) demands rapid and
complete reperfusion of the infarct-related artery (IRA). With postinfarction
prognosis depending on time delay from onset of symptoms to complete reperfusion
(TIMI 3 flow) of the IRA, primary percutaneous coronary intervention (PPCI)
performed by an experienced team has been shown to be superior to thrombolytic
therapy with lower mortality, less frequent occurrence of nonfatal reinfarction
and stroke, and thus represents the preferred treatment strategy according to the
national and international guidelines. For regional implementation of PPCI,
particularly in rural areas, information and transfer logistics within networks
of care and direct transport of an infarction patient to a PCI hospital rather
than to the closest hospital are a challenge. With successful implementation of
network logistics and standardized therapeutic pathways, current guidelines and
requested timelines versus thrombolysis could be met. The implemented logistics
comprised 24 h/7 days stand-by services of an experienced PCI team, direct
telephone hotline contact between rescue service/emergency physician and
interventional cardiologist on call, and direct open access to a catheterization
laboratory at any time. Within the Drip&Ship network Rostock, to date (July 2007)
1,022 consecutive patients with PCI for STEMI were documented and analyzed over 5
years; of these, 490 patients were transferred from a community hospital to the
PCI center and 532 patients were admitted directly to the interventional center.
In 95.1% of all transferred and in 94.8% of all directly admitted patients, PCI
was successfully accomplished upon arrival. A normalized flow to the IRA after
PCI was documented in 96% of both groups, no patient was subjected to
thrombolytic therapy. At 12-month follow-up, there were no differences between
both groups with respect to infarct size and mortality. Moreover, there was no
evidence of differences in left ventricular ejection fraction between groups.
Thus, transportation of STEMI patients within an established PCI network did not
result in any prognostic disadvantage. Efficient network logistics with
transportation for PPCI in acute STEMI ensure both safety and outcome profiles
similar to patients treated by PCI in metropolitan areas.
PMID- 18060611
TI - [Telemonitoring of cardiovascular diseases in Germany. Standing position and
perspectives].
AB - The changes in the demographic structure, the increasing multimorbidity in
connection with a rise in the number of chronic illnesses and the absence of an
effective coordination of the different levels of healthcare services with its
discontinuous processes and redundancies will lead to intolerable economic
burdens in the German health-care system, affecting medical, health-political and
economic dimensions alike. This is the significance in terms of content and
strategy of "health telematics" as an application of modern telecommunication and
information technologies in the health-care system, and of "E-Health" as a
specification of all services, quality improvements and rationalization effects,
which are achievable by digitizing data collection as well as communication
processes. Not only do digitizing and electronic transmission offer a better,
faster and safer way of communication, but by possibilities of combining data
they also allow the rationalization and quality-improving introduction of new
methods of diagnosis, therapy and aftercare. The latest developments and
appropriate logistic premises nowadays offer a realistic basis for implementing
telemonitoring as a central service and information tool as well as an instrument
controlling the information and data flow between patient, hospital and medical
practitioner. Considering the enormous significance of cardiovascular diseases,
focusing on corresponding cardiologic disease patterns seems almost self-evident.
Notwithstanding remarkable medical progress during the past few years,
cardiovascular diseases are still the number one cause of death in industrialized
countries. In the cardiologic sector, telemedical systems are most commonly used
with patients suffering from coronary heart diseases, e.g., for the detection of
unclear dysrhythmia, as well as with patients suffering from chronic heart
failure. Seen from a medical point of view, it is paramount to judge the clinical
situation without delay as well as to take necessary therapeutic measures timely
and to control their efficiency over a long period of time.Consequently,
telemedical projects include the establishment of a nonstop monitoring of
patients with increased or high risk of cardiovascular incidents, starting with
the hospitalization, postoperative/post in-house health care and up to home care.
This kind of monitoring needs to be adjustable to the respective situation
modularly in order to guarantee a smooth possibility of surveillance both in the
stationary and the ambulant sector, which, in addition, has to be individually
adjustable to the demand of required monitoring functions (heart rate, blood
pressure, S-T segments, oxygen satiation, weight, breathing rate, and
temperature) and the intensity of the monitoring (event recording, "on-demand"
vs. continuous monitoring). Certainly rich in meaning for the future is the
integrated telemedicine care of a "primary" cardiac patient with his relevant
comorbidities: diabetes and coagulation monitoring, respectively.
PMID- 18060612
TI - Sirolimus-eluting versus bare-metal stents for the reduction of coronary
restenosis: 18-month angiographic results from the GERSHWIN Study.
AB - PURPOSE: The GERSHWIN study (German Stent Health Outcome and Economics Within
Normal Practice) was designed to evaluate long-term effects of treatment of
coronary artery disease (CAD) with sirolimus-eluting stents (SES), as compared to
bare-metal stents (BMS). PATIENTS AND METHODS: Within a multicenter, prospective
intervention study in 35 hospitals throughout Germany, CAD patients with coronary
stenosis and elective percutaneous coronary intervention (PCI) indication were
treated either with SES or BMS (sequential control design with a case-to-control
ratio of 2 : 1). Standardized questionnaires were completed by patients and their
physicians at baseline, 3, 6, 12, and 18 months following PCI to document re-PCI
for restenosis, myocardial infarction (MI), coronary bypass surgery (CABG), and
death. Angiographic PCI documentation was evaluated by an independent expert.
RESULTS: From April 2003 until June 2005, 658 patients were treated with SES
(mean age 63 +/- 9 years, 87% male) and 294 patients with BMS (mean age 64 +/- 10
years, 79% male). Significant baseline differences were found by age, gender,
household status, three vessel disease, and number of implanted stents. After 18
months, 8% of the SES versus 17% of the BMS group had undergone target vessel
revascularization (p adjusted < 0.0001). There were no significant differences
between BMS and SES regarding MI, CABG, or death. Re-PCI of target and new non
target vessel lesions was performed at a significantly lower degree of stenosis
in SES than in BMS. CONCLUSION: Compared to patients with BMS, patients with
implantation of SES experienced considerably fewer target vessel
revascularizations. The threshold to perform re-PCI appeared lower in SES than in
BMS. An extended evaluation of the effects of SES will be available from the 3
year follow-up of the GERSHWIN study.
PMID- 18060613
TI - Are anomalies of the caval veins more common in complex congenital heart disease?
AB - BACKGROUND AND PURPOSE: Anomalies of the caval veins are considered to be common
cardiac malformations. The knowledge of the varieties of the caval venous system
is essential for cardiovascular surgery, pacemaker implantation, intensive care
medicine or cardiac catheterization. The authors wanted to know, if anomalies of
the caval veins are related to the type and complexity of congenital heart
disease. MATERIAL AND METHODS: The records of 1,631 patients who were
catheterized between 1991 and 2003 were reviewed. RESULTS: 92 of these had
anomalies of either the superior or inferior caval vein. 23 had simple congenital
heart disease, while in 69 this was considered to be complex (p < 0.001).
Embryologic considerations are discussed. CONCLUSION: It could be proven that
anomalies of the caval veins are more often related to complex congenital heart
disease. Embryologic considerations show at least a coincidence of the
development of the caval veins and of congenital heart disease. For clinical work
with these patients the knowledge of these anomalies is important.
PMID- 18060614
TI - [An unusual cause of recurrent chest pain in a highly trained recreational
athlete].
AB - ANAMNESIS: Here, the case of a 48-year-old highly trained patient without classic
myocardial risk factors is described who reported on frequent and recurrent
angina pectoris. In a previous examination, the test for cardiac troponin T
(cTnT) was slightly positive, however, cardiac examination including myocardial
perfusion scintigraphy and coronary catheterization was without pathologic
findings. Worth mentioning in the past medical history was a rheumatoid arthritis
with persistent Raynaud's symptoms and hemoglobin as well as hematocrit levels in
the upper normal range. EXAMINATIONS AND COURSE OF EVENTS: The patient reported
that symptoms would occur most likely during long-term endurance exercise.
Therefore, a bicycle ergometry with 180 W and open ending was performed. After
1.55 h, the patient complained of severe angina pectoris. The ECG showed massive
ST segment elevations in II, III, and aVF (Figure 2). However, coronary
catheterization showed no major stenosis or occlusion. Nevertheless, cTnT
increased to 0.979 ng/ml. (Therefore, the reason for the symptomatic ST elevation
was believed to be a myocardial tissue damage due to coronary vasospasm and the
patient received an antivasospastic medication (amlodipine, atorvastatin, and
acetylsalicylic acid [ASS]). After 6 months, the patient had a relapse during
moderate physical activity. The ECG showed an ST segment depression in V(4) and
V(5) while markers for myocardial tissue damage including cTnT were negative. A
coronary CT angiography was performed that revealed a subtotal stenosis of the
proximal LAD (Fig ure 3), which was successfully treated by angioplasty with
subsequent stenting. In the course of further examinations, a polycythemia vera
(JAK2-V617 mutation) was diagnosed as the cause for the high hemoglobin and
hematocrit levels. Since then, the patient was without further events under a
medication consisting of ASS, atorvastatin, candesartan, and intermittent
phlebotomy. CONCLUSION: Although a relative weighting is difficult, it can be
assumed that the combined effects of a polycythemia- associated
hypercoagulability, an increased reagibility of the coronary arteries (aggravated
by physical stress) as well as a hemoconcentration following prolonged exercise,
could account for symptomatic recurrent minor thrombotic coronary events as well
as the subtotal occlusion of the LAD. Obviously, this could not be prevented by a
healthy lifestyle, regular physical activity, and the absence of classic coronary
risk factors.
PMID- 18060615
TI - Protection of INS-1 cells from free fatty acid-induced apoptosis by inhibiting
the glycogen synthase kinase-3.
AB - To examine the role of glycogen synthase kinase 3 (GSK-3) in the apoptosis of
pancreatic beta-cells to better understand the pathogenesis and to find new
approach to the treatment of type 2 diabetes, apoptosis was induced by oleic acid
(OA) in INS-1 cells and the activity of GSK-3 was inhibited by LiCl. The PI
staining and flow cytometry were employed for the evaluation of apoptosis. The
phosphorylation level of GSK-3 was detected by Western blotting. The results
showed that OA at 0.4 mmol/L could cause conspicuous apoptosis of INS-1 cells and
the activity of GSK-3 was significantly increased. After the treatment with 24
mmol/L of LiCl, a inhibitor of GSK-3, the OA-induced apoptosis of INS-1 cells was
lessened and the phosphorylation of GSK-3 was increased remarkably. It is
concluded that GSK-3 activation plays an important role in OA-induced apoptosis
in pancreatic beta-cells and inhibition of the GSK-3 activity can effectively
protect INS-1 cells from the OA-induced apoptosis. Our study provides a new
experimental basis and target for the clinical treatment of type-2 diabetes.
PMID- 18060616
TI - Construction of recombinant plasmid harboring APP717 mutation and preliminary
study of APP proteolysis.
AB - In order to investigate the pathogenesis of Alzheimer disease (AD) and study the
enzymatic progress of amyloid precursor protein (APP), the fluorescent eukaryotic
expression plasmid of C99 was constructed containing APP717 mutation. The
fragment encoding the last 99-aa of APP (which was named C99 containing APP717
mutation), together with the fragment encoding yellow fluorescence protein (which
was named YFP) were amplified by PCR. The two fragments (YFP and C99) were
inserted into the vector pcDNA3.0. The recombinant plasmid pcDNA3.0-YFP-C99 was
accomplished and its authenticity was confirmed by enzyme digestion and
sequencing. Then SH-SY5Y cells were transiently transfected with the recombinant
plasmid pcDNA3.0-YFP-C99. The expression of the fusion gene was detected by laser
confocalmicroscopy. Amyloid-beta (Abeta) was detected by both microscopy and
immunochemistry. The authenticity of the construct was confirmed by the
endonuclease digestion and DNA sequencing. The YFP fluorescence could be seen and
proved the expression of fusion gene. Abeta labeled by YFP was detected by
confocalmicroscopy and confirmed by immunocytochemistry. It was found that Abeta
accumulated and deposited in the intracytoplasm, membrane and outside of the
cell. Furthermore, Abeta accumulated mainly within the cell ahead of the
deposition in the cell space and the cell shape was rough. It was suggested that
Abeta could be generated within the cells. Abeta accumulated in the cell at the
early stage before the deposition outside of the cells. Intracellular Abeta
accumulation induced the secondary damage to the cells and caused the cell shape
rough. Taken together, the recombinant plasmid, pcDNA3.0-YFP-C99 could be a
useful tool to further study the cleavage mechanism of APP and to explore the
pathogenesis of AD.
PMID- 18060617
TI - Effect of ATRA on contents of liver retinoids, oxidative stress and hepatic
injury in rat model of extrahepatic cholestasis.
AB - The effects of all-trans-retinoic acid (ATRA) administration on the concentration
of retinoids (RA and vitamin A) in liver, oxidative stress and the hepatic injury
in a rat model of common bile duct ligation (CBDL)-induced liver injury were
investigated. Female rats were subjected to a sham (n=5) or CBDL (n=48). Two
weeks after operation, rats undergoing CBDL were randomized to receive treatment
with either ATRA at three different doses (0.1, 1.5, 7.5 mg/kg) dissolved in bean
oil or only bean oil every day over a 4-week experimental period. Rats were
killed and blood samples were collected from the heart for determination of the
serum transaminase. The contents of retinoids in rat liver were detected by using
HPLC. Malondialdehyde (MDA), glutathione (GSH) and superoxide dismutase (SOD)
levels in liver were determined by a spectrophotometric method according to the
instruction of the kits. Liver pathologic changes were observed under the light
microscopy and electron microscopy. The results showed that compared with sham
operated group, the levels of retinoids in the liver tissue were significantly
decreased in the CBDL group (P<0.01). ATRA (0.1 mg/kg) administration in CBDL
rats partially restored the contents of retinoids (P<0.05). Liver RA and vitamin
A contents in CBDL group were significantly increased after ATRA (1.5 and 7.5
mg/kg) supplementation as compared with sham-operated group (P<0.05). However, in
ATRA-treated CBDL group, hepatic GSH level and SOD activity, depressed by CBDL,
and hepatic MDA level, increased by CBDL were returned to those in sham-operated
group (P<0.05). The histologic observation of liver tissues indicated that ATRA
treatment notably alleviated hepatocellular swelling, steatosis, the swelling of
mitochondria and proliferation of smooth endoplasmic reticulum (SER). Treatment
with ATRA could reduce levels of serum transaminase as compared with sham
operated group, more greatly in 1.5 and 7.5 mg/kg ATRA-treated groups than in 0.1
mg/kg ATRA-treated group. It was concluded that ATRA treatment can recover MDA
and GSH levels and SOD activity in CBDL rat liver through restoring RA and
vitamin A contents, and eventually ameliorate liver injury.
PMID- 18060618
TI - Clinical value of vascular endothelial growth factor combined with interferon
gamma in diagnosing malignant pleural effusion and tuberculous pleural effusion.
AB - In order to investigate the clinical value of vascular endothelial growth factor
(VEGF) combined with interferon-gamma (IFN-gamma) in diagnosing malignant pleural
effusion and tuberculous pleural effusion, 42 cases of malignant pleural effusion
and 45 cases of tuberculous pleural effusion in Tongji Hospital, from March 2004
to May 2005, were included. The carcinoembryonic antigen (CEA), VEGF and IFN
gamma levels of pleural effusion were detected by using ELISA, and adenosine
deaminase (ADA) activity was determined by using enzyme kinetic analytical
method. The sensitivity, specificity, accuracy and area under the curve
(AUC(ROC)) of CEA and VEGF, VEGF/IFN-gamma ratio, ADA and IFN-gamma were measured
by receiver operating characteristic curve (ROC). The results showed that CEA,
VEGF levels and VEGF/IFN-gamma ratio were significantly higher and the ADA and
IFN-gamma levels were significantly lower in malignant group than those in
tuberculous group (P<0.01). The sensitivity, specificity, accuracy and AUC(ROC)
of VEGF/IFN-gamma ratio (88.7%, 99.8%, 94.4%, 0.96 respectively) were higher than
those of CEA (67.8%, 96.1%, 82.4%, 0.78 respectively) and VEGF (81.5%, 84.3%,
82.9%, 0.79 respectively). The sensitivity, specificity, accuracy and AUC(ROC) of
IFN-gamma (85.7%, 96.4%, 90.9%, 0.94 respectively) were higher than those of ADA
(80.2%, 87.6%, 83.8%, 0.81 respectively). It was concluded that VEGF/IFN-gamma
ratio and IFN-gamma could be used as valuable parameters for the differential
diagnosis of malignant pleural effusion and tuberculous pleural effusion.
PMID- 18060619
TI - Expression of interleukin-17 in lung and peripheral blood of asthmatic rats and
the influence of dexamethasone.
AB - The expression of interleukin-17 (IL-17) in lung and peripheral blood of
asthmatic rats and the influence of dexamethasone, and the role of IL-17 in the
pathogenesis of asthma were investigated. Thirty Sprague-Dawley (SD) adult rats
were randomly divided into three groups (n=10 in each group): normal group,
asthmatic group, and dexamethasone-interfered group. Rat asthmatic model was
established by intraperitoneal (i.p.) injection of 10% ovalbumin (OVA) and
challenge with 1% OVA via inhalation. Rats in dexamethasone-interfered group were
pretreated with dexamethasone (2 mg/kg, i.p.) 30 min before each challenge. The
expression of IL-17 protein in serum and bronchoalveolar lavage fluid (BALF) was
detected by ELISA. The expression of IL-17 mRNA in peripheral blood mononuclear
cells (PBMC) and BALF cells was semi-quantitatively detected by RT-PCR. The
expression of IL-17 protein in serum and BALF of asthmatic rats was significantly
elevated as compared with normal rats and dexamethasone-interfered rats (P<0.01),
and there was significant difference between normal rats and dexamethasone
interfered rats (P<0.05). The expression of IL-17 mRNA in PBMC and BALF cells of
asthmatic rats was markedly increased as compared with normal rats and
dexamethasone-interfered rats (P<0.01), and significant difference was found
between normal rats and dexamethasone-interfered rats (P<0.05). It was concluded
that the expression of IL-17 was increased significantly in asthmatic rats and
could be inhibited partly by dexamethasone, suggesting that IL-17 might play an
important role in the pathogenesis of asthma as an inflammation regulation
factor.
PMID- 18060620
TI - The effect of interleukin-18 on airway inflammation in asthmatic murine models
and its mechanisms.
AB - In order to investigate the effect of interleukin-18 (IL-18) on airway
inflammation in asthmatic murine models and its mechanisms, BALB/C mice were
randomly divided into three groups (n=10 in each group): group A (control group);
group B (asthmatic model group); group C (IL-18-treated group). The asthmatic
model was established in groups B and C by respiratory syncytial virus (RSV)
killed by ultraviolet. Saline solution (0.1 mL) and IL-18 (0.1 mL, 1 microg) were
intraperitoneally injected respectively in groups B and C at 7 time points (day
1, 2, 7, 8, 9, 21, 22). The number of eosinophils (EOS) and plasmacytes in the
airway was observed. The levels of interferon gamma (IFN-gamma) in
bronchoalveolar lavage fluid (BALF) were measured by ELISA. The results showed
that symptoms of asthma in group C were more severe than in groups A and B. In
group A, there were no EOS and plasmacytes in the airway submucosa. The number of
EOS [15+/-3 (average cell counts per microscopic visual field, the same below)]
and plasmacytes (10+/-2) in group B were increased significantly. However, the
number of EOS and plasmacytes in group C (6+/-2 and 2+/-1, respectively) was
decreased significantly as compared with group B (both P<0.05). The levels of IFN
gamma in groups A, B and C were 31+/-3, 40+/-5 and 63+/-5 pg/mL respectively, and
those in group C were significantly higher than in groups A and B (both P<0.05).
It was suggested that the mechanism by which IL-18 inhibited the airway
inflammation in asthmatic mice might be contributed to the fact that IL-18 could
induce the induction of IFN-gamma.
PMID- 18060621
TI - Dynamic expression of tumor necrosis factor-alpha and vascular endothelial growth
factor in rat model of pulmonary emphysema induced by smoke exposure.
AB - In order to explore the roles of tumor necrosis factor-alpha (TNF-alpha) and
vascular endothelial growth factor (VEGF) in the pathogenesis of pulmonary
emphysema, male Wistar rats were randomized into group A(1), group A(2.5) and
group A(4), each with smoke exposure for 1 month, 2.5 months or 4 months,
respectively. Group B(1), group B(2.5) and group B(4) were used as non smoking
controls at corresponding time points. TNF-alpha in bronchoalveolar lavage fluid
(BALF) and expression of VEGF in lung tissue was determined by ELISA or by SABC
immunohistochemistry assay either. Lung slices were stained with hematoxylin and
eosin (HE). Results showed that in animal with smoke exposure the mean linear
interceptor (Lm), an index of pulmonary emphysema and the content of TNF-alpha in
BALF increased gradually, on contrary, the expression of VEGF in lung tissue
decreased (P<0.05). This phenomenon was not obvious in animals without smoke
exposure. Lm was negatively correlated to the VEGF expression (gamma=-0.81,
P<0.01) and positively correlated to TNF-alpha concentration (gamma = 0.52,
P<0.004), which implies that smoke exposure decreased the expression of VEGF and
increased the expression of TNF-alpha. It is plausible to speculate that the
imbalance of TNF-alpha and VEGF may play an important role in the pathogenesis of
smoke-induced pulmonary emphysema.
PMID- 18060622
TI - Resveratrol inhibits the secretion of vascular endothelial growth factor and
subsequent proliferation in human leukemia U937 cells.
AB - This study examined the effect of resveratrol on the secretion of vascular
endothelial growth factor (VEGF) and subsequent proliferation of human leukemia
U937 cells, and explored the mechanisms involved. Human leukemia U937 cells were
treated with resveratrol of different concentrations (12.5-200 micromol/L) for
different time lengths (12-48 h). The proliferation of the U937 leukemic cells
was determined by MTT assay. Apoptosis was observed by Annexin-V-FIFC/PI double
staining and flow cytometry (FCM). Cells cycle was analyzed by PI staining and
FCM. The content of VEGF was determined by ELISA. Human umbilical vein
endothelial cells were examined for vasoformation in vitro after exposures to
resveratrol of various concentrations. The results showed that resveratrol
inhibited the proliferation of U937 leukemia cells in a dose-and time-dependent
manner. Resveratrol induced apoptosis and S-phase cell cycle arrest in human
leukemic U937 cells. Resveratrol inhibited the secretion of VEGF in U937 cells.
Resveratrol inhibited the vasoformation of human vein endothelial cells in a dose
dependent manner. It was concluded that resveratrol could down-regulate the
secretion of VEGF, induce apoptosis and suppress the proliferation of U937 cells.
PMID- 18060623
TI - Antitumor effect of interferon-alpha on U937 human acute leukemia cells in vitro
and its molecular mechanism.
AB - In order to investigate the antitumor effect and molecular mechanism of
interferon-alpha (IFN-alpha) on human acute myeloid leukemia cell line U937 cells
in vitro, the proliferation of U937 cells was determined by MTT assay, the
apoptosis rate was analyzed by flow cytometry (FCM), and the mRNA expression of
cell cycle regulatory protein cyclin E was detected by RT-PCR. The results showed
that IFN-alpha could inhibit the proliferation of U937 cells significantly in a
dose-and time-dependent way (P<0.01), and induce the apoptosis of U937 cells also
in a dose-and time-dependent manner at the concentration of 1000-4000 U/L
(P<0.01). The apoptosis rate of U937 cells was even over 50% when cultured with
IFN-alpha for 36-48 h at the concentration of 2000-4000 U/L. Moreover, the
expression of cyclin E mRNA was markedly inhibited by the addition of IFN-alpha,
and the inhibition was time-dependent (P<0.01). It was concluded that the anti
leukemia mechanism of IFN-alpha might be correlated with its antiproliferative
and apoptotic inducing effects, and the down-regulation of the cyclin E
expression might be one of its molecular mechanisms.
PMID- 18060624
TI - Effect of calcium-channel antagonist on repolarization heterogeneity of
ventricular myocardium in an in vitro rabbit model of long QT syndrome.
AB - Intracellular Ca(2+) and Ca(2+)-dependent signaling molecule play an essential
role in the genesis of long-QT (LQT) syndrome-related ventricular arrhythmias.
The effect of calcium-channel antagonist verapamil on repolarization
heterogeneity of ventricular myocardium was assessed in an in vitro rabbit model
of LQT syndrome. By using the monophasic action potential (MAP) recording
technique, MAPs of epicardium, mid-myocardium and endocardium were simultaneously
recorded by specially designed plunge-needle electrodes across the left
ventricular free wall in rabbit hearts perfused by Langendorff method with
standard Tyrode's solution. Bradycardia was induced by complete ablation of
atrioventricular node. A catheter was introduced into the right ventricle to pace
at the cycle lengths (CLs) of 1500, 1000, and 500 ms, successively. Quinidine (2
micromol/L) prolonged QT interval and ventricular MAP duration (MAPD), and
increased transmural dispersion of repolarization (TDR) in a reverse rate
dependent fashion in isolated rabbit heart. No polymorphic ventricular
tachycardias were induced under this condition. The effective free therapeutic
plasma concentrations of verapamil (0.01-0.05 micromol/L) used in this experiment
had no effect on quinidine-induced changes of QT interval, MAPD and TDR. This
study demonstrated that, in this model of LQT syndrome, blockade of calcium
channel with verapamil had no effect on quinidine-induced changes of
repolatiation heterogeneity of ventricular myocardium.
PMID- 18060625
TI - Association of G+1688A polymorphism of platelet endothelial cell adhesion
molecule-1 gene with myocardial infarction in the Chinese Han population.
AB - In order to investigate the association of G+1688A (Ser563Asn) polymorphism of
platelet endothelial cell adhesion molecule-1 (PECAM-1) gene with myocardial
infarction (MI) in the Chinese Han population, the G+1688A polymorphism in PECAM
1 gene was detected by polymerase chain reaction-restriction fragment-length
polymorphism (PCR-RFLP) method among 502 subjects, including 218 patients with MI
and 284 controls. The results showed that there was significant difference in AA
frequencies of genotype G+1688A polymorphism between case and control groups (39%
vs 24%, P<0.001). A similar trend was observed on the allele frequencies (A/G:
62% vs 49%, P<0.001). Among the subjects with high serum total cholesterol level
or high systolic blood pressure level, the variant AA genotype was associated
with high risk of MI (adjusted OR, 2.13; 95% CI, 1.08-4.41 and adjusted OR, 2.53;
95%CI, 1.63-3.63). The single nucleotide polymorphism (SNP) at position +1688 in
the exon 8 of PECAM-1 gene was associated with MI and the allele A might be a
risk factor for MI in the Chinese Han population.
PMID- 18060626
TI - Changes of CD4+CD25+ regulatory T cells in patients with acute coronary syndrome
and the effects of atorvastatin.
AB - The function of CD4(+)CD25(+) regulatory T lymphocytes (Treg) in patients with
acute coronary syndrome (ACS) and the effects of atorvastatin were investigated.
Forty-eight patients with ACS were randomly divided into two groups: group C
receiving conventional therapy (n=24), and group C+A receiving conventional
therapy+atorvastatin (10 mg/day, n=24). T lymphocytes from ACS patients (before
and 2 weeks after the treatment) or 18 healthy subjects were separated and the
flow cytometry was used to measure the percentage of Treg. The inhibitory ability
of Treg on effector T cells was determined by mixed lymphocyte reaction (MLR).
ELISA was used to measure the serum levels of cytokines (IL-10, TGF-beta1 and IFN
gamma) before and after treatment. The results showed that as compared with
normal control group, Treg percentage was decreased significantly (P<0.01), the
inhibitory ability of Treg on the T lymphocytes proliferation was reduced
(P<0.01), IFN-gamma levels were increased and IL-10 and TGF-beta1 levels were
lowered in ACS patients. After treatment with atorvastatin, Treg percentage and
the inhibitory ability of Treg on T lymphocytes proliferation were significantly
increased in ACS patients. Serum IFN-gamma was decreased significantly, while IL
10 and TGF-beta1 were elevated significantly as compared with the non
atorvastatin group. The number of Treg was positively correlated with serum TGF
beta1, but negatively with serum IFN-gamma and CRP. It was concluded that ACS was
associated with decreased number and defected function of Treg, which may play an
important role in initiating immune-inflammatory response in ACS. The inhibitory
effects of atorvastatin on inflammation in ACS may be due to its beneficial
effects on Treg and restoration of immune homeostasis.
PMID- 18060627
TI - Preventive effects of nitroglycerine on glucocorticoid-induced osteoporosis in
growing rats.
AB - The preventive effects of nitroglycerine (NG) on glucocorticoid-induced
osteoporosis in growing rats were studied. Three-month-old female Wistar rats
were randomly divided into control group (CON), dexamethasone group (DXM), DXM
plus a low dose NG group (NG-L), DXM plus a middle dose NG group (NG-M) and DXM
plus a high dose NG group (NG-H), 8 rats in each group. The rat model of
osteoporosis was developed by intramuscular injection of dexamethasone twice a
week. NG 0.2, 0.4 and 1.0 mg/kg was administered by oral gavages to the treatment
groups every day for 12 weeks. Rats in CON group and DXM group were treated with
normal saline of the same amount. After the treatment, the bone mineral density
(BMD) and bone metabolism-associated biochemical markers were determined.
Compared with CON group, BMD of lumbar spine and femur in DXM group was decreased
significantly (P<0.05 and P<0.01 respectively), blood BGP levels and NO levels
reduced (both P<0.01), and TRAP level increased (P<0.05). As compared with DXM
group, BMD, serum BGP and NO were increased, and TRAP decreased in NG-L group and
NG-M group, but had no significant difference in comparison to CON group. All the
markers other than serum NO and TRAP levels had no significant difference between
NG-H group and DXM group. It was concluded that low or middle doses of NG could
prevent glucocorticoid-induced bone loss in growing rats, but high dose of NG
could not. Supplement with NO donor could be considered as a preventive treatment
for glucocorticoid-induced osteoporosis in a developing skeleton.
PMID- 18060628
TI - Detection of HBV and HCV coinfection by TEM with Au nanoparticle gene probes.
AB - Gold(Au) nanoparticle HBV DNA or HCV cDNA gene probes were prepared and were used
to detect HBV DNA and HCV RNA extracted from positive serum of patients with HBV
and HCV coinfection directly by transmission electron microscopy (TEM). PCR
identifying HBV and HCV in serum of patients with HBV and HCV coinfection was
established. Alkanethiol-modified oligonucleotide was bound with self-made Au
nanoparticles to form nanoparticle HBV DNA or HCV cDNA gene probes through
covalent binding of Au-S. HBV DNA and HCV RNA extracted from positive serum of
patients with HBV and HCV coinfection was added to the detection system composed
of nanoparticle HBV DNA and(or) HCV cDNA gene probes. The results showed that HBV
DNA and HCV RNA could be specifically amplified by PCR. The zones of DNA
amplification appeared in 431 bp and 323 bp respectively. When HBV DNA and HCV
RNA extracted from positive serum of patients with HBV and HCV coinfection were
added to the detection system, TEM displayed the nanoparticles self-assembled
into large network aggregates. It was concluded that the detection of HBV and HCV
coinfection by TEM was convenient and efficient with high specificity and
sensitivity.
PMID- 18060629
TI - Effect of TSLC1 gene on proliferation, invasion and apoptosis of human
hepatocellular carcinoma cell line HepG2.
AB - The recombinant plasmid pCI-TSLC1 carrying TSLC1 gene was stably transfected into
human hepatocellular carcinoma cell line HepG2. Cell proliferation was analyzed
by MTT assay. The ability of migration was determined by transwell and FACSort
flow cytometry was used to detect the cell cycle distribution and apoptosis.
Western blotting revealed that H4 expressed higher amounts of TSLC1 protein than
H15 and H0 did. The growth of TSLC1-transfected cells was significantly
suppressed in vitro, and the ability of migration was reduced as well. The re
expression of TSLC1 could induce cell apoptosis. It was concluded that TSLC1
strongly inhibited the growth and ability of migration of HepG2 cell line in
vitro and also induced apoptosis, suggesting that TSLC1 could reduce the
tumorigenicity of human hepatocellular carcinoma cell line HepG2 in vitro, which
provided a basis for further exploring the roles of TSLC1 in hepatocellular
cellular carcinoma.
PMID- 18060630
TI - Differential gene expression profiles in acute hepatic failure model in mice
infected with MHV-3 virus intervened by anti-hepatic failure compound.
AB - Differential gene expression profiles in Balb/cJ mouse model of acute hepatic
failure infected with MHV-3 virus intervened by anti-hepatic failure compound
(AHFC) and the changes of cytokines regulated by genes were investigated. The
Balb/cj mice were divided into AHFC-intervened group and control group randomly.
Acute hepatic failure model of Balb/cJ mice infected with MHV-3 virus was
established. The survival rate in the two groups was observed. It was found that
the survival rate in the AHFC-intervened group and control group was 90% and 50%
respectively 48 h after intraperitoneal injection of MHV-3 (P<0.05). Before and
after the experiment, the cytokines in peripheral blood of the survival mice were
determined, and RNA was extracted from survival mouse liver tissue for the
analysis of the differential gene expression by a 36 kb mouse oligonucleotide DNA
array. In all the genes of microarray there were 332 genes expressed differently
in the two groups, in which 234 genes were up-regulated and 78 genes down
regulated. Through clustering analysis, the differential expression of immune
related genes, including TNF receptor superfamily, Kctd9, Bcl-2, Fgl2, IL-8, IL
6, IFN-gamma, TNF-alpha etc. might be related with the curative effectiveness of
AHFC. It was suggested that AHFC can balance the immune state of mouse model of
acute hepatic failure infected with MHV-3 virus mainly through regulating the
expression of immune related genes, decrease the immune damage and inhibit liver
cell apoptosis of mouse acute hepatic failure model obviously so as to increase
the survival rate of mouse models of acute hepatic failure.
PMID- 18060631
TI - Effects of 5-Aza-CdR on cell proliferation of breast cancer cell line MDA-MB-435S
and expression of maspin gene.
AB - The effects of 5-Aza-2'-deoxycytidine (5-Aza-CdR) on the proliferation of MDA-MB
435S cells and the expression of tumor suppressor gene maspin were investigated.
Human breast cancer cell line MDA-MB-435S was treated with 5 micromol/L 5-Aza
CdR, a specific demethylating agent for 0 to 8 days. The growth of MDA-MB-435S
cells was observed by MTT assay before and after 5-Aza-CdR treatment,
respectively. The expression of maspin mRNA was detected by reverse transcription
polymerase chain reaction (RT-PCR). The cell cycle of MDA-MB-435S cells was
analyzed by flow cytometry. The results showed that the growth of MDA-MB-435S
cells treated with 5-Aza-CdR for 8 days was significantly suppressed as compared
with the control groups, and the inhibition rate increased sharply from 5 day to
8 day (35.42% to 71.29%). Flow cytometry showed that 5 micromol/L 5-Aza-CdR could
induce G(2)/M cell cycle arrest and decrease the percentage of mitosis cell
number in this cell line. Maspin mRNA was expressed in MDA-MB-435S cells after 5
Aza-CdR treatment, but it was weakly detectable before the treatment. It was
concluded that Maspin gene might be transcriptional silencing by hypermethylation
and the re-expression of maspin gene by 5-Aza-CdR can inhibit the proliferation
and induce the G2/M arrest of MDA-MB-435S breast cancer cells.
PMID- 18060632
TI - Modulatory effects of EPA and DHA on proliferation and apoptosis of pancreatic
cancer cells.
AB - In order to investigate the effects of eicosapentaenoic acid (EPA) and
docosahexaenoic acid (DHA) on the proliferation, apoptosis of pancreatic cancer
cell line SW1990 cells and the expression of cyclin E mRNA, the SW1990 cells were
treated with different concentrations of EPA or DHA (20, 40, 60 microg/mL) for 0,
12, 24, 36 and 48 h respectively. By using MTT method, the inhibitory effects of
EPA or DHA on the cell growth were assayed. Real time PCR was used to detect the
expression changes of cyclin E mRNA after the SW1990 cells were treated with 40
microg/mL EPA or DHA for different time. Flow cytometry was used to test the
changes of apoptostic rate in the SW1990 cells treated with different
concentrations of EPA or DHA for 24 h. The results showed that EPA and DHA could
inhibit the growth of SW1990 cells in a time-and concentration-dependent manner
(P<0.01). EPA or DHA could also significantly inhibit the expression of cyclin E
mRNA in a time-dependent manner (P<0.05). EPA or DHA could induce the apoptosis
of SW1990 cells in a concentration-dependent manner (P<0.01). It was concluded
that omega-3 fatty acid could inhibit the proliferation of pancreatic cancer cell
line SW1990 cells and promote their apoptosis. The down-regulation of the cyclin
E expression by omega-3 fatty acid might be one of the mechanisms for its anti
tumor effect on pancreatic cancer.
PMID- 18060633
TI - Effect of anti-KDR antibody on the proliferation of hemangioma vascular
endothelial cells in vitro.
AB - The suppressive effect of anti-KDR antibody against VEGF on proliferation of
hemangioma-derived vascular endothelial cells (HVECs) was investigated. HVECs
from one case of hemangioma in proliferative phase were cultured. Both primary
culture and sub-culture were conducted in M199 medium. The HVECs of passage 3
were divided into 4 groups based on the concentrations of anti-KDR antibody. Cell
count was performed and inhibitory rate of HVECs was measured before and 9 days
after interference. The results showed that the number of HVECs in the anti-KDR
antibody-treated groups was significantly decreased and the inhibitory rate of
HVECs by anti-KDR antibody (50, 10 and 2 microg/mL) was 84%, 63% and 39%
respectively at 9th day after interference, with the difference being
significant. In the control group, the number of HVECs was increased
significantly. In was concluded that the anti-KDR antibody could suppress the
activity of VEGF through blocking the KDR, indicating the potential clinical
applications of anti-KDR antibody in the treatment of hemangioma.
PMID- 18060634
TI - Preparation and preservation of hypoxia UW solution.
AB - In order to explore the method to prepare hypoxia UW solution and the stability
and preservation of hypoxia UW solution, UW solution was purged by argon or air
for 15 min or 60 at a flow rate of 0.8 or 2 L/min, and the oxygen partial
pressure of UW solution was detected. The hypoxia UW solution was exposed to the
air or sealed up to preserve by using different methods, and the changes of
oxygen partial pressure was tested. The results showed that oxygen partial
presure of 50 mL UW solution, purged by argon for 15 min at a flow rate of 2
L/min, was declined from 242+/-6 mmHg to 83+/-10 mmHg. After exposure to the air,
oxygen partial pressure of hypoxia UW solution was gradually increased to 160+/-7
mmHg at 48 h. After sealed up by the centrifuge tube and plastic bad filled with
argon, oxygen partial pressure of hypoxia UW solution was stable, about 88+/-13
mmHg at 72 h. It was concluded that oxygen of UW solution could be purged by
argon efficiently. Sealed up by the centrifuge tube and plastic bag filled with
argon, oxygen partial pressure of UW solution could be stabilized.
PMID- 18060635
TI - Effect of Stathmin decoy-oligodeoxynucleotides on the proliferation and
differentiation of precartilainous stem cells.
AB - By using decoy-oligodeoxynucleotides (decoy-ODNS) technique, the effects of
Stathmin gene on the proliferation and differentiation of in vitro cultured
precartilainous stem cells (PSCs) were investigated. The Stathmin decoy-ODNs were
transfected into PSCs in rats by using gene transfection technique. Under the
induction of cortisol (1 micromol/L), electrophoretic mobility shift assay was
used the inhibitory effects of decoy-ODNS on Stathmin gene. MTT and cytometry
were used to test the cell proliferation. The expression of collagen II and V and
Stathmin protein was detected by using Western blot. The results showed that
Stathmin decoy-ODNs inhibited the Stathmin activity in a dose-dependent manner.
When the concentration of decoy-ODNs was 10 times of standard concentration, the
proliferation of PSCs was obviously suppressed and the differentiation happened.
Compared to the control group, the difference was significant (P<0.05). It was
concluded that decoy-ODNs could inhibit the proliferation and promote the
differentiation of PSCs by antagonizing Stathmin activity.
PMID- 18060636
TI - Effect of rhBMP-2 and osteogenic revulsants on proliferation and differentiation
of bone marrow stromal cells in rats.
AB - The effects of recombinant human bone morphogenetic protein-2 (rhBMP-2) and
osteogenic revulsants alone or in combination at different time points and in
different dosages on proliferation and osteogenesis of bone marrow stromal cells
(BMSCs) in SD rats were investigated. Rat BMSCs were cultured in vitro and
induced by rhBMP-2 in different dosages (10, 50, 100 and 200 mug/L) alone or in
combination with osteogenic revulsants. MTT colorimetric assay was used to
evaluate The proliferation, activity of alkaline phosphoric (ALP) and osteocalcin
were measured at 3rd, 6th, 9th, 12th day respectively. The results showed that
rhBMP-2 and osteogenic revulsants could promote the differentiation of BMSCs
towards osteoblast phenotype. The proliferation of BMSCs could be enhanced by
rhBMP-2 in a dose-dependent manner. The expression of osteoblast phenotype was
significantly higher by using both of them than by using them alone, which was
verified by the activity of ALP and osteocalcin. It was suggested that the
combined use of rhBMP-2 and osteogenic revulsants could promote the proliferation
and simultaneously induce and maintain the expression of osteoblast phenotype of
BMSCs in rats.
PMID- 18060637
TI - Gene expression profile of pulmonary tissues in different phases of lung ischemia
reperfusion injury in rats.
AB - In order to provide us new clues to induce some endogenous protective molecular
mechanisms, the changes in gene expression profile induced by ischemia
reperfusion in pulmonary tissues of rats were investigated and the dynamic
mechanism of pulmonary ischemia-reperfusion injury was elucidated. Thirty male
Wistar rats were randomly divided into 6 groups: 5 ischemia-reperfusion (I/R)
groups (I/R 0-h, I/R 1-h, I/R 3-h, I/R 6-h, I/R 24-h) and control group (n=5 in
each). An in situ ischemia-reperfusion lung injury rat model was established by
occluded hilus of lung. The RatRef-12 Expression Beadchip (22 226 gene probes per
array) was used to analyze the pattern of gene expression in all groups. The
results showed that 648, 340, 711, 1279 and 641 genes were differentially
expressed in I/R 0-, 1-, 3-, 6-and 24-h groups respectively. The differentially
expressed genes were classified as following 7 functional categories: cytokine,
adhesion molecule, growth factor and apoptosis-related factor, oxidation and
antioxidation molecule, metabolic enzyme, ion channel and aquaporin, signal
transduction molecule. It was suggested that gene chip technology was an
effective and quick method for screening differentially expressed genes. Many
differentially expressed genes with different functions interacted each other to
result in pulmonary ischemia-reperfusion injury.
PMID- 18060638
TI - Experimental study on treatment of glioma by embryonic neural stem cell
transplantation in rats.
AB - The neural stem cells in Wistar rats were cultured in vitro, purified, and
transplanted into C6 glioma model in order to observe their biological characters
and provide a basic foundation for treatment of neurological diseases by neural
stem cell transplantation. The cells at hippocampal area from gestation 15-day
rats were cultured in vitro, and frozen and preserved in liquid nitrogen. C6
tumor-bearing models (n=25) and neural stem cells transplantation models (n=35)
were established. When the tumor grew to 3 to 4 weeks, 5 rats in each group were
randomly selected for MRI examination. At different intervals, the rats were
perfused and sampled for HE staining, GFAP and BrdU immunohistochemical staining.
The results showed that after resuscitation of neural stem cells at 1-4 passages,
the cell viability was 40%-63% with the difference being not significant. The
cells could proliferate, passage, and most cells transplanted into glioma model
survived. The mean survival time in neural stem cell transplantation group and
control was 4.28 and 3.88 weeks respectively, and the average tumor size in the
former was smaller than in the latter. It was concluded that embryonic neural
stem cells in rats could proliferate and differentiate, and after resuscitation
the biological characteristic and viability of the cells were not influenced.
Neural stem cells had inhibitory effects on the growth of glioma cells and could
prolong the survival of rat model.
PMID- 18060639
TI - The expression levels of endogenous aFGF mRNA in microwave burn wound tissues and
its clinical significance.
AB - The expression levels and changes of endogenous acid fibroblast growth factor
(aFGF) in microwave burn wound tissues were detected in order to investigate how
to get better therapeutic effects by using the exogenous aFGF for repairing
trauma. A burnt-wound animal model was established by NS-F II multifunction
spectrum therapeutics equipment, and reverse transcriptase-polymerase chain
reaction (RT-PCR) and immunohistochemistry assay were applied to detect the
expression levels of endogenous aFGF mRNA in microwave burn wound tissues. The
expression level of endogenous aFGF mRNA was significantly increased in the burn
wound tissues 12 h after burn, reached the peak at 48 h, and gradually deceased
96 h after burn. The expression of endogenous aFGF mRNA after tissue damage was
reversible, and its intensity was in accordance with the repair process of tissue
damage, suggesting endogenous aFGF may take part in the cell metabolism and
proliferation, and then promote the repair of the burn wound.
PMID- 18060640
TI - Effects of placental isoferritin on the mouse embryo development in vitro.
AB - To investigate the effect of placental isoferritin (PLF) on mouse embryo
development in vitro, mice 2-cell embryos were co-cultured with human first
trimester decidual cells at different concentrations of PLF in vitro. The
following changes of the above system were observed under an invert microscope
and the number of embryos were recorded and the embryos were classified. The
results showed there was no significant difference in the percentage of embryos
development to 4-cell, 8-cell and morula (P>0.05). PLF at the doses of 10 and 100
U/mL significantly enhanced more embryos development to the blastocyst and
hatching blastocyst (P<0.05). PLF at the dose of 1000 U/mL depressed more embryos
development from 2-cell to hatching blastocyst, meanwhile such phenomena as cell
degeneration and irregular cleavage were observed in part of embryos, but there
was no significant difference in statistics (P>0.05). It was concluded that PLF
at the concentration of 10-100 U/mL had no significant effects on the early
development of mice embryos, however, PLF could promote the growth,
differentiation, and hatching of preimplantation blastocysts.
PMID- 18060641
TI - Screening of differently expressed genes in human prostate cancer cell lines with
different metastasis potentials.
AB - In order to screen the genes differentially expressed in two human prostate
cancer cells with different metastasis potentials, suppression subtractive
hybridization (SSH) was done twice on human prostate cancer cell line with high
potential of metastasis PC3M-1E8 and its synogenetic cell line PC3M-2B4 with low
metastasis potential. In the first subtraction PC3M-2B4 was used as tester and
PC3M-1E8 as driver and the forward subtractive library was constructed. In the
second on the tester and driver were interchanged and the reverse subtractive
library was constructed. The screened clones of both libraries were sequenced and
Gene Bank homology search was performed. Some clones were confirmed by
quantitative real-time PCR. The results showed that two subtractive libraries
containing 238 positive clones were constructed. Analysis of 16 sequenced clones
randomly picked from two libraries showed that 4 differentially expressed gene
fragments were identified as new EST with unknown functions. It was concluded
that two subtractive libraries of human prostate cancer cell lines with different
metastasis potentials were constructed successfully.
PMID- 18060642
TI - Inhibitory effect of HCPT on expression of HIF-1alpha and downstream genes in
hypoxic human cervical SiHa cancer cells.
AB - The hypoxic model to simulate hypoxic microenvironment in solid tumors was
established and the effect of hydrocamptothecin (HCPT) on the hypoxia-induced
over-expression of HIF-1alpha and VEGF genes was explored. Human cervical cancer
SiHa cells were cultured in vitro under hypoxic conditions (37 degrees C, 5%
CO(2), 1% O(2)) and treated with different concentrations of HCPT for 24 h. The
mRNA and protein expression levels of HIF-1alpha, VEGF and Glut1 in SiHa cells
were detected by semi-quantitative RT-PCR and Western blot respectively. Normoxic
control groups were exposed to normoxic conditions for 24 h. Under normoxic
conditions, HCPT had no obvious effects on the HIF-1alpha and VEGF gene
expression. Hypoxia induced the up-regulation of HIF-1alpha protein and
downstream VEGF gene, and HCPT showed a dose-dependently inhibitory effect on the
hypoxia-induced over-expression of HIF-1alpha protein and VEGF gene expression in
SiHa cells, whereas HCPT had no significant effect on the HIF-1alpha mRNA
expression. No difference in HCPT cytotoxicity was observed between hypoxic
groups and normoxic control groups. It was suggested that HCPT could inhibit the
expression of HIF-1alpha protein and downstream VEGF gene in hypoxic SiHa cells
in a dose-dependent manner, and the inhibitory effect was not related with HCPT
cytotoxicity.
PMID- 18060643
TI - Effect of trace elements on retinopathy of prematurity.
AB - The effect of the trace elements on retinopathy of prematurity (ROP) were
studied. Thirty preterm infants who had potential high risk factors of ROP were
selected as observation group and 18 normal infants as control groups. By using
atom spectrophotometer, the contents of serum trace elements (Mg, Cu, Zn, Mn, Se)
were measured and analyzed statistically. The contents of serum Zn, Cu and Se in
observation group were 0.75+/-0.22, 0.41+/-0.20 and (134.07+/-71.57)x10(-3) mg/L
respectively, and 0.55+/-0.12, 0.65+/-0.194 and (202.92+/-44.71)x10(-3) mg/L in
control group respectively (P<0.01). The contents of Cu and Se were obviously
lower and that of Zn higher in observation group than those in control group. The
same results were obtained between the infants with ROP and controls (P<0.01).
However, there was no significant difference in the contents of serum Mg and Mn
between two groups (P>0.05). It was concluded that the contents of serum Cu and
Se in preterm infants who had high risk factors of ROP were obviously lower than
in the controls. The contents of serum Cu and Se in the ROP infants were also
much lower while contents of Zn much higher. Attention should be paid to the
detection of the trace elements in preterm infants in order to prevent the
deficiencies of Cu and Se. Only in this way can we prevent the deficiencies of Cu
and Se, so as to decrease the ROP risk factors and prevent the disease.
PMID- 18060644
TI - Diagnosis and treatment of lacrimal passage obstruction by lacrimal endoscope.
AB - The diagnosis and treatment of the lacrimal passage obstruction with lacrimal
endoscope was investigated and its subsidiary surgical procedures were evaluated.
Ninety-three patients (109 eyes) with lacrimal passage obstruction, including
presaccal canalicular obstruction (PSCO) and nasolacrimal duct obstruction
(NLDO), were examined under a lacrimal endoscope, and the obstructions were
treated with laser or micro-drill. All patients were followed up after the
operation for 3-6 months. The difference between the laser and the micro-drill
treatment was observed. During the period of follow-up, the curative rate was
82.57%. The healing rate in PSCO group and NLDO was 80.36% and 84.91%
respectively (P>0.05). After treatment with the laser, the healing rate was
93.33% in the PSCO group and 66.67% in the NLDO group respectively (P<0.05).
After treatment with the micro-drill, the healing rate in PSCO and NLDO groups
was 65.39% and 94.28% respectively (P<0.01). The lacrimal passage obstruction can
be observed and treated directly through the lacrimal endoscope. Choosing
different surgical procedures in operation according to the locations of the
obstruction is helpful to improve the effectiveness.
PMID- 18060645
TI - In vitro culture of bone marrow mesenchymal stem cells in rats and
differentiation into retinal neural-like cells.
AB - In order to study the in vitro culture and expansion of bone marrow mesenchymal
stem cells in rats (rMSCs) and the possibility of rMSCs differentiation into
retinal neural cells, the bone marrow-derived cells in SD rats were isolated and
cultured in vitro. The retinal neural cells in SD rats were cultured and the
supernatants were collected to prepare conditioned medium. The cultured rMSCs
were induced to differentiate by two steps. Immunofluorescence method and anti
nestin, anti-NeuN, anti-GFAP and anti-Thy1.1 antibodies were used to identify the
cells derived from the rMSCs. The results showed that the in vitro cultured rMSCs
grew well and expanded quickly. After induction with two conditioned media, rMSCs
was induced to differentiate into neural progenitor cells, then into retinal
neural-like cells which were positive for nestin, NeuN, GFAP and Thy1.1 detected
by fluorescence method. The findings suggested that rMSCs could be culture and
expanded in vitro, and induced to differentiate into retinal neural-like cells.
PMID- 18060646
TI - Influence of high level TGF-beta1 on scleral thickness.
AB - In order to explore the role of TGF-beta1 in scleral remodeling and the possible
mechanism, the influence of high level TGF-beta1 on scleral thickness and the
expression of MMP-2 and TIMP-2 was investigated in a TGF-beta1 transgenic mouse
model. Alb/TGF-beta1 (Cys(223,225)Ser) TGF-beta1 transgenic mice were used as
experimental subjects and non-transgenic littermates as controls. Plasma levels
of TGF-beta1 were determined by ELISA. TGF-beta1, MMP-2 and TIMP-2 levels in
sclera were detected by using Western blot. The thickness of posterior sclera was
measured by computerized image analysis of a midsagittal section. Mean difference
was analyzed with independent t-test. The results showed plasma levels of TGF
beta1 in transgenic mice were 1.68 times as much as that in the controls
(P<0.01). TGF-beta1 levels in the sclera of transgenic mice were 2.68 times of
the controls (P<0.01). Posterior scleral thickness in transgenic mice were
significantly thicker than in the controls. There was no significant difference
in the MMP-2 levels between transgenic mice and controls, but the TIMP-2 levels
were increased significantly in transgenic mice as compared with those in the
controls. It was suggested that high levels of TGF-beta1 in transgenic mice could
result in the increased scleral thickness by inducing the expression of TIMP-2 to
suppress the activity of MMP-2, finally inhibiting the degradation of collagen.
PMID- 18060647
TI - The expression of interleukin-22 and S100A7, A8, A9 mRNA in patients with
psoriasis vulgaris.
AB - In order to study the expression of interleukin-22 (IL-22) and S100A7, A8, A9
mRNA in the skin lesions of patients with psoriasis vulgaris and their
relationship, the biopsies were taken from skin lesions in 35 patients with
psoriasis vulgaris and the skin of 16 normal controls, and the expression levels
of IL-22 and S100A7, A8 and A9 mRNA were detected by semi-quantitative RT-PCR.
The results showed that (1) IL-22 and S100A8, A9 mRNA were positively expressed
in the psoriatic skin lesions but negatively expressed in the normal controls;
The expression level of S100A7 was (1.133+/-0.040) in the psoriatic skin lesions,
significantly higher than that in the normal controls (0.744+/-0.037, P<0.01).
(2) There were significantly positive correlations between the expression of IL
22/S100A7 mRNA, IL-22/S100A8 mRNA, IL-22/S100A9 mRNA in the psoriasis vulgaris
(r(1)=0.543, r (2)=0.774, r(3)=0.621, P<0.01). It was concluded that IL-22 and
S100A7, A8, A9 might play important roles in the occurrence and progression of
psoriasis.
PMID- 18060648
TI - In vitro recombination and identification of mutated fragment corresponding to
regulation region of mtrR gene of Neisseria gonorrhoeae.
AB - A site-directed mutant DNA fragment was synthesized and transfected into clinical
Neisseria gonorrhoeae (NG) stains to construct the transformants that contained
the corresponding mutagenesis of regulation region of mtrR gene. According to the
technique of gene splicing by overlap extension (SOEing), a DNA segment with
specific mutagenesis was constructed by two-step polymerase chain reaction (PCR).
The mutation fragments EF could be used for the next experiment in which the
mutation NG strains were induced. By comparing the recombinant EF fragments to
the corresponding DNA fragments of clinical NG strains, 2 of these were not
compatible completely. The results of sequencing revealed that there was a 9 bp
deletion between the 45 to 54 inverted repeat sequence localized within the mtrR
promoter. It can be confirmed that the fragments EF are the specifically designed
mutant fragments.
PMID- 18060649
TI - The expression of endothelin receptor B in melanoma cells A375 and Sk-mel-1 and
the proliferative effects of endothelin 3 on A375 cells.
AB - In order to investigate the expression of endothelin receptor B (ETR-B) in human
malignant melanoma (MM) cells A375 and SK-mel-1 and the proliferative effects of
endothelin 3 (ET3) on A375 cells, RT-PCR was applied to detect the expression of
ETR-B gene in human MM cells A375 and SK-mel-1. MTT method was used to evaluate
the growth enhancing effects of ET3 on A375 cell line in vitro. The results
showed that ETR-B gene was expressed in both MM A375 and SK-mel-1 cells. ET3 had
stronger ability to enhance the proliferation of A375 cells in vitro in a
concentration-dependent manner. It was suggested that ET3/ETR-B might play an
important proliferative role in MM.
PMID- 18060650
TI - The effect of nitrous oxide and isoflurane on the total RNA yield from the
cochlea of the rats.
AB - The possible mechanism of inhalation anesthetics on the internal auditory
impairment of the rat was investigated by determining the effect of nitrous oxide
(N(2)O) and isoflurane on the total RNA yield from the cochlea of the rats.
Thirty healthy Wistar rats were randomly divided into 3 groups: group C (control
group, n=10) with a 3-h unremitting inhalation of 50% O(2), group N (experiment
group, n=10) with a continuous inhalation of 50% N(2)O+50% O(2) for 3 h, and
group I (experiment group, n=10) with a 3-h sustained inhalation of 2.5%
isoflurane. The TRIzol in combination with RNeasy was used to respectively
extract the total RNA from cochlea of rats in the 3 groups. Spectrophotometry was
used to detect total RNA yield and electrophoresis to detect the quality. The
total RNA extracted from the cochlea of the rats in the groups C and N was 7.69
and 6.51 microg, respectively. There was a 15% decrease in the N group as
compared with group C. The total RNA from the rats in the group I was 7.32
microg, and there was hardly any change in the group as compared with the group
C. The value of A(260)/A(280) in groups C, N and I was 2.07, 2.04 and 2.04,
respectively, showing a very high RNA purity. The result of gel electrophoresis
suggested that there was no degradation in the total RNA. It was suggested that
the interference of N(2)O on the cochlear RNA yield might be one of the reasons
which cause an injury of the ear. The isoflurane shows no harm on the hearing.
PMID- 18060652
TI - The etiology and outcome analysis of neonatal burst suppression EEG.
AB - The neonatal burst suppression is a severe EEG pattern and always demonstrates
serious damage of nerve system. But the outcome of these patients depends on the
different etiology. A total of 256 cases of video EEG recordings were analyzed in
order to summarize the etiology and outcome of burst suppression. The results
showed that some patients in all 17 cases of burst suppression showed EEG
improvement. The etiology was the dominant factor in long term outcome. It was
suggested that effective video EEG monitoring is helpful for etiologic study and
prognosis evaluation.
PMID- 18060651
TI - Age-related marrow conversion and developing epiphysis in the proximal femur:
evaluation with STIR MR imaging.
AB - In order to observe the feature of age-related marrow conversion and maturation
of epiphyseal cartilage and analyze the distribution of red and yellow marrow in
the proximal femur at STIR MR imaging, STIR and T(1) weighted MR imaging of the
proximal femur in 52 subjects, aged 4 months to 25 years old, were
retrospectively analyzed for the distribution and appearance of red and yellow
marrow. The subjects with no known bone marrow abnormalities were divided into 6
age groups. The signal intensity of the marrow in the proximal epiphysis,
proximal metaphysis, proximal diaphysis, distal diaphysis and greater trochanter
was compared with the signal intensity and homogeneity of surrounding muscle and
fat and graded by two observers. The results showed that the conversion of
hematopoietic marrow in the proximal femur followed a well-defined sequence,
occurring first in the proximal epiphysis, followed by the distal diaphysis, and
then greater trochanter and metaphysis. STIR in combination with T(1)-weighted
imaging could display clearly the origin of ossification center and the course of
conversion from red to yellow marrow in proximal epiphysis and greater
trochanter. STIR imaging showed that the marrow conversion in proximal metaphysic
began below epiphyseal plate and intertrochanter. The site of red yellow was
distributed in weight-bearing axis by 20 years of age. The marrow conversion of
diaphysis was from distal end to proximal end, and the consequence of conversion
was that distal diaphysis contained yellow marrow but proximal diaphysis partly
red marrow connected with the red marrow of metaphysic. The epiphyseal cartilage
had different characters of signal-intensity with age in STIR sequence. The
distribution of red marrow in STIR imaging was more close to that of anatomy than
T(1)-weighted imaging. It was concluded that STIR could dynamically display the
feature of marrow conversion and the development of epiphyseal cartilage and
accurately reveal the age-related distribution of red and yellow marrow on STIR
imaging in the proximal femur.
PMID- 18060653
TI - [Unusual cause of pneumomediastinum].
AB - The 3,4-methylenedioxy-N-methylamphetamine (MDMA) also known as ecstasy is a
hallucinogen prevalently used by teenagers. Known complications of its
consumption are hyperpyrexia, disseminated intravasal coagulation, acute kidney
failure, and rhabdomyolysis. We report about an 18-year-old patient who developed
a pneumomediastinum and skin emphysema by excluded lesions of the lung and
respiratory tract. We present the hypothesis of the pathogenesis of this disease.
The correct therapy is observation of the patient and maximum sedation.
PMID- 18060654
TI - Parasites and health affect multiple sexual signals in male common wall lizards,
Podarcis muralis.
AB - Multiple advertising sexual traits may either advertise different characteristics
of male condition or be redundant to reinforce reliability of signals. Research
has focused on multiple visual traits. However, in animals that use different
multiple additional sensory systems, such as chemoreception, different types of
traits might have evolved to signal similar characteristics of a male quality
using different sensory channels. We examined whether ventral coloration and
chemicals in femoral gland secretions of male common wall lizards, Podarcis
muralis, are affected by their health state (blood-parasite load and cell
mediated immune response). Our results indicated that less parasitized lizards
had brighter and more yellowish ventral colorations and also femoral secretions
with higher proportions of two esters of octadecenoic acid. In addition, lizards
with a greater immune response had more saturated coloration and secretions with
higher proportions of octadecenoic acid methyl ester. We suggest that these
signals would be reliable because only healthier males seemed able to allocate
more carotenoids to coloration and presumably costly chemicals to secretions. The
use of multiple sensory channels may provide more opportunities to signal a male
quality under different circumstances, but also may reinforce the reliability of
the signal when both types of traits may be perceived simultaneously.
PMID- 18060656
TI - [Safety aspects in high-field magnetic resonance imaging].
AB - With more and more 3 Tesla high-field magnetic resonance (MR) scanners entering
clinical routine, the safety notion in MR imaging has also reached a new
dimension. The first part of this paper deals with the three most important
sources of physical interaction (static magnetic field, gradient and HF fields).
The paper discusses the differences compared with the traditional clinical 1.5 T
standard scanners, the impact on human beings, the interactions with metallic
objects and the relevant safety standards. The second part of the paper examines
the issue of MR safety as seen in clinical practice and tries to demonstrate
optimization potentials. This includes structural optimization in information
distribution and hospital organization as well as test standards and labeling
guidelines.
PMID- 18060657
TI - Distribution and mapping of an active autonomous aDart element responsible for
mobilizing nonautonomous nDart1 transposons in cultivated rice varieties.
AB - An endogenous 0.6-kb rice DNA transposon, nDart1, has been identified as a
causative element of a spontaneous mutable virescent allele pyl-v conferring pale
yellow leaves with dark-green sectors in the seedlings, due to somatic excision
of nDart1 integrated into the OsClpP5 gene encoding the nuclear-coded chloroplast
protease. As the transposition of nDart1 depends on the presence of an active
autonomous aDart element in the genome, the plants exhibiting the leaf
variegation carry the active aDart element. As several mutable alleles caused by
nDart1 insertions have subsequently been identified, nDart1-promoted gene tagging
has been proven to be an effective system. At present, the nDart/aDart system
appears to be the only endogenous rice DNA transposon system whose transposition
activity can be controlled under natural growth conditions without any artificial
treatments, including tissue cultures. To apply the nDart/aDart tagging system in
various cultivated rice varieties, we explored the presence and distribution of
an active autonomous aDart element in 19 temperate japonica, 30 tropical
japonica, and 51 indica varieties. Only eight temperate japonica varieties were
found to bear a single copy of an active aDart element, and no aDart activity
could be detected in the indica varieties examined. Six of seven japonica
varieties appear to carry the active aDart element at the identical site on
chromosome 6, whereas the remaining one contains aDart on chromosome 5. Leaf
variegations in the plants with the mutable pyl-v allele and the excision
frequencies of endogenous nDart1 elements indicated that the aDart element on
chromosome 6 is more active than that on chromosome 5. The findings described
here are an important step in the development of a new and efficient nDart1
promoted gene-tagging system in various rice cultivars.
PMID- 18060658
TI - Predictors of cognitive impairment and dementia in older people with diabetes.
AB - AIMS/HYPOTHESIS: Diabetes is associated with an increased risk of dementia but
the reasons for this association are unclear because there are many potential
mechanisms. We explored the relative contribution of diabetes-related variables
as predictors of dementia in older individuals with diabetes. METHODS: Survivors,
aged > or =70 or more, were recruited from an existing observational cohort study
7.6 +/- 1.0 years after baseline, when they underwent a comprehensive assessment
of diabetes, complications and cardiovascular risk factors. Dementia, probable
Alzheimer's disease and cognitive impairment without dementia were diagnosed
clinically. Logistic regression modelling determined independent predictors of
cognitive diagnoses. RESULTS: Of 302 participants, aged 75.7 +/- 4.6 years, 28
(9.3%) had dementia (16 with probable Alzheimer's disease) and 60 (19.9%) had
cognitive impairment without dementia. The major independent longitudinal
predictors of dementia were older age (per decade; odds ratio 4.0, 95% CI 1.59
10.10), diabetes duration (for each 5 years; odds ratio 1.69, 95% CI 1.24-2.32),
peripheral arterial disease (odds ratio 5.35, 95% CI 2.08-13.72) and exercise
(which was protective; odds ratio 0.26, 95% CI 0.09-0.73). For Alzheimer's
disease, diabetes duration was an independent predictor in addition to age and
diastolic blood pressure. The results of the cross-sectional analyses were
similar with respect to diabetes duration and peripheral arterial disease.
CONCLUSIONS/INTERPRETATION: Peripheral arterial disease is a strong independent
risk factor for dementia in diabetes. After adjustment for a wide range of
potential risk factors, diabetes duration remains independently associated with
dementia and probable Alzheimer's disease, indicating that factors not measured
in this study may be important in the pathogenesis of dementia in diabetes.
PMID- 18060659
TI - Determinants of progression from impaired fasting glucose and impaired glucose
tolerance to diabetes in a high-risk screened population: 3 year follow-up in the
ADDITION study, Denmark.
AB - AIMS/HYPOTHESIS: We sought to identify determinants of progression from impaired
fasting glucose (IFG) and impaired glucose tolerance (IGT) to diabetes in high
risk screened individuals. METHODS: In general practices in Denmark, stepwise
screening for type 2 diabetes mellitus in persons aged 40 to 69 years included a
risk questionnaire, random blood glucose, HbA1c, fasting blood glucose and an
OGTT. The 1,821 individuals with IGT or isolated IFG (WHO 1999) were re-invited
after 1 and 3 years. Follow-up data on glucose measurements were available in
1,510 individuals and additional clinical data in 1,002 collected at the 3-year
visits. Regression models using interval censoring were used. RESULTS:
Progression rates from IFG and IGT to diabetes over 3.5 years were 11.8 and 17.0
per 100 person-years, respectively and were particularly high in the first year.
Baseline determinants of progression were: IFG: glucose measures, BMI [per kg/m2,
rate ratio (RR) 1.04 (95% CI, 1.01-1.08)] and triacylglycerol [per twofold
increase, RR 2.19 (1.49-3.22)]; and IGT: glucose measures and known hypertension
[RR 1.46 (1.11-1.93)]. Weight reduction and decreased triacylglycerol were
inversely associated with development of diabetes in IFG individuals [per 1
kg/year, RR 0.81 (0.66-0.98) and per 1 mmol l(-1) year(-1), RR 0.08 (0.01-0.51),
respectively], whereas in IGT participants only weight reduction was inversely
associated [per 1 kg/year, RR 0.80 (0.67-0.96)]. CONCLUSIONS/INTERPRETATION:
Higher levels of glucose measures, larger BMI, known hypertension and
hypertriacylglycerolaemia are significant determinants of progression in high
risk screened individuals. Weight loss of 1 kg/year or reduction of
hypertriacylglycerolaemia markedly reduced the risk of diabetes.
PMID- 18060660
TI - Testing of diabetes-associated WFS1 polymorphisms in the Diabetes Prevention
Program.
AB - AIMS/HYPOTHESIS: Wolfram syndrome (diabetes insipidus, diabetes mellitus, optic
atrophy and deafness) is caused by mutations in the WFS1 gene. Recently, single
nucleotide polymorphisms (SNPs) in WFS1 have been reproducibly associated with
type 2 diabetes. We therefore examined the effects of these variants on diabetes
incidence and response to interventions in the Diabetes Prevention Program (DPP),
in which a lifestyle intervention or metformin treatment was compared with
placebo. METHODS: We genotyped the WFS1 SNPs rs10010131, rs752854 and rs734312
(H611R) in 3,548 DPP participants and performed Cox regression analysis using
genotype, intervention and their interactions as predictors of diabetes
incidence. We also evaluated the effect of these SNPs on insulin resistance and
beta cell function at 1 year. RESULTS: Although none of the three SNPs was
associated with diabetes incidence in the overall cohort, white homozygotes for
the previously reported protective alleles appeared less likely to develop
diabetes in the lifestyle arm. Examination of the publicly available Diabetes
Genetics Initiative genome-wide association dataset revealed that rs10012946,
which is in strong linkage disequilibrium with the three WFS1 SNPs (r(2)=0.88
1.0), was associated with type 2 diabetes (allelic odds ratio 0.85, 95% CI 0.75
0.97, p=0.026). In the DPP, we noted a trend towards increased insulin secretion
in carriers of the protective variants, although for most SNPs this was seen as
compensatory for the diminished insulin sensitivity. CONCLUSIONS/INTERPRETATION:
The previously reported protective effect of select WFS1 alleles may be magnified
by a lifestyle intervention. These variants appear to confer an improvement in
beta cell function.
PMID- 18060661
TI - Effect of beta-adrenergic stimulation on whole-body and abdominal subcutaneous
adipose tissue lipolysis in lean and obese men.
AB - AIMS/HYPOTHESIS: Obesity is characterised by increased triacylglycerol storage in
adipose tissue. There is in vitro evidence for a blunted beta-adrenergically
mediated lipolytic response in abdominal subcutaneous adipose tissue (SAT) of
obese individuals and evidence for this at the whole-body level in vivo. We
hypothesised that the beta-adrenergically mediated effect on lipolysis in
abdominal SAT is also impaired in vivo in obese humans. METHODS: We investigated
whole-body and abdominal SAT glycerol metabolism in vivo during 3 h and 6 h
[2H5]glycerol infusions. Arterio-venous concentration differences were measured
in 13 lean and ten obese men after an overnight fast and during intravenous
infusion of the non-selective beta-adrenergic agonist isoprenaline [20 ng (kg fat
free mass)(-1) min(-1)]. RESULTS: Lean and obese participants showed comparable
fasting glycerol uptake by SAT (9.7+/-3.4 vs 9.3+/-2.5% of total release,
p=0.92). Furthermore, obese participants showed an increased whole-body beta
adrenergically mediated lipolytic response versus lean participants. However,
their fasting lipolysis was blunted [glycerol rate of appearance: 7.3+/-0.6 vs
13.1+/-0.9 micromol (kg fat mass)(-1) min(-1), p<0.01], as was the beta
adrenergically mediated lipolytic response per unit SAT [Delta total glycerol
release: 140+/-71 vs 394+/-112 nmol (100 g tissue)(-1) min(-1), p<0.05] compared
with lean participants. Net triacylglycerol flux tended to increase in obese
compared with lean participants during beta-adrenergic stimulation [Delta net
triacylglycerol flux: 75+/-32 vs 16+/-11 nmol (100 g tissue)(-1) min(-1),
p=0.06]. CONCLUSIONS/INTERPRETATION: We demonstrated in vivo that beta
adrenergically mediated lipolytic response is impaired systematically and in
abdominal SAT of obese versus lean men. This may be important in the development
or maintenance of increased triacylglycerol stores and obesity.
PMID- 18060662
TI - Effect of pressure support ventilation and positive end expiratory pressure on
the rapid shallow breathing index in intensive care unit patients.
AB - OBJECTIVE: We compared rapid shallow breathing index (RSBI) values under various
ventilatory support settings prior to extubation. DESIGN AND SETTING: Prospective
study in the intensive care unit at a university hospital. PATIENTS: Thirty six
patients ready for extubation. INTERVENTIONS: Patients were enrolled when
receiving pressure support ventilation (PSV) of 5 cmH2O, PEEP of 5 cmH2O, and
FIO2 of 40% (PS). Subsequently each patient received a trial of PSV of 0 cmH2O,
PEEP of 5 cmH2O, and FIO2 of 40% (CPAP), a trial of PSV of 0 cmH2O, PEEP of 5
cmH2O and FIO2 of 21% (CPAP-R/A), and a 1-minute spontaneously breathing room air
trial off the ventilator (T-piece). Trials were carried out in random order.
MEASUREMENTS AND RESULTS: Respiratory frequency (f) and tidal volume (VT) were
measured during PS, CPAP, CPAP-R/A, and T-piece in all patients. RSBI (f/VT) was
determined for each patient under all experimental conditions, and the average
RSBI was compared during PS, CPAP, CPAP-R/A, and T-piece. RSBI was significantly
smaller during PS (46+/-8 bpm/l), CPAP (63+/-13 bpm/l) and CPAP-R/A (67+/-14
bpm/l) vs. T-piece (100+/-23 bpm/l). There was no significant difference in RSBI
between CPAP and CPAP-R/A. RSBI during CPAP and CPAP-R/A were significantly
smaller than RSBI during T-piece. In all patients RSBI values were less than 105
bpm/l during PS, CPAP, and CPAP-R/A. However, during T-piece the RSBI increased
to greater than 105bpm/l in 13 of 36 patients. CONCLUSIONS: In the same patient
the use of PSV and/or PEEP as low as 5 cmH2O can influence the RSBI. In contrast,
changes in FIO2 may have no effect on the RSBI.
PMID- 18060664
TI - Transcriptional response of Desulfovibrio vulgaris Hildenborough to oxidative
stress mimicking environmental conditions.
AB - Sulfate-reducing bacteria (SRB) are anaerobes readily found in oxic-anoxic
interfaces. Multiple defense pathways against oxidative conditions were
identified in these organisms and proposed to be differentially expressed under
different concentrations of oxygen, contributing to their ability to survive oxic
conditions. In this study, Desulfovibrio vulgaris Hildenborough cells were
exposed to the highest concentration of oxygen that SRB are likely to encounter
in natural habitats, and the global transcriptomic response was determined. Three
hundred and seven genes were responsive, with cellular roles in energy
metabolism, protein fate, cell envelope and regulatory functions, including
multiple genes encoding heat shock proteins, peptidases and proteins with heat
shock promoters. Of the oxygen reducing mechanisms of D. vulgaris only the
periplasmic hydrogen-dependent mechanism was up-regulated, involving the [NiFeSe]
hydrogenase, formate dehydrogenase(s) and the Hmc membrane complex. The oxidative
defense response concentrated on damage repair by metal-free enzymes. These data,
together with the down-regulation of the ferric uptake regulator operon, which
restricts the availability of iron, and the lack of response of the peroxide
sensing regulator operon, suggest that a major effect of this oxygen stress is
the inactivation and/or degradation of multiple metalloproteins present in D.
vulgaris as a consequence of oxidative damage to their metal clusters.
PMID- 18060663
TI - Angiotensin-converting enzyme insertion/deletion polymorphism is not associated
with susceptibility and outcome in sepsis and acute respiratory distress
syndrome.
AB - OBJECTIVE: The insertion/deletion (I/D) of a 289 base pair Alu repeat sequence
polymorphism in the angiotensin-converting enzyme gene (ACE) has been shown to
predict susceptibility and outcome in the acute respiratory distress syndrome
(ARDS). We hypothesized that the I/D polymorphism also confers susceptibility to
sepsis and is a predisposing factor for morbidity and mortality of patients with
severe sepsis. DESIGN AND SETTING: Case-control study including 212 consecutive
patients fulfilling criteria for severe sepsis admitted to a Spanish network of
postsurgical and critical care units, and 364 population-based controls.
Susceptibility to severe sepsis was evaluated as primary outcome; mortality in
severe sepsis, susceptibility to sepsis-induced ARDS, and mortality in sepsis
induced ARDS were examined as secondary outcomes. An additive model of
inheritance in which patients were classified into three genotype groups (II, ID,
and DD) was used for association testing. MEASUREMENTS AND RESULTS: Genotype and
allele frequencies of I/D were distributed similarly in all septic, ARDS, and non
ARDS patients and in population-based controls. ACE I/D polymorphism was not
associated with severe sepsis susceptibility or mortality. The ACE I/D
polymorphism was associated neither with sepsis-induced ARDS susceptibility
(p=0.895) or mortality (p=0.950). These results remained nonsignificant when
adjusted for other covariates using multiple logistic regression analysis or
Kaplan-Meier estimates of 28-day survival. CONCLUSIONS: Our data do not support
an association of the ACE gene I/D polymorphism with susceptibility or mortality
in severe sepsis or with sepsis-induced ARDS in Spanish patients.
PMID- 18060665
TI - Characterization of a 30S ribosomal subunit assembly intermediate found in
Escherichia coli cells growing with neomycin or paromomycin.
AB - Neomycin and paromomycin are aminoglycoside antibiotics that specifically
stimulate the misreading of mRNA by binding to the decoding site of 16S rRNA in
the 30S ribosomal subunit. Recent work has shown that both antibiotics also
inhibit 30S subunit assembly in Escherichia coli and Staphylococcus aureus cells.
This work describes the characteristics of an assembly intermediate produced in
E. coli cells grown with neomycin or paromomycin. Antibiotic treatment stimulated
the accumulation of a 30S assembly precursor with a sedimentation coefficient of
21S. The particle was able to bind radio-labeled antibiotics in vivo and in
vitro. Hybridization experiments showed that the 21S precursor particle contained
unprocessed 16S rRNA with both 5' and 3' extensions. Ten 30S ribosomal proteins
were found in the precursor after inhibition by each drug. In addition, cell free
reconstitution assays generated a 21S particle after incubation with either
aminoglycoside. This work helps to define the features of the ribosome structure
as a target for antimicrobial agents and may provide information needed for the
design of more effective antibiotics.
PMID- 18060666
TI - Validation of a Tn5 transposon mutagenesis system for Gluconacetobacter
diazotrophicus through characterization of a flagellar mutant.
AB - Gluconacetobacter diazotrophicus is a nitrogen-fixing bacterium, which was
originally isolated from the interior of sugarcane plants. The genome of strain
PAL5 of G. diazotrophicus has been completely sequenced and a next step is the
functional characterization of its genes. The aim of this study was to establish
an efficient mutagenesis method, using the commercial Tn5 transposon EZ::Tn5Tnp Transposome (Epicentre). Up to 1 x 10(6) mutants per microgram of
transposome were generated in a single electroporation experiment. Insertion-site
flanking sequences were amplified by inverse PCR and sequenced for 31 mutants.
For ten of these mutants, both insertion flanks could be identified, confirming
the 9 bp duplication that is typical for Tn5 transposition. Insertions occurred
in a random fashion and were genetically stable for at least 50 generations. One
mutant had an insertion in a homolog of the flagellar gene flgA, and was
therefore predicted to be affected in flagella-dependent traits and used to
validate the applied mutagenesis methodology. This mutant lacked flagella and was
non-motile on soft agar. Interestingly, it was also strongly affected in the
ability to form biofilm on glass wool.
PMID- 18060667
TI - Cloning and expression of trypanothione reductase from a New World Leishmania
species.
AB - Trypanothione disulfide (T[S]2), an unusual form of glutathione found in
parasitic protozoa, plays a crucial role in the regulation of the intracellular
thiol redox balance and in the defense against oxidative stress. Trypanothione
reductase (TR) is central to the thiol metabolism in all trypanosomatids,
including the human pathogens Trypanosoma cruzi, Trypanosoma brucei and
Leishmania. Here we report the cloning, sequencing and expression of the TR
encoding gene from L. (L.) amazonensis. Multiple protein sequence alignment of
all known trypanosomatid TRs highlights the high degree of conservation and
illustrates the phylogenetic relationships. A 3D homology model for L.
amazonensis TR was constructed based on the previously reported Crithidia
fasciculata structure. The purified recombinant TR shows enzyme activity and in
vivo expression of the native enzyme could be detected in infective
promastigotes, both by Western blotting and by immunofluorescence.
PMID- 18060668
TI - Pressure gradient and subsurface shear stress on the neuropathic forefoot.
AB - BACKGROUND: Stresses within the neuropathic foot's tissues can be estimated by
pressure distributions and may provide information regarding the potential for
skin breakdown. The purposes of this study were to: (1) determine the magnitude
of peak plantar pressure, pressure time integral, peak pressure gradient, and
peak maximum shear stress; and (2) determine the association of these variables
with one another. METHODS: Forefoot peak plantar pressure, pressure time
integral, peak pressure gradient, peak maximal shear stress, and depth of peak
maximal shear stress were calculated for 16 controls, 16 people with diabetic
neuropathy, and 22 people with diabetic neuropathy and a history of ulceration
from pressure assessments. FINDINGS: Peak plantar pressure, pressure gradient,
and maximal shear stress were greater in subjects with a history of ulceration
relative to control subjects (P<0.03), pressure gradient was greater in subjects
with diabetic neuropathy and a history of ulceration compared to subjects with
diabetic neuropathy and no history of ulceration (P<0.02), and depth of maximal
shear stress was less in both groups of subjects with diabetic neuropathy
compared to controls (P<0.03). Strong relationships existed between the
variables. INTERPRETATION: Although these variables are associated with one
another, peak pressure gradient and peak maximal shear stress provide information
concerning plantar pressure distribution and the potentially injurious internal
stresses within the foot's soft tissues. Peak pressure gradient and peak maximal
shear stress may perhaps be more discriminating than peak plantar pressure alone
in distinguishing between groups of individuals who are at risk for developing a
foot ulcer.
PMID- 18060670
TI - Arbuscular mycorrhiza enhanced arsenic resistance of both white clover (Trifolium
repens Linn.) and ryegrass (Lolium perenne L.) plants in an arsenic-contaminated
soil.
AB - In a compartmented cultivation system, white clover (Trifolium repens Linn.) and
ryegrass (Lolium perenne L.), with their roots freely intermingled, or separated
by 37 microm nylon mesh or plastic board, were grown together in an arsenic (As)
contaminated soil. The influence of AM inoculation on plant growth, As uptake,
phosphorus (P) nutrition, and plant competitions were investigated. Results
showed that both plant species highly depended on mycorrhizas for surviving the
As contamination. Mycorrhizal inoculation substantially improved plant P
nutrition, and in contrast markedly decreased root to shoot As translocation and
shoot As concentrations. It also showed that mycorrhizas affected the competition
between the two co-existing plant species, preferentially benefiting the clover
plants in term of nutrient acquisition and biomass production. Based on the
present study, the role of AM fungi in plant adaptation to As contamination, and
their potential use for ecological restoration of As contaminated soils are
discussed.
PMID- 18060669
TI - Examining outcomes from total knee arthroplasty and the relationship between
quadriceps strength and knee function over time.
AB - BACKGROUND: Temporal-spatial gait parameters improve following total knee
arthroplasty (TKA) but lower limb kinematics and moments fail to match those of
age-matched healthy individuals. The aim of this study was to determine whether
quadriceps strength, clinical measures of knee function, lower limb kinematics,
and joint moments improve following arthroplasty and normalize over time.
METHODS: Twelve patients underwent total knee arthroplasty were tested at 3 and
12 months following surgery. Twelve matched controls were also tested. All
underwent quadriceps strength testing and gait analysis to calculate knee joint
kinematics and kinetics. Function was assessed using clinical tests and self
report. FINDINGS: All clinical measures except for quadriceps strength
significantly improved from 3 to 12 months. Gait asymmetry was observed at 3
months (lower stance times, peak knee flexion angle, range of motion and vertical
ground reaction force), but ankle, knee and hip moments contributing to the total
limb support moment were equivalent between legs. At 12 months, gait speed
remained significantly slower than controls. Inter-limb differences in peak knee
flexion angle and range of motion persisted. Greater hip and lower knee moments
were evident in the operated limb, compared to the non-operated limb and
controls. Quadriceps strength was positively correlated with faster times on the
Time Up and Go and Stair Climbing Test and greater distances during the 6 Minute
Walk test. INTERPRETATION: Patients who have undergone TKA demonstrate
improvements in function as measured by self-report and functional performance
measures. Gait becomes more symmetric and quadriceps strength becomes stronger.
Some approached the values of healthy control subjects. Important differences
still remain however. The larger hip extensor contribution to the total support
moment may be to compensate for the diminished knee extensor contribution during
level walking. Since instrumented gait analysis and functional performance
measures appear to reflect different aspects of recovery following total knee
replacement, both should be considered when evaluating gait and function.
PMID- 18060671
TI - Estimation of nitrogen balance between the atmosphere and Lake Balaton and a semi
natural grassland in Hungary.
AB - The paper summarises the results to determine the fluxes of different N-compounds
within the atmosphere and an aquatic and a terrestrial ecosystems, in Hungary. In
the exchange processes of N-compounds between atmosphere and various ecosystems
the deposition dominates. The net deposition fluxes are -730, -1270 and -1530 mg
Nm(-2)yr(-1) for water, grassland, and forest ecosystems, respectively. For
water, the main source of nitrogen compounds is the wet deposition. Ammonia gas
is close to the equilibrium between the water and the air. For grassland the dry
flux of nitric acid and ammonia is also an important term beside the wet
deposition. Dry deposition to terrestrial ecosystems is roughly two times higher
than wet deposition. A total of 8-10% of the nitrates and NH(x) deposited to
terrestrial ecosystems are re-emitted into the air in the form of nitrous oxide
(N2O) greenhouse gas.
PMID- 18060672
TI - Efficacy of cognitive behavioral therapy for chronic fatigue syndrome: a meta
analysis.
AB - A meta-analysis of the efficacy of cognitive behavioral therapy (CBT) in treating
chronic fatigue included 15 effect sizes for between-group outcome comparisons.
Across analyses, which included a total of 1371 participants, there was a
significant difference, d=0.48, in post-treatment fatigue between participants
receiving CBT and those in control conditions. Results indicate that CBT for
chronic fatigue syndrome tends to be moderately efficacious. Dropout rates in CBT
varied from 0-42%, with a mean of 16%. In the five studies that reported the
number of CBT clients who were no longer in the clinical range with regard to
fatigue at the latest follow-up, the percentage varied from 33% to 73% of those
assigned to CBT, with a mean of 50%. Moderator results suggest directions for
future investigations.
PMID- 18060673
TI - Assessment of user safety, exposure and risk to veterinary medicinal products in
the European Union.
AB - Safety is an important part of veterinary drug assessment while user safety is a
critical part of the overall safety assessment. In the European Union (EU), user
safety is addressed through preclinical studies and by relationships with
exposure but a key part of the process is the user safety assessment. EU user
safety guidelines are available and these make certain recommendations but in
places they lack detail and clarity. This paper seeks to examine the relevant
factors that lie behind user risk assessments for veterinary medicinal products
in general while focusing on EU requirements, the determination of risk
management and risk communication strategies and how this relates to user safety
assessment and pharmacovigilance responsibilities.
PMID- 18060674
TI - The search for asbestos within the Peter Mitchell Taconite iron ore mine, near
Babbitt, Minnesota.
AB - Asbestos crystallizes within rock formations undergoing intense deformation
characterized by folding, faulting, shearing, and dilation. Some of these
conditions have prevailed during formation of the taconite iron ore deposits in
the eastern Mesabi Iron Range of Minnesota. This range includes the Peter
Mitchell Taconite Mine at Babbitt, Minnesota. The mine pit is over 8 miles long,
up to 1 mile wide. Fifty three samples were collected from 30 sites within areas
of the pit where faulting, shearing and folding occur and where fibrous minerals
might occur. Eight samples from seven collecting sites contain significant
amounts of ferroactinolite amphibole that is partially to completely altered to
fibrous ferroactinolite. Two samples from two other sites contain ferroactinolite
degraded to ropy masses of fibers consisting mostly of ferrian sepiolite as
defined by X-ray diffraction and TEM and SEM X-ray spectral analysis. Samples
from five other sites contain unaltered amphiboles, however some of these samples
also contain a very small number of fiber bundles composed of mixtures of
grunerite, ferroactinolite, and ferrian sepiolite. It is proposed that the
alteration of the amphiboles was caused by reaction with water-rich acidic fluids
that moved through the mine faults and shear zones. The fibrous amphiboles and
ferrian sepiolite collected at the Peter Mitchell Mine composes a tiny fraction
of one percent of the total rock mass of this taconite deposit; an even a smaller
amount of these mineral fragments enter the ambient air during mining and
milling. These fibrous minerals thus do not present a significant health hazard
to the miners nor to those non-occupationally exposed. No asbestos of any type
was found in the mine pit.
PMID- 18060676
TI - Effect of dietary fat-soluble vitamins A and E and proanthocyanidin-rich extract
from grape seeds on oxidative DNA damage in rats.
AB - This study reports the effect of the fat-soluble vitamin A or vitamin E and grape
seed proanthocyanidin extract (GSPE) on oxidative DNA damage estimated by 8-oxo
7, 8-dihydro-2'-deoxyguanosine (8-oxodG) contents in urine and leukocyte of rats.
Little is known about the antioxidant potency of dietary anthocyanidins and
consequently, the aim of this study was to establish whether anthocyanidins could
act as putative antioxidant micronutrients. Seven groups of male Sprague-Dawley
rats were fed during 47 days with the following diets: a basic diet, two
deficient vitamin A or E diets, two supplemented vitamin A or E diets and two
supplemented diets enriched with two doses of grape seed proanthocyanidin
extract. At the end of the diet intervention period, 24h, urine and blood were
collected. The levels of 8-oxodG in leukocytes rats were significantly lower in
the supplemented vitamin A, E and GSPE diet groups with respect to the control
group. However, consumption of alpha-tocopherol, vitamin A or GSPE had no effect
on the excretion of the oxidised nucleoside 8-oxodG. These results suggest that a
vitamin E and A and GSPE enriched-diets have a protective effect on oxidative DNA
damage limited to rat leukocytes.
PMID- 18060675
TI - Associations between increases in plasma n-3 polyunsaturated fatty acids
following supplementation and decreases in anger and anxiety in substance
abusers.
AB - OBJECTIVE: Mounting evidence indicates that low levels of n-3 polyunsaturated
fatty acids (PUFAs) play a role in the pathophysiology of a large number of
psychiatric disorders. In light of the suboptimal n-3 PUFAs intake due to poor
dietary habits among substance abusers and the strong associations between
aggression, anxiety and substance use disorders we examined if insurance of
adequate intakes of n-3 PUFAs with supplementation would decrease their anger and
anxiety scores. METHOD: Substance abusers (n=22) were assigned to either 3 g of n
3 PUFAs, mainly eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) or
soybean oil in identically looking capsules. The trial was double-blind,
randomized and lasted 3 months. Anger and anxiety scales were administered at
baseline and once a month thereafter. Blood samples were collected at baseline
and at the end of the trial. RESULTS: Patients' dietary intakes of n-3 PUFAs fell
below recommended levels. Assignment to n-3 PUFA treatment was accompanied by
significant decreases in anger and anxiety scores compared to placebo assignment.
These changes were associated with increases in plasma levels of both EPA and DHA
but an increase in EPA was more robustly correlated with low end-of-trial anxiety
scores and an increase in DHA was more robustly correlated with low end-of-trial
anger scores. CONCLUSION: These pilot data indicate that ensuring adequate n-3
PUFA intake via supplementation benefits substance abusers by reducing their
anger and anxiety levels. The strong correlations between an increase in plasma
EPA and lower anxiety scores and between an increase in plasma DHA and lower
anger scores suggests a need for the further exploration of the differential
responses to these two n-3 PUFAs in different psychiatric conditions.
PMID- 18060677
TI - Optimized mismatch negativity paradigm reflects deficits in schizophrenia
patients. A combined EEG and MEG study.
AB - Mismatch negativity (MMN) and its neuromagnetic analog (MMNm) are event-related
brain responses elicited by changes in a sequence of auditory events and indexes
early cognitive processing. It consistently detects neural processing deficits in
schizophrenia. So far MMN is assessed with different methods
(electroencephalography, EEG; magnetoencephalography, MEG) and with different
paradigms: the "traditional" oddball design with rare deviants (20%) or the
"optimum" design with 50% deviants varying in one of five parameters each. These
MMN measures may not reflect one unitary mechanism which is equally affected in
schizophrenia. We compared both designs in 12 patients with schizophrenia and
controls using MEG and EEG. Automated, observer-independent data analysis
rendered the procedures suitable for clinical applications. The optimum design
was fastest to detect MMN and MEG had the best signal-to-noise ratio. In addition
MMN was mostly reduced in schizophrenia if measured with MEG in the optimum
paradigm. Optimized paradigms improve sensitivity and speed for the detection of
schizophrenia endophenotypes. Dysfunctions in this disorder may lie primarily in
the fast and automatic encoding of stimulus features at the auditory cortex.
PMID- 18060679
TI - Quantitation of the molecular mechanisms of biological synergism in a mixture of
DNA-acting aromatic drugs.
AB - It is suggested that the widely reported biological synergism of a mixture of DNA
targeting aromatic drug molecules both in vivo and in vitro can be explained, in
part, at the molecular level by competition between two basic mechanisms: the
'interceptor' (hetero-association between Drug1 and Drug2) and 'protector'
mechanisms (complexation of Drug1 and Drug2 on DNA-binding sites). In the present
work a complete analytical methodology has been developed to quantify these
processes, providing an estimate of the relative importance of the
interceptor/protector mechanisms using just a set of equilibrium association
constants. The general methodology may be applied to other molecules with
receptors for aromatic drugs.
PMID- 18060680
TI - Splitting and lumping adult renal epithelial tumours: is that what the urologists
want?
PMID- 18060681
TI - Prognostic significance of Gleason score discrepancies between needle biopsy and
radical prostatectomy.
AB - OBJECTIVES: Discordance between the Gleason score (GS) on needle biopsy (NB) and
the GS of the radical prostatectomy (RP) specimen is a common finding. The
objective of this study was to evaluate the prognostic significance of these
discrepancies with respect to outcomes following RP. METHODS: In the study, 6625
men treated by RP were categorized as having NB=RP (68.8%), NB